Package: 0ad-data Version: 0~r11863-1 Installed-Size: 713566 Maintainer: Debian Games Team Architecture: all Depends: tex-gyre, ttf-dejavu-core Pre-Depends: dpkg (>= 1.15.6~) Suggests: 0ad Size: 316500336 SHA256: b6e93331b7b1cc30ad7fa60eed9a233d0ab435ae0eacc4fcc8989c4266ed1511 SHA1: 91b215066190b099dd75532b7843062517582acf MD5sum: aa036194b1d1bffa377b545b69d41c8e Description: Real-time strategy game of ancient warfare (data) 0 A.D. (pronounced "zero ey-dee") is a free, open-source, cross-platform real-time strategy (RTS) game of ancient warfare. In short, it is a historically-based war/economy game that allows players to relive or rewrite the history of Western civilizations, focusing on the years between 500 B.C. and 500 A.D. The project is highly ambitious, involving state-of-the-art 3D graphics, detailed artwork, sound, and a flexible and powerful custom-built game engine. . This package contains the data files required by 0 A.D. Homepage: http://wildfiregames.com/0ad/ Section: games Priority: optional Filename: pool/main/0/0ad-data/0ad-data_0~r11863-1_all.deb Package: 2ping Version: 2.0-1 Installed-Size: 134 Maintainer: Ryan Finnie Architecture: all Depends: perl Recommends: perl-modules, libio-socket-inet6-perl Suggests: libdigest-sha-perl, libdigest-crc-perl Size: 30724 SHA256: fd4396ef6793a8fcdf38e751ae60ce513cf4389b5aeebb51af13a8f7995fd521 SHA1: 19baa38dcc480a218ac4db24ca6f0be6df04a1f6 MD5sum: a815f73f1894e7a12619d37d3dbc1bbc Description: Ping utility to determine directional packet loss 2ping is a bi-directional ping utility. It uses 3-way pings (akin to TCP SYN, SYN/ACK, ACK) and after-the-fact state comparison between a 2ping listener and a 2ping client to determine which direction packet loss occurs. Homepage: http://www.finnie.org/software/2ping/ Tag: implemented-in::perl, interface::commandline, protocol::ip, role::program, scope::utility, use::analysing, use::measuring, works-with::network-traffic Section: net Priority: optional Filename: pool/main/2/2ping/2ping_2.0-1_all.deb Package: 2vcard Version: 0.5-3 Installed-Size: 108 Maintainer: Martin Albisetti Architecture: all Size: 14300 SHA256: 2be9a86f0ec99b1299880c6bf0f4da8257c74a61341c14c103b70c9ec04b10ec SHA1: e903a05f168a825ff84c87326898a182635f8175 MD5sum: d831fd82a8605e9258b2314a7d703abe Description: perl script to convert an addressbook to VCARD file format 2vcard is a little perl script that you can use to convert the popular vcard file format. Currently 2vcard can only convert addressbooks and alias files from the following formats: abook,eudora,juno,ldif,mutt, mh and pine. . The VCARD format is used by gnomecard, for example, which is used by the balsa email client. Tag: implemented-in::perl, role::program, use::converting, works-with::pim Section: utils Priority: optional Filename: pool/main/2/2vcard/2vcard_0.5-3_all.deb Package: 389-console Version: 1.1.7-1 Installed-Size: 104 Maintainer: Debian 389ds Team Architecture: all Depends: libidm-console-framework-java, openjdk-6-jre | java6-runtime Size: 64104 SHA256: 8ec2fa2ce9e35933296d72d3835d140339d10ef8c683684976c512d2f65af2f2 SHA1: 800db40d79245ad1f60a396a25676d6367928aaf MD5sum: f88ee74a636bd502860941caff0992ba Description: 389 Management Console A Java based remote management console used for managing 389 Administration Server and 389 Directory Server. Homepage: http://directory.fedoraproject.org Section: java Priority: extra Filename: pool/main/3/389-console/389-console_1.1.7-1_all.deb Package: 3dchess Version: 0.8.1-17 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 110 Depends: libc6 (>= 2.13-28), libx11-6, libxpm4, libxt6, xaw3dg (>= 1.5+E-1) Priority: optional Section: games Filename: pool/main/3/3dchess/3dchess_0.8.1-17_armhf.deb Size: 35518 SHA256: f5dc934a4993f0b782636db2979fa780a12563ff9f3e4b90a106c371cd8e7ab9 SHA1: 768901b164a8b068f410829c137bd073f632f795 MD5sum: c3f0b10e152445692c0b30e5daaa706f Description: Play chess across 3 boards! There are three boards, stacked vertically; 96 pieces of which most are the traditional chess pieces with just a couple of additions; 26 possible directions in which to move. Provides a challenging enough game to all but the most highly skilled players. Package: 3depict Version: 0.0.10-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 3056 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libftgl2 (>= 2.1.3~rc5), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libgomp1 (>= 4.4), libgsl0ldbl (>= 1.9), libmgl5 (>= 1.11), libpng12-0 (>= 1.2.13-4), libqhull5 (>= 2003.1), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Homepage: http://threedepict.sourceforge.net/index.html Priority: optional Section: science Filename: pool/main/3/3depict/3depict_0.0.10-1_armhf.deb Size: 2033226 SHA256: e0af2c4d8bd437ea78007bcc33e6ab27361f103c9df8a74c96903d3ddf09524b SHA1: a0d76037706f4560ee37f9e4c4d84e559e6eff7d MD5sum: 075c943dc12578772c13cc59b7e924d4 Description: visualisation and analysis for single valued point data This program provides a graphical interface for the scientific analysis of real valued point data (x,y,z,value). This is primarily targeted towards Atom probe tomography applications, but may prove useful to other applications as well. Package: 4digits Version: 1.1.2-1 Architecture: armhf Maintainer: Yongzhi Pan Installed-Size: 539 Depends: libc6 (>= 2.13-28), python, python-glade2, python-gnome2 Homepage: http://sourceforge.net/projects/fourdigits/ Priority: extra Section: games Filename: pool/main/4/4digits/4digits_1.1.2-1_armhf.deb Size: 398958 SHA256: 770c6c48226af787e7764de43129076029420f55efa016e7075061d1d8a8f3d5 SHA1: 9cf990aa9110100000b65ed3ef2b3dcec704d53d MD5sum: 75b4a6012ea92c79a0e8ee5449214116 Description: guess-the-number game, aka Bulls and Cows 4digits is a guess-the-number puzzle game. It's called Bulls and Cows, and in China people simply call it Guess-the-Number. The game's objective is to guess a four-digit number in 8 tries and the shortest time possible. It is similar to Mastermind, but the four digits must be unique. 4digits has both a graphical user interface version and a command-line version. Package: 4g8 Version: 1.0-3 Architecture: armhf Maintainer: LaMont Jones Installed-Size: 58 Depends: libc6 (>= 2.13-28), libnet1 (>= 1.1.2.1), libpcap0.8 (>= 0.9.8) Priority: optional Section: net Filename: pool/main/4/4g8/4g8_1.0-3_armhf.deb Size: 13054 SHA256: 7e535abc191ae6cca7d80c4ef328693ce7eed639cc4183a58792233549a15072 SHA1: c251c67cb9fcc48e30aff623a84ee85f1ed4f4cc MD5sum: 436202e24f6562788864f038b257ce1a Description: Packet Capture and Interception for Switched Networks 4G8 allows you to capture traffic from a third party in a switched environment at the expense of a slight increase in latency to that third party host. Utilizing ARP cache poisoning, packet capture and packet reconstruction techniques, 4G8 works with nearly all TCP, ICMP and UDP IPv4 traffic flows. Package: 4store Version: 1.1.4-2 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 1668 Depends: adduser, lsb-base (>= 3.2-13), netbase, libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libncurses5 (>= 5.5-5~), libpcre3 (>= 8.10), libraptor2-0 (>= 2.0.6), librasqal3 (>= 0.9.28), libreadline6 (>= 6.0), libstemmer0d (>= 0+svn527), libtinfo5, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Recommends: avahi-daemon Homepage: http://www.4store.org/ Priority: extra Section: utils Filename: pool/main/4/4store/4store_1.1.4-2_armhf.deb Size: 847898 SHA256: d3ffbb3ec78de256cf43c74f7a13c85bd61147e5efc3f71426654a9280165a3f SHA1: 5e49385bdcf9f9cc769216ac7b826e6026ff1f19 MD5sum: 21a05bdcf774854515cfe04ed406311c Description: RDF database storage and query engine -- database daemon 4store is a database storage and query engine that holds RDF data. . 4store's main strengths are its performance, scalability and stability. It does not provide many features over and above RDF storage and SPARQL queries, but if your are looking for a scalable, secure, fast and efficient RDF store, then 4store should be on your shortlist. . This package contains the database daemon and related utilities. Package: 6tunnel Version: 0.11rc2-7 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 59 Depends: libc6 (>= 2.4) Homepage: http://toxygen.net/6tunnel Priority: optional Section: net Filename: pool/main/6/6tunnel/6tunnel_0.11rc2-7_armhf.deb Size: 14944 SHA256: 0b44051f58da7febf094297d7fbe1e96f83fa1636937423687a2fec1aa2a0072 SHA1: 6c722600d726fcb8cf2b15cd3f1b559721a43d94 MD5sum: ab865b88056a94e8c054ff3553994c43 Description: TCP proxy for non-IPv6 applications 6tunnel allows you to use services provided by IPv6 hosts with IPv4-only applications and vice versa. It can bind to any of your IPv4 or IPv6 addresses and forward all data to IPv4 or IPv6 host. . It can be used for example as an IPv6-capable IRC proxy. Package: 7kaa-data Version: 2.13-1 Installed-Size: 104600 Maintainer: Debian Games Team Architecture: all Recommends: 7kaa Size: 40762490 SHA256: 7d6b2bbadd8a60b120bd2a689472ad0f44875ebe0446f0e4e923cdc2aa748415 SHA1: 5f4b386b5ddc2161b95b57199496f04eb3f3ca39 MD5sum: 9f7754a19b8e3ec3e765513b364037de Description: Seven Kingdoms Ancient Adversaries - game data Seven Kingdoms, designed by Trevor Chan, brings a unique blend of Real-Time Strategy with the addition of trade, diplomacy, and espionage. . This package contains the data files. Homepage: http://www.enlight.com/7k/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/7/7kaa-data/7kaa-data_2.13-1_all.deb Package: 9base Version: 1:6-5 Architecture: armhf Maintainer: Gergely Nagy Installed-Size: 4569 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0) Suggests: wmii2 Homepage: http://tools.suckless.org/9base Priority: optional Section: utils Filename: pool/main/9/9base/9base_6-5_armhf.deb Size: 1697558 SHA256: d138d2d19db6329311986ce9682b2d4043e647ff3dac26b1cea527b108b142af SHA1: f28ed6ffd63f128f6d758dcc5939ab69bbef43b7 MD5sum: 2de8083933ffee221b5c3143073fe044 Description: Plan 9 userland tools 9base is a port of following original Plan 9 userland tools to Unix: awk, basename, bc, cat, cleanname, date, dc, echo, grep, mk, rc, sed, seq, sleep, sort, strings, tee, test, touch, tr, uniq, and yacc. Package: 9menu Version: 1.8-5 Architecture: armhf Maintainer: Daniel Echeverry Installed-Size: 58 Depends: libc6 (>= 2.4), libx11-6 Priority: optional Section: x11 Filename: pool/main/9/9menu/9menu_1.8-5_armhf.deb Size: 15438 SHA256: 98279a98cc49b2afb80fcb9f9a92bfa3dd33555466af0b30fbec04d3151191e2 SHA1: ba830b36167bfc16362d6e27631ff48734573706 MD5sum: 25896f4f6cfbd03acfbdba54ac5a97e6 Description: Creates X menus from the shell This is a simple program that allows you to create X menus from the shell, where each menu item will run a command. 9menu is intended for use with 9wm, but can be used with any other window manager. Package: 9wm Version: 1.2-9 Architecture: armhf Maintainer: Decklin Foster Installed-Size: 79 Depends: libc6 (>= 2.4), libx11-6 Suggests: 9menu Provides: x-window-manager Priority: optional Section: x11 Filename: pool/main/9/9wm/9wm_1.2-9_armhf.deb Size: 25718 SHA256: 055ad4ad4a2278267a4cdba3160028af41d96775531414db0f5d9a0338da9933 SHA1: afe01aefc0487ea08f7da203e4e24caf0033a6c5 MD5sum: 46045d5b397eb531177ad9f1ac9615df Description: emulation of the Plan 9 window manager 8-1/2 9wm is an X window manager which attempts to emulate the Plan 9 window manager 8-1/2 as far as possible within the constraints imposed by X. . It provides a simple yet comfortable user interface, without garish decorations or title-bars. Or icons. And it's click-to-type. Package: a2jmidid Version: 7+dfsg0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 106 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, python Homepage: http://home.gna.org/a2jmidid/ Priority: optional Section: sound Filename: pool/main/a/a2jmidid/a2jmidid_7+dfsg0-1_armhf.deb Size: 31886 SHA256: cf10ccbdcd5dcb49b7832dcb2b1451b75812785040944d89e4fb9314d6b8457e SHA1: 0ff12c0990501cfdb24e9b8ca180e1dfb7455cb1 MD5sum: ce0b793d152dad605e0279a9ac1cb839 Description: Daemon for exposing legacy ALSA MIDI in JACK MIDI systems Main goal of this project is to ease usage of legacy, not JACK-ified apps, in a JACK MIDI enabled system. a2jmidid is a daemon that implements automatic bridging. For every ALSA sequencer port you get one JACK MIDI port. If ALSA sequencer port is both one input and one output, you get two JACK MIDI ports, one input and one output. Package: a2ps Version: 1:4.14-1.1+deb7u1 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 3579 Depends: libc6 (>= 2.13-28), libpaper1, dpkg (>= 1.15.4) | install-info, file, psutils Recommends: bzip2, lpr | rlpr | cupsys-client, wdiff Suggests: emacsen-common, groff, ghostscript, gv, html2ps, graphicsmagick-imagemagick-compat | imagemagick, texlive-base-bin, t1-cyrillic Priority: optional Section: text Filename: pool/main/a/a2ps/a2ps_4.14-1.1+deb7u1_armhf.deb Size: 932622 SHA256: 5d65640115b14bf56fbcccb37ef5dbd429b7c62580354e9498d614083525b1e2 SHA1: 9b34686938614dae2895266d0c334cbec56f919a MD5sum: ef572adb75eb314d233e97db0f91b8e9 Description: GNU a2ps - 'Anything to PostScript' converter and pretty-printer GNU a2ps converts files into PostScript for printing or viewing. It uses a nice default format, usually two pages on each physical page, borders surrounding pages, headers with useful information (page number, printing date, file name or supplied header), line numbering, symbol substitution as well as pretty printing for a wide range of programming languages. . Historically, a2ps started as a text to PostScript converter, but thanks to powerful delegations it is able to let you use it for any kind of files, ie it can also digest manual pages, dvi files, texinfo, .... . Among the other most noticeable features of a2ps are: - various encodings (all the Latins and others), - various fonts (automatic font down loading), - various medias, - various printer interfaces, - various output styles, - various programming languages, - various helping applications, - and various spoken languages. Package: a56 Version: 1.3-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 191 Depends: libc6 (>= 2.11) Homepage: http://www.zdomain.com/a56.html Priority: extra Section: devel Filename: pool/main/a/a56/a56_1.3-6_armhf.deb Size: 39858 SHA256: 070a2ac9c6b1e59ef5103ca996c26f421fac00a363e9722fef8a630909353045 SHA1: f1eee8cc9f3b770eceffee4f0f818c6c0555bcff MD5sum: c1ab75b84e6413a4872cfacb594dd221 Description: Motorola DSP56001 assembler a56 is an assembler for the Motorola DSP56001 family of microcontrollers. . It is capable of compiling the firmware used in Linux' dsp56k.c driver. Package: a7xpg Version: 0.11.dfsg1-7 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1430 Depends: a7xpg-data (= 0.11.dfsg1-7), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4) Homepage: http://www.asahi-net.or.jp/~cs8k-cyu/windows/a7xpg_e.html Priority: extra Section: games Filename: pool/main/a/a7xpg/a7xpg_0.11.dfsg1-7_armhf.deb Size: 165884 SHA256: a815fe180e19c816ce62c187e501059d02cc8455752b9c5beaacf45738283e53 SHA1: 1da7cf0e64e882e7147d83c167fe48a826844a65 MD5sum: f3c5e8e71290c4355430d8f98b948c89 Description: chase action game The goal of the game is to collect all the gold bullions found in each level and avoid crashing into any of the enemies. As you progress through the levels you will encounter harder enemies, and you can gain a short period of invincibility if you gather gold at high speeds. . A7Xpg is another gem among many by Kenta Cho. Package: a7xpg-data Source: a7xpg Version: 0.11.dfsg1-7 Installed-Size: 3519 Maintainer: Debian Games Team Architecture: all Recommends: a7xpg Size: 3528054 SHA256: 6a09c29633de37f0d8aa96aa83be7b14a68019facdea648a0559485072a5838a SHA1: a9bd263e0d3b699d63afad1b9fe228a8e9857cc4 MD5sum: 5d543c74da916de7f60423c9ad5417e9 Description: chase action game - game data The goal of the game is to collect all the gold bullions found in each level and avoid crashing into any of the enemies. As you progress through the levels you will encounter harder enemies, and you can gain a short period of invincibility if you gather gold at high speeds. . This package includes the architecture-independent data for the game A7Xpg. Homepage: http://www.asahi-net.or.jp/~cs8k-cyu/windows/a7xpg_e.html Tag: made-of::audio, role::app-data Section: games Priority: extra Filename: pool/main/a/a7xpg/a7xpg-data_0.11.dfsg1-7_all.deb Package: aa3d Version: 1.0-8 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 53 Depends: libc6 (>= 2.4) Homepage: http://aa-project.sourceforge.net/aa3d/ Priority: optional Section: graphics Filename: pool/main/a/aa3d/aa3d_1.0-8_armhf.deb Size: 9226 SHA256: 8884e78ecb0fa5eab384e259070922025bbb175d43cd8ee698907cccf28433ad SHA1: d87ea29f7cc4e454052b94a8e5623681b38b959e MD5sum: 01877bc6fbaf078e8f595659e4e45bed Description: ASCII art stereogram generator This program generates the well-known and popular random dot stereograms in ASCII art. . Features: * High quality ASCII art stereogram rendering * Highly configurable * User friendly command line interface (including full online help) Package: aajm Source: jugglemaster Version: 0.4-6 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 101 Depends: libaa1 (>= 1.4p5), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: http://icculus.org/jugglemaster/ Priority: extra Section: games Filename: pool/main/j/jugglemaster/aajm_0.4-6_armhf.deb Size: 26716 SHA256: e8a245653dc206b0e1b045ffce7a018206a1c3cc100641815dc36447f73acfd9 SHA1: 8e4eba322413150f0dbfe08007c747da84155df4 MD5sum: 7192db407d94e77e87896568d6627e8f Description: ASCII art version of jugglemaster JuggleMaster is a siteswap animator. A siteswap is a textual representation for patterns one can juggle (using balls, clubs, etc.). JuggleMaster is mainly useful for understanding specific siteswaps by animating them (including multiplexing). Other uses may include watching patterns without understanding the notation behind them. . This package contains the ASCII art interface. It can be run as an ASCII screensaver and doesn't come with patterns, so you better know siteswaps. . Siteswap FAQ: http://www.juggling.org/help/siteswap/faq.html Package: aaphoto Version: 0.41-1.1 Architecture: armhf Maintainer: Andras Horvath Installed-Size: 112 Depends: libc6 (>= 2.13-28), libgomp1 (>= 4.2.1), libjasper1, libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4) Homepage: http://log69.com Priority: extra Section: graphics Filename: pool/main/a/aaphoto/aaphoto_0.41-1.1_armhf.deb Size: 45334 SHA256: 206397716694e85fbed8614165ca388c9c0ddfb19a7cfdb319a3e7ec60dd47e7 SHA1: 698d945f012b858291f54c45b39b23b0f28ad3a2 MD5sum: 66df0b282563dd04a604191da13d9595 Description: Auto Adjust Photo, automatic color correction of photos Auto Adjust Photo is a tiny command-line image manipulation tool for automatic color correction of photos. It tries to make the picture look better. The program does this by analyzing the input image and then sets the most optimal contrast, gamma, color balance and saturation for it. Package: abacas Version: 1.3.1-1 Installed-Size: 156 Maintainer: Debian Med Packaging Team Architecture: all Depends: mummer Size: 26654 SHA256: ad907f470e9e381ed5b25be77ff46beb81492c27a32d8b2a67fb249e0551ba33 SHA1: c3d6886623c311892e2eadb9c3a3611732f7ed9d MD5sum: be90ac0af73a3adcbd0fd5dd645a1aba Description: Algorithm Based Automatic Contiguation of Assembled Sequences ABACAS is intended to rapidly contiguate (align, order, orientate), visualize and design primers to close gaps on shotgun assembled contigs based on a reference sequence. . ABACAS uses MUMmer to find alignment positions and identify syntenies of assembled contigs against the reference. The output is then processed to generate a pseudomolecule taking overlapping contigs and gaps in to account. ABACAS generates a comparision file that can be used to visualize ordered and oriented contigs in ACT. Synteny is represented by red bars where colour intensity decreases with lower values of percent identity between comparable blocks. Information on contigs such as the orientation, percent identity, coverage and overlap with other contigs can also be visualized by loading the outputted feature file on ACT. Homepage: http://abacas.sourceforge.net/ Tag: implemented-in::perl, role::program Section: science Priority: optional Filename: pool/main/a/abacas/abacas_1.3.1-1_all.deb Package: abby Version: 0.4.7-1 Architecture: armhf Maintainer: Alejandro Garrido Mota Installed-Size: 297 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), cclive | clive Homepage: http://code.google.com/p/abby/ Priority: optional Section: video Filename: pool/main/a/abby/abby_0.4.7-1_armhf.deb Size: 119930 SHA256: a3a2e5f91af70f9e60ee73d8e02d0ae3a2187cb81bf24d169bcf2f807b1d71b0 SHA1: f9440bc028fc9a7d7e872835b8e9c8130ce02bdd MD5sum: e31f7bc421c4135364288c9201abf375 Description: front-end for cclive and clive abby is a front-end for cclive and clive used to download videos from Youtube and other similar video hosts. Abby is written in C++ and depends on the Qt framework. Package: abcde Version: 2.5.3-1 Installed-Size: 282 Maintainer: Jesus Climent Architecture: all Depends: cd-discid, wget, cdparanoia | icedax, vorbis-tools (>= 1.0beta4-1) | lame | flac | bladeenc | speex Recommends: vorbis-tools, libmusicbrainz-discid-perl, libwebservice-musicbrainz-perl, libdigest-sha-perl, mailx Suggests: eject, distmp3, id3 (>= 0.12), id3v2, eyed3, normalize-audio, vorbisgain, mkcue, mp3gain, atomicparsley Size: 122416 SHA256: ecff853502195d2de145a62bf8750da02ec233a18132042e8e93ee5fcbc93985 SHA1: b8e48e841d95e509972b7c883c5b2a7b961d8929 MD5sum: 39dea85b30e3a3fbfb91162ecea7565e Description: A Better CD Encoder A frontend program to cdparanoia, wget, cd-discid, id3, and your favorite Ogg/Vorbis, MP3, FLAC, Ogg/Speex and/or MPP/MP+(Musepack) encoder (defaults to oggenc). Grabs an entire CD and converts each track to the specified formats and then comments or ID3-tags each file, with one command. . With abcde you can encode several formats with one single command, using a single CD read operation. It also allows you to read and encode while not on the internet, and later query a CDDB server to tag your files. Homepage: http://code.google.com/p/abcde/ Tag: hardware::storage, hardware::storage:cd, interface::commandline, role::program, scope::utility, use::converting, use::storing, works-with-format::mp3, works-with-format::oggvorbis, works-with-format::wav, works-with::audio Section: sound Priority: optional Filename: pool/main/a/abcde/abcde_2.5.3-1_all.deb Package: abcm2ps Version: 6.6.17-1 Architecture: armhf Maintainer: Anselm Lingnau Installed-Size: 428 Depends: libc6 (>= 2.13-28) Priority: optional Section: text Filename: pool/main/a/abcm2ps/abcm2ps_6.6.17-1_armhf.deb Size: 226834 SHA256: 1dadf800ddc1746a0284f7eaf688913828a2909fcce8e020656de6f6b34d1017 SHA1: 141ac3aa750b57a0b86ed848285dd8a32a093136 MD5sum: 6d40e1175c707bf60773cbc7e8415d90 Description: Translates ABC music description files to PostScript (or SVG) This is the Debian port of the abcm2ps program by Jean-François Moine. The program translates tunes written in the ABC format to PostScript, which can then be viewed using Ghostview et al. or printed on a PostScript printer or through Ghostscript. It supports various semi-standard extensions to the ABC standard, such as multiple voices and staves, and can also generate SVG output. . This program is a vastly improved spin-off from Michael Methfessel's abc2ps, which is no longer supplied as part of Debian. In particular, it contains a lot of extensions to help typeset classical music. Package: abcmidi Version: 20070318-2 Architecture: armhf Maintainer: Anselm Lingnau Installed-Size: 345 Depends: libc6 (>= 2.7) Suggests: abcmidi-yaps | abcm2ps, timidity | pmidi | playmidi Priority: optional Section: sound Filename: pool/main/a/abcmidi/abcmidi_20070318-2_armhf.deb Size: 200134 SHA256: 88f2e5560438417e30bc814d3fdcb8db3e77d5af2157241890fe66cd54fdaf5a SHA1: 09c3111994006457fcff5a8fab16bd98deb50805 MD5sum: e7aad9de84794b3f07b93df71dc7753c Description: converter from ABC to MIDI format and back This package contains the programs `abc2midi' and `midi2abc', which convert from the abc musical notation format to standard MIDI format and vice-versa. They can generate accompaniment from guitar chords in the abc file, as well as insert various MIDI events; the MIDI-to-abc translation tries to figure out bars, triplets and accidentals on its own. . The package also contains `abc2abc' (an abc prettyprinter/transposer), `mftext' (a program that dumps a MIDI file as text), and `midicopy' (a program that extracts specific tracks, channels or time intervals from a MIDI file). . The programs in this package are based on the `midifilelib' distribution available from http://www.harmony-central.com/MIDI/. Package: abcmidi-yaps Source: abcmidi Version: 20070318-2 Architecture: armhf Maintainer: Anselm Lingnau Installed-Size: 182 Depends: libc6 (>= 2.7) Suggests: postscript-viewer, abcmidi Priority: optional Section: sound Filename: pool/main/a/abcmidi/abcmidi-yaps_20070318-2_armhf.deb Size: 78942 SHA256: f4c545c393d578ad58a121d4182370f3b51ed39ca2388444bea2fb28fc3bc69e SHA1: de5d1893f121fb1d5567623870e937f14857e74f MD5sum: bf3c02dab3e1df43032621c7052c0b5b Description: yet another ABC to PostScript converter This program translates tunes written in the ABC format to PostScript, which can then be viewed on screen or printed. It is essentially a (non-exclusive) alternative to abc2ps, being based on the abc2ps PostScript code together with the ABC parser from the abcmidi package. . People interested in this kind of software should also check out the abcm2ps package, which contains a similar program that has lots of additional features. Package: abe Version: 1.1+dfsg-1 Architecture: armhf Maintainer: Bart Martens Installed-Size: 129 Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libsm6, libx11-6, libxext6, libxi6, libxmu6, libxt6, abe-data (= 1.1+dfsg-1) Homepage: http://abe.sourceforge.net/ Priority: optional Section: games Filename: pool/main/a/abe/abe_1.1+dfsg-1_armhf.deb Size: 36202 SHA256: e293aa1eed96653bd7981aed7f2980f6c8413d703fd6f88c9555a5784805404e SHA1: 369fd7f99960f3a9a8412caf005e3c5b34c86d8c MD5sum: d664dce4c4412f8b806cde72bdc706ea Description: Side-scrolling game named "Abe's Amazing Adventure" A scrolling, platform-jumping, key-collecting, ancient pyramid exploring game, vaguely in the style of similar games for the Commodore+4. The game is intended to show young people all the cool games they missed. Package: abe-data Source: abe Version: 1.1+dfsg-1 Installed-Size: 4071 Maintainer: Bart Martens Architecture: all Size: 2862420 SHA256: 81c43c3861533b65113345be619e0f5217682015d740fad0f514ed895e0371f0 SHA1: 8a23793ce56876566f9e8b2587be6f0f46b84ee4 MD5sum: cd34e22acb4120c1103973a96fb4caad Description: Side-scrolling game named "Abe's Amazing Adventure" A scrolling, platform-jumping, key-collecting, ancient pyramid exploring game, vaguely in the style of similar games for the Commodore+4. The game is intended to show young people all the cool games they missed. . This package contains the architecture independent data files. Homepage: http://abe.sourceforge.net/ Tag: game::arcade, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/a/abe/abe-data_1.1+dfsg-1_all.deb Package: abgate Version: 1.1.6-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 980 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6) Provides: lv2-plugin Homepage: http://abgate.sourceforge.net Priority: optional Section: sound Filename: pool/main/a/abgate/abgate_1.1.6-1_armhf.deb Size: 845068 SHA256: bb43257e7839cab53950fa61d1ddb06ced5161639da19d190f0e092221e702f9 SHA1: 4f57bc2e5590605fbe1c7a7031cadbcbe0855da4 MD5sum: 186de947ca4d5dcd7a32130cba8ab45a Description: LV2 noise gate plugin abGate is a LV2 noise gate plugin in the LV2 format to manage noise. A noise gate is a component which attenuates an audio signal when it falls below a set threshold, so it can be applied to an audio track which has one or more periods of silence where no noise should be apparent. Package: abi-compliance-checker Version: 1.97.7-1 Installed-Size: 1158 Maintainer: Ryan Niebur Architecture: all Depends: perl, gcc, binutils Suggests: doc-base Size: 227722 SHA256: 0212bfc2ab8b6da0431d7187fc6a2e98aa78e383c5f00c64a634b419a796763e SHA1: 0ae62bb7924d8714b5382d806c0245969e23b1d9 MD5sum: 4a54bb739aaf48ceb3569a1e4b52e496 Description: tool to compare ABI compatibility of shared C/C++ library versions abi-compliance-checker can be used to help reduce the possibility of an application crashing when the shared libraries it links against have changed. Signatures and data type definitions from two separate versions of a library are compared by examining the shared objects (.so) files themselves, and by analysing the header files (.h) provided for the two versions of the library and their dependencies. . Shared library developers trying to strive for binary compatibility between releases may also use this tool to detect if an any accidental application binary interface (ABI) changes have been introduced. . The checker may also be used for assessing binary compatibility between different Linux distributions. Homepage: http://ispras.linux-foundation.org/index.php/ABI_compliance_checker Tag: devel::testing-qa, implemented-in::perl, role::program, use::checking Section: devel Priority: optional Filename: pool/main/a/abi-compliance-checker/abi-compliance-checker_1.97.7-1_all.deb Package: abicheck Version: 1.2-5 Installed-Size: 148 Maintainer: Angel Ramos Architecture: all Depends: perl Size: 34316 SHA256: ace458e0cba4be6c7f33933a95c562b5d570d84b2dedb76d4fcaed7b67b965ad SHA1: faa9688c2528007168450a86f0c6b435117959c7 MD5sum: 164d97cd302fda187a023d34255a9f6a Description: binary compatibility checking tool ABIcheck is a tool for checking an application's compliance with a library's defined Application Binary Interface (ABI). It relies on ABI definition information contained in the library. Example definitions are given for GNOME and glibc. Tag: devel::buildtools, devel::lang:c, devel::testing-qa, implemented-in::perl, interface::commandline, role::program, scope::utility, use::checking Section: devel Priority: optional Filename: pool/main/a/abicheck/abicheck_1.2-5_all.deb Package: abinit Version: 5.3.4.dfsg-3 Architecture: armhf Maintainer: Debian Scientific Computing Team Installed-Size: 10614 Depends: libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), liblapack3gf | liblapack.so.3gf | libatlas3gf-base Suggests: abinit-doc Homepage: http://www.abinit.org/ Priority: extra Section: science Filename: pool/main/a/abinit/abinit_5.3.4.dfsg-3_armhf.deb Size: 5222982 SHA256: b49196cfb758b6a31619d1b4e1ec7bd5234d2ce52bc0f6b25e3bafaa7ca1a4db SHA1: 5a70c9f7dc98132d1eb409afdf925e01fe0b8524 MD5sum: 5d365cbce75d993384179d21d0e93024 Description: A package for electronic structure calculations ABINIT is a package whose main program allows one to find the total energy, charge density and electronic structure of systems made of electrons and nuclei (molecules and periodic solids) within Density Functional Theory (DFT), using pseudopotentials and a planewave basis. . ABINIT also includes options to optimize the geometry according to the DFT forces and stresses, or to perform molecular dynamics simulations using these forces, or to generate dynamical matrices, Born effective charges, and dielectric tensors. Excited states can be computed within the Time-Dependent Density Functional Theory (for molecules), or within Many-Body Perturbation Theory (the GW approximation). In addition to the main ABINIT code, different utility programs are provided. . This package contains all programs needed to perform calculations. For documentation and tests, install the abinit-doc package. Package: abinit-doc Source: abinit Version: 5.3.4.dfsg-3 Installed-Size: 25396 Maintainer: Debian Scientific Computing Team Architecture: all Size: 17017858 SHA256: ae9f311bd8d3547297f773e60f8626b3a389a42ed90de5eb1f620ff2b339e2ff SHA1: 0e3af2448ca737e0421c31cd79ee9c4fdcc4ddde MD5sum: e5123a9b545b06489abb0590dae9413b Description: A package for electronic structure calculations ABINIT is a package whose main program allows one to find the total energy, charge density and electronic structure of systems made of electrons and nuclei (molecules and periodic solids) within Density Functional Theory (DFT), using pseudopotentials and a planewave basis. . ABINIT also includes options to optimize the geometry according to the DFT forces and stresses, or to perform molecular dynamics simulations using these forces, or to generate dynamical matrices, Born effective charges, and dielectric tensors. Excited states can be computed within the Time-Dependent Density Functional Theory (for molecules), or within Many-Body Perturbation Theory (the GW approximation). In addition to the main ABINIT code, different utility programs are provided. . This package contains documentation and tests. Homepage: http://www.abinit.org/ Tag: made-of::html, made-of::pdf, made-of::tex, role::documentation Section: doc Priority: extra Filename: pool/main/a/abinit/abinit-doc_5.3.4.dfsg-3_all.deb Package: abiword Version: 2.9.2+svn20120603-8+rpi1 Architecture: armhf Maintainer: Dmitry Smirnov Installed-Size: 5035 Depends: libabiword-2.9 (>= 2.9.2+svn20120603), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.16.0), libgnutls26 (>= 2.12.17-0), libgsf-1-114 (>= 1.14.9), libgtk-3-0 (>= 3.0.0), libjpeg8 (>= 8c), libloudmouth1-0 (>= 1.3.3), libots0, libpng12-0 (>= 1.2.13-4), librdf0 (>= 1.0.15), libreadline6 (>= 6.0), libsoup2.4-1 (>= 2.4.0), libstdc++6 (>= 4.6), libtelepathy-glib0 (>= 0.13.0), libtidy-0.99-0, libwmf0.2-7 (>= 0.2.8.4), libwpd-0.9-9, libwpg-0.2-2, libwps-0.2-2, libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), zlib1g (>= 1:1.1.4), abiword-common (>= 2.9.2+svn20120603-8+rpi1), gsfonts Recommends: abiword-plugin-grammar, abiword-plugin-mathview, aspell-en | aspell-dictionary, poppler-utils, fonts-liberation Homepage: http://www.abisource.com/ Priority: optional Section: editors Filename: pool/main/a/abiword/abiword_2.9.2+svn20120603-8+rpi1_armhf.deb Size: 1752996 SHA256: 5449c7f5e6933a2d16534e9758b253c4fc03781d9d2c3ee069f46c5f5ceaca22 SHA1: 2fbd9c6266e996b6545419f5ed028631903e5c1d MD5sum: c4357c1e34485ee4d49fc15197fc74dd Description: efficient, featureful word processor with collaboration AbiWord is a full-featured, efficient word processing application. It is suitable for a wide variety of word processing tasks, and is extensible with a variety of plugins. . This package includes many of the available import/export plugins allowing AbiWord to interact with ODT, WordPerfect, and other formats. It also includes tools plugins, offering live collaboration with AbiWord users on Linux and Windows (using TCP or Jabber/XMPP), web translation and dictionary support, and more. . Additional plugins that require significant amounts of extra software to function are in the various abiword-plugin-* packages. Package: abiword-common Source: abiword Version: 2.9.2+svn20120603-8+rpi1 Architecture: all Maintainer: Dmitry Smirnov Installed-Size: 11013 Homepage: http://www.abisource.com/ Priority: optional Section: editors Filename: pool/main/a/abiword/abiword-common_2.9.2+svn20120603-8+rpi1_all.deb Size: 2297794 SHA256: 84fbb4d5f26025c4206fbe4439e944c10ec3b48d42a9c1ac659522905dc7f5f9 SHA1: 6ff0c1150ceedf14044f3da2334edf0e95d723a1 MD5sum: c7c1b5e4bee1fbf7a4739df9fd8b348f Description: efficient, featureful word processor with collaboration -- common files AbiWord is a full-featured, efficient word processing application. It is suitable for a wide variety of word processing tasks, and is extensible with a variety of plugins. . This package contains the common files that are used on all architectures without modification. Package: abiword-dbg Source: abiword Version: 2.9.2+svn20120603-8+rpi1 Architecture: armhf Maintainer: Dmitry Smirnov Installed-Size: 29263 Depends: abiword (= 2.9.2+svn20120603-8+rpi1) Homepage: http://www.abisource.com/ Priority: extra Section: debug Filename: pool/main/a/abiword/abiword-dbg_2.9.2+svn20120603-8+rpi1_armhf.deb Size: 27236514 SHA256: 387cf8766f25df9e1b38a55bc23de447cd2eb3ea083d1fd88c13ef5e0e61f87c SHA1: 5e11fc348c34ed0e06a93bb46fde210708491093 MD5sum: acd294db257623c7f500409d652795e1 Description: debugging symbols for abiword word processor AbiWord is a full-featured, efficient word processing application. It is suitable for a wide variety of word processing tasks, and is extensible with a variety of plugins. . This package includes many of the available import/export plugins allowing AbiWord to interact with ODT, WordPerfect, and other formats. It also includes tools plugins, offering live collaboration with AbiWord users on Linux and Windows (using TCP or Jabber/XMPP), web translation and dictionary support, and more. . This package contains debugging symbols needed for debugging abiword. Package: abiword-plugin-grammar Source: abiword Version: 2.9.2+svn20120603-8+rpi1 Architecture: armhf Maintainer: Dmitry Smirnov Installed-Size: 795 Depends: libabiword-2.9 (>= 2.9.2+svn20120603), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), liblink-grammar4 (>= 4.2.2), libstdc++6 (>= 4.3.0), abiword (= 2.9.2+svn20120603-8+rpi1) Homepage: http://www.abisource.com/ Priority: optional Section: editors Filename: pool/main/a/abiword/abiword-plugin-grammar_2.9.2+svn20120603-8+rpi1_armhf.deb Size: 734026 SHA256: 222348368cd3fbbbb422ca6464dec1aa2b9c5ed5fe503c833d1bddb6611b479c SHA1: 1d4d2e07ef57bb486fc4dcf789a606cd036e0496 MD5sum: 4fde7ab39cf38e95445c924ebfc65db7 Description: grammar checking plugin for AbiWord AbiWord is a full-featured, efficient word processing application. It is suitable for a wide variety of word processing tasks, and is extensible with a variety of plugins. . This package contains an in-line grammar checking system for AbiWord documents. Package: abiword-plugin-mathview Source: abiword Version: 2.9.2+svn20120603-8+rpi1 Architecture: armhf Maintainer: Dmitry Smirnov Installed-Size: 1059 Depends: libabiword-2.9 (>= 2.9.2+svn20120603), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgtkmathview0c2a, libstdc++6 (>= 4.4.0), abiword (= 2.9.2+svn20120603-8+rpi1), fonts-lyx Homepage: http://www.abisource.com/ Priority: optional Section: editors Filename: pool/main/a/abiword/abiword-plugin-mathview_2.9.2+svn20120603-8+rpi1_armhf.deb Size: 800338 SHA256: ea4058ede38689b6e7d3818248717ede4fb2616f92fd297b383ca6a9270f35df SHA1: 474437eac09c5b68e25de0785a5e6becb950df9e MD5sum: 251e9a988d72b727613c7c31be283413 Description: equation editor plugin for AbiWord AbiWord is a full-featured, efficient word processing application. It is suitable for a wide variety of word processing tasks, and is extensible with a variety of plugins. . This package contains an equation editing system for AbiWord documents. Package: abntex Version: 0.9~beta2-5.1 Installed-Size: 678 Maintainer: Otavio Salvador Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 3), texlive-latex-recommended, texlive-lang-portuguese, texlive-fonts-recommended Size: 328514 SHA256: 0ec6afff53cdd1b24d4162b39af6fa3bd0e93a1f4f6396c8a7a0acc39e0ec87d SHA1: d78fe7ab0beb0f9c7f614a0b7c4be62291463414 MD5sum: 7ba9ea03bfb808e9111e74e0c2340681 Description: LaTeX class for writing documents in ABNT standard AbnTeX is a class for writing documents in ABNT standard. The Brazilian Technical Standards Association (ABNT) is responsible for the national standardization and certification procedures. The package also includes support to BibTeX in the ABNT standard. Tag: role::app-data, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/a/abntex/abntex_0.9~beta2-5.1_all.deb Package: abook Version: 0.6.0~pre2-3 Architecture: armhf Maintainer: Gerfried Fuchs Installed-Size: 265 Depends: libc6 (>= 2.13-28), libncursesw5 (>= 5.6+20070908), libreadline6 (>= 6.0), libtinfo5, debconf (>= 0.5) | debconf-2.0 Enhances: mutt Homepage: http://abook.sourceforge.net/ Priority: optional Section: mail Filename: pool/main/a/abook/abook_0.6.0~pre2-3_armhf.deb Size: 82926 SHA256: e046151d440e6e130e664c46056b7fe99d40b5710c9e4cdb511a4aa43fec312a SHA1: 96e95108fd5bd6d8a72b1022e4576e3b38da1f02 MD5sum: 92a423b44083e861cb2a1811139b5e05 Description: text-based ncurses address book application abook is a text-based ncurses address book application. It provides many different fields of user info. abook is designed for use with mutt, but can be used independently. Package: abootimg Version: 0.6-1 Architecture: armhf Maintainer: Heiko Stuebner Installed-Size: 61 Depends: libc6 (>= 2.4) Homepage: http://gitorious.org/ac100/abootimg Priority: extra Section: admin Filename: pool/main/a/abootimg/abootimg_0.6-1_armhf.deb Size: 14486 SHA256: 9ecf9875c3cde7a6940131f39333574f3106cbe3eb47fee6809fd70da2c2a013 SHA1: 98b76905a78cfc37afd87d405b679fb4d7495f3a MD5sum: c4fbd2469fa4ee2f826a53eb1a773699 Description: Tool to read/write/update android boot images Android devices use a special partition format to boot any operating system on the devices. These boot-images contain a kernel image, a ramdisk, optionally a 2nd stage boot loader and the commandline passed to the kernel when booting. The original mkbootimg from Android can only create these images where abootimg can also extract and modify them. Handling android boot images is necessary when bringing other operating systems to android devices. Package: abr2gbr Version: 1:1.0.2-2 Architecture: armhf Maintainer: alice ferrazzi (aliceinwire) Installed-Size: 45 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0) Homepage: http://www.sunnyspot.org/gimp/tools.html Priority: extra Section: x11 Filename: pool/main/a/abr2gbr/abr2gbr_1.0.2-2_armhf.deb Size: 6560 SHA256: b82d27097f1cb2af2dce73be8a7f984d43496b9d6a4ba74cb9f3046d823e2790 SHA1: a1b8ec6b089fcb513f60425b9347a5a3ab474ebf MD5sum: a7cc203ccb5dc36a3071f5809b804d3e Description: Converts PhotoShop brushes to GIMP abr2gbr is a tool for converting Adobe PhotoShop ABR and Corel Paint Shop Pro JBR brush files to the GIMP GBR format. Package: abraca Version: 0.7.0-1 Architecture: armhf Maintainer: Fabrizio Regalli Installed-Size: 615 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libxmmsclient-glib1 (>= 0.6DrMattDestruction), libxmmsclient6 (>= 0.7DrNo) Recommends: xmms2 Homepage: http://abraca.github.com/Abraca/ Priority: optional Section: sound Filename: pool/main/a/abraca/abraca_0.7.0-1_armhf.deb Size: 200132 SHA256: bb570acf820a9b4f9ba75d84b1c0a3b719d6369f2dde7c8f1a2cc82764e4a28c SHA1: eeec0bb4c54e4d55c4cb23e6f5b90bd877a59201 MD5sum: 26622b7a57aca337d1a6a7e2575cf44b Description: Simple and powerful graphical client for XMMS2 Abraca is a client for the XMMS2 music player. It is designed with collections in mind, which makes managing your music a breeze. . It is written in Vala and uses GTK+. Package: abtransfers Version: 0.0.3.0-2 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 738 Depends: libaqbanking34 (>= 5.0.6), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgwengui-qt4-0, libgwenhywfar60 (>= 3.11.6), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0) Homepage: http://schmufu.dyndns.org/dokuwiki/ab_transfer:start Priority: extra Section: utils Filename: pool/main/a/abtransfers/abtransfers_0.0.3.0-2_armhf.deb Size: 343562 SHA256: b4a5c01ce3aaf91f60b457fb944525e71a7d7cb862f3bfaa1a9ee37eaea80746 SHA1: 855c8ef82e4157a349b8052aadf460c2f67efb49 MD5sum: 3c33ee2d4c78860dcd734ad162ae2c44 Description: simple online banking application for online money transfers AB-Transfers is an application for online money transfers of any kind. In contrast to KMyMoney or Gnucash it is not intended to be used as a complete accounting application but is intended to be used as a companion to them to perform money transfers that they don't support. Package: abuse Source: abuse-sdl Version: 1:0.8-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 663 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), abuse-lib (>= 1:0.8-1) Suggests: abuse-sfx Conflicts: abuse-sdl (<< 0.6.1-2), abuse-sfx (<< 1:0.8-1) Replaces: abuse-sdl (<< 0.6.1-2) Homepage: http://abuse.zoy.org/ Priority: optional Section: games Filename: pool/main/a/abuse-sdl/abuse_0.8-2_armhf.deb Size: 335516 SHA256: d6850694c87cec85c95d96f92843cf5737cfef138074c5bacb5a7666e6b41ce0 SHA1: 34bdd365094a6d8f3e2b62860170ea1d8e4c9308 MD5sum: 6603bdd96e6e6f683869d72dc0c5116c Description: classic Abuse action game A classic 2D side-scrolling shoot-em-up action game from Crack dot Com. Your character is a cyborg escaping from an underground prison where several inmates have transformed into mutants after being infected with a substance called Abuse. You move through a building complex fighting enemies and defense systems you encounter on the way. Initially, you start off having a weak laser rifle with unlimited ammo, but you can find more powerful guns later on, such as grenade launchers, flamethrowers or lightsabers. There are also power-ups that augment your capabilities, allow you to increase your health limit, move faster, turn invisible and even fly. If you're one to get easily addicted, stay away! Package: abuse-lib Source: abuse-sdl Version: 1:0.8-2 Installed-Size: 19936 Maintainer: Debian Games Team Architecture: all Replaces: abuse-frabs Enhances: abuse (>= 1:0.8-1) Conflicts: abuse-frabs Size: 3636386 SHA256: 1e2239b5c0cb8b6b533d4e9022722628396aef67eeb8082e3c94628e5e9dc65f SHA1: 43552c3b48fcd89b7b289d633904c85f736d86ee MD5sum: ca450a7d812bb12f3d5fb903b5fa2ea3 Description: levels and graphics for Abuse Abuse is a classic 2D side-scrolling shoot-em-up action game from Crack dot Com. . This package contains the architecture-independent data for Abuse. For more information, see the abuse package. . The Abuse sound effects are not included, due to copyright problems. Homepage: http://abuse.zoy.org/ Tag: game::arcade, role::app-data, use::gameplaying Section: games Priority: extra Filename: pool/main/a/abuse-sdl/abuse-lib_0.8-2_all.deb Package: accerciser Version: 3.4.1-1 Installed-Size: 6135 Maintainer: Debian Accessibility Team Architecture: all Depends: dconf-gsettings-backend | gsettings-backend, python, python-support (>= 0.90.0), ipython (>= 0.12), gir1.2-atk-1.0, gir1.2-gdkpixbuf-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, gir1.2-wnck-3.0, python-cairo, python-pyatspi2 (>= 2.1.5) Size: 3312070 SHA256: 9719667114321c8a87ec962f11fed839647170572fa33159ee23602388412b59 SHA1: ab52c8053770b198d88c4a018a3fd7a5bfce65ff MD5sum: 4fdc88163cab315f98a13c3342f8ac13 Description: interactive Python accessibility explorer for the GNOME desktop It uses AT-SPI to inspect and control widgets, allowing you to check if an application is providing correct information to assistive technologies and automated test frameworks. Accerciser has a simple plugin framework which you can use to create custom views of accessibility information. Homepage: https://live.gnome.org/Accerciser Tag: implemented-in::python, role::program Section: gnome Priority: extra Filename: pool/main/a/accerciser/accerciser_3.4.1-1_all.deb Package: accessodf Version: 0.1-2 Installed-Size: 377 Maintainer: Sebastian Humenda Architecture: all Depends: libcommons-collections3-java, libreoffice-java-common, libreoffice-writer, default-jre Size: 239470 SHA256: 79c08322e5f1b38ae06cb9727686e4ab1f0590a86115023a2ac5b2e24c15c69b SHA1: d45f708a692daf01f84d38e27e07b829d7e1e824 MD5sum: e36748f72ae783d3db1b459e7f233d77 Description: Libreoffice extension to check accessibility of ODF documents AccessODF is an extension that can be used in OpenOffice.org Writer and in LibreOffice Writer. It enables authors to find and repair accessibility issues in their documents, i.e. issues that make their documents difficult or even impossible to read for people with disabilities. This includes issues such as: * insufficient colour contrast between text and background, * missing text alternatives for images and other objects (such text alternatives are necessary for blind users and other users of text-to-speech software), * missing language identification for the document and for language changes inside the document (language information is needed for conversion into Braille and synthetic speech), * the use of proper Heading styles instead of big bold text to identify headings (this enables document navigation based on headings), * the use of proper tables instead of visually mimicking tables by using tabs or spaces, * the compatibility of images with DAISY, the de-facto standard for digital talking books. For each accessibility issue, AccessODF provides a description and repair suggestions. Homepage: http://sourceforge.net/p/accessodf Section: java Priority: extra Filename: pool/main/a/accessodf/accessodf_0.1-2_all.deb Package: accountsservice Version: 0.6.21-8 Architecture: armhf Maintainer: Alessio Treglia Installed-Size: 648 Depends: dbus, libaccountsservice0 (= 0.6.21-8), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libpolkit-gobject-1-0 (>= 0.99) Suggests: gnome-control-center Homepage: http://cgit.freedesktop.org/accountsservice/ Priority: optional Section: admin Filename: pool/main/a/accountsservice/accountsservice_0.6.21-8_armhf.deb Size: 67088 SHA256: 1c6a5ec90878e9a9234dd69f638425ca60806dfebd5b3572d07574cdb4fcb5a0 SHA1: bbabd92eccc4e27252bd8a6e8376450d65904597 MD5sum: 775f2d0acf4043fa00a22207d9ca07fe Description: query and manipulate user account information The AccountService project provides a set of D-Bus interfaces for querying and manipulating user account information and an implementation of these interfaces, based on the useradd, usermod and userdel commands. Package: acct Version: 6.5.5-1 Architecture: armhf Maintainer: Mathieu Trudel-Lapierre Installed-Size: 311 Depends: dpkg (>= 1.15.4) | install-info, libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://www.gnu.org/software/acct/ Priority: optional Section: admin Filename: pool/main/a/acct/acct_6.5.5-1_armhf.deb Size: 117860 SHA256: cc2214cd2b56fdd8243733bf63670721b91bf11cb4af2793ab9e878ada856825 SHA1: f58155e490b57fdf07d1b0bc847e7d67e93275c2 MD5sum: dd978ee9ee2ce39d1dbb804f6fcb6675 Description: The GNU Accounting utilities for process and login accounting GNU Accounting Utilities is a set of utilities which reports and summarizes data about user connect times and process execution statistics. . "Login accounting" provides summaries of system resource usage based on connect time, and "process accounting" provides summaries based on the commands executed on the system. . The 'last' command is provided by the sysvinit package and not included here. Package: ace-gperf Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 195 Depends: libace-6.0.3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), dpkg (>= 1.15.4) | install-info Breaks: gperf-ace (<< 5.7.7-1) Replaces: gperf-ace (<< 5.7.7-1) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: devel Filename: pool/main/a/ace/ace-gperf_6.0.3+dfsg-0.1_armhf.deb Size: 105166 SHA256: 7115f355f473b8a8674fd53a112eb19e2a76b9c2e3b16aae9b6835549918d97f SHA1: fd0f678ed1fc7587453d87c842096f4de64230ac MD5sum: bbac6da56435cc2cc7be21010c6ed281 Description: ACE perfect hash function generator ace_gperf is the ACE version of gperf. . Both ace_gperf and gperf were written by the same author, and have basically the same options and functionality. ace_gperf simply takes advantage of some of the features provided by the ACE library. Package: ace-netsvcs Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 137 Depends: libace-6.0.3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libnetsvcs-6.0.3, libstdc++6 (>= 4.3.0) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: devel Filename: pool/main/a/ace/ace-netsvcs_6.0.3+dfsg-0.1_armhf.deb Size: 47112 SHA256: 73237e87b33be4249b5aedb214a2656017289095aa4c3db7962198abec809580 SHA1: f673854b4bb9999956f9b41b772d1a9560aa2cb4 MD5sum: 86a1a1338d7c8dd159e5e572a8fce65d Description: ACE network service implementations ACE network services provide reusable components for common distributed system tasks such as logging, naming, locking, and time synchronization. . This package contains driver programs and example configuration files to link the various ACE network services together, either statically or dynamically, and form complete server programs. Package: ace-of-penguins Version: 1.3-8 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 564 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4), libx11-6 Homepage: http://freshmeat.net/projects/theaceofpenguins Priority: optional Section: games Filename: pool/main/a/ace-of-penguins/ace-of-penguins_1.3-8_armhf.deb Size: 264390 SHA256: cf18a9af689372a38a4d2a458a25dfe579a3dde98285c96e80b25d59ddd7419e SHA1: fb6c3a7ed6aee6aa81b50b2a5737ae24b01d1e93 MD5sum: 4bcc86790a883eafe64f895a268a87bf Description: penguin-themed solitaire games The Ace of Penguins is a set of solitaire games inspired by the ones available for MS Windows, but with a number of enhancements. . The package consists of the games Canfield, Freecell, Golf, Mastermind, Merlin, Minesweeper, Pegged, Solitaire, Spider, Taipei (with a level editor), and Thornq. Package: acedb-other Source: acedb Version: 4.9.39+dfsg.01-5 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 71 Depends: libc6 (>= 2.13-28) Provides: efetch Homepage: http://www.acedb.org/ Priority: extra Section: science Filename: pool/main/a/acedb/acedb-other_4.9.39+dfsg.01-5_armhf.deb Size: 16818 SHA256: 26fb4c001b9311206f706f9a6b3430295024e6686409be0559ea2eddbe30dc2a SHA1: baa92a5a5c2897b8262dbd9dac70f2da0fcae06d MD5sum: 052111288a622ca6be83fe96b490b0eb Description: retrieval of DNA or protein sequences This package collects all those smallish applications that acedb collects under its 'other' target of its Makefile. . efetch: presumably short for 'entry fetch' collects sequence information from common DNA and protein databases. Package: acedb-other-belvu Source: acedb Version: 4.9.39+dfsg.01-5 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 567 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libreadline6 (>= 6.0), libx11-6 Provides: belvu Homepage: http://www.acedb.org/ Priority: extra Section: science Filename: pool/main/a/acedb/acedb-other-belvu_4.9.39+dfsg.01-5_armhf.deb Size: 190782 SHA256: 768c66dafc7bc31eb0b9af101da6695279275936ee6f6388c341dfa577aa59f7 SHA1: 44bdb743e1074950057d940082223199c23a6e42 MD5sum: bb6548e45a9ff1deb595379cda55a70e Description: multiple sequence alignment editor For the analysis of biological sequences, a general principle is to corresponding regions between related proteins, RNA or DNA. Written next to each other, corresponding positions above each other, one has prepared an alignment. . Belvu is best known for its perfect implementation of the Stockholm format of multiple sequence alignments, since upstream is maintaining that. That is for instance used in the Pfam and Rfam databases. Package: acedb-other-dotter Source: acedb Version: 4.9.39+dfsg.01-5 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 667 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libreadline6 (>= 6.0), libx11-6 Provides: dotter Homepage: http://www.acedb.org/ Priority: extra Section: science Filename: pool/main/a/acedb/acedb-other-dotter_4.9.39+dfsg.01-5_armhf.deb Size: 213170 SHA256: 666d89eca592b38c8e74b1d7409e59848e6491c785edbefd7798b60ccc54dd64 SHA1: 4941fb51f6c1d2aad89ff1bd58b1c729b20c3a2e MD5sum: c01fee46486e79a9c8c4eec782d863ae Description: visualisation of sequence similarity For the analysis of biological sequences, a general principle is to corresponding regions between related proteins, RNA or DNA. . Dotter displays graphically the similarity of DNA or protein sequence to itself or another sequence. Package: aces3 Version: 3.0.6-7 Architecture: armhf Maintainer: Debichem Team Installed-Size: 215041 Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), liblapack3 | liblapack.so.3 | libatlas3-base, libopenmpi1.3 Homepage: http://www.qtp.ufl.edu/ACES Priority: optional Section: science Filename: pool/main/a/aces3/aces3_3.0.6-7_armhf.deb Size: 12690568 SHA256: d38e3487ed3ddc0cd76883d399153215baed0d27b167927b60a93282175b98f8 SHA1: d34e8830ed573e40c74f0323dc2777aa4e554159 MD5sum: fbd6c4e4bc004af540ff484f5f727b7c Description: Advanced Concepts in Electronic Structure III ACESIII is an electronic structure calculation program with a focus on correlated methods. It is the parallel successor to ACESII, employing the Super Instruction Assembly Language (SIAL) as parallelization framework. Features include: . Energies, analytic gradients and analytic hessians for the following methods: * Restricted/unrestricted spin or restricted open-shell Hartree-Fock (HF) * Second-order Moeller-Plesset pertubation theory (MP2) . Energies and analytic gradients for the following methods: * Coupled cluster singles and doubles (CCSD) . Additionally, it can compute energies for the following methods: * Coupled cluster singles and doubles with pertubative triples (CCSD(T)) * Quadratic configuration-interaction singles and doubles (QCISD) . Excited states can be calculated by the following methods: * Qadratic configuration interaction singles and doubles * Coupled cluster equation-of-motion (EOM-CC) . It also includes an internal coordinate geometry optimizer. If analytic gradients are not available, numerical gradients via finite differences are used. Package: acetoneiso Version: 2.3-2 Architecture: armhf Maintainer: Nick Andrik Installed-Size: 1551 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0), phonon, fuseiso, fuse-utils, genisoimage, cdrdao, p7zip-full, gnupg-agent, gnupg2, pinentry-qt4 Suggests: mencoder, nautilus | konqueror | thunar | dolphin Homepage: http://www.acetoneteam.org/ Priority: optional Section: otherosfs Filename: pool/main/a/acetoneiso/acetoneiso_2.3-2_armhf.deb Size: 1084056 SHA256: b493e0805570e7a7f2193922b2978bc6f9ac1d8f3a2407528f4ecf21bc894e52 SHA1: d3096db01270e20652a35775f945afc741dc98cd MD5sum: fa93614d22419bdb13b24cbc22d90ba9 Description: feature-rich application to mount and manage CD and DVD images AcetoneISO makes it possible to easily use various kinds of CD and DVD images on your computer as if they were burned to real CDs. You can use the application to mount and manage CD and DVD images. Supported disc-image formats are ISO, BIN, NRG, MDF and IMG. Package: acfax Version: 981011-14.1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 105 Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxt6 Priority: extra Section: hamradio Filename: pool/main/a/acfax/acfax_981011-14.1_armhf.deb Size: 40708 SHA256: 3537180782ecfd9bcf6a5f282992eaba84fd93e04a7135d54c32b2a3bfeb09d6 SHA1: 5cac4ab16e3ff5eb5ccf68a56c4c890939dbbfa9 MD5sum: 3642769353839aa18293fd70581bbd8e Description: Receive faxes using your radio and sound card acfax allows you to receive faxes using your sound card. Typically you might use it to decode faxes sent over HF radio or from satellites. Package: acgvision-agent Version: 5.1.4-6 Installed-Size: 392 Maintainer: Rémi Debay Architecture: all Depends: default-jre | java5-runtime | java6-runtime, ksh, liblog4j1.2-java, libcommons-cli-java (>> 1.1), libcommons-configuration-java (>> 1.6), libcommons-logging-java (>> 1.1.1), libcommons-collections3-java (>> 3.2.1) Suggests: nagios-plugins Size: 195040 SHA256: 1e29ba0e79028334c48679e219bf2d6fd22eb974f4c0e52d5f1b168afb8c1645 SHA1: 06745ed57701b468b81d2114bc7680f94833beac MD5sum: 817948783d96a42f5fafaa4e041cee86 Description: Monitoring client for ACGVision. ACGVision is a client/server monitoring system. It is made of 4 parts: - acgvision-core is the server. It serves jobs to agents, computes information to display on the console and sends email about events. - acgvision-agent is the client. It monitors the system activity. - acgvision-console is a Joomla plugin displaying reports about activity and allows selecting monitoring jobs for each agent. - acgvision-parameter is the GUI for creating jobs and templates (services) for acgvision. . Acgvision-agent is the client used by ACGVision to monitor the system activity. It can monitor CPU activity, memory, filesystem space, and processes. It is controlled by the acgvision server (like what to monitor, which commands to execute and when to execute them). . It checks the result and compares it to event values. If the value matches some criterias it sends an event to the core which will email it to the host owner and its followers. The event will also be displayed on the web console. . The client exchanges SOAP messages with the server via HTTP or HTTPS. It reports events and executes scripts on failure. It is compatible with many nagios scripts. Homepage: https://launchpad.net/acgvision-agent Tag: admin::monitoring, role::program, use::monitor Section: net Priority: optional Filename: pool/main/a/acgvision-agent/acgvision-agent_5.1.4-6_all.deb Package: acheck Version: 0.5.1 Installed-Size: 192 Maintainer: Nicolas Bertolissio Architecture: all Depends: perl, acheck-rules, libterm-size-perl, libconfig-general-perl Recommends: libtext-aspell-perl, liblocale-gettext-perl Suggests: libterm-readline-gnu-perl | libterm-readline-perl-perl Size: 35790 SHA256: 14e9830f9b923583f47ef5f0c7c5f3be069eb9f351313afca1fb8a1b8a80e4fd SHA1: 40d7ca3f2e49c227a803ebfce60dc0a62d744237 MD5sum: 7b87b1fc9aeba6a1228a38be0751bf41 Description: Check common localisation mistakes acheck, any text file checker, is a tool designed to help both translators and reviewers checking and fixing common localisation mistakes according to file format. Rules can be defined to add new checks. . If you install the Aspell Perl module as recommended, Aspell can be invoked to check word spelling. Tag: devel::i18n, implemented-in::perl, interface::commandline, role::program, scope::utility, use::checking Section: text Priority: optional Filename: pool/main/a/acheck/acheck_0.5.1_all.deb Package: acheck-rules Version: 0.3.1 Installed-Size: 104 Maintainer: Nicolas Bertolissio Architecture: all Depends: acheck Size: 13618 SHA256: eb4be279fbf8f0bd7512790758f8b260c8c86ede7a213ccac36bdd635c22bdcd SHA1: 523fcb2a40c6955e58b144a97dbf35c0c6f018f3 MD5sum: d9293a194cb4670e070812be33d61ba0 Description: Basic rules for acheck This package provides basic rules to be checked by the acheck script. Tag: devel::i18n, interface::commandline, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/a/acheck-rules/acheck-rules_0.3.1_all.deb Package: acheck-rules-fr Version: 0.6 Installed-Size: 80 Maintainer: Nicolas Bertolissio Architecture: all Provides: acheck-rules Depends: acheck, libterm-readline-gnu-perl Size: 7314 SHA256: 257b4c9ccefbae5e7330951a612d81e40c5f03b5df917afe7876eed5d03d0608 SHA1: f341c6f3442d9b97fec7671a52a38a46e329e584 MD5sum: b37bc0f71a111a3fe9e89ac6845fbd0a Description: French rules for acheck This package provides French rules to be checked by the acheck script. . See acheck-rules packages for manpage. Tag: culture::french, devel::i18n, interface::commandline, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/a/acheck-rules-fr/acheck-rules-fr_0.6_all.deb Package: achilles Version: 2-8 Architecture: armhf Maintainer: Manfred Lichtenstern Installed-Size: 109 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), libx11-6, zlib1g (>= 1:1.1.4) Priority: optional Section: science Filename: pool/main/a/achilles/achilles_2-8_armhf.deb Size: 34932 SHA256: a7cccd7f94dd5412b4aec338a05fa245c32b1bb2a64492a56562aec15fbfc6e3 SHA1: 7c34bf93809a91d1261c52be2d487ea341b68c7f MD5sum: f8391f5407a4503d4177451db74726fd Description: An artificial life and evolution simulator Achilles is an artificial life and evolution simulator that uses Hebbian neural networks and OpenGL/SDL to simulate life in a simplified environment. It is based on Larry Yaeger's PolyWorld. Package: ack Version: 1.39-12 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 69 Depends: libc6 (>= 2.4) Priority: extra Section: text Filename: pool/main/a/ack/ack_1.39-12_armhf.deb Size: 17888 SHA256: 6c348e3e53f5fe8f31fa0932df5f633324fa656804f6e8e9cc25c4b147df6156 SHA1: a075299875e9d6da4248266c8e6961a620c5f110 MD5sum: 7eb67a45e047970b976ca4cc13f9392a Description: Kanji code converter ACK is a highly versatile Kanji code checker/converter. ACK can do reciprocal conversion among Japanese EUC, Shift-JIS and 7bit JIS. JIS Kata-kana(SJIS Han-kaku Kana) is also supported. Kanji code can be automatically detected even if the input stream contains Kata-kana characters. Besides, ACK can be used as a Kanji code checker with very high detection rate. Package: ack-grep Version: 1.96-2 Installed-Size: 174 Maintainer: Debian Perl Group Architecture: all Depends: libfile-next-perl, perl Suggests: bash-completion Size: 57754 SHA256: ff37eeb6656dd9ffdc9cadc563c5a3f85ae0f3db8f01fe0c1cc961cc6760bd51 SHA1: f8b700648c02a1ddd978b0260f600944f50be0e6 MD5sum: 900d460df259d06df0e243540c88b8d3 Description: grep-like program specifically for large source trees Ack is designed as a replacement for 99% of the uses of grep. ack is intelligent about the files it searches. It knows about certain file types, based on both the extension on the file and, in some cases, the contents of the file. . Ack ignores backup files and files under CVS and .svn directories. It also highlights matches to help you see where the match was. Ack uses perl regular expressions. Homepage: http://search.cpan.org/dist/ack/ Tag: implemented-in::perl, interface::commandline, role::program, scope::utility Section: utils Priority: optional Filename: pool/main/a/ack-grep/ack-grep_1.96-2_all.deb Package: acl Version: 2.2.51-8 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 239 Depends: libacl1 (= 2.2.51-8), libattr1 (>= 1:2.4.46-8), libc6 (>= 2.13-28) Multi-Arch: foreign Homepage: http://savannah.nongnu.org/projects/acl/ Priority: optional Section: utils Filename: pool/main/a/acl/acl_2.2.51-8_armhf.deb Size: 68622 SHA256: 0d1dc310fc6cc14ae1967533def0ce2d1e52d240b05e5e3b24de64dc5d287620 SHA1: 6f5ee7688ea9ff78090e7b91e0142f2ce387cbad MD5sum: 169e45b37837d6b19d42df19db594016 Description: Access control list utilities This package contains the getfacl and setfacl utilities needed for manipulating access control lists. Package: acl2 Version: 4.3-3 Architecture: armhf Maintainer: Camm Maguire Installed-Size: 81085 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libreadline6 (>= 6.0), libx11-6 Recommends: acl2-source, acl2-books Suggests: acl2-emacs Priority: optional Section: math Filename: pool/main/a/acl2/acl2_4.3-3_armhf.deb Size: 24519584 SHA256: 2e1a6aa08e552756a12b0ac2f01c54eb8a8c91ff74fa0c59bacd6739e8b4693d SHA1: d82fbbde5ca19eb22b8476f0919c7ab6c3838a4e MD5sum: 2e214743ec2835b794b542ef3aad99d1 Description: Computational Logic for Applicative Common Lisp: main binary ACL2 is both a programming language in which you can model computer systems and a tool to help you prove properties of those models. . This package contains the base ACL2 binary. Package: acl2-books Source: acl2 Version: 4.3-3 Architecture: armhf Maintainer: Camm Maguire Installed-Size: 108071 Depends: acl2 (= 4.3-3), acl2-books-certs (= 4.3-3), acl2-books-source (= 4.3-3) Conflicts: acl2 (<= 2.7-9) Replaces: acl2 (<= 2.7-9) Priority: optional Section: math Filename: pool/main/a/acl2/acl2-books_4.3-3_armhf.deb Size: 36839860 SHA256: 65a34892b451689360fefe7057f12bf3879db640abd67c7d8e817bbb3255422b SHA1: 5c0932a2c3b8fc0e78ca0b93fdfb6e2aea26aa59 MD5sum: 50fdda907b1db180245bca0f065003ce Description: Computational Logic for Applicative Common Lisp: compiled libraries ACL2 is both a programming language in which you can model computer systems and a tool to help you prove properties of those models. . This package contains numerous precompiled and precertified libraries for use in proving theorems with ACL2. Serious users will no doubt want to install this package. Package: acl2-books-certs Source: acl2 Version: 4.3-3 Installed-Size: 32163 Maintainer: Camm Maguire Architecture: all Replaces: acl2 (<= 2.7-9) Depends: acl2 (>= 4.3-3), dpkg (>= 1.14.18), tex-common (>= 3) Conflicts: acl2 (<= 2.7-9) Size: 4426578 SHA256: 415d24bb9ad78f1cea3c852da8ed9f8cf6c765289e3ea122cae67ff6921b3203 SHA1: 3568dcea2580b783596618a801ea77f957d6aa6f MD5sum: e06197131b80eb9e47b24ea391b8c6f1 Description: Computational Logic for Applicative Common Lisp: library certificates ACL2 is both a programming language in which you can model computer systems and a tool to help you prove properties of those models. . This file contains certification records for the various precompiled libraries supplied in the ACL2-books package. ACL2 essentially requires that all included books be certified before use. Tag: devel::interpreter, devel::lang:lisp, field::mathematics, interface::text-mode, role::app-data, uitoolkit::ncurses, works-with::software:source Section: math Priority: optional Filename: pool/main/a/acl2/acl2-books-certs_4.3-3_all.deb Package: acl2-books-source Source: acl2 Version: 4.3-3 Installed-Size: 37570 Maintainer: Camm Maguire Architecture: all Replaces: acl2 (<= 2.7-9) Depends: acl2 (>= 4.3-3), dpkg (>= 1.14.18), tex-common (>= 3) Conflicts: acl2 (<= 2.7-9) Size: 6462160 SHA256: 97c8eab1f18a5521203b25b7ea3d758eb749f372a568e5b152045b3a949f01c2 SHA1: 91b8a3aae298d4a23c735dd5e439e06d953dc5d2 MD5sum: ba948f82602a6c1f05d14d8da6e10a0c Description: Computational Logic for Applicative Common Lisp: library sources ACL2 is both a programming language in which you can model computer systems and a tool to help you prove properties of those models. . This package contains source lisp files to the compiled libraries supplied in the ACL2-books package. Tag: devel::interpreter, devel::lang:lisp, implemented-in::lisp, interface::text-mode, role::source, uitoolkit::ncurses Section: math Priority: optional Filename: pool/main/a/acl2/acl2-books-source_4.3-3_all.deb Package: acl2-doc Source: acl2 Version: 4.3-3 Installed-Size: 821 Maintainer: Camm Maguire Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 3), dpkg (>= 1.15.4) | install-info Size: 362274 SHA256: 1c275c4b58e75c57df7be9ed9a3e43ef1343c682eaa392f4e8fc47ab0350495b SHA1: 08419403a6a4c635316413a182700173308f2708 MD5sum: 8604f845e8c52c8b02ce6f2daecfce1b Description: Computational Logic for Applicative Common Lisp: documentation ACL2 is both a programming language in which you can model computer systems and a tool to help you prove properties of those models. . This package contains the documentation for ACL2. Tag: devel::doc, devel::interpreter, devel::lang:lisp, field::mathematics, made-of::html, role::documentation, works-with::software:source Section: doc Priority: optional Filename: pool/main/a/acl2/acl2-doc_4.3-3_all.deb Package: acl2-emacs Source: acl2 Version: 4.3-3 Installed-Size: 257 Maintainer: Camm Maguire Architecture: all Replaces: acl2 (<= 2.7-9) Depends: acl2 (>= 4.3-3), emacs23 | emacsen, dpkg (>= 1.14.18), tex-common (>= 3) Conflicts: acl2 (<= 2.7-9) Size: 60860 SHA256: 0195e3ee66ec5fd52f6362305162c485a46ffdabcd607f41aa91fb0a7fbb41ab SHA1: 2c1b31e96c073af7aceb2f68f0582291f6686a49 MD5sum: 0410ac5705f626479a52f1d230bd07a3 Description: Computational Logic for Applicative Common Lisp: emacs interface ACL2 is both a programming language in which you can model computer systems and a tool to help you prove properties of those models. . This package contains an emacs interface to ACL2. Tag: devel::interpreter, devel::lang:lisp, field::mathematics, implemented-in::lisp, interface::commandline, role::plugin, suite::emacs, use::editing, works-with::software:source Section: math Priority: optional Filename: pool/main/a/acl2/acl2-emacs_4.3-3_all.deb Package: acl2-infix Source: acl2 Version: 4.3-3 Architecture: armhf Maintainer: Camm Maguire Installed-Size: 1053 Depends: acl2 (= 4.3-3), texlive-latex-recommended Recommends: acl2-infix-source (= 4.3-3) Conflicts: acl2 (<= 2.7-9) Replaces: acl2 (<= 2.7-9) Priority: optional Section: math Filename: pool/main/a/acl2/acl2-infix_4.3-3_armhf.deb Size: 365754 SHA256: 938e65fcce5ea5118164697011a895c702d3eced7f5ca4b2361c1873f19b34f3 SHA1: f056a0ae9f35755422b3ab99052102119b9da89a MD5sum: 246c6bc3b3abc964a5d0a3afb32ec9e2 Description: Computational Logic for Applicative Common Lisp: infix interface ACL2 is both a programming language in which you can model computer systems and a tool to help you prove properties of those models. . This package contains utilities and libraries to access ACL2 via an infix notation similar to that used in many non-lisp programming languages. Package: acl2-infix-source Source: acl2 Version: 4.3-3 Installed-Size: 361 Maintainer: Camm Maguire Architecture: all Replaces: acl2 (<= 2.7-9) Depends: dpkg (>= 1.14.18), tex-common (>= 3) Conflicts: acl2 (<= 2.7-9) Size: 91494 SHA256: 4030b2e68c52889693dde13ed4407df1aea76926fd3efbf15d77a28681532383 SHA1: 7c8edbd1fcaf0e58c4ae0c8ae121c8d09e2a80d6 MD5sum: 90232a851ac5c91862ea709c4094844c Description: Computational Logic for Applicative Common Lisp: infix source ACL2 is both a programming language in which you can model computer systems and a tool to help you prove properties of those models. . This package contains the source files to the infix interface to ACL2. Tag: devel::interpreter, devel::lang:lisp, devel::library, field::mathematics, implemented-in::lisp, role::source Section: math Priority: optional Filename: pool/main/a/acl2/acl2-infix-source_4.3-3_all.deb Package: acl2-source Source: acl2 Version: 4.3-3 Installed-Size: 12424 Maintainer: Camm Maguire Architecture: all Replaces: acl2 (<= 2.7-9) Depends: dpkg (>= 1.14.18), tex-common (>= 3) Conflicts: acl2 (<= 2.7-9) Size: 3289530 SHA256: 4d2a0dc2c2cd47b57b5dec398c7bd8b4ac5e6b1a766ee98f6d8b854f2a03ff88 SHA1: d3195c24e137d0445b55d0444884ae41e4785084 MD5sum: 9439182b795379ee5899ac5b850bc84c Description: Computational Logic for Applicative Common Lisp: source files ACL2 is both a programming language in which you can model computer systems and a tool to help you prove properties of those models. . This package contains the lisp source files to the main ACL2 binary. Tag: devel::interpreter, devel::lang:lisp, field::mathematics, implemented-in::lisp, interface::text-mode, role::source, uitoolkit::ncurses Section: math Priority: optional Filename: pool/main/a/acl2/acl2-source_4.3-3_all.deb Package: aclock.app Version: 0.2.3-4.3 Architecture: armhf Maintainer: Gürkan Sengün Installed-Size: 159 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1) Conflicts: aclock Replaces: aclock Priority: optional Section: x11 Filename: pool/main/a/aclock.app/aclock.app_0.2.3-4.3_armhf.deb Size: 29046 SHA256: 6ef9cb4a37f13ffdd9a93307670e1e8e61521fd3e63bf13c3bc640d5d63b0531 SHA1: 5f5222569c6f419081f858909c0a6e8300035f72 MD5sum: 15a06c3e399662d4ce98711069e91e7e Description: Analog dockapp clock for GNUstep This little application displays the time of the day (analog). . Homepage: http://www.linuks.mine.nu/aclock/ Package: acm Version: 5.0-28 Architecture: armhf Maintainer: Phil Brooke Installed-Size: 1216 Depends: libaudio2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libx11-6, libxext6 Priority: optional Section: games Filename: pool/main/a/acm/acm_5.0-28_armhf.deb Size: 759510 SHA256: fad5cac60e5823328ca6516627df97978506b1cc99812fd1b8ed71f4f3071d0c SHA1: 791ac2de5f44b349ea86d98207a98b8502a724ab MD5sum: 124dcd7940e72bd81a9b3bddb6afcffc Description: Multi-player classic aerial combat simulation A multiplayer aerial combat simulation. Players engage in air to air combat against one another using heat seeking missiles and cannons. . Main features include: * Simulation with 6 degrees of freedom. * Structural limit to the vertical positive/negative load. * Classic instruments, navigation and head-up display (HUD). * Several aircraft models implemented, both civil and military. * Two scenes provided, with many runways and radio stations. . acm runs under the X window system. This version of acm is implemented as a distributed simulation. It communicates information via the IEEE Distributed Interactive Simulation protocol. Package: aconnectgui Version: 0.9.0rc2-1-9 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 93 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.6-6), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Priority: optional Section: sound Filename: pool/main/a/aconnectgui/aconnectgui_0.9.0rc2-1-9_armhf.deb Size: 24178 SHA256: 64721a82e0bf5aba4889f4b6e1a0c7d32bfc6ccb00e7e1cea3a69ca1c2de5889 SHA1: e2e945b5f73d751c66217918be6dfaa583a38fe8 MD5sum: 4b8ffaeb623e1ba55124f0bea6a4490f Description: graphical ALSA sequencer connection manager aconnectgui is a graphical utility to connect and disconnect two existing ports on ALSA sequencer system. The ports with the arbitrary subscription permission, such as created by aseqview, can be connected to any (MIDI) device ports. . aconnectgui is a frontend for aconnect, written directly on top of the aconnect source, leaving the original source intact, only adding a couple of ifdefs, and some calls to the gui part. It provides exactly the same functionality, but with a graphical user interface. . Homepage: http://www.iua.upf.es/~mdeboer/projects/aconnectgui/ Package: acorn-fdisk Version: 3.0.6-8 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 99 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.arm.linux.org.uk/machines/riscpc/stage-2/ Priority: optional Section: admin Filename: pool/main/a/acorn-fdisk/acorn-fdisk_3.0.6-8_armhf.deb Size: 34140 SHA256: 20e38430dc6eda9025b5d78a1cc18e04e0d260e3368ddba75f116799a3139333 SHA1: 4e5378f252b67a7a5618cd58366f79f966d5ed63 MD5sum: 09d17c8bd3f6b1c5453403f45cce52e9 Description: partition editor for Acorn/RISC OS machines Acorn-fdisk allows you to edit disk partitions on Acorn machines. It understands a variety of the partition tables formats used under RISC OS, including Filecore, ICS-IDE, EESOX and Powertec. Package: acoustid-fingerprinter Version: 0.4-2 Architecture: armhf Maintainer: Clint Adams Installed-Size: 216 Depends: libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libchromaprint0 (>= 0.2), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6), libtag1c2a (>= 1.6-2~) Homepage: http://wiki.acoustid.org/wiki/Fingerprinter Priority: optional Section: sound Filename: pool/main/a/acoustid-fingerprinter/acoustid-fingerprinter_0.4-2_armhf.deb Size: 79584 SHA256: 4d54bc70ca6773618fb950dbad574668e462bfa8149b82fa961e5d65fd06b736 SHA1: 8083c17bcd0005e94b46f1b32f666b0464a59574 MD5sum: f9b420f6c7b74933b6294bc57b57896c Description: Acoustid fingerprinter GUI application for submission Chromaprint-generated audio fingerprints to the Acoustid service. Package: acpi-support Version: 0.140-5+deb7u3 Installed-Size: 204 Maintainer: Debian Acpi Team Architecture: all Depends: acpi-support-base (>= 0.109-1), x11-xserver-utils, acpid (>= 1.0.4), lsb-base (>= 1.3-9), pm-utils, acpi-fakekey Suggests: rfkill, xinput Breaks: uswsusp (<= 0.2) Size: 54372 SHA256: cdb386ce609fd37ec8af25fd1755cb27f9dfe89f1973b2de0fac87b3d617586b SHA1: 2043512101a4847a8c79801a9f05a20d6c49e825 MD5sum: 164f92a527c3d1cbd837bfc89a0a010d Description: scripts for handling many ACPI events This package contains scripts to react to various ACPI events. It only includes scripts for events that can be supported with some level of safety cross platform. . It is able to: * Detect loss and gain of AC power, lid closure, and the press of a number of specific buttons (on Asus, IBM, Lenovo, Panasonic, Sony and Toshiba laptops). * Suspend, hibernate and resume the computer, with workarounds for hardware that needs it. * On some laptops, set screen brightness. . Besides some system tools acpi-support recommends vbetool to be able to power off the screen and some screensavers to be able to lock the screen on lid close. Recommends: dbus, vbetool, xscreensaver | gnome-screensaver, radeontool Section: admin Priority: optional Filename: pool/main/a/acpi-support/acpi-support_0.140-5+deb7u3_all.deb Package: acpi-support-base Source: acpi-support Version: 0.140-5+deb7u3 Installed-Size: 66 Maintainer: Debian Acpi Team Architecture: all Replaces: acpi-support (<< 0.109-1) Depends: acpid (>= 1.0.4) Suggests: acpi-support Size: 22796 SHA256: 6e26cd7d4c893a18aadbe5b5c4c40b0b8cea1c665a75bb215ac0d616c1ef3bbb SHA1: e0261df3fa5f5ac2a8e88129a76908b1e1669645 MD5sum: f5a18acd19b5cb73acf91ff6a3a77ef0 Description: scripts for handling base ACPI events such as the power button This package contains scripts to react to various base ACPI events such as the power button. For more extensive ACPI support, including support for suspend-to-RAM and for various laptop features, install the package "acpi-support". Recommends: consolekit Section: admin Priority: optional Filename: pool/main/a/acpi-support/acpi-support-base_0.140-5+deb7u3_all.deb Package: acpid Version: 1:2.0.16-1+deb7u1 Architecture: armhf Maintainer: Debian Acpi Team Installed-Size: 173 Depends: libc6 (>= 2.13-28), lsb-base (>= 3.2-14), module-init-tools (>> 3.1-rel-2) Recommends: acpi-support-base (>= 0.114-1) Homepage: http://www.tedfelix.com/linux/acpid-netlink.html Priority: optional Section: admin Filename: pool/main/a/acpid/acpid_2.0.16-1+deb7u1_armhf.deb Size: 55206 SHA256: 012b3d687995cbe08b1c9d5efc12f917b2dd19fc1e3029b2ae30118ed834c39f SHA1: db9428aeb8f270f0407c7e2ca910358f5b5c4519 MD5sum: 58a1ed6d679acca9ef20563aea73ffcf Description: Advanced Configuration and Power Interface event daemon Modern computers support the Advanced Configuration and Power Interface (ACPI) to allow intelligent power management on your system and to query battery and configuration status. . ACPID is a completely flexible, totally extensible daemon for delivering ACPI events. It listens on netlink interface (or on the deprecated file /proc/acpi/event), and when an event occurs, executes programs to handle the event. The programs it executes are configured through a set of configuration files, which can be dropped into place by packages or by the admin. Package: acpitool Version: 0.5.1-3 Architecture: armhf Maintainer: Debian Acpi Team Installed-Size: 140 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://freeunix.dyndns.org:8088/site2/acpitool.shtml Priority: optional Section: utils Filename: pool/main/a/acpitool/acpitool_0.5.1-3_armhf.deb Size: 49266 SHA256: 967220fe96afaf5d57b3b81f24e1faf69b14117024e9f17ce20b47b47004b047 SHA1: cdcccca14c6d638632fd2722bc1b239bfd10580b MD5sum: 71e8c73b70963558afc2e2dae6058abc Description: command line ACPI client AcpiTool is a Linux ACPI client. It's a small command line application, intended to be a replacement for the apm tool. The primary target audience are laptop users, since these people are most interested in things like battery status, thermal status and the ability to suspend (sleep mode). The program simply accesses the /proc/acpi or /sysfs entries to get or set ACPI values. It also supports various extensions for Toshiba, Asus, and IBM Thinkpad laptops. Package: acpitool-dbg Source: acpitool Version: 0.5.1-3 Architecture: armhf Maintainer: Debian Acpi Team Installed-Size: 516 Depends: acpitool (= 0.5.1-3) Homepage: http://freeunix.dyndns.org:8088/site2/acpitool.shtml Priority: extra Section: debug Filename: pool/main/a/acpitool/acpitool-dbg_0.5.1-3_armhf.deb Size: 172788 SHA256: 1d0dbfc90727da6d43f6aff7bbaea0c6be2dabb8143a11a992588f593e382a0f SHA1: 7b02916d597bbdd1a3906fd0c10cd03e06f001bb MD5sum: c22c23b7d943af76666bc02bc021e0a0 Description: command line ACPI client (debug) AcpiTool is a Linux ACPI client. It's a small command line application, intended to be a replacement for the apm tool. The primary target audience are laptop users, since these people are most interested in things like battery status, thermal status and the ability to suspend (sleep mode). The program simply accesses the /proc/acpi or /sysfs entries to get or set ACPI values. It also supports various extensions for Toshiba, Asus, and IBM Thinkpad laptops. . This package contains the debugging symbols. Package: actionaz Version: 3.4.2-1 Architecture: armhf Maintainer: Adrien Cunin Installed-Size: 4557 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.16.0), libnotify4 (>= 0.7.0), libopencv-calib3d2.3, libopencv-contrib2.3, libopencv-core2.3, libopencv-features2d2.3, libopencv-flann2.3, libopencv-highgui2.3, libopencv-imgproc2.3, libopencv-legacy2.3, libopencv-ml2.3, libopencv-objdetect2.3, libopencv-video2.3, libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-scripttools (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.6.1), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtmultimediakit1, libqtsysteminfo1, libstdc++6 (>= 4.4.0), libx11-6, libxtst6 Recommends: libqtscript4-qtbindings, libqt4-sql-sqlite | libqt4-sql-mysql | libqt4-sql-psql | libqt4-sql-odbc Homepage: http://actionaz.org Priority: extra Section: utils Filename: pool/main/a/actionaz/actionaz_3.4.2-1_armhf.deb Size: 1849802 SHA256: 685604a91ce2203daeeaebcef735b4a21954aeeb6135a7aaea4345d28e60318d SHA1: fb8ae6255983171f54bf8f4b72ae9336440fd139 MD5sum: 2d88780aaa24e1f6788fdf4017d71a6f Description: emulate human activity through a powerful GUI and JavaScript Actionaz is an application that allows you to execute many actions on your computer such as emulating mouse clicks, key presses, showing message boxes, editing text files, etc. Tasks can be created using a simple editor or using the EcmaScript (JavaScript) programming language for more customization. Actionaz is cross-platform. Package: activemq Version: 5.6.0+dfsg-1+deb7u3 Installed-Size: 218 Maintainer: Debian Java Maintainers Architecture: all Depends: adduser (>= 3.11), libactivemq-java (= 5.6.0+dfsg-1+deb7u3), openjdk-6-jre-headless | java6-runtime-headless Size: 53604 SHA256: 35b5fccf4a7b77fba196f22e60ce2ea4439fbd9ad3650da0f10f394a9e1ce37a SHA1: cf80e36632a38b2fa9a89128447650d4804dd5f5 MD5sum: f522ec157491a5169073b052b3227308 Description: Java message broker - server Apache ActiveMQ is a message broker built around Java Message Service (JMS) API : allow sending messages between two or more clients in a loosely coupled, reliable, and asynchronous way. . This message broker supports : * JMS 1.1 and J2EE 1.4 with support for transient, persistent, transactional and XA messaging * Spring Framework, CXF and Axis integration * pluggable transport protocols such as in-VM, TCP, SSL, NIO, UDP, multicast, JGroups and JXTA * persistence using JDBC along with journaling * OpenWire (cross language wire protocol) and Stomp (Streaming Text Orientated Messaging Protocol) protocols . This package contains a server installation of ActiveMQ. Homepage: http://activemq.apache.org Section: java Priority: optional Filename: pool/main/a/activemq/activemq_5.6.0+dfsg-1+deb7u3_all.deb Package: activity-log-manager Version: 0.8.0-1 Installed-Size: 168 Maintainer: Siegfried-Angel Gevatter Pujals Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), zeitgeist-core (>= 0.7~) | zeitgeist (>= 0.7~), python-gtk2, python-cairo Size: 16738 SHA256: 9f2f1302376729a3b767dcb886fa3f5acd26a5049083cf41d456abeea2fbf32e SHA1: 89a350a2f6c353684a66c85a5576e8f3487fae31 MD5sum: ae9ece1ae3576bbf262053fe4cbfede3 Description: blacklist configuration user interface for Zeitgeist Zeitgeist is a service which logs the user's activities and events (files opened, websites visited, conversations held with other people, etc.) and makes the relevant information available to other applications. . It serves as a comprehensive activity log and also makes it possible to determine relationships between items based on usage patterns. . This package contains Activity Log Manager, a graphical user interface which lets you control what gets logged by Zeitgeist. It supports setting up blacklists according to several criteria (such as application or file types), temporarily stopping all logging as well as deleting recent events. Homepage: https://launchpad.net/history-manager Tag: role::program, use::configuring Section: utils Priority: optional Filename: pool/main/a/activity-log-manager/activity-log-manager_0.8.0-1_all.deb Package: activiz.net-doc Source: activiz.net Version: 1:1.0~git20111123-6 Installed-Size: 372034 Maintainer: Debian Med Packaging Team Architecture: all Depends: doc-base Suggests: vtk-doc Size: 51386002 SHA256: 58361b83b389c47fe6945b630fbd72f58a3f8f4826211c7fe8531943550640ce SHA1: 0db598295604978bdd1d852121859bdd7da1508d MD5sum: 7ce15c555d75cbd44c4700f045b1b9b3 Description: ActiViz.NET documentation ActiViz provides a powerful interface to the Visualization Toolkit (VTK), an object-oriented software system encompassing thousands of algorithms that transform data into interactive 3D environments. . This package contains exhaustive HTML documentation for the all the documented ActiViz.NET C# classes. Homepage: http://www.kitware.com/products/avdownload.php Tag: devel::doc, devel::lang:c-sharp, role::documentation Section: doc Priority: optional Filename: pool/main/a/activiz.net/activiz.net-doc_1.0~git20111123-6_all.deb Package: activiz.net-examples Source: activiz.net Version: 1:1.0~git20111123-6 Installed-Size: 5522 Maintainer: Debian Med Packaging Team Architecture: all Suggests: vtk-examples Size: 5114176 SHA256: 03fa1bf789fcccd5923171f1da494a03127f3b163819601a6ee92748858856bc SHA1: 5ad6be57e4026c573d8e09eb080d5a35e2e1d729 MD5sum: f92a74c527a660fa0e0ca0c226450369 Description: ActiViz.NET examples ActiViz provides a powerful interface to the Visualization Toolkit (VTK), an object-oriented software system encompassing thousands of algorithms that transform data into interactive 3D environments. The Visualization Toolkit (VTK) is an object oriented, high level library that allows one to easily write C++ programs, Tcl, Python and Java scripts that do 3D visualization. . This package contains examples from the ActiViz.NET source. Homepage: http://www.kitware.com/products/avdownload.php Section: graphics Priority: optional Filename: pool/main/a/activiz.net/activiz.net-examples_1.0~git20111123-6_all.deb Package: ada-reference-manual-2005 Source: ada-reference-manual Version: 1:2012.1-2 Installed-Size: 33327 Maintainer: Ludovic Brenta Architecture: all Replaces: ada-reference-manual-html, ada-reference-manual-info, ada-reference-manual-pdf, ada-reference-manual-text Depends: dpkg (>= 1.15.4) | install-info Suggests: gnat Conflicts: gnat-doc (<< 3.15p) Breaks: ada-reference-manual-html, ada-reference-manual-info, ada-reference-manual-pdf, ada-reference-manual-text Size: 15699090 SHA256: 025016f5ca93c8e583038292a95419ecb1a5d3e86a20228e181a08ebdba12279 SHA1: 1dbeea64e0bc8df03cfcf010639d4e09d57f1834 MD5sum: 115513d769e7f4ed8e5f333d32aae346 Description: Ada 2005 language standard The Ada Reference Manual is the ISO standard describing the programming language Ada. . This package provides the plain and annotated versions of the 2005 ARM in HTML, PDF, info, and plain text formats. Homepage: http://www.stephe-leake.org/ada/arm.html Section: doc Priority: optional Filename: pool/main/a/ada-reference-manual/ada-reference-manual-2005_2012.1-2_all.deb Package: ada-reference-manual-2012 Source: ada-reference-manual Version: 1:2012.1-2 Installed-Size: 39828 Maintainer: Ludovic Brenta Architecture: all Depends: dpkg (>= 1.15.4) | install-info Suggests: gnat Conflicts: gnat-doc (<< 3.15p) Size: 18763042 SHA256: 35e775a8edff311798977e65f0f33c5467f1ce3c1029b6d527fb7bf8b483a585 SHA1: 32228843a998d77108a53611f8cafb13f66267e5 MD5sum: 79d0044577feea82180582eb61d3e3fe Description: Ada 2012 language standard The Ada Reference Manual is the ISO standard describing the programming language Ada. . This package provides the plain and annotated versions of the 2012 ARM in HTML, PDF, info, and plain text formats. Homepage: http://www.stephe-leake.org/ada/arm.html Section: doc Priority: optional Filename: pool/main/a/ada-reference-manual/ada-reference-manual-2012_2012.1-2_all.deb Package: adabrowse Version: 4.0.3-5 Architecture: armhf Maintainer: Adrian-Ken Rueegsegger Installed-Size: 1342 Depends: libasis2010, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnat-4.6 (>= 4.6.3-4+rpi2), libgnatvsn4.6 (>= 4.6.3-4+rpi2), gnat-4.6 Homepage: http://home.datacomm.ch/t_wolf/tw/ada95/adabrowse/ Priority: optional Section: devel Filename: pool/main/a/adabrowse/adabrowse_4.0.3-5_armhf.deb Size: 568796 SHA256: a9bc268f732ceb34918e22840cf0c3838a14bf28aa056ab6c7461bb38b08c620 SHA1: abc1a51352c4800b5a70305deabde813c11ab2b8 MD5sum: ea536c6a28b1ce377b75270248335a17 Description: HTML generator for Ada 95 library unit specifications AdaBrowse is a javadoc-like HTML generator for Ada 95 library unit specifications. It can also generate XML output; a DTD is included in the distribution. Package: adacgi1 Source: adacgi Version: 1.6-17 Architecture: armhf Maintainer: Phil Brooke Installed-Size: 330 Depends: gnat, gnat-4.6 Conflicts: adacgi, adacgi0 Replaces: adacgi, adacgi0 Priority: optional Section: web Filename: pool/main/a/adacgi/adacgi1_1.6-17_armhf.deb Size: 101358 SHA256: 817d5784d82cd1b9202291ffcfc642f8772747c347f5b320bd8c4586f7bde176 SHA1: d6f88938ec46e0c549d3d3e3efe1222964533be4 MD5sum: f264ade59b91839230d7c7f616464b47 Description: Ada CGI interface This is David A. Wheeler's Ada 95 interface to the "Common Gateway Interface" (CGI). This makes it easier to create Ada programs that can be invoked by HTTP servers using the standard CGI interface. . http://www.dwheeler.com/adacgi/ Package: adacontrol Version: 1.12r4-3 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 3296 Depends: gnat-4.6, libasis2010, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnat-4.6 (>= 4.6.3-4+rpi2), libgnatvsn4.6 (>= 4.6.3-4+rpi2), dpkg (>= 1.15.4) | install-info Enhances: gnat-gps, gprbuild Homepage: http://www.adalog.fr/adacontrol2.htm Priority: optional Section: devel Filename: pool/main/a/adacontrol/adacontrol_1.12r4-3_armhf.deb Size: 1409872 SHA256: 4c4fe5276780bf54e395432af03a882dfa3c2fe1ce890ec9be86ff55e072a72f SHA1: 086b155defcbc5b228cdff432c23fc129516045a MD5sum: e8855f2d41d83e422a62f2ee636d1519 Description: Ada rules controller AdaControl verifies that Ada software meets the requirements of a number of parameterizable rules. It is not intended to supplement checks made by the compiler, but rather to search for particular violations of good-practice rules, or to check that some rules are obeyed project-wide. This package also contains the following utilities: . * pfni: Print Full Name Image - expands any identifier to a fully-qualified name * ptree: Prints a graphic representation of an ASIS tree with corresponding source Package: adanaxisgpl Version: 1.2.5.dfsg.1-4 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 3080 Depends: freeglut3, libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libogg0 (>= 1.0rc3), libpcre3 (>= 8.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libsm6, libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libx11-6, libxext6, adanaxisgpl-data (= 1.2.5.dfsg.1-4) Homepage: http://www.mushware.com/ Priority: optional Section: games Filename: pool/main/a/adanaxisgpl/adanaxisgpl_1.2.5.dfsg.1-4_armhf.deb Size: 1386176 SHA256: 53359d6c54d879187816c7423b59142635d5b0cd9060a746af34ab47bb43b10f SHA1: 86f3803f3ef0ba6e9aea166993cb40dcbfb0db7e MD5sum: 5eef2a72c67df73b62022b40cbe340af Description: Action game in four spatial dimensions Adanaxis is a fast-moving first person shooter set in deep space, where the fundamentals of space itself are changed. By adding another dimension to space this game provides an environment with movement in four directions and six planes of rotation. Initially the game explains the 4D control system via a graphical sequence, before moving on to 30 levels of gameplay with numerous enemy, ally, weapon and mission types. Features include simulated 4D texturing, mouse and joystick control, and original music. Screenshots, movies and further information are available at http://www.mushware.com/. . Hardware-accelerated 3D is recommended, ideally with support for OpenGL Shading Language. Package: adanaxisgpl-data Source: adanaxisgpl Version: 1.2.5.dfsg.1-4 Installed-Size: 26964 Maintainer: Debian Games Team Architecture: all Size: 18351632 SHA256: 8c9ec68debc18459744827875b98563eb36ed3559d524cbfb0931505add250e0 SHA1: 6a107f9edb9c40daa2015d4a4cae3532e671775e MD5sum: 74541a21d0846504180866fa4ee70dc2 Description: Action game in four spatial dimensions Adanaxis is a fast-moving first person shooter set in deep space, where the fundamentals of space itself are changed. By adding another dimension to space this game provides an environment with movement in four directions and six planes of rotation. Initially the game explains the 4D control system via a graphical sequence, before moving on to 30 levels of gameplay with numerous enemy, ally, weapon and mission types. Features include simulated 4D texturing, mouse and joystick control, and original music. Screenshots, movies and further information are available at http://www.mushware.com/. . Hardware-accelerated 3D is recommended, ideally with support for OpenGL Shading Language. . This package contains the architecture independent data files (graphics and fonts) Homepage: http://www.mushware.com/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/a/adanaxisgpl/adanaxisgpl-data_1.2.5.dfsg.1-4_all.deb Package: addresses-goodies-for-gnustep Source: addresses-for-gnustep Version: 0.4.7-1 Architecture: armhf Maintainer: Eric Heintzmann Installed-Size: 117 Depends: gnustep-base-runtime (>= 1.22.1), libaddresses0 (>= 0.4.7), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libobjc3 (>= 4.2.1) Conflicts: addresses-goodies Replaces: addresses-goodies Priority: optional Section: mail Filename: pool/main/a/addresses-for-gnustep/addresses-goodies-for-gnustep_0.4.7-1_armhf.deb Size: 34884 SHA256: 337151057de334b716e393ce73ca022684ef810aa8ad769d4c10bbb98ff8df73 SHA1: d34d450beb88a150de59bdfa9df5c3c4a01a8102 MD5sum: 98e5a1b822e9a9e7a05db3ec04b38b2c Description: Personal Address Manager for GNUstep (Goodies) This package contains a couple of things that might be of use: adgnumailconverter A tool that will merge your GNUMail address book into the Addresses database. . adserver A stand-alone Addresses network server. . adtool A command-line tool for address database manipulation. Package: addresses.framework Source: addresses-for-gnustep Version: 0.4.7-1 Installed-Size: 12 Maintainer: Eric Heintzmann Architecture: all Depends: libaddresses-dev, libaddresses0 Size: 8378 SHA256: 5c47fe9d0d29e7fc94f0bfb1b3c0a2e1d85f7c2bd1ee0a9c08ac784d214481aa SHA1: 3a26831ee149300f5419c771726a01fa09e7b278 MD5sum: cf3698d71b8eeb95136c9c07f4e3d514 Description: Database API backend framework for GNUstep This backend provides complete access to address information for applications. It is source-code compatible with Apple Corporation's AddressBook.framework. . This package is a dependency package that depends on the development and runtime library files. Tag: implemented-in::objc, interface::x11, role::plugin, suite::gnustep, works-with::mail, works-with::pim, x11::applet Section: gnustep Priority: optional Filename: pool/main/a/addresses-for-gnustep/addresses.framework_0.4.7-1_all.deb Package: addressmanager.app Source: addresses-for-gnustep Version: 0.4.7-1 Architecture: armhf Maintainer: Eric Heintzmann Installed-Size: 420 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libaddresses0 (>= 0.4.7), libaddressview0 (>= 0.4.7), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1) Recommends: gnumail.app Conflicts: addressmanager Replaces: addressmanager Priority: optional Section: mail Filename: pool/main/a/addresses-for-gnustep/addressmanager.app_0.4.7-1_armhf.deb Size: 106600 SHA256: 497ee8b47213b4b6210bdad0d488bb40c99b328078cc8c71c401ff44e815e3d1 SHA1: 8d5c0a30026e82c407e0ab48081c0a548b3c1428 MD5sum: 0591b5fa36ea22c045f6e1cc1c0cc17c Description: Personal Address Manager for GNUstep This package constitutes a personal address manager for the GNUstep software system. It allows archiving complete personal contact information, organizing contacts in groups, integration with other software such as mail clients and sharing address information with other users over the network. Package: addressview.framework Source: addresses-for-gnustep Version: 0.4.7-1 Installed-Size: 12 Maintainer: Eric Heintzmann Architecture: all Depends: libaddressview-dev, libaddressview0 Size: 8368 SHA256: 16e474d46eb9b3d405bd70873bb2fad740eb42cb1cf9f59c08194f3720ff5211 SHA1: 39a6baa212385652b3d66b0ae12210915691f407 MD5sum: 136eec68bcb1f6a4bd52334ac43f8e82 Description: Address display/edit framework for GNUstep This framework provides specialized view classes to applications which want to display addresses to the user in a graphical form. . This package is a dependency package that depends on the development and runtime library files. Tag: implemented-in::objc, interface::x11, role::plugin, suite::gnustep, use::organizing, use::viewing, works-with::mail, works-with::pim, x11::applet Section: gnustep Priority: optional Filename: pool/main/a/addresses-for-gnustep/addressview.framework_0.4.7-1_all.deb Package: adduser Version: 3.113+nmu3 Installed-Size: 1066 Maintainer: Debian Adduser Developers Architecture: all Replaces: manpages-it (<< 0.3.4-2), manpages-pl (<= 20051117-1) Depends: perl-base (>= 5.6.0), passwd (>= 1:4.0.12), debconf | debconf-2.0 Suggests: liblocale-gettext-perl, perl-modules Size: 263714 SHA256: ae69ab0da5c36e48ba38e27c5e0886d6a67988adead5e5324b9b90736d37c11e SHA1: 40d47adb274cc8e5e5fd19d7e26f17171e2db40f MD5sum: 9b7a9665cd1a6290786478931aafa9bc Description: add and remove users and groups This package includes the 'adduser' and 'deluser' commands for creating and removing users. . - 'adduser' creates new users and groups and adds existing users to existing groups; - 'deluser' removes users and groups and removes users from a given group. . Adding users with 'adduser' is much easier than adding them manually. Adduser will choose appropriate UID and GID values, create a home directory, copy skeletal user configuration, and automate setting initial values for the user's password, real name and so on. . Deluser can back up and remove users' home directories and mail spool or all the files they own on the system. . A custom script can be executed after each of the commands. . Development mailing list: http://lists.alioth.debian.org/mailman/listinfo/adduser-devel/ Multi-Arch: foreign Homepage: http://alioth.debian.org/projects/adduser/ Tag: admin::user-management, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian Section: admin Priority: important Filename: pool/main/a/adduser/adduser_3.113+nmu3_all.deb Package: adept Version: 3.0~beta7.2+qa2 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 1293 Depends: kde-runtime, libapt-pkg4.12 (>= 0.8.16~exp9), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libxapian22, zlib1g (>= 1:1.1.4), apt-xapian-index (>= 0.15), app-install-data, anacron Conflicts: adept-common (<< 3.0~alpha5), adept-installer (<< 3.0~alpha5), adept-manager (<< 3.0~alpha5), adept-updater (<< 3.0~alpha5) Replaces: adept-common (<< 3.0~alpha5), adept-installer (<< 3.0~alpha5), adept-manager (<< 3.0~alpha5), adept-updater (<< 3.0~alpha5) Priority: optional Section: kde Filename: pool/main/a/adept/adept_3.0~beta7.2+qa2_armhf.deb Size: 349326 SHA256: fcb809cb7a24d72a26f5d78db1553fef578161237349542fe5afc90839db8795 SHA1: 420cd807f463b5355d6dbe26a9ff679ac30f4255 MD5sum: 25c2bb4bdafee1bc125d7fbbf1aa3a40 Description: package management suite for KDE Adept Manager is a graphical user interface for package management. It also provides a specialised UI for system updates. . Besides these basic functions the following features are provided: * Search and filter the list of available packages (also using debtags) * Perform smart system upgrades * Edit the list of used repositories (sources.list) * Configure packages through the debconf system Package: adjtimex Version: 1.29-2.2 Architecture: armhf Maintainer: James R. Van Zandt Installed-Size: 141 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28) Suggests: ntpdate Priority: optional Section: admin Filename: pool/main/a/adjtimex/adjtimex_1.29-2.2_armhf.deb Size: 61362 SHA256: 7c100b224e2f1f297f42db19ffa446225e9545188cef14471093602bde532f6f SHA1: 32a327091c2e7b0d247a3db264709da0c84011dd MD5sum: 392c134419d73e5a0ae550e0a21ca1f5 Description: kernel time variables configuration utility This package provides a utility to manipulate the kernel time variables. For a machine connected to the Internet, or equipped with a precision oscillator or radio clock, the best way to keep the system clock accurate is using NTP (Network Time Protocol). However, for a standalone or intermittently connected machine, you may use adjtimex instead to at least correct for systematic drift. It can optionally adjust the system clock using the CMOS clock as a reference, and can log times for long-term estimation of drift rates. Package: adlint Version: 1.10.0-1 Installed-Size: 7764 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby1.9.1 Size: 1890228 SHA256: 9de9c1a9bbcdb1434a9270eafcfcbeb4439630f357497376ee8d2806cd177a82 SHA1: 869fa58678020606df8153860dfea90a963b2ffc MD5sum: f250e25e1bf9821aafb2f5c7d7afc5c2 Description: open source and free source code static analyzer AdLint is a source code static analyzer. It can point out insecure or nonportable code fragments, and can measure various quality metrics of the source code. It (currently) can analyze source code compliant with ANSI C89 / ISO C90 and partly ISO C99. Homepage: http://adlint.sourceforge.net/ Ruby-Versions: ruby1.9.1 Section: devel Priority: optional Filename: pool/main/a/adlint/adlint_1.10.0-1_all.deb Package: admesh Version: 0.95-12 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 91 Depends: libc6 (>= 2.13-28) Recommends: geomview | vrml-browser Homepage: https://launchpad.net/admesh/ Priority: optional Section: math Filename: pool/main/a/admesh/admesh_0.95-12_armhf.deb Size: 31858 SHA256: 87c03387f657e79a09d41e76747727c7e8a5778cb456f592d6d6550975514fb5 SHA1: 6054b5ad06204918398cca6585bae897bb38f341 MD5sum: a9e6add509e92ceb75a43ac5a2dbc005 Description: Tool for processing triangulated solid meshes Currently, ADMesh only reads the STL file format that is used for rapid prototyping applications, although it can write STL, VRML, OFF, and DXF files. Some features of admesh are: Fill holes in the mesh by adding facets. Repair facets by connecting nearby facets. Repair normal directions (i.e. facets should be CCW) Remove degenerate facets (i.e. facets with 2 or more vertices equal) Package: adminer Version: 3.3.3-1+deb7u1 Installed-Size: 1163 Maintainer: Medhamsh V Architecture: all Depends: libapache2-mod-php5 | php5-cgi | php5, php5-mysql | php5-sqlite | php5-pgsql Suggests: mysql-server | postgresql | sqlite3 Size: 306624 SHA256: da85e3af40dd4a94e437f2c0c645c5943af71b2086065fc2e8fa1cb07bdda90f SHA1: 314b199716cf092d72606978912d3a74192dfb47 MD5sum: 6c392093e4a52ecf136c7f21ca456c94 Description: Web-based database administration tool Adminer (formerly phpMinAdmin) is a full-featured database management tool written in PHP. Conversely to phpMyAdmin, it is a light weight application with these priorities in order: security, user experience, performance, feature set and size. Homepage: http://www.adminer.org/ Recommends: php5-sqlite, php5-mysql, php5-pgsql Section: web Priority: extra Filename: pool/main/a/adminer/adminer_3.3.3-1+deb7u1_all.deb Package: adns-tools Source: adns Version: 1.4-2 Architecture: armhf Maintainer: Robert S. Edmonds Installed-Size: 105 Depends: libadns1, libc6 (>= 2.4) Conflicts: libadns0, libadns1-bin Replaces: libadns1-bin Provides: libadns1-bin Homepage: http://www.chiark.greenend.org.uk/~ian/adns/ Priority: optional Section: net Filename: pool/main/a/adns/adns-tools_1.4-2_armhf.deb Size: 41054 SHA256: a9345112eb6ae9833020f393f14eebd18d98fd6df644cd74b71c1e5e12a17882 SHA1: 62c107e9513c0e0ff0ed919c501a7e0d8cbdeb7b MD5sum: 65c5894340377d4e398e612ebd9dbbf9 Description: Asynchronous-capable DNS client library and utilities adns is a resolver library for C (and C++) programs. In contrast with the existing interfaces, gethostbyname et al and libresolv, it can be used in an asynchronous, non-blocking manner. Many queries can be handled simultaneously. . Includes useful test tools and utilities for IP address resolving in logfiles. Package: adonthell-data Version: 0.3.4.cvs.20080529+dfsg-3 Installed-Size: 11676 Maintainer: Debian Games Team Architecture: all Depends: python-support (>= 0.90.0), python2.6, adonthell (>= 0.3.3.cvs.20031022), adonthell (<< 0.4), ttf-freefont Size: 9925470 SHA256: 1404e701121fd5ab174d841c4a42201662381b5f8af8910a381dcbba2234ad25 SHA1: a35c31f162207bcb175864be304367ff90f7fb6f MD5sum: b269af8e475b0495acd669b9b085f4dc Description: Data files needed by Adonthell This is the official game for Adonthell 0.3 . As a loyal servant of the elven Lady Silverhair, you arrive at the remote trading post of Waste's Edge, where she is engaged in negotiations with the dwarfish merchant Bjarn Fingolson. But not all is well at Waste's Edge, and soon you are confronted with circumstances that are about to destroy your mistress' high reputation. And you are the only one to avert this ... Homepage: http://adonthell.linuxgames.com/ Tag: game::adventure, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/a/adonthell-data/adonthell-data_0.3.4.cvs.20080529+dfsg-3_all.deb Package: adplay Version: 1.6-1.1 Architecture: armhf Maintainer: Gürkan Sengün Installed-Size: 74 Depends: libadplug-2.2.1-0 (>= 2.2.1-0), libasound2 (>= 1.0.16), libbinio1ldbl, libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libgcc1 (>= 1:4.4.0), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Priority: optional Section: sound Filename: pool/main/a/adplay/adplay_1.6-1.1_armhf.deb Size: 22734 SHA256: 49fe11cc92a6f099828718b40d505b2d308d7ef3f4caa97f1e9ee25c327b4c8d SHA1: 0bf8cf54b671e655ff39e4be7d4d1943d60805d4 MD5sum: 1f9f778b262be040a5d0ca7873a7f052 Description: console-based OPL2 audio player AdPlay is AdPlug's console-based frontend. AdPlug is a free, universal OPL2 audio playback library. AdPlay/UNIX supports the full range of AdPlug's file format playback features. Despite this, at the moment, only emulated OPL2 output is supported by AdPlay, but this on a wide range of output devices. . Homepage: Package: adplug-utils Source: adplug Version: 2.2.1+dfsg3-0.1 Architecture: armhf Maintainer: Артём Попов Installed-Size: 65 Depends: libadplug-2.2.1-0, libbinio1ldbl, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://adplug.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/a/adplug/adplug-utils_2.2.1+dfsg3-0.1_armhf.deb Size: 25550 SHA256: 5c1b3605c5b3a8480826620cb0d9a8eb7633452625628ce7e0c6a637ee413dd7 SHA1: 71030cd677a72ad01b6436391cdf1315a7d98fe6 MD5sum: 4ce5a80dea01e679a77e4ebc4a9d206d Description: free AdLib sound library (utils) AdPlug is a free, cross-platform, hardware independent AdLib sound player library, mainly written in C++ and released under the LGPL. AdPlug plays sound data, originally created for the AdLib (OPL2) and Sound Blaster (Dual OPL2/OPL3) audio boards, directly from its original format on top of an emulator or by using the real hardware. No OPL chip is required for playback. . This package contains additional utilities. Package: adun.app Version: 0.81-5 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 6792 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libgsl0ldbl (>= 1.9), libobjc3 (>= 4.2.1), gnustep-fslayout-fhs Recommends: gnuplot Homepage: http://diana.imim.es/Adun Priority: optional Section: gnustep Filename: pool/main/a/adun.app/adun.app_0.81-5_armhf.deb Size: 1478920 SHA256: 6d488136111ff3fbbf70fd97a8a80e5f646c49ddad0d07cb8aa29be3404ef9bb SHA1: 165024628c0740312e2d873b1c39f8aef54f8f1f MD5sum: 18dff958e7baf6236f2375969913b00f Description: Molecular Simulator for GNUstep Adun is a biomolecular simulator that also includes data management and analysis capabilities. It was developed at the Computational Biophysics and Biochemistry Laboratory, a part of the Research Unit on Biomedical Informatics of the UPF. Package: advancecomp Version: 1.15-1+deb7u1 Architecture: armhf Maintainer: Piotr Ozarowski Installed-Size: 583 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Priority: optional Section: utils Filename: pool/main/a/advancecomp/advancecomp_1.15-1+deb7u1_armhf.deb Size: 278930 SHA256: f2477fe5dd62028b3399eaad258b59f3cad50c5a096024b5ee630b75e90e178b SHA1: 1a49e1c0162b341aa7f11efc32c8f3b0bd35d42e MD5sum: a81ba735d726159de87a6b7dfdb0fbf6 Description: collection of recompression utilities AdvanceCOMP contains recompression utilities for your .zip archives, .png images, .mng video clips and .gz files. . For recompression, 7-Zip (http://www.7-zip.com) is used, which generally gives 5-10% more compression than zLib. . Homepage: http://advancemame.sourceforge.net/ Package: advene Version: 1.0-1 Installed-Size: 6106 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: gstreamer0.10-x, python-cairo, python-cherrypy3 (>= 3.0.0), python-gobject, python-gst0.10, python-gtk2 (>= 2.12), python-pygoocanvas, python-rsvg, python-simpletal (>= 3.0), python (>= 2.6.6-7~) Recommends: firefox | www-browser, libjs-jquery (>= 1.4.2), libjs-jquery-ui (>= 1.8), python-aeidon, python-imaging, python-simplejson, python-vlc, python-webkit, shotdetect, vlc-plugin-svg Size: 1215528 SHA256: 9f8a7cbb5bbea9790ff176fcfd6ef88bd41f36ff4e187ca2f869c6066a60ce00 SHA1: dfaeb30a7ee3920bd087f40b8e72ca19e0af17f3 MD5sum: 5d2514d74e6534cf094cc52c9204c2db Description: Annotate DVDs, Exchange on the Net The Advene (Annotate DVd, Exchange on the NEt) project is aimed towards communities exchanging discourses (analysis, studies) about audiovisual documents (e.g. movies) in DVD format. This requires that audiovisual content and hypertext facilities be integrated, thanks to annotations providing explicit structures on audiovisual streams, upon which hypervideo documents can be engineered. . The cross-platform Advene application allows users to easily create comments and analyses of video documents, through the definition of time-aligned annotations and their mobilisation into automatically-generated or user-written comment views (HTML documents). Annotations can also be used to modify the rendition of the audiovisual document, thus providing virtual montage, captioning, navigation... capabilities. Users can exchange their comments/analyses in the form of Advene packages, independently from the video itself. . The Advene framework provides models and tools allowing to design and reuse annotations schemas; annotate video streams according to these schemas; generate and create Stream-Time Based (mainly video-centred) or User-Time Based (mainly text-centred) visualisations of the annotations. Schemas (annotation- and relation-types), annotations and relations, queries and views can be clustered and shared in units called packages. Hypervideo documents are generated when needed, both from packages (for annotation and view description) and DVDs (audiovisual streams). Homepage: http://www.advene.org/ Section: video Priority: optional Filename: pool/main/a/advene/advene_1.0-1_all.deb Package: advi Version: 1.10.2-1+deb7u1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 7288 Depends: libc6 (>= 2.13-28), libxinerama1, dpkg (>= 1.14.18), tex-common (>= 3), libcamlimages-ocaml-6xql3, ocaml-base-3.12.1, ocaml-base-nox-3.12.1, texlive-base-bin, texlive-base, ghostscript-x (>= 7.05), perl Suggests: fonts-ipafont-gothic | fonts-japanese-gothic, fonts-ipafont-mincho | fonts-japanese-mincho, bzip2 Replaces: activedvi, mldvi Homepage: http://advi.inria.fr/ Priority: optional Section: tex Filename: pool/main/a/advi/advi_1.10.2-1+deb7u1_armhf.deb Size: 6334722 SHA256: 1e2545683db93b7ba3ead402d4dab4ac779f2a1013465dc71e3190348128f205 SHA1: cc67f28d46e9ef46db95cfc127d487bc7e94a882 MD5sum: 2c6fbed7fec2be8b3893ff08dd0d7ff2 Description: active DVI previewer and presenter Active-DVI is a DVI previewer and presenter written in Objective Caml with some eye candy effects for presentation, support for interactive demonstrations, and embedding of arbitrary applications within the presentation (hence the Active adjective of the presenter :). . Active-DVI does not (yet) support postscript fonts (see the README.Debian for more details). . Active-DVI also has the ability to run embedded commands, which may cause security problems when viewing untrusted DVI files. Make sure to read the README.Debian for more information. Package: advi-examples Source: advi Version: 1.10.2-1+deb7u1 Installed-Size: 3423 Maintainer: Debian OCaml Maintainers Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 3), texlive-latex-base, advi, imagemagick Suggests: fonts-ipafont-gothic | fonts-japanese-gothic, fonts-ipafont-mincho | fonts-japanese-mincho, ocaml-base-nox, mpg321, tk8.4, xterm Conflicts: advi (<< 1.6.0-4) Size: 3302730 SHA256: 0eec43a572ce61a8d8859c536e1b31a214188bff7273c66eaef95f4ac7ce72bf SHA1: 2ce4fdc2fac63d3ef223c7af1316944160d06ca5 MD5sum: 061b64de4369d70330bed44da57af545 Description: example presentations for Active-DVI (advi) Active-DVI is a DVI previewer and presenter written in Objective Caml with some eye candy effects for presentation, support for interactive demonstrations, and embedding of arbitrary applications within the presentation (hence the Active adjective of the presenter :). . This package contains example presentations for Active-DVI. Homepage: http://advi.inria.fr/ Tag: made-of::tex, role::app-data, use::viewing, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/a/advi/advi-examples_1.10.2-1+deb7u1_all.deb Package: adzapper Version: 20090301.dfsg.1-0.2 Installed-Size: 255 Maintainer: Ludovic Drolez Architecture: all Depends: perl, libperl4-corelibs-perl | perl (<< 5.12.3-7) Recommends: squid | polipo | apache2 Suggests: apache2 | httpd, wget, konqueror Enhances: apache2, konqueror, polipo, squid Size: 67264 SHA256: a1e7b7e6c94e91c6f6bb1fb1e132ba5a5ad3a2819aa6a5e7e116ee8e038fc8ba SHA1: ca2e00d51c0ad5e324293bc386d77fff088cab10 MD5sum: 7394239bc8263c1c7dc2522c0caac933 Description: proxy advertisement zapper add-on A redirector for squid that intercepts advertising (banners, popup windows, flash animations, etc), page counters and some web bugs (as found). This has both aesthetic and bandwidth benefits. . Add one line to your squid.conf and it's installed ! Can also be used by an apache2 or polipo proxy. This package contains also files which can be used by the Konqueror AdBlocK or Mozilla AdBlock. Tag: interface::web, mail::filters, protocol::http, role::plugin, use::proxying, web::server, works-with-format::html, works-with::text Section: web Priority: optional Filename: pool/main/a/adzapper/adzapper_20090301.dfsg.1-0.2_all.deb Package: aegis Version: 4.24.3-3 Architecture: armhf Maintainer: Walter Franzini Installed-Size: 31271 Depends: libbz2-1.0, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libmagic1, libstdc++6 (>= 4.6), libuuid1 (>= 2.16), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0 Recommends: fhist | rcs | cssc, cook | make Suggests: aegis-doc (>> 4), aegis-tk (>> 4), aegis-web (>> 4) Conflicts: aegis3 Replaces: aegis3 Homepage: http://aegis.sourceforge.net Priority: optional Section: vcs Filename: pool/main/a/aegis/aegis_4.24.3-3_armhf.deb Size: 11781836 SHA256: f00f7431fac60686d7c966abaadc0778ae5aea88aa65dea17ad1b7204c64e981 SHA1: 3be0734a90e55691d9351eea41716be094b9939e MD5sum: a25a72e25c86a73b70ab23f215e97458 Description: transaction-based software configuration management Aegis provides a framework within which a team of developers may work on many changes to a program independently, and Aegis coordinates integrating these changes back into the master source of the program, with as little disruption as possible. . Aegis simplifies the problems associated with multiple developers and development trees by using a transaction-based approach to version control, along with a web-browsable repository and an integrated testing mechanism. . See aegis-doc for documentation, aegis-tk for a Tk based user interface, and aegis-web for a web based user interface. Package: aegis-doc Source: aegis Version: 4.24.3-3 Installed-Size: 1930 Maintainer: Walter Franzini Architecture: all Replaces: aegis3-doc Suggests: aegis Conflicts: aegis3-doc Size: 1979252 SHA256: 44e2425125e9c3e52ced47a2a47be8886d1f0be73d673fa3133bea2db247fa59 SHA1: cb19050dee0d52890d91e16155616214e17bef6d MD5sum: 9597d9f14ed92f998c35faa630297727 Description: documentation for aegis Aegis provides a framework within which a team of developers may work on many changes to a program independently, and Aegis coordinates integrating these changes back into the master source of the program, with as little disruption as possible. . Aegis simplifies the problems associated with multiple developers and development trees by using a transaction-based approach to version control, along with a web-browsable repository and an integrated testing mechanism. Homepage: http://aegis.sourceforge.net Tag: devel::doc, devel::ide, devel::rcs, devel::testing-qa, made-of::postscript, made-of::tex, role::documentation Section: doc Priority: optional Filename: pool/main/a/aegis/aegis-doc_4.24.3-3_all.deb Package: aegis-tk Source: aegis Version: 4.24.3-3 Installed-Size: 318 Maintainer: Walter Franzini Architecture: all Replaces: aegis (<< 4), aegis3-tk Depends: aegis, tk8.3 | wish Conflicts: aegis3-tk Size: 165724 SHA256: da543bb321ae0f403497c84da640fe4b351bbc55bc00e9b2f83fd39b3c185c3d SHA1: dedae34b6f4cd1c171fac9d3ff22e01f072a08fd MD5sum: bd15743653f3d4f245ad028f2c159648 Description: aegis Tk user interface Aegis provides a framework within which a team of developers may work on many changes to a program independently, and Aegis coordinates integrating these changes back into the master source of the program, with as little disruption as possible. . Aegis simplifies the problems associated with multiple developers and development trees by using a transaction-based approach to version control, along with a web-browsable repository and an integrated testing mechanism. Homepage: http://aegis.sourceforge.net Tag: devel::ide, devel::rcs, devel::testing-qa, implemented-in::tcl, interface::x11, role::program, scope::application, uitoolkit::tk, use::checking, use::editing, use::synchronizing, works-with::software:source, x11::application Section: devel Priority: optional Filename: pool/main/a/aegis/aegis-tk_4.24.3-3_all.deb Package: aegis-web Source: aegis Version: 4.24.3-3 Architecture: armhf Maintainer: Walter Franzini Installed-Size: 1830 Depends: libbz2-1.0, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libmagic1, libstdc++6 (>= 4.6), libuuid1 (>= 2.16), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), aegis, apache2 | httpd-cgi Conflicts: aegis3-web Replaces: aegis (<< 4.10), aegis3-web Homepage: http://aegis.sourceforge.net Priority: optional Section: devel Filename: pool/main/a/aegis/aegis-web_4.24.3-3_armhf.deb Size: 807346 SHA256: e314b98295504df5d8a681d2b157cdcc30c89ff0e3125e00c4317191740b173d SHA1: d2cb1f58c7d0ab0c77a820b7c40490ab459547a9 MD5sum: 9e1e5c0a0b89c9397a7aae6992838abc Description: aegis web based user interface Aegis provides a framework within which a team of developers may work on many changes to a program independently, and Aegis coordinates integrating these changes back into the master source of the program, with as little disruption as possible. . Aegis simplifies the problems associated with multiple developers and development trees by using a transaction-based approach to version control, along with a web-browsable repository and an integrated testing mechanism. . Note that aegis-web relies heavily on cgi-bin support, so web servers that do not support cgi-bin will not work with aegis-web. Package: aegisub Version: 2.1.9-1 Architecture: armhf Maintainer: Sebastian Reichel Installed-Size: 2655 Depends: libasound2 (>= 1.0.18), libass4 (>= 0.9.7), libc6 (>= 2.13-28), libffms2-2, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libgomp1 (>= 4.2.1), libhunspell-1.3-0, liblua5.1-0, libpulse0 (>= 0.99.1), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), zlib1g (>= 1:1.1.4) Suggests: aegisub-l10n Homepage: http://www.aegisub.org/ Priority: extra Section: video Filename: pool/main/a/aegisub/aegisub_2.1.9-1_armhf.deb Size: 1047272 SHA256: a92e97ba90d9012e2d45e434db7eda342916a769a961dd344f5ba5bd29dd46bb SHA1: 3157a11dfdb3bef27c369b43a44044948008d31f MD5sum: 1d4b66db3c89838e9682271353b02149 Description: advanced subtitle editor Originally created as tool to make typesetting, particularly in anime fansubs, a less painful experience, Aegisub has grown into a fully fledged, highly customizable subtitle editor. . It features a lot of convenient tools to help you with timing, typesetting, editing and translating subtitles, as well as a powerful scripting environment called Automation (originally mostly intended for creating karaoke effects, Automation can now be used much else, including creating macros and various other convenient tools). Package: aegisub-l10n Source: aegisub Version: 2.1.9-1 Installed-Size: 2486 Maintainer: Sebastian Reichel Architecture: all Depends: aegisub (>= 2.1.9-1), aegisub (<< 2.1.9-1.1~) Size: 894340 SHA256: 030fdf4a3197f332ac0687c44ef09d85b76936cfd145b08be3db74807ea0dc1f SHA1: e8a2ee75921147a571502350e5a5bad87eb9e2df MD5sum: a3053fa0c3f437b8e3b7de46bbe843fb Description: aegisub language packages Originally created as tool to make typesetting, particularly in anime fansubs, a less painful experience, Aegisub has grown into a fully fledged, highly customizable subtitle editor. . It features a lot of convenient tools to help you with timing, typesetting, editing and translating subtitles, as well as a powerful scripting environment called Automation (originally mostly intended for creating karaoke effects, Automation can now be used much else, including creating macros and various other convenient tools). . This package contains language packages for the following languages: ca, cs, da, de, el, es, fa, fi, fr, hu, id, it, ja, ko, pl, pt_BR, pt_PT, ru, sr_RS, vi, zh_CN, zh_TW Homepage: http://www.aegisub.org/ Section: localization Priority: extra Filename: pool/main/a/aegisub/aegisub-l10n_2.1.9-1_all.deb Package: aeolus Version: 0.8.4-6 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 294 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libclalsadrv2, libclthreads2, libclxclient3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libreadline6 (>= 6.0), libstdc++6 (>= 4.4.0), libx11-6, libxft2 (>> 2.1.1), stops Recommends: jackd Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/aeolus/ Priority: extra Section: sound Filename: pool/main/a/aeolus/aeolus_0.8.4-6_armhf.deb Size: 116484 SHA256: ceacecbd5e052343101c008b5c5c24b812cb454422b264c8d34a311cfbd2502d SHA1: 4b7f88cbcb10a0d3b7200ed84675497baf2fcc7c MD5sum: 27037b45e363127369d507669c710ab5 Description: Synthesised pipe organ emulator Aeolus is a synthesised (i.e. not sampled) pipe organ emulator that should be good enough to make an organist enjoy playing it. It is a software synthesiser optimised for this job, with possibly hundreds of controls for each stop, that enable the user to "voice" his instrument. . Main features of the default instrument: three manuals and one pedal, five different temperaments, variable tuning, MIDI control of course, stereo, surround or Ambisonics output, flexible audio controls including a large church reverb. . Aeolus is not very CPU-hungry, and should run without problems on a e.g. a 1GHz, 256Mb machine. Package: aephea Version: 10.008-2 Installed-Size: 312 Maintainer: Joost van Baal Architecture: all Replaces: zoem-doc Recommends: zoem Breaks: zoem-doc Size: 152162 SHA256: dd43dc8e115ced2a33275a92db6a8a1f3637df8b83df529f44115069f4df1700 SHA1: a02f911b4f0ee61d285ad029242df413cf661e37 MD5sum: 0495b45edaecb006b41e577ff698c7c3 Description: text-based authoring tool for HTML Aephea is an HTML authoring framework. It enforces HTML well-formedness with a simpler and stricter syntax, provides useful extensions and abstractions as well as facilities for adding new ones, all in a single unified approach that stays close to HTML itself. Some of Aephea's characteristics are a TeX-like syntax, dictionary stacks, iteration and a focus on styling via CSS. . PUD (Portable Unix Documentation) is shipped with Aephea. It provides mini-languages for authoring Unix manual pages and FAQ documents with output both in HTML and troff. . Both Aephea and PUD are written in zoem, a high-level macro/programming language with character filtering capabilities. . If you'd like to generate manpages, but don't like troff syntax, and find Perl's POD too limited, Aephea's PUD is likely useful for you. If you like your documents to be available in both PDF and HTML, but find Docbook XML too heavyweight (and aren't really happy with Docbook XML's baroque default tagnames), you'll like PUD. Homepage: http://micans.org/aephea Section: text Priority: extra Filename: pool/main/a/aephea/aephea_10.008-2_all.deb Package: aes2501-wy Version: 0.1-5 Architecture: armhf Maintainer: FingerForce Team Installed-Size: 54 Depends: libc6 (>= 2.4) Recommends: imagemagick Homepage: http://gkall.hobby.nl/authentec.html Priority: optional Section: graphics Filename: pool/main/a/aes2501-wy/aes2501-wy_0.1-5_armhf.deb Size: 12326 SHA256: 3f0aeeb91308b45fdd2f66931683ce13fcb3a7b5faeaff52a50848cd2322f7c6 SHA1: 9e7759bcc04dcb41748a6edd8912a31a221dee73 MD5sum: 0548e218f8485e934fdc9ddefc1d1939 Description: userspace software for usb aes2501 fingerprint scanner Command line scanning sofware for AES2501 usb fingerprint reader. The ouput are grayscale pnm files with quite good quality. . The AES 2501 fingerprint scanner vendor is Authentec and this sensor can be found in: * Medion MD85264 USB sensor * HP nx6125 notebook * HP Compaq 6710b * HP Compaq 6510b * HP Compaq nx6320 * HP nx6325 * HP Compaq nc8430 * HP Compaq nc6320 * Compaq HEL80/81 notebook * Fujitsu-Siemens P7120 notebook. * LG P1 PRO Express Dual notebook. * LG S1 Pro Express Dual notebook * Lenovo 3000 N100 * Lenovo 3000 n200 notebook * Toshiba Libretto U100 * Toshiba Portégé R200 notebook * Targa Traveller 1577 X2 Package: aesfix Version: 1.0.1-2 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 62 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Recommends: aeskeyfinder Homepage: http://citp.princeton.edu/memory/code/ Priority: optional Section: utils Filename: pool/main/a/aesfix/aesfix_1.0.1-2_armhf.deb Size: 14692 SHA256: 12b98d1ee0fe874bb4854687f098420841136283e64aacdd4b40e1b82203e3b6 SHA1: 788513d459f6c8f475a7376f22cd79aa0b9216da MD5sum: 3807ccb4005685f4844409352fa4fef4 Description: tool for correcting bit errors in an AES key schedule This program illustrates a technique for correcting bit errors in an AES key schedule. It should be used with the output of the aeskeyfinder program. . It is limited to AES-128 key schedules, and it can only correct unidirectional 1->0 bit errors. For the most part it has been optimized for readability rather than performance. Package: aeskeyfind Version: 1:1.0-1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 52 Depends: libc6 (>= 2.4) Homepage: http://citp.princeton.edu/memory/code/ Priority: optional Section: utils Filename: pool/main/a/aeskeyfind/aeskeyfind_1.0-1_armhf.deb Size: 10720 SHA256: 5300480178321743beec199eb28b220c7c502f2461710b661a1dd7d438e324b2 SHA1: 3c09d75b6c3d3158fdca99af07c8e96eea069289 MD5sum: 5b67b6f138519ceb2714c2b8edcff3c3 Description: tool for locating AES keys in a captures memory image This program illustrates automatic techniques for locating 128-bit and 256-bit AES keys in a captured memory image. . The program uses various algorythms and also performs a simple entropy test to filter out blocks that are not keys. It counts the number of repeated bytes and skips blocks that have too many repeats. . This method works even if several bits of the key schedule have been corrupted due to memory decay. Package: aeskulap Version: 0.2.2b1-11 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 1571 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libdcmtk2 (>= 3.6.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgconfmm-2.6-1c2 (>= 2.24.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglademm-2.4-1c2a (>= 2.6.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libjpeg8 (>= 8c), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libpng12-0 (>= 1.2.13-4), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libwrap0 (>= 7.6-4~), libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2), dcmtk (>= 3.6.0-2) Homepage: http://aeskulap.nongnu.org Priority: optional Section: science Filename: pool/main/a/aeskulap/aeskulap_0.2.2b1-11_armhf.deb Size: 483884 SHA256: 1d59625f5f008f58bd6e5e04b7be2570f4a80619515ff133e0a91daed47de7ed SHA1: e873a34e9b746bbaa4ca5ea8111cadb7a86d737d MD5sum: 626220226c15a3bef99b8fdf742c6d20 Description: medical image viewer and DICOM network client Aeskulap is able to load a series of special images stored in the DICOM format for review. Additionally it is able to query and fetch DICOM images from archive nodes (also called PACS) over the network. Aeskulap tries to achieve a full open source replacement for commercially available DICOM viewers. Package: aespipe Version: 2.4c-1 Architecture: armhf Maintainer: Max Vozeler Installed-Size: 121 Depends: libc6 (>= 2.4) Priority: optional Section: utils Filename: pool/main/a/aespipe/aespipe_2.4c-1_armhf.deb Size: 47862 SHA256: 9c118f572c34228f402351efa494fc9d06176243fec4da6a476c28963790cec7 SHA1: a7c981670600cda39bbfaf451ef343f7fc90c8ca MD5sum: 4a4d6d711ba671530ae978a201c51bad Description: AES-encryption tool with loop-AES support aespipe is an encryption tool that reads from standard input and writes to standard output. It uses the AES (Rijndael) cipher. . aespipe can be used for non-destructive in-place encryption of existing disk partitions for use with the loop-AES encrypted loopback kernel module. . It can also be used as an encryption filter to create and restore encrypted tar/cpio backup archives and to read/write and convert loop-AES compatible encrypted images. . Note that aespipe does not store any length information with the encrypted images, so it cannot be used as general purpose filter for encryption, but only for certain formats like tar. Package: aewan Version: 1.0.01-3 Architecture: armhf Maintainer: Robert Lemmen Installed-Size: 223 Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5, zlib1g (>= 1:1.1.4) Priority: extra Section: text Filename: pool/main/a/aewan/aewan_1.0.01-3_armhf.deb Size: 96600 SHA256: 10df9b0fd32a567896b438b6371ec91895ece1d55f79c6cd5b90554805d17076 SHA1: fd7a9e202d3a4ca69d3ca3218642d8183b4a2847 MD5sum: 804a06eaf89ec9cb31d0599456586a81 Description: ASCII-art Editor Without A Name aewan is an ASCII art editor with support for multiple layers that can be edited individually, colors, rectangular copy and paste, and intelligent horizontal and vertical flipping (converts '\' to '/', etc). It produces both stand-alone art files and an easy-to-parse format for integration into your terminal applications. Package: aewm Version: 1.3.12-2.1 Architecture: armhf Maintainer: Decklin Foster Installed-Size: 153 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxext6, libxft2 (>> 2.1.1) Suggests: menu (>= 2.1.9) Conflicts: menu (<< 2.1.9) Provides: x-window-manager Priority: optional Section: x11 Filename: pool/main/a/aewm/aewm_1.3.12-2.1_armhf.deb Size: 54316 SHA256: a59968f6ecd5baf04831915b664fa8f15f612964281bcac95e8b5248b30836c6 SHA1: d79ee66fcb57b7371f363eb6929704f01530717d MD5sum: 8637574fa4913d19993995b8b075c1f2 Description: minimalist window manager for X11 aewm is a minimalist window manager for X11. It has no nifty features, but is light on resources and extremely simple in appearance. It should eventually make a good reference implementation of the ICCCM. A few separate programs are included to handle running programs, switching between windows, etc. Package: aewm++ Version: 1.1.2-5 Architecture: armhf Maintainer: Chris Boyle Installed-Size: 104 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libx11-6, libxext6 Suggests: aewm++-goodies Provides: x-window-manager Homepage: http://code.google.com/p/aewmpp/ Priority: optional Section: x11 Filename: pool/main/a/aewm++/aewm++_1.1.2-5_armhf.deb Size: 36072 SHA256: 53d80870d1e371d25e4cf6d230e965f58fec80eba13da742674accf0e412b503 SHA1: 3cec67c66d796dbeb065f42924c18fc24f9afd4e MD5sum: c138f550990d4ffcaaa95f3ffcb4a4bc Description: minimal window manager written in C++ aewm++ is a minimal window manager for X11, based on aewm. It keeps aewm's minimalist look and feel but is written in C++, and adds some modern features like virtual desktops. GNOME EWMH hints have been removed in this release, because upstream wants to focus on making the code stable and minimalistic. . This package used to include appbar, aewm++_fspanel, setrootimage and aewm++_xsession; these can now be found in the aewm++-goodies package. Package: aewm++-goodies Version: 1.0-9 Architecture: armhf Maintainer: Chris Boyle Installed-Size: 201 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxpm4 Enhances: aewm++ (>= 1.0.24-1) Conflicts: aewm++ (<< 1.0.24-1) Priority: optional Section: x11 Filename: pool/main/a/aewm++-goodies/aewm++-goodies_1.0-9_armhf.deb Size: 66704 SHA256: 7d8678f6ea6686e08ecc84e990fbc70b2d23789c3764aa7d8c60ed449dd63064 SHA1: a6530267e3f6294b72cf1c84f15476e4f459678d MD5sum: 28ea6f6f0d196c7da8399cac4d9a94cc Description: utilities to complement a minimal window manager These utilities were previously supplied with aewm++; they are intended to provide some of the typical desktop functionality that aewm++ itself does not include. This package provides: * aewm++_appbar: a small application launcher * aewm++_fspanel: a very small panel * aewm++_setrootimage: draws a gradient on the X root window * aewm++_xsession: keeps an X session alive Note that some of these were named differently in previous releases. Package: affiche.app Source: affiche Version: 0.6.0-8 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 274 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1) Conflicts: affiche (<< 0.6.0-5) Priority: optional Section: gnustep Filename: pool/main/a/affiche/affiche.app_0.6.0-8_armhf.deb Size: 57016 SHA256: 7258eb3d3d06518dcc6a93b754c558db23932757746696e8162ca59fe26bafc7 SHA1: 47c739cfb77f03412619e9709a9565523e161c47 MD5sum: bdb4509d8bd72ec438ef4235a8d840cc Description: An application to "stick" little notes on the desktop Affiche is a little application that allows people to "stick" little notes on their computer desktop. It was made for the GNUstep environment. Package: afflib-dbg Source: afflib Version: 3.6.6-1.1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 23183 Depends: afflib-tools (= 3.6.6-1.1), libafflib0 (= 3.6.6-1.1), libafflib-dev (= 3.6.6-1.1) Homepage: http://www.afflib.org/ Priority: extra Section: debug Filename: pool/main/a/afflib/afflib-dbg_3.6.6-1.1_armhf.deb Size: 8742160 SHA256: f9da3ec15091167144e4f8ffd955c6f364bfb0d7d8af2abeaa95746ef34a0e3f SHA1: c70c31b27b02b64dbc36ab4467af09ea1e4c9904 MD5sum: 515b25f5fb3be0db7c5539f8db4db302 Description: support for Advanced Forensics format (debug) libafflib is a library for reading and writing the Advanced Forensics format (AFF), an extensible open format for the storage of disk images and related forensic metadata. . This package contains the debugging symbols. Package: afflib-tools Source: afflib Version: 3.6.6-1.1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 3958 Depends: libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libexpat1 (>= 2.0.1), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Homepage: http://www.afflib.org/ Priority: optional Section: utils Filename: pool/main/a/afflib/afflib-tools_3.6.6-1.1_armhf.deb Size: 2075144 SHA256: 3858c4e9fe45212f53ece99de9e70f184395fc37a4a71215011049d3fc0e71cc SHA1: cb272b173ea93f479731e6913128b6e439005b6d MD5sum: bb5b2c7a60f6c650beb52ab297ff521d Description: support for Advanced Forensics format (utilities) libafflib is a library for reading and writing the Advanced Forensics format (AFF), an extensible open format for the storage of disk images and related forensic metadata. . This package contains additional utilities. Package: afnix Version: 2.2.0-2 Architecture: armhf Maintainer: Nobuhiro Iwamatsu Installed-Size: 10777 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.4.0), libtinfo5 Suggests: afnix-doc Conflicts: aleph Replaces: aleph Homepage: http://www.afnix.org/ Priority: optional Section: interpreters Filename: pool/main/a/afnix/afnix_2.2.0-2_armhf.deb Size: 2241884 SHA256: 948110bb1f603cad711e21a858daf601cf839dbf4eb41e04a15febad793d764a SHA1: b31d2273ee17fa437704ead48ea3173c0691df67 MD5sum: 6d90ec4bfc0ee7e0f18526ebab54fbb9 Description: Compiler and run-time for the AFNIX programming language AFNIX is a multi-threaded functional programming language with dynamic symbol bindings that support the object oriented paradigm. The language features a state of the art runtime engine. The distribution is available with several clients and a rich set of modules that are designed to be platform independent. Package: afnix-doc Source: afnix Version: 2.2.0-2 Installed-Size: 265 Maintainer: Nobuhiro Iwamatsu Architecture: all Suggests: afnix Size: 223002 SHA256: ba85eebb445836dfb8bf7fbcddb8354fcd7eb21482b4a52fa138970a94dffc46 SHA1: 01ec24964309f8f883cf36c5918b9b2891bfd4be MD5sum: f77fae28ddc13616bf8bde0639c7bb8e Description: Compiler and run-time for the AFNIX programming language (documentation) AFNIX is a multi-threaded functional programming language with dynamic symbol bindings that support the object oriented paradigm. The language features a state of the art runtime engine. The distribution is available with several clients and a rich set of modules that are designed to be platform independent. . This package contains the documentation for AFNIX. Homepage: http://www.afnix.org/ Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/a/afnix/afnix-doc_2.2.0-2_all.deb Package: afpfs-ng Version: 0.8.1-5 Installed-Size: 33 Maintainer: Andres Mejia Architecture: all Depends: afpfs-ng-utils Size: 6072 SHA256: 693f254186a833a092e87068987a6cbf6f013314cc023d204f18c86e51e8edfc SHA1: 0588ee59799d83b04f1b66fbaadd20ebd7166338 MD5sum: 4caaf8ac570c8bf545c55d68fd8b9cef Description: Client for the Apple Filing Protocol (AFP) (transitional package) This is a client for the Apple Filing Protocol (AFP) which will let you mount and access shared volumes from Mac OS X (or netatalk) to Linux, BSD and Mac OS X systems. . This is a dummy transitional package. It may be safely removed. Homepage: http://sites.google.com/site/alexthepuffin/home Section: oldlibs Priority: extra Filename: pool/main/a/afpfs-ng/afpfs-ng_0.8.1-5_all.deb Package: afpfs-ng-utils Source: afpfs-ng Version: 0.8.1-5 Architecture: armhf Maintainer: Andres Mejia Installed-Size: 124 Depends: libafpclient0 (= 0.8.1-5), libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgmp10, libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libtinfo5 Breaks: afpfs-ng (<< 0.8.1-3) Replaces: afpfs-ng Provides: afpfs-ng Homepage: http://sites.google.com/site/alexthepuffin/home Priority: optional Section: libs Filename: pool/main/a/afpfs-ng/afpfs-ng-utils_0.8.1-5_armhf.deb Size: 43804 SHA256: 8c75937cc5c53a718c235c3baa3d7f7f4208b6eba1b36c7c926981b912e54b61 SHA1: 31559dc2e2e14055521df7c065b4e3d9466f8ef2 MD5sum: cf5359c430954642ec43820bb997bb4b Description: Client for the Apple Filing Protocol (AFP) (utility programs) This is a client for the Apple Filing Protocol (AFP) which will let you mount and access shared volumes from Mac OS X (or netatalk) to Linux, BSD and Mac OS X systems. . This package provides the afpfs-ng utility programs. Package: aft Version: 2:5.098-2 Installed-Size: 259 Maintainer: Robert Lemmen Architecture: all Depends: perl (>= 5.6) Size: 83944 SHA256: 166e48cd75f86f735ae906376869ae04c85e2c8c64f4f666c26e4bf5d5cb1782 SHA1: 2fefc4dd657e6978dd1029a4eeecd6d7d74cdf81 MD5sum: c24115116a3a899508e4161c55d82ea4 Description: "free form" document preparation system AFT is a document preparation system. It is mostly free form meaning that there is little intrusive markup. AFT source documents look a lot like plain old ASCII text. . AFT has a few rules for structuring your document and these rules have more to do with formatting your text rather than embedding commands. . Right now, AFT produces pretty good (weblint-able) HTML, XHTML, LaTeX, lout and RTF. It can, in fact, be coerced into producing all types of output (e.g. roll-your-own XML). All that needs to be done is to edit a rule file. You can even customize your own HTML rule files for specialized output. Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, use::text-formatting, use::typesetting, works-with-format::html, works-with-format::tex, works-with::text Section: text Priority: optional Filename: pool/main/a/aft/aft_5.098-2_all.deb Package: afterstep Version: 2.2.11-7 Architecture: armhf Maintainer: Robert Luberda Installed-Size: 857 Depends: afterstep-data (= 2.2.11-7), aterm | x-terminal-emulator, debianutils (>= 2.1), imagemagick, menu, menu-xdg, ucf, perl, libafterimage0 (>= 2.2.11), libafterstep1 (>= 2.2.11), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libx11-6, libxext6 Recommends: gnome-themes Suggests: asclock | wmitime, asmail, asmon, mc, wmcpuload, xscreensaver Replaces: afterstep-data (<< 2.2.11-2~) Provides: x-window-manager Homepage: http://www.afterstep.org/ Priority: optional Section: x11 Filename: pool/main/a/afterstep/afterstep_2.2.11-7_armhf.deb Size: 411836 SHA256: 0f29b85869e401e18c4ac0b7db47c3d9263b2958820e3af7b24f5296eb02e19c SHA1: 5b2b056a6f33b57daf3f753d8ba828756d09d6fc MD5sum: b8b6936ad179d38a3fa8e5ccb4c37883 Description: window manager with the NEXTSTEP look and feel AfterStep is a window manager based on FVWM which attempts to emulate the NEXTSTEP look and feel, while retaining the configurability of its predecessor. Note that this window manager makes extensive use of the color palette. If you have only an 8-bit color display, it will run as packaged, but you may want to modify the configuration to use fewer colors. . AfterStep is a continuation of the BowMan window manager project, but the name was changed to reflect the desire that it do more than simply emulate and become a valuable window manager in its own right. Package: afterstep-data Source: afterstep Version: 2.2.11-7 Installed-Size: 4732 Maintainer: Robert Luberda Architecture: all Replaces: afterstep (<= 2.2.11) Depends: ttf-freefont (>= 20031008), ucf (>= 1.17) Recommends: afterstep (= 2.2.11-7) Breaks: afterstep (<= 2.2.11) Size: 3208034 SHA256: 02ca68fbb421cc1ce5cbef263b376f20132be8bdcc03004bb6caf195082bac22 SHA1: 9d7dd5d3acfdc6e712546ec4fe3dcb043f6dde39 MD5sum: 1708a58aa4d911ea7458755887de78db Description: data files for AfterStep window manager AfterStep is a window manager based on FVWM which attempts to emulate the NEXTSTEP look and feel, while retaining the configurability of its predecessor. Note that this window manager makes extensive use of the color palette. If you have only an 8-bit color display, it will run as packaged, but you may want to modify the configuration to use fewer colors. . The package contains data and configuration files needed by AfterStep. Homepage: http://www.afterstep.org/ Tag: role::app-data Section: x11 Priority: optional Filename: pool/main/a/afterstep/afterstep-data_2.2.11-7_all.deb Package: afterstep-dbg Source: afterstep Version: 2.2.11-7 Architecture: armhf Maintainer: Robert Luberda Installed-Size: 2512 Depends: afterstep (= 2.2.11-7) | libafterimage0 (= 2.2.11-7) Homepage: http://www.afterstep.org/ Priority: extra Section: debug Filename: pool/main/a/afterstep/afterstep-dbg_2.2.11-7_armhf.deb Size: 2142796 SHA256: a90874d81323f33c9c49d75ced9d4a3e2d19f551e5a26571c4230fca5bed35c1 SHA1: d76d2bd992c3a69946ee8a4547714e03383967dc MD5sum: 1219fdb66127ac79a66108594b1d6475 Description: detached debugging symbols for AfterStep and its libraries AfterStep is a window manager based on FVWM which attempts to emulate the NEXTSTEP look and feel, while retaining the configurability of its predecessor. Note that this window manager makes extensive use of the color palette. If you have only an 8-bit color display, it will run as packaged, but you may want to modify the configuration to use fewer colors. . This package contains debug symbols for the afterstep, libafterimage0, and libafterstep1 packages. Package: afuse Version: 0.2-3 Architecture: armhf Maintainer: Varun Hiremath Installed-Size: 69 Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0) Homepage: http://sourceforge.net/projects/afuse/ Priority: optional Section: utils Filename: pool/main/a/afuse/afuse_0.2-3_armhf.deb Size: 17696 SHA256: 7aa427138a566029173882dcf7a4b9a2dda1d198c37569038bea9d177aafb3f4 SHA1: c4d8d3a493d1b61859a37b0c863cc4db095119b7 MD5sum: 13533cf0c4a2167b40fcab7d2bad7a89 Description: automounting file system implemented in user-space using FUSE Afuse is a FUSE based filesystem which implements filesystem automounting functionality similar to Linux's autofs. Package: agave Version: 0.4.7-2.1 Architecture: armhf Maintainer: Varun Hiremath Installed-Size: 1119 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgconfmm-2.6-1c2 (>= 2.24.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglademm-2.4-1c2a (>= 2.6.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libpopt0 (>= 1.14), libsigc++-2.0-0c2a (>= 2.0.2), libsm6, libstdc++6 (>= 4.6), libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2) Homepage: http://home.gna.org/colorscheme/ Priority: optional Section: gnome Filename: pool/main/a/agave/agave_0.4.7-2.1_armhf.deb Size: 439088 SHA256: f476f297657ef971e875236892b21f24ef3e0f115c4cf0b9a7e616ef7e054f5b SHA1: f77c41b53e93148678fb6f7d072cf0cdedccfb9f MD5sum: 5e6f22b0d339ab319753a0b50590d399 Description: colorscheme designer for the GNOME desktop Agave is a very simple application for the GNOME desktop that allows you to generate a variety of colorschemes from a single starting color. Package: agda Version: 2.3.0.1-2 Installed-Size: 37 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-agda-dev, agda-mode, agda-bin, agda-stdlib, agda-stdlib-doc Size: 13200 SHA256: f4f6da784255e71f6b5e3abd64e740e1940f54e2ff289983f728c5795d8309df SHA1: c7e04be4636c08e8bcd53ed45502497e78562a89 MD5sum: 9ac123c03db82ba42465900bc75ce62c Description: dependently typed functional programming language Agda is a dependently typed functional programming language: It has inductive families, which are like Haskell's GADTs, but they can be indexed by values and not just types. It also has parameterised modules, mixfix operators, Unicode characters, and an interactive Emacs interface (the type checker can assist in the development of your code). . Agda is also a proof assistant: It is an interactive system for writing and checking proofs. Agda is based on intuitionistic type theory, a foundational system for constructive mathematics developed by the Swedish logician Per Martin-Löf. It has many similarities with other proof assistants based on dependent types, such as Coq, Epigram and NuPRL. . This is a meta package which provides Agda's emacs mode, executable, standard library and its documentation. Homepage: http://wiki.portal.chalmers.se/agda/ Tag: role::metapackage Section: misc Priority: extra Filename: pool/main/a/agda/agda_2.3.0.1-2_all.deb Package: agda-bin Version: 2.3.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 34017 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libncurses5 (>= 5.5-5~), libtinfo5, zlib1g (>= 1:1.1.4) Recommends: libghc-agda-dev (>= 2.3.0.1), libghc-agda-dev (<< 2.3.0.2~) Suggests: agda-mode Homepage: http://wiki.portal.chalmers.se/agda/ Priority: extra Section: haskell Filename: pool/main/a/agda-bin/agda-bin_2.3.0.1-1_armhf.deb Size: 7184944 SHA256: 1ec5966b0d639063a8d16c13b71247e25cd8a8247aab1ba1507322d23c4a165b SHA1: e80fa63fbd620e3a42d1e8fee89508c24e4e90bd MD5sum: bb8bf438a4f1aec487ead39beb2279da Description: commandline interface to Agda Agda is a dependently typed functional programming language: It has inductive families, which are like Haskell's GADTs, but they can be indexed by values and not just types. It also has parameterised modules, mixfix operators, Unicode characters, and an interactive Emacs interface (the type checker can assist in the development of your code). . Agda is also a proof assistant: It is an interactive system for writing and checking proofs. Agda is based on intuitionistic type theory, a foundational system for constructive mathematics developed by the Swedish logician Per Martin-Löf. It has many similarities with other proof assistants based on dependent types, such as Coq, Epigram and NuPRL. . This package provides a command-line program for type-checking and compiling Agda programs. The program can also generate hyperlinked, highlighted HTML files from Agda sources. Package: agda-mode Source: agda Version: 2.3.0.1-2 Installed-Size: 170 Maintainer: Debian Haskell Group Architecture: all Depends: emacs | emacsen, haskell-mode, libghc-agda-dev (>= 2.3.0.1-2), libghc-agda-dev (<< 2.3.0.1-2.1~) Size: 46136 SHA256: 5a010807c5afe3ec6561f6d2ee65328a375092947a4408814a9090c89df60de4 SHA1: 6c6c6ade67e39fde5c64d3cc4cd40323966fa1cd MD5sum: fde920370e69bc609c827f23ec6d7e34 Description: dependently typed functional programming language — emacs mode Agda is a dependently typed functional programming language: It has inductive families, which are like Haskell's GADTs, but they can be indexed by values and not just types. It also has parameterised modules, mixfix operators, Unicode characters, and an interactive Emacs interface (the type checker can assist in the development of your code). . Agda is also a proof assistant: It is an interactive system for writing and checking proofs. Agda is based on intuitionistic type theory, a foundational system for constructive mathematics developed by the Swedish logician Per Martin-Löf. It has many similarities with other proof assistants based on dependent types, such as Coq, Epigram and NuPRL. . This package contains the emacs interactive development mode for Agda. This mode is the preferred way to write Agda code, and offers features such as iterative development, refinement, case analysis and so on. Homepage: http://wiki.portal.chalmers.se/agda/ Section: misc Priority: optional Filename: pool/main/a/agda/agda-mode_2.3.0.1-2_all.deb Package: agda-stdlib Version: 0.6-2 Installed-Size: 17683 Maintainer: Iain Lane Architecture: all Depends: libghc-agda-dev (>= 2.3.0), libghc-agda-dev (<< 2.3.1~) Enhances: agda-mode Size: 16979124 SHA256: 8ad034fda70823a750bf6a7a864b21b000d8e3ddbf6938ab2bbb1d19a5145855 SHA1: d3748f8d0c724c85639805b4f1a468550feb037b MD5sum: 572321bb2918fb81b485748c65515c77 Description: standard library for Agda Agda is a dependently typed functional programming language: It has inductive families, which are like Haskell's GADTs, but they can be indexed by values and not just types. It also has parameterised modules, mixfix operators, Unicode characters, and an interactive Emacs interface (the type checker can assist in the development of your code). . Agda is also a proof assistant: It is an interactive system for writing and checking proofs. Agda is based on intuitionistic type theory, a foundational system for constructive mathematics developed by the Swedish logician Per Martin-Löf. It has many similarities with other proof assistants based on dependent types, such as Coq, Epigram and NuPRL. . The Agda standard library contains modules for many common data structures and proof patterns. Modules provided include: - Algebra: Specifying and reasoning about abstract algebraic structures - Category: Using idioms from category theory to structure functional programs - Coinduction: Support for programming coindutively - Data: Data types and properties about data types - Foreign: Relating to the foreign function interface - Induction: A general framework for induction - IO: Input/output related functions - Level: Universe levels - Relations: Properties of and proofs about relations - Size: Sizes used by the sized types mechanism . This package contains the complete library. Homepage: http://wiki.portal.chalmers.se/agda/ Section: libs Priority: extra Filename: pool/main/a/agda-stdlib/agda-stdlib_0.6-2_all.deb Package: agda-stdlib-doc Source: agda-stdlib Version: 0.6-2 Installed-Size: 18365 Maintainer: Iain Lane Architecture: all Suggests: agda-stdlib Size: 1704574 SHA256: 9a57d4fd00d8cc6c3ecf4920c85c7b8025f18b8b4faa44635403815b14245f68 SHA1: 5d0db43eeb4a6a83b951fbdcfdec80881f14888c MD5sum: fa69065940d2b43b5e9b4e70ba7d4837 Description: standard library for Agda — documentation Agda is a dependently typed functional programming language: It has inductive families, which are like Haskell's GADTs, but they can be indexed by values and not just types. It also has parameterised modules, mixfix operators, Unicode characters, and an interactive Emacs interface (the type checker can assist in the development of your code). . Agda is also a proof assistant: It is an interactive system for writing and checking proofs. Agda is based on intuitionistic type theory, a foundational system for constructive mathematics developed by the Swedish logician Per Martin-Löf. It has many similarities with other proof assistants based on dependent types, such as Coq, Epigram and NuPRL. . The Agda standard library contains modules for many common data structures and proof patterns. Modules provided include: - Algebra: Specifying and reasoning about abstract algebraic structures - Category: Using idioms from category theory to structure functional programs - Coinduction: Support for programming coindutively - Data: Data types and properties about data types - Foreign: Relating to the foreign function interface - Induction: A general framework for induction - IO: Input/output related functions - Level: Universe levels - Relations: Properties of and proofs about relations - Size: Sizes used by the sized types mechanism . This package contains the hyperlinked library documentation. Homepage: http://wiki.portal.chalmers.se/agda/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/a/agda-stdlib/agda-stdlib-doc_0.6-2_all.deb Package: agedu Version: 8928-1 Architecture: armhf Maintainer: Alexander Prinsier Installed-Size: 152 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://www.chiark.greenend.org.uk/~sgtatham/agedu/ Priority: optional Section: utils Filename: pool/main/a/agedu/agedu_8928-1_armhf.deb Size: 51426 SHA256: d5a422a2436e4eef613a440bfb0ecbc6d4c3d3854a7feb3d869fb8a480662ef4 SHA1: 0b4c1db78489178ad97c87d73288d60e3b94a4e1 MD5sum: 74f8988fd2d9ab412a4726f245d294c8 Description: a Unix utility for tracking down wasted disk space Unix provides the standard du utility, which scans your disk and tells you which directories contain the largest amounts of data. That can help you narrow your search to the things most worth deleting. . However, that only tells you what's big. What you really want to know is what's too big. By itself, du won't let you distinguish between data that's big because you're doing something that needs it to be big, and data that's big because you unpacked it once and forgot about it. . To make this difference, agedu relies on the atime of the files. Package: agenda.app Version: 0.42.2-1 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 620 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libaddresses0 (>= 0.4.7), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libical0 (>= 0.30), libobjc3 (>= 4.6), libuuid1 (>= 2.16), gnustep-fslayout-fhs Homepage: http://coyote.octets.fr/simpleagenda/ Priority: optional Section: gnustep Filename: pool/main/a/agenda.app/agenda.app_0.42.2-1_armhf.deb Size: 182482 SHA256: b1ca8fdfbd311fa222971ca1423898971726223ba807affdd232a3a937e555ee SHA1: f4db04776fb656212eb811457a12c61f1226428f MD5sum: 06ff40d606b351f8e13f9b9f28c53aeb Description: Calendar manager for GNUstep SimpleAgenda is a an application to manage your calendars. It handles both local and remote (webcal) calendars. Calendars can be shared with other programs like Evolution, Dates, IceApe Calendar and others. The following features are available: . * Multiple agendas. * Handle both events and tasks. * Support for local and remote (ftp, http) iCalendar agendas. * Monthly calendar, day view, summary and tasks view. * Create, resize and move appointments easily. * Export individual elements as files and to the pasteboard. * Import .ics files. * Simple text search. * Alarms with multiple backends. Package: aggregate Version: 1.6-7 Architecture: armhf Maintainer: Erik Wenzel Installed-Size: 52 Depends: libc6 (>= 2.13-28) Priority: optional Section: net Filename: pool/main/a/aggregate/aggregate_1.6-7_armhf.deb Size: 12556 SHA256: 2b4531e738389d6dd33d85ece6a1cb74b9e984c99848aeb9ee2434731c0662fd SHA1: b33d5ff6d0cd07dc96a3301ead29e41be069f9c4 MD5sum: b183be6765497ed2a795de24ca8955eb Description: ipv4 cidr prefix aggregator takes a list of prefixes in conventional format on stdin, and performs two optimisations to reduce the length of the prefix list. It removes any supplied prefixes which are supurfluous because they are already included in another supplied prefix (e.g., 203.97.2.0/24 would be removed if 203.97.0.0/17 was also supplied), and identifies adjacent prefixes that can be combined under a single, shorter-length prefix (e.g., 203.97.2.0/24 and 203.97.3.0/24 can be combined into the single prefix 203.97.2.0/23). Tag: implemented-in::c, interface::commandline, network::configuration, network::routing, protocol::ip, role::program, scope::utility, use::analysing, use::configuring, use::converting, use::routing, works-with-format::plaintext, works-with::network-traffic, works-with::text Package: aghermann Version: 0.6.0.1-1 Architecture: armhf Maintainer: Andrei Zavada Installed-Size: 1588 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libconfig++9, libfftw3-3, libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.14.0), libgomp1 (>= 4.2.1), libgsl0ldbl (>= 1.9), libgtk-3-0 (>= 3.3.16), libitpp7, libpango1.0-0 (>= 1.14.0), libsamplerate0 (>= 0.1.7), libstdc++6 (>= 4.6), libunique-3.0-0 (>= 2.90.1) Suggests: edfbrowser Homepage: http://johnhommer.com/academic/code/aghermann Priority: optional Section: science Filename: pool/main/a/aghermann/aghermann_0.6.0.1-1_armhf.deb Size: 388558 SHA256: aa21d8f9c551dd37c84d85c46ed229654e5b86fef09a2e6ab8090ce29adc82b3 SHA1: 7ea097270ddf05ac935a9c80677d2f74be62ce1e MD5sum: be93c1b545cfc54fcd255266c6828e03 Description: Sleep-research experiment manager Aghermann is a program designed around a common workflow in sleep-research, complete with scoring facility, EEG power spectrum and power course visualization, and Process S simulation following Achermann et al, 1993. Package: aglfn Version: 1.7-1 Installed-Size: 148 Maintainer: Debian Fonts Task Force Architecture: all Size: 36122 SHA256: 609294d6920bfca6f2db4362f7db128a125275bf18d02d308c970fc00cfba807 SHA1: ed923f31457dc461b4478ab1e7fb9a7893c297a7 MD5sum: 320ceb560cfa69f48b662403b7cb0c06 Description: Adobe Glyph List For New Fonts AGL (Adobe Glyph List) maps glyph names to Unicode values for the purpose of deriving content. AGLFN (Adobe Glyph List For New Fonts) is a subset of AGL that excludes the glyph names associated with the PUA (Private Use Area), and is meant to specify preferred glyph names for new fonts. Also included is the ITC Zapf Dingbats Glyph List, which is similar to AGL in that it maps glyph names to Unicode values for the purpose of deriving content, but only for the glyphs in the ITC Zapf Dingbats font. . Be sure to visit the AGL Specification and Developer Documentation pages for detailed information about naming glyphs, interpreting glyph names, and developing OpenType fonts. Homepage: http://sourceforge.net/adobe/aglfn/home/ Section: fonts Priority: extra Filename: pool/main/a/aglfn/aglfn_1.7-1_all.deb Package: agtl Version: 0.8.0.3-1 Installed-Size: 1372 Maintainer: Heiko Stuebner Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-gtk2, python (>= 2.6) | python-simplejson Size: 201790 SHA256: 8267409c08d7a6a28761c49950881d5046a3494842504dd6f6dee8eb43240346 SHA1: 170e9de5501785365f16f50483bcf6d78c0a9fb9 MD5sum: 71761f2380d339fd2e0d0f7588839e48 Description: Tool for paperless geocaching AGTL downloads cache locations in the area visible on the map including their description, hints, difficulty levels and images. Searching for caches in your local db is a matter of seconds. The currently selected cache is shown on the map (and also all the others if you want) and there's a traditional compass-like view that always points at the cache. Homepage: http://wiki.openmoko.org/wiki/Advanced_Geocaching_Tool_for_Linux Python-Version: 2.5, 2.6 Tag: field::geography, implemented-in::python, role::program, use::downloading Section: python Priority: extra Filename: pool/main/a/agtl/agtl_0.8.0.3-1_all.deb Package: aha Version: 0.4.4-1 Architecture: armhf Maintainer: Axel Beckert Installed-Size: 52 Depends: libc6 (>= 2.4) Homepage: http://ziz.delphigl.com/tool_aha.php Priority: extra Section: utils Filename: pool/main/a/aha/aha_0.4.4-1_armhf.deb Size: 9206 SHA256: f58e850c889772d231dd86b3a0d6e22ba0431e6b325658702432aca0c96e9e36 SHA1: 3783d685ff625cd493a4850f93ec6aac6b7a6059 MD5sum: 4a5214337f2d22743db82d9b6c9362b5 Description: ANSI color to HTML converter aha (ANSI HTML Adapter) converts ANSI colors to HTML, e.g. if you want to publish the output of ls --color=yes, git diff, ccal or htop as static HTML somewhere. Package: ahcpd Version: 0.53-1 Architecture: armhf Maintainer: Stéphane Glondu Installed-Size: 105 Depends: libc6 (>= 2.4) Enhances: babeld Homepage: http://www.pps.jussieu.fr/~jch/software/ahcp/ Priority: extra Section: net Filename: pool/main/a/ahcpd/ahcpd_0.53-1_armhf.deb Size: 30336 SHA256: 14dd5bfd798710bac16db6977ceb1b8b7d92d378abddb0403795f6c9242a4c63 SHA1: 9badb054c7e374ae47004591e83dcb272980c0f6 MD5sum: f1cda9a713bc1845eb3c87030a14f8d1 Description: Ad-Hoc Configuration Protocol AHCP is an autoconfiguration protocol for IPv6 and dual-stack IPv6/IPv4 networks designed to be used in place of router discovery and DHCP on networks where it is difficult or impossible to configure a server within every link-layer broadcast domain, for example mobile ad-hoc networks. AHCP will automatically configure the IPv6 prefix, an IPv4 address for every host, the routing protocol, the DNS server and the NTP server. It is currently able to configure hosts for static routing, for use of the OLSR protocol, or for use of the Babel protocol. AHCP is extensible, so adding support for other routing protocols should be easy. Package: ahven-dbg Source: ahven Version: 2.1-4 Architecture: armhf Maintainer: Reto Buerki Installed-Size: 332 Depends: libahven21.0 (= 2.1-4), libahven3-dev (= 2.1-4) Homepage: http://ahven.stronglytyped.org/ Priority: extra Section: debug Filename: pool/main/a/ahven/ahven-dbg_2.1-4_armhf.deb Size: 104920 SHA256: 402c35dff526c0d6bb53184ade6b595c12654bafb6f0f71c060ecea0266bf3cc SHA1: ac00780d6d334552b89494bb10a340f82e6f43c4 MD5sum: c90eec246675a49ee5d984f8f546918d Description: Unit test library for Ada (debug) Ahven is a simple unit test library for the Ada 95 programming language. It is loosely modeled after JUnit, and some ideas are taken from AUnit. Ahven is free software distributed under permissive ISC license and should work with any Ada 95 compiler. . This package contains the debug symbols. Package: aiccu Version: 20070115-15.1 Architecture: armhf Maintainer: Reinier Haasjes Installed-Size: 174 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libgnutls26 (>= 2.12.17-0), debconf, lsb-base, ucf, iputils-ping, iputils-tracepath, iproute Recommends: ntpdate | ntp | time-daemon, bind9-host | dnsutils Homepage: http://www.sixxs.net/tools/aiccu/ Priority: optional Section: net Filename: pool/main/a/aiccu/aiccu_20070115-15.1_armhf.deb Size: 55998 SHA256: 32a8ffacc30332c101406f70bf7b11a16ca3fc55ec698be631758368014943ce SHA1: 2cfae2ea0f6d6f0a4d2189de57442e39018bd424 MD5sum: f473edecee3acd437dd83217031ab994 Description: SixXS Automatic IPv6 Connectivity Client Utility This client configures IPv6 connectivity without having to manually configure interfaces etc. A SixXS account or an account of another supported tunnel broker and at least one tunnel are required. These can be freely requested from the SixXS website at no cost. For more information about SixXS check their homepage. Package: aide Version: 0.15.1-8 Architecture: armhf Maintainer: Aide Maintainers Installed-Size: 1152 Recommends: aide-common (= 0.15.1-8) Conflicts: aide-dynamic, aide-xen Breaks: aide-common (<< 0.15.1-6) Replaces: aide-common (<< 0.15.1-6) Provides: aide-binary Homepage: http://aide.sourceforge.net Priority: optional Section: admin Filename: pool/main/a/aide/aide_0.15.1-8_armhf.deb Size: 601558 SHA256: 36ebbe9ccf9aeb17e712e3c7154379a8be4fe16041618c28e558e6500d1a67bf SHA1: d82058124d74a04a45f33540bdb03d65482cc29d MD5sum: 9daeb7d753a856e326d28752d8b853b4 Description: Advanced Intrusion Detection Environment - static binary AIDE is an intrusion detection system that detects changes to files on the local system. It creates a database from the regular expression rules that it finds from the config file. Once this database is initialized it can be used to verify the integrity of the files. It has several message digest algorithms (md5, sha1, rmd160, tiger, haval, etc.) that are used to check the integrity of the file. More algorithms can be added with relative ease. All of the usual file attributes can also be checked for inconsistencies. . This package contains the statically linked binary for "normal" systems. Package: aide-common Source: aide Version: 0.15.1-8 Installed-Size: 313 Maintainer: Aide Maintainers Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, aide (>= 0.15.1-6) | aide-xen (>= 0.15.1-6) | aide-dynamic (>= 0.15.1-6), bsd-mailx | mailx, liblockfile1, ucf (>= 2.0020), initscripts (>= 2.88dsf-13.3) Recommends: cron Size: 102310 SHA256: 8b11d977cc9f028e8ceb102a8d79e7fcfea300679e05677d712e11b6af8eaed4 SHA1: a93034ab9bc66046bc484948cea3b7e6fd835b7d MD5sum: b6b97b1969fe2d17c70e82cfa27ed5cd Description: Advanced Intrusion Detection Environment - Common files AIDE is an intrusion detection system that detects changes to files on the local system. It creates a database from the regular expression rules that it finds from the config file. Once this database is initialized it can be used to verify the integrity of the files. It has several message digest algorithms (md5, sha1, rmd160, tiger, haval, etc.) that are used to check the integrity of the file. More algorithms can be added with relative ease. All of the usual file attributes can also be checked for inconsistencies. . This package contains base and configuration files that are needed to run the actual binaries. . You will almost certainly want to tweak the configuration file in /etc/aide/aide.conf or drop your own config snippets into /etc/aide/aide.conf.d. Homepage: http://aide.sourceforge.net Tag: role::app-data, security::ids Section: admin Priority: optional Filename: pool/main/a/aide/aide-common_0.15.1-8_all.deb Package: aide-dynamic Source: aide Version: 0.15.1-8 Architecture: armhf Maintainer: Aide Maintainers Installed-Size: 258 Depends: e2fslibs (>= 1.37), libacl1 (>= 2.2.51-8), libattr1 (>= 1:2.4.46-8), libc6 (>= 2.13-28), libmhash2, libselinux1 (>= 1.32), zlib1g (>= 1:1.1.4) Recommends: aide-common (= 0.15.1-8) Conflicts: aide, aide-xen Breaks: aide-common (<< 0.15.1-6) Replaces: aide-common (<< 0.15.1-6) Provides: aide-binary Homepage: http://aide.sourceforge.net Priority: extra Section: admin Filename: pool/main/a/aide/aide-dynamic_0.15.1-8_armhf.deb Size: 124060 SHA256: 8f44dbd7d423d71f3847429f7c16779326bcfd03878d2b0c78d916299097e98c SHA1: 42c58fd40c1a1ae2e8af0d5be7ea287813ebc48e MD5sum: eec0a71c488255face703658944a679d Description: Advanced Intrusion Detection Environment - dynamic binary AIDE is an intrusion detection system that detects changes to files on the local system. It creates a database from the regular expression rules that it finds from the config file. Once this database is initialized it can be used to verify the integrity of the files. It has several message digest algorithms (md5, sha1, rmd160, tiger, haval, etc.) that are used to check the integrity of the file. More algorithms can be added with relative ease. All of the usual file attributes can also be checked for inconsistencies. . This package contains a dynamically linked binary and should only be used in exeptional circumstances. To avoid exposure to trojaned libraries, it is advised to use one of the statically linked binaries. Package: aide-xen Source: aide Version: 0.15.1-8 Architecture: armhf Maintainer: Aide Maintainers Installed-Size: 1152 Recommends: aide-common (= 0.15.1-8) Conflicts: aide, aide-dynamic Breaks: aide-common (<< 0.15.1-6) Replaces: aide-common (<< 0.15.1-6) Provides: aide-binary Homepage: http://aide.sourceforge.net Priority: extra Section: admin Filename: pool/main/a/aide/aide-xen_0.15.1-8_armhf.deb Size: 601576 SHA256: 561d5cab2246ffd73cf41204c7a8bbfd85e629e19a1fcf112471dedb6958f283 SHA1: 2c5d23f1da2517536f81fccd335124d5f0a1ad5c MD5sum: eefe6e15ff8f54086d75c6082455cf8d Description: Advanced Intrusion Detection Environment - static binary for XEN AIDE is an intrusion detection system that detects changes to files on the local system. It creates a database from the regular expression rules that it finds from the config file. Once this database is initialized it can be used to verify the integrity of the files. It has several message digest algorithms (md5, sha1, rmd160, tiger, haval, etc.) that are used to check the integrity of the file. More algorithms can be added with relative ease. All of the usual file attributes can also be checked for inconsistencies. . This package contains the statically linked binary for XEN-enabled systems and should be used in Dom0 and DomU. Package: aiksaurus Version: 1.2.1+dev-0.12-6.1 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 59 Depends: libaiksaurus-1.2-0c2a (>= 1.2.1+dev-0.12), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: libaiksaurus-bin Replaces: libaiksaurus-bin Provides: libaiksaurus-bin Priority: optional Section: text Filename: pool/main/a/aiksaurus/aiksaurus_1.2.1+dev-0.12-6.1_armhf.deb Size: 12200 SHA256: b30bd979d954f09931577f7e8935680751532c042f32047807c5cc0890278d78 SHA1: 5e322d52157a1547813f8dade28cca4b91a036f3 MD5sum: 710dc1cae35b37bf71e7ddf2d97ff48c Description: an English-language thesaurus (utility) Aiksaurus is an English-language thesaurus that is suitable for integration with word processors, email composers, and other authoring software. . This package contains aiksaurus, a command-line frontend for Aiksaurus. Package: airport-utils Version: 2-2 Installed-Size: 515 Maintainer: Julien BLACHE Architecture: all Depends: openjdk-6-jre | java5-runtime Size: 397154 SHA256: eba4db1759b5031e7a9a157d41b1314addde4fb310c9859d1c22b6c5787a5738 SHA1: d686acd38519d776eba2e49ee88b35775e9b6f69 MD5sum: f4d040ac1d72530fc7816568aea5d967 Description: configuration and management utilities for Apple AirPort base stations This package contains various utilities to manage the Apple AirPort base stations. . Be aware that Apple released several versions of the AirPort base station; the original AirPort ("Graphite") was a rebranded Lucent RG-1000 base station, doing 802.11a/b. The AirPort Extreme ("Snow") is an Apple-built 802.11a/b/g base station. . For the original Apple AirPort and the Lucent RG-1000 base stations only: - airport-config: base station configurator - airport-linkmon: wireless link monitor, gives information on the wireless link quality between the base station and the associated hosts . For the Apple AirPort Extreme base stations only: - airport2-config: base station configurator - airport2-portinspector: port maps monitor - airport2-ipinspector: WAN interface monitoring utility . For all: - airport-modem: modem control utility, displays modem state, starts/stops modem connections, displays the approximate connection time (Extreme only) - airport-hostmon: wireless hosts monitor, lists wireless hosts connected to the base station (see airport2-portinspector for the Snow) Tag: admin::configuring, network::configuration, role::program, scope::utility, use::configuring Section: net Priority: optional Filename: pool/main/a/airport-utils/airport-utils_2-2_all.deb Package: airstrike Version: 0.99+1.0pre6a-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 137 Depends: airstrike-common, libc6 (>= 2.13-28), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11) Homepage: http://icculus.org/airstrike/ Priority: optional Section: games Filename: pool/main/a/airstrike/airstrike_0.99+1.0pre6a-5_armhf.deb Size: 47342 SHA256: f2d0e7f6ea63e222cb42e4c442a66479b2778f95d721c1002e5241a10a99ab55 SHA1: 5caf05f1eaf755c0eee3b5608021d9b7981a144c MD5sum: 1751b25b93c534b4a82318aa1ed0f1e5 Description: 2d dogfight game in the tradition of 'Biplanes' and 'BIP' Airstrike is a 2d dogfight game in the tradition of the Intellivision and Amiga games 'Biplanes' and 'BIP'. It features a robust physics engine and several other extensions of the original games. Playable by 1 or 2 persons. Package: airstrike-common Source: airstrike Version: 0.99+1.0pre6a-5 Installed-Size: 2336 Maintainer: Debian Games Team Architecture: all Replaces: airstrike (<< 0.99+1.0pre6a-2) Size: 1877424 SHA256: 08f1887720dfc481da34495d283d6c2032f93eb97fbbb5881fcf9d3711953a1f SHA1: bd5edbcf2246b80114ca6dbe1bd8e571388ef141 MD5sum: aa924ac5b3a1664696933a2bd68501ff Description: 2d dogfight game in the tradition of 'Biplanes' and 'BIP' Airstrike is a 2d dogfight game in the tradition of the Intellivision and Amiga games 'Biplanes' and 'BIP'. It features a robust physics engine and several other extensions of the original games. It is currently 0-2 player only, but will hopefully have network play and some more advanced computer controlled enemies in the future. The graphics have been created using the Povray raytracer, and should be easy to extend and modify. . This package contains the architecture-independent data files. Homepage: http://icculus.org/airstrike/ Tag: interface::x11, role::app-data, uitoolkit::sdl, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/a/airstrike/airstrike-common_0.99+1.0pre6a-5_all.deb Package: aisleriot Version: 1:3.4.1-1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 10137 Depends: dconf-gsettings-backend | gsettings-backend, gconf2 (>= 2.28.1-2), gconf-service, guile-2.0-libs, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.18), libgtk-3-0 (>= 3.0.0), librsvg2-2 (>= 2.32.0) Suggests: gnome-cards-data Breaks: gnome-games (<< 1:3.1.0), gnome-games-data (<< 1:3.1.0) Replaces: gnome-games (<< 1:3.1.0), gnome-games-data (<< 1:3.1.0) Homepage: https://live.gnome.org/Aisleriot Priority: optional Section: games Filename: pool/main/a/aisleriot/aisleriot_3.4.1-1_armhf.deb Size: 2657212 SHA256: 7ef6a73d268073a94e19c64d7507dc79c627b8b51ef889875524cc9ab4aa5fe3 SHA1: 1f2baff6d8c2b112e9793c23bf524c9fad5cb19c MD5sum: f75f57f314e377befd057f69089bc432 Description: GNOME solitaire card game collection This is a collection of over eighty different solitaire card games, including popular variants such as spider, freecell, klondike, thirteen (pyramid), yukon, canfield and many more. Package: aj-snapshot Version: 0.9.6-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 64 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libmxml1 Homepage: http://aj-snapshot.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/a/aj-snapshot/aj-snapshot_0.9.6-1_armhf.deb Size: 16512 SHA256: b2cb5f2a1904060182ca9f24147fd29bb5f2606e07741b6b7180b98b198c3cb4 SHA1: 9609528aabc8c3c6a822c75c6d0f827f3aace3bd MD5sum: 90699648eb59c63b1dc6518b576a0771 Description: make snapshots of JACK connections Aj-snapshot is a small program that can be used to make snapshots of the connections made between JACK and/or ALSA clients. Because JACK can provide both audio and MIDI support to programs, aj-snapshot can store both types of connections for JACK. ALSA, on the other hand, only provides routing facilities for MIDI clients. Aj-snapshot is meant to be used from the command line. Package: ajaxterm Version: 0.10-12 Installed-Size: 256 Maintainer: Julien Valroff Architecture: all Depends: python (>= 2.6.6-7~), adduser Recommends: apache2 | httpd, openssh-server, python-psyco Suggests: openssl Size: 46378 SHA256: 523da4a55c085ec4880a339bffb14eaa44aeb2099ad42386ae80d4b6335995ae SHA1: 8ad7132650eed16b67b2999c74407b3989574140 MD5sum: eb1f732afec808be3db17b3cff81965d Description: Web based terminal written in Python Ajaxterm is a web based terminal written in Python and some AJAX javascript for client side. It can use almost any web browser and even works through firewalls. Homepage: http://antony.lesuisse.org/qweb/trac/wiki/AjaxTerm Tag: implemented-in::python, interface::shell, interface::web, network::service, protocol::http, role::program, web::server Section: web Priority: optional Filename: pool/main/a/ajaxterm/ajaxterm_0.10-12_all.deb Package: akonadi-backend-mysql Source: akonadi Version: 1.7.2-3+deb7u1 Installed-Size: 50 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: akonadi-server (<< 1.3.60~) Depends: mysql-server-core-5.5 | mysql-server-core, libqt4-sql-mysql Breaks: akonadi-server (<< 1.3.60~) Size: 11706 SHA256: 613134edb0bd4511a488af0c68b66c4cd7f640a0cf59a991efb798f15c03a644 SHA1: fe7c6143ef8aa19bf6c002dcbaefde67ec547b88 MD5sum: a475fae297febbe1b2a5207f1eae40c4 Description: MySQL storage backend for Akonadi Akonadi is an extensible cross-desktop Personal Information Management (PIM) storage service. It provides a common framework for applications to store and access mail, calendars, addressbooks, and other PIM data. . This package installs everything what's needed for Akonadi to work with MySQL as underlying data storage engine. By default, a local MySQL server instance will be started for each user. Alternatively, connection to an external MySQL database is supported as well. Homepage: http://pim.kde.org/akonadi Recommends: akonadi-server Section: misc Priority: extra Filename: pool/main/a/akonadi/akonadi-backend-mysql_1.7.2-3+deb7u1_all.deb Package: akonadi-backend-postgresql Source: akonadi Version: 1.7.2-3+deb7u1 Installed-Size: 36 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: akonadi-server (<< 1.3.60~) Depends: libqt4-sql-psql Breaks: akonadi-server (<< 1.3.60~) Size: 9978 SHA256: ac1b427f8f91a87c1e90d75a4b990849257fae6cff64e64eb47b870070181e16 SHA1: 6b4b9d21d27cdfd149646ee8fa5f99223f6e7cf6 MD5sum: fec57d37da417f26f0b276c28826af2d Description: PostgreSQL storage backend for Akonadi Akonadi is an extensible cross-desktop Personal Information Management (PIM) storage service. It provides a common framework for applications to store and access mail, calendars, addressbooks, and other PIM data. . This package installs everything what's needed for Akonadi to work with PostgreSQL as underlying data storage engine. By default, a local PostgreSQL server instance will be started for each user. Alternatively, connection to an external PostgreSQL database is supported as well. Homepage: http://pim.kde.org/akonadi Recommends: akonadi-server, postgresql Section: misc Priority: extra Filename: pool/main/a/akonadi/akonadi-backend-postgresql_1.7.2-3+deb7u1_all.deb Package: akonadi-backend-sqlite Source: akonadi Version: 1.7.2-3+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 60 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-sql (>= 4:4.6.1), libqtcore4 (>= 4:4.7.0~beta1), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0) Recommends: akonadi-server Homepage: http://pim.kde.org/akonadi Priority: extra Section: misc Filename: pool/main/a/akonadi/akonadi-backend-sqlite_1.7.2-3+deb7u1_armhf.deb Size: 26628 SHA256: 02d2535300a0bc3af2b187a4ba072471e8d998d22e7ca325bac3b2f7b25f610e SHA1: e494c5c28e793345c1f9bca182d24b9da23c4b49 MD5sum: a44d5d75a38946ac9d17bf93a79deaee Description: SQLite storage backend for Akonadi Akonadi is an extensible cross-desktop Personal Information Management (PIM) storage service. It provides a common framework for applications to store and access mail, calendars, addressbooks, and other PIM data. . This package installs everything what's needed for Akonadi to work with SQLite as underlying data storage engine. Since SQLite is an embedded database engine, a separate SQL server daemon is not necessary. . In addition, the package contains an improved QSql driver for SQLite named "QSQLite3". It can be used by any application that needs to access SQLite databases via standard Qt QSql framework. Package: akonadi-dbg Source: akonadi Version: 1.7.2-3+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 30540 Suggests: akonadi-server (= 1.7.2-3+deb7u1) Homepage: http://pim.kde.org/akonadi Priority: extra Section: debug Filename: pool/main/a/akonadi/akonadi-dbg_1.7.2-3+deb7u1_armhf.deb Size: 5909168 SHA256: b3132880377581cc196c7f5f1051092283714ce0dec87f3555cc5f765de7213b SHA1: 84ba97607b0a97dc390169def965f5a793e4e3a9 MD5sum: 473b0049d441b28bbe9c9c9204ade12e Description: debugging symbols for the Akonadi PIM storage service Akonadi is an extensible cross-desktop Personal Information Management (PIM) storage service. It provides a common framework for applications to store and access mail, calendars, addressbooks, and other PIM data. . This package contains debugging files used to investigate problems with the Akonadi PIM storage service. Package: akonadi-kde-resource-googledata Source: akonadi-googledata Version: 1.2.0-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 658 Depends: kde-runtime, kdepim-runtime, libakonadi-kde4 (>= 4:4.5.86), libc6 (>= 2.13-28), libgcal0 (>= 0.9.6), libgcc1 (>= 1:4.4.0), libkabc4 (>= 4:4.4.4), libkcal4 (>= 4:4.4.4), libkdecore5 (>= 4:4.4.4), libkdeui5 (>= 4:4.4.4), libkio5 (>= 4:4.4.4), libkresources4 (>= 4:4.4.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://code.google.com/p/libgcal/ Priority: extra Section: net Filename: pool/main/a/akonadi-googledata/akonadi-kde-resource-googledata_1.2.0-1_armhf.deb Size: 118522 SHA256: c79412ea67b414e8ef9ddb49a4374bf53830b28a5a9c6c50d8619863cf53be0a SHA1: 2820b6d7d3692242411d9b391fc11747380dd5ee MD5sum: 0a43e1f4feb109ec5abfec0a5aa9efa6 Description: Google calendar and contacts resource for Akonadi It provides an easy access to Google calendars events and contacts. . This package contains the Google calendar and contacts resource for Akonadi, a Personal Information Management (PIM) storage service. Package: akonadi-server Source: akonadi Version: 1.7.2-3+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1818 Depends: libakonadiprotocolinternals1 (= 1.7.2-3+deb7u1), libboost-program-options1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.6.1), libqt4-network (>= 4:4.6.0), libqt4-sql (>= 4:4.6.0), libqt4-xml (>= 4:4.6.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.6.0), libsoprano4 (>= 2.2.69), libstdc++6 (>= 4.4.0), akonadi-backend-mysql (= 1.7.2-3+deb7u1) | akonadi-backend-sqlite (= 1.7.2-3+deb7u1) | akonadi-backend-postgresql (= 1.7.2-3+deb7u1) Suggests: akonadi-backend-mysql (= 1.7.2-3+deb7u1), akonadi-backend-sqlite (= 1.7.2-3+deb7u1), akonadi-backend-postgresql (= 1.7.2-3+deb7u1) Homepage: http://pim.kde.org/akonadi Priority: extra Section: net Filename: pool/main/a/akonadi/akonadi-server_1.7.2-3+deb7u1_armhf.deb Size: 461574 SHA256: 3215ecf9b3322d96bd5190b6a5c8d00746326eb08a5dbbaee47e4b7334786cfd SHA1: 34ba630de40d1ae49eda89fe4fefedb1b2f796ad MD5sum: 6840e69a1465b5973ca065dc8b029f86 Description: Akonadi PIM storage service Akonadi is an extensible cross-desktop Personal Information Management (PIM) storage service. It provides a common framework for applications to store and access mail, calendars, addressbooks, and other PIM data. . This package contains the Akonadi PIM storage server and associated programs. Package: akonadiconsole Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 412 Depends: kde-runtime, kdepim-runtime, libakonadi-contact4 (>= 4:4.6), libakonadi-kde4 (>= 4:4.6), libakonadiprotocolinternals1 (>= 1.5.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkabc4 (>= 4:4.6), libkcal4 (>= 4:4.6), libkcmutils4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdepim4 (= 4:4.4.11.1+l10n-3), libkdeui5 (>= 4:4.6), libkio5 (>= 4:4.6), libnepomuk4 (>= 4:4.6), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Breaks: akonadi-kde (<< 4:4.3.0), kdepim-runtime (<< 4:4.4.0) Replaces: akonadi-kde (<< 4:4.3.0), kdepim-runtime (<< 4:4.4.0) Homepage: http://pim.kde.org/ Priority: optional Section: utils Filename: pool/main/k/kdepim/akonadiconsole_4.4.11.1+l10n-3_armhf.deb Size: 147940 SHA256: 4646a4406cba5e2dc5fb2add0d30451aeb6af021238fef32257868adf53204f0 SHA1: ba17ab277dc4d910cc83865dd563efe7259a3bca MD5sum: 877a0c3d163a37272a74b75de2475e86 Description: management and debugging console for akonadi Akonadi Console is a useful utility that can be used to explore or manage Akonadi. Since this utility exposes Akonadi internals, it is very useful for debugging but may also prove handy in other cases. . This package is part of the KDE PIM module. Package: akregator Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 8361 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.6), libkde3support4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdepim4 (= 4:4.4.11.1+l10n-3), libkdeui5 (>= 4:4.6), libkhtml5 (>= 4:4.6), libkio5 (>= 4:4.6), libknotifyconfig4 (>= 4:4.6), libkontactinterface4 (>= 4:4.6), libkparts4 (>= 4:4.6), libkpimutils4 (>= 4:4.6), libqt4-dbus (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libsyndication4 (>= 4:4.6) Conflicts: kde-l10n Breaks: kontact (<< 4:4.1.80) Replaces: kontact (<< 4:4.1.80) Homepage: http://akregator.kde.org/ Priority: optional Section: web Filename: pool/main/k/kdepim/akregator_4.4.11.1+l10n-3_armhf.deb Size: 5351786 SHA256: 5a2c8bd2f1df29c4d17baf1b0fa781512bba13683394430deb5c5b54b64c856b SHA1: 6f38d0a06c375951e576af03fea09a00678e41ea MD5sum: 412b7e0dc1e19ed2c36af15a2b560cd8 Description: RSS/Atom feed aggregator Akregator is a news feed reader. It enables you to follow news sites, blogs and other RSS/Atom-enabled websites without the need to manually check for updates using a web browser. Akregator is designed to be both easy to use and to be powerful enough to read hundreds of news sources conveniently. It comes with Konqueror integration for adding news feeds and with an internal browser for easy news reading. . This package is part of the KDE PIM module. Package: alacarte Version: 3.5.3-1 Installed-Size: 286 Maintainer: Debian GNOME Maintainers Architecture: all Depends: python (>= 2.6.6-7~), python-gi (>= 3.0), gir1.2-gtk-3.0, gir1.2-gmenu-3.0, gir1.2-glib-2.0, gir1.2-gdkpixbuf-2.0 Recommends: gnome-panel Size: 104504 SHA256: 629aee0cdbb973a1aeb063af86655692a4ea9aa292793b8478e0f4077a699b01 SHA1: a34d34e5996b6eb090283562dab74bda65731bf5 MD5sum: 645e69ae0c09595faa94dbe17ec74f29 Description: easy GNOME menu editing tool Alacarte is an easy-to-use menu editor for GNOME that can add and edit new entries and menus. It works with the freedesktop.org menu specification and should work with any desktop environment that uses the spec. Tag: admin::configuring, implemented-in::python, interface::x11, role::program, scope::utility, suite::gnome, uitoolkit::gtk, use::configuring, x11::application Section: utils Priority: optional Filename: pool/main/a/alacarte/alacarte_3.5.3-1_all.deb Package: alarm-clock Version: 1.2.5-1.2 Architecture: armhf Maintainer: Ryan Niebur Installed-Size: 1646 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.0), libgtk2.0-0 (>= 2.14.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27) Homepage: http://gnome-automate.net/ Priority: optional Section: utils Filename: pool/main/a/alarm-clock/alarm-clock_1.2.5-1.2_armhf.deb Size: 620598 SHA256: 01d9d5204fb79f875af7088589565f41a020066b015158a3f6f81ecb5da1d8ec SHA1: e5841aa46423ca6bd8e9031ccafd08c327b6a22c MD5sum: 725e8b8d5793f281fa1c1ab8137a00ee Description: Alarm Clock for GTK Environments Alarm Clock is the personal alarm clock for GTK+ desktop environments. It supports sound fading, scheduled alarms, snooze option, passive window reminders, exception lists for scheduled alarms, exporting alarms and much more! Package: alarm-clock-applet Version: 0.3.3-1 Architecture: armhf Maintainer: Chow Loong Jin Installed-Size: 969 Depends: gstreamer0.10-plugins-base, gstreamer0.10-gconf, gconf-service, libappindicator1 (>= 0.4.90), libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.20.0), libgstreamer0.10-0 (>= 0.10.2), libgtk2.0-0 (>= 2.20.0), libnotify4 (>= 0.7.0), libunique-1.0-0 (>= 1.0.0), libxml2 (>= 2.7.4), gconf2 (>= 2.28.1-2) Homepage: http://alarm-clock.pseudoberries.com/ Priority: optional Section: gnome Filename: pool/main/a/alarm-clock-applet/alarm-clock-applet_0.3.3-1_armhf.deb Size: 204238 SHA256: 8ab1fe38135ccd511e90d53315568cfca10b51d23c5fee3167461a67b1c53328 SHA1: 6573d9fddd923049e0340a485f8010cf81cb6c79 MD5sum: 6004bfd19e60c8646d914f0cea2e52a1 Description: Alarm Clock applet Alarm Clock is a fully-featured alarm clock which resides in the notification area. It is easy to use yet powerful with support for multiple and repeatable alarms, as well as snoozing and a flexible notification system. . Two types of alarms are supported: Alarm Clocks and Timers. Notification is done by either playing a sound or launching an application. Package: aldo Version: 0.7.6-1 Architecture: armhf Maintainer: Giuseppe Martino (denever) Installed-Size: 166 Depends: libao4 (>= 1.1.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Priority: optional Section: hamradio Filename: pool/main/a/aldo/aldo_0.7.6-1_armhf.deb Size: 66332 SHA256: fe1b90763b09793414620bf183bcbcb30d9de1d29a850a6ddfb577d10527f62c SHA1: 44fffd70b11da429d38a7ebc4487cfeff4f6a253 MD5sum: d9d2fefadc51e67ac1f7c5bf50931317 Description: Morse code training program Aldo is a morse code learning tool which provides four type of training methods: blocks, koch, file, callsign. Blocks: Identify blocks of random characters played in morse code. Koch: Two morse characters will be played at full speed (20wpm) until you'll be able to identify at least 90 percent of them. After that, one more character will be added, and so on. File: Identify played characters generated from a file. Callsign: Identify random callsigns played in morse code. Package: ale Version: 0.9.0.3-1.1 Architecture: armhf Maintainer: Ruben Molina Installed-Size: 627 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://auricle.dyndns.org/ALE/ Priority: optional Section: graphics Filename: pool/main/a/ale/ale_0.9.0.3-1.1_armhf.deb Size: 310716 SHA256: a27552c8f9cde4757a1c7c755209f10e34839ae5dc74d83abba1d521d4a2540c SHA1: 61930a6c7f40fc9cb7f276100c05d1b959a3afd5 MD5sum: dace358dedb349fff277c5f0bfe6e590 Description: synthetic capture engine and renderer ALE aligns and merges several similar images from a digitizing device (such as a digital camera or scanner) into a single image. This operation can create a higher fidelity image by using details from several separate images combined into the final image. It can also be used to merge the images into a mosaic or panoramic image. Package: alembic Version: 0.3.4+ds-3 Installed-Size: 834 Maintainer: Debian Python Modules Team Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-sqlalchemy (>= 0.7~), python-sqlalchemy (<< 0.8), python-mako, libjs-sphinxdoc (>= 1.0) Recommends: python-pkg-resources Suggests: python2.6-argparse Size: 208134 SHA256: 794b59279ea439b98c7b70eb4ed7d0619d4c8496fc90709d94da632278d90641 SHA1: b08ec6859cd1597801d19f4536dc3a7bfc4b01be MD5sum: 5f811e46448a6eaac9069105d01e8e00 Description: lightweight database migration tool for SQLAlchemy Alembic is a new database migration tool, written by the author of SQLAlchemy. A migration tool offers the following functionality: . * Can emit ALTER statements to a database in order to change the structure of tables and other constructs * Provides a system whereby "migration scripts" may be constructed; each script indicates a particular series of steps that can "upgrade" a target database to a new version, and optionally a series of steps that can "downgrade" similarly, doing the same steps in reverse. * Allows the scripts to execute in some sequential manner. Homepage: http://www.bitbucket.org/zzzeek/alembic Section: python Priority: optional Filename: pool/main/a/alembic/alembic_0.3.4+ds-3_all.deb Package: alevt Version: 1:1.6.2-5 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 252 Depends: libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4), libx11-6, zlib1g (>= 1:1.1.4), udev | makedev (>= 2.3.1-24) Homepage: http://www.goron.de/~froese/ Priority: extra Section: x11 Filename: pool/main/a/alevt/alevt_1.6.2-5_armhf.deb Size: 99854 SHA256: cd07ef795afcd2cc6ea8e39c8d674256701403e9e813b1285a9463b7fcbfcbaf SHA1: 12b281e1cc749712033605580eeb77b5f47edea3 MD5sum: 84b7f173aa1f1d17b56246669e308c83 Description: X11 Teletext/Videotext browser AleVT is an X11 program for browsing and searching Teletext/Videotext pages received by a compatible decoder (at the moment, bttv). . Features include: . * Multiple windows * Page cache * Regular expression searching * Built-in manual . Additional command line utilities can . * receive the time from Teletext/Videotext * capture pages and write them to disk . Teletext/Videotext is used by TV channels to transmit textual information pages (it's transmitted via non-visible scan lines). Package: alevtd Source: xawtv Version: 3.102-3 Architecture: armhf Maintainer: Krzysztof Burghardt Installed-Size: 119 Depends: libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4), libzvbi0 (>= 0.2.11), zlib1g (>= 1:1.1.4) Priority: extra Section: net Filename: pool/main/x/xawtv/alevtd_3.102-3_armhf.deb Size: 57540 SHA256: d33aefbb0116b0bc0c39800643f7e93e3b99dae20302dfe3fb7e2c0a138edb0b SHA1: e1480ac30f6a6c478cb42406fa7bc96433372ef9 MD5sum: 13c847207b166bac3be40d87ca097f00 Description: HTTP daemon for teletext pages This package provides an HTTP daemon which serves teletext pages as HTML. Package: alex Version: 3.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 13492 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Homepage: http://www.haskell.org/alex/ Priority: extra Section: haskell Filename: pool/main/a/alex/alex_3.0.1-1_armhf.deb Size: 2223756 SHA256: b026c097320fa4a2f6a3aab15567030cda68017300b2dedaddcceef1e3e24350 SHA1: 4582103eafff2e9ed31df6340b61f13f35a4680f MD5sum: cacf1efc36012a9cdc8730ad8dd78f53 Description: lexical analyser generator for Haskell Alex is a tool for generating lexical analysers in Haskell, given a description of the tokens to be recognised in the form of regular expressions. It is similar to the tool lex or flex for C/C++. Package: alex4 Version: 1.1-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 166 Depends: alex4-data (= 1.1-5), libaldmb1, liballegro4.2 (>= 2:4.2.2), libc6 (>= 2.13-28), libdumb1 Homepage: http://allegator.sourceforge.net/ Priority: optional Section: games Filename: pool/main/a/alex4/alex4_1.1-5_armhf.deb Size: 63368 SHA256: 4fc5a2dc058eb4d8d94841dfa1345ed55341f9fc5b3a0bbe638d33e2bd0c63db SHA1: a0022d6ab8c5d58bb43c09ddf5145aed4f0c8afc MD5sum: 1b23b1b61cfd6da38d6665d0c972f184 Description: Alex the Allegator 4 - a retro platform game Guide Alex the Allegator through the jungle in order to save his girlfriend Lola from evil humans who want to make a pair of shoes out of her. Plenty of classic platforming in four nice colors guaranteed! . The game includes a built-in editor so you can design and share your own maps. Package: alex4-data Source: alex4 Version: 1.1-5 Installed-Size: 624 Maintainer: Debian Games Team Architecture: all Size: 581170 SHA256: a2fce56b63563ed7b90f8b3e2bfec5c61f21e8e042249da1a5ff41a7e473179f SHA1: d3ef84cd55e4703e2e78f70c54ee5ea91a211b6c MD5sum: 2b47043759872ee647ed378dfd327d81 Description: Alex the Allegator 4 - game data Guide Alex the Allegator through the jungle in order to save his girlfriend Lola from evil humans who want to make a pair of shoes out of her. Plenty of classic platforming in four nice colors guaranteed! . This package contains the game data files. Homepage: http://allegator.sourceforge.net/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/a/alex4/alex4-data_1.1-5_all.deb Package: algol68g Version: 2.4.1-1 Architecture: armhf Maintainer: Tomas Fasth Installed-Size: 1006 Depends: libc6 (>= 2.13-28) Homepage: http://jmvdveer.home.xs4all.nl/algol.html Priority: extra Section: devel Filename: pool/main/a/algol68g/algol68g_2.4.1-1_armhf.deb Size: 422212 SHA256: 2ffbffbc7d679cc4eb9a67567bf7254037d2878be1972195770ace0cd997a290 SHA1: c1bdbb6c4a647dc4b438d9f4202a18aeeda59fd4 MD5sum: c7e5dbb052c78e66d2e9527daf078256 Description: Implementation of Algol 68 as defined by the Revised Report Algol 68 is a secure, expression-oriented programming language with which you elegantly code algorithms without having to bother too much about irrelevant technical details and limitations inherent to many other languages. . Algol 68 Genie offers for example: » many runtime checks facilitating debugging and improving the reliability of your programs, » native support for arbitrary precision arithmetic including complex numbers, » syntactic constructions to support linear algebra, » a gdb-style debugger and a pretty-printer to beautify source code, » optional linkage to GNU plotutils, the GNU scientific library or PostgreSQL, » extensions as UNIX pipes, regular expression matching, and web page content fetching. Package: algotutor Version: 0.8.6-1 Installed-Size: 596 Maintainer: Georges Khaznadar Architecture: all Depends: perl, perl-tk Size: 189980 SHA256: 193f7cb068244b21a0b6ad3574cd8aafbf05ac8e8404b3271987ae4dad8b45c7 SHA1: fc7b5f5bccb139457229b42c35979efdef82f467 MD5sum: 90bc0c5855b836c31fcd5f1a5aa85d23 Description: program for observing the intermediate steps of algorithm algotutor is an interactive program for observing the intermediate steps of algorithms ("algorithm animation"). The target audience is computer science students and/or anyone who studies algorithms and/or data structures. One can create data files in plain text format (actually perl anonymous hashes, but one need not care) and let algotutor runs through some predefined algorithm. Then one can step backward and forward through the execution sequence of the algorithm at different levels of details. Homepage: http://people.ofset.org/~ckhung/p/algotutor/ Tag: field::mathematics, implemented-in::perl, interface::x11, role::program, science::calculation, scope::utility, uitoolkit::tk, use::simulating, x11::application Section: science Priority: extra Filename: pool/main/a/algotutor/algotutor_0.8.6-1_all.deb Package: alice Version: 0.19-1 Installed-Size: 892 Maintainer: Debian Perl Group Architecture: all Depends: perl, libany-moose-perl, libanyevent-dbi-perl, libanyevent-http-perl, libanyevent-irc-perl, libanyevent-perl, libdbd-sqlite3-perl, libfile-sharedir-perl, libirc-formatting-html-perl, libjson-perl (>= 2.12), liblist-moreutils-perl, libplack-middleware-session-perl, libplack-perl, libsql-abstract-perl, libtext-microtemplate-perl, libtry-tiny-perl, twiggy Suggests: libdesktop-notify-perl Size: 207842 SHA256: 6d72f72f3b07171ccc7f8f995b71b93bde82be6ee54904d0b1045b9190573a9e SHA1: 99a1a0549dee9106a36bade9ca72aef98ceddc9f MD5sum: 845f365980e0ab55662d2e66313e7d15 Description: Web browser (WebKit or Gecko) based IRC client Alice is an acronym for "Altogether Lovely Internet Chatting Experience" which is an IRC client that is viewed in the web browser. Alice runs in the background maintaining connections and collecting messages. When a browser connects, it will display the 100 most recent messages for each channel, and update with any new messages as they arrive. . Alice also logs messages to an SQLite database. These logs are searchable through the web interface. . For desktop notifications install libdesktop-notify-perl. Homepage: https://github.com/leedo/alice Tag: implemented-in::perl, interface::daemon, interface::web, protocol::irc, role::program Section: perl Priority: optional Filename: pool/main/a/alice/alice_0.19-1_all.deb Package: alien Version: 8.87 Installed-Size: 209 Maintainer: Joey Hess Architecture: all Depends: debhelper (>= 7), perl, rpm (>= 2.4.4-2), dpkg-dev, make, cpio, rpm2cpio Suggests: patch, bzip2, lsb-rpm, lintian, lzma Size: 85412 SHA256: 0b402e6fbb01deb07cc17197efb5a825d76add83c23998f6edf9d347944634c2 SHA1: 5f867886bc7c2b6b80386655ef3e7a877d89f0dc MD5sum: b54891b6d57c1de454639e67ecbe072c Description: convert and install rpm and other packages Alien allows you to convert LSB, Red Hat, Stampede and Slackware Packages into Debian packages, which can be installed with dpkg. . It can also generate packages of any of the other formats. . This is a tool only suitable for binary packages. Homepage: http://kitenet.net/~joey/code/alien/ Tag: devel::packaging, implemented-in::perl, interface::commandline, role::program, suite::debian, use::converting, works-with-format::tar, works-with::archive, works-with::software:package Section: admin Priority: optional Filename: pool/main/a/alien/alien_8.87_all.deb Package: alien-hunter Version: 1.7-1 Installed-Size: 164 Maintainer: Debian Med Packaging Team Architecture: all Depends: libbytecode-java, libbiojava-java, libcommons-cli-java, libcommons-collections-java, libcommons-dbcp-java, libcommons-pool-java Suggests: bioperl Size: 30470 SHA256: b14a9d86c15c81d52de9a4fec0d6e95b44b4c098c92a744223bcb22a977bc1d0 SHA1: 2f90ab2be15c6900176cbbfbf949f9e5cac0d933 MD5sum: c4c9dbbf5c191a6586b1b260c89fbca0 Description: Interpolated Variable Order Motifs to identify horizontally acquired DNA Alien_hunter is an application for the prediction of putative Horizontal Gene Transfer (HGT) events with the implementation of Interpolated Variable Order Motifs (IVOMs). An IVOM approach exploits compositional biases using variable order motif distributions and captures more reliably the local composition of a sequence compared to fixed-order methods. Optionally the predictions can be parsed into a 2-state 2nd order Hidden Markov Model (HMM), in a change-point detection framework, to optimize the localization of the boundaries of the predicted regions. The predictions (embl format) can be automatically loaded into Artemis genome viewer freely available at: http://www.sanger.ac.uk/Software/Artemis/. . The manuscript describing the alien_hunter algorithm is available from Bioinformatics: Interpolated variable order motifs for identification of horizontally acquired DNA: revisiting the Salmonella pathogenicity islands. Vernikos GS, Parkhill J Bioinformatics. 2006;. PMID: 16837528 Homepage: http://www.sanger.ac.uk/Software/analysis/alien_hunter/ Tag: field::biology, field::biology:structural, implemented-in::java, implemented-in::perl, role::program, scope::utility, use::analysing Section: science Priority: optional Filename: pool/main/a/alien-hunter/alien-hunter_1.7-1_all.deb Package: alienblaster Version: 1.1.0-7 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 579 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), alienblaster-data Homepage: http://www.schwardtnet.de/alienblaster/ Priority: extra Section: games Filename: pool/main/a/alienblaster/alienblaster_1.1.0-7_armhf.deb Size: 229018 SHA256: 92e5985d105be7e1929f3deb99febd4d47901e82345da588320674274846989f SHA1: ef8f331e8b5705cdaf5c2fd29bc4f2e3fbf8c8d7 MD5sum: 7b395469c80e930ef204e2e872b423e6 Description: Classic 2D shoot 'em up Your mission is simple: Stop the invasion of the aliens and blast them! . Alien Blaster is a classic 2D shoot 'em up featuring lots of different weapons, special items, aliens to blast and a big bad boss. . It supports both a single player mode and a cooperative two player mode for two persons playing on one computer. Package: alienblaster-data Source: alienblaster Version: 1.1.0-7 Installed-Size: 11716 Maintainer: Debian Games Team Architecture: all Size: 6470302 SHA256: 194d0b6d84e636edc4e7cee0ae8740ac29b2d233f231b1a989ec6ba6683ee4e7 SHA1: 28fdee257dd954211062ce7d9d94a086d4ec7a32 MD5sum: c5a3afa213627786adb6755959a04a49 Description: Game data for Alien Blaster Your mission is simple: Stop the invasion of the aliens and blast them! . Alien Blaster is a classic 2D shoot 'em up featuring lots of different weapons, special items, aliens to blast and a big bad boss. . It supports both a single player mode and a cooperative two player mode for two persons playing on one computer. . This package provides the architecture-independant game media. Homepage: http://www.schwardtnet.de/alienblaster/ Tag: role::app-data Section: games Priority: extra Filename: pool/main/a/alienblaster/alienblaster-data_1.1.0-7_all.deb Package: aliki Version: 0.1.0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 199 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libclalsadrv2, libclthreads2, libclxclient3, libfftw3-3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0), libx11-6, libxft2 (>> 2.1.1) Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/downloads/index.html Priority: optional Section: sound Filename: pool/main/a/aliki/aliki_0.1.0-1_armhf.deb Size: 76712 SHA256: cc17f79c779eec65240b088505e750b5fcedd6ff090dc068225cc23f82afb567 SHA1: 921c619ffdde482b2b324f15a55d1fd53f1a9874 MD5sum: 69230253de08499d5f9da4e09a0616bf Description: Measurement tool for Impulse Responses Measure Impulse Responses using a sine sweep and deconvolution. For more complete introduction to this method, see http://kokkinizita.linuxaudio.org/linuxaudio/downloads/aliki-manual.pdf Package: aliki-dbg Source: aliki Version: 0.1.0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 93 Depends: aliki (= 0.1.0-1) Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/downloads/index.html Priority: extra Section: debug Filename: pool/main/a/aliki/aliki-dbg_0.1.0-1_armhf.deb Size: 18358 SHA256: 5be34327b2520add8bf14220a582606b75936c20d47a3d49647d3757d9f21d7f SHA1: b9cd2ac31c971980c840bb7ac46f665ec3f0c16b MD5sum: 30e6c445f529db3505d06e5d2dba2b3c Description: Debugging symbols for aliki Measure Impulse Responses using a sine sweep and deconvolution. For more complete introduction to this method, see http://kokkinizita.linuxaudio.org/linuxaudio/downloads/aliki-manual.pdf . This package contains the debugging symbols. Package: all-knowing-dns Version: 1.3-1 Installed-Size: 108 Maintainer: Michael Stapelberg Architecture: all Depends: perl, libmouse-perl, libmousex-nativetraits-perl, libnet-dns-perl, libnetaddr-ip-perl, libprivileges-drop-perl Size: 22844 SHA256: 2d9bc620c07ec9adc33aad3b5414c1ab273b9506285ea1c09e1db06b6c5f69e8 SHA1: c1f982d0d16fbe3f368e563dcbcfddffa208abcf MD5sum: b5637431fa35731632bcdda70fe35ed7 Description: tiny DNS server for IPv6 Reverse DNS AllKnowingDNS provides reverse DNS for IPv6 networks which use SLAAC (autoconf), e.g. for a /64 network. . The problem with IPv6 reverse DNS and traditional nameservers is that the nameserver requires you to provide a zone file. Assuming you want to provide RDNS for a /64 network, you have 2**64 = 18446744073709551616 different usable IP addresses (a little less if you are using SLAAC). Providing a zone file for that, even in a very terse notation, would consume a huge amount of disk space and could not possibly be held in the memory of the computers available nowadays. . AllKnowingDNS instead generates PTR and AAAA records on the fly. You only configure which network you want to serve and what your entries should look like. Homepage: http://search.cpan.org/dist/AllKnowingDNS/ Section: perl Priority: optional Filename: pool/main/a/all-knowing-dns/all-knowing-dns_1.3-1_all.deb Package: allegro-demo Source: allegro4.2 Version: 2:4.2.2-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 235 Depends: liballegro4.2 (>= 2:4.2.2), libc6 (>= 2.13-28), libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxpm4, libxxf86vm1, allegro-demo-data Priority: optional Section: games Filename: pool/main/a/allegro4.2/allegro-demo_4.2.2-3_armhf.deb Size: 126928 SHA256: 4ea551247e41d027eec1ae4faa94e796ec66f83c8b2c3d0d84042a1dabdaed6c SHA1: 88fc09fa5b811d47c6d6d8474844f15c07e83d42 MD5sum: cd74ff761b914cea6c4e6f74c66c216f Description: cool game, demonstrating power of the Allegro library This game is distributed with the Allegro library and shows a new Allegro programmer the power of this lib. But it is more than an usual feature demo, it is fully playable and may make some fun... Package: allegro-demo-data Version: 3.9.36-7 Installed-Size: 432 Maintainer: Debian allegro packages maintainers Architecture: all Recommends: allegro-demo Conflicts: allegro-demo (<< 1:3936-1) Size: 369166 SHA256: d70cb8812ac286f2a0f1858110783f182112c02b58395d62619cc048515e4a6c SHA1: 787cb0d992c0229d9b07f27401c8394cbf2e3d78 MD5sum: 0466f776416a54eddb35d8e81a0e4e25 Description: graphics and audio data for allegro-demo This package contains the architecture-independent data for allegro-demo, a demonstration game of the Allegro library, cross-platform library for computer games and multimedia programming. For more information, see the liballegro-doc package. Tag: devel::library, game::arcade, game::demos, role::app-data, use::gameplaying Section: devel Priority: optional Filename: pool/main/a/allegro-demo-data/allegro-demo-data_3.9.36-7_all.deb Package: allegro-examples Source: allegro4.2 Version: 2:4.2.2-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 810 Depends: dialog, liballegro4.2 (>= 2:4.2.2), libc6 (>= 2.13-28) Suggests: liballegro-doc Priority: optional Section: utils Filename: pool/main/a/allegro4.2/allegro-examples_4.2.2-3_armhf.deb Size: 381606 SHA256: c6726a4464893f78d2569ac49b3b9866f1164a2705f45c2e0a802601e1e2a0d8 SHA1: 09e61bb81bd3249bc82238421b6e1c0559bf572f MD5sum: b9a4940e6cd82d98e77aeb0b9bdb892f Description: example programs and demo tools for the Allegro library This package contain some example programs that are distributed with the Allegro library and small tools that can be used on console or in X11: . - FLI player - WAV/VOC player - simple graphical calculator - graphical midi "keyboard" . The programs are accessible via the "allegro-examples" program. Package: allegro4-doc Source: allegro4.4 Version: 2:4.4.2-2.1 Installed-Size: 3611 Maintainer: Debian Games Team Architecture: all Replaces: liballegro-doc (<= 2:4.3) Depends: dpkg (>= 1.15.4) | install-info Conflicts: liballegro-doc (<= 2:4.3) Size: 1810692 SHA256: d5d33d56d5dadaf74dde0198666e7e58d26def231b72b3692acdf8b68f8c9490 SHA1: 7b93f0b1e5371811576acdf1d6361d26eb3d5dc1 MD5sum: 8df5eef574ea5986a5646af9d0e4f6f5 Description: documentation for the Allegro library This package contains the Allegro documentation in various formats, FAQs and other documentation about the Allegro library and the source of the example programs. . Allegro is a cross-platform library mainly aimed at video game and multimedia programming. It handles common, low-level tasks such as creating windows, accepting user input, loading data, drawing images, playing sounds, etc. and generally abstracting away the underlying platform. Homepage: http://www.liballeg.org Section: doc Priority: optional Filename: pool/main/a/allegro4.4/allegro4-doc_4.4.2-2.1_all.deb Package: alleyoop Version: 0.9.8-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 915 Depends: gconf2 (>= 2.28.1-2), gconf-service, libart-2.0-2 (>= 2.3.17), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libxml2 (>= 2.6.27), valgrind Homepage: http://alleyoop.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/a/alleyoop/alleyoop_0.9.8-1_armhf.deb Size: 126246 SHA256: 0c82e02fdc6a03faf745f59d3f4f21bbca8d2034f4696a7fcc40ae951cc765e6 SHA1: 76e93fc8861f126729b072a20bed8aeb245714f3 MD5sum: 5877be0c35addc55dc419af58800a6bc Description: Front-end to the Valgrind memory checker Alleyoop is a GNOME front-end to the Valgrind memory checker, including features like intelligently suppressing errors and launching editors at the error position in the source code. . Valgrind helps you to find memory-management problems in your programs. When a program is run under Valgrind's supervision, all reads and writes of memory are checked, and calls to malloc/new/free/delete are intercepted. Package: alliance Version: 5.0-20120515-1 Architecture: armhf Maintainer: Roland Stigge Installed-Size: 15011 Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.6), libx11-6, libxext6, libxp6, libxpm4, libxt6 Homepage: http://www-soc.lip6.fr/en/recherche/cian/alliance/ Priority: optional Section: electronics Filename: pool/main/a/alliance/alliance_5.0-20120515-1_armhf.deb Size: 6232852 SHA256: d6c7357f216094f41e53d35d654ea0c696cc2a606312338a6676f4096e8c6737 SHA1: 2a2c95ed08515c5ba7a95861661e83cf3e456ff2 MD5sum: 16463678fcd72455f7ffd9cd9b3fcb38 Description: VLSI CAD Tools Alliance is a complete set of free CAD tools and portable libraries for VLSI design. It includes a VHDL compiler and simulator, logic synthesis tools, and automatic place and route tools. . A complete set of portable CMOS libraries is provided, including a RAM generator, a ROM generator and a data-path compiler. . Alliance is the result of more than ten years effort spent at ASIM department of LIP6 laboratory of the Pierre et Marie Curie University (Paris VI, France). . Alliance has been used for research projects such as the 875 000 transistors StaCS superscalar microprocessor and 400 000 transistors IEEE Gigabit HSL Router. . Alliance provides CAD tools covering most of all the digital design flow: * VHDL Compilation and Simulation * Model checking and formal proof * RTL and Logic synthesis * Data-Path compilation * Macro-cells generation * Place and route * Layout edition * Netlist extraction and verification * Design rules checking Package: alltray Version: 0.71b-1 Architecture: armhf Maintainer: Ignace Mouzannar Installed-Size: 174 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6 Homepage: http://alltray.trausch.us/ Priority: optional Section: x11 Filename: pool/main/a/alltray/alltray_0.71b-1_armhf.deb Size: 56786 SHA256: 6bf8b17b1b732da47a5ff6c598d98fd404023efae8ccd807997d08d5daac3b76 SHA1: 3a808c21babaae80f970e6a33327e971b5718a38 MD5sum: 41b73b56e353ff0c11ff88a8dfddd23e Description: Dock any program into the system tray With AllTray you can dock any application with no native tray icon (like Evolution, Thunderbird, Terminals) into the system tray. A high-light feature is that a click on the "close" button will minimize back to system tray. It works well with GNOME, KDE, Xfce 4*, Fluxbox* and WindowMaker*. (*) No drag 'n drop support. Enable with "-nm" option. Package: almanah Version: 0.9.1-1 Architecture: armhf Maintainer: Angel Abad Installed-Size: 926 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcryptui0a, libebook-1.2-13 (>= 3.4.4), libecal-1.2-11 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libedataserverui-3.0-1 (>= 3.4.4), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgpg-error0 (>= 1.10), libgpgme11 (>= 1.2.0), libgtk-3-0 (>= 3.0.0), libgtkspell-3-0, libical0 (>= 0.31), libpango1.0-0 (>= 1.18.0), libsoup2.4-1 (>= 2.4.0), libsqlite3-0 (>= 3.5.9), libxml2 (>= 2.6.27), dconf-gsettings-backend | gsettings-backend Recommends: seahorse Homepage: http://live.gnome.org/Almanah_Diary Priority: extra Section: gnome Filename: pool/main/a/almanah/almanah_0.9.1-1_armhf.deb Size: 213322 SHA256: f92bec9c3944005f9f82cdd70e3e7f2c713ca88035fd6d71892c6f6e36799724 SHA1: 90878120035d6db16e6bc7c25f06c1703c1781fb MD5sum: c5df0a597a721e9142cd30f9f05345e1 Description: Application to ease management of a personal diary Almanah is a small application to ease management of a personal diary. It has basic editing and linking abilities like: . - adding links to other content to diary entries - database encryption - search and printing support Package: alpine Version: 2.02+dfsg-2 Architecture: armhf Maintainer: Asheesh Laroia Installed-Size: 6362 Depends: mlock, libc6 (>= 2.13-28), libgssapi-krb5-2 (>= 1.10+dfsg~), libkrb5-3 (>= 1.6.dfsg.2), libldap-2.4-2 (>= 2.4.7), libpam0g (>= 0.99.7.1), libssl1.0.0 (>= 1.0.0), libtinfo5 Recommends: alpine-doc Suggests: aspell, mail-transport-agent | exim4 Conflicts: pine Replaces: pine Homepage: http://sourceforge.net/projects/re-alpine/ Priority: optional Section: mail Filename: pool/main/a/alpine/alpine_2.02+dfsg-2_armhf.deb Size: 3057600 SHA256: 1d7626182e66ca70e5af400bf5bbf97dba63663b2f1f1d3589d7762dfff7e7c8 SHA1: bba0f4d09f758e827d2d67c99452277c64ebb8a5 MD5sum: 8f24d560acf6243ecbf809138f24a859 Description: Text-based email client, friendly for novices but powerful Alpine is an upgrade of the well-known PINE email client. Its name derives from the use of the Apache License and its ties to PINE. . It features a full suite of support for mail protocols like IMAP and SMTP and security protocols like TLS. It uses curses for its interface. Package: alpine-dbg Source: alpine Version: 2.02+dfsg-2 Architecture: armhf Maintainer: Asheesh Laroia Installed-Size: 9751 Depends: alpine (= 2.02+dfsg-2) | alpine-pico (= 2.02+dfsg-2) | pilot (= 2.02+dfsg-2) Suggests: aspell Homepage: http://sourceforge.net/projects/re-alpine/ Priority: extra Section: debug Filename: pool/main/a/alpine/alpine-dbg_2.02+dfsg-2_armhf.deb Size: 4072096 SHA256: edb5335cf873da6f51f09412ae03755fba974459e8195f7b23943d1dcd1f1394 SHA1: 19542bf60bd0213fdf002abbf2a95894b41ec5b2 MD5sum: cb8a8452ad4ff41e95ea57a63442848c Description: Text-based email client's debugging symbols Alpine is an upgrade of the well-known PINE email client. Its name derives from the use of the Apache License and its ties to PINE. . It features a full suite of support for mail protocols like IMAP and SMTP and security protocols like TLS. It uses curses for its interface. . This build stores the debugging symbols to the alpine package. Package: alpine-doc Source: alpine Version: 2.02+dfsg-2 Installed-Size: 913 Maintainer: Asheesh Laroia Architecture: all Suggests: alpine Size: 390332 SHA256: 8a095007115505389b437d12e2858c6089d007d027766895b8ee18694fa3829a SHA1: 51930e095d64668956d30e5394c5734d4c8ef478 MD5sum: 0276c5582b208e2fcc7c2cae891145f7 Description: Text-based email client's documentation Alpine is an upgrade of the well-known PINE email client. Its name derives from the use of the Apache License and its ties to PINE. . This package stores documentation for alpine. Homepage: http://sourceforge.net/projects/re-alpine/ Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/alpine/alpine-doc_2.02+dfsg-2_all.deb Package: alpine-pico Source: alpine Version: 2.02+dfsg-2 Architecture: armhf Maintainer: Asheesh Laroia Installed-Size: 701 Depends: libc6 (>= 2.13-28), libtinfo5 Homepage: http://sourceforge.net/projects/re-alpine/ Priority: extra Section: editors Filename: pool/main/a/alpine/alpine-pico_2.02+dfsg-2_armhf.deb Size: 392238 SHA256: 82e3d6e95e1c8f01b28904707ab9ed58f3a06f3a27373b831530c70b7db9aa77 SHA1: 648925872a887309ff808ac30e71fffe27588359 MD5sum: b5c95d8b3e028c71919b771630f2219a Description: Simple text editor from Alpine, a text-based email client "pico" is a simple but powerful text editor. It was originally the pine composer, the editor used by the pine email client for writing email messages. . It has gained popularity since its initial use in that context and is now used as a stand-alone editor by many users. . It is similar to but less powerful than GNU Nano, an editor created with the pico interface when the pico license was non-free. Package: alqalam Version: 0.2-6 Installed-Size: 1460 Maintainer: Debian TeX maintainers Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 3), texlive-lang-arabic, texlive-latex-base Suggests: imagemagick Size: 876834 SHA256: 8224b80eb66f7505ab91a8d97063fc9693c00edede46fd9edde626b55ba90b06 SHA1: ba496b580f53df9490783c151a9f43e58633d4d9 MD5sum: 961a6a84028a7a7a56fda94464ce52a8 Description: Qur'an typesetting macros for TeX/LaTeX AlQalam (``the pen'' in Arabic) is a freely available system intended for typesetting the Qur'an, other traditional texts, and any publications in the languages using the Arabic script. Homepage: http://tech.groups.yahoo.com/group/QuranTypesetting/ Tag: culture::arabic, implemented-in::TODO, made-of::tex, role::plugin, use::typesetting, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/a/alqalam/alqalam_0.2-6_all.deb Package: alsa-base Version: 1.0.25+3~deb7u1 Installed-Size: 131 Maintainer: Debian ALSA Maintainers Architecture: all Provides: alsa Depends: kmod, procps, udev Pre-Depends: dpkg (>= 1.15.7.2~) Recommends: alsa-utils Suggests: alsa-oss, oss-compat Breaks: linux-sound-base Size: 61092 SHA256: b4a0b27e237131e7db59405b1da73c54383bcebc9420e758f308de4c31dd2f60 SHA1: 3e49eae7cc9d6e8da8c586a8dbf019227ade6a1c MD5sum: 8f6d3fac92e543c3b77eb116d60fae59 Description: ALSA driver configuration files This package contains various configuration files for the ALSA drivers. . For ALSA to work on a system with a given sound card, there must be an ALSA driver for that card in the kernel. Linux as shipped in linux-image packages contains ALSA drivers for all supported sound cards in the form of loadable modules. . Please read the README.Debian file for more information about loading modules. . ALSA is the Advanced Linux Sound Architecture. Homepage: http://www.alsa-project.org/ Tag: admin::configuring, admin::hardware, admin::kernel, role::app-data, works-with::audio Section: sound Priority: optional Filename: pool/main/a/alsa-base/alsa-base_1.0.25+3~deb7u1_all.deb Package: alsa-firmware-loaders Source: alsa-tools Version: 1.0.25-2 Architecture: armhf Maintainer: Debian ALSA Maintainers Installed-Size: 174 Depends: fxload, udev, libasound2 (>= 1.0.16), libc6 (>= 2.13-28) Homepage: http://www.alsa-project.org/ Priority: extra Section: contrib/sound Filename: pool/main/a/alsa-tools/alsa-firmware-loaders_1.0.25-2_armhf.deb Size: 34108 SHA256: 34701faf279db79debc2922cd2d3f3adc92e8dc5d05e25c6f07ccaf1f8bfd20a SHA1: fa46c783684473c1464ecf7a80af2bf31284bdb3 MD5sum: 7307b56fc94ef35aba6c9749bcf09a7c Description: ALSA software loaders for specific hardware A collection of software loaders for specific hardware: . cspctl - Sound Blaster 16 ASP/CSP control program hdsploader - firmware loader for the RME Hammerfall DSP cards mixartloader - firmware loader for Digigram's miXart board sound drivers pcxhrloader - firmware loader for Digigram pcxhr compatible soundcards sscape_ctl - SoundScape control utility and firmware loader usx2yloader - firmware loader for Tascam USX2Y USB soundcards vxloader - firmware loader for Digigram VX soundcards Package: alsa-oss Version: 1.0.25-1 Architecture: armhf Maintainer: Debian ALSA Maintainers Installed-Size: 121 Pre-Depends: multiarch-support Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28) Homepage: http://www.alsa-project.org/ Priority: optional Section: sound Filename: pool/main/a/alsa-oss/alsa-oss_1.0.25-1_armhf.deb Size: 32696 SHA256: 6b049d94f02715dbf80afd9d5de01aedbe818dc33836f1488423a457da8392ca SHA1: bb7ffc33a99ac2ba48cf3a360ca5fa47811cdd22 MD5sum: a65af3431691fc375fed5a90f502fa15 Description: ALSA wrapper for OSS applications This package contains a program loader, aoss, which wraps applications written for OSS in a compatibility library, thus allowing them to work with ALSA. . There are two ways of getting an application to work with ALSA if the application was written for OSS. The first way is to load the special ALSA drivers that emulate the OSS kernel interface; these allow the application to open /dev/dsp0 and other OSS device files. The second way is to wrap the application in the libaoss library provided in this package; the wrapper causes the application to access native ALSA device files such as /dev/snd/pcmC0D0c instead of OSS device files. . Use of the alsa-oss library is recommended over the use of OSS-emulation drivers if you want to use ALSA's PCM plugin layer. . ALSA is the Advanced Linux Sound Architecture. . OSS is the free version of the Open Sound System. Package: alsa-source Source: alsa-driver Version: 1.0.23+dfsg-4 Installed-Size: 3800 Maintainer: Debian ALSA Maintainers Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, make, gcc | c-compiler, dpkg-dev, debhelper (>= 5.0.37), debconf-utils, bzip2 Recommends: kernel-package, fakeroot, module-assistant, linux-headers Size: 3774116 SHA256: 77536bac24ae0dbe63ac9b85730a95dc3e1f2f53399cc98a6e4a92e2959197b7 SHA1: c9877706c7b114bd6ece369ea02cf1d538acee4d MD5sum: 5b6be80c8f65558fecd6d5155c804769 Description: ALSA driver sources This package contains the source code for the ALSA drivers. The source code can be compiled into an alsa-modules package using the m-a utility (available in the module-assistant package). Please note that the kernel headers must be installed to compile these modules. Please read the README.Debian file for more information about loading and building modules. . ALSA is the Advanced Linux Sound Architecture. Homepage: http://www.alsa-project.org/ Tag: admin::hardware, admin::kernel, implemented-in::c, role::source, use::driver, works-with::audio Section: kernel Priority: optional Filename: pool/main/a/alsa-driver/alsa-source_1.0.23+dfsg-4_all.deb Package: alsa-tools Version: 1.0.25-2 Architecture: armhf Maintainer: Debian ALSA Maintainers Installed-Size: 251 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Conflicts: as10k1 Replaces: as10k1 Provides: as10k1 Homepage: http://www.alsa-project.org/ Priority: extra Section: sound Filename: pool/main/a/alsa-tools/alsa-tools_1.0.25-2_armhf.deb Size: 90804 SHA256: e034fd6c31a9f6e61326cc5d49c33b0609a3e2b84cdda5cd00c90b66796a0eeb SHA1: 07be0887466e2989eb5e55010d748b36d0afd37a MD5sum: 46ebcd9e384f1f32990c2dde964d815e Description: Console based ALSA utilities for specific hardware A collection of console-based utilities for specific sound hardware: . ac3dec - A free AC-3 stream decoder as10k1 - An assembler for the EMU10K1 (EMU10K2) DSP chip sbiload - OPL2/3 FM instrument loader for the ALSA sequencer us428control - Controller utility for Tascam US-X2Y Package: alsa-tools-gui Source: alsa-tools Version: 1.0.25-2 Architecture: armhf Maintainer: Debian ALSA Maintainers Installed-Size: 655 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfltk1.1 (>= 1.1.6), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.2.1) Homepage: http://www.alsa-project.org/ Priority: extra Section: sound Filename: pool/main/a/alsa-tools/alsa-tools-gui_1.0.25-2_armhf.deb Size: 247428 SHA256: 6209ce7fc9d4bb2191af84cf491b4593bdc3499f8a46689456cf0519a7ac2ed3 SHA1: 1fbc0353657171f8930d03a09e5b9e18c73b24bf MD5sum: 536bd62338f7ca9e6cb05e8a6fb2826e Description: GUI based ALSA utilities for specific hardware A collection of GUI based ALSA utilities for specific sound hardware: . echomixer - control tool for Echoaudio soundcards envy24control - control tool for Envy24 (ice1712) based soundcards hdspconf - GUI program to control the Hammerfall HDSP Alsa Settings. hdspmixer - tool to control the advanced routing features of the RME Hammerfall DSP. rmedigicontrol - control tool for RME Digi32 and RME Digi96 soundcards Package: alsa-utils Version: 1.0.25-4 Architecture: armhf Maintainer: Debian ALSA Maintainers Installed-Size: 1941 Depends: lsb-base (>= 3.0-9), kmod, whiptail | dialog, libasound2 (>= 1.0.25), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncursesw5 (>= 5.6+20080119), libsamplerate0 (>= 0.1.7), libtinfo5 Recommends: alsa-base (>= 1.0.15), pciutils Provides: audio-mixer Homepage: http://www.alsa-project.org/ Priority: optional Section: sound Filename: pool/main/a/alsa-utils/alsa-utils_1.0.25-4_armhf.deb Size: 1165258 SHA256: 063a64953df0a278fc10a0ebc552d36d423fa97d77574f220a198c3f054e96cb SHA1: 7ecffacaef00b474964e625f5bc9653427193188 MD5sum: c613fff68710426173ec2b19a4e2e3ca Description: Utilities for configuring and using ALSA Included tools: - amixer: command line mixer - alsamixer: curses mixer - amidi: read from and write to ALSA RawMIDI ports - aplay, arecord: command line playback and recording - aplaymidi, arecordmidi: command line MIDI playback and recording - aconnect, aseqnet, aseqdump: command line MIDI sequencer control . ALSA is the Advanced Linux Sound Architecture. Package: alsamixergui Version: 0.9.0rc2-1-9.1 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 106 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.6-6), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Provides: x-audio-mixer Priority: optional Section: sound Filename: pool/main/a/alsamixergui/alsamixergui_0.9.0rc2-1-9.1_armhf.deb Size: 29340 SHA256: 87b0f0f122a489615411dd54e0ed37ac704c2aec1b0f790e2da4bcf27e511547 SHA1: 61ae628fbeb77e850d8837e7335cce7d5c103e28 MD5sum: 2703f3bb3986390bee9bef77ca0f9c54 Description: graphical soundcard mixer for ALSA soundcard driver alsamixergui is an FLTK based mixer program for use with the ALSA soundcard drivers. It supports multiple soundcards with multiple devices. . alsamixergui is a frontend for alsamixer, written directly on top of the alsamixer source, leaving the original source intact, only adding a couple of ifdefs, and some calls to the gui part. It provides exactly the same functionality, but with a graphical user interface. . Homepage: http://www.iua.upf.es/~mdeboer/projects/alsamixergui/ Package: alsaplayer-alsa Source: alsaplayer Version: 0.99.80-5.1 Architecture: armhf Maintainer: Tony Palma Installed-Size: 74 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28) Recommends: alsaplayer-common Provides: alsaplayer-output Homepage: http://www.alsaplayer.org/ Priority: optional Section: sound Filename: pool/main/a/alsaplayer/alsaplayer-alsa_0.99.80-5.1_armhf.deb Size: 32980 SHA256: 10028d0b75581f96f62526ceaadf1eba9b584c1b5d03cae5cd79cc469f196c66 SHA1: a335476456f14127bde1556c063dc89fe8184715 MD5sum: 04e5f66423a975d88241e91a3ac414df Description: PCM player designed for ALSA (ALSA output module) Alsaplayer is a PCM player designed specifically for use with ALSA, but works great with OSS or EsounD. It's heavily threaded which cuts down on skipping, offers optional and even simultaneous visual scopes, plays mp3, mp2, ogg, cdda, audiofs, and lots more. . This is a module for alsaplayer that will output the sound through ALSA. Package: alsaplayer-common Source: alsaplayer Version: 0.99.80-5.1 Architecture: armhf Maintainer: Tony Palma Installed-Size: 431 Depends: libc6 (>= 2.13-28), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libid3tag0 (>= 0.15.1b), libmad0 (>= 0.15.1b-3), libmikmod2 (>= 3.1.10), libogg0 (>= 1.0rc3), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), zlib1g (>= 1:1.1.4), alsaplayer-alsa | alsaplayer-output, alsaplayer-gtk | alsaplayer-interface Provides: alsaplayer Homepage: http://www.alsaplayer.org/ Priority: optional Section: sound Filename: pool/main/a/alsaplayer/alsaplayer-common_0.99.80-5.1_armhf.deb Size: 179124 SHA256: 56e736256e4ef08fc79269942f05e2606c817a2952051214ab4c0ca8bae94a7e SHA1: 243e6b1e829c65d95de9281b8493a901d9e097c6 MD5sum: 622ea8965abd49ce16dec3278d0dead6 Description: PCM player designed for ALSA (common files) Alsaplayer is a PCM player designed specifically for use with ALSA, but works great with OSS or EsounD. It's heavily threaded which cuts down on skipping, offers optional and even simultaneous visual scopes, plays mp3, mp2, ogg, cdda, audiofs, and lots more. . This package contains the main alsaplayer program, and needs one interface plugin and one output plugin. By default, GTK+ interface and alsa output will be installed. Package: alsaplayer-daemon Source: alsaplayer Version: 0.99.80-5.1 Architecture: armhf Maintainer: Tony Palma Installed-Size: 87 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Recommends: alsaplayer-common Provides: alsaplayer-interface Homepage: http://www.alsaplayer.org/ Priority: optional Section: sound Filename: pool/main/a/alsaplayer/alsaplayer-daemon_0.99.80-5.1_armhf.deb Size: 33114 SHA256: a4827e709d85e750c0a50867c08a70f5bc653cd327f76ce3c4a6ebd02a7226ee SHA1: 041d225fa7be14c812ea9ed9b0c3712a0f077f36 MD5sum: 69421b44da34949ec2b5fcbcec511de0 Description: PCM player designed for ALSA (non-interactive version) Alsaplayer is a PCM player designed specifically for use with ALSA, but works great with OSS or EsounD. It's heavily threaded which cuts down on skipping, offers optional and even simultaneous visual scopes, plays mp3, mp2, ogg, cdda, audiofs, and lots more. . This package provides a non-interactive (daemon) interface to the player, so that it is only accessible via a remote control application. Package: alsaplayer-esd Source: alsaplayer Version: 0.99.80-5.1 Architecture: armhf Maintainer: Tony Palma Installed-Size: 70 Depends: libc6 (>= 2.13-28), libesd0 (>= 0.2.35) Recommends: alsaplayer-common Provides: alsaplayer-output Homepage: http://www.alsaplayer.org/ Priority: optional Section: sound Filename: pool/main/a/alsaplayer/alsaplayer-esd_0.99.80-5.1_armhf.deb Size: 31180 SHA256: a272f307ab30af73b38e1333021e2c94d5a346038556ae8ba802780f4f474b78 SHA1: dea91a8337bd0331393004e68ac8a83ab59baca1 MD5sum: b07e11cf62d112542f1e1b704860f38a Description: PCM player designed for ALSA (EsounD output module) Alsaplayer is a PCM player designed specifically for use with ALSA, but works great with OSS or EsounD. It's heavily threaded which cuts down on skipping, offers optional and even simultaneous visual scopes, plays mp3, mp2, ogg, cdda, audiofs, and lots more. . This is a module for alsaplayer that will output the sound through EsounD. Package: alsaplayer-gtk Source: alsaplayer Version: 0.99.80-5.1 Architecture: armhf Maintainer: Tony Palma Installed-Size: 538 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.3.0) Recommends: alsaplayer-common Provides: alsaplayer-interface Homepage: http://www.alsaplayer.org/ Priority: optional Section: sound Filename: pool/main/a/alsaplayer/alsaplayer-gtk_0.99.80-5.1_armhf.deb Size: 193960 SHA256: 5d9fa970747b272aee22430625394c148e12064863ae57b4cbbc14b2762ac6e3 SHA1: 5783935a11f3d32cef5587aa3c2f05ec68d2a0a9 MD5sum: 3a02c0e8eb80a8c84c8c07c729486af2 Description: PCM player designed for ALSA (GTK+ version) Alsaplayer is a PCM player designed specifically for use with ALSA, but works great with OSS or EsounD. It's heavily threaded which cuts down on skipping, offers optional and even simultaneous visual scopes, plays mp3, mp2, ogg, cdda, audiofs, and lots more. . This package provides a GTK+ interface to alsaplayer. Package: alsaplayer-jack Source: alsaplayer Version: 0.99.80-5.1 Architecture: armhf Maintainer: Tony Palma Installed-Size: 78 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libstdc++6 (>= 4.1.1) Recommends: alsaplayer-common Provides: alsaplayer-output Homepage: http://www.alsaplayer.org/ Priority: optional Section: sound Filename: pool/main/a/alsaplayer/alsaplayer-jack_0.99.80-5.1_armhf.deb Size: 35294 SHA256: 2e21b243be13b453e50c90810577b76af026c6f43d6647d7386413c1a6bccb4c SHA1: 1a406d2a81410f7a3e3fcaaaa8d1ce5d09b992a7 MD5sum: 6f032e34d29828053ae4f103cc190946 Description: PCM player designed for ALSA (JACK output module) Alsaplayer is a PCM player designed specifically for use with ALSA, but works great with OSS or EsounD. It's heavily threaded which cuts down on skipping, offers optional and even simultaneous visual scopes, plays mp3, mp2, ogg, cdda, audiofs, and lots more. . This is a module for alsaplayer that will output the sound through JACK. Package: alsaplayer-nas Source: alsaplayer Version: 0.99.80-5.1 Architecture: armhf Maintainer: Tony Palma Installed-Size: 74 Depends: libaudio2, libc6 (>= 2.13-28), libxt6 Recommends: alsaplayer-common Provides: alsaplayer-output Homepage: http://www.alsaplayer.org/ Priority: optional Section: sound Filename: pool/main/a/alsaplayer/alsaplayer-nas_0.99.80-5.1_armhf.deb Size: 32968 SHA256: 96ce12e01570e3eb36fab6a57e765846aa6be37961339fd8123778262a74d21b SHA1: 63d420c1c3fc2a9aa6e73da15f9a8b62d9bb4172 MD5sum: ff65c5b0d1b6d041588dc2e2d4137c41 Description: PCM player designed for ALSA (NAS output module) Alsaplayer is a PCM player designed specifically for use with ALSA, but works great with OSS or EsounD. It's heavily threaded which cuts down on skipping, offers optional and even simultaneous visual scopes, plays mp3, mp2, ogg, cdda, audiofs, and lots more. . This is a module for alsaplayer that will output the sound through NAS. Package: alsaplayer-oss Source: alsaplayer Version: 0.99.80-5.1 Architecture: armhf Maintainer: Tony Palma Installed-Size: 70 Depends: libc6 (>= 2.13-28) Recommends: alsaplayer-common Provides: alsaplayer-output Homepage: http://www.alsaplayer.org/ Priority: optional Section: sound Filename: pool/main/a/alsaplayer/alsaplayer-oss_0.99.80-5.1_armhf.deb Size: 31350 SHA256: a65fc83b12697b760d18264c791cc1993f82faa671f03badd51fdf07b85f9e80 SHA1: fbb96bf02953d7211e23b8a1c253bb37d7bcfedb MD5sum: 979c16ff049b07d5b98406f93f3ed0d1 Description: PCM player designed for ALSA (OSS output module) Alsaplayer is a PCM player designed specifically for use with ALSA, but works great with OSS or EsounD. It's heavily threaded which cuts down on skipping, offers optional and even simultaneous visual scopes, plays mp3, mp2, ogg, cdda, audiofs, and lots more. . This is a module for alsaplayer that will output the sound through OSS. Package: alsaplayer-text Source: alsaplayer Version: 0.99.80-5.1 Architecture: armhf Maintainer: Tony Palma Installed-Size: 83 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Recommends: alsaplayer-common Provides: alsaplayer-interface Homepage: http://www.alsaplayer.org/ Priority: optional Section: sound Filename: pool/main/a/alsaplayer/alsaplayer-text_0.99.80-5.1_armhf.deb Size: 33812 SHA256: 42efb507964c3086a906ed9e73f0841f35046d13d6a2f7a5667f8df9e4c29eed SHA1: f49d2b4ff7a9be4c2849e268e64fc638a84e8d7a MD5sum: 35a6226b34e0c38aeb49fd491d8d3755 Description: PCM player designed for ALSA (text version) Alsaplayer is a PCM player designed specifically for use with ALSA, but works great with OSS or EsounD. It's heavily threaded which cuts down on skipping, offers optional and even simultaneous visual scopes, plays mp3, mp2, ogg, cdda, audiofs, and lots more. . This package provides a text interface to alsaplayer, and does not require X or GTK+ to run. Package: alsaplayer-xosd Source: alsaplayer Version: 0.99.80-5.1 Architecture: armhf Maintainer: Tony Palma Installed-Size: 88 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1), libxosd2 (>= 2.2.14) Recommends: alsaplayer-common Provides: alsaplayer-interface Homepage: http://www.alsaplayer.org/ Priority: optional Section: sound Filename: pool/main/a/alsaplayer/alsaplayer-xosd_0.99.80-5.1_armhf.deb Size: 33718 SHA256: f39bc246f226fbfb1c037887794734d97ecb3a70e3abab48913c44ae515ce910 SHA1: f7bf01addbdfcc7bcf0118f62cc4cb21c6af1bec MD5sum: 785c2b7988d2e6f3874a07ef2c6391fb Description: PCM player designed for ALSA (osd version) Alsaplayer is a PCM player designed specifically for use with ALSA, but works great with OSS or EsounD. It's heavily threaded which cuts down on skipping, offers optional and even simultaneous visual scopes, plays mp3, mp2, ogg, cdda, audiofs, and lots more. . This package provides a non-interactive interface to the player. All output will be displayed on screen through the X On-Screen Display library. Package: alsoft-conf Version: 1.4.3-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 207 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.3.0) Homepage: http://www.anduin.net/~angasule/ Priority: extra Section: sound Filename: pool/main/a/alsoft-conf/alsoft-conf_1.4.3-1_armhf.deb Size: 64414 SHA256: a921a84cfce49176e276dc8485159d439f0c699bdfd72dd81c527292737d9819 SHA1: d01b65151f8bf143aa94688b4cbb154012e41205 MD5sum: 11f1d22cb0373cca34720f94bb82e7fd Description: OpenAL-Soft configuration utility An easy to use tool to configure OpenAL-Soft. Package: alt-ergo Version: 0.94-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 3474 Depends: liblablgtk2-ocaml-yeug0, liblablgtksourceview2-ocaml-wz3i5, ocaml-base-nox-3.12.1 Suggests: why Homepage: http://alt-ergo.lri.fr Priority: optional Section: math Filename: pool/main/a/alt-ergo/alt-ergo_0.94-2_armhf.deb Size: 695436 SHA256: 491962569388077881cbac92442dc72d37015ab9224f3a35e456ee7ec6476a49 SHA1: 4c20746885abaeab3d335d0979523958fea2a089 MD5sum: 647a44693cb2b73ff4004ad8609c314e Description: Automatic theorem prover dedicated to program verification Alt-Ergo is an automatic theorem prover dedicated to program verification. Alt-Ergo is based on CC(X) a congruence closure algorithm parameterized by an equational theory X. Currently, CC(X) can be instantiated by the empty equational theory and by the linear arithmetics. Alt-Ergo contains also a home made SAT-solver and an instantiation mechanism. . Alt-Ergo is both safe and modular: each box is described by a small set of inference rules and is implemented as an OCaml functor. Package: alt-key Version: 2.2.5-1 Architecture: armhf Maintainer: David Paleino Installed-Size: 197 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://www.qtrac.eu/alt_key.html Priority: extra Section: utils Filename: pool/main/a/alt-key/alt-key_2.2.5-1_armhf.deb Size: 79632 SHA256: 2ed953a0548c1e196cfeb2c31b160e4b1824c41a82dc6ba2d0ed01552e3b909d SHA1: 36d9131b65e6ae8f4ba0d82744d571ed21952fb6 MD5sum: ca3e9727660a4b270efb3dc6138f14d7 Description: tool to help developers improve keyboard accelerators Alt_Key is an application that instantly shows where keyboard accelerators should go in menu option texts and dialog labels. It is very fast because it uses the Kuhn-Munkres algorithm, easily outperforming all the naive algorithms. . The program makes it straightforward for developers to find the right characters to use as accelerators for menu option texts and for dialog labels. This helps make GUI programs more usable by catering for users who can't use the mouse and for fast typists who don't want to use the mouse. Package: altermime Version: 0.3.10-7 Architecture: armhf Maintainer: Julien Valroff Installed-Size: 173 Depends: libc6 (>= 2.13-28), default-mta | mail-transport-agent Homepage: http://www.pldaniels.com/altermime/ Priority: optional Section: mail Filename: pool/main/a/altermime/altermime_0.3.10-7_armhf.deb Size: 58556 SHA256: 47abc5e29a7b717b83566400c70564088ce2883a684ea582e3b50155c3b2aeb2 SHA1: 5f880815d4817d56b4c099f29bf2e7c6c8c344ea MD5sum: dd8917055ab2287e8cda7d0e921f63f5 Description: utility used to alter mime-encoded mailpacks alterMIME is a small program which is used to alter your mime-encoded mailpacks as typically received by Inflex, Xamime and AMaViS. alterMIME can: * Insert disclaimers * Insert arbitrary X-headers * Modify existing headers * Remove attachments based on filename or content-type * Replace attachments based on filename Package: altree Version: 1.2.1-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 537 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), perl (>= 5.14.2-11), perlapi-5.14.2, libmath-tamuanova-perl, libpdl-stats-perl Suggests: altree-examples Conflicts: alphy, libcutils-perl Replaces: alphy, libcutils-perl Provides: alphy, libcutils-perl Homepage: http://claire.bardel.free.fr/software.html Priority: optional Section: science Filename: pool/main/a/altree/altree_1.2.1-1_armhf.deb Size: 326870 SHA256: 1cf79f224125ede1a9caed4d31da3fbb0a1978578d9c1d0dc96e1535925b693b SHA1: 449e2395019d17ae965709b321e6470ea62a7ebb MD5sum: e1a97b6a88e6546d83ccefc07a090869 Description: program to perform phylogeny-based association and localization analysis ALTree was designed to perform association detection and localization of susceptibility sites using haplotype phylogenetic trees: first, it allows the detection of an association between a candidate gene and a disease, and second, it enables to make hypothesis about the susceptibility loci. Package: altree-examples Source: altree Version: 1.2.1-1 Installed-Size: 611 Maintainer: Debian Med Packaging Team Architecture: all Recommends: altree (= 1.2.1-1) Size: 327592 SHA256: 1e434fac1efe87f6e9eddb652f9c3bb7c459549795ad7c1d8e011685ad682d8f SHA1: 9a663d499d0b61345503673c2bb575a32c9414a9 MD5sum: 595bc4b770bd47e648da73eabc24c282 Description: example files for ALTree This package contains the example files for the ALTree package discussed in its PDF manual. Homepage: http://claire.bardel.free.fr/software.html Tag: field::biology, field::biology:bioinformatics, made-of::TODO, role::app-data Section: science Priority: optional Filename: pool/main/a/altree/altree-examples_1.2.1-1_all.deb Package: alure-doc Source: alure Version: 1.2-6 Installed-Size: 322 Maintainer: Debian Games Team Architecture: all Size: 36914 SHA256: 471209c9223be066780066a5f4b4d257f02ddade86c0600f526152cb4dc4d3b2 SHA1: 05ac9208070fd88d5554d3aeba798d80e6a924dd MD5sum: a54b59e5b165e1480db56c35a76cc7ef Description: AL Utilities REtooled (documentation) ALURE is a utility library to help manage common tasks with OpenAL applications. This includes device enumeration and initialization, file loading, and streaming. . The purpose of this library is to provide pre-made functionality that would otherwise be repetitive or difficult to (re)code for various projects and platforms, such as loading a sound file into an OpenAL buffer and streaming an audio file through a buffer queue. Support for different formats is consistant across platforms, so no special checks are needed when loading files, and all formats are handled through the same API. . Currently ALURE includes a basic .wav and .aif file reader, and can leverage external libraries such as libSndFile (for extended wave formats and several others), VorbisFile (for Ogg Vorbis), and FLAC (for FLAC and Ogg FLAC), and others. External libraries can also be dynamically loaded at run-time, or individually disabled outright at compile time. . This package installs the ALURE documentation. Homepage: http://kcat.strangesoft.net/alure.html Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/alure/alure-doc_1.2-6_all.deb Package: alure-utils Source: alure Version: 1.2-6 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 64 Depends: libalure1 (= 1.2-6), libc6 (>= 2.13-28), libopenal1, libstdc++6 (>= 4.1.1) Breaks: libalure-dev (<< 1.2-2) Replaces: libalure-dev (<< 1.2-2) Homepage: http://kcat.strangesoft.net/alure.html Priority: optional Section: utils Filename: pool/main/a/alure/alure-utils_1.2-6_armhf.deb Size: 14700 SHA256: 7234ed491769baec52c1aaeb3fdd60177b9332e629ca33f1c64a5da360708869 SHA1: 77380a477ddea910550056eacdce26e20488acb2 MD5sum: 5673840a339cea3b6e92acdbeb7fad69 Description: AL Utilities REtooled (utilities) ALURE is a utility library to help manage common tasks with OpenAL applications. This includes device enumeration and initialization, file loading, and streaming. . The purpose of this library is to provide pre-made functionality that would otherwise be repetitive or difficult to (re)code for various projects and platforms, such as loading a sound file into an OpenAL buffer and streaming an audio file through a buffer queue. Support for different formats is consistant across platforms, so no special checks are needed when loading files, and all formats are handled through the same API. . Currently ALURE includes a basic .wav and .aif file reader, and can leverage external libraries such as libSndFile (for extended wave formats and several others), VorbisFile (for Ogg Vorbis), and FLAC (for FLAC and Ogg FLAC), and others. External libraries can also be dynamically loaded at run-time, or individually disabled outright at compile time. . This package installs the various ALURE utility programs. Package: am-utils Version: 6.2+rc20110530-3 Architecture: armhf Maintainer: Tim Cutts Installed-Size: 784 Depends: portmap, debconf (>= 1.2.0), libamu4 (= 6.2+rc20110530-3), libc6 (>= 2.13-28), libgdbm3 (>= 1.8.3), libhesiod0, libldap-2.4-2 (>= 2.4.7), libwrap0 (>= 7.6-4~), ucf, debianutils (>= 1.6) Suggests: nis, am-utils-doc Conflicts: amd Replaces: amd Homepage: http://www.am-utils.org/ Priority: extra Section: net Filename: pool/main/a/am-utils/am-utils_6.2+rc20110530-3_armhf.deb Size: 454498 SHA256: 631da207bf377bf80a5fd6ce1eecf17e37de170a3594db941e0ed5343b4e933e SHA1: 13ac3aa620d25aa1f85071ff626afa242a44e006 MD5sum: 632153a0ba426a7c87499cc08b35512d Description: automounter utilities from 4.4BSD (includes amd) Am-utils is a set of tools for automounting filesystems: mounting a filesystem "on demand" when it is first referenced, and unmounting it later if it is no more needed. . Am-utils contains the amd automounter. Package: am-utils-doc Source: am-utils Version: 6.2+rc20110530-3 Installed-Size: 1389 Maintainer: Tim Cutts Architecture: all Depends: dpkg (>= 1.15.4) | install-info Suggests: am-utils Size: 415656 SHA256: 0ea78793d5b46fd0f711519d9f265aa5a0b0ae64056c7db5bf52c248abb1af5e SHA1: 5dcb857fe682f7297c41ea8c54010045b6f5f9df MD5sum: c5e379125f8de80a5237cddcc684bf59 Description: automounter utilities documentation Am-utils is a set of tools for automounting filesystems: mounting a filesystem "on demand" when it is first referenced, and unmounting it later if it is no more needed. . This is the documentation in HTML, info, texinfo and postscript formats. Homepage: http://www.am-utils.org/ Tag: made-of::html, made-of::info, role::documentation Section: doc Priority: extra Filename: pool/main/a/am-utils/am-utils-doc_6.2+rc20110530-3_all.deb Package: amanda-client Source: amanda Version: 1:3.3.1-4 Architecture: armhf Maintainer: Bdale Garbee Installed-Size: 728 Depends: libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libglib2.0-0 (>= 2.28.0), libreadline6 (>= 6.0), libssl1.0.0 (>= 1.0.0), amanda-common (= 1:3.3.1-4) Suggests: gnuplot, dump, smbclient Conflicts: amanda, amanda-common (<< 1:2.5.2p1-3) Replaces: amanda-common (<< 1:2.5.2p1-3) Priority: optional Section: utils Filename: pool/main/a/amanda/amanda-client_3.3.1-4_armhf.deb Size: 272714 SHA256: 2385cee6d310287c93390ef4113b95d625404be3bf8f15f10d5f40bdfa69c79d SHA1: 3c49bf6c4a84da1f1168890bd3cad025a048b780 MD5sum: d6db09b43b18720ad44172bc7ae70639 Description: Advanced Maryland Automatic Network Disk Archiver (Client) Amanda is a backup system designed to archive many computers on a network to a single large-capacity tape drive. This package is suitable for large amounts of data to backup. For smaller solutions take a look at afbackup, tob, ... . Features: * will back up multiple machines in parallel to a holding disk, blasting finished dumps one by one to tape as fast as we can write files to tape. For example, a ~2 Gb 8mm tape on a ~240K/s interface to a host with a large holding disk can be filled by Amanda in under 4 hours. * built on top of standard backup software: Unix dump/restore, and later GNU Tar and others. * does simple tape management: will not overwrite the wrong tape. * supports tape changers via a generic interface. Easily customizable to any type of tape carousel, robot, or stacker that can be controlled via the unix command line. * for a restore, tells you what tapes you need, and finds the proper backup image on the tape for you. * recovers gracefully from errors, including down or hung machines. * reports results, including all errors in detail, in email to operators. * will dynamically adjust backup schedule to keep within constraints: no more juggling by hand when adding disks and computers to network. * includes a pre-run checker program, that conducts sanity checks on both the tape server host and all the client hosts (in parallel), and will send an e-mail report of any problems that could cause the backups to fail. * can compress dumps before sending or after sending over the net, with either compress or gzip. * can optionally synchronize with external backups, for those large timesharing computers where you want to do full dumps when the system is down in single-user mode (since BSD dump is not reliable on active filesystems): Amanda will still do your daily dumps. * lots of other options; Amanda is very configurable. . THIS PACKAGE RELIES ON A RUNNING AMANDA SERVER IN YOUR NETWORK. . For important notes, see /usr/share/doc/amanda-client/README.Debian. . Explanation of suggested programs: - gnuplot is needed for plotting statistics of backups Package: amanda-common Source: amanda Version: 1:3.3.1-4 Architecture: armhf Maintainer: Bdale Garbee Installed-Size: 5439 Depends: debconf (>= 0.5) | debconf-2.0, perl (>= 5.14.2-12+rpi1), perlapi-5.14.2, libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libssl1.0.0 (>= 1.0.0), update-inetd, tar (>= 1.12), perl5, bsd-mailx | mailx, adduser, openbsd-inetd | inet-superserver Suggests: amanda-server (= 1:3.3.1-4) | amanda-client (= 1:3.3.1-4) Conflicts: amanda Replaces: amanda, amanda-client Priority: optional Section: utils Filename: pool/main/a/amanda/amanda-common_3.3.1-4_armhf.deb Size: 2013962 SHA256: f8be91cff18b950a319b10f799cc6323511a09f7a3686f9b857a4715aa08a7d9 SHA1: e2bfad4bef24a700fbbea69b88527055311fa35b MD5sum: 93e8a2d4122da6671d7c17fdb3a9462a Description: Advanced Maryland Automatic Network Disk Archiver (Libs) This package contains libraries required by the amanda client and server packages. Package: amanda-server Source: amanda Version: 1:3.3.1-4 Architecture: armhf Maintainer: Bdale Garbee Installed-Size: 874 Depends: libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.28.0), libssl1.0.0 (>= 1.0.0), amanda-common (= 1:3.3.1-4), bsd-mailx | mailx Suggests: perl5, gnuplot, amanda-client (= 1:3.3.1-4), cpio | mt-st Conflicts: amanda, amanda-common (<< 1:2.5.2p1-3) Replaces: amanda-common (<< 1:2.5.2p1-3) Priority: optional Section: utils Filename: pool/main/a/amanda/amanda-server_3.3.1-4_armhf.deb Size: 363366 SHA256: 6504e0b588f0668501f6114c1dad99d03dce884db3a52f4f9926c3ea257bf0cf SHA1: d8118ba6e11170d963870b153a2762b95410bf04 MD5sum: 7b0e88072cb24840364940b0fb2cb7e7 Description: Advanced Maryland Automatic Network Disk Archiver (Server) Amanda is a backup system designed to archive many computers on a network to a single large-capacity tape drive. This package is suitable for large amounts of data to backup. For smaller solutions take a look at afbackup, tob, ... . Features: * will back up multiple machines in parallel to a holding disk, blasting finished dumps one by one to tape as fast as we can write files to tape. For example, a ~2 Gb 8mm tape on a ~240K/s interface to a host with a large holding disk can be filled by Amanda in under 4 hours. * built on top of standard backup software: Unix dump/restore, and later GNU Tar and others. * does simple tape management: will not overwrite the wrong tape. * supports tape changers via a generic interface. Easily customizable to any type of tape carousel, robot, or stacker that can be controlled via the unix command line. * for a restore, tells you what tapes you need, and finds the proper backup image on the tape for you. * recovers gracefully from errors, including down or hung machines. * reports results, including all errors in detail, in email to operators. * will dynamically adjust backup schedule to keep within constraints: no more juggling by hand when adding disks and computers to network. * includes a pre-run checker program, that conducts sanity checks on both the tape server host and all the client hosts (in parallel), and will send an e-mail report of any problems that could cause the backups to fail. * can compress dumps before sending or after sending over the net, with either compress or gzip. * can optionally synchronize with external backups, for those large timesharing computers where you want to do full dumps when the system is down in single-user mode (since BSD dump is not reliable on active filesystems): Amanda will still do your daily dumps. * lots of other options; Amanda is very configurable. . For important notes, see /usr/share/doc/amanda-server/README.Debian. . Explanation of suggested programs: - perl is needed for some non essential server utilities - gnuplot is needed for plotting statistics of backups - to backup the tape server, you need to install the client too Package: amap-align Version: 2.2-3 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 266 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Conflicts: amap (<= 4.8-1) Priority: optional Section: science Filename: pool/main/a/amap-align/amap-align_2.2-3_armhf.deb Size: 128916 SHA256: aa4cfe7004b5b49d1f1e31b29aa73f53e6ec6a93a03d69964435d691339b93f7 SHA1: 00d16ab1d4e768031fd352fdd654e86ba9579d03 MD5sum: 45eb2974a23c49b83ffbd37bd35e9127 Description: Protein multiple alignment by sequence annealing AMAP is a command line tool to perform multiple alignment of peptidic sequences. It utilizes posterior decoding, and a sequence-annealing alignment, instead of the traditional progressive alignment method. It is the only alignment program that allows one to control the sensitivity / specificity tradeoff. It is based on the ProbCons source code, but uses alignment metric accuracy and eliminates the consistency transformation. . The java visualisation tool of AMAP 2.2 is not yet packaged in Debian. Package: amarok Version: 2.6~beta1+75.g47e75df-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 23694 Depends: amarok-common (= 2.6~beta1+75.g47e75df-1), amarok-utils (= 2.6~beta1+75.g47e75df-1), kde-runtime, libaio1 (>= 0.3.93), libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.14.0), libgpod4-nogtk (>= 0.7.92) | libgpod4 (>= 0.7.92), libkcmutils4 (>= 4:4.7), libkdecore5 (>= 4:4.7), libkdeui5 (>= 4:4.7), libkdewebkit5 (>= 4:4.7), libkdnssd4 (>= 4:4.7), libkfile4 (>= 4:4.7), libkio5 (>= 4:4.7), libknewstuff3-4 (>= 4:4.7), liblastfm0 (>= 0.4.0~git20090710), libloudmouth1-0 (>= 1.1.4), libmtp9 (>= 1.1.0), libmysqlclient18 (>= 5.5.24+dfsg-1), libofa0 (>= 0.9.3), libphonon4 (>= 4:4.6.0really4.3.80), libplasma3 (>= 4:4.7), libqjson0 (>= 0.7.1), libqt4-dbus (>= 4:4.6.1), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libsolid4 (>= 4:4.7), libstdc++6 (>= 4.6), libtag-extras1 (>= 1.0.0), libtag1c2a (>= 1.7), libthreadweaver4 (>= 4:4.7), libx11-6, libxml2 (>= 2.7.4), phonon, libqtscript4-core, libqtscript4-gui, libqtscript4-network, libqtscript4-xml, libqtscript4-sql, libqtscript4-uitools Recommends: kdemultimedia-kio-plugins (>= 4:4.2.0) Suggests: amarok-doc (>= 2.6~beta1+75.g47e75df-1), libqt4-sql-sqlite, libqt4-sql-mysql, libqt4-sql-psql, moodbar Homepage: http://amarok.kde.org Priority: optional Section: sound Filename: pool/main/a/amarok/amarok_2.6~beta1+75.g47e75df-1_armhf.deb Size: 5963290 SHA256: 4c3237022567b828cd81a2228becded833d3b59d1a51974674f4c8c5653a544e SHA1: 080fcfb03f15b34d9bd1ac08480e9dcf69d34a5f MD5sum: 4ea84e96d27721f0af7c9d479091bd41 Description: easy to use media player based on the KDE Platform Amarok is a powerful music player with an intuitive interface. It makes playing the music you love and discovering new music easier than ever before and it looks good doing it! Amarok is based on the powerful Qt4 / KDE4 Platform and nicely integrates with KDE desktop. . Much work has been invested into integrating Amarok 2 with various Web services: - Ampache - Jamendo Service - Last.fm - Librivox - MP3tunes - Magnatune - OPML Podcast Directory . Amarok comes with a lot of features including but not limited to: - Scripts - enhance your Amarok experience with community developed scripts. - Dynamic Playlists - create playlists that automatically update. - Context View - customize interface with the Plasma powered Context View. - PopUp Dropper - simplify drag&drop actions with revolutionary menu system. - Multiple Language Translations - Collection Management - organizing your music collection has never been easier with Amarok's powerful tagging, renaming, and sorting abilities. - Database Importing - import collections from Amarok 1.4 or iTunes. - Scriptable Services - integrate other web services into Amarok. Package: amarok-common Source: amarok Version: 2.6~beta1+75.g47e75df-1 Installed-Size: 16177 Maintainer: Debian KDE Extras Team Architecture: all Depends: perl Recommends: amarok (>= 2.6~beta1+75.g47e75df-1) Size: 3737274 SHA256: abb235c1922eef2712a5f4563e65491c96b91ed4b0dd35bc5b326a782ab7fa7a SHA1: 7647a24af8a28d6b74798dcc8e47846c9417fe9b MD5sum: 6efbb95c9736f1a0d05b9fe3d64ceb87 Description: architecture independent files for Amarok This package contains architecture independent files needed for Amarok to run properly. Therefore, unless you have 'amarok' package of the same version installed, you will hardly find this package useful. . Amarok is a powerful music player with an intuitive interface. Homepage: http://amarok.kde.org Tag: made-of::icons, protocol::zeroconf, role::app-data, suite::kde Section: sound Priority: optional Filename: pool/main/a/amarok/amarok-common_2.6~beta1+75.g47e75df-1_all.deb Package: amarok-dbg Source: amarok Version: 2.6~beta1+75.g47e75df-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 71700 Depends: amarok (= 2.6~beta1+75.g47e75df-1) Recommends: kdelibs5-dbg Suggests: libqtwebkit4-dbg Homepage: http://amarok.kde.org Priority: extra Section: debug Filename: pool/main/a/amarok/amarok-dbg_2.6~beta1+75.g47e75df-1_armhf.deb Size: 67562058 SHA256: e44c296ffb51123e541ceda40ad79713fce543999a9c0303e48742991c4d337f SHA1: 8258ab8c6d186f6cd64a61afd61d13f276ac073a MD5sum: 6710e13ca3aa81369afa4da18bb176a8 Description: debugging symbols for Amarok This package provides debugging symbols for all binary packages built from amarok source package. It's highly recommended to have this package installed before reporting any Amarok crashes to either Amarok developers or Debian package maintainers. Package: amarok-doc Source: amarok Version: 2.6~beta1+75.g47e75df-1 Installed-Size: 34749 Maintainer: Debian KDE Extras Team Architecture: all Replaces: amarok-common (<= 2.5.0-2) Recommends: amarok (>= 2.6~beta1+75.g47e75df-1) Breaks: amarok-common (<= 2.5.0-2) Size: 31253018 SHA256: f11278e14a63b054b4e06136aa362b47efd0f3a76d419b78624ad52d412d6ead SHA1: 852d4a7549a769d3250aa34427a611219509bcdf MD5sum: a237c3a9f5b4dd916d7f1f99c0cae0a2 Description: Amarok documentation (Handbook) This package contains Amarok user documentation in various languages. It can be opened from the application menu Help -> Amarok Handbook. . Amarok is a powerful music player with an intuitive interface. Homepage: http://amarok.kde.org Section: doc Priority: optional Filename: pool/main/a/amarok/amarok-doc_2.6~beta1+75.g47e75df-1_all.deb Package: amarok-utils Source: amarok Version: 2.6~beta1+75.g47e75df-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 498 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libqtcore4 (>= 4:4.8.0), libstdc++6 (>= 4.6), libtag-extras1 (>= 1.0.0), libtag1c2a (>= 1.7) Breaks: amarok (<< 2.1.80-1~) Replaces: amarok (<< 2.1.80-1~) Homepage: http://amarok.kde.org Priority: optional Section: utils Filename: pool/main/a/amarok/amarok-utils_2.6~beta1+75.g47e75df-1_armhf.deb Size: 223318 SHA256: 77860702c4f77d4baf41b52f47e23da09d42907d7b6643f55572520b1ad64a67 SHA1: f30ca493b879e045e247afbe7029a9818ac19872 MD5sum: a7c3c97183c3057b6586396cd5e19d82 Description: utilities for Amarok media player This package contains command line utilities that are typically used by Amarok media player but might also be useful on systems without Amarok installed. They are designed to be lightweight as they do not depend on KDE libraries. . Currently the package contains the following utilities: - amarokcollectionscanner - scans audio files, collects information from file tags and prints it in the structured XML format. - amarok_afttagger - a helper program which writes/removes custom tags to/from media files required for embedded "Amarok File Tracking". Package: amavisd-milter Version: 1.5.0-5 Architecture: armhf Maintainer: Harald Jenny Installed-Size: 109 Depends: libc6 (>= 2.13-28), libmilter1.0.1 (>= 8.14.3-9.5), amavisd-new Recommends: sendmail | postfix Breaks: amavisd-new-milter (<= 1:2.6.4-2) Replaces: amavisd-new-milter (<= 1:2.6.4-2) Provides: amavisd-new-milter Homepage: http://amavisd-milter.sourceforge.net/ Priority: extra Section: mail Filename: pool/main/a/amavisd-milter/amavisd-milter_1.5.0-5_armhf.deb Size: 36030 SHA256: 13b1593e9d10ca9897a9477c79bce6fa66b50e1d416c56bb4fb2012fb25a19f5 SHA1: 5c99a0aec3f148f07e8d8f23e2c2eb9b3b35c188 MD5sum: 06aa74c2ef1ff027253291a69bd774f3 Description: amavisd-new interface for milter-capable MTAs This package provides a milter for amavisd-new that works with Sendmail or Postfix, using the AM.PDP protocol. . Replacing the older amavisd-new-milter program, amavisd-milter makes use of the full functionality of amavisd-new. It supports using spam and virus information header fields, rewriting message subjects, adding address extensions, and selectively removing recipients. Package: amavisd-milter-dbg Source: amavisd-milter Version: 1.5.0-5 Architecture: armhf Maintainer: Harald Jenny Installed-Size: 64 Depends: amavisd-milter (= 1.5.0-5) Homepage: http://amavisd-milter.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/a/amavisd-milter/amavisd-milter-dbg_1.5.0-5_armhf.deb Size: 22530 SHA256: f6be42525662cf91a7e906ee1651c022f3570d4a11a6ca7211198d44dcbb5e60 SHA1: b590bee9576602b5f1cc284cee730aa7b060fdc7 MD5sum: 1468841cb154da3a27a00d46f32bbedf Description: amavisd-new interface for milter-capable MTAs - debugging symbols This package provides a milter for amavisd-new that works with Sendmail or Postfix, using the AM.PDP protocol. . This package contains the debugging symbols for amavisd-milter. Package: amavisd-new Version: 1:2.7.1-2 Installed-Size: 2262 Maintainer: Brian May Architecture: all Replaces: amavis Provides: amavis Depends: debconf (>= 0.5) | debconf-2.0, adduser (>= 3.34), file, libmime-tools-perl, libconvert-tnef-perl (>= 0.06), libconvert-uulib-perl (>= 1.0.5), libarchive-tar-perl, libarchive-zip-perl (>= 1.14), libmailtools-perl (>= 1.58), libunix-syslog-perl, libnet-server-perl, libtime-hires-perl, libdigest-md5-perl, libmime-base64-perl, libio-stringy-perl, libberkeleydb-perl, libmail-dkim-perl, pax, perl (>= 5.10.1) | libcompress-raw-zlib-perl (>= 2.017), perl Recommends: libnet-patricial-perl, altermime, ripole Suggests: spamassassin (>= 3.1.0a), clamav, clamav-daemon, lha, arj, unrar, zoo, nomarch, cpio, lzop, cabextract, apt-listchanges (>= 2.35), libnet-ldap-perl (>= 1:0.32), libauthen-sasl-perl, libdbi-perl (>= 1.43), dspam, libmail-dkim-perl (>= 0.31), p7zip, rpm, unrar-free, libsnmp-perl, lhasa Conflicts: amavis, logcheck (<= 1.2.62) Size: 945504 SHA256: eb63454858477700f3ccdd48889787f30439a8773e7a0512b83ca1d2164b49b4 SHA1: fa584ab75eb366b4e40fa26536ec47afd42d9ad6 MD5sum: 7d620a779fcb2e470f6caf5b4cf4437a Description: Interface between MTA and virus scanner/content filters AMaViSd-new is a script that interfaces a mail transport agent (MTA) with zero or more virus scanners, and spamassassin (optional). . It supports all common virus scanners (more than 20 different AVs), with direct talk-to-daemon support for ClamAV, OpenAntiVirus, Trophie, AVG, f-prot, and Sophos AVs. . AMaViSd-new supports all MTAs through its generic SMTP/LMTP filter mode (ideal for postfix and exim). It is faster and safer to use the SMTP/LMTP filter mode than using the AMaViS pipe client. It supports sendmail milter through the amavisd-new-milter package. Tag: interface::commandline, mail::filters, protocol::smtp, role::program, scope::utility, security::antivirus, use::scanning, works-with::mail Section: mail Priority: extra Filename: pool/main/a/amavisd-new/amavisd-new_2.7.1-2_all.deb Package: amb-plugins Version: 0.8.1-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 103 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Provides: ladspa-plugin Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/index.html Priority: optional Section: sound Filename: pool/main/a/amb-plugins/amb-plugins_0.8.1-3_armhf.deb Size: 29924 SHA256: 6c59060cda54aa8a4b15fd87e2927af67a05e2b4fca185763f07eb0cdc6a19e0 SHA1: f111b740405a2f5b42584d7164e3b9a88e8422ca MD5sum: e69e12c2b9eb91a9cc20e826efe65ddb Description: ambisonics LADPSA plugins A set of ambisonics plugins, mainly to be used within Ardour. Mono and stereo to B-format panning, horizontal rotator, square, hexagon and cube decoders. Package: ambdec Version: 0.5.1-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 263 Depends: libc6 (>= 2.13-28), libclthreads2, libclxclient3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0), libx11-6, libxft2 (>> 2.1.1) Provides: ladspa-plugin Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/index.html Priority: optional Section: sound Filename: pool/main/a/ambdec/ambdec_0.5.1-2_armhf.deb Size: 70380 SHA256: 3f731b5a35069ef5ab11271c212e2c2183b2ad1b5f415e4340fcd05708b0ade0 SHA1: 887c4df61870091664ea92e424d0e6757f7764a7 MD5sum: 2f8f6ae64ca881497760308b4df2b091 Description: Ambisonic decoder for first and second order AmbDec is an Ambisonics decoder for up to 36 speakers. It can be used for both horizontal and full 3-D systems of first, second and third order. The decoding matrices are fully user-configurable. AmbDec has some advanced features not found on most decoders: * Dual frequency band operation. * Speaker distance compensation. * Near-field effect compensation. . All three features can be selectively enabled or disabled in the configuration. Package: amide Version: 1.0.1-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 3405 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdcmtk2 (>= 3.6.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnomecanvas2-0 (>= 2.11.1), libgnomevfs2-0 (>= 1:2.17.90), libgsl0ldbl (>= 1.9), libgtk2.0-0 (>= 2.12.0), libmdc2 (>= 0.10.7), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0), libvolpack1, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Homepage: http://amide.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/a/amide/amide_1.0.1-1_armhf.deb Size: 890156 SHA256: d18b2c986599c520f30053ae9e2375ad943509690908e6f18a94e2f9a5c0e920 SHA1: f8de662076f58b4261a6f03f0a94559d967430cf MD5sum: afc1109e8296de95daf6f9cd16ecef09 Description: software for Medical Imaging AMIDE: (Amide's a Medical Imaging Data Examiner) AMIDE is a tool for viewing and analyzing medical image data sets. It's capabilities include the simultaneous handling of multiple data sets imported from a variety of file formats, image fusion, 3D region of interest drawing and analysis, volume rendering, and rigid body alignments. Package: amideco Version: 0.31e-3.1 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 62 Depends: libc6 (>= 2.4) Homepage: http://www.kaos.ru/biosgfx/ Priority: optional Section: utils Filename: pool/main/a/amideco/amideco_0.31e-3.1_armhf.deb Size: 12570 SHA256: 7d1a05f2bcfc05c4143b682ccc3d73e1ed33908afe030e4327fd7ce1fc3dd3da SHA1: c203568223a3363de9b4ad5e9d3fb56cc012e179 MD5sum: 5043468b0325e2532992740711cd27a5 Description: Decompress flashfiles equipped with an AMI BIOS Amideco is a program which can decompress BIOS images which contain an AMI BIOS. Package: amiga-fdisk-cross Source: amiga-fdisk Version: 0.04-14 Architecture: armhf Maintainer: Christian T. Steigies Installed-Size: 66 Depends: libc6 (>= 2.7), libreadline6 (>= 6.0) Conflicts: amiga-fdisk, amiga-fdisk-bf Homepage: https://alioth.debian.org/projects/amiga-fdisk/ Priority: extra Section: otherosfs Filename: pool/main/a/amiga-fdisk/amiga-fdisk-cross_0.04-14_armhf.deb Size: 19138 SHA256: ac2df2cf396ef248a74e196c1a2d961b4625d048af36a39450138dea42eeda38 SHA1: bd1b28405b4a319819e11e9cb4c89315edeca644 MD5sum: fe54ca32b875e300afacaf3ec41de45b Description: Partition editor for Amiga partitions (cross version) Amiga-fdisk is, similar to fdisk for PCs, a program to partition harddisks. Though it seems to work quite well, it's still got some sort of beta status. It's suggested that you still use the AmigaDOS native tool "HDToolBox" to partition your harddisks and use amiga-fdisk only for querying partition information. Package: amispammer Version: 3.3-1 Installed-Size: 60 Maintainer: Julián Moreno Patiño Architecture: all Depends: perl, libnet-dns-perl, libemail-sender-perl, libwww-perl, libnet-address-ip-local-perl Size: 8416 SHA256: 3ce12cf710a9bd218e5b4b63b6954e7fd9d567afd13ef00727d0d71ea05868cc SHA1: 2b15623418e18e45b10e1beda4c62e17d66a6dad MD5sum: f5dcf89123db576d8d7e32bad3690ff3 Description: Powerful Mail Server checker on blacklists amispammer is a command line tool to check if one or more IP addresses or every MX domain records appear blacklisted in the most important blacklists. It's very useful for SysAdmins and security Professionals. Homepage: http://www.lorenzomartinez.es/projs/amispammer/ Tag: implemented-in::perl, role::program, use::checking Section: net Priority: optional Filename: pool/main/a/amispammer/amispammer_3.3-1_all.deb Package: amoebax Version: 0.2.1+dfsg-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 307 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), amoebax-data (= 0.2.1+dfsg-1) Homepage: http://www.emma-soft.com/games/amoebax/index.html Priority: optional Section: games Filename: pool/main/a/amoebax/amoebax_0.2.1+dfsg-1_armhf.deb Size: 123938 SHA256: 422f2158b5805d12117517b74a1d40b9403d88d07179aebeb09be19fa5c3dc57 SHA1: 4e669a0070442249093f0c2ac8c04da1e0354271 MD5sum: 09a584488185b07b2bb0010d6b89fda0 Description: Puyo Puyo-style puzzle game for up to two players Due an awful mutation, some amoeba's species have started to multiply until they take the world if you can't stop them. Fortunately the mutation made then too unstable and lining up four or more will make them disappear. . Follow Kim or Tom through 6 levels in their quest to prevent the cute multiplying amoebas to take the world and become the new Amoeba Master. Watch out for the cute but amoeba's controlled creatures that will try to put and end to your quest. . Amoebax is designed with levels for everyone, from children to adults. With the training mode everybody will quickly become a master and the tournament mode will let you have a good time with your friends. There is also catchy music, funny sound effects, and beautiful screens that sure appeal to everyone in the family. Package: amoebax-data Source: amoebax Version: 0.2.1+dfsg-1 Installed-Size: 9132 Maintainer: Debian Games Team Architecture: all Recommends: amoebax Size: 8994906 SHA256: 97bafdf62896e4838d0b5c9adb2a29664ab1762dd7aa9b0c0343a6225b44e850 SHA1: ce586a696677636f745a360bb037dbeebc0bbaf6 MD5sum: d0474b5de75cd495d4ae60a2796ecf10 Description: Data files for amoebax Amoebax is a cute and addictive action-puzzle game. Due an awful mutation, some amoeba's species have started to multiply until they take the world if you can't stop them. Fortunately the mutation made then too unstable and lining up four or more will make them disappear. . This package contain the sounds, graphics, fonts, music and special effects for amoebax. Homepage: http://www.emma-soft.com/games/amoebax/index.html Tag: role::app-data Section: games Priority: optional Filename: pool/main/a/amoebax/amoebax-data_0.2.1+dfsg-1_all.deb Package: amor Source: kdetoys Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 583 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.5.85), libkdeui5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1), libx11-6, libxext6 Recommends: kde-window-manager Suggests: khelpcenter4 Homepage: http://www.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdetoys/amor_4.8.4-1_armhf.deb Size: 234076 SHA256: 8a8c3a6640b615e509d3ed2ae8683d8defc97fbf39e65bb925a49982125c5bd5 SHA1: 16f8fa9ad8df3b52b67ed9242c257209888a8fb6 MD5sum: b459f78d51f09f42133b5f1560b064c5 Description: desktop companion AMOR, or Amusing Misuse Of Resources, displays an animated character who wanders about the screen, doing tricks and giving the occasional hint. . This package is part of the KDE toys module. Package: amora-applet Source: amora-server Version: 1.2~svn699-1 Architecture: armhf Maintainer: Axel Beckert Installed-Size: 117 Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), libgcc1 (>= 1:4.4.0), libimlib2, libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6, libxtst6 Provides: amora-server Homepage: http://amora.googlecode.com/ Priority: extra Section: x11 Filename: pool/main/a/amora-server/amora-applet_1.2~svn699-1_armhf.deb Size: 45042 SHA256: d86a593893755480db9ac4621c752730a1659d8110664c3c27236970adf0e682 SHA1: 49c56f0b5dd29113310d583056bf27d55f665823 MD5sum: a872a33282bdb3f1b006a28a3b1b8eb4 Description: use a bluetooth device as X remote control (systray applet) Amora (A mobile remote assistant) is an application that enables you to control your desktop using your mobile phone. It uses bluetooth to send mouse and keyboard events to the X session. With it, you can control your presentations, movies or any other application which mainly uses mouse and cursor keys. . Amora also has a screenshot feature, where you can see a thumbnail of the currently focused window on the mobile phone. . Currently only Symbian Series 60 mobile phones are supported. A Java client implementation is under development. A proof of concept client for Linux based mobile device like the Nokia Internet Tablets and the OpenMoko FreeRunner is available. . This package contains the Qt-based system tray applet version of the daemon running on the to be remote controlled computer. The client has to be installed on the mobile phone and is not contained in the package. It can be downloaded from the home page of the project. Package: amora-cli Source: amora-server Version: 1.2~svn699-1 Architecture: armhf Maintainer: Axel Beckert Installed-Size: 106 Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libimlib2, libx11-6, libxtst6 Breaks: amora-server (<< 1.2~) Replaces: amora-server (<< 1.2~) Provides: amora-server Homepage: http://amora.googlecode.com/ Priority: extra Section: x11 Filename: pool/main/a/amora-server/amora-cli_1.2~svn699-1_armhf.deb Size: 22628 SHA256: 7402d0d8387a1e64fb1c2ee1a2f42bf635b877e8bcdbbeeaa0f707ee583c8b69 SHA1: 27cc1c3731233ac084ce73bdf9000098fe9df41a MD5sum: 2703308d1b316169d8b2bef917b6390f Description: use a bluetooth device as X remote control (commandline tool) Amora (A mobile remote assistant) is an application that enables you to control your desktop using your mobile phone. It uses bluetooth to send mouse and keyboard events to the X session. With it, you can control your presentations, movies or any other application which mainly uses mouse and cursor keys. . Amora also has a screenshot feature, where you can see a thumbnail of the currently focused window on the mobile phone. . Currently only Symbian Series 60 mobile phones are supported. A Java client implementation is under development. A proof of concept client for Linux based mobile device like the Nokia Internet Tablets and the OpenMoko FreeRunner is available. . This package contains the commandline version of the daemon (formerly called amora-server) running on the to be remote controlled computer. The client has to be installed on the mobile phone and is not contained in the package. It can be downloaded from the home page of the project. Package: ampache Version: 3.6-git408e713+dfsg-2 Installed-Size: 206 Maintainer: Charlie Smotherman Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, libapache2-mod-php5 | php5, ampache-common (>= 3.6-git408e713+dfsg-1), php5-cli, php5-mysql, php5-xmlrpc, php-gettext, libjs-prototype, libnusoap-php, libphp-phpmailer, libphp-snoopy, ttf-freefont Recommends: apache2-mpm-prefork | apache2-mpm-itk, ampache-themes (>= 3.6.1-2) Suggests: php5-gd, phpmyadmin, mysql-server, eyed3, faad, vorbis-tools Conflicts: ampache-themes-3.3.3.5a-1 Size: 73758 SHA256: 86a58d5905dd5dc94923e0fac82bba1c40470749a56e79c4c97f1330ac399d30 SHA1: 95304ec30915d872e82ffaae30d3507d57cf93bf MD5sum: f2eeb3120406b30fab682167d121b262 Description: web-based audio file management system Ampache is a web-based audio file manager implemented with PHP and MySQL which allows viewing, editing, and playing audio files via the web. It has support for playlists, artist and album views, album art, random or vote-based play and per-user play-tracking/theming. Playback may be via HTTP, on-the-fly transcoding and downsampling, Mpd/Icecast, or integrated Flash player. Multiple Ampache servers can be linked together using XML-RPC. The software is fully localized in many languages. Homepage: http://www.ampache.org Tag: implemented-in::php, interface::web, network::server, role::program, use::organizing, web::application, works-with-format::mp3, works-with::audio Section: web Priority: optional Filename: pool/main/a/ampache/ampache_3.6-git408e713+dfsg-2_all.deb Package: ampache-common Source: ampache Version: 3.6-git408e713+dfsg-2 Installed-Size: 8385 Maintainer: Charlie Smotherman Architecture: all Replaces: ampache (<< 3.6-git408e713+dfsg-1) Suggests: ampache, php5-gd, phpmyadmin, mysql-server, eyed3, faad, vorbis-tools Breaks: ampache (<< 3.6-git408e713+dfsg-1) Size: 1968308 SHA256: 2d55e3882cc183831f5e3a06876f9107946054a07d96792a9722a7609daecd2f SHA1: 23acb4aa31f98e58710c5a31b986e76e049f9f1d MD5sum: c639f10fda838137c9ad4f65c1d14a70 Description: web-based audio file management system common files This package provides common files for Ampache. This package is server independent and is intended for users who whish to use other web servers, virtual enviroments, or LXC's to deploy Ampache. . Ampache is a web-based audio file manager implemented with PHP and MySQL which allows viewing, editing, and playing audio files via the web. It has support for playlists, artist and album views, album art, random or vote-based play and per-user play-tracking/theming. Playback may be via HTTP, on-the-fly transcoding and downsampling, Mpd/Icecast, or integrated Flash player. Multiple Ampache servers can be linked together using XML-RPC. The software is fully localized in many languages. Homepage: http://www.ampache.org Section: web Priority: optional Filename: pool/main/a/ampache/ampache-common_3.6-git408e713+dfsg-2_all.deb Package: ampache-themes Version: 3.6.1-2 Installed-Size: 11947 Maintainer: Charlie Smotherman Architecture: all Size: 7130398 SHA256: 45f2e09de530acb369ba611ac16a29f7c50a931deede687bf8fcececd0f054a1 SHA1: 37e55b58f0dbb9f88f5f2c27dc72a7783451b1ed MD5sum: 7628f8064e70d0780fa65973fca792a8 Description: Themes for Ampache This is a collection of user contributed themes for Ampache. . Ampache is a web-based audio file manager implemented with PHP and MySQL which allows viewing, editing, and playing audio files via the web. It has support for playlists, artist and album views, album art, random or vote-based play, and per-user play-tracking/theming. Playback may be via HTTP, on-the-fly transcoding and downsampling, Mpd/Icecast, or integrated Flash player. Multiple Ampache servers can be linked together using XML-RPC. Ampache is fully localized in many languages. Homepage: http://gitorious.org/ampache/contrib/trees/master/themes Tag: interface::web, made-of::html, role::app-data, role::documentation Section: web Priority: extra Filename: pool/main/a/ampache-themes/ampache-themes_3.6.1-2_all.deb Package: amphetamine Version: 0.8.10-18 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 224 Depends: amphetamine-data (>= 0.8.7-12), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.3.0), libxpm4 Homepage: http://homepage.hispeed.ch/loehrer/amph/amph.html Priority: optional Section: games Filename: pool/main/a/amphetamine/amphetamine_0.8.10-18_armhf.deb Size: 95314 SHA256: 35e97cc2a135bc5738226d67727cfee4734f37e800bf4d367a46534fa4a2dcd2 SHA1: 7ae372b8330833638ad5a0afd753805bb1f03bef MD5sum: a346b31eac740ec316e3c25b83612b26 Description: jump'n run game with unique visual effects Amphetamine is an exciting jump'n run game that offers some unique visual effects like colored lighting, fogging and coronas. You must fight eleven evil monsters with your magic weapons. Package: amphetamine-data Version: 0.8.7-14 Installed-Size: 1802 Maintainer: Debian Games Team Architecture: all Size: 961306 SHA256: 37ceb22c8e4dbc48ec153d76a212b3dfbb2aa5489110fd3c9c5218cd6a97b892 SHA1: baf51cb0a6956db439e6673421e49c3888ec5289 MD5sum: a2a02deac562ff21bc6e05bed13a5b62 Description: data files for the game "Amphetamine" This package contains data files used by the game "Amphetamine". . Amphetamine is an exciting jump'n run game that offers some unique visual effects like colored lighting, fogging and coronas. You must fight eleven evil monsters with your magic weapons. Tag: game::arcade, made-of::audio, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/a/amphetamine-data/amphetamine-data_0.8.7-14_all.deb Package: ample Version: 0.5.7-7 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 126 Depends: libc6 (>= 2.13-28), libwrap0 (>= 7.6-4~) Homepage: http://ample.sourceforge.net Priority: optional Section: sound Filename: pool/main/a/ample/ample_0.5.7-7_armhf.deb Size: 39340 SHA256: 3b2a8f3f8baa8a2cbd7317774d3e7b21894c339e100ed4882e2e671fa8ff40e3 SHA1: ac1ae55bff3cf39a2ffaee1eb6487b236a811024 MD5sum: f06a91c8968d27ef1de492a95f5da34b Description: A simple MP3 server easy to use Ample (An MP3 LEnder) is a simple MP3 server written in C. It does not support mixing, radio shows, etc. Ample is just intended to be an easy way to remotely listen to your MP3s using the "open location" features in XMMS, WinAmp, and Media Player. Package: ampliconnoise Version: 1.25-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 960 Depends: libc6 (>= 2.13-28), libgsl0ldbl (>= 1.9), libopenmpi1.3, mafft Recommends: openmpi-bin Homepage: http://code.google.com/p/ampliconnoise/ Priority: extra Section: science Filename: pool/main/a/ampliconnoise/ampliconnoise_1.25-1_armhf.deb Size: 387436 SHA256: d6d7ddfff48882881fd2388a3089e3ec5cfd75e4c83d055aa2c0b4817357bf6b SHA1: e4da7d540532ea13c416076d651f6b8cabdd2e40 MD5sum: bbbd829a9b1e11ca6860f6f6291b4ae5 Description: Programs for the removal of noise from 454 sequenced PCR amplicons AmpliconNoise is a package of applications to clean up high-throughput sequence data. It consists of three main parts: . Pyronoise - does flowgram-based clustering to spot misreads SeqNoise - removes PCR point mutations Perseus - removes PCR chimeras without the need for a set of reference sequences . Previously there was a standalone "Pyronoise" by the same authors and this package includes an updated version. There is also a "Denoiser" in Qiime which is related but distinct. . Removing Noise From Pyrosequenced Amplicons Christopher Quince, Anders Lanzen, Russell J Davenport and Peter J Turnbaugh BMC Bioinformatics 2011, 12:38doi:10.1186/1471-2105-12-38 Package: amqp-tools Source: librabbitmq Version: 0.0.1.hg216-1 Architecture: armhf Maintainer: Monty Taylor Installed-Size: 130 Depends: librabbitmq0 (= 0.0.1.hg216-1), libc6 (>= 2.13-28), libpopt0 (>= 1.14) Homepage: http://www.rabbitmq.com/ Priority: optional Section: net Filename: pool/main/libr/librabbitmq/amqp-tools_0.0.1.hg216-1_armhf.deb Size: 37246 SHA256: 9528c38bb9c7b8f027c637fd2771a972e8cd15e89de3e5ce7f0a2cc671de6097 SHA1: 547821bd0d23e66c75ab14b8c66624d9894850f2 MD5sum: 058f7d80a0a1719549d8a30c02fe5a46 Description: Command-line utilities for interacting with AMQP servers RabbitMQ provides robust messaging for applications. It is easy to use, fit for purpose at cloud scale and supported on all major operating systems and developer platforms. librabbitmq is a C-language AMQP client library for use with AMQP servers such as RabbitMQ speaking protocol versions 0-9-1. . This package includes command line utilities. Package: ams Version: 2.0.1-5 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1214 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libclalsadrv2, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), mcp-plugins, swh-plugins, cmt Recommends: amb-plugins, vco-plugins, rev-plugins Homepage: http://alsamodular.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/a/ams/ams_2.0.1-5_armhf.deb Size: 354026 SHA256: 2e5b556ef73e9f232bbdeda877a974c9f081799c251f9ca0cb3abec00e037a7e SHA1: bc8879ff2860df2be0423665bed14cd808a0d529 MD5sum: 3ce163d4eacd3b9abc8adb80d288ccbf Description: Realtime modular synthesizer for ALSA AlsaModularSynth is a realtime modular synthesizer and effect processor. It features: * MIDI controlled modular software synthesis. * Realtime effect processing with capture from e.g. "Line In" or "Mic In". * Full control of all synthesis and effect parameters via MIDI. * Integrated LADSPA Browser with search capability. * JACK Support. Package: amsynth Version: 1.3.0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 710 Depends: libasound2 (>= 1.0.16), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.14.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libsigc++-2.0-0c2a (>= 2.0.2), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.6) Suggests: vkeybd Homepage: http://code.google.com/p/amsynth/ Priority: optional Section: sound Filename: pool/main/a/amsynth/amsynth_1.3.0-2_armhf.deb Size: 372998 SHA256: 57369d984c67ff0ebec087a5fe00842d95fe419d7d616568d501f4dced620790 SHA1: b1e7437e36a9323d1ee37878d67745f4a04fa9b2 MD5sum: 3a5631644df6eef52c09efa407883a28 Description: two oscillator software synthesizer amSynth features: * two analogue-style audio oscillators, featuring: o sine wave o saw/triangle wave with adjustable shape o square/pulse wave with adjustable pulsewidth o noise generation o "random" wave (noise with sample & hold) o oscillator sync o of course, detune and range control * mixer section with ring modulation * analogue-style low-pass filter o 24dB/octave curve o dedicated ADSR envelope o cutoff and resonance control o keyboard pitch tracking * amplifier with dedicated ADSR envelope * modulation LFO o up to 58Hz modulation o routable to all sections (pitch, filter, amplifier) * Effects o High quality stereo reverb (freeverb) o Distortion/crunch * Easy navigation and manipulation of presets * Stand-alone OSS or ALSA Midi/Audio client Package: amtterm Version: 1.3-1 Architecture: armhf Maintainer: Reinhard Tartler Installed-Size: 76 Depends: libc6 (>= 2.13-28), xdg-utils Recommends: libsoap-lite-perl Priority: extra Section: net Filename: pool/main/a/amtterm/amtterm_1.3-1_armhf.deb Size: 19214 SHA256: 69ec3e62c45c9fcb99d06e2dba8765ddf4d29622ae6eef06bd581d1c6b785ac2 SHA1: d0190b7c3db0dca80c5ca70980ee07aae51013eb MD5sum: 778b66f1c3f3fa2cc6ccb45ac7116178 Description: Serial-over-lan (sol) client for Intel AMT, console version AMT (included in Intel vPro and Centrino Pro) provides out-of-band (OOB) management for Desktops and Laptops, using an agent integrated in the network adapter and in the motherboard. . Serial-over-lan provides a secure way to connect a remote computer, through a pseudo serial interface. . amtterm and gamt are two terminal tools to connect to that pseudo serial interface from a remote computer. . amttool is a perl script to gather information about and remotely control AMT managed computers. In order to use it you need to have the package libsoap-lite-perl installed. Package: amule Version: 2.3.1-9 Architecture: armhf Maintainer: Debian aMule Team Installed-Size: 3764 Depends: amule-common (= 2.3.1-9), libc6 (>= 2.13-28), libcrypto++9, libgcc1 (>= 1:4.4.0), libgeoip1 (>= 1.4.8+dfsg), libstdc++6 (>= 4.6), libupnp6 (>= 1:1.6.13), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), zlib1g (>= 1:1.1.4) Recommends: amule-utils, unzip Suggests: amule-utils-gui Replaces: amule-common (<< 2.2.0~svn20080525-1) Homepage: http://www.amule.org Priority: optional Section: net Filename: pool/main/a/amule/amule_2.3.1-9_armhf.deb Size: 1644104 SHA256: ca080c5979fd0d2c73aabc3210f77a9b1191f352a3247d501902795466a3ec48 SHA1: e64674fa0d306c0c92a5187a2e051196891d9571 MD5sum: fc485f733118d3237d77906324e8acf5 Description: client for the eD2k and Kad networks, like eMule aMule is a peer-to-peer file sharing application, designed to connect to the eDonkey and Kad networks. It has a wide range of features, including many of the original eMule client, like: . * online signature, source exchange, compressed transfers, secure identification, and IP filter support * boolean search, which can be local, global, or in the Kad network * checks against aggressive clients * slot allocation, to decide the number of remote clients * systray works well both in GNOME and KDE * translations to many languages . A daemonized version of the application that does not need a graphic environment to run is available in the amule-daemon package, and various utilities of interest can be found in the amule-utils and amule-utils-gui packages, including the ed2k link handler. Package: amule-common Source: amule Version: 2.3.1-9 Installed-Size: 4687 Maintainer: Debian aMule Team Architecture: all Replaces: amule-daemon (<< 2.1.2-3), amule-utils (<< 2.0.3-4) Size: 2111912 SHA256: ecf09dac81cbe7c3ae2ab2d1c1fba7f10e183b6903fe0d29e7062a8fbe831994 SHA1: 96c79cd2b2721355b358a439463563536abef4ae MD5sum: 2386c1358db85115f366d5607f29ef82 Description: common files for the rest of aMule packages This package contains localization files, webserver templates and GUI skins for aMule. You probably don't want to install this package alone, but amule, amule-daemon or amule-utils-gui instead. Homepage: http://www.amule.org Tag: role::app-data, use::downloading Section: net Priority: optional Filename: pool/main/a/amule/amule-common_2.3.1-9_all.deb Package: amule-daemon Source: amule Version: 2.3.1-9 Architecture: armhf Maintainer: Debian aMule Team Installed-Size: 2465 Depends: amule-common (= 2.3.1-9), libc6 (>= 2.13-28), libcrypto++9, libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libreadline6 (>= 6.0), libstdc++6 (>= 4.6), libupnp6 (>= 1:1.6.13), libwxbase2.8-0 (>= 2.8.12.1), zlib1g (>= 1:1.1.4) Recommends: amule-utils, unzip Homepage: http://www.amule.org Priority: optional Section: net Filename: pool/main/a/amule/amule-daemon_2.3.1-9_armhf.deb Size: 1135358 SHA256: a2f27d4abdcd983d803ec3f2c944a2476d93b40089aeeebd89ca547981c2aed2 SHA1: ec384d6f25cab9bb4a03871fed76525116ba310b MD5sum: 9411da3e6e3a80c8ba41c2874460ac3a Description: non-graphic version of aMule, a client for the eD2k and Kad networks This package contains a daemonized version of aMule, amuled, that does not need a graphic environment to run, and can run in the background as well. It is normally used to be run in a machine 24/7, since the application continues to run if the X11 session closes. . Included in the package is a webserver that provides an interface to control the daemon. Remote connections are supported, and the daemon can be configured to start the webserver automatically at startup. It is also possible to control amuled via amulecmd, from the amule-utils package, and amulegui, available in the amule-utils-gui package. . See the description of the amule package for a list of features, and /usr/share/doc/amule-daemon/README.Debian for some basic usage notes. Package: amule-emc Version: 0.5.2-2 Architecture: armhf Maintainer: Sandro Tosi Installed-Size: 68 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://code.google.com/p/amule-emc/ Priority: optional Section: utils Filename: pool/main/a/amule-emc/amule-emc_0.5.2-2_armhf.deb Size: 16302 SHA256: 87a32d2dd6fa9e94ce256d02e56ea9ee2082e985df915862a04399a779a8cf82 SHA1: f6c480c661f9425be4d7633628cbb81c76b36ad5 MD5sum: 297567e179a76d74910e053bcc31c473 Description: lists ed2k links inside emulecollection files amule-emc is a tool to parse emulecollection files and print out the ed2k links contained in them. . It differs from 'ed2k' program (from amule-utils) since amule-emc just displays the links, while ed2k actually imports the collection into aMule. Package: amule-gnome-support Source: amule Version: 2.3.1-9 Installed-Size: 133 Maintainer: Debian aMule Team Architecture: all Depends: amule-utils, gconf2 (>= 2.28.1-2) Recommends: amule | amule-daemon Size: 129880 SHA256: 6a933c27c1e79e32b9078f33f895c3fc5369923a53ffc6091e69a8a83ff1b930 SHA1: 155f2253dd88c50f61d5cf36a1b7453399d71248 MD5sum: 0b99dd4647b8c174a3d3e940385509a0 Description: ed2k links handling support for GNOME web browsers This package contains a schemas file that allows ed2k links handling support with any GNOME web browser that use GConf. For example: Firefox, Epiphany, Flock, Seamonkey or Galeon. Homepage: http://www.amule.org Tag: role::app-data Section: net Priority: optional Filename: pool/main/a/amule/amule-gnome-support_2.3.1-9_all.deb Package: amule-utils Source: amule Version: 2.3.1-9 Architecture: armhf Maintainer: Debian aMule Team Installed-Size: 809 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgd2-xpm (>= 2.0.36~rc1~dfsg), libreadline6 (>= 6.0), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), zlib1g (>= 1:1.1.4) Recommends: amule-common, ttf-dejavu-core Suggests: amule-gnome-support Breaks: xmule (<= 1.10.0b-1) Replaces: amule (<< 2.1.2-1), amule-common (<< 2.1.2-3) Homepage: http://www.amule.org Priority: optional Section: net Filename: pool/main/a/amule/amule-utils_2.3.1-9_armhf.deb Size: 495798 SHA256: f77e5ca33790a2b352f4306f17655ed6dd7e5d714f16eb0199ff425cbc50175a SHA1: 43e94aafc345fe797e7aad5be6f0ea70acd8b15b MD5sum: 81aecd8c696e124be93b27c8cd6d5f11 Description: utilities for aMule (command-line version) This package contains a set of command-line utilities related to aMule, the eD2k network client: . * ed2k: handles ed2k:// links, queueing them into aMule * cas: displays the contents of your aMule online signature * alcc: computes ed2k:// links for the given input files * amulecmd: text-based client to control aMule or the aMule daemon . Some of these utilities have graphic versions, which can be found in the amule-utils-gui package. Package: amule-utils-gui Source: amule Version: 2.3.1-9 Architecture: armhf Maintainer: Debian aMule Team Installed-Size: 3003 Depends: libc6 (>= 2.13-28), libcrypto++9, libgcc1 (>= 1:4.4.0), libgeoip1 (>= 1.4.8+dfsg), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), zlib1g (>= 1:1.1.4) Recommends: amule-common Replaces: astats Homepage: http://www.amule.org Priority: optional Section: net Filename: pool/main/a/amule/amule-utils-gui_2.3.1-9_armhf.deb Size: 1274046 SHA256: 01d8b1a77c321e6e41281301890ad41744c1e39575600ffc25ef8b740f63968f SHA1: 2211560d6ed9544b61dcde0bce8b139ca28a1a5f MD5sum: 4b9ed545722c360608cdaa3c26188e79 Description: graphic utilities for aMule This package contains a set of graphic utilities related to aMule, the eD2k network client: . * wxcas: displays the contents of your aMule online signature * alc: computes ed2k:// links for the given input files * amulegui (EXPERIMENTAL): graphic client to control aMule or the aMule daemon . A command-line version of these utilities can be found in the amule-utils package. Package: an Version: 1.0-2 Architecture: armhf Maintainer: Paul Martin Installed-Size: 55 Depends: libc6 (>= 2.13-28), libicu48 (>= 4.8-1) Recommends: wbritish | wordlist Priority: optional Section: games Filename: pool/main/a/an/an_1.0-2_armhf.deb Size: 11672 SHA256: 4293b617bebd8311b0b725be7bc7456f951769e8fa906515a0c63b52a44a10bc SHA1: 190b47ba5c3c330f56acbfc9ba50c68f3af0d8b8 MD5sum: 9a1cfd4fdf17283324f71df87871fcae Description: very fast anagram generator Generates anagrams for a phrase supplied by the user, the words used in the anagram are taken from a specified dictionary which should contain one word per line (default:/usr/share/dict/words). It understands accented characters and should work with any alphabetic language. Package: anacron Version: 2.3-19 Architecture: armhf Maintainer: Peter Eisentraut Installed-Size: 159 Depends: libc6 (>= 2.13-28), debianutils (>= 1.7), lsb-base (>= 3.0-10) Recommends: cron (>= 3.0pl1-43), rsyslog | system-log-daemon Suggests: default-mta | mail-transport-agent, powermgmt-base Replaces: pe Homepage: http://sourceforge.net/projects/anacron/ Priority: optional Section: admin Filename: pool/main/a/anacron/anacron_2.3-19_armhf.deb Size: 33728 SHA256: 17c5a7a4cd632a666a4debc5bfae3bb39ff638bf2fc937a108923b04c64c9a49 SHA1: d4c6a3edc47d78bddae0672bcaaf024f91125d46 MD5sum: 9a0eb33b7c9e22009eb7b3145aa4f4af Description: cron-like program that doesn't go by time Anacron (like "anac(h)ronistic") is a periodic command scheduler. It executes commands at intervals specified in days. Unlike cron, it does not assume that the system is running continuously. It can therefore be used to control the execution of daily, weekly, and monthly jobs (or anything with a period of n days), on systems that don't run 24 hours a day. When installed and configured properly, Anacron will make sure that the commands are run at the specified intervals as closely as machine uptime permits. . This package is pre-configured to execute the daily jobs of the Debian system. You should install this program if your system isn't powered on 24 hours a day to make sure the maintenance jobs of other Debian packages are executed each day. Package: analog Version: 2:6.0-19.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 3201 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libjpeg8 (>= 8c), libpcre3 (>= 8.10), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, perl Suggests: apache2 | httpd, rmagic Homepage: http://www.analog.cx/ Priority: optional Section: web Filename: pool/main/a/analog/analog_6.0-19.1_armhf.deb Size: 1370236 SHA256: 4a8ededa30ca063f3fcbd627bd73f6ea778277a927107747159219b21c6cf063 SHA1: de4a810e64450daf13d98c97e542bc3ae1c566aa MD5sum: 469a3f242500050a0bf8d45c87db008a Description: web server log analyzer Analog is a fast log file processor that generates usage statistic reports for web servers. . Features: - Fast: can process millions of lines per minute; - Scalable; - Flexible: the default output is well suited for many needs but there are many options and 32 alternative report styles; - Internationalized output; - HTML output (compliant with standards); - Handles many log file formats; - Uses a command-line interface or a web interface. Package: anarchism Version: 13.4-1 Installed-Size: 16556 Maintainer: Mauro Lizaur Architecture: all Suggests: www-browser Size: 8297484 SHA256: e8b8248d9c8d0109d1d6c7fd3afe15e8879e8c8938b54a4317fe9d101f81f200 SHA1: 35f3f29b86223c76cf560a1ebdce32a109ad1f7e MD5sum: 613c6aa7ddc7f5acb84ac8394f386c39 Description: An exhaustive exploration of Anarchist theory and practice The Anarchist FAQ is an excellent source of information regarding Anarchist (libertarian socialist) theory and practice. It covers all major topics, from the basics of Anarchism to very specific discussions of politics, social organization, and economics. Homepage: http://www.infoshop.org/page/AnAnarchistFAQ Tag: made-of::html, role::data Section: doc Priority: optional Filename: pool/main/a/anarchism/anarchism_13.4-1_all.deb Package: and Version: 1.2.2-4.1 Architecture: armhf Maintainer: Dario Minnucci Installed-Size: 105 Depends: libc6 (>= 2.4) Homepage: http://and.sourceforge.net/ Priority: extra Section: misc Filename: pool/main/a/and/and_1.2.2-4.1_armhf.deb Size: 29434 SHA256: c20518edf22c58f03c110041cd89b24ef9c9c884b64fa6308d28b711b4aabe80 SHA1: d4678a86e55edb6f383278df23a6321e906f8642 MD5sum: 5000947c32378fa086332db0e1a132d2 Description: Auto Nice Daemon The auto nice daemon activates itself in certain intervals and renices jobs according to their priority and CPU usage. Jobs owned by root are left alone. Jobs are never increased in their priority. . The renice intervals can be adjusted as well as the default nice level and the activation intervals. A priority database stores user/group/job tuples along with their renice values for three CPU usage time ranges. Negative nice levels are interpreted as signals to be sent to a process, triggered by CPU usage; this way, Netscapes going berserk can be killed automatically. The strategy for searching the priority database can be configured. . AND also provides network-wide configuration files with host-specific sections, as well as wildcard/regexp support for commands in the priority database. Package: angband Version: 1:3.3.2-2.1 Architecture: armhf Maintainer: Chris Carr Installed-Size: 2476 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.4.10), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libsm6, libx11-6, libxml2 (>= 2.6.27), angband-data Recommends: xfonts-base Suggests: angband-audio Homepage: http://rephial.org Priority: optional Section: games Filename: pool/main/a/angband/angband_3.3.2-2.1_armhf.deb Size: 1088580 SHA256: fdd3d87dc910f52892bd4bee153a4048c151a9e4b59f4cc38036e814eb2c0672 SHA1: 5586a1cbb5a3a7d19349ba747d4d7b903a673d05 MD5sum: de16c92e4f1041870a903411008f821b Description: Single-player, text-based, dungeon simulation game Angband is a single-player, text-based, dungeon simulation derived from the game Moria, which was in turn based on Rogue. It is often described as a "roguelike" game because the look and feel of the game is still quite similar to Rogue (though there are now graphical tiles available if you dislike ASCII gaming). Angband has been in more or less continuous development since the early 1990s. . The ultimate goal of the game is to develop a character strong enough to defeat Morgoth, who resides on dungeon level 100. Upon doing so, you will receive the exalted status of "winner" and your character may retire. To achieve this you will need to explore numerous dungeon levels, defeat many foes and sift through a great deal of treasure. . Angband is a reference to Morgoth's "prison of iron" in the world of Middle-Earth, created by J.R.R. Tolkein. Package: angband-data Source: angband Version: 1:3.3.2-2.1 Installed-Size: 5212 Maintainer: Chris Carr Architecture: all Replaces: angband (<< 1:3.3.2) Size: 1351382 SHA256: a16bec3bd12991645467607e045f5e1738889646462d0aa82f89a561e0c99a35 SHA1: 9b0566f4dd52211e5808e1d225e90fde6d56a830 MD5sum: 3fdc095bb0aa542f2d1f7ef71668bc6c Description: Game data for angband Angband is a single-player, text-based, dungeon simulation derived from the game Moria, which was in turn based on Rogue. It is often described as a "roguelike" game because the look and feel of the game is still quite similar to Rogue (though there are now graphical tiles available if you dislike ASCII gaming). Angband has been in more or less continuous development since the early 1990s. . This package contains the data files for the game. Homepage: http://rephial.org Tag: role::app-data Section: games Priority: optional Filename: pool/main/a/angband/angband-data_3.3.2-2.1_all.deb Package: angband-doc Version: 3.0.3.5 Installed-Size: 3668 Maintainer: Manoj Srivastava Architecture: all Suggests: angband Size: 943562 SHA256: 5cf7718998d5e085f50fe85a3d2458d6061b4b7f83ffbdc03c0096a84353e68c SHA1: 04f9d5c9613e6f80c47527175581074b5bcead9c MD5sum: 9a7892c1851cee82ccfb7f0b8a591a1b Description: Documentation for the roguelike game Angband. Angband is a single-player, text-based, dungeon simulation derived from the game Moria, which was in turn based on Rogue. This package contains additional documentation and spoilers for the game. . Angband has been written by several generations of programmers over the years, and is derived from an earlier game called moria, and the documentation has been (often haphazardly) accumulated over time as well. Homepage: ftp://clockwork.dementia.org/angband Tag: game::rpg:rogue, made-of::html, role::documentation, use::gameplaying Section: doc Priority: optional Filename: pool/main/a/angband-doc/angband-doc_3.0.3.5_all.deb Package: angrydd Version: 1.0.1-8 Installed-Size: 5712 Maintainer: Daniel Watkins Architecture: all Depends: python (>= 2.3), python-support (>= 0.7.1), python-pygame (>= 1.6.2) Size: 4694208 SHA256: 54f43584ef5da1d6f88430d20b57c6b41ad8c364861312fb99541c845b575faf SHA1: 103f53fc2742e792e8d5e5d961220edd7452c300 MD5sum: 31e54a61f961742c9db0c85b305111df Description: Angry Drunken Dwarves - falling blocks puzzle game In Angry, Drunken Dwarves, you are an angry, drunken dwarf. Why are you so angry? Who knows. But you've decided to take your aggression out on other dwarves, by dropping gems on their heads. Lots of gems. angrydd is a member of the classic "falling blocks" puzzle game family, similar to the Capcom game Puzzle Fighter. The goal of the game is to build large gems by matching up colors, then break them, raining more gems down onto your opponent. The first person whose field fills up, loses. angrydd has seven playable characters, six levels of AI, secret game modes and characters, and an original soundtrack. Homepage: http://www.sacredchao.net/~piman/angrydd/ Tag: game::tetris, implemented-in::python, interface::x11, role::program, scope::application, uitoolkit::sdl, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/a/angrydd/angrydd_1.0.1-8_all.deb Package: animals Version: 201007161925-8 Architecture: armhf Maintainer: Philipp Schafft Installed-Size: 96 Depends: libc6 (>= 2.13-28), libdb5.1++, libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.4.0) Breaks: suidmanager (<< 0.50) Homepage: http://software.keep-cool.org/animals.html Priority: optional Section: games Filename: pool/main/a/animals/animals_201007161925-8_armhf.deb Size: 19814 SHA256: 262fa2d222aca0bb19b752dd18dacd8081f03071f2ece9b5e2e76a4b18f8b868 SHA1: 1415fa4e617f082fd47dc0d2dc3be766ce9460b0 MD5sum: 9cd2899ac5cffeb65e8ae82d66315e16 Description: Traditional AI animal guessing engine using a binary tree DB You think of an animal, and this package tries to guess it... when it's wrong, you teach it about your animal. . To be more flexible and help educational aspect this game does not contain an initial database. This also allows it to be used for non animals like guessing of tools or locations. Package: animals-dbg Source: animals Version: 201007161925-8 Architecture: armhf Maintainer: Philipp Schafft Installed-Size: 156 Depends: animals (= 201007161925-8) Homepage: http://software.keep-cool.org/animals.html Priority: extra Section: debug Filename: pool/main/a/animals/animals-dbg_201007161925-8_armhf.deb Size: 49460 SHA256: fb6b6ed5284f91e4a809805374185163b9a3d225c6ff5a34ba38b1e2709a0eff SHA1: be8b1cd8338442dea434c279dcea07d5d64ccba0 MD5sum: 091d03fc7652ec9d3239c4fc89399a40 Description: Traditional AI animal guessing engine (debugging symbols) You think of an animal, and this package tries to guess it... when it's wrong, you teach it about your animal. . To be more flexible and help educational aspect this game does not contain an initial database. This also allows it to be used for non animals like guessing of tools or locations. . This package provides debugging symbols for the animals package. Package: anjuta Version: 2:3.4.3-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 3745 Depends: libanjuta-3-0 (>= 2:3.2.0), libapr1 (>= 1.2.7), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdevhelp-3-0 (>= 3.0.0), libgcc1 (>= 1:4.4.0), libgda-5.0-4 (>= 5.0.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libgdl-3-2 (>= 3.0.0), libgladeui-2-0, libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libgtksourceview-3.0-0 (>= 2.91.8), libpango1.0-0 (>= 1.14.0), libpython2.7 (>= 2.7), libstdc++6 (>= 4.6), libsvn1 (>= 1.5.0), libvala-0.16-0 (>= 0.15.1), libvte-2.90-9 (>= 1:0.27.6), libwebkitgtk-3.0-0 (>= 1.3.10), libxml2 (>= 2.7.4), anjuta-common (= 2:3.4.3-1) Recommends: gcc | g++, make, yelp, automake, autoconf, autogen, intltool, gdb, libtool, liblocale-gettext-perl, valac-0.16 Suggests: libgtk-3-dev, libgtkmm-3.0-dev, glade, gjs Homepage: http://www.anjuta.org/ Priority: optional Section: gnome Filename: pool/main/a/anjuta/anjuta_3.4.3-1_armhf.deb Size: 1694856 SHA256: 223380181547ca14ae7003369953c5baa70abc583faf7f299501d49ed4d277dc SHA1: be91a88a080aeaabf3fd8f3a2d52a46e81bf887c MD5sum: c69244c8f48370c198ecda72ef142e01 Description: GNOME development IDE, for C/C++ This IDE for C/C++ and GNOME/Gtk+ applications has features that enable easy debugging, management of code and GUI design by providing a simple and usable user interface. It also integrates with version control systems like CVS, Git or Subversion. Package: anjuta-common Source: anjuta Version: 2:3.4.3-1 Installed-Size: 21325 Maintainer: Debian GNOME Maintainers Architecture: all Depends: dconf-gsettings-backend | gsettings-backend, perl Suggests: anjuta Size: 6208876 SHA256: 7536f4d9d5ad0a1528fd872d6b2da4cb10b4521e3d59911d1f108d6657e861aa SHA1: a8bc413ff8b780819d6476895fe18ab791c14713 MD5sum: 9af92e28fedbc19ed655d64e5b8352ad Description: GNOME development IDE, for C/C++ - data files This IDE for C/C++ and GNOME/Gtk+ applications has features that enable easy debugging, management of code and GUI design by providing a simple and usable user interface. It also integrates with version control systems like CVS, Git or Subversion. . This package provides the required data files for Anjuta. Homepage: http://www.anjuta.org/ Tag: devel::ide, devel::lang:c, devel::lang:c++, interface::text-mode, interface::x11, role::app-data, suite::gnome, uitoolkit::gtk, uitoolkit::ncurses Section: devel Priority: optional Filename: pool/main/a/anjuta/anjuta-common_3.4.3-1_all.deb Package: anjuta-dbg Source: anjuta Version: 2:3.4.3-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 10233 Depends: anjuta (= 2:3.4.3-1) Homepage: http://www.anjuta.org/ Priority: extra Section: debug Filename: pool/main/a/anjuta/anjuta-dbg_3.4.3-1_armhf.deb Size: 4100974 SHA256: db46322351f7cda071653a49e9cb0decd22d7fc279d6a441a5a95c9d4816b1ea SHA1: 53b9a443311eb5cd230dc6cfaf7177369e7552b6 MD5sum: a4a64291e0dbdd68781b44f140921069 Description: GNOME development IDE, for C/C++ - debug files This IDE for C/C++ and GNOME/Gtk+ applications has features that enable easy debugging, management of code and GUI design by providing a simple and usable user interface. It also integrates with version control systems like CVS, Git or Subversion. . This package provides the debug files for Anjuta. Package: anjuta-extras Version: 3.4.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 7716 Depends: libanjuta-3-0 (>= 2:3.2.0), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.18.0), libstdc++6 (>= 4.4.0), dconf-gsettings-backend | gsettings-backend, anjuta (>= 2:3.4), anjuta (<< 2:3.5) Replaces: anjuta (<< 2:2.28.0) Homepage: http://www.anjuta.org/ Priority: optional Section: gnome Filename: pool/main/a/anjuta-extras/anjuta-extras_3.4.0-1_armhf.deb Size: 2591618 SHA256: a617e8b6e472a51fb546e879d4eff5d7d26e2eceb2f4d3821ebe79ae5543cc7d SHA1: 36c2e8221fce3860012df4d9ee1f67c3ee715790 MD5sum: 490c109062faf3588158ad6fc8ed5084 Description: plugins and extras for anjuta anjuta-extras contain a set of plugins for anjuta, GNOME development IDE. . The following plugins are included: * Scratchbox: Change build commands to use scratchbox 1 or 2 * Sample Plugin: Sample Plugin for Anjuta. * Scintilla Editor: An alternate editor based on Scintilla Package: anki Version: 1.2.11-1 Installed-Size: 4446 Maintainer: Andreas Bombe Architecture: all Depends: python (>= 2.6.6-7~), python-qt4 (>= 4.4), python-simplejson (>= 1.7.3), python-sqlalchemy (>= 0.5.3), python-beautifulsoup Recommends: python-matplotlib, kakasi Suggests: dvipng Size: 1414358 SHA256: 43929e58739e9a419f266e438ada67f8df33e1d00c7ae8dfb5b7fd648a3f8fc3 SHA1: 85c19e33a4603708dded0ad1cc0aa25f2ee30b4c MD5sum: 61194a99bb446af177b1329418b5f7aa Description: extensible flashcard learning program Anki is a program designed to help you remember facts (such as words and phrases in a foreign language) as easily, quickly and efficiently as possible. To do this, it tracks how well you remember each fact, and uses that information to optimally schedule review times. . Besides text, it supports sounds, images and rendering TeX snippets in the cards. It can synchronize card decks to a server so that you can review the deck on other computers, a web interface or mobile devices, for which versions of Anki are also available. Complete card decks offered by other users can be downloaded the same way. . Anki is extensible with plugins which can be downloaded and installed from the menu. While Anki can be used for studying anything, plugins are available with special features designed to make studying Japanese and English easier: integrated dictionary lookups, missing kanji reports, and more. Homepage: http://ankisrs.net/ Tag: culture::japanese, implemented-in::python, interface::x11, role::program, uitoolkit::qt, use::learning, x11::application Section: education Priority: optional Filename: pool/main/a/anki/anki_1.2.11-1_all.deb Package: ann-tools Source: ann Version: 1.1.2+doc-3 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 67 Homepage: http://www.cs.umd.edu/~mount/ANN/ Priority: optional Section: math Filename: pool/main/a/ann/ann-tools_1.1.2+doc-3_armhf.deb Size: 15058 SHA256: 64e4e306aa070769705241894e8936b448486eee94ce08081776831480a0d88a SHA1: adb633007529363016fe1bb1b4fcc4fb045c6df9 MD5sum: 46e2d11f088b5f8d2cdab958a07c688c Description: Approximate Nearest Neighbor Searching library (tools) ANN is a library written in C++, which supports data structures and algorithms for both exact and approximate nearest neighbor searching in arbitrarily high dimensions. ANN assumes that distances are measured using any class of distance functions called Minkowski metrics. These include the well known Euclidean distance, Manhattan distance, and max distance. ANN performs quite efficiently for point sets ranging in size from thousands to hundreds of thousands, and in dimensions as high as 20. . This package contains the ann2fig (display ANN output in fig format) and the ann_sample (a sample demonstration for ANN) programs. Package: anon-proxy Version: 00.05.38+20081230-2.1 Architecture: armhf Maintainer: David Spreen Installed-Size: 289 Depends: adduser, debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.3.0), libxerces-c28 Suggests: mixmaster, tor, mixminion Homepage: http://anon.inf.tu-dresden.de/ Priority: optional Section: web Filename: pool/main/a/anon-proxy/anon-proxy_00.05.38+20081230-2.1_armhf.deb Size: 123436 SHA256: bbb41ddf54711987c1910fcee7512e1654eb115eb3f90397406eb219ed786404 SHA1: 84b84b5f360acd03150103d499f127fc84054507 MD5sum: f823861e84fd62bafe3be9d526ea1ae6 Description: Proxy to surf the web anonymously This package contains the JAP client which acts as a local proxy between your browser and the insecure Internet. All requests for web pages are handled by JAP and are encrypted several times. The encrypted messages are sent through a chain of intermediate servers (named Mixes) to the final destination on the Internet. . Multiple layers of encryption protect all messages. A Mix collects messages in a batch, totally changes their appearance (removes one layer of encryption) and forwards them all at the same time, but in a different order. An adversary may observe all communication links, however he cannot determine a relation between incoming and outgoing packets. A surfer remains anonymous within the group of all users of the service. . Demonstrably, the system protects your privacy as long as the Mix works correctly. Unfortunately nobody knows whether a certain Mix is actually trustworthy or not. Therefore we use a whole chain of Mixes. Each message passes through several Mixes and the entire chain of Mixes has to be corrupt to successfully observe the user's activities. The chaining effectively prevents single Mixes from observing. This is the meaning of strong anonymity: Even the anonymity service itself cannot spy on its users. . For further information see http://anon.inf.tu-dresden.de/ Package: ansel1 Version: 1.1+debian0-1 Installed-Size: 4572 Maintainer: Debian Horde Maintainers Architecture: all Depends: horde3 (>= 3.2.2), php5-gd | imagemagick | php5-imagick, php-mdb2, php-mdb2-driver-mysql | php-mdb2-driver-pgsql | php-mdb2-driver-sqlite, libjs-cropper Recommends: mysql-server | postgresql | sqlite | sqlite3, libpuzzle-php Size: 1239542 SHA256: eda2696059cdc2082b8331f94b583eb078843f41c4bf90db0a4312d4b0613e2d SHA1: 9abced178d1768f6c9ce339d26ccfed9b860a4b0 MD5sum: a0b247683adf486e7af3f555cf86c7cd Description: Horde photo management application Ansel is a full featured photo management application. With it, you can create any number of galleries and subgalleries, share galleries among other Horde users or even make them public. You can upload images either one at a time, as a zip archive, or even upload them via Windows XP's "Publish to Web" functionality. You can browse your images, download originals, view galleries as a slideshow, add comments to images, send an 'ecard' to a friend, and more. You can crop, resize and perform other image manipulation functions. . Ansel supports photo and gallery tagging, face detection, EXIF auto rotate, gallery themes, thumbnails, RSS, and more. Homepage: http://horde.org/ansel/ Tag: implemented-in::php, interface::web, works-with::image Section: web Priority: extra Filename: pool/main/a/ansel1/ansel1_1.1+debian0-1_all.deb Package: ant Version: 1.8.2-4 Installed-Size: 2128 Maintainer: Debian Java Maintainers Architecture: all Replaces: ant-doc (<= 1.6.5-1), libant1.6-java Depends: default-jre-headless | java2-runtime-headless | java5-runtime-headless | java6-runtime-headless, libxerces2-java Recommends: ant-optional Suggests: default-jdk | java-compiler | java-sdk, ant-gcj, ant-doc Conflicts: libant1.6-java Breaks: ant-doc (<= 1.6.5-1) Size: 1841224 SHA256: 24e1a72d963d3d43e238a40c7faa2c891c0b1a5bd98d6287f553dc6fb42bd3f3 SHA1: 570bc1a65a2b3d5c5074109470746d7719479c7e MD5sum: 2f1c61057251832abc0db5347b143a91 Description: Java based build tool like make A system independent (i.e. not shell based) build tool that uses XML files as "Makefiles". This package contains the scripts and the core tasks libraries. Homepage: http://ant.apache.org/ Tag: devel::buildtools, implemented-in::java, implemented-in::perl, implemented-in::shell, interface::commandline, role::program, scope::utility, works-with-format::xml, works-with::software:source Section: java Priority: optional Filename: pool/main/a/ant/ant_1.8.2-4_all.deb Package: ant-contrib Version: 1.0~b3+svn177-5 Installed-Size: 515 Maintainer: Debian Java Maintainers Architecture: all Enhances: ant Size: 266188 SHA256: 053616b1a9701d0efda8c2887acea9f51108535ec0bebb62f4445947054a88ec SHA1: 0b22e98af25563d7eef7572c8e9e4aefd93c5f88 MD5sum: ed914358fd05158f233ce0d99c48aa94 Description: collection of tasks, types and other tools for Apache Ant Extends ant and provides the following tasks: . * Logic Tasks * Network Tasks * Performance Monitoring and Tasks * Platform Tasks * Property Tasks * Process Tasks * Other (unstable) Tasks Homepage: http://ant-contrib.sourceforge.net Section: java Priority: extra Filename: pool/main/a/ant-contrib/ant-contrib_1.0~b3+svn177-5_all.deb Package: ant-contrib-cpptasks Source: cpptasks Version: 1.0~b5-2 Installed-Size: 10973 Maintainer: Debian Java Maintainers Architecture: all Enhances: ant Size: 1021610 SHA256: f3116a44e5e071ab496a0c422709e62797b8fd23d60b8908c7a7b879bf002831 SHA1: 7d7824bfb615295513dcede5821ab8394b49c8b7 MD5sum: 23674d825108be57315d481ae13b2906 Description: C/C++ compilation tasks for Ant. The cc task can compile various source languages and produce executables, shared libraries (aka DLL's) and static libraries. Compiler adaptors are currently available for C/C++, FORTRAN, MIDL and Windows Resource compilers. Homepage: http://ant-contrib.sourceforge.net/cpptasks/index.html Section: java Priority: extra Filename: pool/main/c/cpptasks/ant-contrib-cpptasks_1.0~b5-2_all.deb Package: ant-doc Source: ant Version: 1.8.2-4 Installed-Size: 37196 Maintainer: Debian Java Maintainers Architecture: all Suggests: ant Size: 3439204 SHA256: ff4cffdb0eb5b946d0d66cbe41f2ff09e28e616fc1a9ce794c1042367e0f0a6b SHA1: 68c224746c899de81ea474608b4e232bfbc8f353 MD5sum: 2262b4372428f33b4ffb177be9fb2c7c Description: Java based build tool like make - API documentation and manual A system independent (i.e. not shell based) build tool that uses XML files as "Makefiles". This package contains the manual of ant as well as the Javadoc API documentation. Homepage: http://ant.apache.org/ Tag: devel::buildtools, devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/ant/ant-doc_1.8.2-4_all.deb Package: ant-gcj Source: ant Version: 1.8.2-4 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 5642 Depends: ant, libgcj-common (>> 1:4.1.1-13), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcj-bc (>= 4.4.5-1~), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Homepage: http://ant.apache.org/ Priority: optional Section: java Filename: pool/main/a/ant/ant-gcj_1.8.2-4_armhf.deb Size: 1915684 SHA256: 18eac0339bd091275318f8886f82c42ccadc631ee9938fafc3f10a20ef82c285 SHA1: 8ae8758a81ed9394a07b7f41aba0e44101e12a5c MD5sum: e64482a97036cafa2a59b284a2c3cd8f Description: Java based build tool like make (GCJ) A system independent (i.e. not shell based) build tool that uses XML files as "Makefiles". . This package contains a native version of ant built using gcj. Package: ant-optional Source: ant Version: 1.8.2-4 Installed-Size: 840 Maintainer: Debian Java Maintainers Architecture: all Replaces: libant1.6-java Depends: ant (= 1.8.2-4) Suggests: libbsf-java, liboro-java, libxalan2-java (>= 2.4.0-1), libjaxp1.3-java, junit, liblog4j1.2-java, libregexp-java, jython, antlr, libbcel-java (>= 5.0), libcommons-logging-java, libjdepend-java, libgnumail-java, libxml-commons-resolver1.1-java, libcommons-net-java, libjsch-java, javacc, ant-optional-gcj Conflicts: libant1.6-java Size: 335482 SHA256: 79832f3feb8ba66f18fcaa0ea2b628c00306301ccc1b4948bbf5ad6ce3fbe161 SHA1: 81830b278dbfdcbfcc4d4f41bd592936205bf419 MD5sum: 2169a06d4feb6a33b69c4ae34aa1ef66 Description: Java based build tool like make - optional libraries A system independent (i.e. not shell based) build tool that uses XML files as "Makefiles". This package contains the optional tasks libraries. Homepage: http://ant.apache.org/ Tag: devel::buildtools, implemented-in::java, role::app-data, scope::utility Section: java Priority: optional Filename: pool/main/a/ant/ant-optional_1.8.2-4_all.deb Package: ant-optional-gcj Source: ant Version: 1.8.2-4 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 1217 Depends: ant-optional, libgcj-common (>> 1:4.1.1-13), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcj-bc (>= 4.4.5-1~), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Homepage: http://ant.apache.org/ Priority: optional Section: java Filename: pool/main/a/ant/ant-optional-gcj_1.8.2-4_armhf.deb Size: 418340 SHA256: d9eea2406a6a4a49d873288728205b4cc3de14cc577ec4cb5bc0b8b0b1629c29 SHA1: c9b5871c75632335c097ea8186674743aaa6047d MD5sum: 8e984b509d877b002804824421c23178 Description: Java based build tool like make - optional libraries (GCJ) A system independent (i.e. not shell based) build tool that uses XML files as "Makefiles". This package contains the optional tasks libraries compiled natively. Package: ant-phone Version: 0.2.1-2 Architecture: armhf Maintainer: Roland Stigge Installed-Size: 437 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcapi20-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), libsndfile1 (>= 1.0.20) Suggests: isdnutils-base Homepage: http://www.antcom.de/ Priority: extra Section: net Filename: pool/main/a/ant-phone/ant-phone_0.2.1-2_armhf.deb Size: 117792 SHA256: 871ed7e8e21a6a26b2ade761dc1d7256f0624e3291d84ab4063d0d9c527d054a SHA1: e568e8873593c722f61f1f86464b1db1062fe7de MD5sum: b7d785ff39bac953e93aaf1635f20af5 Description: An interactive ISDN telephone application ant-phone is a graphical ISDN telephone application that can be utilized in digital ISDN telephone networks to use a computer as an ISDN telephone. You'll need a full duplex soundcard or multiple sound devices compatible and an ISDN card. Package: antennavis Version: 0.3.1-2 Architecture: armhf Maintainer: Nanakos Chrysostomos Installed-Size: 221 Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libx11-6, libxmu6, tcl8.4 (>= 8.4.16), tk8.4 (>= 8.4.16) Recommends: nec Homepage: http://www.include.gr/antennavis.html Priority: optional Section: hamradio Filename: pool/main/a/antennavis/antennavis_0.3.1-2_armhf.deb Size: 58374 SHA256: 6ceb244ae306c47b95fc152793b5ecef2f7b86e7e536b58d84b1941f9f76b683 SHA1: 4e16253db43444a7c7b46181c9e774bb12507c56 MD5sum: 612205452602e80d0e25e3bc514b5d88 Description: antenna radiation pattern visualization software Antennavis is a visualization toolkit designed to aid the user in better understanding the data output by the NEC2 antenna modelling software. Package: anthy Version: 9100h-16 Architecture: armhf Maintainer: NOKUBI Takatsugu Installed-Size: 360 Depends: anthy-common, libanthy0 (= 9100h-16), libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0 Recommends: kasumi Conflicts: anthy-cannadic, anthy-cannadic-2ch Replaces: anthy-cannadic, anthy-cannadic-2ch Homepage: http://anthy.sourceforge.jp/ Priority: optional Section: utils Filename: pool/main/a/anthy/anthy_9100h-16_armhf.deb Size: 154936 SHA256: 47386275820c1f376c54981f75664819c57c2596505d189d5c18dc7c94c4cebb SHA1: b2a985dd56444f73753c87138a8c270daac66b6d MD5sum: 7b4de0f685244fac83810afc1797a4da Description: input method for Japanese - backend, dictionary and utility Anthy is a Japanese input method working on X11 and Emacs. It converts hiragana text to mixed kana and kanji. It is implemented as a library and stores private information securely in ~/.anthy/. Thus, Anthy is simple and secure (information is protected from spoofing and snooping). Package: anthy-common Source: anthy Version: 9100h-16 Installed-Size: 12980 Maintainer: NOKUBI Takatsugu Architecture: all Pre-Depends: dpkg (>= 1.15.6~) Conflicts: anthy (<= 9100h-4) Size: 2514884 SHA256: d00d7bbd22f16b79faaa6c1fd5abd8ee6810cfa7793a2e9a07cf7fe155a81a71 SHA1: 72066314a641914e4e11a1db88d47feb93610da1 MD5sum: 631b662f742e886d573545927f3cf8d1 Description: input method for Japanese - common files and dictionary Anthy is a Japanese input method working on X11 and Emacs. It converts hiragana text to mixed kana and kanji. It is implemented as a library and stores private information securely in ~/.anthy/. Thus, Anthy is simple and secure (information is protected from spoofing and snooping). . This package provides common files dictonary data for anthy. Multi-Arch: foreign Homepage: http://anthy.sourceforge.jp/ Tag: accessibility::input, culture::japanese, role::app-data, works-with::dictionary Section: utils Priority: optional Filename: pool/main/a/anthy/anthy-common_9100h-16_all.deb Package: anthy-el Source: anthy Version: 9100h-16 Installed-Size: 102 Maintainer: NOKUBI Takatsugu Architecture: all Depends: anthy, emacs23 | emacsen Size: 39890 SHA256: 79dc52efd0756fa2461f6c62ddb7548c8beb2c7ff478ef038e90733837c5777d SHA1: 1f5316d996f6692eda1db4f8a1bdbc5f76e70635 MD5sum: 2c687d58ebf0c62f0143b5471972f69b Description: input method for Japanese - elisp frontend Anthy is a Japanese input method working on X11 and Emacs. It converts hiragana text to mixed kana and kanji. It is implemented as a library and stores private information securely in ~/.anthy/. Thus, Anthy is simple and secure (information is protected from spoofing and snooping). . This package provides an Anthy frontend for Emacs. Homepage: http://anthy.sourceforge.jp/ Tag: accessibility::input, culture::japanese, implemented-in::lisp, interface::commandline, role::plugin, suite::emacs, works-with::dictionary Section: lisp Priority: optional Filename: pool/main/a/anthy/anthy-el_9100h-16_all.deb Package: antigravitaattori Source: antigrav Version: 0.0.3-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1846 Depends: libalut0 (>= 1.0.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libopenal1, libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Homepage: http://www.luolamies.org/software/antigrav/ Priority: optional Section: games Filename: pool/main/a/antigrav/antigravitaattori_0.0.3-5_armhf.deb Size: 1500310 SHA256: 1c1e638ba95b286ab0b40d0471ad7629890740eb3103d776623c7f5a191b80e0 SHA1: e092251b6e0c51a1f7539753de04aa07b430981d MD5sum: 8c96b0564ed9a080997c36ef1e0a7b23 Description: Multiplayer flying saucer racing game This is a multiplayer flying saucer racing game, made for Assembly 2006 game competition. It is a great and fun game for the family with up to four players. Coming with astonishing 3d rendered graphics. Package: antiword Version: 0.37-8 Architecture: armhf Maintainer: Olly Betts Installed-Size: 625 Depends: libc6 (>= 2.7) Homepage: http://www.winfield.demon.nl/ Priority: optional Section: text Filename: pool/main/a/antiword/antiword_0.37-8_armhf.deb Size: 164680 SHA256: b34ffd2ec3016bc241acf0c0ce9faa98554c438a11beaa9d8941f5c694fb0863 SHA1: c3c6a0f910c57a27fb73fcd6c404b6800d6c5318 MD5sum: bb28a813fe5b84b39dbf77d90d3838f8 Description: Converts MS Word files to text, PS and PDF Antiword is a free MS Word reader. . It converts the binary files from MS Word 6, 7, 97 and 2000 to text, Postscript and PDF. Package: antlr Version: 2.7.7+dfsg-4 Installed-Size: 13 Maintainer: Debian Java Maintainers Architecture: all Depends: libantlr-java (= 2.7.7+dfsg-4), default-jre-headless | java5-runtime-headless | java6-runtime-headless Size: 12504 SHA256: 05ba417c82cbf1aa6af583778865c240369d3fd680aba8a4315514db344a5b8d SHA1: 7f5e57162dfd076f63613de4cb33a7ba6fbc8569 MD5sum: 35d30a24c335df325d30c472bf6cbb36 Description: language tool for constructing recognizers, compilers etc ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is a language tool that provides a framework for constructing recognizers, compilers, and translators from grammatical descriptions containing C++ or Java actions [You can use PCCTS 1.xx to generate C-based parsers]. . Computer language translation has become a common task. While compilers and tools for traditional computer languages (such as C or Java) are still being built, their number is dwarfed by the thousands of mini-languages for which recognizers and translators are being developed. Programmers construct translators for database formats, graphical data files (e.g., PostScript, AutoCAD), text processing files (e.g., HTML, SGML). ANTLR is designed to handle all of your translation tasks. Homepage: http://www.antlr2.org/ Tag: devel::code-generator, devel::lang:c++, devel::lang:java, implemented-in::java, interface::commandline, role::program, scope::utility, use::converting Section: devel Priority: optional Filename: pool/main/a/antlr/antlr_2.7.7+dfsg-4_all.deb Package: antlr-doc Source: antlr Version: 2.7.7+dfsg-4 Installed-Size: 1493 Maintainer: Debian Java Maintainers Architecture: all Conflicts: antlr (<< 2.7.6-8) Size: 904528 SHA256: 2cbe6c3940fd46bc027e68ab899ef2581454ce69560995d785f69525b6d41ca0 SHA1: ad268a9265949603cbe3e33a7241b5e714a7d7ea MD5sum: 8a303e2d4c88a730a8a01880ca431e65 Description: language tool for constructing recognizers, compilers etc This package contains the documentation and examples for antlr. ANTLR stands for ANother Tool for Language Recognition, (formerly PCCTS). It is a language tool that provides a framework for constructing recognizers, compilers, and translators from grammatical descriptions containing C++ or Java actions [You can use PCCTS 1.xx to generate C-based parsers]. . See antlr package for a complete description Homepage: http://www.antlr2.org/ Tag: devel::doc, devel::examples, devel::lang:c++, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/antlr/antlr-doc_2.7.7+dfsg-4_all.deb Package: antlr3 Version: 3.2-7 Installed-Size: 1042 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java2-runtime-headless | java5-runtime-headless | java6-runtime-headless, libstringtemplate-java Size: 1005980 SHA256: 86e3d97936220ee53fd933b97dd8832545e6556ae21e341ac98035026c26ef13 SHA1: d58e972a5798613a5418ade19afbcb3f3bf2bda5 MD5sum: 5c2b891537fb43eea5f5be7c8fb9aa5f Description: language tool for constructing recognizers, compilers etc ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is a language tool that provides a framework for constructing recognizers, compilers, and translators from grammatical descriptions containing C++ or Java actions [You can use PCCTS 1.xx to generate C-based parsers]. . Computer language translation has become a common task. While compilers and tools for traditional computer languages (such as C or Java) are still being built, their number is dwarfed by the thousands of mini-languages for which recognizers and translators are being developed. Programmers construct translators for database formats, graphical data files (e.g., PostScript, AutoCAD), text processing files (e.g., HTML, SGML). ANTLR is designed to handle all of your translation tasks. Homepage: http://www.antlr.org/ Tag: devel::code-generator, devel::lang:java, implemented-in::java, interface::commandline, role::program, scope::application Section: devel Priority: optional Filename: pool/main/a/antlr3/antlr3_3.2-7_all.deb Package: antlr3-doc Source: antlr3 Version: 3.2-7 Installed-Size: 12663 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: libantlr3-java Size: 2585992 SHA256: 21fe42503a57030f6c329697e02f2d6d2bd9f542c3a7e2e1ca539b139d6ce336 SHA1: e07c019de25104c595864411d240465e285f44ab MD5sum: 59faad4ecae935e99ddb28adc37cc975 Description: language tool for constructing compilers etc - documentation ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is a language tool that provides a framework for constructing recognizers, compilers, and translators from grammatical descriptions containing C++ or Java actions [You can use PCCTS 1.xx to generate C-based parsers]. . This package provides the API documentation for ANTLR 3 Homepage: http://www.antlr.org/ Tag: devel::doc, devel::examples, devel::lang:c++, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/antlr3/antlr3-doc_3.2-7_all.deb Package: antlr3-gunit-maven-plugin Source: antlr3 Version: 3.2-7 Installed-Size: 23 Maintainer: Debian Java Maintainers Architecture: all Depends: libantlr3-gunit-java (>= 3.2-7), libmaven2-core-java, libplexus-compiler-api-java Size: 18046 SHA256: b24cdc21cebe136d02e5fc0caf148a311b82760cb7b9a342c50652df273aa823 SHA1: f95e88131638075bad8ea0cdeb73d3409ce91e41 MD5sum: 178ccb46018af896740725d19cc26f7c Description: Maven plugin for gUnit, a unit test framework for ANTLR grammars gUnit is a "Unit Test" framework for ANTLR grammars. It provides a simple way to write and run automated tests for ANTLR grammars in a manner similar to Java unit testing framework jUnit. The basic idea is to create a bunch of input/output pairs for rules in a grammar and gUnit will verify the expected output/result. The input can be a single line or multiple lines of strings or even an external file. The output can be simply success or failure, an abstract syntax tree (AST), a rule return value, or some text output which could be a rule's template return value. The current version of gUnit has 2 main functions, interpreter and jUnit generator. The interpreter interprets your gUnit script and runs unit tests using Java reflection to invoke methods in your parser objects. The generator, on the other hand, translates your gUnit script to jUnit Java code that you can compile and execute by hand. . This package provides the Maven plugin that allow to run gUnit tests during a Maven build Homepage: http://www.antlr.org/ Section: java Priority: optional Filename: pool/main/a/antlr3/antlr3-gunit-maven-plugin_3.2-7_all.deb Package: antlr3-maven-plugin Source: antlr3 Version: 3.2-7 Installed-Size: 26 Maintainer: Debian Java Maintainers Architecture: all Depends: antlr3 (>= 3.2-7), libmaven2-core-java, libplexus-compiler-api-java Size: 19890 SHA256: ac346417194248ec004633b466f331377875b28fde7550815bc46ac2114abca8 SHA1: ed4237367ff5ac0490d264e9cfeacc10fffb6b7a MD5sum: 44b75211aad029a6685d7137e3bfe453 Description: Maven plugin for ANTLR 3 ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is a language tool that provides a framework for constructing recognizers, compilers, and translators from grammatical descriptions containing C++ or Java actions [You can use PCCTS 1.xx to generate C-based parsers]. . This package provides the Maven plugin that allow to compile ANTLR 3 grammars during a Maven build Homepage: http://www.antlr.org/ Section: java Priority: optional Filename: pool/main/a/antlr3/antlr3-maven-plugin_3.2-7_all.deb Package: ants Version: 1.9.2+svn680.dfsg-4 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 34871 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libinsighttoolkit3.20, libstdc++6 (>= 4.6) Suggests: fsl, gridengine-client Homepage: http://www.picsl.upenn.edu/ANTS/ Priority: extra Section: science Filename: pool/main/a/ants/ants_1.9.2+svn680.dfsg-4_armhf.deb Size: 11572424 SHA256: cd96b97588fb102fba7d47cd18434da300da03eb42f16c7b033c5a33d0073154 SHA1: b0012c609542bcbd3e7708272188c657d9222390 MD5sum: eb821fa8e5ce2209f60955ac7ce44941 Description: advanced normalization tools for brain and image analysis Advanced Normalization Tools (ANTS) is an ITK-based suite of normalization, segmentation and template-building tools for quantitative morphometric analysis. Many of the ANTS registration tools are diffeomorphic, but deformation (elastic and BSpline) transformations are available. Unique components of ANTS include multivariate similarity metrics, landmark guidance, the ability to use label images to guide the mapping and both greedy and space-time optimal implementations of diffeomorphisms. The symmetric normalization (SyN) strategy is a part of the ANTS toolkit as is directly manipulated free form deformation (DMFFD). Package: anubis Version: 4.1.1+dfsg1-3.1 Architecture: armhf Maintainer: Krzysztof Burghardt Installed-Size: 802 Depends: guile-1.8-libs, libc6 (>= 2.13-28), libgmp10, libgnutls26 (>= 2.12.17-0), libgpg-error0 (>= 1.10), libgpgme11 (>= 1.1.2), libgsasl7 (>= 1.1), libltdl7 (>= 2.4.2), libpam0g (>= 0.99.7.1), libpcre3 (>= 8.10), libwrap0 (>= 7.6-4~), lsb-base Suggests: pidentd | ident-server Homepage: http://www.gnu.org/software/anubis/ Priority: optional Section: net Filename: pool/main/a/anubis/anubis_4.1.1+dfsg1-3.1_armhf.deb Size: 249948 SHA256: c486fa37ac749761c12b4fbc0c267e3a644a3af93e06a2c385b1c7d04ed7a72f SHA1: c85b710a22786c204d50a58309e8114c24c0f13d MD5sum: e0018d0003a9569106b7939367e26537 Description: an SMTP message submission daemon GNU Anubis is an intermediate layer between a mail user agent (MUA) and a mail transport agent (MTA), receiving messages from the MUA, applying to them a set of predefined changes and finally inserting modified messages into an MTA routing network. The set of changes applied to a message is configurable on a system-wide and per-user basis. The built-in configuration language used for defining sets of changes allows for considerable flexibility and is easily extensible. Package: anypaper Version: 1.4-1 Architecture: armhf Maintainer: Alejandro Garrido Mota Installed-Size: 127 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0) Homepage: http://anypaper.sourceforge.net Priority: extra Section: utils Filename: pool/main/a/anypaper/anypaper_1.4-1_armhf.deb Size: 49922 SHA256: 24c14ec9485e8f3a99b246e39aaea96ba9d3a6142397f36aa23ce6b48336597d SHA1: c25051e6278261f61d03b1aae797e255b48f481d MD5sum: 59b88ad215bb95a8989e690bf092c4ea Description: front-end for wallpapersetter anyPaper is a front-end for wallpapersetter. it let you: Preview of selected image. Six modes of setting wallpaper (fullscreen, normal, tiled, adjusted, scaled and custom scale). User can select the background color, image position, wallpapersetter and screen size. Preview of background before setting no-set option for only handling images (command line). Package: anyremote Version: 6.0+dfsg-1 Architecture: armhf Maintainer: Philipp Huebner Installed-Size: 166 Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.31.8), libx11-6, libxtst6, anyremote-data Recommends: python Suggests: python-xmmsclient, anyremote-doc Homepage: http://anyremote.sourceforge.net Priority: extra Section: utils Filename: pool/main/a/anyremote/anyremote_6.0+dfsg-1_armhf.deb Size: 70520 SHA256: a14b961088976a787e1f35a33f2961aa5c7b14fcbd8a9b6169e7f6a4c392e8d7 SHA1: 80be76fcc500cbd386f8fb79cbbc035d02817eb5 MD5sum: 861f3aac40a87c73ea036e2b7d0132f4 Description: Remote control daemon for applications using Bluetooth, IrDA or WiFi With anyRemote, arbitrary desktop applications can be remote-controlled via many modern mobile phones that support Bluetooth, IrDA or WiFi communication using a J2ME client, AT modem commands, a web interface or IR remote controllers. . anyRemote supports wide range of modern cell phones like Nokia, SonyEricsson, Motorola and others. Package: anyremote-data Source: anyremote Version: 6.0+dfsg-1 Installed-Size: 1053 Maintainer: Philipp Huebner Architecture: all Replaces: anyremote (<< 5.0) Recommends: python Suggests: anyremote-doc Size: 694346 SHA256: d4af9a12a12fa86cb5f649038821d681a48cc06f7de358d2513128e225a9544a SHA1: bdb8e3979f9706b45d0f2bdfff0ff7dc004f8ae7 MD5sum: 7635c0a177bfb64328cc534b9a9c8910 Description: architecture independent files for anyremote With anyRemote, arbitrary desktop applications can be remote-controlled via many modern mobile phones that support Bluetooth, IrDA or WiFi communication using a J2ME client, AT modem commands, a web interface or IR remote controllers. . This package contains the architecture independent files. Homepage: http://anyremote.sourceforge.net Tag: role::app-data Section: utils Priority: extra Filename: pool/main/a/anyremote/anyremote-data_6.0+dfsg-1_all.deb Package: anyremote-doc Source: anyremote Version: 6.0+dfsg-1 Installed-Size: 1761 Maintainer: Philipp Huebner Architecture: all Size: 1227296 SHA256: 5be85e9df0866828ed287ba736ab4d0701738bb845db254c6e8ac09cf923de73 SHA1: b57d55d3348b3ebbe337bda4ebae0b7b5dc23e37 MD5sum: 5814585b54c7912144c7d3877844be81 Description: Documentation for anyremote With anyRemote, arbitrary desktop applications can be remote-controlled via many modern mobile phones that support Bluetooth, IrDA or WiFi communication using a J2ME client, AT modem commands, a web interface or IR remote controllers. . This package contains the HTML documentation offline. Homepage: http://anyremote.sourceforge.net Tag: made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/a/anyremote/anyremote-doc_6.0+dfsg-1_all.deb Package: anyremote2html Version: 1.4-1 Installed-Size: 1184 Maintainer: Philipp Huebner Architecture: all Depends: python, anyremote (>= 4.17) Suggests: ganyremote | kanyremote Size: 625188 SHA256: c36d3ba28fdab0c0435fc9847a5695be4f73c9c0f381726e41ed37b12e61f135 SHA1: 019f1621582eed5f48b48aea975586176428c263 MD5sum: 0cbcb3c08f0ee59fabd60dc8b58802e9 Description: web interface for anyRemote acting as HTTP server anyRemote2html is a web interface for anyRemote. It acts as a HTTP server and translates anyRemote commands to HTML. . With the help of this interface any device which contains a web browser and which can connect to the PC with anyRemote by TCP/IP (GPRS, Wi-Fi, ...) could be used as a remote control. Homepage: http://anyremote.sourceforge.net/ Tag: implemented-in::python, interface::web, role::program Section: web Priority: extra Filename: pool/main/a/anyremote2html/anyremote2html_1.4-1_all.deb Package: anything-el Version: 1.287-2 Installed-Size: 868 Maintainer: Takaya Yamashita Architecture: all Depends: emacs | emacs23, auto-install-el Suggests: migemo Size: 154400 SHA256: 446bf7e4e396e6fb9ebd715bbc7ebbca8039cd9f606e8cef9e025fec1bbf3927 SHA1: d33bc39e6143b8aa633b7f2444fdb5ecf26b60fd MD5sum: f207a7560e22154f2a4fa095155e8c97 Description: open anything / QuickSilver-like candidate-selection framework It provides a totally new Emacs experience. While normal Emacs way is specifying action then selecting candidates, the anything way is narrowing and selecting candidates then executing action for selected candidates. Homepage: http://www.emacswiki.org/emacs/Anything Tag: implemented-in::lisp, role::plugin, suite::emacs Section: lisp Priority: extra Filename: pool/main/a/anything-el/anything-el_1.287-2_all.deb Package: anytun Version: 0.3.4-2 Architecture: armhf Maintainer: Michael Prokop Installed-Size: 1555 Depends: libboost-date-time1.49.0 (>= 1.49.0-1), libboost-serialization1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgpg-error0 (>= 1.10), libstdc++6 (>= 4.6), adduser Suggests: quagga Homepage: http://www.anytun.org/ Priority: extra Section: net Filename: pool/main/a/anytun/anytun_0.3.4-2_armhf.deb Size: 565780 SHA256: 7d1ed21efbcccb2961f34d95a9c27de1e1ac3095d95fdb16377f1963994f6c4a SHA1: f453674d178120a19f507594f0576311dcf0bb06 MD5sum: db2bab7ff135a9466e4879e42d7ef3cd Description: secure anycast tunneling protocol Anytun is an implementation of the secure anycast tunneling protocol. It uses an easy openvpn style interface and makes it possible to build redundant VPN clusters with load balancing between servers. VPN servers share a single IP address. Adding and removing VPN Servers is done by the routing protocol, so no client changes have to be made when additional VPN servers are added or removed. It is possible to realise global load balancing based on shortest BGP routes by simply announcing the address space of the tunnel servers at multiple locations. . Currently ethernet, ipv4 and ipv6 tunnels are supported by the implementation. However the protocol allows one to tunnel every ETHERTYPE protocol. Package: aoetools Version: 30-3 Architecture: armhf Maintainer: David Martínez Moreno Installed-Size: 100 Depends: libc6 (>= 2.4), lsb-base Homepage: http://aoetools.sf.net Priority: optional Section: admin Filename: pool/main/a/aoetools/aoetools_30-3_armhf.deb Size: 34834 SHA256: 60d7ffaedd79fddb96b90df9bffb37f8e8ed1bca4f7bbd560fb1aeb1d2abeca0 SHA1: c4eca4cc17ada5831dd0dedf972fa73696480020 MD5sum: 25cb3474b8d26d86de2f33761b796096 Description: tools to assist in using ATA over Ethernet The aoetools are programs that assist in using ATA over Ethernet. These tools are designed to work with the "aoe" driver for Linux 2.6 kernels; in fact, this version recommends kernel 2.6.14 or later, as both support up to 16 slots per shelf address. . Systems running Linux 2.4 kernels do not need and should not install the aoetools. The aoe driver for 2.4 kernels is self-sufficient. . There are several tools included in this package: * aoecfg - manipulate AoE configuration strings * aoe-discover - trigger discovery of ATA over Ethernet devices * aoe-flush - flush the down devices out of the aoe driver * aoe-interfaces - restrict network interfaces used for AoE * aoe-mkdevs - create character and block device files * aoe-mkshelf - create block device files for one shelf address * aoeping - simple userland communication with AoE devices * aoe-revalidate - revalidate the disk size of an AoE device * aoe-stat - print status information for AoE devices * aoe-version - print AoE-related software version information * coraid-update - upload an update file to a Coraid appliance Package: aoeui Version: 1.6~dfsg-2 Architecture: armhf Maintainer: Ryan Kavanagh Installed-Size: 159 Depends: libc6 (>= 2.13-28) Homepage: http://code.google.com/p/aoeui/ Priority: optional Section: editors Filename: pool/main/a/aoeui/aoeui_1.6~dfsg-2_armhf.deb Size: 76510 SHA256: 2db7aea22c1089db62439cae3096bab8f76a12abd31215fc57225a22407e67e1 SHA1: 5ca2309fff7d2dd598faad0dd921e41eb3138d74 MD5sum: a46e4f87e97114e8a16bf1953abd19a9 Description: lightweight, unobtrusive, Dvorak-optimized text editor A very small and efficient display editor built upon a philosophy of making interaction with UNIX text manipulation commands easy, rather than duplicating their features. Its command set is optimized for the Dvorak and QWERTY keyboard layouts. . Also includes asdfg, aoeui's QWERTY variant. Package: aolserver4-core Source: aolserver4 Version: 4.5.1-15.1 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 578 Depends: debconf (>= 1.2.9) | debconf-2.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), tcl8.5 (>= 8.5.0), zlib1g (>= 1:1.2.0) Recommends: aolserver4-doc, aolserver4-daemon Conflicts: aolserver4-core-4.5.1 Replaces: aolserver4-core-4.5.1 Provides: aolserver4-core-4.5.1, aolserver4-core-4.5.1-1, httpd, httpd-cgi Homepage: http://www.aolserver.com/ Priority: optional Section: web Filename: pool/main/a/aolserver4/aolserver4-core_4.5.1-15.1_armhf.deb Size: 282694 SHA256: d82bbf9a36ca336dbcc98d1edabd26fd54def7ba9fee2f7a1eada7d201e7d7c2 SHA1: ed5f550b4e4db5a1c009981f27dee65b0c9620e7 MD5sum: 41b1b8e7f25a1f42a34384df51bd78d3 Description: AOL web server version 4 - core libraries AOLserver is the web engine that powers America Online. It is capable of serving a very high number of users, and can host hundreds of virtual servers simultaneously within the same process. . It is similar in many respects to Zope, except based largely on Tcl. It is multi-threaded, and has a C API that can be used to extend its functionality. . This package provides the basic shared libraries which are needed by the daemon and are also useful for building add-on modules. It does not contain the AOLserver daemon, which is in aolserver4. Package: aolserver4-daemon Source: aolserver4 Version: 4.5.1-15.1 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 519 Depends: aolserver4-core (= 4.5.1-15.1), debconf (>= 1.2.9) | debconf-2.0, mime-support, ucf (>= 0.30), logrotate (>= 3.6.5-1), adduser, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), tcl8.5 (>= 8.5.0) Recommends: aolserver4-doc Conflicts: aolserver4 Replaces: aolserver4, aolserver4-nscache Provides: aolserver4, httpd, httpd-cgi Homepage: http://www.aolserver.com/ Priority: optional Section: web Filename: pool/main/a/aolserver4/aolserver4-daemon_4.5.1-15.1_armhf.deb Size: 174952 SHA256: 48a09c3d8640d8fd2d73875b352839f22153aaed3afdc9dc87cd012e8eb3c5e3 SHA1: 7ebff6f06ca9dc74798a84ac10f79c56493c57ba MD5sum: e454878ccfedf574dfef10ad8bd01a4d Description: AOL web server version 4 - program files AOLserver is the web engine that powers America Online. It is capable of serving a very high number of users, and can host hundreds of virtual servers simultaneously within the same process. . It is similar in many respects to Zope, except based largely on Tcl. It is multi-threaded, and has a C API that can be used to extend its functionality. . This package provides the server itself. Package: aolserver4-dev Source: aolserver4 Version: 4.5.1-15.1 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 1574 Depends: aolserver4-core (= 4.5.1-15.1), tcl-dev (>= 8.4), zlib1g-dev | libz-dev Suggests: aolserver4-doc, aolserver4-daemon Homepage: http://www.aolserver.com/ Priority: optional Section: web Filename: pool/main/a/aolserver4/aolserver4-dev_4.5.1-15.1_armhf.deb Size: 931804 SHA256: ad4275f39326331ade84a796e71663d7100f04c3269101891d77f6c16ba67d22 SHA1: 6bede0e1966bb172eab8647acca2d747698a5027 MD5sum: b131ba93cfb50dcb4fbe359304203c9a Description: AOL web server version 4 - development files AOLserver is the web engine that powers America Online. It is capable of serving a very high number of users, and can host hundreds of virtual servers simultaneously within the same process. . It is similar in many respects to Zope, except based largely on Tcl. It is multi-threaded, and has a C API that can be used to extend its functionality. . This package contains header files and libraries needed to develop applications based on AOLserver. Package: aolserver4-doc Source: aolserver4 Version: 4.5.1-15.1 Installed-Size: 6134 Maintainer: Francesco Paolo Lovergine Architecture: all Recommends: www-browser Suggests: aolserver4-daemon, tcl-doc Size: 3368830 SHA256: 4410a7674c6f53db74c5b22d77a8401c0d090ef6424e3922f3fec2657d0c8bac SHA1: 0064552fe8e1d089f6654040dad1b45b9cdf7e5c MD5sum: ec8d2be05187113a17b19b5c4b5f15ef Description: AOL web server version 4 - documentation AOLserver is the web engine that powers America Online. It is capable of serving a very high number of users, and can host hundreds of virtual servers simultaneously within the same process. . It is similar in many respects to Zope, except based largely on Tcl. It is multi-threaded, and has a C API that can be used to extend its functionality. . This package contains three reference manuals for AOLserver version 3+: - The AOLserver Administrator's Guide covers the setup options and security issues relating to running the server; - The AOLserver Tcl Developer's Guide covers the Tcl API which can be used to add features to your web pages (similar in some respects to PHP or Microsoft's ASP); - The AOLserver C Developer's Guide covers the C API which can be used to create new modules to extend AOLserver. . This is the latest available version of the documentation, but it is quite outdated and incomplete. More up-to-date API documentation is available at http://panoptic.com/wiki/aolserver/Tcl_API. Homepage: http://www.aolserver.com/ Tag: devel::doc, devel::lang:c, devel::lang:tcl, made-of::html, network::service, protocol::http, role::documentation, web::cms, web::server, works-with-format::html, works-with::text Section: doc Priority: optional Filename: pool/main/a/aolserver4/aolserver4-doc_4.5.1-15.1_all.deb Package: aolserver4-nsldap Version: 0.8-4 Architecture: armhf Maintainer: Riccardo Setti Installed-Size: 66 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libldap-2.4-2 (>= 2.4.7), tcl8.5 (>= 8.5.0), aolserver4-daemon, aolserver4-core-4.5.1-1 Homepage: http://www.aolserver.com/ Priority: optional Section: net Filename: pool/main/a/aolserver4-nsldap/aolserver4-nsldap_0.8-4_armhf.deb Size: 16262 SHA256: 1935494c01ff72b895353d9edcdc8b6f17f350fa26591a824280293a991e79f9 SHA1: e9bed24387391a91fb1030e87bc8921c106dd628 MD5sum: d29f637b7c1bf4bcf63f318c5ab815e9 Description: AOLServer 4 module for LDAP This module provides support to write an LDAP client in AOLServer4 web server. Package: aolserver4-nsmysql Version: 0.6-9 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 85 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libmysqlclient16 (>= 5.1.50-1), tcl8.5 (>= 8.5.0), aolserver4-daemon, aolserver4-core-4.5.1-1 Suggests: aolserver4-doc (>= 4.0-1) Homepage: http://www.aolserver.com/ Priority: optional Section: net Filename: pool/main/a/aolserver4-nsmysql/aolserver4-nsmysql_0.6-9_armhf.deb Size: 22788 SHA256: 725de2c76717b8e3715a7d8ad28b5e7be0387fa2297b6ca538ec2ab2d7473029 SHA1: 046e7bb0f852e05754f12032895c2766f19e657c MD5sum: b51b2caeef00d6dcd58ae1ca9e2d9527 Description: AOLserver 4 module: module for accessing MySQL databases This module adds MySQL databases access capabilities to Aolserver 4, and gives Tcl scripts an API to manage an external MySQL instance. . This is the MySQL internal driver for AOLserver 4. Package: aolserver4-nsopenssl Version: 3.0beta26-4 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 193 Depends: openssl (>= 0.9.6), libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libssl1.0.0 (>= 1.0.0), tcl8.5 (>= 8.5.0), aolserver4-daemon, aolserver4-core-4.5.1-1 Suggests: aolserver4-doc (>= 4.0-1) Homepage: http://www.aolserver.com/ Priority: optional Section: httpd Filename: pool/main/a/aolserver4-nsopenssl/aolserver4-nsopenssl_3.0beta26-4_armhf.deb Size: 73586 SHA256: f9569eb74ffd314cb662e22541be7e61808f01b386db282ca54d35d024fbd4bd SHA1: a054656e1b87c2e7b688056274b57bcc2ba87732 MD5sum: aedbbd7f039d4291a109d9af53ef1c15 Description: AOLserver 4 module: module for SSL mode This module adds SSL capabilities to Aolserver, and gives Tcl scripts an API to access openssl functions. . This is currently a beta release! Use at your own risk. Package: aolserver4-nspostgres Version: 4.5-3 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 77 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libpq5, tcl8.5 (>= 8.5.0), aolserver4-daemon, aolserver4-core-4.5.1-1 Suggests: aolserver4-doc (>= 4.0.1) Homepage: http://www.aolserver.com/ Priority: optional Section: web Filename: pool/main/a/aolserver4-nspostgres/aolserver4-nspostgres_4.5-3_armhf.deb Size: 22638 SHA256: 7e9d742d603d6e2973bb52970148576a3e50d7ff6d15b12e175d2b63ae3a991e SHA1: cf217e2315d79e806ca56a6f43cd1e0390296d55 MD5sum: a6c1a86e066c39a6461fe809a13f5e7c Description: AOLserver 4 module: Postgres connector This module implements a simple AOLserver database services driver for AOLserver version 4. . A database driver is a module which interfaces between the AOLserver database-independent nsdb module and the API of a particular DBMS. A database driver's job is to open connections, send SQL statements, and translate the results into the form used by nsdb. In this case, the driver is for the PostgreSQL ORDBMS from The PostgreSQL Global Development Group. . This is the official driver for the OpenACS project. Package: aolserver4-nssha1 Version: 0.1-3 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 54 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), tcl8.5 (>= 8.5.0), aolserver4-daemon, aolserver4-core-4.5.1-1 Homepage: http://www.aolserver.com/ Priority: optional Section: httpd Filename: pool/main/a/aolserver4-nssha1/aolserver4-nssha1_0.1-3_armhf.deb Size: 8950 SHA256: c2d484a35c211002a320a5512418ecce148b48634bd4845d3dec59db439453b5 SHA1: 0546bbc112bbd854efdc58a0492786e538dbf270 MD5sum: b3f2f496ab43b64569633a22d3acfa47 Description: AOLserver4 module: performs SHA1 hashes Provides a Tcl infrastructure for generating SHA1 hashes. This mini-library is based on the NIST Secure Hash Algorithm implementation by Peter C. Gutmann Package: aolserver4-nssqlite3 Version: 0.9-2 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 78 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libsqlite3-0 (>= 3.5.9), tcl8.5 (>= 8.5.0), aolserver4-daemon, aolserver4-core-4.5.1-1 Suggests: aolserver4-doc (>= 4.0-1) Homepage: http://www.aolserver.com/ Priority: optional Section: net Filename: pool/main/a/aolserver4-nssqlite3/aolserver4-nssqlite3_0.9-2_armhf.deb Size: 17012 SHA256: 3deab1b00c5ad79b99c871b21c1fe60ae16eb54969674b3f4664a8107e48be34 SHA1: f90502abce2ae7e1422617d678cf043ab48a3950 MD5sum: c48be6acb0a650619d78437a1ade2c07 Description: AOLserver 4 module: module for accessing SQLite 3 databases This module adds SQLite 3 databases access capabilities to Aolserver 4, and gives Tcl scripts an API to manage them. . This is the SQLite 3 internal driver for AOLserver 4. Package: aolserver4-nsxml Version: 1.5-2.1 Architecture: armhf Maintainer: Riccardo Setti Installed-Size: 70 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libxml2 (>= 2.6.27), tcl8.5 (>= 8.5.0) Suggests: aolserver-doc (>= 4.0.1-1) Priority: optional Section: httpd Filename: pool/main/a/aolserver4-nsxml/aolserver4-nsxml_1.5-2.1_armhf.deb Size: 16440 SHA256: ea253b19e18112f8e6b82d4f456fa108d2135a858995a6d161318cdc488a91e7 SHA1: a9b91e5c5d20ff573935d6c8f978e83476c54896 MD5sum: 65b296160d510d7966a78be800341d02 Description: Module for XML support in aolsever4 This is an additional module for aolserver4 to support XML documents. The module wraps around the libxml2 library, starting with version 2.2.5. Package: aolserver4-xotcl Source: xotcl Version: 1.6.7-2 Installed-Size: 92 Maintainer: Tcl/Tk Debian Packagers Architecture: all Depends: xotcl (>= 1.6.7-2), aolserver4-daemon, aolserver4-core-4.5.1-1 Size: 51602 SHA256: c2ed23d9ef1af15b75e280993da0b74e4c4c8c24265f16d43cb60f5568649bed SHA1: 7f1b3919dabf8b0b0862bef840526d03b97ecf2f MD5sum: 1842cb1071916547571f2b42b7681499 Description: Extended Object Tcl (XOTcl): Object orientation for AOLServer - module This package provides the XOTcl module for the AOLSERVER 4.0.10/4.5 webserver. This module provides and initialises the XOTcl language extension in the various AOLSERVER runtime environments (e.g., the embedded Tcl interpreters in AOLSERVER's worker threads). Homepage: http://www.xotcl.org/ Tag: devel::lang:tcl, implemented-in::tcl, role::shared-lib Section: httpd Priority: optional Filename: pool/main/x/xotcl/aolserver4-xotcl_1.6.7-2_all.deb Package: aosd-cat Source: libaosd Version: 0.2.7-1 Architecture: armhf Maintainer: Eugene Paskevich Installed-Size: 58 Depends: libaosd-text2, libaosd2, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0) Homepage: http://www.atheme.org/project/libaosd Priority: optional Section: x11 Filename: pool/main/liba/libaosd/aosd-cat_0.2.7-1_armhf.deb Size: 13282 SHA256: b24b956249671fb1035457762d4e4c98b3643b2164d2fdeca5624e5ceed8010f SHA1: d616be45c9cbdcf43601c1e91558f87e04231c6a MD5sum: 6d791072b14ea9a443b32a6a8f1539d2 Description: an on screen display tool which uses libaosd aosd_cat is an advanced on screen display tool based on libaosd. It can be used for OSD-style notifications in shell scripts. Package: ap-utils Version: 1.5-2 Architecture: armhf Maintainer: Ben Hutchings Installed-Size: 927 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Homepage: http://ap-utils.polesye.net/ Priority: optional Section: net Filename: pool/main/a/ap-utils/ap-utils_1.5-2_armhf.deb Size: 392076 SHA256: 326ab1953f021d5cb4438a26e2bfd1f6d6d8f4a900e636a2403a80c2f7ef36d0 SHA1: d80f60aced7afe5e5be625fda0854be30c041c5d MD5sum: 2ce6c9dbdb5b22dcf3f6bef7e105a08a Description: Access Point SNMP Utils for Linux A set of utilities for remotely administrating a variety of wireless access points via SNMP: * ap-auth - update authentication list * ap-config - interactively configure or get statistics from devices that support MIB-II, IEEE 802.11 MIB or NWN DOT11EXT MIB and most Atmel-chipset based APs * ap-gl - interactively configure or get statistics from Atmel Prism-based APs * ap-mrtg - get statistics from an AP in MRTG format * ap-rrd - get statistics from an AP in RRDtool format * ap-tftp - update AP firmware by TFTP * ap-trapd - receive, parse and log trap messages from an AP . Access points supported include Global Sun ProWave GL2411AP, Compex WavePort WP11, Linksys WAP11, SMC MC2655W, Netgear ME102, Edimax WLAN Access Point, D-Link DWL 900AP and Eumitcom WA3001A. Others based on Atmel chipsets or supporting MIB-II, IEEE 802.11 MIB and NWN DOT11EXT MIB should also work. Package: apache2 Version: 2.2.22-13+deb7u13 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 1 Depends: apache2-mpm-worker (= 2.2.22-13+deb7u13) | apache2-mpm-prefork (= 2.2.22-13+deb7u13) | apache2-mpm-event (= 2.2.22-13+deb7u13) | apache2-mpm-itk (= 2.2.22-13+deb7u13), apache2.2-common (= 2.2.22-13+deb7u13) Homepage: http://httpd.apache.org/ Priority: optional Section: httpd Filename: pool/main/a/apache2/apache2_2.2.22-13+deb7u13_armhf.deb Size: 1444 SHA256: 60a03a3c6b311540381cfc97c9c009ac2c1994f159b4e4f2178978324b95a6ea SHA1: 5c98ce3255195a14039c6fbed02cb902f10a1cd1 MD5sum: 8c5f70f640b9308abf83b58dcc314d39 Description: Apache HTTP Server metapackage The Apache Software Foundation's goal is to build a secure, efficient and extensible HTTP server as standards-compliant open source software. The result has long been the number one web server on the Internet. . It features support for HTTPS, virtual hosting, CGI, SSI, IPv6, easy scripting and database integration, request/response filtering, many flexible authentication schemes, and more. Package: apache2-dbg Source: apache2 Version: 2.2.22-13+deb7u13 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 6882 Depends: apache2.2-bin (= 2.2.22-13+deb7u13) Homepage: http://httpd.apache.org/ Priority: extra Section: debug Filename: pool/main/a/apache2/apache2-dbg_2.2.22-13+deb7u13_armhf.deb Size: 1264890 SHA256: 9b67c6dbc02b1437ef4bcfc0ca67406406a599b6212fcb24cea5a13090d1d59e SHA1: 731322315aab8b198c9cfdf49a55f07505c97d22 MD5sum: 2a8c4847eab2fb4eff5f3f4c9254a144 Description: Apache debugging symbols This package includes the debugging symbols for Apache 2. See /usr/share/doc/apache2.2-common/README.backtrace for more information. Package: apache2-doc Source: apache2 Version: 2.2.22-13+deb7u13 Installed-Size: 12472 Maintainer: Debian Apache Maintainers Architecture: all Size: 1779578 SHA256: b02762ae3b956a8942b53177e9a90d52b38c985c3db66f77cacc7b746f90f49f SHA1: 759170d028cddba4cb198b54d8bdf3cfa0e8a325 MD5sum: 22bbea8481edff426fa8e3e8fda81666 Description: Apache HTTP Server documentation This package provides the documentation for Apache 2. For more details see the apache2 package description. Homepage: http://httpd.apache.org/ Section: doc Priority: optional Filename: pool/main/a/apache2/apache2-doc_2.2.22-13+deb7u13_all.deb Package: apache2-mpm-event Source: apache2 Version: 2.2.22-13+deb7u13 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 3 Depends: apache2.2-common (= 2.2.22-13+deb7u13), apache2.2-bin (= 2.2.22-13+deb7u13) Conflicts: apache2-common, apache2-mpm Provides: apache2, apache2-mpm, httpd, httpd-cgi Homepage: http://httpd.apache.org/ Priority: optional Section: httpd Filename: pool/main/a/apache2/apache2-mpm-event_2.2.22-13+deb7u13_armhf.deb Size: 2310 SHA256: 2f8486224f87fbdf2867a380ef0dde71f69e19c0eec99e9a7f310999901a2882 SHA1: e8ec3a5417800729555472ed4805edc53017d40f MD5sum: fc1efcd37c3aa46af9a89a8cc7c3bf93 Description: Apache HTTP Server - event driven model Each Apache Multi-Processing Module provides a different "flavor" of web server binary, compiled with a different processing model. . The event MPM is designed to allow more requests to be served simultaneously by passing off some processing work to supporting threads, freeing up the main threads to work on new requests. It is especially suitable for sites that see extensive KeepAlive traffic. . This MPM is experimental and less tested than the worker and prefork MPMs. Package: apache2-mpm-itk Source: apache2 Version: 2.2.22-13+deb7u13 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 3 Depends: apache2.2-common (= 2.2.22-13+deb7u13), apache2.2-bin (= 2.2.22-13+deb7u13) Conflicts: apache2-common, apache2-mpm Provides: apache2, apache2-mpm, httpd, httpd-cgi Homepage: http://httpd.apache.org/ Priority: extra Section: httpd Filename: pool/main/a/apache2/apache2-mpm-itk_2.2.22-13+deb7u13_armhf.deb Size: 2338 SHA256: 72961b74c54da731d75ee97d1557c54c5c42e1c8fecc5333f79bab9b54d1da09 SHA1: 7cc55a98ef184dc34d97e51e1d948c3c4ba5b8ab MD5sum: d5b5ad0e5595f68e9c30c1882e651044 Description: multiuser MPM for Apache 2.2 The ITK Multi-Processing Module (MPM) works in about the same way as the classical "prefork" module (that is, without threads), except that it allows you to constrain each individual vhost to a particular system user. This allows you to run several different web sites on a single server without worrying that they will be able to read each others' files. This is a third-party MPM that is not included in the normal Apache httpd. . Please note that this MPM is somewhat less tested than the MPMs that come with Apache itself. Package: apache2-mpm-prefork Source: apache2 Version: 2.2.22-13+deb7u13 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 3 Depends: apache2.2-common (= 2.2.22-13+deb7u13), apache2.2-bin (= 2.2.22-13+deb7u13) Conflicts: apache2-common, apache2-mpm Provides: apache2, apache2-mpm, httpd, httpd-cgi Homepage: http://httpd.apache.org/ Priority: optional Section: httpd Filename: pool/main/a/apache2/apache2-mpm-prefork_2.2.22-13+deb7u13_armhf.deb Size: 2344 SHA256: a392d926815ec15d74dc74ada3d6d5ae7073aaa9b857421ea3903cb77f0dfae5 SHA1: 25f43cef0f921084009552aaf719ea4c338a3425 MD5sum: a6aa9d539c2cc488974d5d3f87f40538 Description: Apache HTTP Server - traditional non-threaded model Each Apache Multi-Processing Module provides a different "flavor" of web server binary, compiled with a different processing model. . The prefork MPM provides a non-threaded implementation using a variable number of processes where each process handles only one connection at the same time. It is not as fast as threaded models, but is considered to be more stable. It is appropriate for sites that need to maintain compatibility with non-thread-safe libraries, and is the best MPM for isolating each request, so that a problem with a single request will not affect any other. Package: apache2-mpm-worker Source: apache2 Version: 2.2.22-13+deb7u13 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 3 Depends: apache2.2-common (= 2.2.22-13+deb7u13), apache2.2-bin (= 2.2.22-13+deb7u13) Conflicts: apache2-common, apache2-mpm Provides: apache2, apache2-mpm, httpd, httpd-cgi Homepage: http://httpd.apache.org/ Priority: optional Section: httpd Filename: pool/main/a/apache2/apache2-mpm-worker_2.2.22-13+deb7u13_armhf.deb Size: 2246 SHA256: 6d3c206ac304aed02becd3ce6e6a9df94483f04da7e038c89aa6458e8ecf80f4 SHA1: 769dda0e2a4506ea018f0621c81ecfe5dbbc6659 MD5sum: 8908c66667f8a01133fe2eca7cddc37d Description: Apache HTTP Server - high speed threaded model Each Apache Multi-Processing Module provides a different "flavor" of web server binary, compiled with a different processing model. . The worker MPM provides the default threaded implementation. It is recommended especially for high-traffic sites because it is faster and has a smaller memory footprint than the traditional prefork MPM. Package: apache2-prefork-dev Source: apache2 Version: 2.2.22-13+deb7u13 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 531 Depends: apache2.2-common (= 2.2.22-13+deb7u13), openssl, libaprutil1-dev Conflicts: apache2-threaded-dev Homepage: http://httpd.apache.org/ Priority: extra Section: httpd Filename: pool/main/a/apache2/apache2-prefork-dev_2.2.22-13+deb7u13_armhf.deb Size: 115058 SHA256: 99d08a525893839702fd5bd4aba6fa6af5c50fd64ee6fe73840ae4d7d1d132e7 SHA1: 66b9c8655f906348c455e239fda0e0e3503c409b MD5sum: 411b91ad391dd1ab55ff451108f92ee6 Description: Apache development headers - non-threaded MPM This package provides the development headers and apxs2 binary for apache2-mpm-prefork; see the apache2 package description for more details. . This should only be used when you absolutely *must* support a non-threaded environment (for PHP, for example). Package: apache2-suexec Source: apache2 Version: 2.2.22-13+deb7u13 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 129 Depends: libc6 (>= 2.13-28), apache2.2-common Conflicts: apache-common, apache2-suexec Replaces: apache2-suexec Homepage: http://httpd.apache.org/ Priority: optional Section: httpd Filename: pool/main/a/apache2/apache2-suexec_2.2.22-13+deb7u13_armhf.deb Size: 107728 SHA256: 55ac6d514fb7576c32330ded5dd9cee509c3b61a295af4d6caa6e63888623111 SHA1: 458e0bb0086182542007eeb872a3d8aa83153327 MD5sum: 7bc4c3886bf7842e6ff4fea1544aca22 Description: Standard suexec program for Apache 2 mod_suexec Provides the standard suexec helper program for mod_suexec. This version is compiled with document root /var/www and userdir suffix public_html. If you need different settings, use the package apache2-suexec-custom. Package: apache2-suexec-custom Source: apache2 Version: 2.2.22-13+deb7u13 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 130 Depends: libc6 (>= 2.13-28), apache2.2-common Conflicts: apache-common, apache2-suexec Replaces: apache2-suexec Provides: apache2-suexec Homepage: http://httpd.apache.org/ Priority: extra Section: httpd Filename: pool/main/a/apache2/apache2-suexec-custom_2.2.22-13+deb7u13_armhf.deb Size: 109112 SHA256: e745e0e4690dc096dc9cbf4731e1d92d3f9156c1d843c38ae48c67d9c065113f SHA1: 007dfa698f7432ad267e21efaff7334a692f41ec MD5sum: 1d302573685e162926ee67667a1ade84 Description: Configurable suexec program for Apache 2 mod_suexec Provides a customizable version of the suexec helper program for mod_suexec. This is not the version from upstream, but can be configured with a configuration file. . If you do not need non-standard document root or userdir settings, it is recommended that you use the standard suexec helper program from the apache2-suexec package instead. Package: apache2-threaded-dev Source: apache2 Version: 2.2.22-13+deb7u13 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 537 Depends: apache2.2-common (= 2.2.22-13+deb7u13), openssl, libaprutil1-dev Conflicts: apache2-prefork-dev Homepage: http://httpd.apache.org/ Priority: extra Section: httpd Filename: pool/main/a/apache2/apache2-threaded-dev_2.2.22-13+deb7u13_armhf.deb Size: 115834 SHA256: 9ba746b31b927ce51f6bbceafc5738323256d7ce88c2eaa725aef2794267b5c3 SHA1: acd030c0ec467c9bb0d3bc6ee37d73d76b86d272 MD5sum: d18bc280a6b93fa2015e409cebcf5479 Description: Apache development headers - threaded MPM This package provides the development headers and apxs2 binary for threaded versions of apache2; see the apache2 package description for more details. Package: apache2-utils Source: apache2 Version: 2.2.22-13+deb7u13 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 289 Depends: libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0) Conflicts: apache-common (<< 1.3.28.0.1-1) Replaces: apache-utils (<< 1.3.33-4), apache2-common, apache2.2-bin (<< 2.2.22-1~) Homepage: http://httpd.apache.org/ Priority: optional Section: httpd Filename: pool/main/a/apache2/apache2-utils_2.2.22-13+deb7u13_armhf.deb Size: 164538 SHA256: 5788968564683f330bd4ddbfd5896818f22e50cf7145c3a0cfbeda48ca79ed4b SHA1: e9c15775ef91dd016759b8402b5f7fc6167dddbe MD5sum: 09ce6b6888adb1a8cb1e22b1fbdfed51 Description: utility programs for webservers Provides some add-on programs useful for any webserver. These include: - ab (Apache benchmark tool) - logresolve (Resolve IP addresses to hostname in logfiles) - htpasswd (Manipulate basic authentication files) - htdigest (Manipulate digest authentication files) - dbmmanage (Manipulate basic authentication files in DBM format, using perl) - htdbm (Manipulate basic authentication files in DBM format, using APR) - rotatelogs (Periodically stop writing to a logfile and open a new one) - split-logfile (Split a single log including multiple vhosts) - checkgid (Checks whether the caller can setgid to the specified group) - check_forensic (Extract mod_log_forensic output from Apache log files) - httxt2dbm (Generate dbm files for use with RewriteMap) Package: apache2.2-bin Source: apache2 Version: 2.2.22-13+deb7u13 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 2975 Depends: libapr1 (>= 1.4.2), libaprutil1 (>= 1.4.0), libaprutil1-dbd-sqlite3 | libaprutil1-dbd-mysql | libaprutil1-dbd-odbc | libaprutil1-dbd-pgsql | libaprutil1-dbd-freetds, libaprutil1-ldap, libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libpcre3 (>= 8.10), libssl1.0.0 (>= 1.0.1), zlib1g (>= 1:1.1.4) Conflicts: apache2.2-common (<< 2.2.11-5~) Replaces: apache2.2-common (<< 2.2.14-2~) Homepage: http://httpd.apache.org/ Priority: optional Section: httpd Filename: pool/main/a/apache2/apache2.2-bin_2.2.22-13+deb7u13_armhf.deb Size: 683680 SHA256: 74c0cf2ef3284b5ecdbfdea1290560673026f377d82f54b7a1de63fd4e922f87 SHA1: 6ccdc7f02b4860b9c95b5f52fd149a4d5a3499e0 MD5sum: d01da10c8db4508494d340f5289e57a9 Description: Apache HTTP Server common binary files The Apache Software Foundation's goal is to build a secure, efficient and extensible HTTP server as standards-compliant open source software. The result has long been the number one web server on the Internet. . This package contains all binaries but no configuration or support scripts. To get a stand-alone server, you need to install one of the apache2-mpm-* packages, such as worker or prefork. Other packages like gnome-user-share may bring their own Apache configuration, though. Package: apache2.2-common Source: apache2 Version: 2.2.22-13+deb7u13 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 620 Depends: apache2.2-bin (= 2.2.22-13+deb7u13), apache2-utils, mime-support, lsb-base, procps, perl Recommends: ssl-cert Suggests: www-browser, apache2-doc, apache2-suexec | apache2-suexec-custom Conflicts: apache, apache2-common Replaces: apache2-common Homepage: http://httpd.apache.org/ Priority: optional Section: httpd Filename: pool/main/a/apache2/apache2.2-common_2.2.22-13+deb7u13_armhf.deb Size: 293484 SHA256: 7b80405b363e4f881f3787e6634cfcf0efe20293836c66eb7010ed08c5f3b3be SHA1: 9b7bc36a64a51516df8fb9db37f3c8a8d6ca8e04 MD5sum: 9d9c617236b805d254970fb1260f7e46 Description: Apache HTTP Server common files The Apache Software Foundation's goal is to build a secure, efficient and extensible HTTP server as standards-compliant open source software. The result has long been the number one web server on the Internet. . This package contains the configuration and support scripts. However, it does *not* include the server itself; for this you need to install one of the apache2-mpm-* packages, such as worker or prefork. Package: apachetop Version: 0.12.6-16 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 86 Depends: libadns1 (>= 1.4), libc6 (>= 2.4), libgamin0 | libfam0, libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libstdc++6 (>= 4.3.0), libtinfo5, gamin Homepage: http://www.webta.org/projects/apachetop/ Priority: optional Section: admin Filename: pool/main/a/apachetop/apachetop_0.12.6-16_armhf.deb Size: 34736 SHA256: 45f3c5c1c54931c59844f0bb294c66dd55c85cbba47c3df6e9e95d0face3f2a5 SHA1: 3a993969932236b3fb46f10f8453282528a4750a MD5sum: 4d3fa7f69f4d2f3605cc28c449ac2888 Description: Realtime Apache monitoring tool Apache top is a curses-based realtime utility to display information from a running copy of Apache. . It is modelled after the standard 'top' utility, and displays information such as the requests pers second, bytes per second and the most popular URLs displayed. . It must be run from a machine running Apache, as it works by processing the logfiles found in /var/log/apache. Package: apbs Version: 1.3.0-2 Architecture: armhf Maintainer: Debichem Team Installed-Size: 772 Depends: libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libmaloc1 (>= 0.2-1), libopenmpi1.3 Homepage: http://apbs.sourceforge.net Priority: optional Section: science Filename: pool/main/a/apbs/apbs_1.3.0-2_armhf.deb Size: 370550 SHA256: 046e447076c0c17e096aea71a76b3b2b0efe82f24619a7ddcd903c5710677468 SHA1: 92b82f557a4094015fa5bebbe1bb75d4a5834e19 MD5sum: 2cbd48691c3495b7e4a0af5afac78fd1 Description: Adaptive Poisson Boltzmann Solver APBS is a software package for the numerical solution of the Poisson-Boltzmann equation (PBE), one of the most popular continuum models for describing electrostatic interactions between molecular solutes in salty, aqueous media. Continuum electrostatics plays an important role in several areas of biomolecular simulation, including: . * simulation of diffusional processes to determine ligand-protein and protein-protein binding kinetics, * implicit solvent molecular dynamics of biomolecules , * solvation and binding energy calculations to determine ligand-protein and protein-protein equilibrium binding constants and aid in rational drug design, * and biomolecular titration studies. . APBS was designed to efficiently evaluate electrostatic properties for such simulations for a wide range of length scales to enable the investigation of molecules with tens to millions of atoms. Package: apcalc Version: 2.12.4.4-3 Architecture: armhf Maintainer: Martin Buck Installed-Size: 671 Depends: apcalc-common (= 2.12.4.4-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0) Homepage: http://www.isthe.com/chongo/tech/comp/calc/ Priority: optional Section: math Filename: pool/main/a/apcalc/apcalc_2.12.4.4-3_armhf.deb Size: 310818 SHA256: bbe27a173da6717acb45bbf7d302cdadd4ae421b1828d36d25640761801e798e SHA1: 9fecdae16d13039d73bf79d0663f15a951c9c021 MD5sum: d10dc574c9489acf6110605f782ed7dc Description: Arbitrary precision calculator (original name: calc) Calc is an arbitrary precision arithmetic system that uses a C-like language. Calc is useful as a calculator, an algorithm prototyper and as a mathematical research tool. More importantly, calc provides one with a machine independent means of computation. Calc comes with a rich set of builtin mathematical and programmatic functions. . Calc is built on top of the library libcalc that represents numeric values as fractions reduced to their lowest terms. This library can also be used to add arbitrary precision capabilities to your own programs and is available in the Debian package `apcalc-dev'. . The original name of this package is `calc', but it had to be changed to `apcalc' for Debian, because there's already another package called `calc' in Debian. Nevertheless, the binary and manpage installed by this package are still named `calc'. Package: apcalc-common Source: apcalc Version: 2.12.4.4-3 Installed-Size: 3181 Maintainer: Martin Buck Architecture: all Replaces: apcalc Size: 948268 SHA256: 7d4df4ff687e20c8da30ded42aef9296bc923dea23e12552fd23c2b2270b5d42 SHA1: 6c7353078f3c09746d524a731d06e59761f57544 MD5sum: eec53abd3a7ce01e34b42dd30376f1f1 Description: Arbitrary precision calculator (common files) Calc is an arbitrary precision arithmetic system that uses a C-like language. Calc is useful as a calculator, an algorithm prototyper and as a mathematical research tool. More importantly, calc provides one with a machine independent means of computation. Calc comes with a rich set of builtin mathematical and programmatic functions. . This package contains architecture independent files required by the main package `apcalc'. Homepage: http://www.isthe.com/chongo/tech/comp/calc/ Tag: field::mathematics, interface::commandline, interface::text-mode, role::app-data Section: math Priority: optional Filename: pool/main/a/apcalc/apcalc-common_2.12.4.4-3_all.deb Package: apcalc-dev Source: apcalc Version: 2.12.4.4-3 Architecture: armhf Maintainer: Martin Buck Installed-Size: 1319 Depends: libc6-dev Suggests: apcalc Homepage: http://www.isthe.com/chongo/tech/comp/calc/ Priority: optional Section: devel Filename: pool/main/a/apcalc/apcalc-dev_2.12.4.4-3_armhf.deb Size: 550558 SHA256: 78f10771f61a8c0c2fe7118f611bd74b10c8a209322e138aeaf991235a5fe689 SHA1: 217c42d97b11169aa4b3aa0f90d6b661e655ebe7 MD5sum: 2dec0b045d11a0ef3c6a8f547c40692a Description: Library for arbitrary precision arithmetic This package contains the library libcalc.a and the necessary header files which provide routines to handle arbitrary precision arithmetic with integers, rational numbers, or complex numbers. There are also many numeric functions such as factorial and gcd, along with some transcendental functions such as sin and exp. . This library is also used by the commandline calculator `calc', which is provided in the Debian package `apcalc'. Package: apcupsd Version: 3.14.10-2 Architecture: armhf Maintainer: Giuseppe Iuculano Installed-Size: 639 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libwrap0 (>= 7.6-4~) Recommends: apcupsd-doc Suggests: apcupsd-cgi, udev Conflicts: ups-monitor Provides: ups-monitor Homepage: http://www.apcupsd.com/ Priority: extra Section: admin Filename: pool/main/a/apcupsd/apcupsd_3.14.10-2_armhf.deb Size: 301458 SHA256: fe8a6bbf310e4138a12d726c8f1ec539b16e8a4d084534919b33d8033a3e9b5a SHA1: e48098f877b2447d91aad19d1beeec3cf44bb3a2 MD5sum: c7754391d0472804e566f4fb0abf8459 Description: APC UPS Power Management (daemon) apcupsd provides UPS power management for APC products, including most BackUPS series models (including USB), SmartUPS V/S, SmartUPS (NET/RM), and Matrix series. . It controls and monitors the status of UPS and allows your computer to run for a specified length of time on UPS power, and then executes a controlled shutdown in the case of an extended power failure. Package: apcupsd-cgi Source: apcupsd Version: 3.14.10-2 Architecture: armhf Maintainer: Giuseppe Iuculano Installed-Size: 160 Depends: libc6 (>= 2.13-28), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libwrap0 (>= 7.6-4~), apcupsd (= 3.14.10-2) Suggests: apache2 | httpd Homepage: http://www.apcupsd.com/ Priority: extra Section: web Filename: pool/main/a/apcupsd/apcupsd-cgi_3.14.10-2_armhf.deb Size: 70296 SHA256: 54d8d36ec2ee95b9a773243677170bedf99dcbf9f8b44fd1c0f542755b783192 SHA1: 4390eedcc963ea82e4e0419b72f30ca2429a134f MD5sum: a3bf96206c051fdc6cbac9df0c954c2c Description: APC UPS Power Management (web interface) apcupsd provides UPS power management for APC products, including most BackUPS series models (including USB), SmartUPS V/S, SmartUPS (NET/RM), and Matrix series. . This package contains the web interface. Package: apcupsd-doc Source: apcupsd Version: 3.14.10-2 Installed-Size: 1589 Maintainer: Giuseppe Iuculano Architecture: all Replaces: apcupsd Size: 646104 SHA256: e24cfc306258f821e07fb2dda57e539ed79cdf248c7bb08bb41abc3428da8ea7 SHA1: 0097c51f95c54d63152e68390b6d702bf9887c87 MD5sum: 06f486194c5be90050e5c697385145cd Description: APC UPS Power Management (documentation/examples) apcupsd provides UPS power management for APC products, including most BackUPS series models (including USB), SmartUPS V/S, SmartUPS (NET/RM), and Matrix series. . This package contains the documentation and examples. Homepage: http://www.apcupsd.com/ Tag: admin::power-management, hardware::power, hardware::power:ups, interface::daemon, made-of::html, role::documentation, use::monitor Section: doc Priority: extra Filename: pool/main/a/apcupsd/apcupsd-doc_3.14.10-2_all.deb Package: apel Version: 10.8-2 Installed-Size: 688 Maintainer: Tatsuya Kinoshita Architecture: all Depends: emacs | emacsen Size: 143246 SHA256: 86cbbf0a39d445d45e1f9309b5c79c95efaaf2f9dedf3f45760ade738a326b1e SHA1: 6c0c3bdac065e4b9ef3f96d2d2fd3d9989ae27e8 MD5sum: e1e5394900201b6805c97a5f3026f596 Description: portable library for emacsen APEL stands for "A Portable Emacs Library". It consists of following modules: . poe.el emulation module mainly for basic functions and special forms/macros of latest emacsen poem.el basic functions to write portable MULE programs pces.el portable character encoding scheme (coding-system) features invisible.el features about invisible region mcharset.el MIME charset related features static.el utility for static evaluation broken.el information of broken facilities of Emacs pccl.el utility to write portable CCL program alist.el utility for Association-list calist.el utility for condition tree and condition/situation-alist path-util.el utility for path management or file detection filename.el utility to make file-name install.el utility to install emacs-lisp package mule-caesar.el ROT 13-47-48 Caesar rotation utility emu.el emu bundled in tm-7.106 compatibility pcustom.el portable custom environment product.el functions for product version information Homepage: http://kanji.zinbun.kyoto-u.ac.jp/~tomo/elisp/APEL/ Tag: devel::library, implemented-in::lisp, interface::commandline, role::plugin, suite::emacs Section: editors Priority: optional Filename: pool/main/a/apel/apel_10.8-2_all.deb Package: apertium Version: 3.1.0-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1010 Depends: libapertium3-3.1-0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), liblttoolbox3-3.1-0, libpcre3 (>= 8.10), libstdc++6 (>= 4.4.0), libxml2 (>= 2.6.27), lttoolbox (>= 3.1.0), libxml2-utils (>= 2.6.24) Provides: apertium-pcre2 Homepage: http://www.apertium.org Priority: optional Section: libs Filename: pool/main/a/apertium/apertium_3.1.0-2_armhf.deb Size: 329300 SHA256: 2d0645b18abaea78abb494e47c0fba0eb00de1f8aa98c7455a066f657cda9d43 SHA1: 87a4b4f864067d3f5663c8bfb798fa317cc215a2 MD5sum: e8df73bfcb28c46d8aae2ddf30f73477 Description: Shallow-transfer machine translation engine An open-source shallow-transfer machine translation engine, Apertium is initially aimed at related-language pairs. . It uses finite-state transducers for lexical processing, hidden Markov models for part-of-speech tagging, and finite-state based chunking for structural transfer. . The system is largely based upon systems already developed by the Transducens group at the Universitat d'Alacant, such as interNOSTRUM (Spanish-Catalan, http://www.internostrum.com/welcome.php) and Traductor Universia (Spanish-Portuguese, http://traductor.universia.net). . It will be possible to use Apertium to build machine translation systems for a variety of related-language pairs simply providing the linguistic data needed in the right format. Package: apertium-dbus Version: 0.1-1.1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 69 Depends: python (>= 2.4.0), dbus (>= 1.1.2), apertium (>= 3.0.2) Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-dbus/apertium-dbus_0.1-1.1_armhf.deb Size: 9430 SHA256: f41b97e4b195b9d7315f4131accc09e4d03ae9b6b2b224fa4f3d914aa5515812 SHA1: c8cade57b4babcceb620cc608b6a3ea32a3575db MD5sum: 5b5ca48f1400758b02d1e5eaf5985876 Description: A D-Bus service for the Apertium machine translation system A D-Bus service for the Apertium machine translation system, allows Apertium to be used for machine translation for any installed language pair from inside any programming language which has D-Bus bindings. . To use this service you need the Apertium engine installed, and at least one linguistic package or language pair. Package: apertium-en-ca Version: 0.8.9-1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 6886 Depends: lttoolbox (>= 3.1.0), apertium (>= 3.1.0), apertium-pcre2 Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-en-ca/apertium-en-ca_0.8.9-1_armhf.deb Size: 2849596 SHA256: b9444a5bcc3acb29113079fe8556d18f270c7f26363fa525a68763be03f69b8e SHA1: fee9b155458eeb3a15d32f221a574045b533ce00 MD5sum: 5af56086670882493a96ed0496c62260 Description: Apertium linguistic data to translate between English and Catalan This is a linguistic package for the Apertium shallow-transfer machine translation system. The package can be used to translate between English and Catalan. Catalan is a Romance language spoken in Spain, southern France, Andorra and l'Alguer (Alghero) in Italy. . To use this package you will need to install both lttoolbox, the lexical-processing tools, and apertium, the tagger and transfer engine. Package: apertium-en-es Version: 0.6.0-1.1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 4617 Depends: lttoolbox (>= 3.0.0), apertium (>= 3.0.1) Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-en-es/apertium-en-es_0.6.0-1.1_armhf.deb Size: 1553666 SHA256: aa856716fdbc30fb4471642a6b3511cc08d845d7e2c1ca71b37c5c79b8684079 SHA1: 3fba8c07316f04ab7fdffe268870f4790aef965e MD5sum: 890f4009d026bdef8586eff4be77f9d3 Description: Apertium linguistic data to translate between English and Spanish This is a linguistic package for the Apertium shallow-transfer machine translation system. The package can be used to translate between English and Spanish. . To use this package you will need to install both lttoolbox, the lexical-processing tools, and apertium, the tagger and transfer engine. Package: apertium-eo-ca Version: 0.9.0-1.1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 2691 Depends: lttoolbox (>= 3.0.0), apertium (>= 3.0.1) Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-eo-ca/apertium-eo-ca_0.9.0-1.1_armhf.deb Size: 977344 SHA256: 10fb0d791d7b7d4b44bdd07b1c79df3cb8a712a49dfb12757be053e4f1e31a39 SHA1: d2de1de155e1e9459c4dbd603104c233e9a826e9 MD5sum: b695eda1d7219d7d8a4b60a1fad9c1e2 Description: Apertium linguistic data to translate between Esperanto and Catalan This is a linguistic package for the Apertium shallow-transfer machine translation system. The package can be used to translate between Esperanto and Catalan. This package is uni-directional, which means that the Esperanto to Catalan direction is not enabled. . To use this package you will need to install both lttoolbox, the lexical-processing tools, and apertium, the tagger and transfer engine. Package: apertium-eo-es Version: 0.9.0-1.1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 2816 Depends: lttoolbox (>= 3.0.0), apertium (>= 3.0.1) Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-eo-es/apertium-eo-es_0.9.0-1.1_armhf.deb Size: 925360 SHA256: ac8a729fa939036b59f6c054dcac99e2089f79356546136999a7f9b96de9d572 SHA1: 17c34923f535ea7d2435319f85a97e2d25574c64 MD5sum: d041fa3ff70874eeb52cdb5db444563b Description: Apertium linguistic data to translate between Esperanto and Spanish This is a linguistic package for the Apertium shallow-transfer machine translation system. The package can be used to translate between Esperanto and Spanish. This package is uni-directional, which means that the Esperanto to Spanish direction is not enabled. . To use this package you will need to install both lttoolbox, the lexical-processing tools, and apertium, the tagger and transfer engine. Package: apertium-es-ca Version: 1.1.0-1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 12196 Depends: lttoolbox (>= 3.1.0), apertium (>= 3.1.0), apertium-pcre2 Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-es-ca/apertium-es-ca_1.1.0-1_armhf.deb Size: 4234352 SHA256: 007abf2c3c453551a2c02e89b766031f110b4bada09c1df59ca0f885913fe61e SHA1: fa0d2c78d10982a681683e3dbf7c7bc76a2c09d3 MD5sum: 7f5beaaf1509f48e937ad7f4ed322632 Description: Apertium linguistic data to translate between Spanish and Catalan This is a linguistic package for the Apertium shallow-transfer machine translation system. The package can be used to translate between Spanish and Catalan, both Romance languages of the Iberian peninsula. . To use this package you will need to install both lttoolbox, the lexical-processing tools, and apertium, the tagger and transfer engine. Package: apertium-es-gl Version: 1.0.7-1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 1580 Depends: lttoolbox (>= 3.1.0), apertium (>= 3.1.0), apertium-pcre2 Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-es-gl/apertium-es-gl_1.0.7-1_armhf.deb Size: 674236 SHA256: f19f4474ea6ecd61f3e49e1e46ec83cede773be14dc0c16cdd12653361fa0391 SHA1: 7f5608c7e09615a80b0c3ccf3c538ec9e59e4a79 MD5sum: 98c67f2f60c688f44baa9afe25f05dd5 Description: Apertium linguistic data to translate between Spanish and Galician This is a linguistic package for the Apertium shallow-transfer machine translation system. The package can be used to translate between Spanish and Galician, both Romance languages of the Iberian peninsula. . To use this package you will need to install both lttoolbox, the lexical-processing tools, and apertium, the tagger and transfer engine. Package: apertium-es-pt Version: 1.0.3-2.1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 2741 Depends: lttoolbox (>= 3.0.0), apertium (>= 3.0.1) Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-es-pt/apertium-es-pt_1.0.3-2.1_armhf.deb Size: 902940 SHA256: 764ac0753b38586e37326e57986d5d1c6c89a9c8e19c1818b9dd33393c00ed9d SHA1: c7b394f760aef358f96d9da141cdbf769ce2fc26 MD5sum: 1bce5c96973c915585c799246d9499b9 Description: Apertium linguistic data to translate between Spanish and Portuguese This is a linguistic package for the Apertium shallow-transfer machine translation system. The package can be used to translate between Spanish and Portuguese, both Romance languages of the Iberian peninsula. . To use this package you will need to install both lttoolbox, the lexical-processing tools, and apertium, the tagger and transfer engine. Package: apertium-es-ro Version: 0.7.1-2.1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 2748 Depends: lttoolbox (>= 3.0.0), apertium (>= 3.0.1) Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-es-ro/apertium-es-ro_0.7.1-2.1_armhf.deb Size: 1140160 SHA256: 741123786ec9f762288434418d27665897436adcec8f20392b77f5f6f1732c03 SHA1: bcb22eff8fb9ffbae696ac64c0e087ed7349169c MD5sum: 4bbf67446e105aeb02af0bf244841c78 Description: Apertium linguistic data to translate between Spanish and Romanian This is a linguistic package for the Apertium shallow-transfer machine translation system. The package can be used to translate from Romanian to Spanish, both are Romance languages. Romanian is spoken in Romania, and in Moldova, where it is called Moldovan, and Spanish is spoken widely around the world. Unlike other linguistic packages this one currently only has one direction, Romanian to Spanish. . To use this package you will need to install both lttoolbox, the lexical-processing tools, and apertium, the tagger and transfer engine. Package: apertium-eu-es Version: 0.3.1-1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 4978 Depends: lttoolbox (>= 3.1.0), apertium (>= 3.1.0), apertium-pcre2 Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-eu-es/apertium-eu-es_0.3.1-1_armhf.deb Size: 1603588 SHA256: e2d6eef6c20d41a5543d3b730a98fd7c7e3208bf9c7df4b25207f6c77620dd04 SHA1: 635876611a566db90991ee836e26fda5cbaec878 MD5sum: ea168e0332a26c292c7bf1b606540bcc Description: Apertium linguistic data to translate between Basque and Spanish This is a linguistic package for the Apertium shallow-transfer machine translation system. The package can be used to translate from Basque to Spanish. Basque is a language isolate, spoken in the Basque Country (Euskal Herria), which is split between France and Spain. Spanish is a Romance language spoken widely around the world. Unlike other linguistic packages this one currently only has one direction, Basque to Spanish. . To use this package you will need to install both lttoolbox, the lexical-processing tools, and apertium, the tagger and transfer engine. Package: apertium-fr-ca Version: 1.0.2-1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 2575 Depends: lttoolbox (>= 3.1.0), apertium (>= 3.1.0), apertium-pcre2 Homepage: http://www.apertium.org Priority: optional Section: misc Filename: pool/main/a/apertium-fr-ca/apertium-fr-ca_1.0.2-1_armhf.deb Size: 931600 SHA256: 50956a2b75ce4efaf13b92e7631db8c827f97d1d74dba6071d83ab1e8f67c32a SHA1: 4dba57e64b741ac183a8d0a073d8fb566b8eb64d MD5sum: beb5d36d2f0458fbc0dc924e4a899185 Description: Apertium linguistic data to translate between French and Catalan This is a linguistic package for the Apertium shallow-transfer machine translation system. The package can be used to translate between French and Catalan, both Romance languages. French is spoken in France, and around the world, Catalan is spoken largely in Spain and southern France, although they are also speakers in the city of l'Aluger (Alghero) in Italy. . To use this package you will need to install both lttoolbox, the lexical-processing tools, and apertium, the tagger and transfer engine. Package: apertium-fr-es Version: 0.9.0-1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 7466 Depends: lttoolbox (>= 3.1.0), apertium (>= 3.1.0), apertium-pcre2 Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-fr-es/apertium-fr-es_0.9.0-1_armhf.deb Size: 3760408 SHA256: 536dbb8a9096a5c7d40e684d52eafa0606d9be0d1827cd9d8ca9d1aae038bfa7 SHA1: c3bbf2d6de2ac90da8ee43b2a7c2a73d9c3e303d MD5sum: c834d0ca18586bd6caee0db09111cbbd Description: Apertium linguistic data to translate between French and Spanish This is a linguistic package for the Apertium shallow-transfer machine translation system. The package can be used to translate between French and Spanish. . To use this package you will need to install both lttoolbox, the lexical-processing tools, and apertium, the tagger and transfer engine. Package: apertium-oc-ca Version: 1.0.5-1.1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 6573 Depends: lttoolbox (>= 3.0.2), apertium (>= 3.0.5) Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-oc-ca/apertium-oc-ca_1.0.5-1.1_armhf.deb Size: 2656096 SHA256: af7d66db91868d71148c9e16561268b45ad90b25b6570911fb7af3cc10a095a2 SHA1: d26dce55fdf340b9987629e75582bcb773f1d200 MD5sum: 949b45d65df7a38b86d94a43a559b66e Description: Apertium linguistic data to translate between Occitan and Catalan This is a linguistic package for the Apertium shallow-transfer machine translation system. The package can be used to translate between Occitan and Catalan. Catalan is a Romance language spoken in Spain, southern France, Andorra and l'Alguer (Alghero) in Italy. Occitan is spoken both in the Val d'Aran (in Catalonia) and in southern France and Italy. This package supports both standard Occitan, and the Aranese variety which is official in the Val d'Aran. . To use this package you will need to install both lttoolbox, the lexical-processing tools, and apertium, the tagger and transfer engine. Package: apertium-oc-es Version: 1.0.5-1.1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 8551 Depends: lttoolbox (>= 3.0.2), apertium (>= 3.0.5) Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-oc-es/apertium-oc-es_1.0.5-1.1_armhf.deb Size: 2549840 SHA256: 3188a4e3e33b71850f632d43933e7c59ef2226fd750a8b06e4e80f90c45ace48 SHA1: 04d0dba95bc0d7b936ce86404384347cc245b33d MD5sum: 8f0d6446d40859eaa059699bfd6d7f2f Description: Apertium linguistic data to translate between Occitan and Spanish This is a linguistic package for the Apertium shallow-transfer machine translation system. The package can be used to translate between Occitan and Spanish. Occitan is spoken both in the Val d'Aran (in Catalonia) and in southern France and Italy. This package supports both standard Occitan, and the Aranese variety which is official in the Val d'Aran. . To use this package you will need to install both lttoolbox, the lexical-processing tools, and apertium, the tagger and transfer engine. Package: apertium-pt-ca Version: 0.8.1-1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 1735 Depends: lttoolbox (>= 3.1.0), apertium (>= 3.1.0), apertium-pcre2 Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-pt-ca/apertium-pt-ca_0.8.1-1_armhf.deb Size: 557838 SHA256: 358c4e64e0f2a663d38fbcc75917de23ee8a4d9735a0e74072ca5835a9178313 SHA1: bfa1c2ec9c91d23a1a9d4f49bfa9b3729cd2e0cc MD5sum: 1cd94bbe08b3de345ccfd3e878a4d0c5 Description: Apertium linguistic data to translate between Portuguese and Catalan This is a linguistic package for the Apertium shallow-transfer machine translation system. The package can be used to translate between Portuguese and Catalan, both Romance languages of the Iberian peninsula. . To use this package you will need to install both lttoolbox, the lexical-processing tools, and apertium, the tagger and transfer engine. Package: apertium-pt-gl Version: 0.9.1-1 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 5206 Depends: lttoolbox (>= 3.1.0), apertium (>= 3.1.0), apertium-pcre2 Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-pt-gl/apertium-pt-gl_0.9.1-1_armhf.deb Size: 952722 SHA256: 225c1f01253c0ff3988631054fcb826391c5566830f9723a99ac850e502a93fe SHA1: 88482006ff47156fc3cc8516bd8070c2310ea33d MD5sum: e8074d0a6322815edda5001813703650 Description: Apertium linguistic data to translate between Portuguese and Galician This is a linguistic package for the Apertium shallow-transfer machine translation system. The package can be used to translate between Portuguese and Galician, both Romance languages of the Iberian peninsula. . To use this package you will need to install both lttoolbox, the lexical-processing tools, and apertium, the tagger and transfer engine. Package: apertium-tolk Version: 0.2-2.2 Architecture: armhf Maintainer: Francis Tyers Installed-Size: 421 Depends: apertium (>= 3.0.5), dbus (>= 0.34), python-dbus (>= 0.82), python-gtk2 (>= 2.10.0), python (>= 2.4), python-support (>= 0.90.0), apertium-dbus (>= 0.1), dbus-x11 Homepage: http://www.apertium.org Priority: extra Section: misc Filename: pool/main/a/apertium-tolk/apertium-tolk_0.2-2.2_armhf.deb Size: 29512 SHA256: b17e5369ff0d95d4081438f89b667941bc7224cdf2fa883facf7b98ba1ddb649 SHA1: 58cd65df9f1b3f86eb16c7f94105cc96e6966607 MD5sum: 8b15e39ee55cf2e5c50b6e6ef340ceeb Description: Graphical user interface for Apertium A Python and GTK+ based graphical user interface for the Apertium machine translation system. Translate text between natural languages in real-time. Uses the apertium-dbus backend. Package: apf-client Source: apf Version: 0.8.4-1 Architecture: armhf Maintainer: Juan A. Diaz Installed-Size: 168 Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4) Homepage: http://www.gray-world.net/pr_af.shtml Priority: optional Section: net Filename: pool/main/a/apf/apf-client_0.8.4-1_armhf.deb Size: 72820 SHA256: d9e24e7a19f62c7866811d37fe5ff2e0736c931a1aed02bcda38d566ec15a787 SHA1: f9fc2af8627003ea3d6019ce7eac6e7d644c0717 MD5sum: 0a970800123d67100f882ef3d5670f5c Description: Client for Active Port Forwarding Active Port Forwarder is a tool for secure port forwarding. It uses ssl to increase security of communication between the server and the client. It is designed for people without an external IP who want to make some services available on the Internet. The Active Port Forwarder server (afserver) is placed on the machine with a public address, and the client (afclient) is placed on the machine behind a firewall or masquerade. This makes the second machine visible to the Internet. . This package provides the Active Port Forwarder client. . Short list of features: * Using one permanent data/control channel with flow control/packet buffering provides good performance and reasonably small latency. * Use zlib to compress the transferred data. * Able to use ssl for all comunication or only for the autentification. * Able to transfer multiple tunnels in the one afclient <-> afserver connection making possible create more sophisticated tunneling scheme. * Doesn't require root priviledges. * Doesn't use threads or other processes. * The afclient can use external modules for user's packets filtering. Package: apf-firewall Version: 9.7+rev1-3 Installed-Size: 436 Maintainer: Giuseppe Iuculano Architecture: all Depends: iptables, lsb-base, wget, iproute Size: 106630 SHA256: d34e4d34796c3b6da4e6b5e97a7672b8c7c6ee5ed83bd0b2d97d0627b6fd2cc6 SHA1: 41a9c2d90d6a7af2115de0f09b2716a2149c37ab MD5sum: 2b3a7934d5525c1b58ee0cb2da5ee742 Description: easy iptables based firewall system Advanced Policy Firewall (APF) is an iptables(netfilter) based firewall system designed around the essential needs of today's Internet deployed servers and the unique needs of custom deployed Linux installations. The configuration of APF is designed to be very informative and present the user with an easy to follow process, from top to bottom of the configuration file. The management of APF on a day-to-day basis is conducted from the command line with the 'apf' command, which includes detailed usage information and all the features one would expect from a current and forward thinking firewall solution. . Summary of features: * detailed and well commented configuration file * granular inbound and outbound network filtering * user id based outbound network filtering * application based network filtering * trust based rule files with an optional advanced syntax * global trust system where rules can be downloaded from a central management server * reactive address blocking (RAB), next generation in-line intrusion prevention * debug mode provided for testing new features and configuration setups * fast load feature that allows for 1000+ rules to load in under 1 second * inbound and outbound network interfaces can be independently configured * global tcp/udp port & icmp type filtering with multiple methods of executing filters (drop, reject, prohibit) * configurable policies for each ip on the system with convenience variables to import settings * packet flow rate limiting that prevents abuse on the most widely abused protocol, icmp * prerouting and postrouting rules for optimal network performance * dshield.org block list support to ban networks exhibiting suspicious activity * spamhaus Don't Route Or Peer List support to ban known "hijacked zombie" IP blocks * any number of additional interfaces may be configured as firewalled (untrusted) or trusted (not firewalled) * additional firewalled interfaces can have there own unique firewall policies applied * intelligent route verification to prevent embarrassing configuration errors * advanced packet sanity checks to make sure traffic coming and going meets the strictest of standards * filter attacks such as fragmented UDP, port zero floods, stuffed routing, arp poisoning and more * configurable type of service options to dictate the priority of different types of network traffic * intelligent default settings to meet every day server setups * dynamic configuration of your servers local DNS revolvers into the firewall * optional filtering of common p2p applications * optional filtering of private & reserved IP address space Homepage: http://www.rfxn.com/projects/advanced-policy-firewall/ Tag: admin::configuring, implemented-in::shell, interface::commandline, network::firewall, protocol::ip, role::program, scope::utility, security::firewall, use::configuring Section: net Priority: optional Filename: pool/main/a/apf-firewall/apf-firewall_9.7+rev1-3_all.deb Package: apf-server Source: apf Version: 0.8.4-1 Architecture: armhf Maintainer: Juan A. Diaz Installed-Size: 174 Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4) Homepage: http://www.gray-world.net/pr_af.shtml Priority: optional Section: net Filename: pool/main/a/apf/apf-server_0.8.4-1_armhf.deb Size: 75578 SHA256: 2fffd582fadd718626afd1115c314cf988bd86207a4c73c41fc3b0b40c450ec5 SHA1: be6183ac9f41165004bf475bec40126a46d92dca MD5sum: d4aa0a152cd3f3d727931e124e6c99d4 Description: Server for Active Port Forwarding Active Port Forwarder is a tool for secure port forwarding. It uses ssl to increase security of communication between the server and the client. It is designed for people without an external IP who want to make some services available on the Internet. The Active Port Forwarder server (afserver) is placed on the machine with a public address, and the client (afclient) is placed on the machine behind a firewall or masquerade. This makes the second machine visible to the Internet. . This package provides the Active Port Forwarder server. . Short list of features: * Using one permanent data/control channel with flow control/packet buffering provides good performance and reasonably small latency. * Use zlib to compress the transferred data. * Able to use ssl for all comunication or only for the autentification. * Able to transfer multiple tunnels in the one afclient <-> afserver connection making possible create more sophisticated tunneling scheme. * Doesn't require root priviledges. * Doesn't use threads or other processes. * The afclient can use external modules for user's packets filtering. Package: apg Version: 2.2.3.dfsg.1-2 Architecture: armhf Maintainer: Marc Haber Installed-Size: 174 Depends: libc6 (>= 2.4) Priority: optional Section: admin Filename: pool/main/a/apg/apg_2.2.3.dfsg.1-2_armhf.deb Size: 63392 SHA256: 80f53de2b482b6fb5d298febc8df0cbdcd074e9d11af1341c2b84b2f9b9e3bc1 SHA1: 944a8da8743c661ec3736f9a412cca5132fff21d MD5sum: 3a2294b0e41f64130033ab4e3a708c75 Description: Automated Password Generator - Standalone version APG (Automated Password Generator) is the tool set for random password generation. It generates some random words of required type and prints them to standard output. This binary package contains only the standalone version of apg. Advantages: * Built-in ANSI X9.17 RNG (Random Number Generator)(CAST/SHA1) * Built-in password quality checking system (now it has support for Bloom filter for faster access) * Two Password Generation Algorithms: 1. Pronounceable Password Generation Algorithm (according to NIST FIPS 181) 2. Random Character Password Generation Algorithm with 35 configurable modes of operation * Configurable password length parameters * Configurable amount of generated passwords * Ability to initialize RNG with user string * Support for /dev/random * Ability to crypt() generated passwords and print them as additional output. * Special parameters to use APG in script * Ability to log password generation requests for network version * Ability to control APG service access using tcpd * Ability to use password generation service from any type of box (Mac, WinXX, etc.) that connected to network * Ability to enforce remote users to use only allowed type of password generation The client/server version of apg has been deliberately omitted. . Upstream URL: http://www.adel.nursat.kz/apg/download.shtml Package: apgdiff Version: 2.3-1 Installed-Size: 156 Maintainer: Christoph Berg Architecture: all Depends: default-jre-headless | java2-runtime-headless Size: 90810 SHA256: 22ef4381ff32c1e43c3f2af42cb6d17c27f8b1d67a4e3bce0d04d2357b4b9fdb SHA1: 8f60a9cc38b672c468f423ccd0c6e49f53685974 MD5sum: adecc98f9526c71894da15fb9d9c0168 Description: Another PostgreSQL Diff Tool Another PostgreSQL Diff Tool is a simple PostgreSQL diff tool that is useful for schema upgrades. The tool compares two schema dump files and creates an SQL output file that is (after some hand-made modifications) suitable for upgrades of old schemata. Homepage: http://apgdiff.startnet.biz/ Tag: devel::lang:sql, implemented-in::java, interface::commandline, role::program, scope::utility, suite::postgresql, use::comparing, works-with::db, works-with::file Section: database Priority: extra Filename: pool/main/a/apgdiff/apgdiff_2.3-1_all.deb Package: apiextractor-doc Source: apiextractor Version: 0.10.10-1 Installed-Size: 366 Maintainer: Didier Raboud Architecture: all Depends: libjs-sphinxdoc (>= 1.0) Size: 147436 SHA256: 2486c53c87d7657c2515a1e6698e32bedd1f45438229e6324ede4857e8c1ea89 SHA1: 0b55751e43385fa6f60b5070770c35397eb40d03 MD5sum: 3bdd723073518abe601cde3ccfb43440 Description: documentation for the library headers parser The API Extractor library is used by the binding generator to parse headers of a given library and merge this data with information provided by typesystem (XML) files, resulting in a representation of how the API should be exported to the chosen target language. The generation of source code for the bindings is performed by specific generators using the API Extractor library. . This package contains the documentation for apiextractor. Homepage: http://www.pyside.org/home-binding/api-extractor/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/apiextractor/apiextractor-doc_0.10.10-1_all.deb Package: aplus-fsf Version: 4.22.1-6 Architecture: armhf Maintainer: Neil Roeth Installed-Size: 6265 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.1.1), libx11-6 Priority: optional Section: interpreters Filename: pool/main/a/aplus-fsf/aplus-fsf_4.22.1-6_armhf.deb Size: 2286890 SHA256: 36e34aba3792b85c5a33e0cf4ee6f5bafc74e4efac0d4a534dad1ba2e0335138 SHA1: de92e745dbfef6bd4f6715343250a276537d3c03 MD5sum: bb55414c5d22ab342045c887e10a1ec8 Description: A+ programming language run-time environment A+ is a powerful and efficient programming language. It is freely available under the GNU General Public License. It embodies a rich set of functions and operators, a modern graphical user interface with many widgets and automatic synchronization of widgets and variables, asynchronous execution of functions associated with variables and events, dynamic loading of user compiled subroutines, and many other features. Execution is by a rather efficient interpreter. A+ was created at Morgan Stanley. Primarily used in a computationally-intensive business environment, many critical applications written in A+ have withstood the demands of real world developers over many years. Written in an interpreted language, A+ applications tend to be portable. . This package contains the binaries and libraries needed to run A+ programs. The A+ development environment is provided by the aplus-fsf-dev package. Package: aplus-fsf-dev Source: aplus-fsf Version: 4.22.1-6 Architecture: armhf Maintainer: Neil Roeth Installed-Size: 30 Depends: aplus-fsf, aplus-fsf-el, aplus-fsf-doc Recommends: xfonts-kapl Priority: optional Section: devel Filename: pool/main/a/aplus-fsf/aplus-fsf-dev_4.22.1-6_armhf.deb Size: 6590 SHA256: b1188429391fb8d4c7b17ad4087f9dffbebe619316bbd7e8fd6e4109ddbcd1d1 SHA1: cf0e3db61fdc4433117e6f3cb2577a6885995d70 MD5sum: be1c706de8f392c265697d731328bb48 Description: A+ programming language development environment A+ is a powerful and efficient programming language. It is freely available under the GNU General Public License. It embodies a rich set of functions and operators, a modern graphical user interface with many widgets and automatic synchronization of widgets and variables, asynchronous execution of functions associated with variables and events, dynamic loading of user compiled subroutines, and many other features. Execution is by a rather efficient interpreter. A+ was created at Morgan Stanley. Primarily used in a computationally-intensive business environment, many critical applications written in A+ have withstood the demands of real world developers over many years. Written in an interpreted language, A+ applications tend to be portable. . This is a metapackage that provides a complete A+ development environment. The A+ run-time environment is provided by the aplus-fsf package. Package: aplus-fsf-doc Source: aplus-fsf Version: 4.22.1-6 Installed-Size: 6558 Maintainer: Neil Roeth Architecture: all Recommends: xfonts-kapl Size: 3080356 SHA256: fb67b84f208e0fccc72b2feac0170b0b065501601703b27544ce1271b4f5fd4c SHA1: 09eabd911c8e1832905e7d548e690de1d30ed8bb MD5sum: 22a74091589ac51c8b27fa9ae2c76d41 Description: A+ programming language documentation This package contains the HTML documentation and examples for the A+ programming language and development environment. See the package aplus-fsf for the run-time environment, and aplus-fsf-dev for the development environment. Tag: devel::doc, devel::interpreter, devel::runtime, interface::commandline, role::documentation, works-with::software:source Section: doc Priority: optional Filename: pool/main/a/aplus-fsf/aplus-fsf-doc_4.22.1-6_all.deb Package: aplus-fsf-el Source: aplus-fsf Version: 4.22.1-6 Installed-Size: 32 Maintainer: Neil Roeth Architecture: all Size: 8692 SHA256: a65f21b59f6cf8c0661962bb278565422d12dacc4d90efac519be260de879d38 SHA1: 02e17848988282cd53b923cd127b9d54d68e9ed4 MD5sum: b7b63ac801b1ca4aae8885eb34dc18e5 Description: XEmacs lisp for A+ development This package contains the XEmacs lisp required for the development of A+ programs. It does the key bindings, sets the font properly, and binds some function keys. The complete A+ development environment is provided by the aplus-fsf-dev package. . To load A+ from XEmacs, load a file with extension .apl, .a or .+, or use the command 'M-x a-mode'. Or, press F4 to start the A+ interpreter. . See /usr/share/doc/README.Debian.gz if you have trouble entering the special A+ characters in XEmacs. . This package is now an empty dummy package because XEmacs is no longer available. If that changes, this package will be resurrected. Tag: devel::interpreter, devel::runtime, implemented-in::lisp, interface::commandline, role::plugin, suite::emacs, use::editing Section: lisp Priority: optional Filename: pool/main/a/aplus-fsf/aplus-fsf-el_4.22.1-6_all.deb Package: apmd Version: 3.2.2-14 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 186 Depends: libapm1 (>= 3.2.0-7), libc6 (>= 2.13-28), debconf (>= 1.2.9) | debconf-2.0, powermgmt-base, lsb-base (>= 1.3-9ubuntu3) Suggests: xapm Homepage: http://alumnit.ca/~apenwarr/apmd/ Priority: optional Section: admin Filename: pool/main/a/apmd/apmd_3.2.2-14_armhf.deb Size: 54766 SHA256: 4d153cbb522dbf46c9ecc561613ad28b7b6b6c48fd1e43d49d82e54837a20600 SHA1: 659a1c28f78c403b91a133982c39d8e2b58354ec MD5sum: c2e55098a1534bf0a726ee3938d6697b Description: Utilities for Advanced Power Management (APM) On laptop computers, the Advanced Power Management (APM) support provides access to battery status information and may help you to conserve battery power, depending on your laptop and the APM implementation. The apmd program also lets you run arbitrary programs when APM events happen (for example, you can eject PCMCIA devices when you suspend, or change hard drive timeouts when you connect the battery). . This package contains apmd(8), a daemon for logging and acting on APM events; and apm(1), a client that prints the information in /proc/apm in a readable format. . apmd is notified of APM events by the APM driver in the kernel. . Since lenny Debian kernels are not built with APM support anymore. You need to compile a kernel with apm support enabled to use this package. You need to boot the kernel with the "apm=on" option if you want to enable the driver. . In most cases, users may want to know that there are newer power management schemes, like ACPI. Package: apng2gif Version: 1.5-1+deb7u1 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 41 Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Homepage: https://sourceforge.net/projects/apng2gif/ Priority: optional Section: graphics Filename: pool/main/a/apng2gif/apng2gif_1.5-1+deb7u1_armhf.deb Size: 24964 SHA256: 7b3a6614af2298e84022b8c16c15a91516c8b5ee76f9d2c5f2c7475697183b6b SHA1: 7753fda2d4654631d1a8873f3c69bcbd1a0abba7 MD5sum: 1faf873bbce4138a5736084f01faf533 Description: convert APNG animated images to GIF files Progrmam apng2gif converts APNG into animated GIF format. . The Animated Portable Network Graphics (APNG) file format is an extension to the Portable Network Graphics (PNG) specification. It allows for animated PNG files that work similarly to animated GIF files, while retaining backward compatibility with non-animated PNG files and adding support for 8-bit transparency and 24-bit images. Package: apoo Version: 2.2-2 Installed-Size: 185 Maintainer: Rogerio Reis Architecture: all Replaces: python2.3-apoo (<< 1.3-7.1), python2.4-apoo (<< 1.3-7.1) Depends: python, python-support (>= 0.7.1), python-gtk2 Conflicts: python2.3-apoo (<< 1.3-7.1), python2.4-apoo (<< 1.3-7.1) Size: 136702 SHA256: ee0c7dde57d36d5d297351f3f70074468d161a1509544dea28e19221badddf29 SHA1: cc7232e1dd1a0dbb9e707c14641c6a18279b2189 MD5sum: 73d8ab56d276be8f47c2effbdde92743 Description: An Assembly course aid A virtual machine (a CPU) created for teaching purposes. All the programs are written in Python and are very easy to extend to incorporate new assembly-like pseudo-instuctions. A gtk2-based interface is provided, to help debugging or just examining the execution of programs. Another program permits a tutor to create exercises and write rules to automatically grade the students' solutions. Tag: devel::lang:python, devel::machinecode, implemented-in::python, interface::x11, role::metapackage, role::program, uitoolkit::gtk, use::learning, x11::application Section: misc Priority: optional Filename: pool/main/a/apoo/apoo_2.2-2_all.deb Package: app-install-data Version: 2012.06.16.1 Installed-Size: 19757 Maintainer: Julian Andres Klode Architecture: all Size: 9415516 SHA256: 101de4a4d5fe290ba748a3a90b517a4a0273fdee33c99c45dbd066990971f945 SHA1: 8c46f4a5f3ecfc6851dfe88cecc256f956764cf0 MD5sum: 2d56f24aa600195ed25fe4bdc3b81eda Description: Application Installer Data Files This package contains the data files for user-oriented application installers. Currently used by adept-installer and gnome-app-install Tag: role::app-data Section: x11 Priority: optional Filename: pool/main/a/app-install-data/app-install-data_2012.06.16.1_all.deb Package: apparix Version: 07-261-1 Architecture: armhf Maintainer: Armin Berres Installed-Size: 192 Depends: libc6 (>= 2.7) Homepage: http://micans.org/apparix/ Priority: extra Section: utils Filename: pool/main/a/apparix/apparix_07-261-1_armhf.deb Size: 95324 SHA256: a9f8a554e3e78ba64c2c5b6b1fc4f1882ee9738e23c9cbb0a58fca38c92ccdb5 SHA1: 4e72b003532fa073fc71d2e9ae01194edc923a98 MD5sum: 397365bef3b3abf96708fda7d7ea90c6 Description: console-based bookmark tool for fast file system navigation Fast file system navigation by bookmarking directories and jumping to a bookmark directly. Apparix integrates regular bookmarks with CDPATH-style bookmarks. Subdirectory specification and (bash) tab completion add further power. . Apparix maintains a mapping of user-defined marks (hopefully short and descriptive) to file system locations and is wrapped in other commands to either jump to those locations or to invoke edit/copy/move commands involving them. Package: apparmor Version: 2.7.103-4+rpi1 Architecture: armhf Maintainer: Kees Cook Installed-Size: 869 Pre-Depends: dpkg (>= 1.15.7.2) Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, python, lsb-base, initramfs-tools, debconf Suggests: apparmor-profiles, apparmor-docs, apparmor-utils Breaks: apparmor-utils (<< 2.6.1-4ubuntu1), libapache2-mod-apparmor (<< 2.5.1-0ubuntu3) Replaces: apparmor-parser, apparmor-utils (<< 2.6.1-4ubuntu1), libapache2-mod-apparmor (<< 2.5.1-0ubuntu3) Homepage: http://apparmor.net/ Priority: extra Section: admin Filename: pool/main/a/apparmor/apparmor_2.7.103-4+rpi1_armhf.deb Size: 327050 SHA256: b5fff9aa3a756ea0b089e34df06a0e7bb9c2d6e5af4a2ee47ad69699b874a4ee SHA1: 1efd75b4a7778448de3c3002566e8c7f24f80015 MD5sum: 511e811083a82a807e85970b7aa4a901 Description: User-space parser utility for AppArmor This provides the system initialization scripts needed to use the AppArmor Mandatory Access Control system, including the AppArmor Parser which is required to convert AppArmor text profiles into machine-readable policies that are loaded into the kernel for use with the AppArmor Linux Security Module. Package: apparmor-docs Source: apparmor Version: 2.7.103-4+rpi1 Architecture: all Maintainer: Kees Cook Installed-Size: 279 Homepage: http://apparmor.net/ Priority: extra Section: doc Filename: pool/main/a/apparmor/apparmor-docs_2.7.103-4+rpi1_all.deb Size: 254426 SHA256: 07e528265f295304a222217e75c239896bc455717643b426bb2cdba76bbabae4 SHA1: ab7cb8328622d76a981ed9501d63899702ea0d37 MD5sum: c75f7b95cf905352ca5c8ae775c077de Description: Documentation for AppArmor Thie provides some technical documentation for the AppArmor Mandatory Access Control system. Currently this is only a single PDF covering basic operation, written some time ago. Package: apparmor-notify Source: apparmor Version: 2.7.103-4+rpi1 Architecture: all Maintainer: Kees Cook Installed-Size: 103 Depends: libapparmor-perl, libnotify-bin, perl Homepage: http://apparmor.net/ Priority: extra Section: admin Filename: pool/main/a/apparmor/apparmor-notify_2.7.103-4+rpi1_all.deb Size: 36124 SHA256: 16c65c32fb4da576d53d37a9ba2adf02b7c3b4dc62180ecfcc7442ff7e2058f2 SHA1: ee16f654dee05793f12b49cff5d368d832054b15 MD5sum: 529f879041ab971fc78ddf562d2e80c2 Description: AppArmor notification system This package provides a utility to display AppArmor denial messages via desktop notifications. The utility can also be used to generate summary reports. Package: apparmor-profiles Source: apparmor Version: 2.7.103-4+rpi1 Architecture: all Maintainer: Kees Cook Installed-Size: 247 Depends: apparmor Homepage: http://apparmor.net/ Priority: extra Section: admin Filename: pool/main/a/apparmor/apparmor-profiles_2.7.103-4+rpi1_all.deb Size: 57034 SHA256: a40ac239995d03c86aefef4c0150d7eba6ac5f1dc73e58319a9e54572f285c2e SHA1: e9cb1fbe6dd82f9f164a2c158747d54171571bee MD5sum: af0ae3a983a48e8b664ea7f29baa87bb Description: Profiles for AppArmor Security policies This provides various AppArmor profiles that have not been shipped by the packages they provide confinement for. By default, they ship in complain mode so that users can test and choose which are desired. Package: apparmor-utils Source: apparmor Version: 2.7.103-4+rpi1 Architecture: armhf Maintainer: Kees Cook Installed-Size: 515 Depends: apparmor (>= 2.6.1-4ubuntu1), liblocale-gettext-perl, libterm-readkey-perl, librpc-xml-perl, libapparmor-perl, perl, python2.7, python (>= 2.7), python (<< 2.8) Suggests: apparmor-docs, libterm-readline-gnu-perl, vim-addon-manager Homepage: http://apparmor.net/ Priority: extra Section: admin Filename: pool/main/a/apparmor/apparmor-utils_2.7.103-4+rpi1_armhf.deb Size: 137636 SHA256: 833e5cca9ea71150776e11767b13a04262be678d7e958c3aacf4fdfefab344df SHA1: 78eb25c045ae3036cf7a32d3981292d809f0310f MD5sum: c0f85b89d52c303f42e1bc0bea73ed42 Description: Utilities for controlling AppArmor This provides the utilities to operate on AppArmor profiles, as well as the Perl modules needed for AppArmor audit log parsing. Profiles can be created, updated, enforced, set to complain mode, and disabled. Package: apper Version: 0.7.2-5 Architecture: armhf Maintainer: Matthias Klumpp Installed-Size: 951 Depends: apper-data (>= 0.7.2-5), packagekit (>= 0.7.4), polkit-kde-1 | policykit-1-gnome, kde-runtime, libc6 (>= 2.13-28), libdebconf-kde0, libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkemoticons4 (>= 4:4.8), libkidletime4 (>= 4:4.8), libkio5 (>= 4:4.8), libkprintutils4 (>= 4:4.8), libkutils4, libkworkspace4abi1 (>= 4:4.8.1), libpackagekit-qt2-2, libqt4-dbus (>= 4:4.6.1), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsolid4 (>= 4:4.8), libstdc++6 (>= 4.4.0) Recommends: app-install-data Suggests: apper-appsetup, debconf-kde-helper, software-properties-kde Homepage: http://kde-apps.org/content/show.php/Apper?content=84745 Priority: optional Section: kde Filename: pool/main/a/apper/apper_0.7.2-5_armhf.deb Size: 327096 SHA256: f0c9770197277898762929a74117300b3218ab766636fc4885efa59f184fb4af SHA1: b28be7a7d4099ae69b6ea3fb520d1d2a6e111b6b MD5sum: 55201318a6dc1a72c07fad1621579396 Description: KDE package management tool using PackageKit PackageKit allows performing simple software management tasks over a DBus interface e.g. refreshing the cache, updating, installing and removing software packages or searching for multimedia codecs and file handlers. . This package provides a KDE package manager based on PackageKit, as well as package management support for the KDE desktop. Package: apper-appsetup Source: apper Version: 0.7.2-5 Architecture: armhf Maintainer: Matthias Klumpp Installed-Size: 92 Depends: apper (= 0.7.2-5), listaller (>= 0.5.4), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.12.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), liblistaller-glib0, libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1) Homepage: http://kde-apps.org/content/show.php/Apper?content=84745 Priority: optional Section: kde Filename: pool/main/a/apper/apper-appsetup_0.7.2-5_armhf.deb Size: 25142 SHA256: 02213ed5a7dad7068b39bd72582401bddbaeb6355628e8067efc850216c55d13 SHA1: 4b055cd437153d0204fc395f90c81ad3613d3aee MD5sum: 7f289422b157b45b3833a4ccf57c1c0d Description: Extended Listaller support for Apper PackageKit allows performing simple software management tasks over a DBus interface e.g. refreshing the cache, updating, installing and removing software packages or searching for multimedia codecs and file handlers. . Apper is a KDE package manager based on PackageKit. This package adds support for some advanced Listaller features to Apper. Listaller is a distro-agnostic application installer with close integration into PackageKit. Package: apper-data Source: apper Version: 0.7.2-5 Installed-Size: 3281 Maintainer: Matthias Klumpp Architecture: all Replaces: apper (<< 0.7.2) Breaks: apper (<< 0.7.2) Size: 1038178 SHA256: 8f868ca81e941aae81e80f9fbe25db67e1a27a36a12a71350ca45bb1f0afb9d0 SHA1: bb1010edcd23b1f9233e3d7397fbfb8dc9ada6f0 MD5sum: 56e4468a09ac30a29bd94db0e274280f Description: KDE package management tool using PackageKit (data files) PackageKit allows performing simple software management tasks over a DBus interface e.g. refreshing the cache, updating, installing and removing software packages or searching for multimedia codecs and file handlers. . This package provides data files for Apper, the KDE package manager based on PackageKit. Homepage: http://kde-apps.org/content/show.php/Apper?content=84745 Section: kde Priority: optional Filename: pool/main/a/apper/apper-data_0.7.2-5_all.deb Package: apper-dbg Source: apper Version: 0.7.2-5 Architecture: armhf Maintainer: Matthias Klumpp Installed-Size: 6108 Depends: apper (= 0.7.2-5) Recommends: kdelibs5-dbg Homepage: http://kde-apps.org/content/show.php/Apper?content=84745 Priority: extra Section: debug Filename: pool/main/a/apper/apper-dbg_0.7.2-5_armhf.deb Size: 5941788 SHA256: e4d541d61b81a3bb86be5b62962b72007dac65abdf10ddaa14f4cf949b212d3a SHA1: b2aacd1f6a3846ee997cde5b340c994037778def MD5sum: fae31f1d57a0c1bc4b65a33f4fd54737 Description: Debugging symbols for Apper Apper ist a graphical package manager UI based on the PackageKit framework as well as a KDE implementation of the PackageKit session installer interface. . This package contains debugging symbols for Apper. When Apper crashes, in most cases this package is needed to get a backtrace that is useful for developers. If you have experienced an Apper crash without this package installed, please install it, try to reproduce the problem and fill a bug report with a new backtrace attached. Package: appmenu-qt Version: 0.2.6-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 116 Depends: libc6 (>= 2.13-28), libdbusmenu-qt2 (>= 0.9.0), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.8), libqt4-xml (>= 4:4.8), libqtcore4 (>= 4:4.8), libqtgui4 (>= 4:4.8), libstdc++6 (>= 4.4.0) Homepage: https://launchpad.net/appmenu-qt Priority: extra Section: kde Filename: pool/main/a/appmenu-qt/appmenu-qt_0.2.6-1_armhf.deb Size: 26108 SHA256: 04ff7c89db5ea2383608074790b0acb3e271bd334682976d4e979875a49377eb SHA1: 4614a56f4ce1ac292057d66c5283838012a701f1 MD5sum: 1ef9d56030050a51587b47424dbe5203 Description: application menu for Qt appmenu provides you with an integrated application menu in your global menu bar . appmenu-qt will work for applications designed for Qt and KDE Package: approx Version: 5.3-1 Architecture: armhf Maintainer: Eric Cooper Installed-Size: 1515 Depends: libocamlnet-ocaml-kuut1, libpcre-ocaml-werc3, libsha-ocaml-anrr8, libsyslog-ocaml-ajop8, ocaml-base-nox-3.12.1, debconf (>= 0.5) | debconf-2.0, adduser, curl, openbsd-inetd | inet-superserver, update-inetd, rsyslog | system-log-daemon Suggests: libconfig-model-approx-perl Homepage: http://git.debian.org/?p=pkg-ocaml-maint/packages/approx.git Priority: optional Section: admin Filename: pool/main/a/approx/approx_5.3-1_armhf.deb Size: 339444 SHA256: 90f19fe54f68baac1dc39bd9678478eef4a5a2f4e12dc4e02fb0d7fee9851a9e SHA1: 2b4e64c9affbbfe2460383042459f53ef52b6099 MD5sum: 66c0a39c984cc1b06736a8137647da52 Description: caching proxy server for Debian archive files Approx is an HTTP-based proxy server for Debian-style package archives. It fetches files from remote repositories on demand, and caches them for local use. . Approx saves time and network bandwidth if you need to install or upgrade .deb packages for a number of machines on a local network. Each package is downloaded from a remote site only once, regardless of how many local clients install it. The approx cache typically requires a few gigabytes of disk space. . Approx also simplifies the administration of client machines: repository locations need only be changed in approx's configuration file, not in every client's /etc/apt/sources.list file. . Approx can be used as a replacement for apt-proxy, with no need to modify clients' /etc/apt/sources.list files, or as an alternative to apt-cacher. Package: aprsd Version: 1:2.2.5-13-5.2 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 362 Depends: libax25 (>= 0.0.12-rc2+cvs20120204), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://sourceforge.net/projects/aprsd/ Priority: optional Section: hamradio Filename: pool/main/a/aprsd/aprsd_2.2.5-13-5.2_armhf.deb Size: 142444 SHA256: 1a04e850bcbf100236296a297dea4b59c1e7fc4914bdf48a97dc4544e64bb9f7 SHA1: c49ffde277c84fbf345a074e090b062b2f5c570b MD5sum: bbe78c6e7a783167e5c55c6862da068b Description: Internet Gateway for the Automatic Position Reporting System aprsd is an internet to RF gateway (igate) for the APRS Automatic Position Reporting System. It allows hams on the Internet to send text messages to hams on RF, especially when linked to the worldwide APRServe network (www.aprs.net). Package: aprsdigi Version: 2.4.4-3.2 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 106 Depends: libax25 (>= 0.0.12-rc2+cvs20120204), libc6 (>= 2.13-28) Suggests: ax25-tools, xastir, aprsd Homepage: http://aprsdigi.sourceforge.net/ Priority: optional Section: hamradio Filename: pool/main/a/aprsdigi/aprsdigi_2.4.4-3.2_armhf.deb Size: 43680 SHA256: f668fac63afcc35390113e5af54da3fda5656915bc7676ae9ab19943bfdd87a6 SHA1: de80f462dade5d958ad6905695f9406f45d13ce2 MD5sum: c354437999849cc2d61e617b2abaf223 Description: digipeater for APRS aprsdigi is a repeater for the Automatic Position Reporting System, APRS. It also includes aprsmon, a one-way gateway to APRS on TCP/IP. Package: apsfilter Version: 7.2.6-1.3 Installed-Size: 2484 Maintainer: Pawel Wiecek Architecture: all Depends: lpr, file, a2ps Suggests: ghostscript, pnm2ppa, dvips, bzip2, groff, html2ps, imagemagick, libjpeg-progs, netpbm, psutils, sketch, transfig Conflicts: magicfilter Size: 438510 SHA256: 4269cde1d62a3c34948f4f16028a29216ec58fbf5ed0222e2a393a5325be1a02 SHA1: 09746c4638abc3873b02c744f0a3859bf59d4208 MD5sum: 6c6c96a3259380355a904217e4ce80ba Description: Magic print filter with automatic file type recognition apsfilter makes printing many file formats much easier. It features on-the-fly decompression and conversion, and works on both PostScript and non-PostScript (via Ghostscript) graphical printers. . Among the supported formats are: gzip, bzip2, compress, freeze, pack, ASCII, BMP, data (PCL, etc.), DVI, FBM, FIG, FITS, GIF, Group 3 fax, HTML, IFF ILBM, JPEG, Kodak Photo CD, MGR, MIFF, PBM/PGM/PNM/PPM, PDF, PNG, PostScript, RLE, SGI, Sketch, Sun raster, Targa, TIFF, troff, WPG, X pixmap, XCF. Note that actual support depends on the installed filter programs. Tag: devel::library, hardware::printer, interface::commandline, role::program, scope::utility, use::converting, use::printing, works-with-format::postscript, works-with::text Section: text Priority: extra Filename: pool/main/a/apsfilter/apsfilter_7.2.6-1.3_all.deb Package: apt Version: 0.9.7.9+rpi1+deb7u7 Architecture: armhf Maintainer: APT Development Team Installed-Size: 3180 Depends: libapt-pkg4.12 (>= 0.9.7.9+rpi1+deb7u7), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), raspbian-archive-keyring, gnupg Suggests: aptitude | synaptic | wajig, dpkg-dev, apt-doc, xz-utils, python-apt Conflicts: python-apt (<< 0.7.93.2~) Replaces: manpages-pl (<< 20060617-3~) Priority: important Section: admin Filename: pool/main/a/apt/apt_0.9.7.9+rpi1+deb7u7_armhf.deb Size: 1243992 SHA256: 89c7d82f1088998f6ad09ca2c2c413e4e78f8948fa305bdd19d708bc9351266d SHA1: 373f592ca93cec4a80d67643d041783460c3bc88 MD5sum: aa909a3f85d1242e30585e270041919c Description: commandline package manager This package provides commandline tools for searching and managing as well as querying information about packages as a low-level access to all features of the libapt-pkg library. . These include: * apt-get for retrieval of packages and information about them from authenticated sources and for installation, upgrade and removal of packages together with their dependencies * apt-cache for querying available information about installed as well as installable packages * apt-cdrom to use removable media as a source for packages * apt-config as an interface to the configuration settings * apt-key as an interface to manage authentication keys Package: apt-build Version: 0.12.44 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 174 Pre-Depends: dpkg (>= 1.15.7.2~) Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), perl, apt (>= 0.5), gcc, g++, dpkg-dev (>= 1.9), libappconfig-perl (>= 1.5), libapt-pkg-perl (>= 0.1.11), devscripts, apt-utils Recommends: fakeroot, build-essential Priority: optional Section: devel Filename: pool/main/a/apt-build/apt-build_0.12.44_armhf.deb Size: 41978 SHA256: 6d716f8500b6776cc3d225deed8cde413bd8c7b7e2583b6e4d624fc8a36285a0 SHA1: e611e29afcb4cf28a9f6c6b64642ab0a5867520a MD5sum: 8efdb5b903b97e3a8421e1c0cb476d9a Description: frontend to apt to build, optimize and install packages This is an apt-get front-end for compiling software optimized for your architecture by creating a local repository with built packages. It can manage system upgrades too. Package: apt-cacher Version: 1.7.6+deb7u1 Installed-Size: 313 Maintainer: Mark Hindley Architecture: all Depends: perl, debconf (>= 0.5) | debconf-2.0, libwww-curl-perl (>= 4.00), libwww-perl, libfreezethaw-perl, ed, libio-interface-perl, libfilesys-df-perl, libnetaddr-ip-perl, lsb-base (>= 3.2-14), update-inetd, libsys-syscall-perl, ucf (>= 0.28) Suggests: libio-socket-inet6-perl Size: 106052 SHA256: 262d5abf5329bce62003c286f100f400fc9f96f39f3f75a817a9fb54159ffaf5 SHA1: 3d1546fc1c7dc7a15bfc6f54b05edd8e62ce4830 MD5sum: d19727961f4ac4cdf3e6e3888a9b2293 Description: Caching proxy for Debian package and source files Apt-cacher performs caching of files requested by apt-get (or other clients such as aptitude, synaptic). It is most useful for local area networks with slow internet uplink or as a method for reducing multiple large downloads. . When a package is requested, the cache checks whether it already has the requested version, in which case it sends the package to the user immediately. If not, it downloads the package while streaming it to the user at the same time. A local copy is then kept for use by other users. . Apt-cacher has been optimized for best utilization of network bandwidth and efficiency even on slow low-memory servers. Multiple ways of installation are possible: as a stand-alone HTTP proxy, as a daemon executed by inetd or as a CGI program (deprecated). Client machines are configured by changing APT's proxy configuration or modification of access URLs in sources.list. . The package includes utilities to clean the cache (removing obsolete package files), generate usage reports and import existing package files. Optional features include a simple package checksum verification framework and IPv6 support. Experimental features include support for FTP, HTTPS (proxying only), Debian Bugs SOAP requests as well as the simultaneous caching of different repositories (e.g Debian and Ubuntu). . Apt-cacher can be used as a replacement for apt-proxy, with no need to modify client's /etc/apt/sources.list files (and even reusing its config and cached data), or as an alternative to approx. Pre-Depends: dpkg (>= 1.15.7.2) Recommends: libberkeleydb-perl (>= 0.34) Section: net Priority: optional Filename: pool/main/a/apt-cacher/apt-cacher_1.7.6+deb7u1_all.deb Package: apt-cacher-ng Version: 0.7.11-2 Architecture: armhf Maintainer: Eduard Bloch Installed-Size: 1041 Pre-Depends: dpkg (>= 1.15.6) Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblzma5 (>= 5.1.1alpha+20120614), libstdc++6 (>= 4.6), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, adduser Recommends: perl (>> 5.8), ed Suggests: doc-base, libfuse2 (>= 2.5) Conflicts: logrotate (<< 3.8.0) Homepage: http://www.unix-ag.uni-kl.de/~bloch/acng/ Priority: optional Section: net Filename: pool/main/a/apt-cacher-ng/apt-cacher-ng_0.7.11-2_armhf.deb Size: 376846 SHA256: 44efb2abdda2799c324b2788decc0865ea821c28cd73808bab55f957cf147afd SHA1: 47cb2dd0e38e8c3ed4a10f744ff01a7a3fa7af46 MD5sum: 36e69abafbc40d805e874e6e97af1f1a Description: caching proxy server for software repositories Apt-Cacher NG is a caching proxy for downloading packages from Debian-style software repositories (or possibly from other types). . The main principle is that a central machine hosts the proxy for a local network, and clients configure their APT setup to download through it. Apt-Cacher NG keeps a copy of all useful data that passes through it, and when a similar request is made, the cached copy of the data is delivered without being re-downloaded. . Apt-Cacher NG has been designed from scratch as a replacement for apt-cacher, but with a focus on maximizing throughput with low system resource requirements. It can also be used as replacement for apt-proxy and approx with no need to modify clients' sources.list files. Package: apt-clone Version: 0.2.2 Installed-Size: 91 Maintainer: Michael Vogt Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), lsb-release, python-apt, python (>= 2.7) | python-argparse Recommends: dpkg-repack Size: 10508 SHA256: 74cf57089cd2c5aea7b9b9f90d0d546abece8081c6074640aa5fecec09e7624e SHA1: 5056dcf09d5250662e3a4e1c8e9d49064efb0d30 MD5sum: 4fb2e69bcbbc941afc3dabe2f12334cb Description: Script to create state bundles This package can be used to clone/restore the packages on a apt based system. It will save/restore the packages, sources.list, keyring and automatic-installed states. It can also save/restore no longer downloadable packages using dpkg-repack. Homepage: https://launchpad.net/apt-clone Tag: admin::package-management, implemented-in::python, role::program, scope::utility Section: admin Priority: extra Filename: pool/main/a/apt-clone/apt-clone_0.2.2_all.deb Package: apt-cudf Source: dose3 Version: 3.0.2-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 618 Depends: aspcud | cudf-solver, libbz2-ocaml-1qq81, libdose3-ocaml-1c4g1, libextlib-ocaml-2t4w2, libpcre-ocaml-werc3, libzip-ocaml-tcv11, ocaml-base-nox-3.12.1, perl Homepage: http://www.mancoosi.org/software/ Priority: extra Section: admin Filename: pool/main/d/dose3/apt-cudf_3.0.2-3_armhf.deb Size: 132086 SHA256: 4fce7a836edff4987a3617eb53135070cc460df89cf0b4eeeeafe33839c8d532 SHA1: dd5e218aa95c5ce787e5be965060bcd2dfacd554 MD5sum: 00c93f9eb3d5c1e530c98e63ea84e89a Description: CUDF solver integration for APT apt-cudf provides integration among the APT package manager and CUDF solvers, allowing APT to rely on external (CUDF-based) dependency solvers to plan package installation, upgrade, and removal. . CUDF is a distribution-independent, standard format to describe dependency solving scenarios, as faced by package managers in popular package-based GNU/Linux distributions. APT is a well-known package manager for Debian-based distributions, which is able to delegate dependency solving to external solvers, by the means of the External Dependency Solving Protocol (EDSP). . apt-cudf provides the glue between the EDSP protocol and CUDF solvers, enabling any installed CUDF solver to be used as an external solver for APT. . Several CUDF solvers are available in Debian. They all provide the cudf-solver virtual package. Package: apt-dater Version: 0.9.0-3+wheezy1 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 279 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libncursesw5 (>= 5.6+20070908), libpopt0 (>= 1.14), libtinfo5, libxml2 (>= 2.7.4), tcl8.5 (>= 8.5.0), screen, lockfile-progs | procmail, openssh-client Suggests: apt-dater-host, xsltproc Homepage: http://www.ibh.de/apt-dater Priority: optional Section: admin Filename: pool/main/a/apt-dater/apt-dater_0.9.0-3+wheezy1_armhf.deb Size: 79254 SHA256: b5fcf5f7d15a839493ca9871dbe5ec8b3997a60a5a2de87dd8219d772db3f8a2 SHA1: f846be0c1938e2b425c279cd9de92467010b1f80 MD5sum: 2f8aca1b8a9bbbbca57f4bee158448de Description: terminal-based remote package update manager apt-dater provides an easy to use ncurses frontend for managing package updates on a large number of remote hosts using SSH and apt-dater-host. It supports Debian-based managed hosts as well as OpenSUSE and CentOS based systems. Package: apt-dater-dbg Source: apt-dater Version: 0.9.0-3+wheezy1 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 73 Depends: apt-dater (= 0.9.0-3+wheezy1) Homepage: http://www.ibh.de/apt-dater Priority: extra Section: debug Filename: pool/main/a/apt-dater/apt-dater-dbg_0.9.0-3+wheezy1_armhf.deb Size: 18910 SHA256: ae3f89a2e0a43327adfd88b9e2448d430e78c8f931e4db461c6dd8e590e4e30c SHA1: ff8c0ca4aea53526ad8f24d42ef0f957f357a5c8 MD5sum: 95543f4690041d055704bcc41870e761 Description: terminal-based remote package update manager (dbg symbols) apt-dater provides an easy to use ncurses frontend for managing package updates on a large number of remote hosts using SSH. It supports Debian-based managed hosts as well as OpenSUSE and CentOS based systems. . This package contains the debugging symbols for the apt-dater package. Package: apt-dater-host Source: apt-dater Version: 0.9.0-3+wheezy1 Installed-Size: 87 Maintainer: Patrick Matthäi Architecture: all Depends: perl, debconf (>= 0.5) | debconf-2.0, openssh-server, lsb-release, libimvirt-perl, libapt-pkg-perl Recommends: imvirt, sudo Size: 18546 SHA256: c45cb188cd123d5bc2c301d6910e8322d00cf697fc15d390f7ccfc17f69e19a5 SHA1: 1e855be62634ada390eb471e80f8ee2b6f6d522a MD5sum: 4f09818d82bd266d145654370491da2f Description: host helper application for apt-dater apt-dater provides an easy to use ncurses frontend for managing package updates on a large number of remote hosts using SSH. It supports Debian-based managed hosts as well as OpenSUSE and CentOS based systems. . This package provides the helper application for apt-dater. It has to be installed on every apt-dater managed host. Homepage: http://www.ibh.de/apt-dater Tag: admin::automation, admin::package-management, implemented-in::perl, role::program, scope::utility, works-with::software:package Section: admin Priority: optional Filename: pool/main/a/apt-dater/apt-dater-host_0.9.0-3+wheezy1_all.deb Package: apt-doc Source: apt Version: 0.9.7.9+rpi1+deb7u7 Architecture: all Maintainer: APT Development Team Installed-Size: 570 Priority: optional Section: doc Filename: pool/main/a/apt/apt-doc_0.9.7.9+rpi1+deb7u7_all.deb Size: 265388 SHA256: 264ffc0247299c42f501b91b5389af8c8b390ac30f563841154076e3188949e0 SHA1: 3430c99dccc82ce1cb341fce044655b011637667 MD5sum: 17aee4b81d139012be38a9b75a6e749d Description: documentation for APT This package contains the user guide and offline guide for various APT tools which are provided in a html and a text-only version. Package: apt-dpkg-ref Version: 5.3.1 Installed-Size: 136 Maintainer: Vanessa Gutiérrez Architecture: all Size: 113808 SHA256: 3bca933f31008d2dbb616b08a2e7151cd392c30c9f0d416f9ea671164b421099 SHA1: 799512bd2414c405f6d47f0f324c5b37feb273ad MD5sum: efa704fee99593f2051a3013057c8120 Description: APT, Dpkg Quick Reference sheet A quick lookup chart with various APT and dpkg options for handy reference, for those who haven't quite memorized the most commonly used commands. . This package will generate the documentation in different formats, such as HTML and PDF. Tag: admin::package-management, interface::commandline, made-of::html, made-of::pdf, made-of::postscript, made-of::tex, role::documentation, suite::debian, use::configuring, use::scanning, works-with::software:package Section: doc Priority: optional Filename: pool/main/a/apt-dpkg-ref/apt-dpkg-ref_5.3.1_all.deb Package: apt-file Version: 2.5.1 Installed-Size: 69 Maintainer: Stefan Fritsch Architecture: all Depends: perl, curl, libconfig-file-perl, libapt-pkg-perl, liblist-moreutils-perl, libregexp-assemble-perl, libfile-temp-perl Recommends: python, python-apt Suggests: openssh-client, sudo Size: 33346 SHA256: c0ccfe5257a9acfd0ff5c3d6f3a1c6b2698c69e077ba4cd289f5ac27d466f836 SHA1: ef0ee9734c6ce54fc39f2f9605a89875ee981a20 MD5sum: cdfd9047fcf6d136e1a9588e1e56d423 Description: search for files within Debian packages (command-line interface) apt-file is a command line tool for searching files contained in packages for the APT packaging system. You can search in which package a file is included or list the contents of a package without installing or fetching it. If you would prefer not to download the large files used by apt-file you can run rapt-file, which calls a remote server to do the searches. Tag: admin::package-management, implemented-in::perl, interface::commandline, protocol::ftp, protocol::http, role::program, scope::utility, suite::debian, use::searching, works-with::file, works-with::software:package Section: admin Priority: optional Filename: pool/main/a/apt-file/apt-file_2.5.1_all.deb Package: apt-forktracer Version: 0.4 Installed-Size: 119 Maintainer: Marcin Owsiany Architecture: all Depends: python-apt (>= 0.7.93.2), python (>= 2.4), python-support (>= 0.90.0), lsb-release (>= 3.2-21) Size: 23812 SHA256: 8a38d316195199157c2d52df6426098b7d46a634b293962481ed890d8182afb5 SHA1: c5099fca34d51d21c1c37f942d2b1c986761b4ee MD5sum: 290668bb4dc8f4376b721a440224ac6d Description: a utility for tracking non-official package versions apt-forktracer tries to alleviate the problem that APT stops tracking official versions of a package after you pin it or install a newer version. . It displays a list of packages which are in an inconsistent state or have a version other than the newest official installed. You can choose to ignore certain packages - if they match certain criteria - with a configuration file. Tag: admin::package-management, implemented-in::python, interface::commandline, role::program, scope::utility, suite::debian, use::analysing, use::checking, use::searching Section: admin Priority: optional Filename: pool/main/a/apt-forktracer/apt-forktracer_0.4_all.deb Package: apt-listbugs Version: 0.1.8+deb7u1 Installed-Size: 391 Maintainer: Francesco Poli (wintermute) Architecture: all Depends: ruby1.8 (>= 1.8), libruby1.8 (>= 1.8.5), ruby-debian (>= 0.3.3), apt, libzlib-ruby1.8, ruby-gettext (>= 2.1.0), ruby-xmlparser, ruby-httpclient (>= 2.1.5.2-1) Suggests: reportbug, debianutils (>= 2.0) | www-browser | w3m Size: 73238 SHA256: b18f5b4efe1dccdc52d0885dd5f5a991a34e8cd2de30df56619f2deb15bc76b4 SHA1: 15d4bb82a37ddbaf907b975875c0f6971e1a7daf MD5sum: 47aa21c18d6db2c7275b0ec3184c5585 Description: tool which lists critical bugs before each apt installation apt-listbugs is a tool which retrieves bug reports from the Debian Bug Tracking System and lists them. Especially, it is intended to be invoked before each upgrade/installation by apt in order to check whether the upgrade/installation is safe. . Many developers and users prefer the unstable version of Debian for its new features and packages. apt, the usual upgrade tool, can break your system by installing a buggy package. . apt-listbugs lists critical bug reports from the Debian Bug Tracking System. Run it before apt to see if an upgrade or installation is known to be unsafe. Homepage: http://alioth.debian.org/projects/apt-listbugs/ Section: admin Priority: optional Filename: pool/main/a/apt-listbugs/apt-listbugs_0.1.8+deb7u1_all.deb Package: apt-listchanges Version: 2.85.11 Installed-Size: 203 Maintainer: Sandro Tosi Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0), apt (>= 0.5.3), python-apt (>= 0.7.93), ucf (>= 0.28), debianutils (>= 2.0.2), debconf (>= 0.5) | debconf-2.0 Suggests: x-terminal-emulator, www-browser, python-glade2, python-gtk2, default-mta | mail-transport-agent Size: 88670 SHA256: ae292d8b14890eaf72aca56fd89e6c1d25023c6a449208b3bb896475802bcd1d SHA1: 9714030a8516f117bac4d9b74048c46c01d2856a MD5sum: 1d52b90bd0f1670b6ae6acd71cadcd98 Description: package change history notification tool The tool apt-listchanges can compare a new version of a package with the one currently installed and show what has been changed, by extracting the relevant entries from the Debian changelog and NEWS files. . It can be run on several .deb archives at a time to get a list of all changes that would be caused by installing or upgrading a group of packages. When configured as an APT plugin it will do this automatically during upgrades. Tag: admin::package-management, implemented-in::python, interface::commandline, role::program, scope::utility, suite::debian, use::viewing, works-with::logfile, works-with::software:package Section: utils Priority: standard Filename: pool/main/a/apt-listchanges/apt-listchanges_2.85.11_all.deb Package: apt-mirror Version: 0.4.8-5 Installed-Size: 102 Maintainer: Brandon Holtsclaw Architecture: all Depends: adduser, perl-modules, wget, perl Size: 15606 SHA256: 41516a1af7892c243cd3747e50e7c805dfae5fe1db202917d80c17cde34f553e SHA1: ff0c2d0042515c50dc9d95425970c03fcaeefb2e MD5sum: d9a12c964cac422f23dd964e37337bce Description: APT sources mirroring tool A small and efficient tool that lets you mirror a part of or the whole Debian GNU/Linux distribution or any other apt sources. . Main features: * It uses a config similar to apts * It's fully pool comply * It supports multithreaded downloading * It supports multiple architectures at the same time * It can automatically remove unneeded files * It works well on overloaded channel to internet * It never produces an inconsistent mirror including while mirroring * It works on all POSIX compliant systems with perl and wget Homepage: http://apt-mirror.sourceforge.net/ Tag: implemented-in::perl, interface::commandline, network::client, protocol::ftp, protocol::http, role::program, scope::utility, suite::debian, use::downloading, use::synchronizing, works-with::file, works-with::software:package Section: net Priority: optional Filename: pool/main/a/apt-mirror/apt-mirror_0.4.8-5_all.deb Package: apt-move Version: 4.2.27-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 160 Depends: bc, dash, libapt-pkg4.12 (>= 0.8.16~exp9), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Recommends: apt Homepage: http://sourceforge.net/projects/apt-move/ Priority: optional Section: admin Filename: pool/main/a/apt-move/apt-move_4.2.27-3_armhf.deb Size: 53038 SHA256: 3422a4cec7d4e37cc12ff7c897af5ea9686f3c14793b93a7820c36b54cc25b39 SHA1: b2b77cacc8917451a04f1e1b980e6a77e60746a2 MD5sum: 184333dea2c48e0170dabf61c3bebddf Description: Maintain Debian packages in a package pool apt-move is used to move a collection of Debian package files into a proper archive hierarchy as is used in the official Debian archive. It is intended as a tool to help manage the apt-get(8) file cache, but could be configured to work with any collection of Debian packages. . Running apt-move periodically will assist in managing the resulting partial mirror by optionally removing obsolete packages, and creating valid local Packages.gz files. It can also build a partial or complete local mirror of a Debian binary distribution (including an ``installed-packages only'' mirror). Package: apt-offline Version: 1.2 Installed-Size: 416 Maintainer: Ritesh Raj Sarraf Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-argparse, apt, less Size: 80426 SHA256: 53fbb63972be9f606bf0c283524bb60c3d4fa6c3a9461d4ed753f7d200ed40b4 SHA1: 905851feeac70ef857a889c684906807c770b0bb MD5sum: ae03dbd74cac37cd2171a2c53be9b058 Description: offline APT package manager apt-offline is an Offline APT Package Manager. . apt-offline can fully update and upgrade an APT based distribution without connecting to the network, all of it transparent to APT. . apt-offline can be used to generate a signature on a machine (with no network). This signature contains all download information required for the APT database system. This signature file can be used on another machine connected to the internet (which need not be a Debian box and can even be running windows) to download the updates. The downloaded data will contain all updates in a format understood by APT and this data can be used by apt-offline to update the non-networked machine. . apt-offline can also fetch bug reports and make them available offline. Homepage: http://apt-offline.alioth.debian.org Tag: admin::package-management, implemented-in::shell, interface::commandline, protocol::ftp, protocol::http, role::program, scope::utility, suite::debian, use::downloading, works-with::software:package Section: admin Priority: optional Filename: pool/main/a/apt-offline/apt-offline_1.2_all.deb Package: apt-offline-gui Source: apt-offline Version: 1.2 Installed-Size: 258 Maintainer: Ritesh Raj Sarraf Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), apt-offline (= 1.2), python-qt4 Size: 62902 SHA256: 4ba8299a8b1a5390bbbf522ba4b21c167fa501ba11be8c54ad34b1f04026bbc0 SHA1: 4a290628e854ef3f99f631a8e32a5d776193d52a MD5sum: 86996575a8434bcb751491eac7fc97ad Description: offline APT package manager - GUI apt-offline is an Offline APT Package Manager. . apt-offline can fully update and upgrade an APT based distribution without connecting to the network, all of it transparent to APT. . apt-offline can be used to generate a signature on a machine (with no network). This signature contains all download information required for the APT database system. This signature file can be used on another machine connected to the internet (which need not be a Debian box and can even be running windows) to download the updates. The downloaded data will contain all updates in a format understood by APT and this data can be used by apt-offline to update the non-networked machine. . apt-offline can also fetch bug reports and make them available offline. . This package contains the graphical user interface to apt-offline. Homepage: http://apt-offline.alioth.debian.org Tag: admin::package-management, interface::x11, role::program, scope::utility, suite::debian, uitoolkit::qt, works-with::software:package Section: admin Priority: optional Filename: pool/main/a/apt-offline/apt-offline-gui_1.2_all.deb Package: apt-p2p Version: 0.1.6+nmu1 Installed-Size: 519 Maintainer: Cameron Dale Architecture: all Provides: python-apt-p2p, python-apt-p2p-khashmir Depends: python, python-support (>= 0.90.0), python-twisted-web2 (>= 8.0), adduser, python-debian (>= 0.1.15), python-apt (>= 0.7.93), python-pysqlite2 (>= 2.1) Size: 111236 SHA256: a4449f592c06be46fa2da05806de3bdc36f3235f8909e941e5dc12233aa11863 SHA1: 7d0b056effc6b4d6d2c681c3ecb3d4f0f5fdc61f MD5sum: ef53c66501996c1cd8fa1b1334464453 Description: apt helper for peer-to-peer downloads of Debian packages Apt-P2P is a helper for downloading Debian packages files with APT. It will download any needed files from other Apt-P2P peers in a peer-to-peer manner, and so reduce the strain on the Debian mirrors. . The Apt-P2P daemon runs automatically on startup and listens for requests from APT for files, as well as maintaining membership in a Distributed Hash Table (DHT). For any files which have a hash available (most files), peers that have the file are looked for in the DHT. The file can then be downloaded from them, using the uploading bandwidth of other peers while reducing the demand on the Debian mirror network. However, if a package can not be found on any peers, Apt-P2P will fall back to downloading from a mirror to ensure all packages are downloaded. Once downloaded, the file is hash checked and added to the DHT so that other peers can download it without the mirror. . The configuration is very simple, and only involves prepending 'localhost' and the port to your current sources.list lines (similar to other apt-proxy-like software). Homepage: http://www.camrdale.org/apt-p2p/ Tag: admin::package-management, implemented-in::python, role::program, suite::debian, works-with::software:package Section: net Priority: optional Filename: pool/main/a/apt-p2p/apt-p2p_0.1.6+nmu1_all.deb Package: apt-rdepends Version: 1.3.0-3 Installed-Size: 64 Maintainer: Debian QA Group Architecture: all Depends: perl, libapt-pkg-perl (>= 0.1.11) Suggests: vcg, springgraph | graphviz Size: 14832 SHA256: 32bcfd005e75669c91d5c64eef6bd9529c9f86b72f66b57060cf0b18af11fb6d SHA1: 0176e2625ed48d597ea9da19d0d8a05314816ca3 MD5sum: fc0ed13fa8ab54d2029710413b610998 Description: Recursively lists package dependencies This utility can recursively list package dependencies, either forwards or in reverse. It also lists forward build-dependencies. The output format closely resembles that of `apt-cache depends`. As well, it can generate .dot graphs, much like apt-cache in dotty mode. Tag: devel::packaging, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, use::viewing, works-with::software:package Section: utils Priority: optional Filename: pool/main/a/apt-rdepends/apt-rdepends_1.3.0-3_all.deb Package: apt-show-source Version: 0.10 Installed-Size: 116 Maintainer: OHURA Makoto Architecture: all Depends: perl, apt Size: 17968 SHA256: 1c05d31cdf6589fac6493418cfaf269b136f67c5457442d6dab0bba8216b5127 SHA1: 549c20a022f61f1fdd71afed3a2c374f165a799f MD5sum: 60b5f6bdc3f60867c98eaf346c11a0f1 Description: Shows source-package information This program parses the APT lists for source packages and the dpkg status file and then lists every package with a different version number than the one installed. It's very useful if your deb-src sources.list entries point to unstable and your deb entries point to stable. Tag: devel::packaging, interface::commandline, role::program, scope::utility, suite::debian Section: admin Priority: optional Filename: pool/main/a/apt-show-source/apt-show-source_0.10_all.deb Package: apt-show-versions Version: 0.20 Installed-Size: 165 Maintainer: Christoph Martin Architecture: all Depends: perl | perl-5.005 | perl-5.004, apt, libapt-pkg-perl (>= 0.1.21), libstorable-perl Size: 34856 SHA256: fc614c9bb1199376a0421c18ceea2dd2324448c36985ae00dc6e6e06dedfaef4 SHA1: d37d71e74ae638c7361c2aadfcdda81628351a77 MD5sum: 924409fe0e488bf1bb0c09d1440c5a3f Description: lists available package versions with distribution apt-show-versions parses the dpkg status file and the APT lists for the installed and available package versions and distribution and shows upgrade options within the specific distribution of the selected package. . This is really useful if you have a mixed stable/testing environment and want to list all packages which are from testing and can be upgraded in testing. Homepage: http://alioth.debian.org/projects/pkg-asv/ Tag: admin::package-management, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, use::checking, works-with::software:package Section: admin Priority: optional Filename: pool/main/a/apt-show-versions/apt-show-versions_0.20_all.deb Package: apt-spy Version: 3.2.2-1 Architecture: armhf Maintainer: Stefano Canepa Installed-Size: 99 Depends: libc6 (>= 2.13-28), libcurl3 (>= 7.16.2) Priority: optional Section: admin Filename: pool/main/a/apt-spy/apt-spy_3.2.2-1_armhf.deb Size: 27674 SHA256: 95174b3c5eab72db18cca7ae1665f8f1f9ab65aa4b879ed076f16209b3057dc4 SHA1: 811c65db22d0ee970aa8033def5150657b4cf02b MD5sum: 441f1b664d48e1cb7f9664f5ae44df4d Description: writes a sources.list file based on bandwidth tests Parses the list of mirrors downloaded from ftp.debian.org and then based on the region specified by the user each of testes mirrors for bandwidth, at the end it writes /etc/apt/sources.list.d/apt-spy.list using the best mirror it found out. Package: apt-src Version: 0.25.1-0.1 Installed-Size: 76 Maintainer: Laszlo Boszormenyi (GCS) Architecture: all Depends: libapt-pkg-perl (>= 0.1.6), dpkg-dev, apt, perl (>= 5.6.0-16) Recommends: sudo, fakeroot, build-essential Size: 36352 SHA256: 0761cfddfac249eafae5b41f1bf4a93f0c723d4f4a4eef812ba202f89dba8236 SHA1: ae01815366b6448dc61b4222d2a6f6254ca973c3 MD5sum: a1f6f05d1269366bb0fb6e0f3ea8fd06 Description: manage Debian source packages apt-src is a command line interface for downloading, installing, upgrading, and tracking Debian source packages. It makes source package management feel a lot like using apt to manage binary packages, and is being used as a testbed to work on adding source dependencies to Debian. . It can be run as a normal user, or as root. If you want a convenient way to track updates to packages while preserving your local modifications, this is a way to do that. Tag: admin::package-management, devel::debian, devel::packaging, hardware::storage, hardware::storage:cd, interface::commandline, protocol::ftp, protocol::http, protocol::ipv6, role::program, scope::utility, suite::debian, use::downloading, works-with::software:source Section: admin Priority: optional Filename: pool/main/a/apt-src/apt-src_0.25.1-0.1_all.deb Package: apt-transport-debtorrent Source: apt-transport-debtorrent (0.2.2) Version: 0.2.2+b1 Architecture: armhf Maintainer: Cameron Dale Installed-Size: 109 Depends: libapt-pkg4.12 (>= 0.8.16~exp9), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Recommends: debtorrent (>= 0.1.7) Homepage: http://debtorrent.alioth.debian.org/ Priority: optional Section: admin Filename: pool/main/a/apt-transport-debtorrent/apt-transport-debtorrent_0.2.2+b1_armhf.deb Size: 27876 SHA256: 66bba44b32193378398ef0896876e8b6ad635ca1a13d0b98991c3792e1982201 SHA1: d95e43fc6c5a25c7fa244cca00064946d171a892 MD5sum: 2de533ba51474407ab9b5f459326ab5c Description: an APT transport for communicating with DebTorrent This package contains the APT debtorrent transport. It makes it possible to use 'deb debtorrent://localhost:9988/foo distro main' type lines in your sources.list file. . For an overview of the DebTorrent program, see the 'debtorrent' package. . You don't actually need this package to use the DebTorrent program, it will work fine using the regular http:// transport. . However, using this method has some advantages over HTTP. Unlike the traditional HTTP method, this transport will send all possible requests to DebTorrent as soon as it recieves them, which will speed up the download as peers can be contacted in parallel. This method also allows the DebTorrent client to return files to APT in any order, which is important since BitTorrent downloads proceed in a random order. Additionally, this method uses a very similar protocol to HTTP, and so can easily be used to access a DebTorrent client running on another host. Package: apt-transport-https Source: apt Version: 0.9.7.9+rpi1+deb7u7 Architecture: armhf Maintainer: APT Development Team Installed-Size: 162 Depends: libapt-pkg4.12 (>= 0.8.16~exp9), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Priority: optional Section: admin Filename: pool/main/a/apt/apt-transport-https_0.9.7.9+rpi1+deb7u7_armhf.deb Size: 109278 SHA256: 85f2c36cf44f8c5a7bbe6ba227f36f43382e83b60a2d60228e772414eff517c6 SHA1: de31967c49c42e1772b3a0de9efe0ba0bfb14eaa MD5sum: 5c312d94b72f7fb2d5b3363a1c19ac3d Description: https download transport for APT This package enables the usage of 'deb https://foo distro main' lines in the /etc/apt/sources.list so that all package managers using the libapt-pkg library can access metadata and packages available in sources accessible over https (Hypertext Transfer Protocol Secure). . This transport supports server as well as client authentication with certificates. Package: apt-transport-spacewalk Source: apt-spacewalk Version: 1.0.6-2.1 Installed-Size: 90 Maintainer: Miroslav Suchý Architecture: all Depends: python (>= 2.6.6-7~), apt, python-apt, rhn-client-tools Recommends: rhnsd Size: 8464 SHA256: 31ec983bea1307b142be767388661f363bce8020144ecad809347a2062cc0730 SHA1: b66dcd67b3d79d3528170a52bf00a221c9db4ecd MD5sum: 5c125075f7fbd9fc84be82271634b05f Description: APT transport for communicating with Spacewalk servers makes it possible to use 'deb spacewalk://your-spacewalk-server/XMLRPC channels: channel1 channel2' type lines in your sources.list. Also it provides the necessary hooks to update your sources.list automatically according to the settings on your Spacewalk server. Homepage: https://fedorahosted.org/spacewalk Section: admin Priority: extra Filename: pool/main/a/apt-spacewalk/apt-transport-spacewalk_1.0.6-2.1_all.deb Package: apt-utils Source: apt Version: 0.9.7.9+rpi1+deb7u7 Architecture: armhf Maintainer: APT Development Team Installed-Size: 1264 Depends: libapt-inst1.5 (>= 0.8.0), libapt-pkg4.12 (>= 0.8.16~exp9), libc6 (>= 2.13-28), libdb5.1, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: xz-utils Priority: important Section: admin Filename: pool/main/a/apt/apt-utils_0.9.7.9+rpi1+deb7u7_armhf.deb Size: 371696 SHA256: 4a125b5a5572671c5a0fde35098fdae92785d4a9687cd5d6adda5179cb8c0cfd SHA1: 0a70e5194abe63925b4fe34a4b3e5e2cb5c3819e MD5sum: 9c4234fb91c80bc29cea0956807217fa Description: package managment related utility programs This package contains some less used commandline utilities related to package managment with APT. . * apt-extracttemplates is used by debconf to prompt for configuration questions before installation. * apt-ftparchive is used to create Packages and other index files needed to publish an archive of debian packages * apt-sortpkgs is a Packages/Sources file normalizer. Package: apt-watch Version: 0.4.0-2.1 Installed-Size: 29 Maintainer: John Lightsey Architecture: all Depends: apt-watch-gnome, apt-watch-backend Size: 5188 SHA256: 356f0c03d681916703517a352c933876b435b4bf848f48543a75217d7ed3aef3 SHA1: 8e9b1d570ec9497f4aaba86f33ac53003b102552 MD5sum: 7217548cc15c07396296113e5f08c42d Description: Applet that monitors apt sources for upgrades (transitional package) apt-watch is an applet that will inform you when upgrades are available for your computer. It is similar to Windows Update or the Red Hat Network applet. . This is a transitional dummy package to ensure clean upgrades from old releases. It can be safely removed after upgrade. Homepage: http://nixnuts.net/apt-watch/ Tag: admin::package-management, interface::x11, protocol::http, role::dummy, scope::utility, suite::debian, suite::gnome, uitoolkit::gtk, use::monitor, works-with::software:package, x11::applet Section: admin Priority: optional Filename: pool/main/a/apt-watch/apt-watch_0.4.0-2.1_all.deb Package: apt-watch-backend Source: apt-watch Version: 0.4.0-2.1 Architecture: armhf Maintainer: John Lightsey Installed-Size: 168 Depends: apt-watch-interface, libapt-pkg4.12 (>= 0.8.16~exp9), libc6 (>= 2.13-28), libfam0, libgcc1 (>= 1:4.4.0), libpam0g (>= 0.99.7.1), libstdc++6 (>= 4.4.0), xterm Replaces: apt-watch (<< 0.3.2-6) Homepage: http://nixnuts.net/apt-watch/ Priority: optional Section: admin Filename: pool/main/a/apt-watch/apt-watch-backend_0.4.0-2.1_armhf.deb Size: 42740 SHA256: aa963db408b0a03096047aab5692bd13d911f8652a9430adbeb3a3e118c3ae1c SHA1: 40d5ee7989ce30ccb8aa8fcd5a8fb3fc6bcec96e MD5sum: 2094c2cb7d5fea9dd38f26cbb458d1d9 Description: Applet that monitors apt sources for upgrades (backend slave) apt-watch is an applet that will inform you when upgrades are available for your computer. It is similar to Windows Update or the Red Hat Network applet. . This package contains the backend client. It must be used in conjunction with a graphical frontend. Package: apt-watch-gnome Source: apt-watch Version: 0.4.0-2.1 Architecture: armhf Maintainer: John Lightsey Installed-Size: 165 Depends: apt-watch-backend, gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpanel-applet-4-0 (>= 3.2.1), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0), gconf2 (>= 2.28.1-2) Recommends: synaptic | aptitude Replaces: apt-watch (<< 0.3.2-6) Provides: apt-watch-interface Homepage: http://nixnuts.net/apt-watch/ Priority: optional Section: admin Filename: pool/main/a/apt-watch/apt-watch-gnome_0.4.0-2.1_armhf.deb Size: 37486 SHA256: 39de122169454604d77d4fc41eba0702ea4383e09c78538c5930f864277dd9b0 SHA1: 38d44a8c68038a7f71543a6daf487d4235345452 MD5sum: 0319f370ad7e7d3a9722031957214829 Description: Applet that monitors apt sources for upgrades (GNOME applet) apt-watch is an applet that will inform you when upgrades are available for your computer. It is similar to Windows Update or the Red Hat Network applet. . This package contains the GNOME applet graphical interface. Package: apt-xapian-index Version: 0.45 Architecture: all Maintainer: Enrico Zini Installed-Size: 313 Depends: python (>= 2.4), python-xapian (>= 1.0.2), python-apt (>= 0.7.93.2), python-debian (>= 0.1.14), python-support (>= 0.90.0) Suggests: app-install-data, python-xdg Homepage: http://www.enricozini.org/sw/apt-xapian-index/ Priority: optional Section: admin Filename: pool/main/a/apt-xapian-index/apt-xapian-index_0.45_all.deb Size: 68368 SHA256: 7b9cf752943acc6d454aa7ca92ed44c5c29c0ae98144422bd76e10991d5641d8 SHA1: da6f0d2302299fedbb2dbf63c4f219e86edceb29 MD5sum: 859fdcbcbd676d533db4286575e602cf Description: maintenance and search tools for a Xapian index of Debian packages This package provides update-apt-xapian-index, a tool to maintan a Xapian index of Debian package information in /var/lib/apt-xapian-index, and axi-cache, a command line search tool that uses the index. . axi-cache allows to search packages very quickly, and it also interfaces with the shell command line completion in a smart way, providing context-sensitive keyword and tag suggestions even before the search command is actually run. . update-apt-xapian-index allows plugins to be installed in /usr/share/apt-xapian-index to index all sorts of extra information, such as Debtags tags, popcon information, package ratings and anything else that would fit. . The index generated by update-apt-xapian-index is self-documenting, as it contains an autogenerated README file with information on the index layout and all the data that can be found in it. Package: apt-zip Version: 0.18 Installed-Size: 128 Maintainer: Giacomo Catenazzi Architecture: all Depends: apt (>= 0.7.7) Size: 20598 SHA256: 9073490a48db18a4721b5f01d1465d67bc41e9e12ccb537e3f0e2e112d53a268 SHA1: e22394372362c64c251ef6e36af2005503b416a6 MD5sum: 8e5382d5cf78b22701f08a4d91f697d3 Description: Update a non-networked computer using apt and removable media These scripts simplify the process of using dselect and apt on a non-networked Debian box, using removable media like ZIP floppies and USB keys. One generates a `fetch' script (supporting backends such as wget and lftp, in a modular, extensible way) to be run on a host with better connectivity, check space constraints of your removable media, and then install the package on your Debian box. Homepage: http://alioth.debian.org/projects/apt-zip Tag: admin::package-management, hardware::storage, implemented-in::shell, protocol::ftp, protocol::http, protocol::ipv6, role::plugin, suite::debian, use::downloading, works-with::software:package Section: admin Priority: extra Filename: pool/main/a/apt-zip/apt-zip_0.18_all.deb Package: aptdaemon Version: 0.45-2+deb7u1 Installed-Size: 1043 Maintainer: Julian Andres Klode Architecture: all Depends: python, python-aptdaemon (= 0.45-2+deb7u1), python-gi, gir1.2-glib-2.0 Breaks: software-center (<< 1.1.21) Size: 277740 SHA256: ba219a6c636fcd043a796f45a9e8799787a9b5612cc5536b30e8ed10d207eae2 SHA1: b69772e774c49c00f1ea2a3349aa77a4faefa060 MD5sum: 26512dea61cf0c0a98333bad34560f01 Description: transaction based package management service Aptdaemon allows normal users to perform package management tasks, e.g. refreshing the cache, upgrading the system, installing or removing software packages. . Currently it comes with the following main features: . - Programming language independent D-Bus interface, which allows one to write clients in several languages - Runs only if required (D-Bus activation) - Fine grained privilege management using PolicyKit, e.g. allowing all desktop user to query for updates without entering a password - Support for media changes during installation from DVD/CDROM - Support for debconf (Debian's package configuration system) - Support for attaching a terminal to the underlying dpkg call . This package contains the aptd script and all the data files required to run the daemon. Moreover it contains the aptdcon script, which is a command line client for aptdaemon. The API is not stable yet. Homepage: https://launchpad.net/aptdaemon Tag: admin::package-management, implemented-in::python, interface::daemon, role::program, suite::debian, use::downloading, works-with::software:package Section: admin Priority: extra Filename: pool/main/a/aptdaemon/aptdaemon_0.45-2+deb7u1_all.deb Package: aptdaemon-data Source: aptdaemon Version: 0.45-2+deb7u1 Installed-Size: 192 Maintainer: Julian Andres Klode Architecture: all Replaces: python-aptdaemon-gtk (<= 0.41+bzr580-0ubuntu1) Size: 191212 SHA256: b9e8801f8d9a3e64984f32122a0d586a5b3f8a0bd6751bb0cfb65cda29c3525e SHA1: 4d06fbda00c53d6454ba2e2e1eb976920614d3e0 MD5sum: 1133010daf87c0aa14c91091c9f093b0 Description: data files for clients Aptdaemon is a transaction based package management daemon. It allows normal users to perform package management tasks, e.g. refreshing the cache, upgrading the system, installing or removing software packages. . This package provides common data files (e.g. icons) for aptdaemon clients. Homepage: https://launchpad.net/aptdaemon Tag: role::app-data Section: admin Priority: extra Filename: pool/main/a/aptdaemon/aptdaemon-data_0.45-2+deb7u1_all.deb Package: aptfs Version: 1:0+git201108031956-38fb8dc-1 Installed-Size: 56 Maintainer: Chris Lamb Architecture: all Depends: python, python-support (>= 0.90.0), python-fuse (>= 1:0.2-pre3), dctrl-tools, devscripts, gawk Size: 8672 SHA256: 9ff300e1f0c37b67d71dbfb9f7b023a4681e690ba3ecb4e08cd3be2cc6960964 SHA1: 898614a4b8a024d6d0bcdadff56b7c0a2df51290 MD5sum: f063b41f45abc2377131ac0bb6e4580a Description: FUSE filesystem for APT source repositories AptFs is a FUSE-based filesystem that provides a view to unpacked Debian source packages (obtained via APT) as regular folders. Binary packages are modelled as symbolic links pointing to their respective source package. Homepage: http://chris-lamb.co.uk/projects/aptfs/ Tag: admin::filesystem, admin::package-management, implemented-in::python, role::program, suite::debian Section: utils Priority: extra Filename: pool/main/a/aptfs/aptfs_0+git201108031956-38fb8dc-1_all.deb Package: apticron Version: 1.1.55 Installed-Size: 86 Maintainer: Tiago Bortoletto Vaz Architecture: all Depends: mailx, apt (>= 0.6.8), ucf (>= 0.28), cron, bzip2, debconf (>= 0.5) | debconf-2.0 Pre-Depends: dpkg (>= 1.15.7.2) Recommends: apt-listchanges, iproute Size: 20128 SHA256: 11cb7a737562cff5371e85c0643816cb69e5f7e069cd1ad0ccd543ce1c059d63 SHA1: 045e8df8854da740bba32b18f8beb9b9552cb134 MD5sum: e81d795ef98bc8d0cc02724bb2a7a91e Description: Simple tool to mail about pending package updates Apticron is a simple script which sends daily emails about pending package updates such as security updates, properly handling packages on hold both by dselect and aptitude. Tag: admin::package-management, interface::daemon, network::server, role::program, suite::debian, use::monitor, works-with::mail Section: admin Priority: extra Filename: pool/main/a/apticron/apticron_1.1.55_all.deb Package: aptitude Version: 0.6.8.2-1 Architecture: armhf Maintainer: Aptitude Development Team Installed-Size: 3874 Depends: aptitude-common (= 0.6.8.2-1), libapt-pkg4.12 (>= 0.9.7.6+rpi1), libboost-iostreams1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcwidget3, libept1.4.12 (>= 1.0.9), libgcc1 (>= 1:4.4.0), libncursesw5 (>= 5.6+20070908), libsigc++-2.0-0c2a (>= 2.0.2), libsqlite3-0 (>= 3.6.5), libstdc++6 (>= 4.6), libtinfo5, libxapian22, zlib1g (>= 1:1.1.4) Recommends: aptitude-doc-en | aptitude-doc, sensible-utils, apt-xapian-index, libparse-debianchangelog-perl Suggests: tasksel, debtags Conflicts: ia32-apt-get (<< 22) Homepage: http://aptitude.alioth.debian.org/ Priority: important Section: admin Filename: pool/main/a/aptitude/aptitude_0.6.8.2-1_armhf.deb Size: 1187334 SHA256: ab5167599a6f54a710675767b22c3adf77a4eda5f926f582409a91f4a9361022 SHA1: 67f23253d28e383d7de54ae7def44bef22337166 MD5sum: 18c78339540740854db15e0945a91827 Description: terminal-based package manager aptitude is a package manager with a number of useful features, including: a mutt-like syntax for matching packages in a flexible manner, dselect-like persistence of user actions, the ability to retrieve and display the Debian changelog of most packages, and a command-line mode similar to that of apt-get. . aptitude is also Y2K-compliant, non-fattening, naturally cleansing, and housebroken. Package: aptitude-common Source: aptitude Version: 0.6.8.2-1 Installed-Size: 9263 Maintainer: Aptitude Development Team Architecture: all Replaces: aptitude (<< 0.6.8-1) Recommends: aptitude Breaks: aptitude (<< 0.6.8-1) Size: 1496774 SHA256: 1f77ee123d0d38a23dfeb0f6a2784873fd6beb6aae805efb1317668d94e133b1 SHA1: 4c8d36361573a5b34813e413403a9ea7bf24b79d MD5sum: 7c2cc54a8e3b5574278b138ced74db51 Description: architecture indepedent files for the aptitude package manager aptitude is a package manager with a number of useful features, including: a mutt-like syntax for matching packages in a flexible manner, dselect-like persistence of user actions, the ability to retrieve and display the Debian changelog of most packages, and a command-line mode similar to that of apt-get. . This package contains the data files and translations used by aptitude. Homepage: http://aptitude.alioth.debian.org/ Section: admin Priority: important Filename: pool/main/a/aptitude/aptitude-common_0.6.8.2-1_all.deb Package: aptitude-dbg Source: aptitude Version: 0.6.8.2-1 Architecture: armhf Maintainer: Aptitude Development Team Installed-Size: 17160 Depends: aptitude (= 0.6.8.2-1) Recommends: libcwidget3-dbg Homepage: http://aptitude.alioth.debian.org/ Priority: extra Section: debug Filename: pool/main/a/aptitude/aptitude-dbg_0.6.8.2-1_armhf.deb Size: 16460852 SHA256: 2c9394c86cdfba1e02941e31d7008f151d59f5664a6d09a242650899e71b5f7c SHA1: 4731f4983b628cf5da712a7cc890f0498fb52dd1 MD5sum: 541413b38120a658b38557c8046de76a Description: Debug symbols for the aptitude package manager aptitude is a package manager with a number of useful features, including: a mutt-like syntax for matching packages in a flexible manner, dselect-like persistence of user actions, the ability to retrieve and display the Debian changelog of most packages, and a command-line mode similar to that of apt-get. . This package contains the debugging symbols for aptitude. You only need these if you want to generate debugging backtraces of aptitude; if you do, you probably also want the debug package for the cwidget library. Package: aptitude-doc-cs Source: aptitude Version: 0.6.8.2-1 Installed-Size: 1009 Maintainer: Aptitude Development Team Architecture: all Provides: aptitude-doc Suggests: aptitude Size: 352904 SHA256: 1e8335df63eb9fa3e4122914c73970f220876153f9d1c60abd4b5b7ddccebac5 SHA1: 34eb07e07058b3eadd03001ef5b09829ed002904 MD5sum: b81199c0378bdd3c3941ecd2e1dac113 Description: Czech manual for aptitude, a terminal-based package manager aptitude is a package manager with a number of useful features, including: a mutt-like syntax for matching packages in a flexible manner, dselect-like persistence of user actions, the ability to retrieve and display the Debian changelog of most packages, and a command-line mode similar to that of apt-get. . This package contains the Czech version of the aptitude user's manual in HTML format. Homepage: http://aptitude.alioth.debian.org/ Tag: admin::package-management, culture::czech, interface::text-mode, made-of::html, role::documentation, suite::debian, uitoolkit::ncurses, use::browsing, use::configuring, works-with::software:package Section: doc Priority: optional Filename: pool/main/a/aptitude/aptitude-doc-cs_0.6.8.2-1_all.deb Package: aptitude-doc-en Source: aptitude Version: 0.6.8.2-1 Installed-Size: 1211 Maintainer: Aptitude Development Team Architecture: all Provides: aptitude-doc Suggests: aptitude Size: 417606 SHA256: 6ddec7d61fef1feedcb20e8838d2f0c33fab63172e929296527efb370291977c SHA1: b34b8b42dd3f0610c2b2c974192d17ccf33eab34 MD5sum: 8eb80bd08cd713bdfff404b99a74ccf9 Description: English manual for aptitude, a terminal-based package manager aptitude is a package manager with a number of useful features, including: a mutt-like syntax for matching packages in a flexible manner, dselect-like persistence of user actions, the ability to retrieve and display the Debian changelog of most packages, and a command-line mode similar to that of apt-get. . This package contains the English version of the aptitude user's manual in HTML format. Homepage: http://aptitude.alioth.debian.org/ Tag: admin::package-management, culture::TODO, interface::text-mode, made-of::html, role::documentation, suite::debian, uitoolkit::ncurses, use::browsing, use::configuring, works-with::software:package Section: doc Priority: optional Filename: pool/main/a/aptitude/aptitude-doc-en_0.6.8.2-1_all.deb Package: aptitude-doc-es Source: aptitude Version: 0.6.8.2-1 Installed-Size: 1190 Maintainer: Aptitude Development Team Architecture: all Provides: aptitude-doc Suggests: aptitude Size: 395740 SHA256: c970d0e28744c4d2a52254fd87491a43162eacdf32e54984444bdc13ed355bda SHA1: a78df3d260d014aee5aaf1c650a294cf965fc47f MD5sum: b083f06070afc6f0657c9bad70b8551b Description: Spanish manual for aptitude, a terminal-based package manager aptitude is a package manager with a number of useful features, including: a mutt-like syntax for matching packages in a flexible manner, dselect-like persistence of user actions, the ability to retrieve and display the Debian changelog of most packages, and a command-line mode similar to that of apt-get. . This package contains the Spanish version of the aptitude user's manual in HTML format. Homepage: http://aptitude.alioth.debian.org/ Tag: admin::package-management, culture::spanish, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/a/aptitude/aptitude-doc-es_0.6.8.2-1_all.deb Package: aptitude-doc-fi Source: aptitude Version: 0.6.8.2-1 Installed-Size: 717 Maintainer: Aptitude Development Team Architecture: all Provides: aptitude-doc Suggests: aptitude Size: 259520 SHA256: 0e0a909e0e94f4f86696defec6c86c4f557158fdca8e4f2ee682e30706e275ec SHA1: ed5b4a4628bcf90b758859aa21e0de446520ce8b MD5sum: 528273c21b1de9441d275b7c9e590c74 Description: Finnish manual for aptitude, a terminal-based package manager aptitude is a package manager with a number of useful features, including: a mutt-like syntax for matching packages in a flexible manner, dselect-like persistence of user actions, the ability to retrieve and display the Debian changelog of most packages, and a command-line mode similar to that of apt-get. . This package contains the Finnish version of the aptitude user's manual in HTML format. Homepage: http://aptitude.alioth.debian.org/ Tag: admin::package-management, culture::finnish, made-of::html, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/a/aptitude/aptitude-doc-fi_0.6.8.2-1_all.deb Package: aptitude-doc-fr Source: aptitude Version: 0.6.8.2-1 Installed-Size: 1114 Maintainer: Aptitude Development Team Architecture: all Provides: aptitude-doc Suggests: aptitude Size: 297802 SHA256: 1a71a2b2a4880e8e1ba529ca9d3bd8bc422704f55352ae67e565764e4b5e02d4 SHA1: c753b50983bebf157a794e62cbdb797ff761acc7 MD5sum: 208f9bb94e11848e848837149e4a400b Description: French manual for aptitude, a terminal-based package manager aptitude is a package manager with a number of useful features, including: a mutt-like syntax for matching packages in a flexible manner, dselect-like persistence of user actions, the ability to retrieve and display the Debian changelog of most packages, and a command-line mode similar to that of apt-get. . This package contains the French version of the aptitude user's manual in HTML format. Homepage: http://aptitude.alioth.debian.org/ Tag: admin::package-management, culture::french, interface::text-mode, made-of::html, role::documentation, suite::debian, uitoolkit::ncurses, use::browsing, use::configuring, works-with::software:package Section: doc Priority: optional Filename: pool/main/a/aptitude/aptitude-doc-fr_0.6.8.2-1_all.deb Package: aptitude-doc-it Source: aptitude Version: 0.6.8.2-1 Installed-Size: 1057 Maintainer: Aptitude Development Team Architecture: all Provides: aptitude-doc Suggests: aptitude Size: 258892 SHA256: 4d3d1b48dc78aadb428bf06c2f9264be53feac08e48095885e0304e37bd75d2a SHA1: 3c39da9a0e662891ef2c1f498db432827c7691c6 MD5sum: 7adf3d7f98cb6ffe241e54169c3a2ef1 Description: Italian manual for aptitude, a terminal-based package manager aptitude is a package manager with a number of useful features, including: a mutt-like syntax for matching packages in a flexible manner, dselect-like persistence of user actions, the ability to retrieve and display the Debian changelog of most packages, and a command-line mode similar to that of apt-get. . This package contains the Italian version of the aptitude user's manual in HTML format. Homepage: http://aptitude.alioth.debian.org/ Section: doc Priority: optional Filename: pool/main/a/aptitude/aptitude-doc-it_0.6.8.2-1_all.deb Package: aptitude-doc-ja Source: aptitude Version: 0.6.8.2-1 Installed-Size: 1165 Maintainer: Aptitude Development Team Architecture: all Provides: aptitude-doc Suggests: aptitude Size: 354556 SHA256: 75c4de0793e75608bb6b950b410abb29487ad068559e560104e285c03735434a SHA1: 765d15674e48fcd83ed12eeb9dd82dd60c4be4fd MD5sum: 4acc555bd67ea52468c99e2dde372d98 Description: Japanese manual for aptitude, a terminal-based package manager aptitude is a package manager with a number of useful features, including: a mutt-like syntax for matching packages in a flexible manner, dselect-like persistence of user actions, the ability to retrieve and display the Debian changelog of most packages, and a command-line mode similar to that of apt-get. . This package contains the Japanese version of the aptitude user's manual in HTML format. Homepage: http://aptitude.alioth.debian.org/ Tag: admin::package-management, culture::japanese, made-of::html, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/a/aptitude/aptitude-doc-ja_0.6.8.2-1_all.deb Package: aptoncd Version: 0.1.98+bzr117-1.2 Installed-Size: 1762 Maintainer: Fabrice Coutadeur Architecture: all Depends: python (<< 2.8), python (>= 2.6), python-central (>= 0.6.11), python2.6, libgnomevfs2-0, genisoimage, apt-utils, synaptic (>= 0.57.7), python-gnome2, python-apt (>= 0.7.93), python-glade2, python-dbus, lsb-release, gksu, python-gtk2, gnome-icon-theme Recommends: k3b | brasero, yelp Suggests: update-notifier, gdebi Size: 264262 SHA256: 10938cfbe4bb3f40a786ba6ac36cb41cfb1de982316377cc942767c04447aa70 SHA1: 238742786be5a67d3b7fa3cc01c0013ad8357184 MD5sum: 34ff50fa9920630330e372030fba94fb Description: Installation disc creator for packages downloaded via APT APT removable repository creator and package backup tool for Debian based systems. . This tool will allow you to create a media (CD or DVD) to use to install software via APT in a non-connected machine, as well upgrade and install the same set of softwares in several machines with no need to re-download the packages again. Homepage: http://aptoncd.sourceforge.net Python-Version: 2.6, 2.7 Tag: admin::package-management, hardware::storage:cd, implemented-in::python, interface::x11, role::program, scope::utility, uitoolkit::gtk, use::storing, x11::application Section: admin Priority: extra Filename: pool/main/a/aptoncd/aptoncd_0.1.98+bzr117-1.2_all.deb Package: aptsh Source: aptsh (0.0.7+nmu2) Version: 0.0.7+nmu2+b1 Architecture: armhf Maintainer: Marcin Wrochniak Installed-Size: 151 Depends: libapt-pkg4.12 (>= 0.8.16~exp9), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libreadline5 (>= 5.2), libstdc++6 (>= 4.6) Priority: optional Section: admin Filename: pool/main/a/aptsh/aptsh_0.0.7+nmu2+b1_armhf.deb Size: 55206 SHA256: b28633a98e8752ccb2eabe423a4ac5f0f4a50216485609e581eb8b7ffae3c6f1 SHA1: 010e9b9f4fcb2b9ba270372780db0d05293e60f0 MD5sum: 57288f985a2d92d09f422f02e259e983 Description: apt interactive shell Aptsh helps in managing packages by providing nice pseudo-shell, with commands completion and simplified access to Apt's commands. Additional features, like command-queue and orphaned packages searcher are also included. Package: apvlv Source: apvlv (0.1.1-1.2) Version: 0.1.1-1.2+b1 Architecture: armhf Maintainer: Lukas Gaertner Installed-Size: 364 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdjvulibre21 (>= 3.5.25.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), libpoppler-glib8 (>= 0.18), libstdc++6 (>= 4.4.0) Suggests: poppler-data (>= 0.2.0-2) Homepage: http://code.google.com/p/apvlv/ Priority: extra Section: text Filename: pool/main/a/apvlv/apvlv_0.1.1-1.2+b1_armhf.deb Size: 178234 SHA256: ce730911fc53f350788289080e7f06ca1eb18cdb80f63af5069be6817998b479 SHA1: 813aec328a9d36017672790d3445c61c3fa04fa2 MD5sum: 02a01f5a6b9eedbceb18379f07c7adc1 Description: PDF viewer with Vim-like behaviour apvlv (Alf's PDF Viewer Like Vim) is a small and fast PDF viewer, that uses poppler for rendering. Its interface aims to users who like vim behaviour. For example opening a PDF file can be done with ':o filename'. apvlv can also work with tabs, so you can open multiple files at the same time in one program. Package: apwal Version: 0.4.5-1 Architecture: armhf Maintainer: Sam Hocevar (Debian packages) Installed-Size: 189 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4) Priority: optional Section: gnome Filename: pool/main/a/apwal/apwal_0.4.5-1_armhf.deb Size: 55618 SHA256: 76957df5f4dad6ea9dc1cc53631222c9ab2556d0153bb1f3dcd32bfd560b9eb8 SHA1: ef99061b3a84771fb071e24ec1df29066897e1a5 MD5sum: 62cb03fdb6140aa8a8c696a4491bc751 Description: icon-based floating application launcher with transparency Apwal is a simple icon-based application launcher. It consists of two components: the application launcher itself, and the configuration editor. . Upon startup, Apwal displays icons at the mouse pointer location. Left clicking on the icons launches applications, and right-clicking opens Apwal Editor. . Apwal Editor is an easy to use graphical interface to configure Apwal. An icon selector displays icons available on your filesystem. Several filters are available to ease the search (by extension, by size, by name, etc.). . Homepage: http://apwal.free.fr/ Package: aqbanking-tools Source: libaqbanking Version: 5.0.24-3 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 247 Depends: libaqbanking34 (>= 5.0.1), libaqhbci20 (>= 4.99.2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgwenhywfar60 (>= 4.3.1), libstdc++6 (>= 4.6), libaqbanking34-plugins Suggests: gwenhywfar-tools Homepage: http://www.aquamaniac.de/aqbanking/ Priority: optional Section: utils Filename: pool/main/liba/libaqbanking/aqbanking-tools_5.0.24-3_armhf.deb Size: 105884 SHA256: 94c07a4c4b00a47652c72eff4822404cdb6ae7bcebce7f000da50e42f3d960e2 SHA1: 7d5b1842837c123519f6a642b830e801451a8080 MD5sum: 37ba597ed5fb1605d37d97cb0a7818c1 Description: basic command line homebanking utilities AqBanking provides a middle layer between the applications and online banking libraries implementing various file formats and protocols. Plugins supporting OFX, DTAUS, and HBCI are available. . This package provides a basic command line interface to AqBanking. Package: aqemu Version: 0.8.2-2 Architecture: armhf Maintainer: Ignace Mouzannar Installed-Size: 4214 Depends: qemu, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-test (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libvncserver0 Recommends: qemu-kvm Homepage: http://aqemu.sourceforge.net/ Priority: optional Section: x11 Filename: pool/main/a/aqemu/aqemu_0.8.2-2_armhf.deb Size: 1808468 SHA256: b491dbe87f09268d2c23d98db3ad629152c06525f0d440db5dcb6c73aec43ff5 SHA1: bed78c9baf64c51e2944284a4419745f2806076c MD5sum: 1d8329fcf78503177685ee1e484c26e2 Description: Qt4 front-end for QEMU and KVM aqemu is a Qt4 graphical interface used to manage QEMU and KVM virtual machines. It has a user-friendly interface and allows one to set up the majority of QEMU and KVM options. Package: aqsis Version: 1.8.1-3 Architecture: armhf Maintainer: Manuel A. Fernandez Montecelo Installed-Size: 1625 Depends: libaqsis1 (= 1.8.1-3), libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libboost-wave1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.2), libstdc++6 (>= 4.6), libtinyxml2.6.2, zlib1g (>= 1:1.1.4) Suggests: aqsis-examples (= 1.8.1-3) Homepage: http://www.aqsis.org/ Priority: optional Section: graphics Filename: pool/main/a/aqsis/aqsis_1.8.1-3_armhf.deb Size: 587546 SHA256: 2bcbfc63c2f748fec21dbe28697ec94a7d9e33797677e3bd28fd130470f38344 SHA1: afa55cec24eb5e7aed06f55cd25518bb6a4d8cb0 MD5sum: 48bdc0fae565b7f7157d67b2fc7115c8 Description: 3D rendering solution adhering to the RenderMan(R) standard, binaries Aqsis is a high quality, photorealistic, 3D rendering solution. It complies with the RenderMan(R) interface standard defined by Pixar. . The RenderMan(R) standard has been used in film and television visual effects since its introduction in 1989. Pixar has used their own implementation for all of their award winning CG features, and provided their implementation for use in the visual effects of most major blockbuster films over the last 2 decades. The Aqsis project offers a way for individuals and organisations alike to gain experience with the RenderMan(R) interface without the cost of commercial software licenses. . This package contains the Aqsis binaries (command line rendering tool, a tool for compiling shaders in the RSL language and a tool for preparing textures for optimal use). Package: aqsis-examples Source: aqsis Version: 1.8.1-3 Installed-Size: 1456 Maintainer: Manuel A. Fernandez Montecelo Architecture: all Replaces: aqsis-data (<< 1.6.0) Suggests: aqsis, python Breaks: aqsis-data (<< 1.6.0) Size: 373524 SHA256: 0d6a354ab6add5cec5552a6feb2f6b7f0020cbae9853200c38616ca1501d251d SHA1: 74a0efd9207e453a0964e74f8719fb85b6075336 MD5sum: 2464b16525bfb69da7e8c3e187c64648 Description: 3D rendering solution adhering to the RenderMan(R) standard, examples Aqsis is a high quality, photorealistic, 3D rendering solution. It complies with the RenderMan(R) interface standard defined by Pixar. . The RenderMan(R) standard has been used in film and television visual effects since its introduction in 1989. Pixar has used their own implementation for all of their award winning CG features, and provided their implementation for use in the visual effects of most major blockbuster films over the last 2 decades. The Aqsis project offers a way for individuals and organisations alike to gain experience with the RenderMan(R) interface without the cost of commercial software licenses. . This package contains examples like scenes, procedurals and shaders. Homepage: http://www.aqsis.org/ Tag: role::app-data, role::examples Section: graphics Priority: optional Filename: pool/main/a/aqsis/aqsis-examples_1.8.1-3_all.deb Package: aqualung Source: aqualung (0.9~beta11-1.2) Version: 0.9~beta11-1.2+b1 Architecture: armhf Maintainer: Adam Cécile (Le_Vert) Installed-Size: 1905 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcddb2, libcdio-cdda1 (>= 0.83), libcdio-paranoia1 (>= 0.83), libcdio13 (>= 0.83), libflac8 (>= 1.2.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.16.0), libifp4, libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblrdf0, liblua5.1-0, libmad0 (>= 0.15.1b-3), libmodplug1, libmp3lame0, libmpcdec6 (>= 1:0.1~r435), libogg0 (>= 1.0rc3), liboggz2 (>= 1.1.0), libpango1.0-0 (>= 1.14.0), libpulse0 (>= 0.99.1), libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20), libspeex1 (>= 1.2~beta3-1), libstdc++6 (>= 4.1.1), libusb-0.1-4 (>= 2:0.1.12), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libwavpack1 (>= 4.40.0), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Homepage: http://aqualung.sourceforge.net/ Priority: extra Section: sound Filename: pool/main/a/aqualung/aqualung_0.9~beta11-1.2+b1_armhf.deb Size: 991278 SHA256: b687b4f688548fd29d771e0e08257731ecddf36f4cda60c6c6043d6cca8be575 SHA1: 456addaacbbc35d34203b518bd71a5ace676eeda MD5sum: 10a8410d8e67ff2ed4454e1c61d43d03 Description: Gapless Gtk-based audio player Aqualung is a music player for the GNU/Linux operating system. It plays audio files from your filesystem and has the feature of inserting no gaps between adjacent tracks. It also supports high quality sample rate conversion between the file and the output device, when necessary. . Almost all sample-based, uncompressed formats (e.g. WAV, AIFF, AU etc.) are supported. Files encoded with FLAC (the Free Lossless Audio Codec), Ogg Vorbis, Ogg Speex, MPEG Audio (including the infamous MP3 format) and MOD audio formats (MOD, S3M, XM, IT, etc.), Musepack are also supported. . The program can play the music through OSS, ALSA or using the JACK Audio Connection Kit. . Aqualung supports the LADSPA 1.1 plugin standard. You can use any suitable plugin to enhance the music you are listening to. Package: ara Version: 1.0.31 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 450 Depends: ocaml-base-nox-3.12.1 Recommends: sudo, apt Suggests: a2ps Conflicts: ara-byte Replaces: ara-byte Provides: ara-byte Homepage: http://ara.alioth.debian.org/ Priority: optional Section: utils Filename: pool/main/a/ara/ara_1.0.31_armhf.deb Size: 105496 SHA256: 4bf0d5e0c330f13c5ddef8bf10d75070ed375e061afd5026e079c01094ab9e39 SHA1: abaf715a6bc233fc95a916bc5da1a210a38a32d7 MD5sum: bf7ddfa288b3cf493216b03a1170a95f Description: Command line utility for searching the Debian package database ara is a utility for searching the Debian package database using boolean regexp queries. . ara can perform sophisticated searches on that database. It is possible to use any field of the package database as a search criterion and any boolean combination thereof. . ara can also call APT (or any user-configurable command) to install or remove packages matching a query. Package: arandr Version: 0.1.6-1 Installed-Size: 480 Maintainer: Christian M. Amsüss Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), x11-xserver-utils | xrandr, python-gtk2 Size: 70906 SHA256: 307c411b00620b72d7bcdb0fa8205358d381f7d1fd134f12a36b945c4402c976 SHA1: 136dd8e44b90e0cb985e96e2fc4458e0c4840746 MD5sum: ac7ca41be5a918f4f09b59d073f16882 Description: Simple visual front end for XRandR ARandR is a visual front end for XRandR 1.2/1.3 (per display options), which provides full control over positioning, saving and loading to/from shell scripts and easy integration with other applications. Homepage: http://christian.amsuess.com/tools/arandr/ Tag: hardware::video, implemented-in::python, interface::x11, role::program, scope::utility, uitoolkit::gtk, use::configuring, x11::application Section: x11 Priority: optional Filename: pool/main/a/arandr/arandr_0.1.6-1_all.deb Package: aranym Version: 0.9.13-6 Architecture: armhf Maintainer: Antonin Kral Installed-Size: 3045 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libmpfr4 (>= 3.1.0), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libusb-1.0-0 (>= 2:1.0.8), libx11-6, zlib1g (>= 1:1.2.3.3) Recommends: uml-utilities, bridge-utils Conflicts: aranym-jit, aranym-mmu Homepage: http://aranym.org/ Priority: extra Section: otherosfs Filename: pool/main/a/aranym/aranym_0.9.13-6_armhf.deb Size: 1207552 SHA256: 890e33e9e19d677278ce5300eb93a1cb65e76adbd4c11601553d7216e144692c SHA1: d615f710c28af0eddb5f4b4c5a6c7ad85825aa20 MD5sum: 5bdbabadb4511408713bce12fd85ff37 Description: Atari Running on Any Machine Virtual Machine for running Atari 32-bit operating systems and applications Package: arbtt Version: 0.6.2-1 Architecture: armhf Maintainer: Joachim Breitner Installed-Size: 41167 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libpcre3 (>= 8.10), libx11-6, libxext6, libxinerama1, libxss1 Homepage: http://hackage.haskell.org/package/arbtt Priority: optional Section: haskell Filename: pool/main/a/arbtt/arbtt_0.6.2-1_armhf.deb Size: 9558370 SHA256: 9bb8e86f65681637e56d009bf4766eef7abb29261c103015563690e75333a18a SHA1: e37e44290d9de080dc37fbe00071c4caf9ec3d7f MD5sum: 6f3421339d6cb892b95b2417df7dfbfd Description: Automatic Rule-Based Time Tracker The program arbtt, the automatic rule-based time tracker, allows you to investigate how you spend your time, without having to manually specify what you are doing. arbtt records what windows are open and active, and provides you with a powerful rule-based language to afterwards categorize your work. Package: arc Version: 5.21p-1 Architecture: armhf Maintainer: Klaus Reimer Installed-Size: 118 Depends: libc6 (>= 2.7) Priority: optional Section: utils Filename: pool/main/a/arc/arc_5.21p-1_armhf.deb Size: 58966 SHA256: a003ceee8340aaf517d39876258e8511bd04031e22d84bc01f6d354820fc63a2 SHA1: d040b4137b59511309b0d56fa9b942c3199f6848 MD5sum: 0e2e8ea2937844b012b955c3fe0a0cae Description: Archive utility based on the MSDOS ARC program This program is based on the MSDOS ARC program, version 5.21, plus a few enhancements... . o ARC also performs Huffman Squeezing on data. The Huffman Squeeze algorithm was removed from MSDOS ARC after version 5.12. It turns out to be more efficient than Lempel-Ziv style compression when compressing graphic images. Squeeze analysis is always done now, and the best of packing, squeezing, or crunching is used. . o Compresses and extracts Squashed files. "Squashing" was created by Phil Katz in his PKxxx series of ARC utility programs for MSDOS. Dan Lanciani wrote the original modifications to ARC's Crunch code to handle Squashing. I've made minor changes since then, mostly to reduce the amount of memory required. The 'q' option flag must be specified to Squash files. The Squashing algorithm will be used instead of the usual Crunch algorithm, and will be compared against packing and squeezing, as before. Package: archivemail Version: 0.9.0-1 Installed-Size: 188 Maintainer: Nikolaus Schulz Architecture: all Depends: python2.6 | python2.7, python (>= 2.6), python (<< 2.8) Size: 43950 SHA256: 8ba6b6213e9c3b671157839727692da38c6df7a027706768e215aeba02e0de52 SHA1: d7de17d165ada664d3eefc6315b16dbe50adc687 MD5sum: 5aba599058b5763d90567efa44dba6d3 Description: archive and compress or delete your old email Archivemail moves old mail out of a mailbox (in Maildir, MH, or mbox format, or via IMAP) and archives it in a compressed mbox-format mailbox file. It is well suited to be run from cron for automatic archiving of your old mail. Archivemail can also just delete old mail so it is useful for cleaning up mailing list or spam mailboxes. Homepage: http://archivemail.sourceforge.net/ Tag: admin::backup, implemented-in::python, interface::commandline, role::program, scope::utility, use::compressing, use::storing, works-with::mail Section: mail Priority: optional Filename: pool/main/a/archivemail/archivemail_0.9.0-1_all.deb Package: archivemount Version: 0.6.1-2 Architecture: armhf Maintainer: Nanakos Chrysostomos Installed-Size: 70 Depends: libarchive12, libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), fuse (>= 2.8.5-2) Homepage: http://www.cybernoia.de/software/archivemount/ Priority: optional Section: utils Filename: pool/main/a/archivemount/archivemount_0.6.1-2_armhf.deb Size: 21044 SHA256: 1085f597bb4cfe2edc11ef1515ace3fa170c437f75cb0d07341a0d54ee2f586d SHA1: 94a7bf7cf7aacfb93f832a95bbc61d80757518f7 MD5sum: e6f76e31808fd01936450b1be342c666 Description: mounts an archive for access as a file system archivemount is a FUSE based file system for Unix variants, including Linux. Its purpose is to mount archives to a mount point where it can be read from or written to as with any other file system. This makes accessing the contents of the archive, which maybe compressed, transparent to other programs, without decompressing them. The archive formats that archivemount supports are: * old-style tar archives, . * most variants of the POSIX “ustar” format, . * the POSIX “pax interchange” format, . * GNU-format tar archives, . * most common cpio archive formats, . * ISO9660 CD images (with or without RockRidge extensions), . * Zip archives. . * two different variants of shar archives. . archivemount is FUSE (Filesystem in USErspace). Package: archmage Version: 1:0.2.4-3 Installed-Size: 296 Maintainer: Mikhail Gusarov Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-chm, python-beautifulsoup Suggests: libapache2-mod-python, elinks | links, htmldoc Size: 28954 SHA256: 75a291473e32baccdb809b2ac392a3c82a1b593abde8c1d3854ea2879275b43c SHA1: 65bc8702d141117bb570b6aa4c280eeb9120fc84 MD5sum: 71eea1c83b86712d82e6ec1fa845fe14 Description: CHM(Compiled HTML) Decompressor arCHMage is a reader and decompiler for files in the CHM format. This is the format used by Microsoft HTML Help, and is also known as Compiled HTML. . arCHMage provides the following features: - Extracting CHM content to set of HTML, CSS files and images - Dumping HTML data from CHM as a plain text - Serving CHM contents as a standalone HTTP server - Serving CHM content with Apache by providing Apache module mod_chm. Homepage: http://archmage.sf.net Tag: devel::doc, interface::commandline, role::program, scope::utility, use::converting, use::viewing, works-with-format::chm, works-with-format::html Section: utils Priority: optional Filename: pool/main/a/archmage/archmage_0.2.4-3_all.deb Package: archmbox Version: 4.10.0-2 Installed-Size: 128 Maintainer: Alberto Furia Architecture: all Depends: perl, psmisc, bzip2, uw-mailutils Size: 37120 SHA256: b229a95f90482ccf8ab9e91c74a77d16bbb90545af391764e033f859ed96bf8f SHA1: 64f3d517099e5d1fd0d1c05a8e6fcf8b693c009a MD5sum: 05bc5dc53c871042ad0075e0a55a00f4 Description: a simple email archiver written in perl Archmbox is a simple email archiver written in perl; it parses one or more mailboxes, selects some or all messages and then performs specific actions on the selected messages. At this time archmbox supports mbox and mbx mailbox formats. . Messages selection is based upon a date criteria; an absolute date or a days offset can be specified. It is also possible to refine the selection using regular expressions on the header fields of the message. All archived messages are stored in a new mailbox with the same name of the original one plus .archived as extension (this is the default, but can be changed); the archive mailbox can be saved in gz or bz2 compressed format as well. Homepage: http://adc-archmbox.sourceforge.net/ Tag: admin::backup, implemented-in::perl, interface::commandline, role::program, scope::utility, use::compressing, use::storing, works-with::mail Section: mail Priority: optional Filename: pool/main/a/archmbox/archmbox_4.10.0-2_all.deb Package: arcjobtool Version: 0.3.0-2 Installed-Size: 580 Maintainer: Mattias Ellert Architecture: all Depends: nordugrid-arc-python, nordugrid-arc-plugins-needed, python-wxtools, python, python-support (>= 0.90.0) Size: 134794 SHA256: c509bfd460231d44f06716749b770f33dc6c37b5da44bb6f6de07b9828000209 SHA1: 266ca7a100a18e65eeb079a80c070d1560a84520 MD5sum: 85f904c1c871c1b3c8c3fda8830aee64 Description: ARC Job Submission Tool This is a graphical user interface for the Advanced Resource Connector (ARC) grid middleware client. It offers among other things bulk job handling and easy job description creation. Homepage: http://laportal.sourceforge.net/ Python-Version: 2.6, 2.7 Tag: interface::x11, role::program, x11::application Section: net Priority: optional Filename: pool/main/a/arcjobtool/arcjobtool_0.3.0-2_all.deb Package: ardentryst Version: 1.71-4 Installed-Size: 27515 Maintainer: Debian Games Team Architecture: all Depends: python (>= 2.6), python-pygame, ttf-freefont Size: 25898956 SHA256: ed8c338e1880ba0cf66c50d9d54659f5a964c598dd08b764f4e5a10171a9d24d SHA1: a90377a2d7a65e65ad79f50a827f69d396b58c69 MD5sum: 4102bdcceefe600d277a0cf28bc9cb82 Description: Action/RPG sidescoller, focused on story and character development Ardentryst is an action/RPG sidescoller, focused not just on fighting, but on story, and character development. It features two playable characters and a variety of weapons, items, armour, monsters, and beautiful level scenery and graphics. Homepage: http://jordan.trudgett.com/ Tag: game::rpg, implemented-in::python, interface::x11, role::program, uitoolkit::sdl, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/a/ardentryst/ardentryst_1.71-4_all.deb Package: ardesia Version: 1.0-2 Architecture: armhf Maintainer: TANIGUCHI Takaki Installed-Size: 1683 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.4.10), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgsf-1-114 (>= 1.14.8), libgsl0ldbl (>= 1.9), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), vlc, xdg-utils Homepage: http://code.google.com/p/ardesia/ Priority: extra Section: graphics Filename: pool/main/a/ardesia/ardesia_1.0-2_armhf.deb Size: 1398144 SHA256: 7f38ae75260fdd71021b6f02f669baa90088e26a33134ae9f3640ded6802a84a SHA1: 80accee1ffe4f2b2fcd59e00afdeb412dd754dcd MD5sum: 665096d655eb1f95a92ec7b138e5b77a Description: free digital sketchpad software You can make colored free-hand annotations with digital ink everywhere, record it and share on the network. . Thanks to Ardesia you are free to open any application and fix your ideas and comments as if you wrote on a classic chalkboard. You can use the tool to make effective on-screen presentation, highlight things or point out things of interest. The tool facilitates the online presentations and demos showing in real time your computer screen to anyone in the network. You can use this tool to enhance your lessons or courses working with your preferred applications and your preferred operating system. Create nice tutorial and demos saving the desktop images with your free hand annotations. Ardesia includes a sketchpad software that allow to free-hand draw geometrical shapes using the shape recognizer. . Ardesia works with all the pointing device; you can use a mouse, a touch screen, a drawing tablet, a cheap&professional wiimote whiteboard or a commercial whiteboard, interactive projector and any other devices supported by your operating system. Package: ardour Version: 1:2.8.14-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 14403 Depends: libart-2.0-2 (>= 2.3.19), libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libaubio2, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libcurl3-gnutls (>= 7.16.2), libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnomecanvas2-0 (>= 2.11.1), libgnomecanvasmm-2.6-1c2a (>= 2.23.1), libgtk2.0-0 (>= 2.18.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblilv-0-0 (>= 0.14.2~dfsg0), liblo7 (>= 0.26~repack), liblrdf0, libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libraptor2-0 (>= 2.0.8), libsamplerate0 (>= 0.1.7), libserd-0-0 (>= 0~svn155), libsigc++-2.0-0c2a (>= 2.0.2), libsndfile1 (>= 1.0.20), libsord-0-0 (>= 0.4.2), libsratom-0-0, libstdc++6 (>= 4.6), libsuil-0-0 (>= 0.4.2), libusb-0.1-4 (>= 2:0.1.12), libvamp-hostsdk3, libvamp-sdk2, libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), python, python-twisted, python-gtk2, jackd Recommends: iceweasel | www-browser Conflicts: ardour, ardour-gtk Replaces: ardour, ardour-gtk Homepage: http://www.ardour.org/ Priority: extra Section: sound Filename: pool/main/a/ardour/ardour_2.8.14-2_armhf.deb Size: 5420660 SHA256: dac9a88d34bf5379348b3b0f1665c074b526284d346113858108cf10a577b47f SHA1: 4d09b93030bb1616d69a6f6fd29c14d0f2269c87 MD5sum: b6482321e657fd5565152b3ee9625335 Description: digital audio workstation (graphical gtk2 interface) Ardour is a multichannel hard disk recorder (HDR) and digital audio workstation (DAW). It can be used to control, record, edit and run complex audio setups. . Ardour supports pro-audio interfaces through the ALSA project, which provides high quality, well designed device drivers and API's for audio I/O under Linux. Any interface supported by ALSA can be used with Ardour. This includes the all-digital 26 channel RME Hammerfall, the Midiman Delta 1010 and many others. . Ardour has support for 24 bit samples using floating point internally, non-linear editing with unlimited undo, a user-configurable mixer, MTC master/slave capabilities, MIDI hardware control surface compatibility. . It supports MIDI Machine Control, and so can be controlled from any MMC controller and many modern digital mixers. . Ardour contains a powerful multitrack audio editor/arranger that is completely non-destructive and capable of all standard non-linear editing operations (insert, replace, delete, move, trim, select, cut/copy/paste). The editor has unlimited undo/redo capabilities and can save independent "versions" of a track or an entire piece . Ardour's editor supports the community-developed LADSPA plugin standard. Arbitrary chains of plugins can be attached to any portion of a track. Every mixer strip can have any number of inputs and outputs, not just mono, stereo or 5.1. An N-way panner is included, with support for various panning models. Pre- and post-fader sends exist, each with their own gain and pan controls. Every mixer strip acts as its own bus, and thus the bus count in Ardour is unlimited. You can submix any number of strips into another strip. . Ardour's channel capacity is limited only by the number on your audio interface and the ability of your disk subsystem to stream the data back and forth. . JACK (the JACK Audio Connection Kit) is used for all audio I/O, permitting data to be exchanged in perfect samplesync with other applications and/or hardware audio interfaces. . Ardour is sample rate and size neutral - any hardware formats from 8 to 32 bits, and rates from 8kHz to 192kHz. Internal processing in 32/64 bit IEEE floating point format. . Further information can be found at . Package: arduino Version: 1:1.0.1+dfsg-7 Installed-Size: 1293 Maintainer: Scott Howard Architecture: all Depends: default-jre | java6-runtime, libjna-java, librxtx-java (>= 2.2pre2-3), arduino-core (= 1:1.0.1+dfsg-7) Recommends: extra-xdg-menus, policykit-1 Size: 1123728 SHA256: a007e610604d3eaf297e4519427d2fc42fef098a58eab5122faf20c02405a06a SHA1: 540f07f9a8fd51139e28aebad8beade339d62820 MD5sum: fc59307fdef5add00b25d230d5534076 Description: AVR development board IDE and built-in libraries Arduino is an open-source electronics prototyping platform based on flexible, easy-to-use hardware and software. It's intended for artists, designers, hobbyists, and anyone interested in creating interactive objects or environments. . This package will install the integrated development environment that allows for program writing, code verfication, compiling, and uploading to the Arduino development board. Libraries and example code will also be installed. Homepage: http://www.arduino.cc Tag: field::electronics, role::program Section: electronics Priority: extra Filename: pool/main/a/arduino/arduino_1.0.1+dfsg-7_all.deb Package: arduino-core Source: arduino Version: 1:1.0.1+dfsg-7 Installed-Size: 4422 Maintainer: Scott Howard Architecture: all Depends: gcc-avr (>= 4.7.0), avrdude, gcc, avr-libc (>= 1.8.0) Suggests: arduino-mk Size: 717668 SHA256: 1a13c9cfefcc525df6799cb4db605fd1aa25ee327a94cb5e7e5ba31c3001beac SHA1: ff3b7b6737f8b4b9ec5981aa84020d8cee9859e7 MD5sum: 64da2a50fde30e5b532df3cca8d7c6f5 Description: Code, examples, and libraries for the Arduino platform Arduino is an open hardware microcontroller platform. This package contains the minimal set of tools to allow one to program an Arduino. It also contains examples and libraries. For a CLI, see the 'arduino-mk' package. . This package does not include the Java based Integrated Development Environment, which can be found in the 'arduino' package. Homepage: http://www.arduino.cc Tag: field::electronics, hardware::embedded Section: electronics Priority: extra Filename: pool/main/a/arduino/arduino-core_1.0.1+dfsg-7_all.deb Package: arduino-mk Version: 0.8-5 Installed-Size: 117 Maintainer: Debian Science Maintainers Architecture: all Replaces: arduino-core (<< 1:1.0+dfsg-8) Depends: arduino-core (>= 1:1.0+dfsg-8), libconfig-yaml-perl, perl-doc Breaks: arduino-core (<< 1:1.0+dfsg-8) Size: 27598 SHA256: 531132034b7535083f258ab1b33e6b30ff09fe5507a5b820eed61668039fb1a1 SHA1: c5c2c2af98c0b41872ca21801e80c05e1110a1fd MD5sum: b6c348543045c521ce6479923595493a Description: Program your Arduino from the command line Arduino is an open-source electronics prototyping platform based on flexible, easy-to-use hardware and software. It's intended for artists, designers, hobbyists, and anyone interested in creating interactive objects or environments. . This package will install a Makefile to allow for CLI programming of the Arduino platform. Homepage: http://mjo.tc/atelier/2009/02/arduino-cli.html Section: electronics Priority: extra Filename: pool/main/a/arduino-mk/arduino-mk_0.8-5_all.deb Package: arename Version: 4.0-2 Installed-Size: 234 Maintainer: Debian Perl Group Architecture: all Depends: perl, libreadonly-perl, libaudio-scan-perl Size: 77064 SHA256: 74068067329e4a2a066a324cc53b9106bc4ff83b5b88d68b080e5abf7d79f4f8 SHA1: 688792e77c9368c2ac721c8469aea9c7cc9a8e64 MD5sum: cb9d3b64b022b5496a497c368abc06a8 Description: automatic audio file renaming tool arename is a tool that is able to rename audio files by looking at a file's tagging information. It uses this information to assemble a consistent destination file name. The user can define the format of the destination filename by the use of template strings. Homepage: http://ft.bewatermyfriend.org/comp/arename.html Tag: implemented-in::perl, role::program, works-with::file Section: sound Priority: optional Filename: pool/main/a/arename/arename_4.0-2_all.deb Package: argus-client Version: 2.0.6.fixes.1-3 Architecture: armhf Maintainer: Andrew Pollock Installed-Size: 3540 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5 Recommends: argus-server Suggests: librrds-perl Priority: optional Section: net Filename: pool/main/a/argus-client/argus-client_2.0.6.fixes.1-3_armhf.deb Size: 1852796 SHA256: e050940b6b1ee139d0beb173cc47dc9299f1bb08fbfb989cb22283850dc95140 SHA1: 13f41b2ef6ba11e74e6afc94a812d314fee72378 MD5sum: 0a7d5c7b0e8306f7dae1afc9b4399be7 Description: IP network transaction auditing tool argus is a network transaction auditing tool that allows the user to easily classify connections using tcpdump(1) compliant expressions. Argus runs as an application level daemon, promiscuously reading network datagrams from a specified interface, and generates network traffic audit records for the network activity that it encounters. Auditing records can be used to ensure that access control policies are being enforced, identify network problems such as denial of service attacks and more. . This package contains the client programs for the argus server. Please see the package argus-server for the appropriate server. Package: argus-server Source: argus Version: 1:2.0.6.fixes.1-16.3 Architecture: armhf Maintainer: Andrew Pollock Installed-Size: 369 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcap0.8 (>= 0.9.8), libwrap0 (>= 7.6-4~), debconf (>= 0.5) | debconf-2.0, logrotate, net-tools Recommends: argus-client Priority: optional Section: net Filename: pool/main/a/argus/argus-server_2.0.6.fixes.1-16.3_armhf.deb Size: 142688 SHA256: 8a993e4afd5a0fd58d958b3b02f34cc11b36d81116c6c385d0c5fdeb1d05c27b SHA1: f88f386caa583bf746501bc0bf15b3f0ef88fc11 MD5sum: c7fb6e336841366eb803a07666e230f0 Description: IP network transaction auditing tool argus is a network transaction auditing tool that allows the user to easily classify connections using tcpdump(1) compliant expressions. Argus runs as an application level daemon, promiscuously reading network datagrams from a specified interface, and generates network traffic audit records for the network activity that it encounters. Auditing records can be used to ensure that access control policies are being enforced, identify network problems such as denial of service attacks and more. . This package contains the binaries required for the argus server. Please see the package argus-client for appropriate clients. Package: argyll Version: 1.4.0-8 Architecture: armhf Maintainer: Christian Marillat Installed-Size: 9293 Depends: libc6 (>= 2.13-28), libicc2, libimdi0, libjpeg8 (>= 8c), libtiff4 (>> 3.9.5-3~), libusb-0.1-4 (>= 2:0.1.12), libx11-6, libxext6, libxinerama1, libxrandr2 (>= 2:1.2.0), libxss1, libxxf86vm1 Recommends: consolekit, udev (>= 146~) Conflicts: argyll-bin Replaces: argyll-bin Homepage: http://www.argyllcms.com/ Priority: optional Section: graphics Filename: pool/main/a/argyll/argyll_1.4.0-8_armhf.deb Size: 4263408 SHA256: 1685add16b7e3afe91560f32fbc7b296ff78009f8b162c0cecd34ac01cfdcde5 SHA1: aa80733a175581dd5f80a8ea7f7ffefa89e8f5ec MD5sum: eefdbf3331fdc22a8c01190e0a31bc15 Description: Color Management System, calibrator and profiler Argyll is an experimental, open source, ICC compatible color management system. It supports accurate ICC profile creation for scanners, CMYK printers, film recorders and calibration and profiling of displays. Spectral sample data is supported, allowing a selection of illuminants observer types, and paper fluorescent whitener additive compensation. Profiles can also incorporate source specific gamut mappings for perceptual and saturation intents. Gamut mapping and profile linking uses the CIECAM02 appearance model, a unique gamut mapping algorithm, and a wide selection of rendering intents. It also includes code for the fastest portable 8 bit raster color conversion engine available anywhere, as well as support for fast, fully accurate 16 bit conversion. Device color gamuts can also be viewed and compared using a VRML viewer. Package: argyll-dbg Source: argyll Version: 1.4.0-8 Architecture: armhf Maintainer: Christian Marillat Installed-Size: 4109 Depends: argyll (= 1.4.0-8) Homepage: http://www.argyllcms.com/ Priority: extra Section: debug Filename: pool/main/a/argyll/argyll-dbg_1.4.0-8_armhf.deb Size: 3412304 SHA256: 3c9f0a73ed059b14264692755607619e6824b0fa6d2053d1eff394359e47ecbe SHA1: 55348c4bed7e08d3a468218e15cf803c658944d9 MD5sum: 25028930c9fb651472d7d0710a546382 Description: debugging symbols for argyll Argyll is an experimental, open source, ICC compatible color management system. It supports accurate ICC profile creation for scanners, CMYK printers, film recorders and calibration and profiling of displays. . This package contains the debugging symbols for argyll, libicc and libimdi libraries Package: aria2 Version: 1.15.1-1 Architecture: armhf Maintainer: Patrick Ruckstuhl Installed-Size: 3630 Depends: libc-ares2 (>= 1.7.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.5.0-3), libgnutls26 (>= 2.12.17-0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Recommends: ca-certificates Homepage: http://aria2.sourceforge.net/ Priority: extra Section: net Filename: pool/main/a/aria2/aria2_1.15.1-1_armhf.deb Size: 1353694 SHA256: cbe6cb67162a710dcbacc2d49d264ee67279e089c89bd44b9929cbabd684f8d0 SHA1: 312ab2f27ff668e857e325be9eda8ef410563c26 MD5sum: 59e78e4181bc80c00d1392756c493300 Description: High speed download utility Aria2 is a command line download client with resuming and segmented downloading. Supported protocols are HTTP/HTTPS/FTP/BitTorrent and it also supports Metalink. Package: aribas Version: 1.64-5 Architecture: armhf Maintainer: Ralf Treinen Installed-Size: 418 Depends: libc6 (>= 2.4) Homepage: http://www.mathematik.uni-muenchen.de/~forster/sw/aribas.html Priority: optional Section: math Filename: pool/main/a/aribas/aribas_1.64-5_armhf.deb Size: 201648 SHA256: 363d96f30c1cb30427bb6fc23d3cd6454baac2782361f01b4c387846bbfa7fdd SHA1: 0f6912c0fe309716ac035523a182df9808deacf6 MD5sum: ad370ec8a371efe208282033e8141974 Description: interpreter for arithmetic ARIBAS is an interactive interpreter suitable for big integer arithmetic and multiprecision floating point arithmetic. It has a syntax similar to Pascal or Modula-2, but contains also features from other programming languages like C, Lisp, Oberon. Package: ario Version: 1.5.1-1 Architecture: armhf Maintainer: Marc Pavot Installed-Size: 504 Depends: libatk1.0-0 (>= 1.12.4), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0), libgtk2.0-0 (>= 2.22.0), libmpdclient2 (>= 2.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libtag1c2a (>= 1.5), libtagc0 (>= 1.5), libunique-1.0-0 (>= 1.0.0), libxml2 (>= 2.7.4), ario-common (= 1.5.1-1), dbus Suggests: mpd Enhances: mpd Provides: mpd-client Homepage: http://ario-player.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/a/ario/ario_1.5.1-1_armhf.deb Size: 181782 SHA256: 0a8fae6f918b7e79275a0639b1a29fb0868899486ebd5b9bc25d79cc9417a855 SHA1: 69abc97418f3ea4bb48ee7a89f3c19e68ebd1e29 MD5sum: 82b6d9da8d37a936c50d7e2f141190b6 Description: GTK+ client for the Music Player Daemon (MPD) Ario is a full featured client for MPD (Music Player Daemon). The interface used to browse the library is inspired by Rhythmbox but Ario aims to be much lighter and faster. It uses GTK2, avahi for MPD server detection and curl to download remote files (like cover arts and lyrics). Various plugins are provided like audioscrobbler/last.fm submission or multimedia keys support. Package: ario-common Source: ario Version: 1.5.1-1 Installed-Size: 1676 Maintainer: Marc Pavot Architecture: all Replaces: ario (<< 1.2.1-1) Recommends: ario Size: 350752 SHA256: a73422fb9bc5dfc6698fe5a7b38b0f856abd2a2d79bb4fed89a828daaf3961df SHA1: 5296d57fb6fc68ef51a6ea87d777f3312c951c1b MD5sum: 3e687ed4fa77187bda0f13c7a649117d Description: GTK+ client for the Music Player Daemon (MPD) (Common files) Ario is a full featured client for MPD (Music Player Daemon). The interface used to browse the library is inspired by Rhythmbox but Ario aims to be much lighter and faster. It uses GTK2, avahi for MPD server detection and curl to download remote files (like cover arts and lyrics). Various plugins are provided like audioscrobbler/last.fm submission or multimedia keys support. . This package contains ario's architecture-independent support files. Homepage: http://ario-player.sourceforge.net/ Tag: role::app-data Section: sound Priority: optional Filename: pool/main/a/ario/ario-common_1.5.1-1_all.deb Package: arista Version: 0.9.7-4 Installed-Size: 1516 Maintainer: Alessio Treglia Architecture: all Depends: python-gtk2 (>= 2.16), python-gobject, python-cairo, python-gudev, python-simplejson, python-gconf, python-dbus, python-gst0.10 (>= 0.10.14), gnome-icon-theme | gnome-icon-theme-gartoon | gnome-icon-theme-nuovo | gnome-icon-theme-yasis | lxde-icon-theme | moblin-icon-theme | tango-icon-theme | gnome-themes-more | gnome-accessibility-themes, gstreamer0.10-ffmpeg, gstreamer0.10-plugins-base, gstreamer0.10-plugins-good, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: gnome-codec-install, gstreamer0.10-plugins-bad | gstreamer0.10-plugins-really-bad | gstreamer0.10-plugins-bad-multiverse, gstreamer0.10-plugins-ugly | gstreamer0.10-plugins-ugly-multiverse Suggests: python-notify, python-webkit Size: 286760 SHA256: e49b025da655907634698d7ac0ed5a1e80bc4b438902a06a8187a22de00e6184 SHA1: a6f1aabc5e494c284dc468d3395259caeebe8ffc MD5sum: 6c78555ef1c3d62384343fe5111000db Description: multimedia transcoder for the GNOME Desktop Arista is a simple multimedia transcoder, it focuses on being easy to use by making complex task of encoding for various devices simple. . Users should pick an input and a target device, choose a file to save to and go. Features: * Presets for iPod, computer, DVD player, PSP, Playstation 3, and more. * Live preview to see encoded quality. * Automatically discover available DVD media and Video 4 Linux (v4l) devices. * Rip straight from DVD media easily (requires libdvdcss). * Rip straight from v4l devices. * Simple terminal client for scripting. * Automatic preset updating. Homepage: http://www.transcoder.org Tag: implemented-in::python, role::program Section: video Priority: optional Filename: pool/main/a/arista/arista_0.9.7-4_all.deb Package: arj Version: 3.10.22-10+deb7u1 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 379 Depends: libc6 (>= 2.13-28) Homepage: http://sf.net/projects/arj/ Priority: optional Section: utils Filename: pool/main/a/arj/arj_3.10.22-10+deb7u1_armhf.deb Size: 230248 SHA256: 0a3beed938ca2a6fe43d832eee213d32668b5f5aa0f767ef4e971ceefedfca70 SHA1: 6880b4c0743e0bd9ddb468179fb185aa969d3628 MD5sum: f0545622a9fb4e6951733bf0df8405a9 Description: archiver for .arj files This package is an open source version of the arj archiver. This version has been created with the intent to preserve maximum compatibility and retain the feature set of original ARJ archiver as provided by ARJ Software, Inc. Package: ark Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 983 Depends: kde-runtime, libarchive12, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkfile4 (>= 4:4.8), libkhtml5 (>= 4:4.8), libkio5 (>= 4:4.8), libkonq5abi1 (>= 4:4.6.1), libkparts4 (>= 4:4.8), libkpty4 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Recommends: bzip2, p7zip-full, zip, unzip Suggests: rar, unrar | unrar-free Homepage: http://www.kde.org/ Priority: optional Section: utils Filename: pool/main/a/ark/ark_4.8.4-2_armhf.deb Size: 361892 SHA256: 26b374ef654f3a9a3cb7ba5caa40bd83b49d073c8f1aed553b0a6582b5ea91d4 SHA1: 91914d0c0e831d02e7648b427e743e15da030a78 MD5sum: a213069e7a27a8bad65398cbc6fb328b Description: archive utility Ark manages various archive formats, including tar, gzip, bzip2, rar and zip, as well as CD-ROM images. Ark can be used to browse, extract, create, and modify archives. . This package is part of the KDE SC utilities module. Package: ark-dbg Source: ark Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 6984 Depends: ark (= 4:4.8.4-2) Breaks: kdeutils-dbg (<< 4:4.8) Replaces: kdeutils-dbg (<< 4:4.8) Homepage: http://www.kde.org/ Priority: extra Section: debug Filename: pool/main/a/ark/ark-dbg_4.8.4-2_armhf.deb Size: 2730932 SHA256: c48d93e71b2111dfbcd63a5223891717f60bf727e54b91dc6cb101303e413fc4 SHA1: c71df9d7669bdc400b1652b373a6e364ee343d40 MD5sum: 98ebd2077794720f93b781a021eb478f Description: debugging symbols for ark This package contains debugging files used to investigate problems with binaries included in ark. . This package is part of the KDE SC utilities module. Package: armagetronad Version: 0.2.8.3.2-1 Architecture: armhf Maintainer: Christine Spang Installed-Size: 2044 Depends: armagetronad-common (= 0.2.8.3.2-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Homepage: http://armagetronad.sourceforge.net/ Priority: optional Section: games Filename: pool/main/a/armagetronad/armagetronad_0.2.8.3.2-1_armhf.deb Size: 1316988 SHA256: ec64870bb8cf17d749fa0a78ab2dfdb397ec70d918ab62f24e645ce232d04e6a SHA1: 44c49838f86050635a7ba86c6126e1595a46ab9a MD5sum: 64e992c2eb9adacfdb0ec437359e5cdc Description: 3D Tron-like high speed game The rules are simple: you ride a light cycle (a kind of motorbike that can only turn 90 degrees at a time, leaves a wall behind and cannot be stopped) and have to avoid running into walls while at the same time you have to try to get your opponent to run into them. . The idea is based on the Disney movie from 1982 called "Tron". If you ever wanted to take a try at one of those speed demons features in the movie, this is your chance. . Armagetron Advanced can be played against AI opponents, against other humans over the network, or a mixture of both. Package: armagetronad-common Source: armagetronad Version: 0.2.8.3.2-1 Installed-Size: 1475 Maintainer: Christine Spang Architecture: all Recommends: armagetronad | armagetronad-dedicated Size: 560310 SHA256: 6674642377c3b7554c36da5fa6dae093c1d90bb4fcc2bbabbbbb781149429d4b SHA1: fc1dac7ecbf4c46c8f99a8fbb7ef790ea35ade95 MD5sum: 649db3ded5f195e4284bf52ba9e7b72f Description: Common files for the Armagetron Advanced packages Armagetron Advanced is a 3D game based on the light cycle racing in the 80s- era movie "Tron". . You have to control a light cycle that can only turn in steps of 90 degrees, leaves a solid wall behind and can not be stopped. The aim is to survive the longest of all players by not crashing into any walls. . This package contains the common configuration files and documentation shared between the armagetronad and armagetronad-dedicated packages. Homepage: http://armagetronad.sourceforge.net/ Tag: game::arcade, role::app-data Section: games Priority: optional Filename: pool/main/a/armagetronad/armagetronad-common_0.2.8.3.2-1_all.deb Package: armagetronad-dedicated Source: armagetronad Version: 0.2.8.3.2-1 Architecture: armhf Maintainer: Christine Spang Installed-Size: 1383 Depends: armagetronad-common (= 0.2.8.3.2-1), adduser, bash (>= 3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4), lsb-base (>= 3.0-6) Homepage: http://armagetronad.sourceforge.net/ Priority: optional Section: games Filename: pool/main/a/armagetronad/armagetronad-dedicated_0.2.8.3.2-1_armhf.deb Size: 773124 SHA256: 34062d4de2858d751559ec3a88a96ba8819d705fdb6d30aeb416eff9e412c21e SHA1: a857538f6b2087337f171a580cf8284c34dbb3c4 MD5sum: 3116ff5d909f323b0321023f7269313c Description: dedicated game server for Armagetron Advanced Armagetron Advanced is a 3D game based on the light cycle racing in the movie "Tron". You have to control a light cycle that can only turn in steps of 90 degrees, leaves a solid wall behind and can not be stopped. The aim is to survive the longest of all players by not crashing into any walls. . This version of Armagetron Advanced can only be used as a network game server. It does not have graphical output and can be controlled via the text console. . The normal Armagetron Advanced used for playing is also capable to serve games, but will require X to display its graphics, will provide a player and is therefore unsuited for permanent game servers. Package: arno-iptables-firewall Version: 2.0.1.c-1 Installed-Size: 637 Maintainer: Michael Hanke Architecture: all Depends: iptables (>= 1.2.11), gawk, debconf (>= 1.3.22) | cdebconf (>= 0.43), debconf (>= 0.5) | debconf-2.0, iproute Recommends: curl, dnsutils, rsyslog (>= 5.8.1-1~) Size: 156674 SHA256: 750682926cb38f9490b88c72595c73b7ee647c69d1ae6508e2ef0e0cb91a7f6b SHA1: 94671f15a3ab8bb7a020ef4d4fbf50f4cd57d2f0 MD5sum: cb423371a84c345e71d57ffc9c7299e4 Description: single- and multi-homed firewall script with DSL/ADSL support Unlike other lean iptables frontends in Debian, arno-iptables-firewall will setup and load a secure, restrictive firewall by just asking a few question. This includes configuring internal networks for internet access via NAT and potential network services (e.g. http or ssh). . However, it is in no way restricted to this simple setup. Some catch words of additional features, that can be enabled in the well documented configuration file are: DSL/ADSL, Port forwarding, DMZ's, portscan detection, MAC address filtering. Homepage: http://rocky.eld.leidenuniv.nl/ Tag: admin::monitoring, implemented-in::shell, network::firewall, role::TODO, security::firewall, use::filtering Section: net Priority: optional Filename: pool/main/a/arno-iptables-firewall/arno-iptables-firewall_2.0.1.c-1_all.deb Package: aroarfw-dev Source: aroarfw Version: 0.1~beta4-5 Installed-Size: 116 Maintainer: Patrick Matthäi Architecture: all Size: 19900 SHA256: 1fe67da18fcf3280a549c2fb47386080c5d23c96128379fee168dcee4f3c3521 SHA1: 8bb39a712b8b0e9a0b80031a79e78ae2e0941829 MD5sum: e2b910979a8c6c4f14850ec63bb019c1 Description: framework to build hardware with RoarAudio protocol support This is a framework used to build hardware with support for the RoarAudio protocol. . This package contains C header files with most important magic numbers, data types and macros to work on RoarAudio messages. . This is not a complete library to access a server. If you search for a library which handles all the stuff for you on UNIX and UNIX like Operating Systems like Debian you better have a look at libroar and libmuroar. Homepage: http://roaraudio.keep-cool.org/ Tag: devel::lang:c, devel::library, hardware::embedded, hardware::input, implemented-in::c, role::devel-lib, sound::midi, sound::mixer, sound::player, sound::recorder, works-with::audio Section: devel Priority: optional Filename: pool/main/a/aroarfw/aroarfw-dev_0.1~beta4-5_all.deb Package: aroarfw-doc Source: aroarfw Version: 0.1~beta4-5 Installed-Size: 1014 Maintainer: Patrick Matthäi Architecture: all Recommends: aroarfw-dev (= 0.1~beta4-5) Size: 275376 SHA256: 052ac48c721a16dc7f5f7d7fdf660cee05ecb6d55cfee33466b210e5fde07d31 SHA1: cd3029798a867e31039065dede6343583178b734 MD5sum: 40a7e9ccac168aeeeb89dcc70672a575 Description: framework to build hardware with RoarAudio protocol support (documentation) This is a framework used to build hardware with support for the RoarAudio protocol. . This package contains documentation with most important magic numbers, data types and macros to work on RoarAudio messages. . This is not a complete library to access a server. If you search for a library which handles all the stuff for you on UNIX and UNIX like Operating Systems like Debian you better have a look at libroar and libmuroar. Homepage: http://roaraudio.keep-cool.org/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/aroarfw/aroarfw-doc_0.1~beta4-5_all.deb Package: arora Version: 0.11.0-1 Architecture: armhf Maintainer: Sune Vuorela Installed-Size: 3592 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0) Priority: extra Section: web Filename: pool/main/a/arora/arora_0.11.0-1_armhf.deb Size: 1445924 SHA256: f8305d199b3137de5a342a65c41b1d5a81a8506e828b21a414ea38a6c68f87f8 SHA1: 4a1a80b8b12d61a67fe8b90266be32a44debaaee MD5sum: 4bd4c22418afdc716caaea71707affd9 Description: simple cross platform web browser simple webkit based webbrowser using Qt toolkit. Originally based on the Qt demo browser to show the possibilities of Qt Webkit. Arora is a very basic browser that supports history and bookmarks. Package: arp-scan Version: 1.8.1-2 Architecture: armhf Maintainer: Tim Brown Installed-Size: 664 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcap0.8 (>= 0.9.8) Recommends: libwww-perl Homepage: http://www.nta-monitor.com/tools/arp-scan/ Priority: extra Section: admin Filename: pool/main/a/arp-scan/arp-scan_1.8.1-2_armhf.deb Size: 260950 SHA256: c0aa28f49093f24fd66f70b2d3e8e041a2c54592b55d9f09af929a68d56df48c SHA1: 337c1c4df8af70363e410554f5dda3971ceeafa9 MD5sum: c2e68e88aeeb53ca9729dae11069ab28 Description: arp scanning and fingerprinting tool arp-scan is a command-line tool that uses the ARP protocol to discover and fingerprint IP hosts on the local network. It is available for Linux and BSD under the GPL licence Package: arpalert Version: 2.0.11-7.1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 1748 Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), adduser Homepage: http://www.arpalert.org/ Priority: optional Section: net Filename: pool/main/a/arpalert/arpalert_2.0.11-7.1_armhf.deb Size: 517074 SHA256: fa3d7594f703649959d33e57550799c29281f028b81688dbf0c4e064e2e6a87b SHA1: db8f65e2414510fb6e96a3116f8cf479fa973e14 MD5sum: 7299f1363add5635b3182bb40e451733 Description: monitor ARP changes in ethernet networks The arpalert daemon listens on a network interface (without using 'promiscuous' mode) and catches all conversations of MAC address to IP request. It then compares the mac addresses it detected with a pre-configured list of authorized MAC addresses. If the MAC is not in list, arpalert launches a pre-defined user script with the MAC address and IP address as parameters. . If you need to use a list of authorized MAC addresses, this package should suit your needs, otherwise arpwatch may be also fine. Package: arping Version: 2.11-1 Architecture: armhf Maintainer: Giuseppe Iuculano Installed-Size: 81 Depends: libc6 (>= 2.13-28), libnet1 (>= 1.1.2.1), libpcap0.8 (>= 0.9.8) Conflicts: iputils-arping, iputils-ping (<< 20001110-6) Homepage: http://www.habets.pp.se/synscan/programs.php?prog=arping Priority: optional Section: net Filename: pool/main/a/arping/arping_2.11-1_armhf.deb Size: 26572 SHA256: b26c33cef02aacb8733f60d12fec8ba84919967d9d1b3a4bd09f7f4db4217c48 SHA1: 0f0f0788604629f897a901259070092850fc5890 MD5sum: 081a66c8dedfde98bafa1cbb43c35deb Description: sends IP and/or ARP pings (to the MAC address) The arping utility sends ARP and/or ICMP requests to the specified host and displays the replies. The host may be specified by its hostname, its IP address, or its MAC address. Package: arpon Version: 2.0-2.1 Architecture: armhf Maintainer: Giuseppe Iuculano Installed-Size: 346 Depends: libc6 (>= 2.13-28), libdumbnet1 (>= 1.8), libnet1 (>= 1.1.2.1), libpcap0.8 (>= 0.9.8), lsb-base Homepage: http://arpon.sourceforge.net Priority: optional Section: net Filename: pool/main/a/arpon/arpon_2.0-2.1_armhf.deb Size: 253006 SHA256: 6abdbc0a5a6194d5738b1c8f7435597830d049cf568c18658bfcb1c43c0cea10 SHA1: feba4eecb3d2c350f07a9dd8b0b6958159f8eed8 MD5sum: fbd4e12d126e4da290584ce5e642f638 Description: versatile anti ARP poisoning daemon ArpON (Arp handler inspectiON) is a portable handler daemon with some nice tools to handle all ARP aspects. It makes Arp a bit safer. This is possible using two kinds of anti Arp Poisoning techniques, the first is based on SARPI or "Static Arp Inspection", the second on DARPI or "Dynamic Arp Inspection" approach. . Features of ArpON include: * Detects and blocks ARP Poisoning/Spoofing attacks in statically configured networks (SARPI) * Detects and blocks ARP Poisoning/Spoofing attacks in dynamically configured (DHCP) networks (DARPI) * Detects and blocks unidirectional and bidirectional ARP attacks * Easily configurable via command line switches * Works in userspace * Can be a passive sniffer and capture all inbound/outbound ARP packets Package: arptables Version: 0.0.3.4-1 Architecture: armhf Maintainer: Jochen Friedrich Installed-Size: 92 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0) Homepage: http://ebtables.sourceforge.net Priority: optional Section: net Filename: pool/main/a/arptables/arptables_0.0.3.4-1_armhf.deb Size: 33764 SHA256: bddb4700beaae8274abde8b1e1a5da21ea9032ec7dd05299b9e41e13d1a6321e SHA1: 51960d0e65c4743cac8603e2539ff09a18e08dae MD5sum: d0da8dffa6e9e95a991412dccc538572 Description: ARP table administration Arptables is used to set up, maintain, and inspect the tables of ARP rules in the Linux kernel. It is analogous to iptables, but operates at the ARP layer rather than the IP layer. Package: arpwatch Version: 2.1a15-1.2 Architecture: armhf Maintainer: KELEMEN Péter Installed-Size: 529 Depends: adduser, libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8) Homepage: http://ee.lbl.gov/ Priority: optional Section: admin Filename: pool/main/a/arpwatch/arpwatch_2.1a15-1.2_armhf.deb Size: 186508 SHA256: f3f11c5bd7a28160b4012ffc4bd637a2f24ccfab7efcaa1c54819808b2fd9fbb SHA1: eeb3013d5dff31a85408fc960e2ed8935f012a91 MD5sum: f6be592a820fad6cb24ce0ab5c8d4b5f Description: Ethernet/FDDI station activity monitor Arpwatch maintains a database of Ethernet MAC addresses seen on the network, with their associated IP pairs. Alerts the system administrator via e-mail if any change happens, such as new station/activity, flip-flops, changed and re-used old addresses. Package: array-info Version: 0.15-1 Architecture: armhf Maintainer: Raphael Pinson Installed-Size: 88 Depends: libc6 (>= 2.13-28) Priority: optional Section: admin Filename: pool/main/a/array-info/array-info_0.15-1_armhf.deb Size: 18734 SHA256: 83623b5a2927b7f3f06eef6818970d29f737ff3634714096a43ac9959b64812b SHA1: 7de63503355586561aeba709a761cbca0d4fbd82 MD5sum: 893f0fb3f0ad47e863a5c1dd1b1a39b3 Description: command line tool reporting RAID status for several RAID types Array-info is a command line tool to retrieve informations and logical drives status from several RAID controllers (currently HP Compaq IDA and CISS, and MD Software RAID). . It displays informations about the firmware version, Rom revision, number of physical and logical drives on the controller, as well as the fault tolerance, size, number of physical disks and status for each logical drive. . Homepage: http://sourceforge.net/projects/array-info Package: artha Version: 1.0.2-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 198 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libx11-6, wordnet (>= 3.0) Recommends: wordnet-sense-index, libnotify1, libenchant1c2a Suggests: aspell-en Homepage: http://artha.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/a/artha/artha_1.0.2-1_armhf.deb Size: 70836 SHA256: 896c43a545bdf03f9bb2d44d9750c869abdd81c6a34d51ba0259fbd6595bedfc SHA1: ef5ac0354631f64bd46082f09f3f0a07ba30b4af MD5sum: b00f6752d3a401e7043490e78a44612d Description: Handy off-line thesaurus based on WordNet Artha is a off-line English thesaurus with distinct features like: * hot-key press word look-up (select text on any window and press a preset hot-key for look-up) * regular expressions based search (broaden search using wild-cards like *, ?, etc.) * passive desktop notifications (of word definitions for uninterrupted work-flow) * spelling suggestions (when the exact spelling is vague/not known) . Once launched, it monitors for a preset hot-key combination. When some text is selected on any window and the hot-key is pressed, it pops-up with the word looked-up. Should the user prefer passive notifications, this can be done by enabling the notifications option. . When the term looked for is vague/not known, then either the search can be broadened with the use of regular expressions (*, ?, etc.) in the search string or spelling suggestions when a term is incorrect. . For regular expressions based search to work, wordnet-sense-index package is required. Package: as31 Version: 2.3.1-6 Architecture: armhf Maintainer: Bdale Garbee Installed-Size: 78 Depends: libc6 (>= 2.11) Priority: optional Section: devel Filename: pool/main/a/as31/as31_2.3.1-6_armhf.deb Size: 25368 SHA256: b3566b2578febb6dbc12c03429e1325b9308ee36d91bdb96df8cea791965b916 SHA1: d688ddbf7a5d1705f0a93f8d3e82ceff92e7f50f MD5sum: dd83faf53d51341b12a00d35ab765d51 Description: Intel 8031/8051 assembler This is a fast, simple, easy to use Intel 8031/8051 assembler. Package: asc Version: 2.4.0.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 7798 Depends: libboost-regex1.49.0 (>= 1.49.0-1), libbz2-1.0, libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), liblua5.1-0, libphysfs1 (>= 1.1.1), libpng12-0 (>= 1.2.13-4), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-sound1.2 (>= 1.0.1), libsdl1.2debian (>= 1.2.11), libsigc++-1.2-5c2, libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), zlib1g (>= 1:1.1.4), asc-data (>= 2.4.0.0) Recommends: asc-music Homepage: http://www.asc-hq.org Priority: optional Section: games Filename: pool/main/a/asc/asc_2.4.0.0-3_armhf.deb Size: 3550888 SHA256: 62887aed2bb7050cbc23108f88d83e2f7c23a8cd7e3f60690eb8844ac5666606 SHA1: c59f11b61447225475d401802019b52b17dba6d5 MD5sum: a63a2fb0674966ac1c44c2d441c96218 Description: turn-based strategy game Advanced Strategic Command is a free strategy game in the tradition of Battle Isle 2/3. The game is turn-based and can be played against human or computer. Package: asc-data Source: asc Version: 2.4.0.0-3 Installed-Size: 28783 Maintainer: Debian Games Team Architecture: all Suggests: asc Size: 28322918 SHA256: eca9a2ac7aff53b9e460a3cde088b87df0a35811f4b951a94a6e9954464a0521 SHA1: ef7637fdd5f3dcb3bbcdc52d13432c9664f82c71 MD5sum: 7c31c9461e960b6614d7da3e34fdd340 Description: data files for the Advanced Strategic Command game Advanced Strategic Command is a free strategy game in the tradition of BattleIsle 2/3. The game is turn-based and can be played against human or computer. . This package contains the data files for the ASC game. Homepage: http://www.asc-hq.org Tag: role::app-data Section: games Priority: optional Filename: pool/main/a/asc/asc-data_2.4.0.0-3_all.deb Package: asc-music Version: 1.3-2 Installed-Size: 10380 Maintainer: Debian Games Team Architecture: all Depends: asc Enhances: asc Size: 10396630 SHA256: 30b06de7559fd2dcbdccc09338226e3df84874a6f81d532c92c9655ef311f5f3 SHA1: 15413e3f500152f9190bb6c6b57c4ddf5a396dcf MD5sum: 4b9e4cb3266ee1443134b47100816408 Description: music pack for ASC This is a music pack for the Advanced Strategic Command game. If ASC detects the presence of these tracks it plays them during game. . Composed by Michael Kievernagel. Homepage: http://www.asc-hq.de/ Tag: game::strategy, role::app-data Section: games Priority: optional Filename: pool/main/a/asc-music/asc-music_1.3-2_all.deb Package: ascd Version: 0.13.2-5 Architecture: armhf Maintainer: Fredrik Hallenberg Installed-Size: 342 Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4, imagemagick Priority: optional Section: sound Filename: pool/main/a/ascd/ascd_0.13.2-5_armhf.deb Size: 192514 SHA256: edea52f8230179a39a5f2ee54ac06c13260481f6660764ba92660848f130e855 SHA1: 8f6fa840413451577a581d0def7e3160beca9ed5 MD5sum: b9440a5abfa0d04faf8f72cc3a736039 Description: CD player and mixer AScd is a small CD player and mixer that can be "docked" with AfterStep and WindowMaker window managers. Package: ascdc Version: 0.3-14 Architecture: armhf Maintainer: Fredrik Hallenberg Installed-Size: 78 Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4 Suggests: xmcd Priority: optional Section: sound Filename: pool/main/a/ascdc/ascdc_0.3-14_armhf.deb Size: 20538 SHA256: c6044ce1e5b617f15f2854e7fae9c87045af3bc86a5076ddca76a7e7ca64ff39 SHA1: 9727c5e97905d2a12ad1eaa22750a0c807cf4c96 MD5sum: dbf2437253bf9c5d2b02fac3a3c7de85 Description: AfterStep CD changer ascdc is a small CD changer. It follows the look and feel of the AfterStep window manager and is ideally suited to be run within AfterStep's Wharf module. Package: ascii Version: 3.11-1 Architecture: armhf Maintainer: Florian Ernst Installed-Size: 69 Depends: libc6 (>= 2.13-28) Homepage: http://www.catb.org/~esr/ascii/ Priority: optional Section: utils Filename: pool/main/a/ascii/ascii_3.11-1_armhf.deb Size: 17832 SHA256: d17950f2b0c9ead6c4f0249889d3009c7c8b44b685e2e72cf60da19fec162b19 SHA1: 325c08094cc677ae41f2d6ffb7ba7bbf44e53077 MD5sum: 238bf04ad3503e88f6a1af0f96738577 Description: interactive ASCII name and synonym chart The ascii utility provides easy conversion between various byte representations and the American Standard Code for Information Interchange (ASCII) character table. It knows about a wide variety of hex, binary, octal, Teletype mnemonic, ISO/ECMA code point, slang names, XML entity names, and other representations. Given any one on the command line, it will try to display all others. Called with no arguments it displays a handy small ASCII chart. Package: ascii2binary Version: 2.14-1 Architecture: armhf Maintainer: Mohammed Sameer Installed-Size: 73 Depends: libc6 (>= 2.4) Homepage: http://billposer.org/Software/a2b.html Priority: optional Section: misc Filename: pool/main/a/ascii2binary/ascii2binary_2.14-1_armhf.deb Size: 19900 SHA256: d7a3c478c9fb25a519d6a7d767f60345d6a1e1f4e7e0374c6870e4f868e3bc96 SHA1: ff4ff8ed4fc2708c51a230f8eee7a1dc1201a0f3 MD5sum: e3d8d95b74ee9bfa81e3f8ef70b677e9 Description: Convert between ASCII, hexadecimal and binary representations This package contains: * ascii2binary reads input consisting of ascii or hexadecimal representation numbers separated by whitespace and produces as output the binary equivalents. The type and precision of the binary output is selected using command line flags. * binary2ascii reads input consisting of binary numbers and converts them to their ascii or hexadecimal representation. Command line flags specify the type and size of the binary numbers and provide control over the format of the output. Unsigned integers may be written out in binary, octal, decimal, or hexadecimal. Signed integers may be written out only in binary or decimal. Floating point numbers may be written out only decimal, either in standard or scientific notation. (If you want to examine the binary representation of floating point numbers, just treat the input as a sequence of unsigned characters.) . The two programs are useful for generating test data, for inspecting binary files, and for interfacing programs that generate textual output to programs that require binary input and conversely. They can also be useful when it is desired to reformat numbers. Package: asciidoc Version: 8.6.7-1 Installed-Size: 3165 Maintainer: Fredrik Steen Architecture: all Depends: python (>= 2.4) Recommends: docbook-utils, xmlto, dblatex, libxml2-utils Suggests: vim-addon-manager, source-highlight Size: 1422460 SHA256: ca997bcb745fbbd0c605469f8f6fe974d29ac19b9dda0034b60d47529599104a SHA1: 26cf3f9d595b8aaaa66392660c0a3accf7778e21 MD5sum: bbf07c615f2de45ccfd7844b297a9196 Description: Highly configurable text format for writing documentation AsciiDoc is a text document format for writing articles, books, manuals and UNIX man pages. AsciiDoc files can be translated to HTML (with or without stylesheets), DocBook (articles, books and refentry documents) and LinuxDoc using the asciidoc command. AsciiDoc can also be used to build and maintain websites. . You write an AsciiDoc document the same way you would write a normal text document, there are no markup tags or weird format notations. AsciiDoc files are designed to be viewed, edited and printed directly or translated to other presentation formats Multi-Arch: foreign Homepage: http://www.methods.co.nz/asciidoc/ Tag: devel::docsystem, implemented-in::python, interface::commandline, role::program, use::converting, use::text-formatting, works-with::text Section: text Priority: optional Filename: pool/main/a/asciidoc/asciidoc_8.6.7-1_all.deb Package: asciijump Version: 1.0.2~beta-6 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 179 Depends: libc6 (>= 2.13-28), libslang2 (>= 2.0.7-1) Homepage: http://asciijump.prv.pl/ Priority: optional Section: games Filename: pool/main/a/asciijump/asciijump_1.0.2~beta-6_armhf.deb Size: 40648 SHA256: d2d89834e72da9ada9d12ce3fc9835273d5fac810323c1c7cd7aef8c51f44978 SHA1: 74c4dd503d3da56f7842935a7bc5299afeb9930a MD5sum: 2fc3ca97ef0be9db18992d3e4c28e60b Description: Small and funny ASCII-art game about ski jumping This is a very funny multiplayer game, you can play with friends or against the computer. There are two modes of playing: World Cup and Training. You will be able to select from a few hills, for example czumulungma and finland. Package: asciio Version: 1.02.71-1 Installed-Size: 468 Maintainer: David Paleino Architecture: all Depends: perl (>= 5.9.3), libeval-context-perl, libcompress-bzip2-perl, libgtk2-perl, libdata-treedumper-renderer-gtk-perl, libdata-treedumper-perl, liblist-moreutils-perl, libalgorithm-diff-perl, libfile-slurp-perl, libglib-perl, libreadonly-perl, libmodule-util-perl, libclone-perl Size: 75058 SHA256: 8f8a9255050bc84dec4347237576c17fc71122efdaa24f64b0b2096b8f89da0d SHA1: a596fed35dfc1fb7a33e7b05cf59faaf4f77df8e MD5sum: 647078be52345b93781091727617b28a Description: dynamically create ASCII charts and graphs with GTK+2 This gtk2-perl application allows you to draw ASCII diagrams in a modern (but simple) graphical application. The ASCII graphs can be saved as ASCII or in a format that allows you to modify them later. Homepage: http://search.cpan.org/dist/App-Asciio/ Tag: implemented-in::perl, role::program, uitoolkit::gtk Section: utils Priority: optional Filename: pool/main/a/asciio/asciio_1.02.71-1_all.deb Package: asclock Version: 2.0.12-23 Architecture: armhf Maintainer: Helge Kreutzmann Installed-Size: 132 Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4, asclock-themes Suggests: wmaker | afterstep Replaces: gnome-panel-data Priority: optional Section: x11 Filename: pool/main/a/asclock/asclock_2.0.12-23_armhf.deb Size: 40716 SHA256: 84722d04369e990bdbf1c0f6de9a760c7fab5b9f1f7b74bf977429ad3432d610 SHA1: 723c437d0456e550b05d8e986cf2ef6240cb497d MD5sum: 1526c018c016825da6e33b9f80971b13 Description: clock designed with the NeXTStep look This little application displays the time of the day (digital, either 12 or 24 hour format), and the date. It provides three alternatives: one suitable for low color systems, one with "real" NeXTStep colors, and the third one is somewhat in between. Package: asclock-themes Source: asclock Version: 2.0.12-23 Installed-Size: 999 Maintainer: Helge Kreutzmann Architecture: all Suggests: asclock Size: 240482 SHA256: af15f97a70c3c6dbce4e89910ecec84889b6e881ee44823daa80cb97a52a98cf SHA1: 1b4f0455d45884c1f200248b47f712fe80e6fe60 MD5sum: 1466c15b24796d62d483b3362ea444a5 Description: Theme files for ASclock, a clock applet This package provides various themes for the various ASclock packages, among them a classic theme, which mimics the original ASclock application. Tag: role::app-data, suite::gnustep, x11::theme Section: x11 Priority: optional Filename: pool/main/a/asclock/asclock-themes_2.0.12-23_all.deb Package: ash Source: dash Version: 0.5.7-3 Architecture: all Maintainer: Gerrit Pape Installed-Size: 66 Pre-Depends: dash Homepage: http://gondor.apana.org.au/~herbert/dash/ Priority: optional Section: shells Filename: pool/main/d/dash/ash_0.5.7-3_all.deb Size: 29106 SHA256: 5ce257afa0eec8ba4024a3badf46d5d174b90fd3fbe2e6c05aca8b3a4252fbd1 SHA1: 500bdcb85e128eae74e8a436c6a635c2801a7d9c MD5sum: 63fbd175e3efc0efdbebca74d90c996c Description: compatibility package for dash This package allows upgrading ash to its replacement, dash. It includes the /bin/ash symlink. It can be removed as soon as /bin/ash is no longer used. Package: asis-doc Source: asis Version: 2010-5 Installed-Size: 1778 Maintainer: Ludovic Brenta Architecture: all Depends: dpkg (>= 1.15.4) | install-info Suggests: gnat Size: 1046088 SHA256: e291b0488ca7180ba1ce634c9001904ca40bb929c297f5c64bd72c4c9e66973a SHA1: e9ab0c13594c6032d340f65088d78ff118194512 MD5sum: c73dfdc83cf8b50a231fff5f86037f9e Description: Ada Semantic Interface Specification (ASIS) documentation ASIS (Ada Semantic Interface Specification) lets you develop applications to walk through the sources of your Ada programs and examine the semantic constructs. . This package contains the documentation in HTML, info, PDF and plain text formats. Tag: devel::lang:ada, made-of::TODO, made-of::html, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/a/asis/asis-doc_2010-5_all.deb Package: asis-programs Source: asis Version: 2010-5 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 4028 Depends: gnat, gnat-4.6, libasis2010, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnat-4.6 (>= 4.6.3-4+rpi2), libgnatvsn4.6 (>= 4.6.3-4+rpi2) Priority: optional Section: devel Filename: pool/main/a/asis/asis-programs_2010-5_armhf.deb Size: 1810712 SHA256: b7b12ffaaf23fd46d572fa6cfb3736fb2b0a86060d4946c0cf2efe538dee6b8e SHA1: b28459331514d4068a3e3000beb5de73de7c8cb1 MD5sum: e6d2a867adfc65fe38215cb4323b771e Description: Ada Semantic Interface Specification (ASIS) example programs ASIS (Ada Semantic Interface Specification) lets you develop applications to walk through the sources of your Ada programs and examine the semantic constructs. . * asistant is an interactive command-line tool to explore the ASIS parse tree of a program. * gnatcheck verifies the conformance of source text to coding conventions * gnatelim finds out unused subprograms and eliminates them. * gnatmetric calculates metrics such as code complexity. * gnatpp is a pretty-printer which reformats Ada source text according to a default or user-specified style guide. * gnatstub generates an empty but compilable body for a given specification. * gnatsync analyzes multitasking programs to find possible race conditions. Package: asmail Version: 2.1-3 Architecture: armhf Maintainer: Fredrik Hallenberg Installed-Size: 596 Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4 Priority: optional Section: mail Filename: pool/main/a/asmail/asmail_2.1-3_armhf.deb Size: 221770 SHA256: 8627bf94d991236346cb3ae1e069b73fda3cd6e30cd07600ce7717f576fb0235 SHA1: 2e5e8d401804fba547641acd688f1af07858f392 MD5sum: 7ebe439f15219717b8f9cb218cd2128e Description: AfterStep mail monitor asmail is a small mail monitor similar to xbiff. It follows the AfterStep window manager's look and feel and is ideally suited to be run within AfterStep's Wharf module. Package: asmix Version: 1.5-4.1 Architecture: armhf Maintainer: Varun Hiremath Installed-Size: 66 Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4 Homepage: http://www.tigr.net/afterstep/view.php?applet=asmix/data Priority: optional Section: x11 Filename: pool/main/a/asmix/asmix_1.5-4.1_armhf.deb Size: 17976 SHA256: d54848cd2e58084dbefb2a93061a7a74998012426fcabd865a378de6baa7c5ff SHA1: a805d920f8ae491281f517473f7e850d6355b530 MD5sum: 60144098246738d42ab44c9c7d4c08ad Description: display a volume knob The volume knob adjusts the master volume of your sound card. Just grab the knob with the left button of your mouse and drag it around. Package: asmixer Version: 0.5-14 Architecture: armhf Maintainer: Fredrik Hallenberg Installed-Size: 56 Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4 Priority: optional Section: sound Filename: pool/main/a/asmixer/asmixer_0.5-14_armhf.deb Size: 12422 SHA256: 1c0cc6ee350b18bf53a3b50e92a9456e624d599d452680d6cc6337f9bb0f158d SHA1: e0beb723c7ffece794124126afde63a191eebbf0 MD5sum: 09dc51364eb521d92cb11570e1b2203a Description: AfterStep audio mixer asmixer is a small audio mixer. It follows the look and feel of the AfterStep window manager and is ideally suited to be run within AfterStep's Wharf module. Package: asmon Version: 0.71-5 Architecture: armhf Maintainer: Eric Evans Installed-Size: 73 Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4 Homepage: http://rio.vg/asmon/ Priority: optional Section: x11 Filename: pool/main/a/asmon/asmon_0.71-5_armhf.deb Size: 17012 SHA256: f5971ca385affc35646e2021d0b164166247fbaf2b18c134fd3869dcd79b5b5e SHA1: 681c4d647b5e383020e5a9df494e6bffcb067e72 MD5sum: af25410e8bef251224f1e8d9a02a20f6 Description: system resource monitor dockapp for Afterstep and WindowMaker Asmon is a wharfable/dockable application that with meters detailing CPU, memory, swap, and X mem usage. It also includes the exact numbers for load average, mem, swap and X mem usage. Asmon was developed to use very little CPU itself. Package: asp Version: 1.8-8 Architecture: armhf Maintainer: Roland Stigge Installed-Size: 75 Depends: libc6 (>= 2.4) Homepage: https://sourceforge.net/projects/asp/ Priority: extra Section: net Filename: pool/main/a/asp/asp_1.8-8_armhf.deb Size: 18190 SHA256: 30ab29b23a7151994f16b5da35400d390ca9952e056a4f022c4487017eeecf70 SHA1: 63ad9a66ee366bba47342e85a66cf382200b3bcd MD5sum: 56aa4263a22a2ba7eb79f5102e982986 Description: Discovers present ip-address of dynamically connected hosts Asp discovers the present ip address of a host that has a dynamically assigned ip-address. This is useful when you want to connect to dynamic hosts, or to help others find you (in which case you run asp as a server). Package: asp.net-examples Source: xsp Version: 3.0.11-1~rpi1 Architecture: all Maintainer: Debian Mono Group Installed-Size: 418 Depends: mono-runtime (>= 1.1.8.1), libmono-corlib2.0-cil (>= 3.2.1), libmono-sqlite2.0-cil (>= 3.0.6), libmono-system-data2.0-cil (>= 3.0.6), libmono-system-web2.0-cil (>= 2.10.3), libmono-system2.0-cil (>= 3.2.1), mono-xsp2 | mono-apache-server2 | mono-fastcgi-server2 Conflicts: asp.net2-examples Replaces: asp.net2-examples Homepage: http://www.mono-project.com/ASP.NET Priority: optional Section: web Filename: pool/main/x/xsp/asp.net-examples_3.0.11-1~rpi1_all.deb Size: 124148 SHA256: 37de669b32ff1b8aa46825c0263652c508a643f8edc7b976060b806b3a655918 SHA1: dffc74ac962a431fe466a5dcb7769e7d22e5e1ed MD5sum: 411372bb0ff70323cfa059dae16b98db Description: demo pages for ASP.NET infrastructure Sample set of .aspx pages to test the XSP server or the mod_mono Apache module. Package: aspcud Version: 2011.03.17.dfsg-6 Architecture: armhf Maintainer: Ralf Treinen Installed-Size: 333 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), gringo, clasp (>= 2.0.0) Provides: cudf-solver Homepage: http://www.cs.uni-potsdam.de/wv/aspcud/ Priority: extra Section: admin Filename: pool/main/a/aspcud/aspcud_2011.03.17.dfsg-6_armhf.deb Size: 108490 SHA256: f2e3825b06a373367e2ddfe90f4e0142e749ee0035d982b4ee1266bbac962b54 SHA1: 335ebc8e5438a02316d7afe649b1a055911be5e5 MD5sum: c0529b183c58f8214e365addad3b038f Description: CUDF solver based on Answer Set Programming Aspcud is an experimental solver for package dependencies. A package universe and a request to install, remove or upgrade packages have to be encoded in the CUDF format. Package: aspectc++ Version: 1:1.1+svn20120529-2 Architecture: armhf Maintainer: Reinhard Tartler Installed-Size: 1990 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4), g++ Homepage: http://www.aspectc.org Priority: optional Section: devel Filename: pool/main/a/aspectc++/aspectc++_1.1+svn20120529-2_armhf.deb Size: 834098 SHA256: 0abc680d42ebce9fcccda02801c85c5f7422256db9eaaf717b9331907e330a03 SHA1: 24c9db1b3ec47879f03dc504cf2db6660c7ede31 MD5sum: 2d2712f4f194fc1c9fcbe93754a2706d Description: aspect-oriented programming extension for C++ AspectC++ supports Aspect-Oriented Programming with C++, by providing: . - an aspect language extension to C++. - an aspect weaver that does source-to-source translation. . AspectC++ provides for C++ similar features like its best known cousin, AspectJ, provides for Java. Package: aspectj Version: 1.6.12+dfsg-3 Installed-Size: 108 Maintainer: Debian Java Maintainers Architecture: all Depends: libaspectj-java (= 1.6.12+dfsg-3), default-jre-headless | java2-runtime-headless Size: 63856 SHA256: 9e9515526685ab23c2e6c2ead1efe48038959bed1d643a2ac49873fe122c09f0 SHA1: 041d3cd1efd4ffdfc3fad8da6c81dd24a29002db MD5sum: e96203febd00739342395c1c8d87bd40 Description: aspect-oriented extension for Java - tools AspectJ enables the clean modularization of crosscutting concerns such as: error checking and handling, synchronization, context-sensitive behavior, performance optimizations, monitoring and logging, debugging support, multi-object protocols. . This package provides the CLI tools of aspectj (aj5, ajc, ajbrowser). Homepage: http://www.eclipse.org/aspectj Tag: devel::lang:java, implemented-in::java, interface::commandline, role::program, scope::utility Section: java Priority: optional Filename: pool/main/a/aspectj/aspectj_1.6.12+dfsg-3_all.deb Package: aspectj-doc Source: aspectj Version: 1.6.12+dfsg-3 Installed-Size: 2130 Maintainer: Debian Java Maintainers Architecture: all Suggests: libaspectj-java-doc Size: 555808 SHA256: d799643f3cea2cc72d63a6fe0b405103bd9b7540408be585307453a2cdf6b557 SHA1: 9a9697927bdf019b6da59de4c6ec1004bc7ceade MD5sum: 00c67ac6e1b9fbe607876fcb6f7a9e4a Description: aspect-oriented extension for Java - documentation AspectJ enables the clean modularization of crosscutting concerns such as: error checking and handling, synchronization, context-sensitive behavior, performance optimizations, monitoring and logging, debugging support, multi-object protocols. . This package provides AspectJ Programming Guide, Diagnosis Guide and Development Environment Guide and Development Kit Developer's Notebook. Homepage: http://www.eclipse.org/aspectj Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/aspectj/aspectj-doc_1.6.12+dfsg-3_all.deb Package: aspell Version: 0.60.7~20110707-1 Architecture: armhf Maintainer: Brian Nelson Installed-Size: 1233 Depends: libaspell15 (= 0.60.7~20110707-1), libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libncursesw5 (>= 5.6+20070908), libstdc++6 (>= 4.4.0), libtinfo5, dictionaries-common (>> 0.40) Recommends: aspell-en | aspell-dictionary | aspell6a-dictionary Suggests: aspell-doc, spellutils Breaks: aspell-bin (<< 0.60.3-2) Replaces: aspell-bin (<< 0.60.3-2), aspell-hi (<= 0.01-1), aspell-mr (<= 0.10-1) Provides: aspell-bin Homepage: http://aspell.net/ Priority: optional Section: text Filename: pool/main/a/aspell/aspell_0.60.7~20110707-1_armhf.deb Size: 359668 SHA256: 64c5ff5160fd7841150d5a39aebaf696e5bf3be406a62beccc78b36d3ce0ad00 SHA1: 9bbcea3f86eae10e359bfb12ae10b77a58d72065 MD5sum: 933032ab90a4e60757b8e107bec9863d Description: GNU Aspell spell-checker GNU Aspell is a spell-checker which can be used either as a standalone application or embedded in other programs. Its main feature is that it does a much better job of suggesting possible spellings than just about any other spell-checker available for the English language, including Ispell and Microsoft Word. It also has many other technical enhancements over Ispell such as using shared memory for dictionaries and intelligently handling personal dictionaries when more than one Aspell process is open at once. . Aspell is designed to be a drop-in replacement for Ispell. Package: aspell-am Version: 0.03-1-4 Installed-Size: 176 Maintainer: Lior Kaplan Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60), dictionaries-common (>= 0.70.0) Size: 47066 SHA256: b377d9096d6d9637905a5916535925cfe9decf9d87e5b3f7d1b67192a98a8e32 SHA1: 2ff67585f095b99f84c08dd02c729fabcbc2f510 MD5sum: 5cb7c503bfc67da872a393c798a5dee1 Description: Amharic dictionary for aspell This package contains all the required files to add support for Amharic language to aspell spell checker. Homepage: ftp://ftp.gnu.org/gnu/aspell/dict/am/ Tag: made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-am/aspell-am_0.03-1-4_all.deb Package: aspell-ar Version: 0.0.20060329-4 Installed-Size: 200 Maintainer: Debian Arabic Packaging Team Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.4), dictionaries-common (>= 0.70.2) Size: 107372 SHA256: dc0c5b8b05b854c72bada5f71ac5cb7ab5687c809479919d83f7163185156e70 SHA1: 4c04647d254be10d36c4134b66f3921b820c0713 MD5sum: 1d6b75da187015086fd4f943c2595ae2 Description: Arabic dictionary for aspell This package contains all the required files to add support for Arabic language to aspell spell checker. Homepage: http://foolab.org/projects/arspell/ Tag: culture::arabic, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-ar/aspell-ar_0.0.20060329-4_all.deb Package: aspell-ar-large Version: 1.2-0-2 Installed-Size: 2332 Maintainer: Debian Arabic Packaging Team Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.4), dictionaries-common (>= 0.9.1) Size: 2225290 SHA256: 39968f652563d950ba51eb1fa5a3149c792887c2910c5327076d1e279e4ed2b8 SHA1: 0661274695fbc4eaf5195268623e290dd4bcff78 MD5sum: f4052fa75c611b5bdb4de3ec77fea117 Description: Large Arabic dictionary for aspell This is a large Arabic dictionary for Aspell by Google. The original word list used for this package was generated using The Buckwalter Arabic Morphological Analyzer Version 1.0. . This package is huge so you might experience some performance degradation with aspell. Homepage: http://ftp.gnu.org/gnu/aspell/dict/ar/ Tag: culture::arabic, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-ar-large/aspell-ar-large_1.2-0-2_all.deb Package: aspell-bg Source: bgoffice Version: 4.1-3 Installed-Size: 744 Maintainer: Damyan Ivanov Architecture: all Provides: aspell-dictionary Depends: aspell, dictionaries-common Size: 652232 SHA256: 95936dd61fcffb104f2aeadf9d25b426d21ae1ed802b66bfa0eb05d2e7f96373 SHA1: 5824ce225a1e631cfdd0a9b3ce7a5b17df1cc98d MD5sum: 763dee4ecddc44b4b7688c53a6101cb4 Description: Bulgarian dictionary for aspell This package contains all the required files to add support for Bulgarian language to the GNU Aspell spell checker. . It contains both Bulgarian-only dictionary and a mixed Bulgarian + English one useful for checking the spelling of mixed texts. Homepage: http://bgoffice.sourceforge.net/ Tag: culture::bulgarian, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/b/bgoffice/aspell-bg_4.1-3_all.deb Package: aspell-bn Version: 1:0.01.1-1-2 Installed-Size: 2065 Maintainer: Debian-IN Team Architecture: all Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.9.1) Size: 949346 SHA256: 1db64a6905f44ccda2b62af14614c276e72e3f31d6473414b519a858b6fba59a SHA1: ba00dc215bff717fa65e1b87c2688d6815784b62 MD5sum: 5bbcb0182c0fd06b133e94ab9184eb6d Description: Bengali (bn) dictionary for GNU aspell This package contains the required files to add support for the Bengali (bn) language to the GNU Aspell spell checker. . This list is developed by the Ankur Group. Homepage: http://www.bengalinux.org/ Tag: culture::bengali, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-bn/aspell-bn_0.01.1-1-2_all.deb Package: aspell-br Version: 0.50-2-6 Installed-Size: 180 Maintainer: Brian Nelson Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.49.2) Suggests: aspell-bin Size: 66216 SHA256: 391070abb636ded92bc3deb0ce416a07cb3d51bfb5f3a5aaa5dcdbd31e8c6544 SHA1: 065e28f98bab63d01ebade2b1211724c7285b3ed MD5sum: facbf7353be2ba8dee9ca8c0b99cf2d6 Description: Breton dictionary for GNU Aspell This package contains all the required files to add support for the Breton language to the GNU Aspell spell checker. Tag: made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-br/aspell-br_0.50-2-6_all.deb Package: aspell-ca Source: softcatala-spell Version: 0.20111230b-4 Installed-Size: 387 Maintainer: Jordi Mallach Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-3), dictionaries-common (>= 1.1) Size: 319100 SHA256: 2b6677a32338f8ad3231774276c0e3a3acfa7af93d6c63304d33b3e2d0ac803d SHA1: 55ed72827fbc150e62b235730226a00810f8ad74 MD5sum: a206e1f05c0ea01c8f916312e8cf3a5c Description: Catalan dictionary for aspell This package contains all the required files to add support for the Catalan language to the GNU Aspell spell checker. . It was put together by Joan Moratinos using data from different sources. Homepage: http://www.softcatala.org/wiki/Corrector_ortogr%C3%A0fic Tag: culture::catalan, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/s/softcatala-spell/aspell-ca_0.20111230b-4_all.deb Package: aspell-cs Version: 0.51.0-1 Installed-Size: 1035 Maintainer: Miroslav Kure Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-3), dictionaries-common (>= 0.49.2) Size: 1002246 SHA256: c20b6db411756e260c4f00913db06c72b9cee4a09c19f56192cc551b546f8716 SHA1: f81083dddc49fc009121bdfc8aeb854a97e83097 MD5sum: 341068374e70b73346ba5ee09ae59d76 Description: Czech dictionary for GNU Aspell This package contains all the required files to add support for the Czech language to the GNU Aspell spell checker. Tag: culture::czech, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-cs/aspell-cs_0.51.0-1_all.deb Package: aspell-cy Version: 0.50-3-6 Installed-Size: 252 Maintainer: Brian Nelson Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.49.2) Size: 157024 SHA256: c5469efa2ff2648ffb3e9c28ce7ef32e99a6127685e9b74420a6a4ee4b33a604 SHA1: 959d3c8676f553f5bc41a9fc4eec535f5e658f6a MD5sum: 336cdf3ba2631cd8ab4f1a2a05e36a0b Description: Welsh dictionary for GNU Aspell This package contains all the required files to add support for the Welsh language to the GNU Aspell spell checker. Tag: culture::welsh, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-cy/aspell-cy_0.50-3-6_all.deb Package: aspell-da Source: dsdo Version: 1.6.25-1.1 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 10478 Depends: aspell Provides: aspell6a-dictionary Homepage: http://da.speling.org/ Priority: optional Section: text Filename: pool/main/d/dsdo/aspell-da_1.6.25-1.1_armhf.deb Size: 3806586 SHA256: c88c7ebcfbc9151f765cf9d2a713168779607b32b3b7100f724ffa4a781d6292 SHA1: 65eafd83e64ad7e95e43c5d1eb4acbbb5d9050f0 MD5sum: e0d0ba0325a2680ed557b8797fe45650 Description: The Comprehensive Danish Dictionary (DSDO) - aspell The Comprehensive Danish Dictionary (DSDO) is a free spell-checking dictionary for Danish published by Skaane Sjaelland Linux User Group (SSLUG). One thing which makes this dictionary different from most other dictionaries is that it basically is the result of a vote among the proof-readers. The editorial group has _not_ proof-read all the words in the dictionary, but guides the proof-readers and keeps track of the overall status of the dictionary. . This is the Danish dictionary, to be used with aspell to check and correct spelling in Danish texts. Package: aspell-de Source: igerman98 Version: 20120607-1 Installed-Size: 359 Maintainer: Roland Rosenfeld Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.5-2), dictionaries-common (>= 0.9.1) Size: 331476 SHA256: db7a362054daedc2b88468f9a3efd3d4b1b3f4040d25b3ab15fcc9bd16d0f83f SHA1: 86211ea4e1aec6461e686a7b8884fda15b2c04a4 MD5sum: 6bb2b898f5e77ac3972c17d7446a53e6 Description: German dictionary for aspell This package contains German dictionaries for the aspell spell checker. . Dictionaries included are: de_DE (de/deutsch/german), de_CH (swiss), and de_AT, all using the new German orthography from 1996 (neue Rechtschreibung). . The old (1901) spelling is provided by aspell-de-alt. Homepage: http://j3e.de/ispell/igerman98/ Tag: culture::german, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/i/igerman98/aspell-de_20120607-1_all.deb Package: aspell-de-alt Source: hkgerman Version: 1:2-28 Installed-Size: 416 Maintainer: Roland Rosenfeld Architecture: all Provides: aspell-dictionary Depends: aspell (>> 0.60.3-2), dictionaries-common (>= 0.9.1) Breaks: aspell-de (<< 0.60-20030222-1-3) Size: 326768 SHA256: 452322587ab60466310bc88675ba267b415e9459afb4adc54c468f6c99c8c0f2 SHA1: 674f2219c4c19d8b7a3d2407fa19b855bbbbe4c8 MD5sum: 7e0456de7206755731583691b3b7cc7d Description: German dictionary for aspell (old spelling) This package contains German dictionaries for the aspell spell checker. . Dictionaries included are de-alt (deutsch-alt/german-old) and de_CH-alt, all using the old German orthography from 1901 (alte Rechtschreibung). . The new (1996) spelling is provided by aspell-de. Tag: culture::german, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/h/hkgerman/aspell-de-alt_2-28_all.deb Package: aspell-doc Source: aspell Version: 0.60.7~20110707-1 Installed-Size: 1108 Maintainer: Brian Nelson Architecture: all Depends: dpkg (>= 1.15.4) | install-info Suggests: aspell (>> 0.60) Size: 299154 SHA256: 3c8b53fca62764d1ac3838247078d846ba5d4b83da67d015552c07be155855e5 SHA1: 296fa937c8ed6e975208a8a28df0fe702250b623 MD5sum: 0f42dbd2be4723024bca16e519583c27 Description: Documentation for GNU Aspell spell-checker This package contains the documentation for GNU Aspell in various formats. The aspell package comes with minimal man pages. Install this package if you need further information on the development process and workings of Aspell. Homepage: http://aspell.net/ Tag: devel::doc, made-of::info, role::documentation, suite::gnu, use::checking Section: doc Priority: optional Filename: pool/main/a/aspell/aspell-doc_0.60.7~20110707-1_all.deb Package: aspell-el Version: 0.50-3-6 Installed-Size: 532 Maintainer: Brian Nelson Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.49.2) Size: 434228 SHA256: d0c7715cc23281e2ef03752391a92bef8149b76ba9cd23131624bb6649e48737 SHA1: c690c5fa804a6e1fea9291420195827c60740a8c MD5sum: ab858905366b863f80260d6e6b7b703b Description: Greek dictionary for GNU Aspell This package contains all the required files to add support for the Greek language to the GNU Aspell spell checker. Tag: culture::greek, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-el/aspell-el_0.50-3-6_all.deb Package: aspell-en Version: 7.1-0-1 Installed-Size: 616 Maintainer: Brian Nelson Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.49.2) Size: 267712 SHA256: 0fb06126136921dad76d71b839525b7ebd98d7474ceceff09750a8f682250577 SHA1: 2383df4287771f346b7364b7d7d68e03ae51c448 MD5sum: aef1943ae6a75616efc90bc9b3b67a1e Description: English dictionary for GNU Aspell This package contains all the required files to add support for English language to the GNU Aspell spell checker. . American, British and Canadian spellings are included. Homepage: http://aspell.net/ Tag: culture::british, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-en/aspell-en_7.1-0-1_all.deb Package: aspell-eo Source: eo-spell Version: 2.1.2000.02.25-45 Installed-Size: 177 Maintainer: Agustin Martin Domingo Architecture: all Provides: aspell-dictionary Depends: dictionaries-common (>= 1.1), aspell (>> 0.60.3-2) Size: 87546 SHA256: e8d0b3b553db9acbd8165b096dbd68b350c7f60d477370de646ae4cb147ca757 SHA1: 45a989ece167ad0f669175b47e2e0dcf634cfc9d MD5sum: 33b2dfc4c097e37b99365020777f304f Description: Esperanto dictionary for aspell This is the Esperanto dictionary for use with the aspell spellchecker. The dictionary is based on the words from Plena Ilustrita Vortaro, with additional country/language names. Tag: culture::esperanto, made-of::dictionary, role::app-data, suite::gnu Section: text Priority: optional Filename: pool/main/e/eo-spell/aspell-eo_2.1.2000.02.25-45_all.deb Package: aspell-eo-cx7 Source: eo-spell Version: 2.1.2000.02.25-45 Installed-Size: 249 Maintainer: Agustin Martin Domingo Architecture: all Provides: aspell-dictionary Depends: dictionaries-common (>= 1.1), aspell (>> 0.60.3-2) Size: 196124 SHA256: 5362cad74f729860509939eae4962a78a1969780ef11c98ebdc8b472f225c60e SHA1: a9fdc68cc4ef5e08c314ce9f6ec70bf87393bcf3 MD5sum: 3be06e0ecfb3516dcfb05900974b6789 Description: Esperanto dictionary for aspell, "cx" 7bit encoding This is the Esperanto dictionary for use with the aspell spellchecker. The dictionary is based on the words from Plena Ilustrita Vortaro, with additional country/language names. . This package uses only the 7bit "cx" pseudo-charset. Section: text Priority: optional Filename: pool/main/e/eo-spell/aspell-eo-cx7_2.1.2000.02.25-45_all.deb Package: aspell-es Source: espa-nol Version: 1.11-4 Installed-Size: 406 Maintainer: Agustin Martin Domingo Architecture: all Provides: aspell-dictionary Depends: dictionaries-common (>= 1.1), aspell (>> 0.60.3-2) Size: 195848 SHA256: 0f5f2a973c268691b832dbc6d586d122e203d5ae5745ec120a8c26c3cdcc0c56 SHA1: d1de880b7e65f062e38e0a099765289dd81204c2 MD5sum: 73bb14651f7575509eccc354f8d29ff2 Description: Spanish dictionary for aspell This is the Spanish dictionary for use with the aspell spellchecker. It is based on ispell dictionary put together by Santiago Rodriguez and Jesus Carretero. Homepage: http://www.datsi.fi.upm.es/~coes Tag: culture::spanish, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/e/espa-nol/aspell-es_1.11-4_all.deb Package: aspell-et Source: ispell-et Version: 1:20030606-20 Installed-Size: 525 Maintainer: Agustin Martin Domingo Architecture: all Provides: aspell-dictionary Depends: dictionaries-common (>= 0.49.2), aspell (>= 0.60.4) Size: 269356 SHA256: 34c20ebcba3cc8b36e883628f3f9f3198b912c87a65b3cc4d89b045958d5e23f SHA1: 7b60af4487a506e07e160cc32a4e078dbbe86e7f MD5sum: afe81a69e5f0ba3b4de3ec7608383544 Description: Estonian dictionary for Aspell This dictionary provides Estonian wordlists for the Aspell spellchecker currently supported by GNOME applications. . The wordlists are based on work by the Institute of the Estonian Language, and subsequently improved by Jaak Pruulmann who also created the affix file. Homepage: http://www.meso.ee/~jjpp/speller/ Tag: culture::estonian, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/i/ispell-et/aspell-et_20030606-20_all.deb Package: aspell-eu-es Source: xuxen-eu-spell Version: 0.4.20081029-6 Installed-Size: 888 Maintainer: Agustin Martin Domingo Architecture: all Provides: aspell-dictionary Depends: dictionaries-common (>= 1.1), aspell (>> 0.60.3-2) Size: 304886 SHA256: 040d4ed9e133504384709cdd6e685f9174dc17e2576eb292abd8bfd8f53e8972 SHA1: c7dcec9a244b4657b9fa4e186958f858ac0a0544 MD5sum: c16312cac51cba67bf70a9a54f69fd93 Description: Basque (Euskera) dictionary for aspell This is the Basque (Euskera) dictionary for use with the aspell spellchecker. . Note that the myspell2/aspell part was not updated by upstream since version 3. Homepage: http://www.euskara.euskadi.net/r59-20660/es/contenidos/informacion/euskarazko_softwarea/es_9568/xuxen.html Tag: culture::basque, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/x/xuxen-eu-spell/aspell-eu-es_0.4.20081029-6_all.deb Package: aspell-fa Version: 0.11-0-2 Installed-Size: 380 Maintainer: Debian Arabic Packaging Team Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.4), dictionaries-common (>= 0.70.0) Size: 199316 SHA256: 3a584ba9c9762fddfca0101918b30b28a7a267367c7a154b585f6a7e7134ea96 SHA1: 11a58c6f9186521d9a6ecd69399c679366d2c503 MD5sum: 185ba832434a3f95582d5168a97b478d Description: Persian dictionary for GNU Aspell This package contains all the required files to add support for Persian (Farsi) language to GNU Aspell spell checker. Homepage: http://ftp.gnu.org/gnu/aspell/dict/fa/ Tag: culture::farsi, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-fa/aspell-fa_0.11-0-2_all.deb Package: aspell-fi Source: ispell-fi Version: 0.7-18 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 26700 Depends: dictionaries-common (>= 0.25), aspell (>= 0.60.4) Provides: aspell6a-dictionary Priority: optional Section: text Filename: pool/main/i/ispell-fi/aspell-fi_0.7-18_armhf.deb Size: 7796428 SHA256: fbd894d9e6b3a2ca8a0f7b4482d5af1a6ba4766aacda95b55bf2a295452a8a3b SHA1: 4fe5306883dc05a90d3fa29d86eb99fe8cf60346 MD5sum: e058ee1aaec88b1ee84a5de8ee6afc30 Description: The Finnish dictionary for aspell This package contains all the required files to add support for the Finnish language to the GNU Aspell spell checker. Package: aspell-fo Source: ispell-fo Version: 0.4.1-1 Installed-Size: 635 Maintainer: Agustin Martin Domingo Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-3), dictionaries-common (>= 0.50) Size: 570654 SHA256: 2e122de89f822d1671ec7e709fd57bae71efbee296382855bf12569e6aeef712 SHA1: 0d590271535d247fe506cc7380fc30bfcbadb889 MD5sum: 00b7642a59bf9c8e2e2ab227a2afa863 Description: Faroese dictionary for aspell This is the Faroese dictionaries, to be used with aspell to check and correct spelling in Faroese texts. Homepage: http://fo.speling.org Tag: culture::faroese, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/i/ispell-fo/aspell-fo_0.4.1-1_all.deb Package: aspell-fr Version: 0.50-3-7 Installed-Size: 636 Maintainer: Rémi Vanicat Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.49.2) Size: 377412 SHA256: 9ac5e06d1034b4707e0d4853ca4d3c5842dcf916e4ee865236a0ce6e6448d875 SHA1: e22a6016c627b599fa4724d2cc203e5aa1847612 MD5sum: e766f584534582a28dd488e495087490 Description: French dictionary for aspell This package contains all the required files to add support for French language to aspell spell checker. Homepage: http://ftp.gnu.org/gnu/aspell/dict/0index.html Tag: culture::french, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-fr/aspell-fr_0.50-3-7_all.deb Package: aspell-ga Version: 0.50-4-4 Installed-Size: 428 Maintainer: Brian Nelson Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.49.2) Size: 322040 SHA256: 3ff822f5460ff95da4c3e7e9a63cc5bf64ad33679e849288b9dabbad5e44ce00 SHA1: 1cdd8fc28513c71c2b12f3de245bc6edeff29018 MD5sum: 377070b9ee909321ecbde1ed6742ad25 Description: Irish (Gaeilge) dictionary for GNU Aspell This package contains all the required files to add support for the Irish (Gaeilge) language to the GNU Aspell spell checker. Tag: culture::irish, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-ga/aspell-ga_0.50-4-4_all.deb Package: aspell-gl-minimos Source: ispell-gl Version: 0.5-35 Installed-Size: 236 Maintainer: Agustin Martin Domingo Architecture: all Provides: aspell-dictionary Depends: dictionaries-common (>= 1.1), aspell (>> 0.60.3-2) Size: 103578 SHA256: a53e34814869e1c2636fa31e22e2a93e0ef823f5213e931430e592263b17f356 SHA1: eff381ff0aea528c1771ede81ea2e8ee19c85599 MD5sum: 91284e2ad5624f6baa4b1c1a268d0430 Description: Aspell dictionary for Galician (minimos) This a aspell dictionary for Galician, using the "minimos" standard, as put together by Andre Ventas and Ramon Flores. . There are at least three orthographic conventions for Galician: ILG (official), reintegrationist and minimos. ILG uses orthographic conventions more similar to Spanish; reintegrationists are weighed towards Portuguese. Minimos tries to reach a middle consensus point. Homepage: http://ispell-gl.sourceforge.net Tag: culture::galician, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/i/ispell-gl/aspell-gl-minimos_0.5-35_all.deb Package: aspell-gu Version: 0.03-0-7 Installed-Size: 1528 Maintainer: Debian-IN Team Architecture: all Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.49.2) Size: 807670 SHA256: 257993ffa2afda13f15a9860833fa9a54a66d13dac326ecb37c41302cbd03ed7 SHA1: f0a83590bd4a60c2859fe00c8df771726e0a0e3a MD5sum: 27132867abd5c9ad4f021d4431eb1771 Description: Gujarati (gu) dictionary for GNU aspell This package contains the required files to add support for the Gujarati (gu) language to the GNU Aspell spell checker. Homepage: http://sourceforge.net/projects/aspell-gu Tag: made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-gu/aspell-gu_0.03-0-7_all.deb Package: aspell-he Version: 1.0-0-5 Installed-Size: 364 Maintainer: Debian Hebrew Packaging Team Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.49.2) Size: 189828 SHA256: 04a5185446dcc21812e7de00285565ce1788e42844054a770364b2b2e38b937e SHA1: 518a49626c5cf6e737cd2b28a70d0f631d9f38f2 MD5sum: d2959e4161c3829c451b508ad2008bee Description: Hebrew dictionary for aspell This package contains all the required files to add support for Hebrew language to aspell spell checker. Homepage: ftp://ftp.gnu.org/gnu/aspell/dict/he/ Tag: culture::hebrew, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-he/aspell-he_1.0-0-5_all.deb Package: aspell-hi Version: 0.02-5 Installed-Size: 2125 Maintainer: Debian-IN Team Architecture: all Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.9.1) Size: 1170508 SHA256: f2aef3ed6d4e664036a088620693da3441044f2d670749db5e4f368c118dca1a SHA1: 6a0bdb424127f70bbabd7e02b371e00bd33c3283 MD5sum: 50e5f204334806c80a414af5108e01dd Description: Hindi (hi) dictionary for GNU aspell This package contains the required files to add support for the Hindi (hi) language to the GNU Aspell spell checker. Homepage: http://code.indlinux.net/projects/aspell-hindi/ Tag: culture::hindi, made-of::dictionary, role::app-data, suite::gnu Section: text Priority: optional Filename: pool/main/a/aspell-hi/aspell-hi_0.02-5_all.deb Package: aspell-hr Version: 0.51-4 Installed-Size: 376 Maintainer: Vedran Furač Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-3), dictionaries-common (>= 0.49.2) Size: 282274 SHA256: 7376a4317e14ab8aea8a814ff9c2175e58798afe129aeeacf339496014dcd4b4 SHA1: 321da02fa453a7fb218d2d256e160e9c61ddaae9 MD5sum: b8fcf657da29f67915feb5086810472f Description: The Croatian dictionary for GNU Aspell This package contains all the required files to add support for Croatian language to the GNU Aspell spell checker. Tag: culture::croatian, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-hr/aspell-hr_0.51-4_all.deb Package: aspell-hsb Version: 0.02.0-1 Installed-Size: 260 Maintainer: Jan Jeroným Zvánovec Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.6-2), dictionaries-common (>= 1.4.0) Size: 121840 SHA256: 709147080f03bfe5c6608cf9322266625289f9a3524775ed754af8e5b3a11d78 SHA1: 8af96401c896e7e519bba34353e480f4f6aea866 MD5sum: 5fd14816379852f4b2d06ff14bba386b Description: Upper Sorbian dictionary for GNU Aspell This package contains all the required files to add support for the Upper Sorbian language to the GNU Aspell spell checker. Tag: made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-hsb/aspell-hsb_0.02.0-1_all.deb Package: aspell-hu Version: 0.99.4.2-0-3 Installed-Size: 1144 Maintainer: Balint Kozman Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-3), dictionaries-common (>= 0.9.1) Size: 530214 SHA256: 4d4214fab53f236fb59daadc6d72910282a129f5dee9ade1fc3d8a073e744b54 SHA1: bc4c2cbfa896d4683b73276f11995b8b6f63c78d MD5sum: 26e609ad89ebd8c93957d70e258e4601 Description: Hungarian dictionary for aspell This package contains Hungarian dictionaries for the aspell spell checker. Tag: culture::hungarian, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-hu/aspell-hu_0.99.4.2-0-3_all.deb Package: aspell-hy Version: 0.10.0-0-2 Installed-Size: 368 Maintainer: Alan Baghumian Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.4), dictionaries-common (>= 0.70.0) Size: 252066 SHA256: f8953e2b4cbfb91fbae1b0d2469542762e0100a84bc3eb9e7118eff89f416b88 SHA1: b1083662eef2c4efd0cf04190538bb21e3eac761 MD5sum: af5f1e052a3ebd862308e019604aef2e Description: Armenian dictionary for GNU Aspell This package contains all the required files to add support for Armenian language to GNU Aspell spell checker. Homepage: ftp://ftp.gnu.org/gnu/aspell/dict/hy/ Tag: made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-hy/aspell-hy_0.10.0-0-2_all.deb Package: aspell-is Version: 0.51-0-4 Installed-Size: 500 Maintainer: Brian Nelson Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.49.2) Size: 404330 SHA256: 33a6936ce4b498b1368ee2013065ab4d099fdaa90d628f6587c8b1da344094a1 SHA1: 841206489c04c7725efc4683d330aa27b2e5b538 MD5sum: a282a17f5089ea6563400eef3b2ddecc Description: Icelandic dictionary for GNU Aspell This package contains all the required files to add support for the Icelandic language to the GNU Aspell spell checker. Tag: culture::icelandic, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-is/aspell-is_0.51-0-4_all.deb Package: aspell-it Version: 2.4-20070901-0-2 Installed-Size: 2528 Maintainer: Giuseppe Iuculano Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-3), dictionaries-common (>= 0.49.2) Size: 1053960 SHA256: be3b2dd46dd46b65475b9efc8ae7635b2bb1d8a1c75fde467507a3ec9b825080 SHA1: 078fdbdb3fab39aac64aeb91c8ae1f9147fc9e0a MD5sum: 9056d054ea3beba81270b0527c43d253 Description: The Italian dictionary for GNU Aspell This package contains all the required files to add support for Italian language to the GNU Aspell spell checker. Homepage: http://linguistico.sourceforge.net Tag: culture::italian, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-it/aspell-it_2.4-20070901-0-2_all.deb Package: aspell-kk Version: 0.2-1 Installed-Size: 244 Maintainer: Timur Birsh Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60), dictionaries-common (>= 0.9.1) Size: 119182 SHA256: 6a021f797af1e1852d1fe509f226880bc4935a08297bbc0b86b8d9fdce523360 SHA1: 2d9c090f63c453cd0f6cc869adaf714c79b101b1 MD5sum: aafd2f4cc1680632dd78a78df23becdb Description: Kazakh dictionary for GNU Aspell This package contains all the required files to add support for Kazakh language to the GNU Aspell spell checker. Homepage: http://sourceforge.net/projects/kazlinux/ Tag: made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/a/aspell-kk/aspell-kk_0.2-1_all.deb Package: aspell-kn Version: 0.01-2-2 Installed-Size: 271 Maintainer: Debian-IN Team Architecture: all Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.49.2) Size: 202654 SHA256: 37d76d41571dbd9b9aaa6c2b00c55a6f82c651187b585fd7d22d7f3160d95784 SHA1: 0a9c8a2c3215531e92d3fc99896bc8c7a7cf219f MD5sum: cc836450d50f2d27ddbcfd9f1280bf7e Description: Kannada (kn) dictionary for GNU aspell This package contains the required files to add support for Kannada (kn) language to the GNU aspell spell checker. Homepage: https://gitorious.org/indic-projects/aspell-kn Tag: made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-kn/aspell-kn_0.01-2-2_all.deb Package: aspell-ku Version: 0.20-0-5 Installed-Size: 116 Maintainer: Debian Arabic Packaging Team Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.4), dictionaries-common (>= 0.70.2) Size: 18946 SHA256: c4acf58a2cb29b10b94dd2b3f9138cc52e09729d1a92b623af3a49a7d95f707e SHA1: 2c1ceafbbb5a1a34303c0c9ff5eec7a3b3c127d3 MD5sum: 69c8eb1ba30090e4e4e9f2dc2613f692 Description: Kurdish dictionary for aspell This package contains the Kurdish dictionary for the aspell spell checker. Homepage: https://sourceforge.net/projects/myspellkurdish/ Tag: made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-ku/aspell-ku_0.20-0-5_all.deb Package: aspell-lt Source: ispell-lt Version: 1.2.1-3 Installed-Size: 528 Maintainer: Kęstutis Biliūnas Architecture: all Provides: aspell-dictionary Depends: dictionaries-common (>= 0.50), aspell (>= 0.60.3-2) Size: 302686 SHA256: 507bcf97ed0905c23a6932273bddaa5ba70eacaa371fdae2fa2f2ace70df2536 SHA1: 894926e8bafa9c268e75250de9a1ca7d153b5fba MD5sum: 602e84eb2f9e4db24976e21a193e6b15 Description: aspell dictionary for Lithuanian (LT) This package contains all the required files to add support for Lithuanian language to the GNU Aspell spell checker. Tag: culture::lithuanian, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/i/ispell-lt/aspell-lt_1.2.1-3_all.deb Package: aspell-lv Source: myspell-lv Version: 0.9.4-5 Installed-Size: 601 Maintainer: Aigars Mahinovs Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.4), dictionaries-common (>= 0.49.2) Size: 500812 SHA256: 5aebf477c222cb5226a694aa3097bdced0cdc320748f72612d38e05b1b8630be SHA1: 092723739960e6d91d182cdd9cc220fe41acf99e MD5sum: 8e4927bba6823912b2085ef54b004fe5 Description: Latvian dictionary for Aspell This dictionary contains Latvian wordlists for the Aspell spellchecker currently supported by GNOME applications. . The dictionary is generated from the MySpell wordlist. . This dictionary is not complete yet, but it is the best free solution at this moment. Homepage: http://dict.dv.lv/ Tag: culture::latvian, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/m/myspell-lv/aspell-lv_0.9.4-5_all.deb Package: aspell-ml Version: 0.04-1-5 Installed-Size: 3657 Maintainer: Debian-IN Team Architecture: all Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.9.1) Size: 1874704 SHA256: 8b2c0848d1faf2ae5d4f62c8da18657ff9871dce030f85994ab0ac5412b490de SHA1: 94f67cf255a082e259c95077517783cee1afeb70 MD5sum: 212ef37c2dc618e8452620c1e30fe411 Description: Malayalam (ml) dictionary for GNU aspell This package contains the required files to add support for the Malayalam (ml) language to the GNU Aspell spell checker which is primarily spoken in the Indian state of Kerala. Homepage: http://wiki.smc.org.in/Aspell_Malayalam Tag: made-of::dictionary, role::app-data, suite::gnu, use::checking, works-with::dictionary, works-with::text Section: text Priority: optional Filename: pool/main/a/aspell-ml/aspell-ml_0.04-1-5_all.deb Package: aspell-mr Version: 0.10-8 Installed-Size: 1453 Maintainer: Debian-IN Team Architecture: all Depends: aspell (>= 0.60.4-2), dictionaries-common (>= 0.9.1) Size: 746648 SHA256: 51ac96cb5752c1dde378bfdd60d1b77341964265dc11ece2b8f63053defe6703 SHA1: fd6c6f456bf995ba0d24da53848e06a11ae653ae MD5sum: 8462da4e6696d24a11f035c3fd7654b5 Description: Marathi (mr) dictionary for GNU aspell This package contains the required files to add support for the Marathi (mr) language to the GNU Aspell spell checker. Tag: made-of::dictionary, role::app-data, suite::gnu Section: text Priority: optional Filename: pool/main/a/aspell-mr/aspell-mr_0.10-8_all.deb Package: aspell-nl Source: dutch Version: 1:2.10-1 Installed-Size: 830 Maintainer: Thijs Kinkhorst Architecture: all Provides: aspell-dictionary Depends: aspell, dictionaries-common Size: 776138 SHA256: 62a881a64ea14bb1532b34d74be2bff54615e649d793c268de661861e10bf5a3 SHA1: 048b54ea8d21ac862efa4db2980db5a8994e341e MD5sum: 629e30ad1088bbd114b7083febb8050e Description: Dutch dictionary for Aspell A Dutch spelling dictionary for the spelling checker Aspell. . This dictionary, from the OpenTaal project, uses the official spelling of 2005 and has been officially approved by the TaalUnie. . For a simple word list, see the wdutch package instead. Homepage: http://www.opentaal.org/ Tag: culture::dutch, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/d/dutch/aspell-nl_2.10-1_all.deb Package: aspell-no Source: norwegian Version: 2.0.10-5.1 Architecture: armhf Maintainer: Tollef Fog Heen Installed-Size: 44016 Depends: debconf (>= 0.5) | debconf-2.0, aspell (>= 0.60.3-2) Provides: aspell6a-dictionary Priority: optional Section: text Filename: pool/main/n/norwegian/aspell-no_2.0.10-5.1_armhf.deb Size: 13438388 SHA256: b884342b5d48c935e479ec482212413d87138a37e2f295beb5d9d940b5b99553 SHA1: b7ac61a9e36daceea86db459ad1bc8f6b2e6a205 MD5sum: bb1f6cf01d574fe743a4c5e00e2295c3 Description: Norwegian dictionary for aspell This package provides the Norwegian dictionaries to be used with aspell to check and correct spelling in Norwegian texts. Package: aspell-or Version: 0.03-1-5 Installed-Size: 112 Maintainer: Debian-IN Team Architecture: all Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.49.2) Size: 27922 SHA256: 48fb25ff50fb06acc34b689671f4537b9fc0ff2433511c1b0a1fdbcc00820d32 SHA1: 0e0989721cf1f0d577479149dd5f4af7c4b02b65 MD5sum: 0838082ddeeb187d25fff5aa70a517a8 Description: Oriya (or) dictionary for GNU aspell This package contains the required files to add support for the Oriya (or) language to the GNU Aspell spell checker. Homepage: http://oriya.sarovar.org/dictionary.html Tag: made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-or/aspell-or_0.03-1-5_all.deb Package: aspell-pa Version: 0.01-1-4 Installed-Size: 131 Maintainer: Debian-IN Team Architecture: all Depends: aspell (>= 0.60.4-2), dictionaries-common (>= 0.9.1) Size: 40082 SHA256: 1ba2da85a3fe969bfe2e6f1d9c05a98194ba7ffa8980d5367fa7a659a66ca4ad SHA1: 6c69e42bd275c45fd1ae634a44c49c5fb118f379 MD5sum: 1507b748ecc3e1dc46e773582e516895 Description: Punjabi (pa) dictionary for GNU aspell This package contains the required files to add support for the Punjabi (pa) language to the GNU Aspell spell checker. Homepage: http://sourceforge.net/projects/punlinux/ Tag: culture::punjabi, made-of::dictionary, role::app-data, suite::gnu Section: text Priority: optional Filename: pool/main/a/aspell-pa/aspell-pa_0.01-1-4_all.deb Package: aspell-pl Version: 20110901-1 Installed-Size: 1016 Maintainer: Krzysztof Krzyżaniak (eloy) Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-3), dictionaries-common (>= 0.49.2) Size: 723544 SHA256: b140f557214f23a1b7f78df7d621e76a2fff8f844ae91eae8469dd1fd2da6314 SHA1: ec937877b37ddc203ab4fccad247868fae8a9e53 MD5sum: aacc030646aad2898cbbbed8ebf48636 Description: Polish dictionary for aspell An Polish spelling dictionary for the spelling checker aspell. . It is taken from project http://www.sjp.pl/slownik/en/ Tag: culture::polish, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-pl/aspell-pl_20110901-1_all.deb Package: aspell-pt Version: 1.5 Installed-Size: 36 Maintainer: Agustin Martin Domingo Architecture: all Depends: aspell-pt-pt, aspell-pt-br Size: 3592 SHA256: 96907c3b0e984ee239b7dd1d96fbd14f284599d774399fe3819ad5a37d846574 SHA1: 97ac0692d9141efe0377e105fd9c2517c6223ca1 MD5sum: d1c45e731b4adf628dec3e16a2b565cd Description: Portuguese dictionaries for GNU Aspell (old package) This is an empty package which depends on both the aspell-pt-pt (European Portuguese) and the aspell-pt-br (Brazilian Portuguese) GNU Aspell packages. . After installation or upgrade, this package can be safely removed. Tag: culture::portuguese, role::dummy, role::metapackage, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-pt/aspell-pt_1.5_all.deb Package: aspell-pt-br Source: myspell-pt-br Version: 20110527-2 Installed-Size: 1968 Maintainer: Agustin Martin Domingo Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-3), dictionaries-common (>= 0.9.1) Conflicts: aspell-pt (<= 0.50-2-1), aspell-pt-common (<< 1.0) Size: 1059070 SHA256: 366dbb15761564fd1949c19da61f6b8792d53a8578b59cd40bc085ef970d7555 SHA1: 1ebbc4a2424d180d9dd47d629d1843aa889e32c7 MD5sum: a1eaa1ca7656ae56212b2ef45f92deb2 Description: Brazilian Portuguese dictionary for GNU Aspell This package contains all the required files to add support for the Brazilian Portuguese language to the GNU Aspell spell checker. Homepage: http://www.broffice.org.br/verortografico Tag: culture::brazilian, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/m/myspell-pt-br/aspell-pt-br_20110527-2_all.deb Package: aspell-pt-pt Source: myspell.pt Version: 20091013-4 Installed-Size: 244 Maintainer: Agustin Martin Domingo Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-3), dictionaries-common (>= 0.9.1) Conflicts: aspell-pt (<< 1.0), aspell-pt-common (<< 1.0) Size: 116938 SHA256: dd9e407fb5ac6f0ab9ffe33fcdf2eb36ebae29b9527588e617f4ca3ed70ea7bf SHA1: c1af0526287a9a6ffadc176c847e0087f34c15ee MD5sum: 54177030ff7b3b7803617686f3891438 Description: European Portuguese dictionary for GNU Aspell This package contains all the required files to add support for the European Portuguese language to the GNU Aspell spell checker. Homepage: http://natura.di.uminho.pt/wiki/index.cgi?Myspell Tag: culture::portuguese, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/m/myspell.pt/aspell-pt-pt_20091013-4_all.deb Package: aspell-ro Version: 3.3.7-1 Installed-Size: 702 Maintainer: Lior Kaplan Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-3), dictionaries-common (>= 0.24) Size: 703094 SHA256: 39262c3887fe8b06c1fdb4bd32105a90b6ded5940675c3bbbfe2f4aefc05b049 SHA1: ca3a778ab80165803ff1a318df77938c0d9cf231 MD5sum: 38ae417dc7814fce683979d16d863e30 Description: Romanian dictionary for GNU aspell This contains the Romanian dictionaries, to be used with aspell to check and correct spelling in Romanian texts. . Warning: Please note that the current word data base is really small and there might be a lot of false misspellings during the spell checking. Homepage: http://rospell.sourceforge.net Tag: culture::romanian, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-ro/aspell-ro_3.3.7-1_all.deb Package: aspell-ru Source: rus-ispell Version: 0.99g5-18 Installed-Size: 436 Maintainer: Mikhail Gusarov Architecture: all Provides: aspell-dictionary Depends: dictionaries-common (>= 0.49.2), aspell (>= 0.60.4) Size: 343384 SHA256: f9c3231b756cb00e8eea3c9ff7397df3f5fa59f5b38213495e5e6e76fa1b78b9 SHA1: 93733c610097dcd4229fb6c43a562ff106fc9acb MD5sum: 1dbae2ec3edb6b3f29af3add333a2ee3 Description: Russian dictionary for Aspell This dictionary contains Russian wordlists for the Aspell spellchecker currently supported by GNOME applications. . The dictionary is generated from the Ispell wordlist. Tag: culture::russian, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/r/rus-ispell/aspell-ru_0.99g5-18_all.deb Package: aspell-sk Version: 0.52-0-4 Installed-Size: 640 Maintainer: Brian Nelson Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.49.2) Size: 525190 SHA256: b3b340394cab09819fc1ae57c2f92f9c9d6306af3c22ae29f2f0d30ff7cdc965 SHA1: 7cd82fd0d1a9f480069333e830344e0a9c207b65 MD5sum: 68623af6a824443c643d91817860d8b3 Description: Slovak dictionary for GNU Aspell This package contains all the required files to add support for the Slovak language to the GNU Aspell spell checker. Tag: culture::slovak, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-sk/aspell-sk_0.52-0-4_all.deb Package: aspell-sl Version: 0.60-3 Installed-Size: 652 Maintainer: Jure Cuhalev Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-3), dictionaries-common (>= 0.49.2) Size: 563426 SHA256: 8f7301a6f3fd0d531ce78b19601c03745683ade6790fae33c964f542a3bcdd5e SHA1: 9dc7a81234271bf02f5cbfaf6869de37e9f619ce MD5sum: 5da8afb3d12a1aa2780207580d94ad1a Description: The Slovenian dictionary for GNU Aspell This package contains all the required files to add support for Slovenian language to the GNU Aspell spell checker. . Homepage: http://nl.ijs.si/GNUsl/ Tag: made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-sl/aspell-sl_0.60-3_all.deb Package: aspell-sv Version: 0.51-0-3 Installed-Size: 160 Maintainer: Magnus Holmgren Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-3), dictionaries-common (>= 0.49.2) Size: 110940 SHA256: 8469c9a212f89217d57a5449f7db5cacdf153da8b5543b050f76ae2fd6814d92 SHA1: b5644d17e3e13d438e239d9e3bbcf8ffa7c486e3 MD5sum: 9f5baf327afec1dd25bd140a275a28b5 Description: Swedish dictionary for GNU aspell This is the Swedish dictionaries, to be used with aspell to check and correct spelling in Swedish texts. Homepage: http://aspell.net/ Tag: culture::swedish, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-sv/aspell-sv_0.51-0-3_all.deb Package: aspell-ta Version: 20040424-1-1 Installed-Size: 371 Maintainer: Debian-IN Team Architecture: all Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.9.1) Size: 163734 SHA256: c962a7addf450373741e19f7e6d4c6aa98438eb126dbc1196c9e5e4c131ae6c5 SHA1: 418a309439263adc93790a9a0b57f3f905366f72 MD5sum: eaa8678d725f90c54fc1a46f51796bc3 Description: Tamil (ta) dictionary for GNU aspell This package contains the required files to add support for the Tamil (ta) language to the GNU Aspell spell checker. Homepage: http://developer.thamizha.com/spellchecker Tag: culture::tamil, made-of::dictionary, role::app-data, suite::gnu Section: text Priority: optional Filename: pool/main/a/aspell-ta/aspell-ta_20040424-1-1_all.deb Package: aspell-te Version: 0.01-2-5 Installed-Size: 2729 Maintainer: Debian-IN Team Architecture: all Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.9.1) Size: 1464998 SHA256: 15e58a58b381a544348c64cfff97f3f8a24014a4608a7e13e6f4b1c346e49b51 SHA1: 548fc7f0176b4b08243523e928f0bff9cf5330ac MD5sum: b30954d105a41378e2d2df4530f31e10 Description: Telugu (te) dictionary for GNU aspell This package contains the required files to add support for the Telugu (te) language to the GNU Aspell spell checker. Homepage: http://www.swecha.org/wiki/Wordlist_and_Dictionaries Tag: made-of::dictionary, role::app-data, suite::gnu Section: text Priority: optional Filename: pool/main/a/aspell-te/aspell-te_0.01-2-5_all.deb Package: aspell-tl Version: 0.4-0-10 Installed-Size: 148 Maintainer: Agustin Martin Domingo Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-3), dictionaries-common (>= 0.9.1) Size: 48556 SHA256: 990b0a29d933ccc83af5fc810b0d6aafabd95bd5379dc7e5922a899ed526fd4d SHA1: 94f3459aa10fa9585c12c1f3b0a3686ed129d96e MD5sum: c3621ce5106f1c247d2462583607e1bc Description: Tagalog dictionary for GNU Aspell This package contains all the required files to add support for the Tagalog language to the GNU Aspell spell checker. Homepage: http://code.google.com/p/tagalog-wordlist/ Tag: made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-tl/aspell-tl_0.4-0-10_all.deb Package: aspell-uk Source: ispell-uk Version: 1.6.5-2 Installed-Size: 580 Maintainer: Євгеній Мещеряков Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-3), dictionaries-common (>= 1.11.2~) Size: 382312 SHA256: 733c5819ebe07fafff4a313a65b93025373a2b1c499d688c6afe1c7e537a697d SHA1: 391bcf0bfebeca0d5a935e33a2a62e9178fdbb59 MD5sum: 45dda6dfbe91d924fb9de98cc4a93d8c Description: Ukrainian dictionary for GNU Aspell This package contains all the required files to add support for the Ukrainian language to the GNU Aspell spell checker. Homepage: http://ispell-uk.sourceforge.net Tag: culture::ukrainian, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/i/ispell-uk/aspell-uk_1.6.5-2_all.deb Package: aspell-uz Version: 0.6.0-1 Installed-Size: 248 Maintainer: Mashrab Kuvatov Architecture: all Provides: aspell-dictionary Depends: aspell (>= 0.60.3-2), dictionaries-common (>= 0.49.2) Size: 119626 SHA256: e2859a7ca79744e6ef23ad00b2dfa4af07c5958794a859362d77918b429dd501 SHA1: 84f0f369ec20a3a76b456be5c474a05623c452a6 MD5sum: 7e481f01bd2f573d9dc4a16bf0d5c416 Description: The Uzbek dictionary for GNU Aspell This package contains all the required files to add support for Uzbek language to the GNU Aspell spell checker. Tag: culture::uzbek, made-of::dictionary, role::app-data, suite::gnu, use::checking Section: text Priority: optional Filename: pool/main/a/aspell-uz/aspell-uz_0.6.0-1_all.deb Package: aspic Version: 1.05-4 Architecture: armhf Maintainer: Wouter Verhelst Installed-Size: 211 Depends: libc6 (>= 2.13-28) Priority: extra Section: tex Filename: pool/main/a/aspic/aspic_1.05-4_armhf.deb Size: 144424 SHA256: 98424f2164e66a55e3df2d2b57b84551eb8a4cc710655514ccf2f5b985ee6c46 SHA1: b1172da20091dc8bc94d2cf2594a12831dab9cc1 MD5sum: 8e910a4448c15517ca0ccde7ac1ab3f5 Description: Line art generator Aspic is a program that generates line art images from a text description of a picture that contains commands such as "line", "box", "circle", and "arc". Aspic's concept is similar to the "pic" command. Output is either encapsulated PostScript, or Scalable Vector Graphics (SVG). Quite complex pictures can be constructed from Aspic's primitives, which include facilities for positioning text alongside graphics, and the use of colour. Package: asql Version: 1.6-1 Installed-Size: 88 Maintainer: Steve Kemp Architecture: all Depends: libdbd-sqlite3-perl, libterm-readline-gnu-perl Size: 17042 SHA256: ca9502f823f4a48b21a3782f1262b77f81d4cae6d8cad1e06d4083f83c30187b SHA1: f77874f200b8b54e91dd39c49d2ef68d5a89d498 MD5sum: d2d9315832e2279894780d02792ebe7c Description: Run SQL queries against apache logs This package contains a simple tool which allows you to easily run SQL queries against Apache common logfiles. . This can be more illuminating than viewing static logfile analysis. Homepage: http://www.steve.org.uk/Software/asql/ Tag: implemented-in::perl, role::program, security::log-analyzer, use::analysing, use::searching, works-with::logfile Section: admin Priority: optional Filename: pool/main/a/asql/asql_1.6-1_all.deb Package: asr-manpages Version: 1.3-6 Installed-Size: 120 Maintainer: Pawel Wiecek Architecture: all Suggests: funny-manpages (>= 1.3-2) Size: 21866 SHA256: 21f262eea9eadc1bad73f09ffceb4a9bd01e04b599d1155b25f123e1d5857d64 SHA1: 7aa0d161aee4d851f152dfdde16dbbbe382e56cb MD5sum: ca93b5b2ca2df682358c2be61c6be984 Description: alt.sysadmin.recovery manual pages A set of humorous manual pages developed on alt.sysadmin.recovery (don't treat them seriously!). They document a set of really useful tools that for some strange reason are not included in any implementation of Unix. This includes such famous commands as lart, sysadmin, luser, bosskill and others. The authors recommend these man pages should be installed on every system. Tag: admin::user-management, devel::doc, devel::lang:c, game::toys, made-of::man, role::data Section: doc Priority: optional Filename: pool/main/a/asr-manpages/asr-manpages_1.3-6_all.deb Package: assimp-utils Source: assimp Version: 3.0~dfsg-1 Architecture: armhf Maintainer: IOhannes m zmoelnig (gpg-key at iem) Installed-Size: 361 Depends: libassimp3 (>= 3.0~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Homepage: http://assimp.sourceforge.net/ Priority: extra Section: utils Filename: pool/main/a/assimp/assimp-utils_3.0~dfsg-1_armhf.deb Size: 218210 SHA256: 2f2e380518e80e6070fcdb40fc801962fb72e2b2ca5754b852e5cdc92d9b109a SHA1: 36c3606ad28b75546071e2e381a3ae3ce5f8ad70 MD5sum: d44ba57323100a59457a4e04301d4f3a Description: 3D model import library (utilities) Assimp is a library to import various well-known 3D model formats ("assets") in a uniform manner. Assimp aims at providing a full asset conversion pipeline for use in game engines / realtime rendering systems of any kind but is not limited to this audience. . This package contains additional commandline utilities (currently only 'assimp') to interact with 3D models. Package: assogiate Version: 0.2.1-5 Architecture: armhf Maintainer: Vincent Legout Installed-Size: 579 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnome-vfsmm-2.6-1c2a (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libxml++2.6-2 (>= 2.34.2), libxml2 (>= 2.6.27) Priority: optional Section: utils Filename: pool/main/a/assogiate/assogiate_0.2.1-5_armhf.deb Size: 188010 SHA256: 1bd2cedfa4d925913b2cee626693745877e918fdf82240ff8b545f612c395a16 SHA1: b69328e1f03bb29bbbb61770e7e1ea8fb48c4278 MD5sum: 843ab0a6944dc3cb1a15fbe4e638d5c5 Description: editor of the MIME file types database AssoGiate is an editor of the MIME file types database for GNOME. . It allows users and administrators to customise the detection and presentation of file types by GNOME and other environments. . Features: * Compliant with the freedesktop.org Shared MIME Info and Icon Theme specifications. * Standard file types can be modified, and additional file types can be added and removed. * File type detection based on filenames, file contents, and XML root elements can be specified. * Default icons can be selected for file types without them. * Modifications can be imported and exported for network deployment or public exchange. * A complete help manual is included. Package: asterisk Version: 1:1.8.13.1~dfsg1-3+deb7u8 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 3311 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.1.1), libtinfo5, libxml2 (>= 2.7.4), asterisk-config (= 1:1.8.13.1~dfsg1-3+deb7u8) | asterisk-config-custom, asterisk-modules (= 1:1.8.13.1~dfsg1-3+deb7u8), adduser, asterisk-core-sounds-en-gsm | asterisk-prompt-en Recommends: sox, asterisk-voicemail | asterisk-voicemail-storage, asterisk-moh-opsound-gsm Suggests: asterisk-doc, asterisk-dev, asterisk-ooh323, asterisk-dahdi Provides: asterisk-1.8 Homepage: http://www.asterisk.org/ Priority: optional Section: comm Filename: pool/main/a/asterisk/asterisk_1.8.13.1~dfsg1-3+deb7u8_armhf.deb Size: 1711610 SHA256: aeaba455db9c35de8b0672c9e0e6cec43f53b4955d6c6cc860a9274ba2b8b017 SHA1: bd629b52d1c30f81c687cadb998cb56d1d990620 MD5sum: d58cd9efee09999192077155270bf35e Description: Open Source Private Branch Exchange (PBX) Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk can be used with Voice over IP (SIP, H.323, IAX and more) standards, or the Public Switched Telephone Network (PSTN) through supported hardware. . Supported hardware: . * All Wildcard (tm) ISDN PRI cards from Digium (http://www.digium.com) * HFC-S/HFC-4S-based ISDN BRI cards (Junghanns.NET, beroNet, Digium etc.) * All TDM (FXO/FXS) cards from Digium * Various clones of Digium cards such as those by OpenVox * Xorcom Astribank USB telephony adapter (http://www.xorcom.com) * Voicetronix OpenPCI, OpenLine and OpenSwitch cards * CAPI-compatible ISDN cards (using the add-on package chan-capi) * Full Duplex Sound Card (ALSA or OSS) supported by Linux * Tormenta T1/E1 card (http://www.zapatatelephony.org) * QuickNet Internet PhoneJack and LineJack (http://www.quicknet.net) . This is the main package that includes the Asterisk daemon and most channel drivers and applications. Package: asterisk-config Source: asterisk Version: 1:1.8.13.1~dfsg1-3+deb7u8 Installed-Size: 1475 Maintainer: Debian VoIP Team Architecture: all Conflicts: asterisk-config-custom Size: 1002576 SHA256: 243dd8312bf01070176406b9090dd141b0d7fe7b3a951cada3cee4a02f90c0b6 SHA1: 4ca8b7413f4268653e3eee1161bbf77685e8a7b9 MD5sum: bbd9b2e3b72a0f39e900de9118901c34 Description: Configuration files for Asterisk Asterisk is an Open Source PBX and telephony toolkit. . This package contains the default configuration files of Asterisk. Homepage: http://www.asterisk.org/ Recommends: asterisk Section: comm Priority: optional Filename: pool/main/a/asterisk/asterisk-config_1.8.13.1~dfsg1-3+deb7u8_all.deb Package: asterisk-core-sounds-en Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 71 Maintainer: Debian VoIP Team Architecture: all Provides: asterisk-prompt-en, asterisk-prompt-en-us Depends: asterisk-core-sounds-en-gsm | asterisk-core-sounds-en-g722 | asterisk-core-sounds-en-wav Enhances: asterisk Conflicts: asterisk-core-sounds-en-gsm (<< 1.4.21-2) Size: 22148 SHA256: 34c22055ceb4b2442e1a176ccd97f72cbe001c40375aeec73c83052692c53cfd SHA1: e422173048e0ebabce726d51ce1df191ad1d4295 MD5sum: c957245e312fbd798f9c11aac88fb6a2 Description: asterisk PBX sound files - US English Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. The core part of that collection in US English, by voice actress Allison Smith, is contained in various encodings in packages asterisk-core-sounds-en-*; this package registers these through the alternatives system to provide the default "en" (English) and "en_US" (USA English) sounds. Homepage: http://www.asterisk.org/ Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-en_1.4.22-1_all.deb Package: asterisk-core-sounds-en-g722 Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 10331 Maintainer: Debian VoIP Team Architecture: all Recommends: asterisk-core-sounds-en Size: 9107340 SHA256: b031d9e1fd5a1079a77fb632fbfa71edf6975fa2b1ecdc42f3ff7a489b1d9269 SHA1: bc3ea2eda37d07b1be3217acbefd33cf65db062e MD5sum: 15a5dbc5407380ed3d7c115cc38fdfd7 Description: asterisk PBX sound files - en-us/g722 Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. This package contains the core part of that collection in English (US, by Allison Smith) in raw G.722 format (mildly compressed wide-band codec). Homepage: http://www.asterisk.org/ Tag: made-of::audio, role::app-data Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-en-g722_1.4.22-1_all.deb Package: asterisk-core-sounds-en-gsm Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 2226 Maintainer: Debian VoIP Team Architecture: all Replaces: asterisk-sounds-main Recommends: asterisk-core-sounds-en Conflicts: asterisk-sounds-main Size: 2063854 SHA256: 2515ec470fc1856391e93f72c8bbfc9a60b54ac02f407ecde1a8a6e67f086b6b SHA1: a4734a37a91dcdec6e1893f52761d9db32a9e551 MD5sum: 91357e1fd3576cb7e9dc7ea3106c92ab Description: asterisk PBX sound files - en-us/gsm Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. This package contains the core part of that collection in English (US, by Allison Smith) in raw gsm-fr format (Compressed. Takes relatively little space. playable with sox). Homepage: http://www.asterisk.org/ Tag: made-of::audio, role::app-data Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-en-gsm_1.4.22-1_all.deb Package: asterisk-core-sounds-en-wav Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 20573 Maintainer: Debian VoIP Team Architecture: all Recommends: asterisk-core-sounds-en Size: 17415018 SHA256: 46f3ecca0f91adac11e3e87af3eecd934ec6fb4ad91869182256d79a300edce6 SHA1: 70f1158889939efb9eab81a1c09023cf74a4f369 MD5sum: 95ac63afafa4c02b703d0688f422a45c Description: asterisk PBX sound files - en-us/wav Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. This package contains the core part of that collection in English (US, by Allison Smith) in WAV format (8Khz, mono). Homepage: http://www.asterisk.org/ Tag: made-of::audio, role::app-data Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-en-wav_1.4.22-1_all.deb Package: asterisk-core-sounds-es Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 71 Maintainer: Debian VoIP Team Architecture: all Provides: asterisk-prompt-es, asterisk-prompt-es-mx Depends: asterisk-core-sounds-es-gsm | asterisk-core-sounds-es-g722 | asterisk-core-sounds-es-wav Enhances: asterisk Size: 22304 SHA256: 259664ff033f28516e30457bb29b6487ce7b862228ebd10c3914fc4ff38ba34f SHA1: b27e78d48138ab744514fd20deeaa8fa51fad35b MD5sum: 2a67a5950d147cc9f51617c17da4ea8b Description: asterisk PBX sound files - Spanish Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. The core part of that collection in Spanish, by voice actress Allison Smith, is contained in various encodings in packages asterisk-core-sounds-es-*; this package registers these through the alternatives system to provide the default "es" (Spanish) and "es_MX" (Mexican Spanish) sounds. Homepage: http://www.asterisk.org/ Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-es_1.4.22-1_all.deb Package: asterisk-core-sounds-es-g722 Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 14085 Maintainer: Debian VoIP Team Architecture: all Recommends: asterisk-core-sounds-es Size: 12565348 SHA256: cac55cad29ca8c3c502183cb6434d4af0077cbbff6cd7b8083e77d8c368bf4ed SHA1: b163f7916406bc605705571130d915655b677f49 MD5sum: 2c99b3376114b70115ada9ccde7834e8 Description: asterisk PBX sound files - es-mx/g722 Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. This package contains the core part of that collection in (MX, by Allison Smith) in raw G.722 format (mildly compressed wide-band codec). Homepage: http://www.asterisk.org/ Tag: culture::spanish, made-of::audio, role::app-data Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-es-g722_1.4.22-1_all.deb Package: asterisk-core-sounds-es-gsm Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 3000 Maintainer: Debian VoIP Team Architecture: all Recommends: asterisk-core-sounds-es Size: 2868692 SHA256: 2f9678a4ce23a9d15ee3eae87b2f2ae8c80c9e69c45005ed6665914fc5dab346 SHA1: 74f697e76d84a7fb21c483be12d0243256b9eae8 MD5sum: ac224a841ae6a203463b4252c41d589e Description: asterisk PBX sound files - es-mx/gsm Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. This package contains the core part of that collection in (MX, by Allison Smith) in raw gsm-fr format (Compressed. Takes relatively little space. playable with sox). Homepage: http://www.asterisk.org/ Tag: culture::spanish, made-of::audio, role::app-data Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-es-gsm_1.4.22-1_all.deb Package: asterisk-core-sounds-es-wav Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 28075 Maintainer: Debian VoIP Team Architecture: all Recommends: asterisk-core-sounds-es Size: 24743784 SHA256: 09ac3efc94798e7c398df75b65e06188eb18db6ed8cb886375fe9d98133e2303 SHA1: 5240ec309a3b95236715ca31e8b5869310f259f6 MD5sum: 14f65e8e890a6325ad2c24dcffe92163 Description: asterisk PBX sound files - es-mx/wav Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. This package contains the core part of that collection in (MX, by Allison Smith) in WAV format (8Khz, mono). Homepage: http://www.asterisk.org/ Tag: culture::spanish, made-of::audio, role::app-data Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-es-wav_1.4.22-1_all.deb Package: asterisk-core-sounds-fr Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 71 Maintainer: Debian VoIP Team Architecture: all Provides: asterisk-prompt-fr, asterisk-prompt-fr-ca Depends: asterisk-core-sounds-fr-gsm | asterisk-core-sounds-fr-g722 | asterisk-core-sounds-fr-wav Enhances: asterisk Size: 22270 SHA256: d654fea2e74ccab3293a3bd0ee338e5d220bf1db704939a4fb68b35c3e488823 SHA1: 2e172c1b7c9cd6c95d9786587e174525f764d035 MD5sum: 96ae7057bd8c26a02a4d51917d20b8e2 Description: asterisk PBX sound files - Canadian French Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. The core part of that collection in Canadian French, by voice actress June Wallack, is contained in various encodings in packages asterisk-core-sounds-fr-*; this package registers these through the alternatives system to provide the default "fr" (French) and "fr_CA" (Canadian French) sounds. Homepage: http://www.asterisk.org/ Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-fr_1.4.22-1_all.deb Package: asterisk-core-sounds-fr-g722 Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 10771 Maintainer: Debian VoIP Team Architecture: all Recommends: asterisk-core-sounds-fr Size: 9501876 SHA256: 074f6f30857c6c109a256c7b9a43f09d20e08630664e475148efe781c8665dbc SHA1: 117b9645d87fabc98fd6546b5334ea5b27f5118d MD5sum: 72bd1a58ff6462b785b8843bc8998b7e Description: asterisk PBX sound files - fr-ca/g722 Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. This package contains the core part of that collection in French (Canadaian, by June Wallack) in raw G.722 format (mildly compressed wide-band codec). Homepage: http://www.asterisk.org/ Tag: culture::french, made-of::audio, role::app-data Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-fr-g722_1.4.22-1_all.deb Package: asterisk-core-sounds-fr-gsm Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 2313 Maintainer: Debian VoIP Team Architecture: all Recommends: asterisk-core-sounds-fr Size: 2151702 SHA256: bcc5085771b546789cab5d14ea82af7e121dfbf6eddd960aafe865e2594d9a21 SHA1: 6e6526b4d2ceb7a8f3a20adb939df937797bec14 MD5sum: 5c4224c8818e0250b6dc734528847c13 Description: asterisk PBX sound files - fr-ca/gsm Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. This package contains the core part of that collection in French (Canadaian, by June Wallack) in raw gsm-fr format (Compressed. Takes relatively little space. playable with sox). Homepage: http://www.asterisk.org/ Tag: culture::french, made-of::audio, role::app-data Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-fr-gsm_1.4.22-1_all.deb Package: asterisk-core-sounds-fr-wav Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 21454 Maintainer: Debian VoIP Team Architecture: all Recommends: asterisk-core-sounds-fr Size: 17972416 SHA256: 719feb5ff9af820ce65c7d30bebc1621c407301bffeb88caffcfc661e8ca74a6 SHA1: 4f1d72586ca67f4faa2de347989fad0fd5bed0e3 MD5sum: 9dcf63e0754de2bfebd90d7f1d58efcf Description: asterisk PBX sound files - fr-ca/wav Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. This package contains the core part of that collection in French (Canadaian, by June Wallack) in WAV format (8Khz, mono). Homepage: http://www.asterisk.org/ Tag: culture::french, made-of::audio, role::app-data Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-fr-wav_1.4.22-1_all.deb Package: asterisk-core-sounds-ru Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 70 Maintainer: Debian VoIP Team Architecture: all Provides: asterisk-prompt-ru, asterisk-prompt-ru-ru Depends: asterisk-core-sounds-ru-gsm | asterisk-core-sounds-ru-g722 | asterisk-core-sounds-ru-wav Enhances: asterisk Size: 21222 SHA256: fdae230223c2172f689d711b8b115bf8fefe41c151dcb06f07c4a0408793464e SHA1: a409cda7aeb2575408beadd3af3bbd9d9d1c82d8 MD5sum: 505a52dafa6abccc7abb2cc41d3962bf Description: asterisk PBX sound files - Russian Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. The core part of that collection in Russian, by Maxim Topal, is contained in various encodings in packages asterisk-core-sounds-ru-*; this package registers these through the alternatives system to provide the default "ru" and "ru_RU" (Russian) sounds. Homepage: http://www.asterisk.org/ Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-ru_1.4.22-1_all.deb Package: asterisk-core-sounds-ru-g722 Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 9934 Maintainer: Debian VoIP Team Architecture: all Recommends: asterisk-core-sounds-ru Size: 8704972 SHA256: 4d536fe9fdb39e68ec7ffda3ea020c8760cd92c2cc0ede9d9753a6efe7b634b9 SHA1: 498b8f4e69db35309af336638d22fe124b6f2933 MD5sum: d96590e564c103b9637cac3a016e184f Description: asterisk PBX sound files - ru-ru/g722 Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. This package contains the core part of that collection in Russian (provided by Maxim Topal) in raw G.722 format (mildly compressed wide-band codec). Homepage: http://www.asterisk.org/ Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-ru-g722_1.4.22-1_all.deb Package: asterisk-core-sounds-ru-gsm Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 2140 Maintainer: Debian VoIP Team Architecture: all Recommends: asterisk-core-sounds-ru Size: 2056904 SHA256: 04f512e9794a2713215b7aa036ee77481050c2f5c7b04c6af0f3c6ad0a6baf8d SHA1: 7eff1c6ffd1e0fb6b657468d8fe2b8f9e1c51f5f MD5sum: 3724db4b00ef63de663b131da57b83f6 Description: asterisk PBX sound files - ru-ru/gsm Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. This package contains the core part of that collection in Russian (provided by Maxim Topal) in raw gsm-fr format (Compressed. Takes relatively little space. playable with sox). Homepage: http://www.asterisk.org/ Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-ru-gsm_1.4.22-1_all.deb Package: asterisk-core-sounds-ru-wav Source: asterisk-core-sounds Version: 1.4.22-1 Installed-Size: 19782 Maintainer: Debian VoIP Team Architecture: all Recommends: asterisk-core-sounds-ru Size: 16590996 SHA256: 86c121babab31174149b6a52da63a647af4bc63bfbde78765b2f82c30f38ff7c SHA1: beb2ffc238e5a6dbf7bb7c2184498047672ba976 MD5sum: c2b0df77fead1122b25b1417a4ba9507 Description: asterisk PBX sound files - ru-ru/wav Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. This package contains the core part of that collection in Russian (provided by Maxim Topal) in WAV format (8Khz, mono). Homepage: http://www.asterisk.org/ Section: comm Priority: extra Filename: pool/main/a/asterisk-core-sounds/asterisk-core-sounds-ru-wav_1.4.22-1_all.deb Package: asterisk-dahdi Source: asterisk Version: 1:1.8.13.1~dfsg1-3+deb7u8 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 1289 Depends: asterisk (= 1:1.8.13.1~dfsg1-3+deb7u8), libc6 (>= 2.13-28), libopenr2-3, libpri1.4 (>= 1.4.12), libss7-1 (>= 1.0.2), libtonezone2.0 (>= 1:2.2.1.1), dahdi Breaks: asterisk (<< 1:1.8.3.3) Replaces: asterisk (<< 1:1.8.3.3) Homepage: http://www.asterisk.org/ Priority: optional Section: comm Filename: pool/main/a/asterisk/asterisk-dahdi_1.8.13.1~dfsg1-3+deb7u8_armhf.deb Size: 934572 SHA256: 011ff67e0074b1dff1ecee84811b1bdc4774fa8a5f095b14de78d72082b95e5f SHA1: f5dde3db9257ea874040d6160d04a9df811fa0f4 MD5sum: bf5904ab21137eb4eae3a477be85ef74 Description: DAHDI devices support for the Asterisk PBX Asterisk is an Open Source PBX and telephony toolkit. . This package includes the DAHDI channel driver (chan_dahdi.so) and a number of other Asterisk modules that require DAHDI support (app_meetme.so, res_timing_dahdi.so). They will not be useful without kernel-level DAHDI support. . For more information about the Asterisk PBX, have a look at the Asterisk package. Package: asterisk-dbg Source: asterisk Version: 1:1.8.13.1~dfsg1-3+deb7u8 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 87394 Depends: asterisk (= 1:1.8.13.1~dfsg1-3+deb7u8) Homepage: http://www.asterisk.org/ Priority: extra Section: debug Filename: pool/main/a/asterisk/asterisk-dbg_1.8.13.1~dfsg1-3+deb7u8_armhf.deb Size: 29004760 SHA256: b1e79bd2eb30a42dd83cac31c18042d163bf867dcbdcc0583c7ec4c5e5e6c821 SHA1: 5e7b56212096d0de507e16d8a9633d7989dc76ca MD5sum: 50e0c3fd7335212f6a5c025f53767a5b Description: Debugging symbols for Asterisk Asterisk is an Open Source PBX and telephony toolkit. . This package includes the debugging symbols useful for debugging Asterisk. The debugging symbols are used for execution tracing and core dump analysis. Package: asterisk-dev Source: asterisk Version: 1:1.8.13.1~dfsg1-3+deb7u8 Installed-Size: 2084 Maintainer: Debian VoIP Team Architecture: all Size: 962296 SHA256: 86b8e6fc8fe8bf7e6c7608be296d6cffee51b01b613fd4739177d2574b816e64 SHA1: 667d45bf9a5653eaf07f3e1fe04f3654e2e0ee2b MD5sum: ec601439044fd3333c17b36a57147502 Description: Development files for Asterisk Asterisk is an Open Source PBX and telephony toolkit. . This package contains the include files used if you wish to compile a package which requires Asterisk's source file headers. Homepage: http://www.asterisk.org/ Recommends: asterisk Section: devel Priority: optional Filename: pool/main/a/asterisk/asterisk-dev_1.8.13.1~dfsg1-3+deb7u8_all.deb Package: asterisk-doc Source: asterisk Version: 1:1.8.13.1~dfsg1-3+deb7u8 Installed-Size: 2053 Maintainer: Debian VoIP Team Architecture: all Size: 1993500 SHA256: dd9477d13da3530ffa9977cb756719d80d899d0a63d6c44d32e5f80c4b8356d7 SHA1: c0c267dfdc6af6d1ddf39ebada93968d7caf2ddb MD5sum: 6dbff3130350b49b36424448ecd71d2c Description: Source code documentation for Asterisk Asterisk is an Open Source PBX and telephony toolkit. . This package contains the documentation for configuring an Asterisk system. Homepage: http://www.asterisk.org/ Recommends: asterisk Section: doc Priority: optional Filename: pool/main/a/asterisk/asterisk-doc_1.8.13.1~dfsg1-3+deb7u8_all.deb Package: asterisk-espeak Version: 2.1-1 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 65 Depends: libc6 (>= 2.13-28), libespeak1 (>= 1.41.01), libgcc1 (>= 1:4.4.0), libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20), asterisk Homepage: http://zaf.github.com/Asterisk-eSpeak/ Priority: optional Section: comm Filename: pool/main/a/asterisk-espeak/asterisk-espeak_2.1-1_armhf.deb Size: 15654 SHA256: 803467c4097abad325a9ceeaa2daa59468ba0e48f5baf0b83d88c49f245479c1 SHA1: 680f6b88cdac2bd0059b90e180889bbe8c490f62 MD5sum: 8c356a213d7353b352bbd41e65348d73 Description: eSpeak module for Asterisk Module for the Asterisk open source PBX which allows you to use the eSpeak voice synthesis engine to render text to speech. Package: asterisk-flite Version: 2.1-1.1 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 59 Depends: libc6 (>= 2.13-28), libflite1, asterisk Homepage: http://zaf.github.com/Asterisk-Flite/ Priority: extra Section: comm Filename: pool/main/a/asterisk-flite/asterisk-flite_2.1-1.1_armhf.deb Size: 12452 SHA256: 18ae20b7054d8b3d31a7868fe9268a5a530e07a0065e0465b4ede40355a9d0fc SHA1: 319adbbd6b23dd40d3dd29a1c1ef873cf843b6cd MD5sum: fe15732c83804e4d1af2f4e5f9b3bdbf Description: flite module for Asterisk Module for the Asterisk open source PBX which allows you to use the flite voice synthesis engine to render text to speech. Package: asterisk-mobile Source: asterisk Version: 1:1.8.13.1~dfsg1-3+deb7u8 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 692 Depends: asterisk (= 1:1.8.13.1~dfsg1-3+deb7u8), libbluetooth3 (>= 4.91), libc6 (>= 2.13-28) Homepage: http://www.asterisk.org/ Priority: optional Section: comm Filename: pool/main/a/asterisk/asterisk-mobile_1.8.13.1~dfsg1-3+deb7u8_armhf.deb Size: 649118 SHA256: c3e6ea062d340017ce4c19a561c24e4cb46c17651198701c16267ab4c27cf356 SHA1: 4ef84913368366183a7a3075dba811fa92049be8 MD5sum: 0d8b2226c76e1466ad06ffcfb667d737 Description: Bluetooth phone support for the Asterisk PBX Asterisk is an Open Source PBX and telephony toolkit. . This package provides support for connecting Bluetooth devices to the Asterisk PBX. It allows you to: * Send and receive PSTN calls and SMS messages over a Bluetooth phone. * Use a Bluetooth handset as a local phone connected to your PBX. . For more information about the Asterisk PBX, have a look at the Asterisk package. Package: asterisk-modules Source: asterisk Version: 1:1.8.13.1~dfsg1-3+deb7u8 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 5941 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgmime-2.6-0 (>= 2.6.4), libgsm1 (>= 1.0.13), libical0 (>= 0.30), libiksemel3, libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libldap-2.4-2 (>= 2.4.7), liblua5.1-0, libneon27-gnutls, libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libogg0 (>= 1.0rc3), libpq5, libradiusclient-ng2, libresample1 (>= 0.1.3), libsaclm3 (>= 1.1.4), libsaevt3 (>= 1.1.4), libsnmp15 (>= 5.4.3~dfsg), libspandsp2 (>= 0.0.6~pre18), libspeex1 (>= 1.2~beta3-1), libspeexdsp1 (>= 1.2~beta3.2-1), libsqlite0 (>= 2.8.17), libsqlite3-0 (>= 3.5.9), libsrtp0, libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.3.0), libsybdb5 (>= 0.63), libtiff4 (>> 3.9.5-3~), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libvpb0 (>= 4.2.22), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Breaks: asterisk (<< 1:1.8.3.3), asterisk-h323 (<< 1:1.8.4.4~dfsg-2) Replaces: asterisk (<< 1:1.8.3.3) Homepage: http://www.asterisk.org/ Priority: optional Section: libs Filename: pool/main/a/asterisk/asterisk-modules_1.8.13.1~dfsg1-3+deb7u8_armhf.deb Size: 2712300 SHA256: d03ef8f43922fcf2d869d1f09ec6a9e0944e607e130675a995c9112df5950529 SHA1: 2025731e717dd48de0bcfc925afbf399608b5cb8 MD5sum: cae2c51d286405fb26a8841c51199d2a Description: loadable modules for the Asterisk PBX Asterisk is an Open Source PBX and telephony toolkit. . This package includes most of the loadable modules of the Asterisk package. There is normally no need to explicitly install it. Package: asterisk-moh-opsound-g722 Source: asterisk-moh-opsound Version: 2.03-1 Installed-Size: 8696 Maintainer: Debian VoIP Team Architecture: all Size: 7937884 SHA256: e426d73e492c1a77a9cb9d826aac35ac4122087beeb53f73a46c1010dfcc8493 SHA1: c9c9883d7179184419034ac0804428ec706a7500 MD5sum: 67643c49fac94b325fd64b7e65fc4d60 Description: asterisk extra sound files - English/g722 Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. This package contains several "on-hold" music files in raw G.722 format (mildly compressed wide-band codec). Homepage: http://www.asterisk.org/ Tag: made-of::audio, role::app-data Section: comm Priority: extra Filename: pool/main/a/asterisk-moh-opsound/asterisk-moh-opsound-g722_2.03-1_all.deb Package: asterisk-moh-opsound-gsm Source: asterisk-moh-opsound Version: 2.03-1 Installed-Size: 1836 Maintainer: Debian VoIP Team Architecture: all Size: 1781324 SHA256: ac5bbccf29f2642d88a3fb968524f07a8a68b7d9260c2a5d45c17df4d6d5d2d5 SHA1: 556f5e47392e2b323b189d68e1fc684ad16b418e MD5sum: 4250f9794a50703bdc9a3f2d5770cf7f Description: asterisk extra sound files - English/gsm Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. This package contains several "on-hold" music files in raw gsm-fr format (Compressed. Takes relatively little space. playable with sox). Homepage: http://www.asterisk.org/ Tag: made-of::audio, role::app-data Section: comm Priority: extra Filename: pool/main/a/asterisk-moh-opsound/asterisk-moh-opsound-gsm_2.03-1_all.deb Package: asterisk-moh-opsound-wav Source: asterisk-moh-opsound Version: 2.03-1 Installed-Size: 17344 Maintainer: Debian VoIP Team Architecture: all Size: 15366428 SHA256: fcdb52ef233d2e0d06b08c15478a7df69847bad25474538c1a46bdb97673ad99 SHA1: fb560199b1d6af7cda1d50fc72bb9df2403ceb9c MD5sum: 5e2eb39b040f35130afb47740bef55d1 Description: asterisk extra sound files - English/wav Asterisk is an Open Source PBX and telephony toolkit. It is, in a sense, middleware between Internet and telephony channels on the bottom, and Internet and telephony applications at the top. . Asterisk includes a set of standard sound files in various formats. This package contains several "on-hold" music files WAV format (8Khz, mono). Homepage: http://www.asterisk.org/ Tag: made-of::audio, role::app-data Section: comm Priority: extra Filename: pool/main/a/asterisk-moh-opsound/asterisk-moh-opsound-wav_2.03-1_all.deb Package: asterisk-mp3 Source: asterisk Version: 1:1.8.13.1~dfsg1-3+deb7u8 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 650 Depends: asterisk (= 1:1.8.13.1~dfsg1-3+deb7u8), libc6 (>= 2.13-28) Homepage: http://www.asterisk.org/ Priority: optional Section: comm Filename: pool/main/a/asterisk/asterisk-mp3_1.8.13.1~dfsg1-3+deb7u8_armhf.deb Size: 635522 SHA256: d2e98ac60814c2a10eeca2853d43642531be67e9392c39d0f1eb3e465ffb3486 SHA1: 26aaa9d8d5b640d5b1fb44070648d8aefdd67506 MD5sum: 44db833164573350df9c7e352c4fef45 Description: MP3 playback support for the Asterisk PBX Asterisk is an Open Source PBX and telephony toolkit. . This package provides support for playing MP3-encoded files in Asterisk. . For more information about the Asterisk PBX, have a look at the Asterisk package. Package: asterisk-mysql Source: asterisk Version: 1:1.8.13.1~dfsg1-3+deb7u8 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 719 Depends: asterisk (= 1:1.8.13.1~dfsg1-3+deb7u8), libc6 (>= 2.13-28), libmysqlclient18 (>= 5.5.24+dfsg-1), zlib1g (>= 1:1.1.4) Homepage: http://www.asterisk.org/ Priority: optional Section: comm Filename: pool/main/a/asterisk/asterisk-mysql_1.8.13.1~dfsg1-3+deb7u8_armhf.deb Size: 659588 SHA256: 04c20b4cb0ec13cdc76e9670cf3a0c040b931352164a6f1944d002fbd998c396 SHA1: aa2f5b0a0984f44ddf8f7466d39daf0427588406 MD5sum: fedb3db58bfa5fb30354734f4e9d41fb Description: MySQL database protocol support for the Asterisk PBX Asterisk is an Open Source PBX and telephony toolkit. . This package provides support for using a MySQL database to store configuration, call-detatils record, and also provides generic access to it from the dialplan. . For more information about the Asterisk PBX, have a look at the Asterisk package. Package: asterisk-ooh323 Source: asterisk Version: 1:1.8.13.1~dfsg1-3+deb7u8 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 1747 Depends: asterisk (= 1:1.8.13.1~dfsg1-3+deb7u8), libc6 (>= 2.13-28) Homepage: http://www.asterisk.org/ Priority: optional Section: comm Filename: pool/main/a/asterisk/asterisk-ooh323_1.8.13.1~dfsg1-3+deb7u8_armhf.deb Size: 989278 SHA256: 74963ab390afc7ecb0658f573924912e72f558363d6f52242d255027ddc8c605 SHA1: daf739aa89c31d65981a6fa77a48835cd921cd72 MD5sum: d6f2a0f391176e45a8419677f0a2f0a9 Description: H.323 protocol support for the Asterisk PBX - ooH323c Asterisk is an Open Source PBX and telephony toolkit. . This channel driver (chan_ooh323c) provides support for the H.323 protocol for Asterisk. This is an independent implementation that does not depend on OpenH323, but may be less functional than the original chan_h323. . For more information about the Asterisk PBX, have a look at the Asterisk package. Package: asterisk-prompt-de Version: 2.0-1.1 Installed-Size: 1432 Maintainer: Mario Joussen Architecture: all Depends: asterisk Enhances: asterisk Size: 1448892 SHA256: e206bf689c24907a09ca5a45a0e860b292173ad0337a48006d914dcb0a40f50a SHA1: 076ea51902c87d0d2f54d5dfe67fd6224e463af5 MD5sum: 8f098979057ab19d8da5d50846e7c146 Description: German voice prompts for the Asterisk PBX These are German voice prompts for the Asterisk PBX, courtesy of the German city of Pforzheim. . You need this package if you intend to run Asterisk and wish to support German callers. Tag: culture::german, protocol::voip, role::app-data, works-with::audio Section: comm Priority: extra Filename: pool/main/a/asterisk-prompt-de/asterisk-prompt-de_2.0-1.1_all.deb Package: asterisk-prompt-es-co Version: 0.20070403-1 Installed-Size: 1692 Maintainer: Diego Andrés Asenjo González Architecture: all Depends: asterisk Enhances: asterisk Conflicts: asterisk-prompt-es Size: 1002364 SHA256: 07edf74f88d3bc29993fe24e69ef47dd23b065d424fbfb966b6df54b6d4c5f26 SHA1: fa417be3a4dcdbacd1e0ea8a444cc500011c418a MD5sum: 82cdfd21c08da915b15658eea221638a Description: Colombian Spanish voice prompts for Asterisk These are Colombian Spanish voice prompts for the Asterisk PBX, courtesy of Avatar Ltda., Colombia. . You need this package if you intend to run Asterisk and wish to support Spanish-speaking callers. . Homepage: http://www.avatar.com.co/ Tag: culture::spanish, protocol::voip, role::app-data, works-with::audio Section: comm Priority: extra Filename: pool/main/a/asterisk-prompt-es-co/asterisk-prompt-es-co_0.20070403-1_all.deb Package: asterisk-prompt-fr-armelle Version: 20070613-2 Installed-Size: 2220 Maintainer: Debian VoIP team Architecture: all Replaces: asterisk-prompt-fr Provides: asterisk-prompt-fr Recommends: asterisk (>= 1:1.2) Conflicts: asterisk-prompt-fr Size: 1505024 SHA256: b37a0550768864672cf986699840b9b6618a1ff66880701ca9a9db7a763e6ec6 SHA1: aab7715c00847be410c0182e36ece38e137209e4 MD5sum: 3d99d44cb3f59c8228cab5e246aefe5e Description: French voice prompts for Asterisk by Armelle Desjardins These are French voice prompts for the Asterisk PBX, recorded by Armelle Desjardins for Michel Gutierrez. . You need such prompts if you intend to run Asterisk and wish to support French-speaking callers. Tag: culture::french, protocol::voip, role::app-data, works-with::audio Section: comm Priority: extra Filename: pool/main/a/asterisk-prompt-fr-armelle/asterisk-prompt-fr-armelle_20070613-2_all.deb Package: asterisk-prompt-fr-proformatique Version: 20070706-1.4-2 Installed-Size: 14956 Maintainer: Debian VoIP team Architecture: all Replaces: asterisk-prompt-fr Provides: asterisk-prompt-fr Recommends: asterisk (>= 1:1.2) Conflicts: asterisk-prompt-fr Size: 11886550 SHA256: 69376b0dbc33a1fa3466a6909c046ce51c5a409598dfa091db699990268e3e02 SHA1: 34976e48e627b4d2095c53a5009a4fc0c279f559 MD5sum: 5636e3bbc37f3609e1224aca3d23f0c1 Description: French voice prompts for Asterisk These are French voice prompts for the Asterisk PBX, courtesy of Proformatique SARL. . You need such prompts if you intend to run Asterisk and wish to support French-speaking callers. Tag: culture::french, protocol::voip, role::app-data, works-with::audio Section: comm Priority: extra Filename: pool/main/a/asterisk-prompt-fr-proformatique/asterisk-prompt-fr-proformatique_20070706-1.4-2_all.deb Package: asterisk-prompt-it Version: 1:1.4.22+mm20110907-3 Installed-Size: 49 Maintainer: Debian VoIP Team Architecture: all Depends: asterisk-prompt-it-menardi (= 1:1.4.22+mm20110907-3) Size: 10302 SHA256: 78f89d0ed537654423779a9def8cd10104c3f37d9bb3b40a66ad52d4c9ec003b SHA1: dde3e1d47ee752ab01df9b293252d277cea821f3 MD5sum: 451d1492799920cbcd08de3a7643615a Description: dummy transitional package This is a transitional package intended to simplify the migration from asterisk-prompt-it to asterisk-prompt-it-menardi. You can safely remove this package. The name asterisk-prompt-it should be reserved in the future to a virtual package provided by any potential Italic prompts set package. Homepage: http://www.voip.ammdomus.it/voci-italiane-asterisk/ Tag: culture::italian, protocol::voip, role::app-data, works-with::audio Section: comm Priority: extra Filename: pool/main/a/asterisk-prompt-it/asterisk-prompt-it_1.4.22+mm20110907-3_all.deb Package: asterisk-prompt-it-menardi Source: asterisk-prompt-it Version: 1:1.4.22+mm20110907-3 Installed-Size: 50 Maintainer: Debian VoIP Team Architecture: all Replaces: asterisk-prompt-it Provides: asterisk-prompt-it-it Depends: asterisk-prompt-it-menardi-gsm | asterisk-prompt-it-menardi-wav | asterisk-prompt-it-menardi-alaw Enhances: asterisk Breaks: asterisk-prompt-it (<< 1:0) Size: 10966 SHA256: 606476048a1a5acb989d37f68f3b8cdcfe33841a702cfb6db318009f8da76dae SHA1: 9cc5c526324dd70fb336342b425dc1a54844df3d MD5sum: a3e645e9599acee7fd7253ab614bb153 Description: asterisk PBX Italian sound files voice prompts in Italian that are mainly useful for the Asterisk PBX software. Asterisk includes a set of standard sound files in various formats. This package include those prompts, re-recorded in Italian by Paola Dal Zot, courtesy of Marco Menardi. . The packages asterisk-prompt-it-menardi-* include prompts in various encodings. This package registers these through the alternatives system to provide the default "it" (Italian) and "it_IT" (Italian Italy) sounds, and is the package you should normally install. Homepage: http://www.voip.ammdomus.it/voci-italiane-asterisk/ Section: comm Priority: extra Filename: pool/main/a/asterisk-prompt-it/asterisk-prompt-it-menardi_1.4.22+mm20110907-3_all.deb Package: asterisk-prompt-it-menardi-alaw Source: asterisk-prompt-it Version: 1:1.4.22+mm20110907-3 Installed-Size: 11725 Maintainer: Debian VoIP Team Architecture: all Enhances: asterisk Size: 9798068 SHA256: 30cf8f06d5688d49e10eb0a3bdd33fdcf81f2a54c4a26619bbac1dc4ebbb2b2c SHA1: f5e005d8940324c297911c97ced6ce0a86fb57c2 MD5sum: 769fa69f452e050d09e1981e4fd3e6cd Description: asterisk PBX Italian sound files - a-law prompts voice prompts in Italian that are mainly useful for the Asterisk PBX software. Asterisk includes a set of standard sound files in various formats. This package include those prompts, re-recorded in Italian by Paola Dal Zot, courtesy of Marco Menardi. . This package provides prompt sound files formatted as raw G.711 A.law. Homepage: http://www.voip.ammdomus.it/voci-italiane-asterisk/ Section: comm Priority: extra Filename: pool/main/a/asterisk-prompt-it/asterisk-prompt-it-menardi-alaw_1.4.22+mm20110907-3_all.deb Package: asterisk-prompt-it-menardi-gsm Source: asterisk-prompt-it Version: 1:1.4.22+mm20110907-3 Installed-Size: 2503 Maintainer: Debian VoIP Team Architecture: all Enhances: asterisk Size: 2440722 SHA256: 42356ebd8ae195e7b823e3de8eaa14e9e04bc686cfef9c1c1f3d31476e41100e SHA1: 09da88d4f578ed2269f3d5b29fe467a9349b8ffa MD5sum: 49e01d2aa08383b2bcb22ead9af78523 Description: asterisk PBX Italian sound files - gsm prompts voice prompts in Italian that are mainly useful for the Asterisk PBX software. Asterisk includes a set of standard sound files in various formats. This package include those prompts, re-recorded in Italian by Paola Dal Zot, courtesy of Marco Menardi. . This package provides prompt sound files formatted as raw GSM-fr (playable with sox) Homepage: http://www.voip.ammdomus.it/voci-italiane-asterisk/ Section: comm Priority: extra Filename: pool/main/a/asterisk-prompt-it/asterisk-prompt-it-menardi-gsm_1.4.22+mm20110907-3_all.deb Package: asterisk-prompt-it-menardi-wav Source: asterisk-prompt-it Version: 1:1.4.22+mm20110907-3 Installed-Size: 23374 Maintainer: Debian VoIP Team Architecture: all Enhances: asterisk Size: 20703624 SHA256: b0f9f7fb3c3a0f6e046822fbec8f77668bace8e196d24c3c9d1a5c7ea6c945ab SHA1: 50e21ea07d07e0217461191fa1b6edc9dd24b752 MD5sum: 9c823153d768478263c764e04d0105a9 Description: asterisk PBX Italian sound files - wav prompts voice prompts in Italian that are mainly useful for the Asterisk PBX software. Asterisk includes a set of standard sound files in various formats. This package include those prompts, re-recorded in Italian by Paola Dal Zot, courtesy of Marco Menardi. . This package provides prompt sound files formatted as .wav files (8kHz, mono). Homepage: http://www.voip.ammdomus.it/voci-italiane-asterisk/ Section: comm Priority: extra Filename: pool/main/a/asterisk-prompt-it/asterisk-prompt-it-menardi-wav_1.4.22+mm20110907-3_all.deb Package: asterisk-prompt-se Version: 1.045-1 Installed-Size: 7348 Maintainer: Simon Richter Architecture: all Depends: asterisk Size: 4195926 SHA256: c5db062324083502b21a9cce11ffb651f3fe36eab8ad509c89cd8f851f665161 SHA1: 9aecee3d02b3343b8efe304ce00239394fc068a2 MD5sum: 1bb2d4540f3e4936b44055a7729ae438 Description: Swedish voice prompts for Asterisk These are Swedish voice prompts for the Asterisk PBX, courtesy of Daniel Nylander. . You need this package if you intend to run Asterisk and wish to support Swedish callers. Tag: culture::swedish, protocol::voip, role::app-data, works-with::audio Section: comm Priority: extra Filename: pool/main/a/asterisk-prompt-se/asterisk-prompt-se_1.045-1_all.deb Package: asterisk-voicemail Source: asterisk Version: 1:1.8.13.1~dfsg1-3+deb7u8 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 789 Depends: asterisk (= 1:1.8.13.1~dfsg1-3+deb7u8), libc6 (>= 2.13-28) Conflicts: asterisk-voicemail-storage Breaks: asterisk (<< 1:1.8.3.3) Replaces: asterisk (<< 1:1.8.3.3) Provides: asterisk-voicemail-storage Homepage: http://www.asterisk.org/ Priority: optional Section: comm Filename: pool/main/a/asterisk/asterisk-voicemail_1.8.13.1~dfsg1-3+deb7u8_armhf.deb Size: 697770 SHA256: b2b01b5a9dc9911950a43ef37a5f5711a1d7cffb85253c9c18487524508b6159 SHA1: 43168df9cffd6fb715e5ab72d58378061ad303db MD5sum: d6a5f81406df7d51339c4b7301ca8106 Description: simple voicemail support for the Asterisk PBX Asterisk is an Open Source PBX and telephony toolkit. . This package includes the standard files-based voicemail storage. This is normally the one you use and is the one that will Just Work. The only reason not to install it is if you want to use a different Asterisk voicemail storage (ODBC or IMAP). . For more information about the Asterisk PBX, have a look at the Asterisk package. Package: asterisk-voicemail-imapstorage Source: asterisk Version: 1:1.8.13.1~dfsg1-3+deb7u8 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 825 Depends: asterisk (= 1:1.8.13.1~dfsg1-3+deb7u8), libc-client2007e, libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0) Conflicts: asterisk-voicemail-storage Breaks: asterisk (<< 1:1.8.3.3) Replaces: asterisk (<< 1:1.8.3.3) Provides: asterisk-voicemail-storage Homepage: http://www.asterisk.org/ Priority: optional Section: comm Filename: pool/main/a/asterisk/asterisk-voicemail-imapstorage_1.8.13.1~dfsg1-3+deb7u8_armhf.deb Size: 717304 SHA256: f5cbaa8ad4e5e5bfad1020a74b0b2567fd959d696fb9bcedfe65a3c0b8ef544a SHA1: 8b211398f8a3cc2ee3bb1568b73007c464a5e97b MD5sum: 6e776a3ca5409a1d15ab257a6ccdee60 Description: IMAP voicemail storage support for the Asterisk PBX Asterisk is an Open Source PBX and telephony toolkit. . This package includes an IMAP-based voicemail storage: storing the voicemail in a remote IMAP mail boxes. While more complex to set up, it may be useful in some settings. . For more information about the Asterisk PBX, have a look at the Asterisk package. Package: asterisk-voicemail-odbcstorage Source: asterisk Version: 1:1.8.13.1~dfsg1-3+deb7u8 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 805 Depends: asterisk (= 1:1.8.13.1~dfsg1-3+deb7u8), libc6 (>= 2.13-28), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11) Conflicts: asterisk-voicemail-storage Breaks: asterisk (<< 1:1.8.3.3) Replaces: asterisk (<< 1:1.8.3.3) Provides: asterisk-voicemail-storage Homepage: http://www.asterisk.org/ Priority: optional Section: comm Filename: pool/main/a/asterisk/asterisk-voicemail-odbcstorage_1.8.13.1~dfsg1-3+deb7u8_armhf.deb Size: 706146 SHA256: 11694183b24d1deb0c85cf67043a5e00e7937ba6d13320e94320d6ac3ed2afbb SHA1: 56eeb14b044348e1695c7949394d5b3e3155906d MD5sum: ab8b79468fabfc28ff92006156ec5512 Description: ODBC voicemail storage support for the Asterisk PBX Asterisk is an Open Source PBX and telephony toolkit. . This package includes an database-based voicemail storage: storing the voicemail in a database accessed through the ODBC interface. While more complex to set up, it may be useful in some settings. . For more information about the Asterisk PBX, have a look at the Asterisk package. Package: astronomical-almanac Version: 5.6-4 Architecture: armhf Maintainer: Thorsten Alteholz Installed-Size: 473 Depends: libc6 (>= 2.7) Homepage: http://www.moshier.net/ Priority: optional Section: science Filename: pool/main/a/astronomical-almanac/astronomical-almanac_5.6-4_armhf.deb Size: 264378 SHA256: 730ecc3b0e77299ed0913008ca9a46565c183d8bf83857945f2d981ea679fc8f SHA1: ce3c5893a3e595e62d2b1d9036e4cc55cb458685 MD5sum: a179a17f24ccf0a1634df0b1704f1758 Description: astronomical almanac - calculate planet and star positions The aa program computes the orbital positions of planetary bodies and performs rigorous coordinate reductions to apparent geocentric and topocentric place (local altitude and azimuth). It also reduces star catalogue positions given in either the FK4 or FK5 system. Data for the 57 navigational stars is included. Most of the algorithms employed are from The Astronomical Almanac (AA) published by the U.S. Government Printing Office. . The aa program follows the rigorous algorithms for reduction of celestial coordinates exactly as laid out in current editions of the Astronomical Almanac. The reduction to apparent geocentric place has been checked by a special version of the program (aa200) that takes planetary positions directly from the Jet Propulsion Laboratory DE200 numerical integration of the solar system. The results agree exactly with the Astronomical Almanac tables from 1987 onward (earlier Almanacs used slightly different reduction methods). . Certain computations, such as the correction for nutation, are not given explicitly in the AA but are referenced there. In these cases the program performs the full computations that are used to construct the Almanac tables (references are provided). Package: astyle Version: 2.01-1 Architecture: armhf Maintainer: Margarita Manterola Installed-Size: 474 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://astyle.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/a/astyle/astyle_2.01-1_armhf.deb Size: 154090 SHA256: eb468479a46d2d021e7b461cca81a0c76b77563972b12a00f9cbf5660d8a20f7 SHA1: e97f8aa21efd4990227b57cc7c73e79d763f1219 MD5sum: caa98127c3b43bf542af8af6313c5afc Description: Source code indenter for C++/C/Java/C# source code Artistic Style is a source code indenter, formatter, and beautifier for the C, C++, C# and Java programming languages. . This package contains the command line tool. Package: asunder Version: 2.2-1 Architecture: armhf Maintainer: Cleto Martín Installed-Size: 727 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcddb2, libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), cdparanoia, vorbis-tools Recommends: flac, wavpack Suggests: lame Homepage: http://www.littlesvr.ca/asunder Priority: optional Section: sound Filename: pool/main/a/asunder/asunder_2.2-1_armhf.deb Size: 133482 SHA256: 246875b2e1b9fc764c015297740c0ffb6aa6c83dd3e0eafc8962f3f830c677a8 SHA1: 4084d1a31819f1ec9922e572a1fc800f2b268c74 MD5sum: 4ade92154a6353217c048569771a7632 Description: graphical audio CD ripper and encoder Asunder is a graphical Audio CD ripper and encoder. It can be used to save tracks from Audio CDs. Main features: . * Supports WAV, MP3, Ogg Vorbis, FLAC, and Wavpack audio files * Uses CDDB to name and tag each track * Can encode to multiple formats in one session * Creates M3U playlists * Allows for each track to be by a different artist * Does not require a specific desktop environment (just GTK+) Package: asused Version: 3.72-9 Installed-Size: 352 Maintainer: Jan Wagner Architecture: all Depends: perl Size: 84080 SHA256: b020827a19c129a1077b6fcda05bfb422cf50aff392b3683870debe1c7e2821f SHA1: 6faa379aeddc40e7e0da94ea0484bf6ae9bff4f5 MD5sum: 1b1ccea1165947b7cf56bcb253e60bd0 Description: To run a check on the usage of your registry's allocations This is a tool used for checking various aspects of IP allocations and assignments as stored in the RIPE database. Homepage: http://www.ripe.net/tools/ Tag: implemented-in::perl, protocol::ip, role::program, use::checking Section: utils Priority: optional Filename: pool/main/a/asused/asused_3.72-9_all.deb Package: aswiki Version: 1.0.4-10 Installed-Size: 264 Maintainer: TANIGUCHI Takaki Architecture: all Depends: ruby1.8, libamrita-ruby1.8 (>= 1.0.2), libalgorithm-diff-ruby1.8, libstrscan-ruby1.8, libdbm-ruby1.8 Recommends: rcs, libdb-ruby1.8 Size: 34028 SHA256: 12f2435d8cbbdcec09a3647ad54055c05e4136979346f5eea499371fdc98aac8 SHA1: dd37c484fb94a2c7e48eaff85bf1a2cfbcb46971 MD5sum: 87de8f43e97b54c67b09da722e431100 Description: WikiWikiWeb clone (Wiki Engine) written in Ruby AsWiki is a WikiWikiWeb clone (Wiki engine), which in turn is a web based collaboration tool. AsWiki has the following features: . * makes customizing of its HTML output easy through the use of the Amrita template library. * RCS based version log. * plugin system. * file attachements. Homepage: http://aswiki.sourceforge.net/ Tag: implemented-in::ruby, interface::web, network::server, protocol::http, role::program, use::editing, web::wiki, works-with-format::html, works-with::text Section: web Priority: optional Filename: pool/main/a/aswiki/aswiki_1.0.4-10_all.deb Package: asylum Version: 0.3.2-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 212 Depends: asylum-data (= 0.3.2-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.1.1) Homepage: http://sdl-asylum.sourceforge.net/ Priority: optional Section: games Filename: pool/main/a/asylum/asylum_0.3.2-1_armhf.deb Size: 58476 SHA256: 9570e9b3dd2e0ca29b964d442774777ec75095ac4e7bfde37fc063f8ca25c19f SHA1: f8be573a836a8761cda4d9d2dcea8e5936a7751c MD5sum: 2823991ddf41103fc78a2142b421d4b4 Description: surreal platform shooting game Young Sigmund has a few problems. To help him resolve his mental instability you must enter the surreal world of his inner mind and shut down the malfunctioning brain cells. Guide Sigmund through the Ego, Psyche and Id zones - each one 300 times the size of the screen - to locate the eight renegade neurons, entering them one by one to find and trigger their self-destruct system. . This is a port of Digital Psychosis' 1994 game for the Acorn Archimedes. Package: asylum-data Source: asylum Version: 0.3.2-1 Installed-Size: 744 Maintainer: Debian Games Team Architecture: all Recommends: asylum Size: 318852 SHA256: 2c4245d3c74aa3ff43e3eedbfb9ad831f1b6ae68d417d1a74cdc2feea1d407d4 SHA1: dbfe638c63df4150a32b6de1dd07a0d81ebdc03c MD5sum: 6885f85657dde6fbd9ed3af46ec43f61 Description: surreal platform shooting game - data files This package contains data files required by the game Asylum. Homepage: http://sdl-asylum.sourceforge.net/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/a/asylum/asylum-data_0.3.2-1_all.deb Package: asymptote Version: 2.15-2 Architecture: armhf Maintainer: Hubert Chathi Installed-Size: 4828 Depends: python, python-tk, python-imaging-tk, imagemagick, ghostscript, texlive-latex-base, texlive-base-bin, texlive-pstricks, freeglut3, libc6 (>= 2.13-28), libfftw3-3, libgc1c2 (>= 1:7.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libgsl0ldbl (>= 1.9), libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libsigsegv2, libstdc++6 (>= 4.6), libtinfo5, zlib1g (>= 1:1.2.0), dpkg (>= 1.14.18), tex-common (>= 3), dpkg (>= 1.15.4) | install-info Recommends: asymptote-doc Suggests: gv, xpdf Homepage: http://asymptote.sourceforge.net/ Priority: optional Section: tex Filename: pool/main/a/asymptote/asymptote_2.15-2_armhf.deb Size: 1838562 SHA256: dc8518af404cb4381f4be35e82fb0e43a9eed20e04011ea2629de9c3c1949338 SHA1: d4a1e5c02b1a3e4c7ca16046f6353e6819868a46 MD5sum: 56b219df8f325c88fd19285177fc3f50 Description: script-based vector graphics language inspired by MetaPost Asymptote is a powerful script-based vector graphics language for technical drawings, inspired by MetaPost but with an improved C++-like syntax. Asymptote provides for figures the same high-quality level of typesetting that LaTeX does for scientific text. Package: asymptote-doc Source: asymptote Version: 2.15-2 Installed-Size: 3995 Maintainer: Hubert Chathi Architecture: all Replaces: asymptote (<< 2.15-2) Depends: dpkg (>= 1.15.4) | install-info Recommends: asymptote, info-browser | pdf-viewer | www-browser Size: 2986142 SHA256: 000f5eef6e4ad0aa30aa9533db046de039dbf19c8169991bc460be1db8a1107d SHA1: 67d91391c2ff8ff80206ad9a2ab1f7c57bbc46b7 MD5sum: 3091cababf80eced788c927c0b53963b Description: documentation and examples for asymptote Asymptote is a powerful descriptive vector graphics language that provides a natural coordinate-based framework for technical drawing. This package provides documentation and examples. Homepage: http://asymptote.sourceforge.net/ Tag: made-of::html, made-of::info, role::documentation Section: doc Priority: optional Filename: pool/main/a/asymptote/asymptote-doc_2.15-2_all.deb Package: at Version: 3.1.13-2+deb7u1 Architecture: armhf Maintainer: Ansgar Burchardt Installed-Size: 170 Depends: libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1), lsb-base (>= 3.2-14), libpam-runtime (>= 1.0.1-11) Recommends: default-mta | mail-transport-agent Multi-Arch: foreign Priority: standard Section: admin Filename: pool/main/a/at/at_3.1.13-2+deb7u1_armhf.deb Size: 42738 SHA256: 107819b48733969b318256273c4b299db524fd29c26bffac5f3841f51ef7c4f1 SHA1: cd4360369c4776690bd4c3392152f50e746ce689 MD5sum: 948b59c0dd35a45fcea72fbe56bd75ea Description: Delayed job execution and batch processing At and batch read shell commands from standard input storing them as a job to be scheduled for execution in the future. . Use at to run the job at a specified time batch to run the job when system load levels permit Package: at-spi Version: 1.32.0-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1411 Depends: gconf2 (>= 2.28.1-2), gconf-service, libatk1.0-0 (>= 1.18.0), libatspi1.0-0 (>= 1.32.0), libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.19.7), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxtst6, libgail-common Replaces: libatspi-dev (<< 1.6.0-2) Priority: optional Section: gnome Filename: pool/main/a/at-spi/at-spi_1.32.0-2_armhf.deb Size: 207100 SHA256: 1cfe1586c0f38da06d293cbb0ee4b19369f4dc439b676b2919025333928289ac SHA1: f67f97d2a06f3d22cbad2697239f9e283e42d139 MD5sum: 662b6a685a69c8a967cccbb4b2e0bf5b Description: Assistive Technology Service Provider Interface Providing accessibility means removing barriers that prevent people with disabilities from participating in substantial life activities, including the use of services, products, and information. Assistive access means that system infrastructure allows add-on assistive software to transparently provide specialized input and output capabilities. . This package contains the core components of GNOME Accessibility. if you need to use Assistive technology, install it. Package: at-spi-doc Source: at-spi Version: 1.32.0-2 Installed-Size: 935 Maintainer: Debian GNOME Maintainers Architecture: all Recommends: devhelp Size: 160952 SHA256: b1d6e9cdf2a3e241a4cd581bf47bb2101812606249fecc1b489b214907bf6dc7 SHA1: 00f1bceccf00717451463be693b634727c343171 MD5sum: 7caaf02d845a4bce890f3f67f615ea11 Description: Documentation files of at-spi for GNOME Accessibility at-spi is the "Assistive Technology Service Provider Interface". . Accessibility is enabling people with disabilities to participate in substantial life activities that include work and the use of services, products and information. . This package contains the documentation files for at-spi. Tag: made-of::html, role::documentation, suite::gnome Section: doc Priority: optional Filename: pool/main/a/at-spi/at-spi-doc_1.32.0-2_all.deb Package: at-spi2-core Version: 2.5.3-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 165 Depends: libatspi2.0-0, libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libglib2.0-0 (>= 2.26.0), libx11-6, libxtst6 Breaks: gdm3 (<< 3.4) Multi-Arch: foreign Homepage: http://live.gnome.org/Accessibility Priority: optional Section: misc Filename: pool/main/a/at-spi2-core/at-spi2-core_2.5.3-2_armhf.deb Size: 40378 SHA256: ec55022bd0c324c3266fa310ec9f9051c2658dd7be1c497f807b70035a852f27 SHA1: 789594e51ad0d3db4c23ed3fb146b519ce3d8d33 MD5sum: a1f9f82523b78e03e89bba7dd8a7b793 Description: Assistive Technology Service Provider Interface (dbus core) This package contains the core components of GNOME Accessibility. Package: at-spi2-core-dbg Source: at-spi2-core Version: 2.5.3-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 146 Depends: at-spi2-core (= 2.5.3-2) Homepage: http://live.gnome.org/Accessibility Priority: extra Section: debug Filename: pool/main/a/at-spi2-core/at-spi2-core-dbg_2.5.3-2_armhf.deb Size: 83380 SHA256: 07d8378d564f72041da20974a47d50e1dcbb7448fd1925faa6aeb255b0cf160a SHA1: 41624984c1d19fd9505bee89f1c2133988a8c971 MD5sum: c291db17ab7acbd9a54bbb66ef4681c7 Description: Assistive Technology Service Provider Interface - debugging symbols This package contains the debugging symboles for core components of GNOME Accessibility. Package: at-spi2-doc Source: at-spi2-core Version: 2.5.3-2 Installed-Size: 938 Maintainer: Debian Accessibility Team Architecture: all Recommends: devhelp Size: 97816 SHA256: 0b07f37b3e4f3890ebd1c33a613e1082b880bda4b98c68c36c9e8d62ac07bf0e SHA1: 3567ce8f4e6f71f254a1732edc045138e86ea073 MD5sum: de67efafefcc106f1613a2fb90f5bd39 Description: Assistive Technology Service Provider Interface (Documentation) This package contains documentation for the at-spi2 interface. Homepage: http://live.gnome.org/Accessibility Section: doc Priority: optional Filename: pool/main/a/at-spi2-core/at-spi2-doc_2.5.3-2_all.deb Package: atanks Version: 5.5+dfsg-0.1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 608 Depends: liballegro4.4, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), atanks-data (= 5.5+dfsg-0.1) Homepage: http://atanks.sourceforge.net/ Priority: optional Section: games Filename: pool/main/a/atanks/atanks_5.5+dfsg-0.1_armhf.deb Size: 204330 SHA256: 94ccd4f3d59b15ab18244a571cd0f9bf737a7a0fd7d1efef946870c5e11fbab9 SHA1: 393bdd9e6a0ec206a712e219bceaba939b4412af MD5sum: a86c298186bdf132d638fe4fa0b9211b Description: tank-battling game Atomic tanks is a multi-player game in which you attempt to destroy other tanks while trying to protect your own. You earn money for destroying other tanks; with this money you can buy upgrades and better weapons for your tank. . This game is similar Scorched Earth or the Worms series of games. Package: atanks-data Source: atanks Version: 5.5+dfsg-0.1 Installed-Size: 7881 Maintainer: Debian Games Team Architecture: all Size: 2250946 SHA256: e6d8ade40317a713696a051074445263c3914c07e6da9748f208da85c7a7920b SHA1: 50594cb445a4ac3d9ff82b8fd6d220db98a12913 MD5sum: 87efc2a057340f990e01fb60fa999653 Description: data files for Atomic tanks Atomic tanks is a multi-player game in which you attempt to destroy other tanks while trying to protect your own. You earn money for destroying other tanks; with this money you can buy upgrades and better weapons for your tank. . This game is similar Scorched Earth or the Worms series of games. . This package holds the data files needed for Atomic tanks. Homepage: http://atanks.sourceforge.net/ Tag: game::arcade, game::strategy, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/a/atanks/atanks-data_5.5+dfsg-0.1_all.deb Package: aterm Version: 1.0.1-8 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 184 Depends: libafterimage0 (>= 2.2.11), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), librsvg2-2 (>= 2.14.4), libsm6, libtiff4 (>> 3.9.5-3~), libx11-6, libxext6, zlib1g (>= 1:1.1.4) Conflicts: suidmanager (<< 0.50) Provides: x-terminal-emulator Homepage: http://aterm.sf.net Priority: optional Section: x11 Filename: pool/main/a/aterm/aterm_1.0.1-8_armhf.deb Size: 88418 SHA256: 73369696b5ad804a99803f964547cb57fc04b6cbd1e6f75f8b6aab9c3cd0c4bd SHA1: ba69a1a9cdfd99497ac4d73260c5dc462e15740b MD5sum: b7564097705e0ad7ac1374016b930a85 Description: Afterstep XVT - a VT102 emulator for the X window system Aterm is a colour vt102 terminal emulator, based on rxvt 2.4.8 with some additions of fast transparency, intended as an xterm replacement for users who do not require features such as Tektronix 4014 emulation and toolkit-style configurability. As a result, aterm uses much less swap space -- a significant advantage on a machine serving many X sessions. It was created with AfterStep Window Manager users in mind, but is not tied to any libraries, and can be used anywhere. Package: aterm-ml Source: aterm Version: 1.0.1-8 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 529 Depends: libafterimage0 (>= 2.2.11), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), librsvg2-2 (>= 2.14.4), libsm6, libtiff4 (>> 3.9.5-3~), libx11-6, libxext6, zlib1g (>= 1:1.1.4) Recommends: aterm Suggests: xfonts-intl-european, xfonts-intl-chinese (>= 1.1-4) | xfonts-cmex-big5p Conflicts: suidmanager (<< 0.50) Provides: x-terminal-emulator Homepage: http://aterm.sf.net Priority: optional Section: x11 Filename: pool/main/a/aterm/aterm-ml_1.0.1-8_armhf.deb Size: 280638 SHA256: 3f25f343f3c78dff86568ab557ac66128a7ca92f1d5376e86f3bfc043d2a9293 SHA1: b47dadb7a2071a70442203343b064cf5052ed987 MD5sum: 943afabfe95237f846cb7545418394c3 Description: Afterstep XVT - a VT102 emulator for the X window system Aterm is a colour vt102 terminal emulator, based on rxvt 2.4.8 with some additions of fast transparency, intended as an xterm replacement for users who do not require features such as Tektronix 4014 emulation and toolkit-style configurability. As a result, aterm uses much less swap space -- a significant advantage on a machine serving many X sessions. It was created with AfterStep Window Manager users in mind, but is not tied to any libraries, and can be used anywhere. . This package contains four aterm binaries: katerm and caterm, for Japanese and Chinese (Big5 only) characters support; gaterm, which supports ELOT-928 (ISO-8859-7 standard) and IBM-437 keyboard translations for Greek character entry, and taterm for Thai characters support. Package: atfs Source: shapetools Version: 1.4pl6-11 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 338 Depends: libatfs1 (>= 1.4pl6), libc6 (>= 2.12) Homepage: http://swt.cs.tu-berlin.de/~shape/index.html Priority: optional Section: devel Filename: pool/main/s/shapetools/atfs_1.4pl6-11_armhf.deb Size: 174114 SHA256: 0db23450f6e96a6dc1ac258b07eecd939e46393bc81033b8079af970c0eb3f7f SHA1: 0c64e4d4de7805e5917ad12587d6d3b8402201ab MD5sum: ab7d7b861ea672413afb3d63c8905cc7 Description: The Attributed File System (AtFS) AtFS is a storage system supporting multiple versions of files and associating an arbitrary number of application defined attributes of the form "name=value" with each version. AtFS comes as a function library that is meant as an extension to the UNIX file system. It does this without the need for kernel modifications and without imposing any restrictions to existing file system applications. It is part of ShapeTools, a software configuration management system. Package: atfs-dev Source: shapetools Version: 1.4pl6-11 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 939 Depends: atfs (= 1.4pl6-11) Homepage: http://swt.cs.tu-berlin.de/~shape/index.html Priority: optional Section: libdevel Filename: pool/main/s/shapetools/atfs-dev_1.4pl6-11_armhf.deb Size: 389342 SHA256: 3278927534b3f0260d11aea185bc95a9896e2391329a5dea963ca765197bc2ad SHA1: 1b08caeb538f2d7069661071ede8256fbd3d35db MD5sum: 59145c622a4c01789fbf1dffd502bfe5 Description: The Attributed File System (AtFS development libraries) AtFS is a storage system supporting multiple versions of files and associating an arbitrary number of application defined attributes of the form "name=value" with each version. It is part of ShapeTools, a software configuration management system. . This package contains the development files needed to compile and link programs with AtFS. Package: atftp Version: 0.7.dfsg-11 Architecture: armhf Maintainer: Ludovic Drolez Installed-Size: 89 Depends: libc6 (>= 2.13-28), libreadline6 (>= 6.0) Priority: extra Section: net Filename: pool/main/a/atftp/atftp_0.7.dfsg-11_armhf.deb Size: 31964 SHA256: b528ed43c55ff0c1699249d98c101a536a03e05a8d8bd8eb5498ea4c90163324 SHA1: c0c95b617773e3fc298c27dcdb70434f212d56a8 MD5sum: 23bfd204b839b6e8a974efb1620958d6 Description: advanced TFTP client Interactive client for the Trivial File Transfer Protocol (TFTP). Its usage is mainly for testing and debugging the Atftp server. TFTP client is usually implemented in BIOS and bootstraps programs like pxelinux when booting from LAN. Atftp also supports non-interactive invocation for easy use in scripts. Package: atftpd Source: atftp Version: 0.7.dfsg-11 Architecture: armhf Maintainer: Ludovic Drolez Installed-Size: 170 Depends: libc6 (>= 2.13-28), libpcre3 (>= 8.10), libwrap0 (>= 7.6-4~), debconf (>= 0.5) | debconf-2.0, update-inetd Recommends: inet-superserver Suggests: logrotate Conflicts: tftpd Priority: extra Section: net Filename: pool/main/a/atftp/atftpd_0.7.dfsg-11_armhf.deb Size: 63298 SHA256: 24d4841237e4651a54fa7fb447a888e8e5543cef180f8c79a7db198e457031c7 SHA1: 412131775cbe92c13772809f17c0bed3be91dcb5 MD5sum: f0c2e6f3a1d126e86e5ac1af79cbe2f8 Description: advanced TFTP server Multi-threaded TFTP server implementing all options (option extension and multicast) as specified in RFC1350, RFC2090, RFC2347, RFC2348 and RFC2349. Atftpd also supports multicast protocol known as mtftp, defined in the PXE specification. The server supports being started from inetd(8) as well as in daemon mode using init scripts. Package: atheist Version: 0.20110402-2 Installed-Size: 555 Maintainer: Cleto Martín Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libjs-sphinxdoc (>= 1.0), nmap, curl, python-mock, python-notify, python-loggingx Size: 128956 SHA256: 17d88b09c8bbad2dc9e9b855a0f9b862644853aa79b04d85ba61a390cf06dcf3 SHA1: dc176eeb12db4bf76180c21f8e1f643a27b7705f MD5sum: 0c543b6750440aeb3929ed7db49281f3 Description: General purpose command-line testing tool Atheist allows you to specify the behaviour of your tests in a declarative way using many predefined structures (such as Task, Conditions, Daemons, etc.) and provides detailed statistics. . The programmer describes the test behaviour in a .test file and atheist runs every test found. It is possible to check and prepare the system by writing setup and teardown files that are executed after and before each test. Homepage: https://savannah.nongnu.org/projects/atheist/ Tag: devel::testing-qa, implemented-in::python, role::program Section: devel Priority: optional Filename: pool/main/a/atheist/atheist_0.20110402-2_all.deb Package: athena-jot Version: 9.0-5 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 59 Depends: libc6 (>= 2.7) Priority: optional Section: utils Filename: pool/main/a/athena-jot/athena-jot_9.0-5_armhf.deb Size: 10762 SHA256: 19f903340fa9dc04736cf64136720819e99f833b9b47df0e67cebad0c45d4cab SHA1: 4056ae5d368324b011335e42c217051365c554c8 MD5sum: 218d07ddef53ecffe078bec04c59e47e Description: print out increasing, decreasing, random, or redundant data, one per line Athena jot - or simply jot - prints out increasing, decreasing, random, or redundant data, usually numbers, one per line. . It's a tiny C program very useful within shell scripts. Package: atlc Version: 4.6.1-1 Architecture: armhf Maintainer: Bdale Garbee Installed-Size: 1983 Depends: libc6 (>= 2.13-28) Suggests: atlc-examples Priority: optional Section: electronics Filename: pool/main/a/atlc/atlc_4.6.1-1_armhf.deb Size: 1254532 SHA256: ff1bb5ba648e5d31cdce32216b05d81edac43796d86945a3d0cb0c7b098d4052 SHA1: 6009cb05fe7ceedbcb97531848b4f93cc6f8dc56 MD5sum: 9cb10dd362ab70b95bf3bcc5c1e98dec Description: Arbitrary Transmission Line Calculator atlc is a computer aided design (CAD) package for the design and analysis of electrical transmission lines and directional couplers of totally arbitrary cross section and an arbitrary number of different dielectrics. . By analysis, it is assumed one requires finding the electrical properties of a transmission line or coupler, where the physical dimensions of the device are known. By design, it is assumed one requires a transmission line or coupler to have certain electrical properties and one wishes to find how to physically realise such a structure . atlc likely to be of use to radio amateurs, professional RF engineers, students and academics. . More information on atlc is available at http://atlc.sourceforge.net/ Package: atlc-examples Source: atlc Version: 4.6.1-1 Installed-Size: 129163 Maintainer: Bdale Garbee Architecture: all Size: 1092542 SHA256: 5f26348af2d571a5944e0d00d92bf7d63d5512745c5958be3b65bf5ae5d3c09e SHA1: 1a3fde0156dc109b6fa42f04eb9b821ee51d08db MD5sum: af9826e74b2ee2b32a49ab32e3a175cf Description: Examples for Arbitrary Transmission Line Calculator Contains a large number of example structures for analysis with atlc. Tag: devel::examples, field::electronics, interface::commandline, role::app-data, use::editing, works-with::image, works-with::image:raster Section: electronics Priority: optional Filename: pool/main/a/atlc/atlc-examples_4.6.1-1_all.deb Package: atm-tools Source: linux-atm Version: 1:2.5.1-1.5 Architecture: armhf Maintainer: Peter De Schrijver (p2) Installed-Size: 795 Depends: libatm1 (>= 2.4.1-17~), libc6 (>= 2.13-28) Multi-Arch: foreign Homepage: http://linux-atm.sourceforge.net/ Priority: optional Section: net Filename: pool/main/l/linux-atm/atm-tools_2.5.1-1.5_armhf.deb Size: 314168 SHA256: a4900db130eafd31c2a8b971be626ed1e80cc43bc4beb656d5c5bd4c33de1956 SHA1: 66601fd6ce13572a0bc3b246c76f49e709d85ae9 MD5sum: 91b791dc0801da3bd90fa17eb7577fb5 Description: Base programs for ATM in Linux, the net-tools for ATM This package provides all the basic programs needed for setting up, monitoring and tuning ATM networks. Such as: * atmsigd, an ATM signal daemon that implements the ATM UNI protocol. * atmtcp, a tool to setup ATM over TCP connections. * atmarpd, an implementation of the ATMARP protocol (RFC1577, RFC1755) * zeppelin, an ATM LAN Emulation client daemon * les and bus, ATM LAN Emulation service daemons . Notice that upstream still flags these tools as experimental software and says that there is still a number of known bugs and issues. The software is, however, in productive use at a number of sites and is working reliably. Package: atom4 Version: 4.1-5.1 Architecture: armhf Maintainer: Hwei Sheng Teoh Installed-Size: 153 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.3.0), libtinfo5, libx11-6, libxpm4 Priority: optional Section: games Filename: pool/main/a/atom4/atom4_4.1-5.1_armhf.deb Size: 44126 SHA256: b4ddb9f8a9201ba18d8c7bcede01107bcb470347e9b3d506491d35194f3122f7 SHA1: 171dc2b503d4838447bd4d7efa31629c3f331d5e MD5sum: dc6a5f929ea68d842befffb923c6eccb Description: An original two-player color puzzle game Atom-4 is a two-player color manipulation game played with colored spherical pieces on a board divided into equilateral triangles. The player who first makes a row of 4 pieces of the right color wins. However, the players do not directly play pieces of the winning color; they must construct their winning pieces via color changes that happen to neighbouring pieces whenever a new piece is placed on the board. . Atom-4 supports both a curses-based text interface and an X11 interface. Package: atomicparsley Version: 0.9.2~svn110-4 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 255 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1), zlib1g (>= 1:1.1.4) Homepage: http://atomicparsley.sourceforge.net/ Priority: optional Section: video Filename: pool/main/a/atomicparsley/atomicparsley_0.9.2~svn110-4_armhf.deb Size: 110394 SHA256: 247657ca7c76ed6ec335a8cbcbe2cc61785dd605bdaa2b2f6be045aa8f74aca2 SHA1: 1f8ca7a65808d9d04ae553bf0b1cfd562bb3f9d3 MD5sum: 98344149e93ad53662acc7b46f63947c Description: read, parse and set metadata of MPEG-4 and 3gp files AtomicParsley is a lightweight command line program for reading, parsing and setting metadata into MPEG-4 files supporting these styles of metadata: . - iTunes-style metadata into .mp4, .m4a, .m4p, .m4v, .m4b files - 3gp-style assets (3GPP TS 26.444 version 6.4.0 Release 6 specification conforming) in 3GPP, 3GPP2, MobileMP4 & derivatives - ISO copyright notices at movie & track level for MPEG-4 & derivative files - uuid private user extension text & file embedding for MPEG-4 & derivative files Package: atomix Version: 2.14.0-2 Architecture: armhf Maintainer: Guilherme de Siqueira Pastore Installed-Size: 138 Depends: gconf-service, libart-2.0-2 (>= 2.3.17), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libxml2 (>= 2.7.4), atomix-data (>= 2.14.0-2) Replaces: atomix-data (<< 2.13.3) Priority: optional Section: games Filename: pool/main/a/atomix/atomix_2.14.0-2_armhf.deb Size: 53262 SHA256: 415c5557b9a01b02b21f6fb2f49b98a543b034c7ad82b5aa2fcfc2987c0fc867 SHA1: 1d80e3bf395c600861ee67c39c625f52c882e385 MD5sum: 11d7f412f575985bd59c30c4855ec748 Description: puzzle game for building molecules out of separate atoms Atomix is an educational game designed for GNOME in which one has to build molecules, ranging from simple inorganic ones to some extremely complex organic ones, out of separate atoms. . The first levels can be rather easy, but added complexity always comes with the next level, so that several minutes (or much longer than that) can be spent on each single level. Package: atomix-data Source: atomix Version: 2.14.0-2 Installed-Size: 413 Maintainer: Guilherme de Siqueira Pastore Architecture: all Size: 108846 SHA256: bdb1af4a113cc92e54c99074ee21f0b9356fb18053dfd67f63823245e93167cb SHA1: b643ef57abbdeff961ff3aedefde333e6a0c3213 MD5sum: 38e995d8002318688d4885bec464704e Description: architecture independent files for atomix This package contains all the files which atomix places under /usr/share, which are not specific to any single processor architecture once the package is build, so a single package can serve all the architectures Debian supports. . This package is not useful at all if atomix is not installed. Tag: field::chemistry, game::puzzle, interface::x11, role::app-data, suite::gnome, uitoolkit::gtk, x11::application Section: games Priority: optional Filename: pool/main/a/atomix/atomix-data_2.14.0-2_all.deb Package: atool Version: 0.39.0-2 Installed-Size: 154 Maintainer: Francois Marier Architecture: all Depends: perl Recommends: bash-completion, binutils, bzip2, file, unzip, zip, lbzip2 | pbzip2 Suggests: arc, arj, cpio, lzop, nomarch, rpm, unace, p7zip, unalz, lzma, rar, unrar, xz-utils, lzip Size: 45958 SHA256: c0d106d5aa3238d1ac77452aafa0bf4cd518168786bfaeef7ece600a4dfd6bf5 SHA1: 15c7e3896026f5937ebdec08a99d064835b882d1 MD5sum: 45e0c327daf9ee0eb5fefadbf38c25d8 Description: tool for managing file archives of various types atool is a script for managing file archives of various types (tar, tar+gzip, zip etc). The main command is probably aunpack, extracting files from an archive. It overcomes the dreaded "multiple files in archive root" problem by first extracting to a unique subdirectory, and then moving back the files if possible. aunpack also prevents local files from being overwritten by mistake. . Other commands provided are apack (create archives), als (list files in archives), and acat (extract files to standard out). Homepage: http://www.nongnu.org/atool/ Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, use::compressing, use::converting, use::storing, works-with-format::TODO, works-with-format::tar, works-with-format::zip, works-with::archive, works-with::software:package Section: utils Priority: optional Filename: pool/main/a/atool/atool_0.39.0-2_all.deb Package: atop Version: 1.26-2 Architecture: armhf Maintainer: Marc Haber Installed-Size: 269 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5, zlib1g (>= 1:1.1.4), lsb-base (>= 3.2-14) Recommends: cron Homepage: http://www.atconsultancy.nl/atop/home.html Priority: optional Section: admin Filename: pool/main/a/atop/atop_1.26-2_armhf.deb Size: 114198 SHA256: c5b01d1994e0250cd018f4facc15d137a6b5f1a7263672163d5c8a46b80ff64b SHA1: 044aeeac43d2ebcc31accedcf9e40a010160bd96 MD5sum: 1c5795ac9908b33bd84b59fc700f3d86 Description: Monitor for system resources and process activity Atop is an ASCII full-screen performance monitor, similar to the top command, but atop only shows the active system-resources and processes, and only shows the deviations since the previous interval. At regular intervals, it shows system-level activity related to the CPU, memory, swap, disks and network layers, and it shows for every active process the CPU utilization in system and user mode, the virtual and resident memory growth, priority, username, state, and exit code. The process level activity is also shown for processes which finished during the last interval, to get a complete overview about the consumers of things such as CPU time. . Author: Gerlof Langeveld Package: atp Version: 1.2-11 Architecture: armhf Maintainer: Hamish Moffatt Installed-Size: 86 Depends: libc6 (>= 2.13-28), libpaper1 Priority: optional Section: text Filename: pool/main/a/atp/atp_1.2-11_armhf.deb Size: 27128 SHA256: 82abcb09ac8eed5bbbcde61ddffb56234048acdccf8c96498fbbde7888e92f88 SHA1: 54bcb2262192b95597cdaec5496db0fd2387fc0e MD5sum: 0445b53f4b36ea7d4033c6eb94da412c Description: text to PostScript converter with some C syntax highlighting atp is a text to postscript converter. It supports a special "gaudy" output mode, uses user-selectable number of columns and margins in the output, supports nroff's bold and italic conventions, and has some syntax highlighting for C and C++ sources. Package: atris Version: 1.0.7.dfsg.1-8 Architecture: armhf Maintainer: Pascal Giard Installed-Size: 515 Depends: libc6 (>= 2.13-28), libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), fonts-freefont-ttf Homepage: http://www.wkiri.com/projects/atris Priority: optional Section: games Filename: pool/main/a/atris/atris_1.0.7.dfsg.1-8_armhf.deb Size: 323312 SHA256: b3cbf0954c64899a568ff61eb0a1e5ebe44e01e17f7d63f6cb85d24f2ebba058 SHA1: 36572bd49c84a9a66732f51f8bc7741fa5f0cc92 MD5sum: ce807fc93ef0b714a7b167dcdab7bbff Description: tetris-like game with a twist for Unix Alizarin Tetris includes multi-player support, user-extensible color, shape and sound styles, can use TCP/IP networking and features a few different AI opponents. Package: ats-lang-anairiats Version: 0.2.3-1 Architecture: armhf Maintainer: Matthew Danish Installed-Size: 5355 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10 Recommends: libgmp3-dev, libpcre3-dev, libsdl1.2-dev, libgtk2.0-dev, libcairo2-dev Suggests: ats-lang-anairiats-examples, ats-lang-anairiats-doc Homepage: http://www.ats-lang.org Priority: optional Section: devel Filename: pool/main/a/ats-lang-anairiats/ats-lang-anairiats_0.2.3-1_armhf.deb Size: 1014782 SHA256: 8525259319a16abadd1691fb9d0cf54a46be5928ac7e479b26fe3d1e638b4ee7 SHA1: deafed8ed79efaddac53bb5b11610710b55fa159 MD5sum: 6f3274e9df934876a61e1e5eff6bb904 Description: The ATS language compiler Anairiats ATS is a programming language with a highly expressive type system rooted in the framework Applied Type System. In particular, both dependent types and linear types are available in ATS. The current implementation of ATS (ATS/Anairiats) is written in ATS itself. It can be as efficient as C/C++ and supports a variety of programming paradigms. . In addition, ATS contains a component ATS/LF that supports a form of (interactive) theorem proving, where proofs are constructed as total functions. With this component, ATS advocates a programming style that combines programming with theorem proving. Furthermore, this component may be used as a logical framework to encode various deduction systems and their (meta-)properties. . This package contains the compiler atsopt, the frontend atscc, and the lexer atslex. Package: ats-lang-anairiats-doc Source: ats-lang-anairiats Version: 0.2.3-1 Installed-Size: 952 Maintainer: Matthew Danish Architecture: all Recommends: ats-lang-anairiats Size: 411238 SHA256: ef0b1d23efafc088943731edc357870efbf5197da048f64f25cd5c6d388b4feb SHA1: b7ccad4468efe55fec9531fb489f958c232c9ab5 MD5sum: 39b0e112a43dc01264dd6524c156124e Description: Documentation for the ATS compiler Anairiats ATS is a programming language with a highly expressive type system rooted in the framework Applied Type System. In particular, both dependent types and linear types are available in ATS. The current implementation of ATS (ATS/Anairiats) is written in ATS itself. It can be as efficient as C/C++ and supports a variety of programming paradigms. . In addition, ATS contains a component ATS/LF that supports a form of (interactive) theorem proving, where proofs are constructed as total functions. With this component, ATS advocates a programming style that combines programming with theorem proving. Furthermore, this component may be used as a logical framework to encode various deduction systems and their (meta-)properties. . This package contains some documentation for the language. Homepage: http://www.ats-lang.org Tag: devel::doc, devel::examples, made-of::html, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/a/ats-lang-anairiats/ats-lang-anairiats-doc_0.2.3-1_all.deb Package: ats-lang-anairiats-examples Source: ats-lang-anairiats Version: 0.2.3-1 Installed-Size: 1032 Maintainer: Matthew Danish Architecture: all Recommends: ats-lang-anairiats Size: 250100 SHA256: 7ad70a13d5aa6592942c1a9de58074e0cc0d2d3d87df86eb8e141f04c44ff19b SHA1: 133cab95e7f1122e821f8aea416b56be9b1a1e36 MD5sum: b1c0855621580597a231e951b3eec71a Description: Examples for the ATS compiler Anairiats ATS is a programming language with a highly expressive type system rooted in the framework Applied Type System. In particular, both dependent types and linear types are available in ATS. The current implementation of ATS (ATS/Anairiats) is written in ATS itself. It can be as efficient as C/C++ and supports a variety of programming paradigms. . In addition, ATS contains a component ATS/LF that supports a form of (interactive) theorem proving, where proofs are constructed as total functions. With this component, ATS advocates a programming style that combines programming with theorem proving. Furthermore, this component may be used as a logical framework to encode various deduction systems and their (meta-)properties. . This package contains some examples of the language. Homepage: http://www.ats-lang.org Section: devel Priority: optional Filename: pool/main/a/ats-lang-anairiats/ats-lang-anairiats-examples_0.2.3-1_all.deb Package: atsar Version: 1.7-2 Architecture: armhf Maintainer: Michael Stone Installed-Size: 146 Depends: libc6 (>= 2.7) Recommends: cron Priority: optional Section: admin Filename: pool/main/a/atsar/atsar_1.7-2_armhf.deb Size: 44502 SHA256: 43f9f0ecd8d7d6c11bb8225317e81c389bb398bffeca2a93dedc22b9e46d7867 SHA1: 057649a75263b4ea6b74e37054c50eca2e22e65a MD5sum: ebbb996dcdb192ace1a5d914e8279de0 Description: system activity reporter Monitor system resources such as CPU, network, memory & disk I/O, and record data for later analysis Package: attal Version: 1.0~rc2-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 2575 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), attal-themes-medieval (>= 1.0~rc2) Homepage: http://www.attal-thegame.org/ Priority: optional Section: games Filename: pool/main/a/attal/attal_1.0~rc2-2_armhf.deb Size: 963604 SHA256: c9f8365f89d212da04f89931aaa184d183b79ad325a59b993474a0f4c756c3fa SHA1: 1544e01e6a86065562a33cd5137754871fa20cd9 MD5sum: 300088b18784f79a8b2e021e076e1ffc Description: turn-based strategy game Attal is an turn-based strategy game that can be played alone (against AI) or against other through a network. . You control Lords with armies and you have to fight against opponents and achieve quests. Package: attal-themes-medieval Source: attal-themes Version: 1.0~rc2.dfsg1-1 Installed-Size: 39224 Maintainer: Debian Games Team Architecture: all Recommends: attal (>= 1.0~rc1) Size: 34768600 SHA256: 562e2b32f899d073324889f15ca101b86f2a5c2141014047fe2a16922ade7e7d SHA1: 97935a676cdbab935faa2709ed30d8d177f5ae7e MD5sum: f09b49ae16204eada02d5f33e8be3097 Description: medieval theme for attal Attal is an turn-based strategy game that can be played alone (against AI) or against other through a network. . This package provides the medieval theme for attal. Homepage: http://www.attal-thegame.org/ Tag: game::strategy, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/a/attal-themes/attal-themes-medieval_1.0~rc2.dfsg1-1_all.deb Package: attr Version: 1:2.4.46-8 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 222 Depends: libattr1 (= 1:2.4.46-8), libc6 (>= 2.13-28) Conflicts: xfsdump (<< 2.0.0) Multi-Arch: foreign Homepage: http://savannah.nongnu.org/projects/attr/ Priority: optional Section: utils Filename: pool/main/a/attr/attr_2.4.46-8_armhf.deb Size: 51134 SHA256: a15749c21963b83ddc47aed0705c7c543ef3b3f1fd1f059d7f5bed398db3fe0f SHA1: 77cdb5a2f5596972b934a413bfc7193b2aa243a6 MD5sum: bdae8dcad6791b210a1e248cbc282267 Description: Utilities for manipulating filesystem extended attributes A set of tools for manipulating extended attributes on filesystem objects, in particular getfattr(1) and setfattr(1). An attr(1) command is also provided which is largely compatible with the SGI IRIX tool of the same name. Package: aubio-tools Source: aubio Version: 0.3.2-4.2 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 112 Depends: python-aubio (= 0.3.2-4.2), libaubio2, libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, python (<< 2.8), python (>= 2.7) Priority: optional Section: sound Filename: pool/main/a/aubio/aubio-tools_0.3.2-4.2_armhf.deb Size: 30428 SHA256: 05f01ec8dd4c4461d1efed5caab2b6c5e70176f6c3ae4712e4caaa56aa7bce48 SHA1: 15bf43dcd66406eb29a0ac1ff6deb7bb61c7895e MD5sum: a1d84c672de74bee278f4b9365d5c0a9 Description: a library for audio segmentation -- utilities aubio gathers a set of functions for audio signal segmentation and labelling. The library contains a phase vocoder, onset and pitch detection functions, a beat tracking algorithm and other sound processing utilities. . This package provides command line tools to run the different algorithms. Package: auctex Version: 11.86-11 Installed-Size: 3268 Maintainer: Davide G. M. Salvetti Architecture: all Replaces: preview-latex Provides: preview-latex Depends: emacs23 | emacs-snapshot, preview-latex-style, dpkg (>= 1.15.4) | install-info, debconf (>= 0.5) | debconf-2.0 Recommends: ghostscript, texlive-latex-recommended, xpdf | evince | evince-gtk Suggests: catdvi, dvipng, lacheck Conflicts: preview-latex Breaks: emacspeak (<= 17.0-1) Size: 1247286 SHA256: e58c7a817098d7798e7a06a26e3b658fe83009504014afef7e5de3934fbb9c85 SHA1: 779ca26644ef59f211d3d44b88673e93451085c9 MD5sum: 8a8e2dc734c5ab2b8c4ab8db7359f196 Description: integrated document editing environment for TeX etc. AUCTeX is a comprehensive customizable integrated environment for writing input files for TeX/LaTeX/ConTeXt/Texinfo using GNU Emacs. Currently XEmacs ships with its own AUCTeX version. . It supports processing source files by running TeX and related tools (such as output filters, post processors for generating indices and bibliographies, and viewers) from inside Emacs. AUCTeX allows browsing through the errors reported by TeX, while it moves the cursor directly to the reported error, and displays some documentation for that particular error. This will even work when the document is spread over several files. . AUCTeX can automatically indent LaTeX source, either line by line or for an entire document, and has a special outline feature which can greatly assist in getting an overview of a document. . AUCTeX is written entirely in Emacs Lisp, and may therefore be enhanced with new features for specific needs. It comes with a large range of handy Emacs macros. It is a GNU project, and documentation for all its features is accessible via the Emacs info browser. Homepage: http://www.gnu.org/software/auctex/ Tag: implemented-in::lisp, interface::text-mode, role::plugin, suite::emacs, suite::gnu, use::editing, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/a/auctex/auctex_11.86-11_all.deb Package: audacious Version: 3.2.4-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1390 Depends: audacious-plugins (>= 3.2.4), audacious-plugins (<< 3.2.4+1~), dbus, dbus-x11, gtk2-engines-pixbuf, libaudclient2 (= 3.2.4-1), libaudcore1 (= 3.2.4-1), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libguess1, libice6 (>= 1:1.0.0), libsm6 Recommends: unzip Replaces: libaudutil1, libsad2 Homepage: http://www.audacious-media-player.org/ Priority: optional Section: sound Filename: pool/main/a/audacious/audacious_3.2.4-1_armhf.deb Size: 389804 SHA256: 593032b27487bbbf617e460097c4e2bb8bcac9e84165fdc4cd3feace4a8adf3e SHA1: 212736ab6a030ade2e79e0e8d9874018d6b6fb18 MD5sum: cd415781fa24c98eaad2c852f54d376f Description: small and fast audio player which supports lots of formats Audacious is a fork of beep-media-player which supports winamp skins and many codecs. . In the default install, the following codecs are supported: . * MP3 * Ogg Vorbis / Theora * AAC and AAC+ * FLAC * ALAC * Windows Media (WMA) * WAVE . Additionally, Audacious is extendable through plugins, and contains other useful features like LIRC support. Support for many more codecs can also be added through plugins. . This package contains the core player and its localization. Package: audacious-analog-vumeter-plugin-data Source: audacious-analog-vumeter-plugin Version: 1.0.0-0.1 Installed-Size: 27 Maintainer: Antonino Arcudi Architecture: all Size: 18382 SHA256: 844ec96c96c872e44895ff52147595076bf95ea8b30fa9260d8fca486e1d90e2 SHA1: 4ae387a316647e02ec4f305ff45219d05b656d4f MD5sum: ec720b314dcaa0c3d1d5d2ea09cf3973 Description: Skins for audacious-analog-vumeter-plugin Audacious is a fork of beep-media-player which supports winamp skins and many codecs. . This package contains the skins for the audacious-analog-vumeter-plugin. Homepage: http://sourceforge.net/projects/vumeterplugin/ Section: sound Priority: extra Filename: pool/main/a/audacious-analog-vumeter-plugin/audacious-analog-vumeter-plugin-data_1.0.0-0.1_all.deb Package: audacious-dbg Source: audacious Version: 3.2.4-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 636 Depends: audacious (= 3.2.4-1) Recommends: audacious-plugins-dbg Provides: libaudclient2-dbg, libaudcore1-dbg Multi-Arch: same Homepage: http://www.audacious-media-player.org/ Priority: extra Section: debug Filename: pool/main/a/audacious/audacious-dbg_3.2.4-1_armhf.deb Size: 457266 SHA256: 23efe06324922a7e3e3ee4f64b84cab3c4db72914340fc83a7ad67f143b44c2d SHA1: ee2e58f25d9aea04de859c60e1cff641d1e79b5f MD5sum: e66a2072aab588af7eaf624470f1daf2 Description: audacious media player (debugging symbols) Audacious is a fork of beep-media-player which supports winamp skins and many codecs. . In the default install, the following codecs are supported: . * MP3 * Ogg Vorbis / Theora * AAC and AAC+ * FLAC * ALAC * Windows Media (WMA) * WAVE . Additionally, Audacious is extendable through plugins, and contains other useful features like LIRC support. Support for many more codecs can also be added through plugins. . This package contains the debugging symbols. Please install it before reporting crashes, as this makes the backtrace output more usable. Package: audacious-dev Source: audacious Version: 3.2.4-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 204 Depends: libaudclient2 (= 3.2.4-1), libaudcore1 (= 3.2.4-1), libdbus-1-dev (>= 0.60), libdbus-glib-1-dev (>= 0.60), libgtk-3-dev, libmcs-dev (>= 0.7) Provides: libaudclient-dev, libaudcore-dev, libaudid3tag-dev Multi-Arch: same Homepage: http://www.audacious-media-player.org/ Priority: optional Section: libdevel Filename: pool/main/a/audacious/audacious-dev_3.2.4-1_armhf.deb Size: 43416 SHA256: 66ee626a69f7b067540bb65d92356b99cc5ffac5deab64b4d0a30af5bb54dcd4 SHA1: 4f83f7ce7065d0b7d6ef68cf452704e039c04530 MD5sum: 138f472c0db52557bb14b6fcf55c3f10 Description: audacious development files Audacious is a fork of beep-media-player which supports winamp skins and many codecs. . In the default install, the following codecs are supported: . * MP3 * Ogg Vorbis / Theora * AAC and AAC+ * FLAC * ALAC * Windows Media (WMA) * WAVE . Additionally, Audacious is extendable through plugins, and contains other useful features like LIRC support. Support for many more codecs can also be added through plugins. . This package contains the development libraries and header files required for developing components for audacious. . Please note that this package no longer contains libaudacious, as libaudacious was dropped in Audacious 1.4. You should use libaudclient instead. Package: audacious-dumb Version: 0.80-1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 71 Depends: libatk1.0-0 (>= 1.12.4), libaudcore1 (>= 3.2), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdumb1, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), audacious (>= 2.4.3) Homepage: http://www.netswarm.net Priority: extra Section: sound Filename: pool/main/a/audacious-dumb/audacious-dumb_0.80-1_armhf.deb Size: 17632 SHA256: 6f9695fde4ba9bbb2b5a9041c4251041e11f52d363a0a92afc499a45e5a070a1 SHA1: ecda90953c87cc94427379c6365f8da577e72c13 MD5sum: e06178bd945c78929142013c94f9d028 Description: audacious plugin for MOD playback via libdumb audacious-dumb is a plugin for playing back MODs using the popular libdumb MOD playback engine. . It supports module formats such as: IT (Impulse Tracker), S3M (Scream Tracker 3), XM (Fast Tracker 2), and also some common Amiga Module file formats. Package: audacious-plugins Version: 3.2.4-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 3258 Pre-Depends: multiarch-support Depends: audacious-plugins-data (>= 3.2.4-1), libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libaudcore1 (>= 3.2), libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.4), libavformat53 (>= 6:0.8.3-1~), libavutil51 (>= 6:0.8.3-1~), libbinio1ldbl, libbs2b0, libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libcddb2, libcdio-cdda1 (>= 0.83), libcdio13 (>= 0.83), libcue1, libcurl3-gnutls (>= 7.16.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfaad2 (>= 2.7), libflac8 (>= 1.2.1), libfluidsynth1, libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.1.4), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblircclient0, libmms0 (>= 0.4), libmodplug1, libmp3lame0, libmpg123-0 (>= 1.13.7), libmtp9 (>= 1.1.0), libneon27-gnutls, libnotify4 (>= 0.7.0), libogg0 (>= 1.0rc3), libpango1.0-0 (>= 1.14.0), libpulse0 (>= 0.99.1), libresid-builder0c2a, libsamplerate0 (>= 0.1.7), libsdl1.2debian (>= 1.2.11), libsidplay2, libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.6), libusb-1.0-0 (>= 2:1.0.8), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libwavpack1 (>= 4.40.0), libx11-6, libxcomposite1 (>= 1:0.3-1), libxml2 (>= 2.7.4), libxrender1, zlib1g (>= 1:1.1.4) Recommends: audacious (>= 2.4.3) Breaks: audacious (<< 2.4.3), audacious-plugins-extra (<< 1.3.5-4) Replaces: audacious (<< 2.4.3), audacious-plugins-extra Multi-Arch: same Homepage: http://www.audacious-media-player.org/ Priority: optional Section: sound Filename: pool/main/a/audacious-plugins/audacious-plugins_3.2.4-1_armhf.deb Size: 1190080 SHA256: 6922742611c3b19c4bd179a7784b28a0fb2b3f0da602acf9cb466634ded780bc SHA1: 692be9ce633be3b2b2ea22b6d7a5c01e54943b5b MD5sum: 4a97f8a86ebdb51bea3d53f4df7c74f3 Description: Base plugins for audacious Audacious is a fork of beep-media-player which supports winamp skins and many codecs. . In the default install, the following codecs are supported: . * MP3 * Ogg Vorbis * AAC and AAC+ * FLAC * Windows Media (WMA) * Many module formats and much more! . Additionally, Audacious is extendable through plugins, and contains other useful features like LIRC support. . This package contains the plugins for Audacious. * Audio CD reading * MPEG support (mp3) * Ogg Vorbis support * Windows Media support (WMA) * AAC support * FLAC support * ALAC support * WAVE support * ALSA output * OSS output * Disk writer output * And many more! Package: audacious-plugins-data Source: audacious-plugins Version: 3.2.4-1 Installed-Size: 2063 Maintainer: Debian Multimedia Maintainers Architecture: all Replaces: audacious-plugins (<= 3.2-2~) Recommends: audacious-plugins Breaks: audacious-plugins (<= 3.2-2~) Size: 893846 SHA256: 05857783b3dfb0e3b7fb25f89362d3863e993017820056d2599c0d891d9a778b SHA1: a89540aed5931b3ce645d34b9c26ada9b4476c7c MD5sum: bac8068f1d463fe3d31db514fdca8d5d Description: Data files for Audacious plugins Audacious is a fork of beep-media-player which supports winamp skins and many codecs. . This package contains internationalized messages and skins for Audacious plugins. Multi-Arch: foreign Homepage: http://www.audacious-media-player.org/ Section: sound Priority: optional Filename: pool/main/a/audacious-plugins/audacious-plugins-data_3.2.4-1_all.deb Package: audacious-plugins-dbg Source: audacious-plugins Version: 3.2.4-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 3562 Depends: audacious-plugins (= 3.2.4-1) Recommends: audacious-dbg Multi-Arch: same Homepage: http://www.audacious-media-player.org/ Priority: extra Section: debug Filename: pool/main/a/audacious-plugins/audacious-plugins-dbg_3.2.4-1_armhf.deb Size: 2708134 SHA256: d0f25da5ee50d4a01e51a644ecadfb74bc700b7623cbd3b378d85c4295b32d9a SHA1: aa3fbce93dd12ec25e85aace72f94ec77614c495 MD5sum: 130191fca3bdbe87f1c23651fa2a9e9e Description: Audacious-Plugins debug symbols Audacious is a fork of beep-media-player which supports winamp skins and many codecs. . In the default install, the following codecs are supported: . * MP3 * Ogg Vorbis * AAC and AAC+ * FLAC * Windows Media (WMA) * Many module formats and much more! . Additionally, Audacious is extendable through plugins, and contains other useful features like LIRC support. . This package contains the debugging symbols for the plugins collection of Audacious. Please install it before reporting any crashes, as it makes bug triage an easier process. Package: audacity Version: 2.0.1-1+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 5612 Depends: audacity-data (= 2.0.1-1+deb7u1), libasound2 (>= 1.0.16), libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.21), libavformat53 (>= 6:0.8.3-1~), libavutil51 (>= 6:0.8.3-1~), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libflac++6 (>= 1.2.1), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libid3tag0 (>= 0.15.1b), libmad0 (>= 0.15.1b-3), libmp3lame0, libogg0 (>= 1.0rc3), libportaudio2 (>= 19+svn20101113-2~), libportsmf0, libsamplerate0 (>= 0.1.7), libsbsms10, libsndfile1 (>= 1.0.20), libsoundtouch0, libstdc++6 (>= 4.4.0), libtwolame0, libvamp-hostsdk3, libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1) Suggests: ladspa-plugin Homepage: http://audacity.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/a/audacity/audacity_2.0.1-1+deb7u1_armhf.deb Size: 2316048 SHA256: e931f4abdd2684aeed67b61115a951cd62dddc4a8201fdaa2f06a60a0489133d SHA1: 196dffeaa209781415c2637002e9d476f58f375e MD5sum: d13bf6f65e09272ff34269ccde71443f Description: fast, cross-platform audio editor Audacity is a multi-track audio editor for Linux/Unix, MacOS and Windows. It is designed for easy recording, playing and editing of digital audio. Audacity features digital effects and spectrum analysis tools. Editing is very fast and provides unlimited undo/redo. . Supported file formats include Ogg Vorbis, MP2, MP3, WAV, AIFF, and AU. Package: audacity-data Source: audacity Version: 2.0.1-1+deb7u1 Installed-Size: 8185 Maintainer: Debian Multimedia Maintainers Architecture: all Replaces: audacity (<= 1.3.6-2) Breaks: audacity (<= 1.3.6-2) Size: 2800472 SHA256: f58c9169279be73f4897099169396245ff60662cbff759a91a4fe54592cb2d3f SHA1: 01faf362328c0a2cb42b4ceb08e407127c36eba4 MD5sum: 1eb85cd89872d9326798395db529e8af Description: fast, cross-platform audio editor (data) Audacity is a multi-track audio editor for Linux/Unix, MacOS and Windows. It is designed for easy recording, playing and editing of digital audio. Audacity features digital effects and spectrum analysis tools. Editing is very fast and provides unlimited undo/redo. . This package contains the architecture-independent data files. Homepage: http://audacity.sourceforge.net/ Recommends: audacity Section: sound Priority: optional Filename: pool/main/a/audacity/audacity-data_2.0.1-1+deb7u1_all.deb Package: audacity-dbg Source: audacity Version: 2.0.1-1+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 42811 Depends: audacity (= 2.0.1-1+deb7u1) Homepage: http://audacity.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/a/audacity/audacity-dbg_2.0.1-1+deb7u1_armhf.deb Size: 16832174 SHA256: 4876d261b6e43276919c4fbbae5aeb63f0c70b068012b54ceafa005a8715bb77 SHA1: f35cb270fe47e48535c9d30d91c6dd7002bea1d0 MD5sum: 6d01c3f878d055e9abc9d251c73222a3 Description: fast, cross-platform audio editor (debug) Audacity is a multi-track audio editor for Linux/Unix, MacOS and Windows. It is designed for easy recording, playing and editing of digital audio. Audacity features digital effects and spectrum analysis tools. Editing is very fast and provides unlimited undo/redo. . This package contains the debugging symbols. Package: audex Version: 0.74~b1-1.1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1600 Depends: kde-runtime, libc6 (>= 2.13-28), libcdparanoia0 (>= 3.10.2+debian), libgcc1 (>= 1:4.4.0), libkcddb4 (>= 4:4.3.4), libkcmutils4 (>= 4:4.4.95), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkemoticons4 (>= 4:4.4.95), libkidletime4 (>= 4:4.4.95), libkio5 (>= 4:4.3.4), libkprintutils4 (>= 4:4.4.95), libkutils4, libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://kde.maniatek.com/audex/ Priority: extra Section: kde Filename: pool/main/a/audex/audex_0.74~b1-1.1_armhf.deb Size: 463350 SHA256: 31bd2c6ca59b9ee3d6d8a3e1633fcb9999de74a6a0781f84f9cba0770d764a3a SHA1: fb8c6a2274eae10a245295d4159eba8b1a04db6b MD5sum: 4923f543d0fa433256e14982e46f8a1f Description: Audio grabber tool for KDE Audex is a new audio grabber tool for CD-ROM drives for the KDE desktop. . The assistant is able to create profiles for LAME, OGG Vorbis (oggenc), FLAC, FAAC (AAC/MP4) and RIFF WAVE. Please install your favorite encoder. Of course for WAVE no external encoder is needed! Beyond the assistant you can define your own profile, which means, that audex works together with commmand line encoders in general. . Some features are: * Extracting with CDDA Paranoia. So you have quite perfect audio quality. * Extracting and encoding run parallel. * Filename editing with local and remote CDDB/FreeDB database. * Submit new entries to CDDB/FreeDB database. * Metadata correction tools like capitalize etc. * Multi-profile extraction (with one commandline-encoder per profile). * Fetch covers from the internet and store them in the database. * Create playlists, cover and template-based-info files in target directory. * Creates extraction and encoding protocols. * Transfer files to a FTP-server. Package: audiofile-tools Source: audiofile Version: 0.3.4-2+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 51 Depends: libaudiofile1 (>= 0.3.4), libc6 (>= 2.13-28) Breaks: libaudiofile-dev (<= 0.3.3-1) Replaces: libaudiofile-dev (<= 0.3.3-1) Homepage: http://audiofile.68k.org/ Priority: optional Section: utils Filename: pool/main/a/audiofile/audiofile-tools_0.3.4-2+deb7u1_armhf.deb Size: 33688 SHA256: 82e1851bc5f9282d7ebbebe1a8f7af81cdc91de388b3ffa3b0545a15625174c5 SHA1: 00a1e282fcceaaabf3361472f325bc63fac98ee6 MD5sum: 87a0c554591ee96621b0db99bfb8fedc Description: sfinfo and sfconvert tools The audiofile library allows the processing of audio data to and from audio files of many common formats (currently AIFF, AIFF-C, WAVE, NeXT/Sun, BICS, and raw data). . This package contains the sfinfo and sfconvert tools. Package: audiolink Version: 0.05-1.2 Installed-Size: 100 Maintainer: Amit Shah Architecture: all Depends: perl, mysql-client (>= 4.0.16-2), libdbd-mysql-perl (>= 2.1027-1), libmp3-info-perl (>= 1.01-1), libogg-vorbis-header-pureperl-perl, libfile-temp-perl Recommends: mysql-server (>= 4.0.16-2) Size: 31436 SHA256: 757d712181f622b03aa04bea936f7448ac7ea995581f1de874d65ba99f941b19 SHA1: b809ffe0e401839bce5505a5e7d996a9749ce9a2 MD5sum: 3cd547804e4f480bb7b07cd544c9ae7e Description: makes managing and searching for music easier AudioLink is a tool that makes searching for music on your local storage media easier and faster. Your searches can include a variety of criteria, like male artists, female artists, band, genre, etc. . It works with MP3 and Ogg Vorbis files and creates a MySQL database in which it stores the information about the music files. It creates symbolic links to the actual music files based on the search results. You can search for multiple fields, like artist, band, composer, lyricist, etc. . See http://audiolink.sourceforge.net/ for more information. Tag: devel::lang:sql, implemented-in::perl, interface::commandline, role::program, scope::application, use::organizing, use::searching, works-with-format::mp3, works-with-format::oggvorbis, works-with::audio, works-with::db Section: sound Priority: optional Filename: pool/main/a/audiolink/audiolink_0.05-1.2_all.deb Package: audiopreview Version: 0.6-2 Architecture: armhf Maintainer: Chris Taylor Installed-Size: 78 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.11), libxml2 (>= 2.6.27), gstreamer0.10-plugins-good Recommends: gstreamer0.10-ffmpeg, gstreamer0.10-plugins-bad, gstreamer0.10-gnomevfs, gstreamer0.10-plugins-ugly, gstreamer0.10-alsa Homepage: http://audiopreview.codealpha.net/audiopreview/ Priority: optional Section: sound Filename: pool/main/a/audiopreview/audiopreview_0.6-2_armhf.deb Size: 25982 SHA256: 9b8c82c5ff3ab90a1bf2d6177877ac60f550e013a67d96218cdcd5f03f8a04c0 SHA1: 4112f00354beaa09203220fab6a087791d6bec08 MD5sum: 710bf340ee14ba87a0259a80abb100f8 Description: command-line tool to play previews of audio and video files Audiopreview is a simple command line tool to play previews of audio and video files, as well as internet streams. It can also be used as a regular media player as well. . Audiopreview is based upon the GStreamer framework and is therefore highly extensible using GStreamer plugins. Package: audispd-plugins Source: audit Version: 1:1.7.18-1.1 Architecture: armhf Maintainer: Philipp Matthias Hahn Installed-Size: 156 Depends: auditd, libaudit0 (>= 1.7.13), libc6 (>= 2.13-28), libgssapi-krb5-2 (>= 1.10+dfsg~), libkrb5-3 (>= 1.6.dfsg.2), libldap-2.4-2 (>= 2.4.7), libprelude2 Homepage: http://people.redhat.com/sgrubb/audit/ Priority: extra Section: admin Filename: pool/main/a/audit/audispd-plugins_1.7.18-1.1_armhf.deb Size: 55220 SHA256: 10113f4fe7b4c0dfa3be67e2b196d24f7e7f28af8c7094a727f8d96017589ea6 SHA1: c50c03129584ef3a02d195a2fcf7c88dba37d61f MD5sum: 4e087ae2e139303f200abf2d2cb45f34 Description: Plugins for the audit event dispatcher The audispd-plugins package provides plugins for the real-time interface to the audit system, audispd. These plugins can do things like relay events to remote machines or analyze events for suspicious behavior. Package: auditd Source: audit Version: 1:1.7.18-1.1 Architecture: armhf Maintainer: Philipp Matthias Hahn Installed-Size: 824 Depends: lsb-base (>= 3.0-6), libpam-runtime (>= 1.0.1-6), libaudit0 (>= 1.7.13), libc6 (>= 2.13-28), libgssapi-krb5-2 (>= 1.10+dfsg~), libkrb5-3 (>= 1.6.dfsg.2), libwrap0 (>= 7.6-4~) Suggests: audispd-plugins Homepage: http://people.redhat.com/sgrubb/audit/ Priority: extra Section: admin Filename: pool/main/a/audit/auditd_1.7.18-1.1_armhf.deb Size: 332688 SHA256: a02a64154835d88d012deafde89bd366c80b2ccd223ae5e33fa4f5d09345a43f SHA1: 848f37661cdf3fd36a57208979de5ab278ce20ac MD5sum: 9ff4d1883705344df58ad205225ae054 Description: User space tools for security auditing The audit package contains the user space utilities for storing and searching the audit records generated by the audit subsystem in the Linux 2.6 kernel. . Also contains the audit dispatcher "audisp". Package: audtty Version: 0.1.12-3 Architecture: armhf Maintainer: Chris Taylor Installed-Size: 81 Depends: libaudclient2 (>= 2.3), libc6 (>= 2.13-28), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.16.0), libncursesw5 (>= 5.6+20070908), libtinfo5, audacious, dbus-x11 Homepage: http://audtty.alioth.debian.org Priority: optional Section: sound Filename: pool/main/a/audtty/audtty_0.1.12-3_armhf.deb Size: 22656 SHA256: 1ab3fc521e056f19bb0bf49528dc61ceb245709d240b3219a4d1fbbf56579d61 SHA1: bce16c3565f19c95fd27b8e17d3a40dd1ac7a2bc MD5sum: 40fea0f91d703bfb6dbeeb6660ffbda0 Description: ncurses based frontend to audacious Audtty is an ncurses based terminal frontend to the Audacious Media Player. It is a fork of xmms-curses and is designed to be lightweight and intuitive to use. . Audtty makes it easy to control the Audacious Media Player from the command line whether locally or remotely. Package: augeas-dbg Source: augeas Version: 0.10.0-1+deb7u1 Architecture: armhf Maintainer: Nicolas Valcárcel Scerpella Installed-Size: 152 Depends: libaugeas0 (= 0.10.0-1+deb7u1), augeas-tools (= 0.10.0-1+deb7u1) Homepage: http://augeas.net/ Priority: extra Section: debug Filename: pool/main/a/augeas/augeas-dbg_0.10.0-1+deb7u1_armhf.deb Size: 49628 SHA256: 79cadecf0dfe89d1cf9b943efbc1b96b992df1e85b7305eb04e945311e865bbe SHA1: 66d4be08139fab36386e9af88f83d99c5fbed32e MD5sum: 723faae431bcb40d11fb4d53651cfbf7 Description: Debugging symbols for libaugeas0 Augeas is a configuration editing tool. It parses configuration files in their native formats and transforms them into a tree. Configuration changes are made by manipulating this tree and saving it back into native config files. . This package provides debugging symbols for augeas, both the core library and the tools, to assist in diagnosing critical bugs. It is not required for normal operation. Package: augeas-doc Source: augeas Version: 0.10.0-1+deb7u1 Installed-Size: 4137 Maintainer: Nicolas Valcárcel Scerpella Architecture: all Size: 777094 SHA256: 05dd998ae4bbcbfe7b701ffa86f8e98210e40fb46399f4b8e52abe9f1bde5b19 SHA1: 6eebfa15f451261a8ffdc44f5efaa1011c1e1354 MD5sum: 97da2fdc17df1f02a88334d055aff065 Description: Augeas lenses documentation Augeas parses configuration files described in lenses into a tree structure, which it exposes through its public API. Changes made through the API are written back to the initially read files. . Lenses are the building blocks of the file <-> tree transformation; they combine parsing a file and building the tree (the get transformation), with turning the tree back into an (updated) file (the put transformation). . This package contains the generated documentation for the lenses shipping in the augeas-lenses package. Homepage: http://augeas.net/ Section: doc Priority: optional Filename: pool/main/a/augeas/augeas-doc_0.10.0-1+deb7u1_all.deb Package: augeas-lenses Source: augeas Version: 0.10.0-1+deb7u1 Installed-Size: 761 Maintainer: Nicolas Valcárcel Scerpella Architecture: all Suggests: augeas-doc Size: 194796 SHA256: 8d57ff26de2df0e3b16a4053a2dcee3db3794dd2d889064f522b472041dba73f SHA1: aa588f4377b3c8fb8497ebcb07a7cc1b6154acd5 MD5sum: 4c97b874bb35c15758818b62064aa27d Description: Set of lenses needed by libaugeas0 to parse config files Augeas parses configuration files described in lenses into a tree structure, which it exposes through its public API. Changes made through the API are written back to the initially read files. . Lenses are the building blocks of the file <-> tree transformation; they combine parsing a file and building the tree (the get transformation), with turning the tree back into an (updated) file (the put transformation). . The transformation works very hard to preserve comments and formatting details. It is controlled by ``lens'' definitions that describe the file format and the transformation into a tree. This package includes the official set of lenses. Homepage: http://augeas.net/ Section: misc Priority: optional Filename: pool/main/a/augeas/augeas-lenses_0.10.0-1+deb7u1_all.deb Package: augeas-tools Source: augeas Version: 0.10.0-1+deb7u1 Architecture: armhf Maintainer: Nicolas Valcárcel Scerpella Installed-Size: 93 Depends: libaugeas0 (>= 0.10.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0), libxml2 (>= 2.6.27) Homepage: http://augeas.net/ Priority: optional Section: admin Filename: pool/main/a/augeas/augeas-tools_0.10.0-1+deb7u1_armhf.deb Size: 40640 SHA256: 3bc317eea3323337fff43a000bd2ac6d0aeca58b01afa715f885301d87344106 SHA1: 5bb7f39184e0f8b11fd8b30ea4e094c3157c2887 MD5sum: f97f9844079d8486895d6a1fa6fa6b79 Description: Augeas command line tools Augeas is a configuration editing tool. It parses configuration files in their native formats and transforms them into a tree. Configuration changes are made by manipulating this tree and saving it back into native config files. . This package provides command line tools based on libaugeas0: - augtool, a tool to manage configuration files. - augparse, a testing and debugging tool for augeas lenses. Package: aumix Version: 2.9.1-2 Architecture: armhf Maintainer: Stefan Ott Installed-Size: 314 Depends: libc6 (>= 2.13-28), libgpm2 (>= 1.20.4), libncurses5 (>= 5.5-5~), libtinfo5, aumix-common Conflicts: aumix-gtk Replaces: aumix-gtk Provides: audio-mixer Homepage: http://www.jpj.net/~trevor/aumix.html Priority: extra Section: sound Filename: pool/main/a/aumix/aumix_2.9.1-2_armhf.deb Size: 73180 SHA256: 55bd3bda884a6707b16687c7d4d5104f9f56552d7aaed7ebd82dfd639b516b6d SHA1: fc4291733641cf804074a25cc2719d425e0c4f9f MD5sum: b8a00850e17381139075f6b93de52ed5 Description: Simple text-based mixer control program aumix is a small, easy-to-use program to control the mixer of your sound card. It runs in text mode using the ncurses library, or from the command line (non-interactively). It can read default settings from a file, and it can also automatically save and restore the mixer settings at shutdown and boot. . A wrapper script xaumix is provided that finds and invokes xterm or a replacement. This is primarily for creating the best sized terminal window. If you want a true graphical interface, install aumix-gtk instead. That has the same functionality as this one in addition to offering a nice X GUI. Package: aumix-common Source: aumix Version: 2.9.1-2 Installed-Size: 100 Maintainer: Stefan Ott Architecture: all Replaces: aumix (<< 2.8-24), aumix-gtk (<< 2.8-24) Depends: oss-compat, lsb-base (>= 3.0-6) Breaks: aumix (<< 2.8-24), aumix-gtk (<< 2.8-24) Size: 47004 SHA256: 46ba555cea83dd9cd656ba784e9614f077e329eb07bd2d0e09430c2dcb5e5cba SHA1: 8cd08c179e673fc733030b1bda193672849e88e8 MD5sum: b6f79c8dc55f32d33bdbe679dd66cf5e Description: Simple text-based mixer control program (common files) aumix is a small, easy-to-use program to control the mixer of your sound card. It runs in text mode using the ncurses library, or from the command line (non-interactively). It can read default settings from a file, and it can also automatically save and restore the mixer settings at shutdown and boot. . This package provides files common to aumix and aumix-gtk. Homepage: http://www.jpj.net/~trevor/aumix.html Tag: role::app-data Section: sound Priority: extra Filename: pool/main/a/aumix/aumix-common_2.9.1-2_all.deb Package: aumix-gtk Source: aumix Version: 2.9.1-2 Architecture: armhf Maintainer: Stefan Ott Installed-Size: 330 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpm2 (>= 1.20.4), libgtk2.0-0 (>= 2.8.0), libncurses5 (>= 5.5-5~), libpango1.0-0 (>= 1.14.0), libtinfo5, aumix-common Conflicts: aumix Replaces: aumix Provides: audio-mixer, aumix, x-audio-mixer Homepage: http://www.jpj.net/~trevor/aumix.html Priority: extra Section: sound Filename: pool/main/a/aumix/aumix-gtk_2.9.1-2_armhf.deb Size: 80396 SHA256: 903abe9f22b595af6e78b6bdcd8f064f51f4881eb40de6d53ea14a06e1805c7b SHA1: b63cd0f4b96827d402c18c954cacf146ace5a0b8 MD5sum: 40d7218511f51fb4651e93daac59539b Description: Simple mixer control program with GUI and text interfaces aumix is a small, easy-to-use program to control the mixer of your sound card. It runs in text mode using the ncurses library, or from the command line (non-interactively). It can read default settings from a file, and it can also automatically save and restore the mixer settings at shutdown and boot. . Additionally this version can be run as a GTK+ app, i.e. with a graphical interface. It can also still run in text-only mode, though. Package: auralquiz Version: 0.8.1-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 436 Depends: phonon-backend-vlc | phonon-backend-xine | phonon-backend-gstreamer, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libphonon4 (>= 4:4.3.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0), libtag1c2a (>= 1.5), phonon Homepage: http://jancoding.wordpress.com/auralquiz/ Priority: optional Section: games Filename: pool/main/a/auralquiz/auralquiz_0.8.1-1_armhf.deb Size: 232956 SHA256: f44d927812367a4aacc156044a62d71aadcb92bb0bb0894e1001b9f5f8322249 SHA1: 47649acb703544921d80f309bf93aa84411cb4a6 MD5sum: 7d4d9a92e4e8aeeaf8a1a364dc7f1352 Description: simple music quiz game using your own music files auralquiz is a music quiz game that scans a users selected folder of music and creates a quiz using the tags from the audio files. A sample of a random song is played and the player must answer a question about the sample from either multiple choice buttons or for hardcore difficulty, type in the correct answer. Up to 8 players can play in a turn based mode with scoring based on points, with more points given by answering the question faster. Package: auth2db Version: 0.2.5-2+dfsg-4 Installed-Size: 71 Maintainer: Ulises Vitulli Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, python, python-mysqldb, rsyslog | system-log-daemon, python-configobj (>= 4.0), auth2db-filters, auth2db-common, lsb-base (>= 3.0-10) Pre-Depends: adduser (>= 3.40) Recommends: mysql-server Size: 31062 SHA256: 87e246b56cae45b4216886b18034a0463bfbdad4e15ee4b95cefa7ace334dbe2 SHA1: a692801946e439c72c2985d7f3ef2cd52249997b MD5sum: e5b142f5ed341c89bba99f05b4f7a942 Description: Powerful and eye-candy IDS logger, log viewer and alert generator The core daemon and backend parts of the Auth2db log engine . Auth2db uses MySQL database to store logs, whichs allows one to performe a separated multi-client to single DB storage, turning the tedious work of constants auditing into a trivial and enjoyable experience . Note that mysql-server is needed in this scheme, but it can be anywhere Homepage: http://www.auth2db.com.ar/ Tag: implemented-in::python, role::program Section: net Priority: extra Filename: pool/main/a/auth2db/auth2db_0.2.5-2+dfsg-4_all.deb Package: auth2db-common Source: auth2db Version: 0.2.5-2+dfsg-4 Installed-Size: 2388 Maintainer: Ulises Vitulli Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, dbconfig-common (>= 1.8.4), mysql-client Size: 649184 SHA256: d02624a7c6eaaa5700e2715d1c62a2df99a6dfffadddf45a24003c8c1ea37bdf SHA1: b29e29affa6ac58732de1a9fb7092306ca22e628 MD5sum: 8c1841274278346aee9fe61bcbe817e8 Description: Common configuration files for Auth2db backend and web frontend This package includes common configuration files for auth2db database setup . Auth2db uses MySQL database to store logs, whichs allows one to performe a separated multi-client to single DB storage, turning the tedious work of constants auditing into a trivial and enjoyable experience Homepage: http://www.auth2db.com.ar/ Tag: role::app-data Section: net Priority: extra Filename: pool/main/a/auth2db/auth2db-common_0.2.5-2+dfsg-4_all.deb Package: auth2db-filters Source: auth2db Version: 0.2.5-2+dfsg-4 Installed-Size: 37 Maintainer: Ulises Vitulli Architecture: all Size: 14566 SHA256: 438ccd3fb44e410c0d5aa87c22d3d4340b3e7a1c188caf2253f3aeb415223081 SHA1: e6ffd899903339cf7a5f10ef0caa8ba68d6e69b1 MD5sum: a23706e539da8b2f3fa1a063c1b588b0 Description: Auth2db defaults filters pack This is a set of default rules to filter logs for SSH, SU, Apache, GDM, Samba, ProFTPd and others, based in the use of their log files . Auth2db uses MySQL database to store logs, whichs allows one to performe a separated multi-client to single DB storage, turning the tedious work of constants auditing into a trivial and enjoyable experience Homepage: http://www.auth2db.com.ar/ Tag: role::app-data Section: net Priority: extra Filename: pool/main/a/auth2db/auth2db-filters_0.2.5-2+dfsg-4_all.deb Package: auth2db-frontend Source: auth2db Version: 0.2.5-2+dfsg-4 Installed-Size: 1035 Maintainer: Ulises Vitulli Architecture: all Depends: auth2db-common, apache2, php5-gd, libapache2-mod-php5, php5-mysql, ttf-freefont, libjs-prototype Size: 568148 SHA256: 12234ae36ec4ebd315c6fe7ed144a5e1af4bd0f1f805bb870aa7d4d933a91f6f SHA1: 4dce1ee0fd65486fb3d002a12b5605809ced84ec MD5sum: 07cf62c946accbfe4dcb1fb772ee10e8 Description: Web frontend view for auth2DB log engine This package consists in the user side step for the auth2db log engine model . Auth2db uses MySQL database to store logs, whichs allows one to performe a separated multi-client to single DB storage, turning the tedious work of constants auditing into a trivial and enjoyable experience . Some changes have been made to original software due to non-dfsg complianse. Check README.Debian for more information Homepage: http://www.auth2db.com.ar/ Section: net Priority: extra Filename: pool/main/a/auth2db/auth2db-frontend_0.2.5-2+dfsg-4_all.deb Package: authbind Version: 2.1.1 Architecture: armhf Maintainer: Ian Jackson Installed-Size: 95 Depends: libc6 (>= 2.13-28) Priority: optional Section: utils Filename: pool/main/a/authbind/authbind_2.1.1_armhf.deb Size: 18572 SHA256: 7ab554c3a634d709b864a28c3c95b48cfff318ead8b7ac95395c324a6fef11cf SHA1: eff298fb03ccbe32582ba542b7b30bce6a93047b MD5sum: f73f3d0c8429ed5c4789b54532a1e67c Description: Allows non-root programs to bind() to low ports This package allows a package to be started as non-root but still bind to low ports, without any changes to the application. Package: auto-apt Version: 0.3.22 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 209 Depends: libc6 (>= 2.4) Recommends: perl, apt, sudo, wget, dpkg-dev, devscripts Suggests: x-terminal-emulator, libgtk-perl, build-essential Priority: optional Section: admin Filename: pool/main/a/auto-apt/auto-apt_0.3.22_armhf.deb Size: 54616 SHA256: ff289e3faeee131195a59e473afb4f3af418a1eef886f0c1521c3139dabbf634 SHA1: 9988351f2fd534b61d4b1e22f0f0bc63eeb57e78 MD5sum: 206fe6b690611b7f7a4e1389e02d1299 Description: package search by file and on-demand package installation tool auto-apt checks the file access of programs running within its environments, and if a program tries to access a file known to belong in an uninstalled package, auto-apt will install that package using apt-get. This feature requires apt and sudo to work. . It also provides simple database to search which package contains a requested file. Package: auto-complete-el Version: 1.3.1-2 Installed-Size: 636 Maintainer: Takaya Yamashita Architecture: all Depends: emacs | emacs23 | emacs22 | emacs-snapshot Size: 210402 SHA256: e349613319a69d49bdc269d50ca21c95829751c50c1a1526932b09a7b07246b7 SHA1: 21f27855a1e110dcdcf019f83ef5799617509146 MD5sum: e0381a9be00962601361c40032a9aaf2 Description: intelligent auto-completion extension for GNU Emacs Auto Complete Mode is an intelligent auto-completion extension for GNU Emacs. It extends the standard Emacs completion interface and provides an environment that allows users to concentrate more on their own work. Homepage: http://cx4a.org/software/auto-complete/ Tag: implemented-in::lisp, role::plugin, suite::emacs, use::editing Section: lisp Priority: extra Filename: pool/main/a/auto-complete-el/auto-complete-el_1.3.1-2_all.deb Package: auto-install-el Version: 1.53-1 Installed-Size: 131 Maintainer: Takaya Yamashita Architecture: all Depends: emacs | emacs23 | emacs-snapshot, emacsen-common (>= 1.4.14) Size: 18574 SHA256: 7db48f0f23011deada42a52bdfcd08e4b85c24c50deb3b8389bd791fad1a39bf SHA1: 58ce33e5f1588cbd66dc12a84681ae108b35507c MD5sum: 499cae5b2a712f75ab9f331aaa00896d Description: Auto install elisp file Effortlessly download, install, and update Elisp files from the web or from a local buffer. . Easily install packages from the Emacswiki ElispArea (with auto-complete of package name, and easy update of selected packages). . Optionally view changes from previous versions of packages before installation. Homepage: http://www.emacswiki.org/AutoInstall Tag: implemented-in::lisp, role::plugin, suite::emacs Section: lisp Priority: extra Filename: pool/main/a/auto-install-el/auto-install-el_1.53-1_all.deb Package: auto-multiple-choice Version: 1.1.1-2 Architecture: armhf Maintainer: Alexis Bienvenüe Installed-Size: 72 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libnetpbm10, libopencv-core2.3, libopencv-highgui2.3, libopencv-imgproc2.3, libstdc++6 (>= 4.4.0), auto-multiple-choice-common (= 1.1.1-2) Recommends: auto-multiple-choice-doc (= 1.1.1-2) Suggests: texstudio | texmaker | kile, openoffice.org-calc Homepage: http://home.gna.org/auto-qcm/ Priority: optional Section: education Filename: pool/main/a/auto-multiple-choice/auto-multiple-choice_1.1.1-2_armhf.deb Size: 21450 SHA256: bbbc514014dd283c43126a26f55f9434c419abb0e8e3a229611101ff8c71a28f SHA1: f51a5e96ed7159e5c775f003e67b7926ff7765c0 MD5sum: 1c2d135146d95a28ff22d45ac6c915d7 Description: Auto Multiple Choice - multiple choice papers management Utility to manage multiple choice questionnaires, with optionnaly mixed questions and answers. AMC provides automatic marking from papers' scans. Annotated papers are produced. Marks can be exported as a OpenOffice.org sheet file. Package: auto-multiple-choice-common Source: auto-multiple-choice Version: 1.1.1-2 Installed-Size: 1882 Maintainer: Alexis Bienvenüe Architecture: all Replaces: auto-multiple-choice (<< 1.1.0-1) Depends: dpkg (>= 1.14.18), tex-common (>= 3), perl, liblocale-gettext-perl, libxml-simple-perl, libxml-writer-perl, libgtk2-perl, libio-compress-perl | libcompress-zlib-perl, libgraphics-magick-perl, libdbd-sqlite3-perl, libdbi-perl, libfile-mimeinfo-perl, libtext-csv-perl, texlive-latex-base, latex-xcolor, texlive-generic-recommended, texlive-latex-extra, texlive-fonts-extra, imagemagick (>= 7:6.5.5) | graphicsmagick-imagemagick-compat, netpbm, ghostscript, poppler-utils, libarchive-zip-perl, librsvg2-common, unzip Recommends: libsys-cpu-perl, libnet-cups-perl (>= 0.60), libopenoffice-oodoc-perl, libemail-mime-perl, libemail-sender-perl, fonts-linuxlibertine | ttf-linux-libertine, texlive-xetex Breaks: auto-multiple-choice (<< 1.1.0-1) Size: 403596 SHA256: 5709544e3d23b8a9c37a4af25f561aec917aa524f6ae90aa3cd31d3b387d681e SHA1: 8c90b31900513b1b10c0e3be5d2814deea88c06d MD5sum: 650746c2231950fe613edc17c0fd2b4f Description: Auto Multiple Choice - architecture independent files Utility to manage multiple choice questionnaires, with optionnaly mixed questions and answers. AMC provides automatic marking from papers' scans. Annotated papers are produced. Marks can be exported as a OpenOffice.org sheet file. . These are architecture independent files. Homepage: http://home.gna.org/auto-qcm/ Section: education Priority: optional Filename: pool/main/a/auto-multiple-choice/auto-multiple-choice-common_1.1.1-2_all.deb Package: auto-multiple-choice-doc Source: auto-multiple-choice Version: 1.1.1-2 Installed-Size: 763 Maintainer: Alexis Bienvenüe Architecture: all Size: 165466 SHA256: 2842b79ebee3a04988e6055392a3286e83460dc8bc8f6e8366a632d2d9f7121e SHA1: 520f4edd2cc93fa2ac6a09534480595897b9aa61 MD5sum: d9ded12b49a93527b56281e1c2d002c4 Description: Auto Multiple Choice - HTML documentation Utility to manage multiple choice questionnaires, with optionnaly mixed questions and answers. AMC provides automatic marking from papers' scans. Annotated papers are produced. Marks can be exported as a OpenOffice.org sheet file. . This is AMC HTML documentation. Homepage: http://home.gna.org/auto-qcm/ Section: doc Priority: optional Filename: pool/main/a/auto-multiple-choice/auto-multiple-choice-doc_1.1.1-2_all.deb Package: auto-multiple-choice-doc-pdf Source: auto-multiple-choice Version: 1.1.1-2 Installed-Size: 1613 Maintainer: Alexis Bienvenüe Architecture: all Size: 1613286 SHA256: b22ef2cffb6495a0efd0e9396c14519dc15c4c5b50360049a9f33849ff07d504 SHA1: 18d5184055df17acff90c68cfa2b703579061b66 MD5sum: 3967201718156f365e38f30a818cdf8c Description: Auto Multiple Choice - PDF documentation Utility to manage multiple choice questionnaires, with optionnaly mixed questions and answers. AMC provides automatic marking from papers' scans. Annotated papers are produced. Marks can be exported as a OpenOffice.org sheet file. . This is AMC PDF documentation. Homepage: http://home.gna.org/auto-qcm/ Section: doc Priority: optional Filename: pool/main/a/auto-multiple-choice/auto-multiple-choice-doc-pdf_1.1.1-2_all.deb Package: autoclass Version: 3.3.6.dfsg.1-1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 488 Depends: libc6 (>= 2.4) Homepage: http://ti.arc.nasa.gov/project/autoclass/ Priority: optional Section: math Filename: pool/main/a/autoclass/autoclass_3.3.6.dfsg.1-1_armhf.deb Size: 337366 SHA256: e6da30c41359b6d01ed893343a52493d52d945e0351061b1c02caf759394615a SHA1: 85b258594dfb93a3f6ecc89ab36cdd7a8112a857 MD5sum: f512c3ea91e35d190b5515b9c8ae077f Description: automatic classification or clustering AutoClass solves the problem of automatic discovery of classes in data (sometimes called clustering, or unsupervised learning), as distinct from the generation of class descriptions from labeled examples (called supervised learning). It aims to discover the "natural" classes in the data. AutoClass is applicable to observations of things that can be described by a set of attributes, without referring to other things. The data values corresponding to each attribute are limited to be either numbers or the elements of a fixed set of symbols. With numeric data, a measurement error must be provided. Package: autoconf Version: 2.69-1 Installed-Size: 1896 Maintainer: Ben Pfaff Architecture: all Depends: perl (>> 5.005), m4 (>= 1.4.13), debianutils (>= 1.8) Recommends: automake | automaken Suggests: autoconf2.13, autoconf-archive, gnu-standards, autoconf-doc, libtool, gettext Breaks: autoconf2.13 (<< 2.13-47), gettext (<< 0.10.39), pkg-config (<< 0.25-1.1) Size: 589180 SHA256: a602897204b0a05e3778094440dc1b8b6509276e6df52d407af69133763cfe3d SHA1: 9cc4d15ad0cf09386a4e627d27043452d6764e5b MD5sum: 4f5eb583bda7c7cdc115533d06a4b59f Description: automatic configure script builder The standard for FSF source packages. This is only useful if you write your own programs or if you extensively modify other people's programs. . For an extensive library of additional Autoconf macros, install the `autoconf-archive' package. . This version of autoconf is not compatible with scripts meant for Autoconf 2.13 or earlier. If you need support for such scripts, you must also install the autoconf2.13 package. Homepage: http://www.gnu.org/software/autoconf/ Tag: devel::buildtools, devel::code-generator, devel::lang:c, interface::commandline, role::program, scope::utility, suite::gnu Section: devel Priority: optional Filename: pool/main/a/autoconf/autoconf_2.69-1_all.deb Package: autoconf-archive Version: 20111221-2 Installed-Size: 4027 Maintainer: Bastien ROUCARIÈS Architecture: all Depends: dpkg (>= 1.15.4) | install-info Recommends: autoconf Size: 734380 SHA256: 17279aa2276f95b4a2cb50883b0e7d6f688a19ee3edc948dd18503c139e024b7 SHA1: 509a096359888243611e45ff1bc58a108998e048 MD5sum: 9d933531992f55a2df7f9ea9a3e8b7e1 Description: Autoconf Macro Archive Autoconf Macro Archive aims to provide a central repository of useful and tested Autoconf macros for software developers around the world. This package contains the complete content of the Autoconf Macro Archive as found at http://www.gnu.org/software/autoconf-archive/. Homepage: http://www.gnu.org/software/autoconf-archive/ Tag: devel::buildtools, devel::code-generator, devel::lang:c, interface::commandline, role::plugin, scope::utility Section: devel Priority: optional Filename: pool/main/a/autoconf-archive/autoconf-archive_20111221-2_all.deb Package: autoconf-dickey Version: 2.52+20101002-2 Installed-Size: 890 Maintainer: Sven Joachim Architecture: all Depends: m4, perl Size: 262784 SHA256: 194c33d40c544b6918e0b0968326b46b435fa4c14c1ca33aad53483051bbdb36 SHA1: b70ba424b093697714ab654a3881cde77fa94228 MD5sum: c55b64539225354388d7ab521883c4fa Description: automatic configure script builder (Thomas Dickey's version) This hacked version of autoconf is required to bootstrap ncurses and some other packages maintained upstream by Thomas Dickey. It should not be used for other purposes. Homepage: http://invisible-island.net/autoconf/autoconf.html Section: devel Priority: extra Filename: pool/main/a/autoconf-dickey/autoconf-dickey_2.52+20101002-2_all.deb Package: autoconf-doc Source: autoconf Version: 2.69-1 Installed-Size: 4266 Maintainer: Ben Pfaff Architecture: all Depends: dpkg (>= 1.15.4) | install-info, gnu-standards Size: 2934062 SHA256: 1228d7a23e8d5417bb5b12c7c708f2ab75cd7b53d6c9a74b9cf0bf5ce3b793e8 SHA1: 39c540fa8882749812b6ae24bcf5a0cc23581618 MD5sum: 630c7623c422e3fdd53209b917a353f3 Description: automatic configure script builder documentation GNU Autoconf is a package for creating scripts to configure source code packages using templates and an M4 macro package. This package contains the manual for GNU Autoconf. It documents the current version; if you are using Autoconf 2.13 instead, install the autoconf2.13 package. Homepage: http://www.gnu.org/software/autoconf/ Section: doc Priority: optional Filename: pool/main/a/autoconf/autoconf-doc_2.69-1_all.deb Package: autoconf-gl-macros Version: 20101226-1 Installed-Size: 50 Maintainer: Paul Wise Architecture: all Replaces: autoconf-archive (<< 20111221-1) Breaks: autoconf-archive (<< 20111221-1) Size: 9366 SHA256: bb33942763c9e7be02042cb5a6e6ec748c339856d475835ee681610378099019 SHA1: 4875b1783016a9574b1113ec5c67d4adccac4a3a MD5sum: 59a81ed93b2dbe7092c29e61296dd3b3 Description: autoconf macros to check for OpenGL/GLU/GLUT autoconf macros AX_CHECK_GL, AX_CHECK_GLU and AX_CHECK_GLUT, which check for libGL, libGLU and libglut, respectively. . These macros are designed to work in the presence or absence of X11 and to play nicely with the --with-x option added by autoconf's AC_PATH_X macro. That is, where an OpenGL implementation that does not require X11 is available, passing --without-x to configure causes these macros to find it an set the appropriate compiler and linker flags. Homepage: http://code.google.com/p/autoconf-gl-macros/ Section: devel Priority: optional Filename: pool/main/a/autoconf-gl-macros/autoconf-gl-macros_20101226-1_all.deb Package: autoconf2.13 Version: 2.13-62 Installed-Size: 1284 Maintainer: Ben Pfaff Architecture: all Depends: m4, debianutils (>= 1.8), autoconf, perl, libfile-temp-perl, dpkg (>= 1.15.4) | install-info Recommends: automake1.4 Suggests: gnu-standards Size: 380208 SHA256: 479e94aa576ba5cd13c748807066539d16edb2a77b684258438c2d9ed2d0f019 SHA1: 6957cecd0465911c8583448cc94dc4228056bfcc MD5sum: c2e82c086e958627fd079eea24c15e23 Description: automatic configure script builder (obsolete version) Obsolete compatibility package of Autoconf 2.13. For use only with packages that cannot easily be updated to use Autoconf 2.50+. . This package provides compatibility wrappers for autoconf, autoheader, and autoreconf that attempt to automatically choose which version of Autoconf to use, based on some simple heuristics. For information on these heuristics or how to force use of a particular Autoconf version, see /usr/share/doc/autoconf2.13/README.Debian.gz. Tag: devel::buildtools, devel::code-generator, devel::lang:c, interface::commandline, role::program, scope::utility, suite::gnu Section: devel Priority: optional Filename: pool/main/a/autoconf2.13/autoconf2.13_2.13-62_all.deb Package: autoconf2.59 Version: 2.59+dfsg-0.1 Installed-Size: 1272 Maintainer: Debian GCC Maintainers Architecture: all Replaces: autoconf (<< 2.50) Depends: m4, debianutils (>= 1.8), autoconf (>= 2.50), perl, libfile-temp-perl Conflicts: autoconf (<< 2.50) Size: 355598 SHA256: 7551dd137d1d2c1327ec0f6a89406de0731411a59e75cbdb0a1463c5160598e4 SHA1: 670bee9b9a61378ae065ee2c93c63ed285a8bdda MD5sum: 8c87a276856853eb6bba271372816b38 Description: automatic configure script builder (obsolete version) This obsolete version is required to build GCC (>= 4.3.3), newlib, and probably some others toolchain related packages. Tag: devel::buildtools, devel::code-generator, devel::lang:c, interface::commandline, role::program, scope::utility, suite::gnu Section: devel Priority: extra Filename: pool/main/a/autoconf2.59/autoconf2.59_2.59+dfsg-0.1_all.deb Package: autoconf2.64 Version: 2.64-3 Installed-Size: 1908 Maintainer: Debian GCC Maintainers Architecture: all Replaces: autoconf (<< 2.50) Depends: m4, debianutils (>= 1.8), autoconf (>= 2.50), perl, libfile-temp-perl Conflicts: autoconf (<< 2.50) Size: 521508 SHA256: e37a5ba239e29164633d8d65a80830bdf89423cfa03d31cf882d86eebdfba8c3 SHA1: 677602d39d559bf8788464d3d6a6b851a45e28c4 MD5sum: 15c7b1575159e65a34bde0d12a826b8b Description: automatic configure script builder (obsolete version) This obsolete version is required to build GCC (>= 4.3.3), newlib, and probably some others toolchain related packages. Section: devel Priority: extra Filename: pool/main/a/autoconf2.64/autoconf2.64_2.64-3_all.deb Package: autocutsel Version: 0.9.0-2 Architecture: armhf Maintainer: Elmar S. Heeb Installed-Size: 68 Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxt6 Enhances: emacsen, vnc-viewer Homepage: http://www.nongnu.org/autocutsel/ Priority: optional Section: x11 Filename: pool/main/a/autocutsel/autocutsel_0.9.0-2_armhf.deb Size: 15624 SHA256: 4d189d00053ba70ea0babf2ed2d05681049d6b0c78e704a04de02b879fa67e61 SHA1: b7825cdd82df2be9a083488fe3748e141e3cd12e MD5sum: ac3bd90252275eb442dd637c1dc8aace Description: Keep the X clipboard and the cutbuffer in sync This tracks changes in the server's cutbuffer and clipboard selection. when the clipboard is changed, it updates the cutbuffer. When the cutbuffer is changed, it owns the clipboard selection. The cutbuffer and clipboard selection are always synchronized. In the case of a VNC client since it synchronizes the Windows' clipboard and the server's cutbuffer, all three "clipboards" are always kept synchronized. When you copy some text in Windows, the cutbuffer and the clipboard selection are updated. When you copy text on the server using either the cutbuffer or the clipboard selection, the Windows's clipboard is always updated. Package: autodia Version: 2.14-1 Installed-Size: 500 Maintainer: Roland Stigge Architecture: all Depends: perl, libtemplate-perl Suggests: libgraphviz-perl Size: 121400 SHA256: acc25a6ac1a241dcb5681e55b91208b7c85c7de983b0a785fdd0e7b25ffa5853 SHA1: e6c2e16e84762a8dcd02c0f457ccbf0a25ea7795 MD5sum: 22eaa7554ddb9890697248605ae2ea3b Description: generates UML diagrams from perl or C++ code AutoDia creates UML files for use with dia. It scans your perl or c++ code, and generates class diagrams in dia's native file format (XML). . Support for other languages can be provided with plugins. Tag: devel::docsystem, devel::lang:c++, devel::lang:perl, implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, works-with-format::xml, works-with::software:source Section: devel Priority: optional Filename: pool/main/a/autodia/autodia_2.14-1_all.deb Package: autodir Version: 0.99.9-7.1 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 158 Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libltdl7 (>= 2.4.2), module-init-tools (>= 3.10) Homepage: http://www.intraperson.com/autodir/ Priority: optional Section: utils Filename: pool/main/a/autodir/autodir_0.99.9-7.1_armhf.deb Size: 49234 SHA256: bcc95483ca6b6e5d5f0e98269407a28f2dd944423ce8a180cbb2ba9c254352f1 SHA1: 7b3b8c5578cbcdc253a829933a8ecc1507b84dd4 MD5sum: 74c5383526dd31eef1439b8c93233340 Description: Automatically creates home and group directories for LDAP/NIS/SQL/local accounts A modular and thread-enabled tool to create and/or mounting and managing automagically and transparently user/group home directories, on demand. . It can work with any authentication framework (e.g. system files, NIS, LDAP or SQL) and does not require PAM, which is a required feature for session-less service such as smtp servers. . Automounter version 4 (autofs4) has to be enabled when compiling the kernel. Debian packaged kernels have it enabled as module. Package: autodns-dhcp Version: 0.8 Installed-Size: 112 Maintainer: Santiago Garcia Mantinan Architecture: all Depends: bind9 | bind, dhcp3-server | dhcp, dnsutils, perl | perl5 Conflicts: dhcp-dns Size: 12358 SHA256: ec6df6476c28412cc4f50eae92b3a6f2b2c7e8ddd5efa5a4d39bf03fd6660de5 SHA1: 838285e42961eefa852af9676b1234757e593ca4 MD5sum: 47e419440d420580b46bdd84a5d4ed32 Description: Automatic DNS updates for DHCP autodns-dhcp uses bind's dynamic update features to update a zonefile with hostnames from the dhcp leases file, this is done just once for each hostname as the IP, MAC address and name are settled on the dhcp configuration to have an static zone. Tag: implemented-in::perl, interface::daemon, network::configuration, network::server, protocol::dhcp, protocol::dns, role::program Section: net Priority: extra Filename: pool/main/a/autodns-dhcp/autodns-dhcp_0.8_all.deb Package: autodock Source: autodocksuite Version: 4.2.3-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 372 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: autogrid, autodocktools Homepage: http://autodock.scripps.edu/ Priority: optional Section: science Filename: pool/main/a/autodocksuite/autodock_4.2.3-2_armhf.deb Size: 178232 SHA256: 48322bf7445271dc986b67ae70a23def1c40c15266ed1995689617fef7920dd1 SHA1: 53a41b36fd8ca952e1f48495f26af335c9242351 MD5sum: 0ca1a9974e46fadc0731564763cadd6c Description: analysis of ligand binding to protein structure AutoDock is a prime representative of the programs addressing the simulation of the docking of fairly small chemical ligands to rather big protein receptors. Earlier versions had all flexibility in the ligands while the protein was kept rather ridgid. This latest version 4 also allows for a flexibility of selected sidechains of surface residues, i.e., takes the rotamers into account. . The AutoDock program performs the docking of the ligand to a set of grids describing the target protein. AutoGrid pre-calculates these grids. Package: autodock-getdata Source: autodocksuite Version: 4.2.3-2 Installed-Size: 52 Maintainer: Debian Med Packaging Team Architecture: all Recommends: getdata Suggests: autodock, autogrid, autodocktools Size: 5180 SHA256: 8ee9eda4f921ecd6c6061745f9278c3607ab471e2eed9aeee63f72577c04fab4 SHA1: 87024c89f58e2b0e20a855156dcb1b455537e205 MD5sum: 9e25978239db6b423b1406280ff88422 Description: instructions for getData to collect compounds This package provides instructions for getData to retrieve descriptions for sets of molecular compounds that can be used directly as input for autodock. The data is not provided direclty by this package. Only the instructions for the download are maintained here. . The FightAids@Home project of the World Community Grid publicly provides the input sets of their runs. The original structures come from the ZINC database and have been processed from the mol2 to pdbqt format by the Scripps institute: * asinex * chembridge_buildingblocks_pdbqt_1000split * drugbank_nutraceutics * drugbank_smallmol * fda_approved * human_metabolome_pdbqt_1000split * otava * zinc_natural_products . Please cite the ZINC database when using that data. Homepage: http://autodock.scripps.edu/ Section: science Priority: optional Filename: pool/main/a/autodocksuite/autodock-getdata_4.2.3-2_all.deb Package: autodock-test Source: autodocksuite Version: 4.2.3-2 Installed-Size: 7328 Maintainer: Debian Med Packaging Team Architecture: all Suggests: autodock Size: 2719580 SHA256: a570c9c041842ee3fa4ba95b45710a2d172bb6ed822d923d1c98d0e501ffc7ba SHA1: d034ee85b01c68a1594a9463e0f0c80cad3bd433 MD5sum: 432ceb01683f99a8a104d386decb3e42 Description: test files for AutoDock This package contain the test files for the AutoDock program. Homepage: http://autodock.scripps.edu/ Tag: field::biology, field::biology:structural, role::app-data, use::checking Section: science Priority: optional Filename: pool/main/a/autodocksuite/autodock-test_4.2.3-2_all.deb Package: autodock-vina Version: 1.1.2-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 638 Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Suggests: autodock, autogrid Homepage: http://vina.scripps.edu Priority: extra Section: science Filename: pool/main/a/autodock-vina/autodock-vina_1.1.2-2_armhf.deb Size: 277664 SHA256: 99ddc8531ffdba424192182cf3f93b4c531c3e497bac9735dfc71e76240ccf0d SHA1: e451a8f4b1be4fd3d7fa81ae0a7a88e0e58ab2bd MD5sum: a2711348c93b5c99e3b184bcb3198f20 Description: docking of small molecules to proteins AutoDock Vina is a program to support drug discovery, molecular docking and virtual screening of compound libraries. It offers multi-core capability, high performance and enhanced accuracy and ease of use. . The same institute also developed autodock, which is widely used. . O. Trott, A. J. Olson, AutoDock Vina: improving the speed and accuracy of docking with a new scoring function, efficient optimization and multithreading, Journal of Computational Chemistry 31 (2010) 455-461 Package: autofs Version: 5.0.7-3 Architecture: armhf Maintainer: Michael Tokarev Installed-Size: 1384 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4), ucf Recommends: nfs-common, kmod | module-init-tools Breaks: autofs5 (<< 5.0.6-1~) Replaces: autofs5 (<< 5.0.6-1~) Provides: autofs5 Homepage: http://www.kernel.org/pub/linux/daemons/autofs/v5/ Priority: extra Section: utils Filename: pool/main/a/autofs/autofs_5.0.7-3_armhf.deb Size: 655318 SHA256: b7193b9b22214ffc34a04842f3d2433f94ead5331d03a86b395305e3c1e707c9 SHA1: 97971bd324c658a06e2a784971fc8373cc4351af MD5sum: 27f93d6b7a6526922cc3738f5284d8c6 Description: kernel-based automounter for Linux Autofs controls the operation of the automount daemons. The automount daemons automatically mount filesystems when they are used and unmount them after a period of inactivity. This is done based on a set of pre-configured maps. . The kernel automounter implements an almost complete SunOS style automounter under Linux. A recent version of the kernel autofs4 module (builtin or separate) is required. . This is the autofs daemon. Package: autofs-hesiod Source: autofs Version: 5.0.7-3 Architecture: armhf Maintainer: Michael Tokarev Installed-Size: 227 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libhesiod0, autofs (= 5.0.7-3) Breaks: autofs5-hesiod (<< 5.0.6-1~) Replaces: autofs5-hesiod (<< 5.0.6-1~) Provides: autofs5-hesiod Homepage: http://www.kernel.org/pub/linux/daemons/autofs/v5/ Priority: extra Section: utils Filename: pool/main/a/autofs/autofs-hesiod_5.0.7-3_armhf.deb Size: 105184 SHA256: 53de9af2e81e4b8257c2d278e90b2bbc0cbb250d01fb7bf931e0a30cb0c8b590 SHA1: 3d5d1dde575c101d56deedda6734575d9a5a241f MD5sum: 3464ef9547c1b0aa5553f1de07d1a0b0 Description: Hesiod map support for autofs Autofs controls the operation of the automount daemons. The automount daemons automatically mount filesystems when they are used and unmount them after a period of inactivity. This is done based on a set of pre-configured maps. . The kernel automounter implements an almost complete SunOS style automounter under Linux. A recent version of the kernel autofs4 module (builtin or separate) is required. . This is the Hesiod module of the autofs. Package: autofs-ldap Source: autofs Version: 5.0.7-3 Architecture: armhf Maintainer: Michael Tokarev Installed-Size: 240 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libldap-2.4-2 (>= 2.4.7), libsasl2-2 (>= 2.1.24), libxml2 (>= 2.7.4), autofs (= 5.0.7-3) Breaks: autofs5-ldap (<< 5.0.6-1~) Replaces: autofs5-ldap (<< 5.0.6-1~) Provides: autofs5-ldap Homepage: http://www.kernel.org/pub/linux/daemons/autofs/v5/ Priority: extra Section: utils Filename: pool/main/a/autofs/autofs-ldap_5.0.7-3_armhf.deb Size: 94306 SHA256: 3f0ab330cee1d1c367304354a228cd02552ae30c951554edf03e02b8b598f8b8 SHA1: 59faeb97f0e58ba9b43cc6e212d0a349f723486f MD5sum: 502489402a232fa9d25438e9adcd3bc5 Description: LDAP map support for autofs Autofs controls the operation of the automount daemons. The automount daemons automatically mount filesystems when they are used and unmount them after a period of inactivity. This is done based on a set of pre-configured maps. . The kernel automounter implements an almost complete SunOS style automounter under Linux. A recent version of the kernel autofs4 module (builtin or separate) is required. . This is the LDAP module of the autofs. Package: autofs5 Source: autofs Version: 5.0.7-3 Installed-Size: 23 Maintainer: Michael Tokarev Architecture: all Depends: autofs (>= 5.0.7-3) Size: 22588 SHA256: 16748f8307397d7597dbacff87a91fe8593f4b856cc42a36215fdbf904441172 SHA1: c669c3dad33ae1eb4d8c1964b3deebf92c3a895a MD5sum: 6c007d5c7ae82c49d723aa4dd6998b1d Description: transitional dummy package for 'autofs' This transitional dummy package is safe to remove. Homepage: http://www.kernel.org/pub/linux/daemons/autofs/v5/ Tag: admin::filesystem, admin::kernel, hardware::storage, implemented-in::c, interface::commandline, role::program, scope::utility Section: oldlibs Priority: extra Filename: pool/main/a/autofs/autofs5_5.0.7-3_all.deb Package: autofs5-hesiod Source: autofs Version: 5.0.7-3 Installed-Size: 23 Maintainer: Michael Tokarev Architecture: all Depends: autofs-hesiod (>= 5.0.7-3) Size: 22600 SHA256: d90bba33da40c5bb894fc7e073c40e647d5857fc49e217b23a2ba1004a0d6741 SHA1: 3f479523e1cd6e670e2057d45441b46c3d1c8caa MD5sum: 42a31bcc79a2a7d73d1103dd5fd2191e Description: transitional dummy package for 'autofs-hesiod' This transitional dummy package is safe to remove. Homepage: http://www.kernel.org/pub/linux/daemons/autofs/v5/ Section: oldlibs Priority: extra Filename: pool/main/a/autofs/autofs5-hesiod_5.0.7-3_all.deb Package: autofs5-ldap Source: autofs Version: 5.0.7-3 Installed-Size: 23 Maintainer: Michael Tokarev Architecture: all Depends: autofs-ldap (>= 5.0.7-3) Size: 22594 SHA256: c6a6c49efdf54e9a08b159f43f44b2b6321639040ff75bb4e8235e6045b70f96 SHA1: 6416f5809c33a7d2bc53135edd5e1c625910e1db MD5sum: e7d7d08f1da51c14582afce13133c481 Description: transitional dummy package for 'autofs-ldap' This transitional dummy package is safe to remove. Homepage: http://www.kernel.org/pub/linux/daemons/autofs/v5/ Tag: protocol::ldap, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/a/autofs/autofs5-ldap_5.0.7-3_all.deb Package: autogen Version: 1:5.12-0.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 2732 Depends: guile-1.8-libs, libc6 (>= 2.4), libopts25 (>= 1:5.12), libxml2 (>= 2.7.4), dpkg (>= 1.15.4) | install-info, libopts25-dev (= 1:5.12-0.1) Homepage: http://www.gnu.org/software/autogen/ Priority: optional Section: devel Filename: pool/main/a/autogen/autogen_5.12-0.1_armhf.deb Size: 1059642 SHA256: 39c2d205e31f9e23aa9713373a12c66fa84a017432c9127302adfc98948d7115 SHA1: ee72c993e8a7a32787ed1437d03027d871e3fec3 MD5sum: d45a7a0cfd386ed458a51c9d567f91a8 Description: automated text file generator AutoGen is a tool designed for generating program files that contain repetitive text with varied substitutions. This is especially valuable if there are several blocks of such text that must be kept synchronized. . Included with AutoGen is a tool that virtually eliminates the hassle of processing options, keeping usage text up to date and so on. This tool allows you to specify several program attributes, innumerable options and option attributes, then it produces all the code necessary to parse and handle the command line and initialization file options. . This package contains the development tools. libopts25-dev contains the static libraries and header files. libopts25 contains the shared libraries. Package: autogrid Source: autodocksuite Version: 4.2.3-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 108 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: autodock, autodocktools Enhances: autodock Homepage: http://autodock.scripps.edu/ Priority: optional Section: science Filename: pool/main/a/autodocksuite/autogrid_4.2.3-2_armhf.deb Size: 39698 SHA256: ea22b432b3fab208de9debf6f682cd46ae877adeb87af79ff258d7ae63d97245 SHA1: bda8574d7613c209be9fcbc0537b4ee17daee59c MD5sum: d879593248a0637d1984b6cd346b5ee5 Description: pre-calculate binding of ligands to their receptor The AutoDockSuite addresses the molecular analysis of the docking of a smaller chemical compounds to their receptors of known three-dimensional structure. . The AutoGrid program performs pre-calculations for the docking of a ligand to a set of grids that describe the effect that the protein has on point charges. The effect of these forces on the ligand is then analysed by the AutoDock program. Package: autogrid-test Source: autodocksuite Version: 4.2.3-2 Installed-Size: 436 Maintainer: Debian Med Packaging Team Architecture: all Suggests: autogrid Size: 95326 SHA256: 76871127fb374845481f4078dcc9a6731e65270e3b89279cdc79fe7b4fbf8ca9 SHA1: 2bb38ab8b984bb014c884bf2bbfc016ac462d696 MD5sum: 2fdf385fce0328e6ddc6482db2daa5ef Description: test files for AutoGrid This package contain the test files for the AutoGrid program. Homepage: http://autodock.scripps.edu/ Tag: field::biology, field::biology:structural, role::app-data, use::checking Section: science Priority: optional Filename: pool/main/a/autodocksuite/autogrid-test_4.2.3-2_all.deb Package: autojump Version: 20-2 Installed-Size: 84 Maintainer: Tanguy Ortolo Architecture: all Depends: python3 (>= 3.2) Enhances: bash, zsh, zsh-beta Size: 14840 SHA256: fd5c848789a046354fbcc1003fa513df2a43f271790b97143629a3da9940c408 SHA1: fa1de0eadb33143ce8df66d70f61652d0d493334 MD5sum: 65e504a866bfe94ce08ed000afef88a2 Description: shell extension to jump to frequently used directories autojump provides a faster way to navigate your filesystem, with a "cd command that learns". . It works by maintaining a database of the directories you use the most from the command line, and allows you to "jump" to frequently used directories by typing only a small pattern. . To use autojump, you need to configure your shell to source /usr/share/autojump/autojump.sh on startup. Homepage: http://wiki.github.com/joelthelion/autojump/ Tag: implemented-in::python, implemented-in::shell, interface::shell, role::plugin, use::browsing Section: shells Priority: optional Filename: pool/main/a/autojump/autojump_20-2_all.deb Package: autokey-common Source: autokey Version: 0.90.1-1.1 Installed-Size: 398 Maintainer: Luke Faraone Architecture: all Replaces: autokey (<< 0.61.4-0~0), autokey-gtk (<< 0.70.4-0~0) Depends: python (>= 2.6.6-7~), python (<< 2.8), python-xlib, python-simplejson, python-pyinotify, wmctrl Breaks: autokey (<< 0.61.4-0~0), autokey-gtk (<< 0.70.4-0~0) Size: 69704 SHA256: 76df0631e6aaa4959f9af3aed2ec3aef0eba6c08b4c0d1e6bbc72d77ab4fa8bf SHA1: c0d19637780df7ce85cdf0cea13db3f6f972f7c7 MD5sum: 585cbc65fff17931a7e744b9c910ce9d Description: desktop automation utility - common data AutoKey is a desktop automation utility for Linux and X11. It allows the automation of virtually any task by responding to typed abbreviations and hotkeys. It offers a full-featured GUI that makes it highly accessible for novices, as well as a scripting interface offering the full flexibility and power of the Python language. . This package contains the common data shared between the various frontends. Homepage: http://code.google.com/p/autokey/ Tag: role::app-data Section: utils Priority: optional Filename: pool/main/a/autokey/autokey-common_0.90.1-1.1_all.deb Package: autokey-gtk Source: autokey Version: 0.90.1-1.1 Installed-Size: 385 Maintainer: Luke Faraone Architecture: all Replaces: autokey (<< 0.61.4-0~0) Depends: python (>= 2.6.6-7~), python (<< 2.8), python-gi, gir1.2-gtk-3.0, gir1.2-gtksource-3.0, gir1.2-glib-2.0, gir1.2-notify-0.7, python-dbus, zenity, autokey-common Breaks: autokey (<< 0.61.4-0~0) Size: 48552 SHA256: 1236f0140b507100dbffb04d6e4d5c5f1586758786f3e1e75fae37fedc977d2c SHA1: a25be442a012f940290ef0df248b832da7620020 MD5sum: 8be36ca298b432d68c370f17bca12ba4 Description: desktop automation utility - GTK+ version AutoKey is a desktop automation utility for Linux and X11. It allows the automation of virtually any task by responding to typed abbreviations and hotkeys. It offers a full-featured GUI that makes it highly accessible for novices, as well as a scripting interface offering the full flexibility and power of the Python language. . This package contains the GTK+ frontend. Homepage: http://code.google.com/p/autokey/ Tag: interface::x11, role::program, uitoolkit::gtk, x11::application Section: gnome Priority: optional Filename: pool/main/a/autokey/autokey-gtk_0.90.1-1.1_all.deb Package: autokey-qt Source: autokey Version: 0.90.1-1.1 Installed-Size: 280 Maintainer: Luke Faraone Architecture: all Replaces: autokey (<< 0.61.4-0~0) Depends: python (>= 2.6.6-7~), python (<< 2.8), python-kde4, python-qt4, python-qscintilla2, python-notify, autokey-common, python-qt4-dbus Breaks: autokey (<< 0.61.4-0~0) Size: 40810 SHA256: 77b39de88816b16a8297914116db60e8fbb354b334cca69bf43950716c3c5ee6 SHA1: c96ab615a91baa002d63276d57d3c0630f87519d MD5sum: 6be6842895aada7801d167fd7a405dfe Description: desktop automation utility - KDE version AutoKey is a desktop automation utility for Linux and X11. It allows the automation of virtually any task by responding to typed abbreviations and hotkeys. It offers a full-featured GUI that makes it highly accessible for novices, as well as a scripting interface offering the full flexibility and power of the Python language. . This package contains the Qt frontend. Homepage: http://code.google.com/p/autokey/ Tag: interface::x11, role::program, uitoolkit::qt, x11::application Section: kde Priority: optional Filename: pool/main/a/autokey/autokey-qt_0.90.1-1.1_all.deb Package: autolog Version: 0.40-13.1 Architecture: armhf Maintainer: Luis Uribe Installed-Size: 117 Depends: libc6 (>= 2.7), cron (>= 3.0pl1-42) Recommends: exim4 | mail-transport-agent Homepage: http://gitorious.org/debian-packages/autolog Priority: extra Section: admin Filename: pool/main/a/autolog/autolog_0.40-13.1_armhf.deb Size: 30082 SHA256: f7589cbe95e6d0813d0785bca7f560b4b49546907d1fbc80f9b830da91a6ba99 SHA1: c2111887a54ed273c504455b99093cd4a134e5bb MD5sum: b3ad3ea4e13afa87e0b9f86ac0baa10d Description: Terminates connections for idle users Autolog terminates connections considered to be idle based on a large variety of parameters. Package: automake Source: automake1.11 Version: 1:1.11.6-1 Installed-Size: 1531 Maintainer: Eric Dorland Architecture: all Provides: automake1.11, automaken Depends: autoconf (>= 2.62), autotools-dev (>= 20020320.1), dpkg (>= 1.15.4) | install-info Conflicts: automake (<< 1:1.4-p5-1), automake1.10-doc, automake1.5 (<< 1.5-2), automake1.6 (<< 1.6.1-4) Size: 607376 SHA256: 361f92c240614b4d42347fd2e5fae6dc611e7cef2c16bec3a0a2f70768e4dd5e SHA1: 2193cb908a6a5930d03ebe8d441810db6be7ca4f MD5sum: 8971b0691f5a19af9efca6afdee36c31 Description: Tool for generating GNU Standards-compliant Makefiles Automake is a tool for automatically generating `Makefile.in's from files called `Makefile.am'. . The goal of Automake is to remove the burden of Makefile maintenance from the back of the individual GNU maintainer (and put it on the back of the Automake maintainer). . The `Makefile.am' is basically a series of `make' macro definitions (with rules being thrown in occasionally). The generated `Makefile.in's are compliant with the GNU Makefile standards. . Automake 1.11 fails to work in a number of situations that Automake 1.4, 1.6, 1.7, 1.8, 1.9 and 1.10 did, so has been renamed so that the previous version can continue to be made available. Multi-Arch: foreign Homepage: http://www.gnu.org/software/automake/ Tag: devel::buildtools, implemented-in::perl, interface::commandline, role::program, use::configuring Section: devel Priority: optional Filename: pool/main/a/automake1.11/automake_1.11.6-1_all.deb Package: automake1.10 Version: 1:1.10.3-3 Installed-Size: 1394 Maintainer: Eric Dorland Architecture: all Replaces: automake (<< 1:1.11-1), automake1.10-doc Provides: automaken Depends: autoconf (>= 2.60), autotools-dev (>= 20020320.1), dpkg (>= 1.15.4) | install-info Conflicts: automake (<< 1:1.11-1), automake1.10-doc, automake1.5 (<< 1.5-2), automake1.6 (<< 1.6.1-4) Size: 552534 SHA256: da2b899aefa6b27d2b3a0de5d360baa74e5973ff216f6c7d60a7a75df9784779 SHA1: b1f85ebe1bf91641ecf732d0deca6ab71395f0cb MD5sum: 8f20d68f62c528401531e40fe9d5703d Description: Tool for generating GNU Standards-compliant Makefiles Automake is a tool for automatically generating `Makefile.in's from files called `Makefile.am'. . The goal of Automake is to remove the burden of Makefile maintenance from the back of the individual GNU maintainer (and put it on the back of the Automake maintainer). . The `Makefile.am' is basically a series of `make' macro definitions (with rules being thrown in occasionally). The generated `Makefile.in's are compliant with the GNU Makefile standards. . Automake 1.10 fails to work in a number of situations that Automake 1.4, 1.6, 1.7, 1.8 and 1.9 did, so has been renamed so that the previous version can continue to be made available. Homepage: http://www.gnu.org/software/automake/ Tag: devel::buildtools, devel::code-generator, role::program Section: devel Priority: optional Filename: pool/main/a/automake1.10/automake1.10_1.10.3-3_all.deb Package: automake1.4 Source: automake Version: 1:1.4-p6-13.1 Installed-Size: 976 Maintainer: Eric Dorland Architecture: all Replaces: automake, automake1.4-doc Provides: automake1.4-doc Depends: autoconf, autotools-dev (>= 20010511.2) Conflicts: automake (<< 1:1.4-p6-3), automake1.4-doc, automake1.5 Size: 287194 SHA256: b0dcd789e9b065904f907e34f06172c72ac9c27e04a59f30dc50f67520875dea SHA1: b4161c1cbebf245b5b29d81feeedb1f2a2c25dd5 MD5sum: 8db46fe9e2c2e6ccaf3049e4033897a0 Description: A tool for generating GNU Standards-compliant Makefiles Automake is a tool for automatically generating `Makefile.in's from files called `Makefile.am'. . The goal of Automake is to remove the burden of Makefile maintenance from the back of the individual GNU maintainer (and put it on the back of the Automake maintainer). . The `Makefile.am' is basically a series of `make' macro definitions (with rules being thrown in occasionally). The generated `Makefile.in's are compliant with the GNU Makefile standards. . This version of automake is several years out of date and is only provided for compatibility will older software. Please do not use it for new projects. Homepage: http://www.gnu.org/software/automake/ Tag: devel::buildtools, devel::code-generator, devel::lang:c, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::gnu Section: devel Priority: optional Filename: pool/main/a/automake/automake1.4_1.4-p6-13.1_all.deb Package: automake1.7 Version: 1.7.9-10 Installed-Size: 1045 Maintainer: Eric Dorland Architecture: all Provides: automaken Depends: autoconf (>= 2.54), autotools-dev (>= 20020320.1) Conflicts: automake (<< 1:1.4-p5-1), automake1.5 (<< 1.5-2), automake1.6 (<< 1.6.1-4) Size: 393830 SHA256: 605927457f0bc066be083260d12368e9b42ee0dd46ab33da68e8cb4491d6b089 SHA1: 6d52eda8ebdeded8a60cf65ba40f088bec6af570 MD5sum: 16236f8dbff6e8553331096cdc44d3d5 Description: A tool for generating GNU Standards-compliant Makefiles Automake is a tool for automatically generating `Makefile.in's from files called `Makefile.am'. . The goal of Automake is to remove the burden of Makefile maintenance from the back of the individual GNU maintainer (and put it on the back of the Automake maintainer). . The `Makefile.am' is basically a series of `make' macro definitions (with rules being thrown in occasionally). The generated `Makefile.in's are compliant with the GNU Makefile standards. . Automake 1.7 fails to work in a number of situations that Automake 1.4 and 1.5 did, so has been renamed so that the previous version can continue to be made available. Tag: devel::buildtools, devel::code-generator, devel::lang:c, interface::commandline, role::program, scope::utility, suite::gnu Section: devel Priority: optional Filename: pool/main/a/automake1.7/automake1.7_1.7.9-10_all.deb Package: automake1.9 Version: 1.9.6+nogfdl-4 Installed-Size: 1136 Maintainer: Eric Dorland Architecture: all Provides: automaken Depends: autoconf (>= 2.58), autotools-dev (>= 20020320.1) Suggests: automake1.9-doc Conflicts: automake (<< 1:1.4-p5-1), automake1.5 (<< 1.5-2), automake1.6 (<< 1.6.1-4) Size: 390974 SHA256: 234675461e9d0dab1fb3147248a2efd8f75fc69b00a285823cad1389dd4a4e65 SHA1: 77cf7adb8fe428a43a0bbd4a30c3b001e60253ab MD5sum: 428c6866381adf4b649da4639c03668d Description: A tool for generating GNU Standards-compliant Makefiles Automake is a tool for automatically generating `Makefile.in's from files called `Makefile.am'. . The goal of Automake is to remove the burden of Makefile maintenance from the back of the individual GNU maintainer (and put it on the back of the Automake maintainer). . The `Makefile.am' is basically a series of `make' macro definitions (with rules being thrown in occasionally). The generated `Makefile.in's are compliant with the GNU Makefile standards. . Automake 1.9 fails to work in a number of situations that Automake 1.4, 1.6, 1.7 and 1.8 did, so has been renamed so that the previous version can continue to be made available. Tag: devel::buildtools, devel::code-generator, devel::lang:c, interface::commandline, role::program, scope::utility, suite::gnu Section: devel Priority: optional Filename: pool/main/a/automake1.9/automake1.9_1.9.6+nogfdl-4_all.deb Package: automoc Version: 1.0~version-0.9.88-5 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 113 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.3.0), libqt4-dev Homepage: http://cia.vc/stats/project/kde/automoc Priority: extra Section: devel Filename: pool/main/a/automoc/automoc_1.0~version-0.9.88-5_armhf.deb Size: 31166 SHA256: 601e2999f0664dc2b5f0a866001807c92b1166cde009ebe8a9d0326052ce3594 SHA1: 617ec8afbb3be1e78490aebd33a47dd5bbb25d88 MD5sum: f4b4cd17e5a9f1b2d4ae814b6886fb56 Description: automatic moc for Qt 4 packages This package contains the automoc4 binary which is used to run moc on the right binaries in a Qt 4 or KDE 4 application. . Moc is the meta object compiler which is a much used tool when using the Qt toolkit. Package: automysqlbackup Version: 2.6+debian.3-1 Installed-Size: 98 Maintainer: Thomas Goirand Architecture: all Depends: mysql-client Recommends: mutt Size: 14936 SHA256: 61f9d4df46fbe5d48063d7dde4665d2ca329ce5f4cc19f54918d4d5010d12cb7 SHA1: 4615353ee17f7cf07ea4ead7a8f58af76dd725c4 MD5sum: 67da55a247b86025704931b7b009d294 Description: daily, weekly and monthly backup for your MySQL database automysqlbackup creates backup every day, week and month for all of your MySQL database, to a configured folder. There's nothing to do but to install this package, and you'll rest assured that you have a way to go back in the history of your database. . This package may be unsafe if untrusted users may create databases and if no check on the syntax of the name of them is performed. Homepage: http://sourceforge.net/projects/automysqlbackup/ Tag: admin::backup, implemented-in::shell, interface::commandline, role::program, scope::utility, system::server, works-with::db Section: admin Priority: extra Filename: pool/main/a/automysqlbackup/automysqlbackup_2.6+debian.3-1_all.deb Package: autopkgtest Version: 2.2.3+nmu1 Installed-Size: 204 Maintainer: Autopkgtest team Architecture: all Replaces: autodebtest (<< 0.5.3) Depends: python (>= 2.6), debhelper Recommends: apt-utils, pbuilder Suggests: autopkgtest-xenlvm, curl Conflicts: autodebtest (<< 0.5.3) Size: 64694 SHA256: dd54fc656ace2d8b9b3372ec9fb804d3f4d37f761e0ffdae26a6333397268bba SHA1: 8ce94e5c22cfe597df34b52226f1fdd7fba3d853 MD5sum: b70b4c2c69e696eded464c486fd8820a Description: automatic as-installed testing for Debian packages autopkgtest runs tests on binary packages. The tests are run on the package as installed on a testbed system (which may be found via a virtualisation or containment system). The tests are expected to be supplied in the corresponding Debian source package. . See adt-run(1) and /usr/share/doc/autopkgtest. Most modes of use require apt-ftparchive from the apt-utils package. Use of adt-virt-xenlvm requires the autopkgtest-xenlvm package too; Use of the pre-cooked adt-testreport-onepackage script requires curl. Tag: devel::debian, devel::testing-qa, implemented-in::python, interface::commandline, role::program, scope::utility, suite::debian, use::checking, works-with::software:package Section: devel Priority: optional Filename: pool/main/a/autopkgtest/autopkgtest_2.2.3+nmu1_all.deb Package: autopkgtest-xenlvm Source: autopkgtest Version: 2.2.3+nmu1 Installed-Size: 117 Maintainer: Autopkgtest team Architecture: all Depends: libadns1-bin, chiark-utils-bin, iproute, dmsetup Recommends: lvm2, debootstrap Suggests: autopkgtest Size: 26858 SHA256: 9a5e55eba4c31b09f91894630ff4905081014a7221695856ae2d02bc22ec790c SHA1: 9d4b7dcd99843c22bd8194a564c038f9753fe5b5 MD5sum: 2a612d5bb5ecdd39c1ef8ed26fd2e45e Description: Xen/LVM2 based testbed snapshot system Machinery for setting up a Xen domain which can be resumed over and over again, discarding changes made each time. This can be useful for automated testing and other advanced techniques; autopkgtest is able to make use of this machinery for its virtualisation needs. . You will need a working Xen setup to make use of this software. Your network administrator will need to provide support for the testbeds' networking requirements. See the README for documentation. Tag: admin::virtualization Section: devel Priority: optional Filename: pool/main/a/autopkgtest/autopkgtest-xenlvm_2.2.3+nmu1_all.deb Package: autopoint Source: gettext Version: 0.18.1.1-9 Installed-Size: 670 Maintainer: Santiago Vila Architecture: all Replaces: gettext (<= 0.17-11) Depends: git (>= 1:1.7.0.5) | git-core Size: 629082 SHA256: 33cb439ca0e80bbc44a734e9c74e6eeed320c78894fe9bedcc1d2dc668475c22 SHA1: fbc0663e14b6035049e1c4be2b53ecc5b9e142ff MD5sum: 68a76455f57d23b10d1eae5772083e48 Description: The autopoint program from GNU gettext The `autopoint' program copies standard gettext infrastructure files into a source package. It extracts from a macro call of the form `AM_GNU_GETTEXT_VERSION(VERSION)', found in the package's `configure.in' or `configure.ac' file, the gettext version used by the package, and copies the infrastructure files belonging to this version into the package. Homepage: http://www.gnu.org/software/gettext/ Tag: role::program Section: devel Priority: optional Filename: pool/main/g/gettext/autopoint_0.18.1.1-9_all.deb Package: autopostgresqlbackup Version: 1.0-2 Installed-Size: 87 Maintainer: Emmanuel Bouthenot Architecture: all Depends: postgresql-client-common Recommends: mutt Size: 11232 SHA256: d0d487cd27152970528032cffd439a582f2d5f2e05a44cdea0f6643d6d717f85 SHA1: c85c75a96ff8a29c3900cb7cadf01c082c2bd5c9 MD5sum: 42eb43a8786f6af8a5aeb1a4481c22c9 Description: Automated tool to make periodic backups of PostgreSQL databases autopostgresqlbackup is a shell script (usually executed from a cron job) designed to provide a fully automated tool to make periodic backups of PostgreSQL databases. autopostgresqlbackup extract databases into flat files in a daily, weekly or monthly basis. . autopostgresqlbackup is a PostgreSQL port of automysqlbackup. Homepage: http://projects.frozenpc.net/autopgsqlbackup/ Section: admin Priority: extra Filename: pool/main/a/autopostgresqlbackup/autopostgresqlbackup_1.0-2_all.deb Package: autoproject Version: 0.20-5 Installed-Size: 580 Maintainer: James R. Van Zandt Architecture: all Depends: automake | automake1.9 | automaken Size: 76270 SHA256: a712180692b86792c8bd78ebf80603955a1d7d6fac7c9b35b80dc7c64d61e87e SHA1: f224b88b9f5b3c251ad3ef0176873da83fb7b8ce MD5sum: c823caabbcaa8d42fc77768df97deabb Description: create a skeleton source package for a new program autoproject interviews the user, then creates a source package for a new program which follows the GNU programming standards. The new package uses autoconf to configure itself, and automake to create the Makefile. `make distcheck' succeeds. . The idea is that you execute autoproject just once when you start a new project. It will ask a few questions, then create a new directory and populate it with standard files, customized for the new project. . Optionally, the new package will use a command line parser generator. Currently, autoproject supports two parser generators: clig by Harald Kirsch (see http://wsd.iitb.fhg.de/software/), and autogen by Bruce Korb (see http://autogen.sourceforge.net/). Tag: devel::buildtools, devel::code-generator, devel::docsystem, devel::lang:c, devel::library, implemented-in::c, interface::commandline, role::devel-lib, role::program, role::shared-lib, scope::utility, suite::gnu, works-with::software:source, works-with::text Section: devel Priority: optional Filename: pool/main/a/autoproject/autoproject_0.20-5_all.deb Package: autopsy Version: 2.24-1 Installed-Size: 1372 Maintainer: Lorenzo Martignoni Architecture: all Depends: sleuthkit (>= 3.0.0), perl, binutils Size: 383398 SHA256: 89f0277eed013282eaf50cf28ea1e0cfc9ff1a2e342a997930b0c9b0c1dec367 SHA1: e43f1ea9aa27691036d84277fc75465fb4f952b1 MD5sum: 37162fab06f63bfc694bae9fd7d3ba6f Description: graphical interface to SleuthKit The Autopsy Forensic Browser is a graphical interface to the command line digital forensic analysis tools in The Sleuth Kit. Together, The Sleuth Kit and Autopsy provide many of the same features as commercial digital forensics tools for the analysis of Windows and UNIX file systems (NTFS, FAT, FFS, EXT2FS, and EXT3FS). Tag: admin::forensics, interface::commandline, role::program, scope::utility, security::forensics Section: admin Priority: optional Filename: pool/main/a/autopsy/autopsy_2.24-1_all.deb Package: autorenamer Version: 0.2-1 Installed-Size: 60 Maintainer: Marcin Owsiany Architecture: all Depends: python, python-gnome2, python-gtk2 Size: 7164 SHA256: fbc4940812eb016cca4ec5efe8d58c55aa15435ff78a70090beed84ebe392bc6 SHA1: 2a2db09be2d3f157a1aa4232033d45de2b6518aa MD5sum: 78ce6c3cc910d0b219691950ad20adef Description: program to rename files to make them sort in given order This is a simple graphical program that lets you reorder the files using drag-and-drop and then changes the names of the files such that their alphabetical sorting matches the ordering you chose. . Currently the renaming consists of prepending each filename with a short number. . The program displays thumbnails of files using GNOME libraries. Tag: implemented-in::python, interface::x11, role::program, scope::utility, uitoolkit::gtk, use::organizing, works-with::file, x11::application Section: utils Priority: extra Filename: pool/main/a/autorenamer/autorenamer_0.2-1_all.deb Package: autossh Version: 1.4c-1 Architecture: armhf Maintainer: Axel Beckert Installed-Size: 115 Depends: libc6 (>= 2.4), openssh-client | ssh-client Enhances: openssh-client, ssh-client Homepage: http://www.harding.motd.ca/autossh/ Priority: optional Section: net Filename: pool/main/a/autossh/autossh_1.4c-1_armhf.deb Size: 30942 SHA256: 7819ef50576ecefb6691f8e42b253b277f847d6697d6ff5072a5d63bed1f1615 SHA1: f4f51f58548626445d320c669e29f6b73eda0f6c MD5sum: e7ffc996962382ab568a336d59d7406b Description: Automatically restart SSH sessions and tunnels autossh is a program to start an instance of ssh and monitor it, restarting it as necessary should it die or stop passing traffic. The idea is from rstunnel (Reliable SSH Tunnel), but implemented in C. Connection monitoring is done using a loop of port forwardings. It backs off on the rate of connection attempts when experiencing rapid failures such as connection refused. Package: autotalent Version: 0.2-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 63 Depends: libc6 (>= 2.13-28) Provides: ladspa-plugin Homepage: http://web.mit.edu/tbaran/www/autotalent.html Priority: optional Section: sound Filename: pool/main/a/autotalent/autotalent_0.2-2_armhf.deb Size: 20190 SHA256: 6a919f5dcd9660e42f3466dadf98c51e3f88a62db4fc4b28050c6905e92e5e5f SHA1: ee5933ba65a8be4546c3b9e952337b5e9443e919 MD5sum: a0b173a17f02f549d5224dc5f1f66be7 Description: pitch correction LADSPA plugin Autotalent is a real-time pitch correction plugin. Users can specify the notes that a singer is allowed to hit, and Autotalent makes sure that they do. Autotalent may be used for more exotic effects, like the Cher / T-Pain effect, making your voice sound like a chiptune, adding artificial vibrato, or messing with your formants. Autotalent can also be used as a harmonizer that knows how to sing in the scale with you. Or, you can use Autotalent to change the scale of a melody between major and minor or to change the musical mode. . Autotalent was designed from the ground up to process musical melodies, whether sung by voice or played on some kind of instrument. As long as one gives it a monophonic source (i.e. a melody in a single, 1-channel track), he can usually expects pretty good results. So this means that if you're in the business of processing vocal harmonies, you should apply it to each monophonic vocal part separately. If in the spirit of experimentation you're daring enough to try tuning or pitch shifting an entire chord using one instance of the plugin (again not what it's meant for), you'll hear gritty nastiness. Package: autotools-dev Version: 20120608.1 Installed-Size: 182 Maintainer: Henrique de Moraes Holschuh Architecture: all Enhances: cdbs, debhelper Size: 73008 SHA256: f006236a7067b6c042ea38d574575ac1cf8da3575aec701f408cd6e73429cfa8 SHA1: 605115553b2f630f63baa4f5964969aef048cfeb MD5sum: 7e679fab4e7952e23847eb86480ea1a5 Description: Update infrastructure for config.{guess,sub} files This package installs an up-to-date version of config.guess and config.sub, used by the automake and libtool packages. It provides the canonical copy of those files for other packages as well. . It also documents in /usr/share/doc/autotools-dev/README.Debian.gz best practices and guidelines for using autoconf, automake and friends on Debian packages. This is a must-read for any developers packaging software that uses the GNU autotools, or GNU gettext. . Additionally this package provides seamless integration into Debhelper or CDBS, allowing maintainers to easily update config.{guess,sub} files in their packages. Multi-Arch: foreign Homepage: http://savannah.gnu.org/projects/config/ Tag: devel::buildtools, devel::doc, devel::library, interface::commandline, role::app-data Section: devel Priority: optional Filename: pool/main/a/autotools-dev/autotools-dev_20120608.1_all.deb Package: autotrace Version: 0.31.1-16+deb7u1 Architecture: armhf Maintainer: Edgar Antonio Palma de la Cruz Installed-Size: 62 Depends: libautotrace3 (>= 0.31.1), libc6 (>= 2.13-28), libgomp1 (>= 4.2.1) Homepage: http://autotrace.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/a/autotrace/autotrace_0.31.1-16+deb7u1_armhf.deb Size: 49974 SHA256: 10667e777519a71a6bd26c55b8ce3723429d93feb7c3afc85b0525bb17af72c3 SHA1: b8f959bebc3298b69647cc6be571b59656198e88 MD5sum: 9b2a786a9ba6904dbd0ee54bb2eed76c Description: bitmap to vector graphics converter AutoTrace is a program for converting bitmaps to vector graphics. The aim of the AutoTrace project is the development of a freely-available application similar to CorelTrace or Adobe Streamline. In some aspects it is already better. Originally created as a plugin for the GIMP, AutoTrace is now a standalone program. Package: autotrash Version: 0.1.5-1 Installed-Size: 68 Maintainer: Lorenzo De Liso Architecture: all Depends: python (>= 2.5) Size: 9796 SHA256: 458add999a3e0514f54812bfc62a22bbafbbbf6681a6cd08aa32d9bc41d0f22f SHA1: 9502a9dcd6a2fa35b80d9fba64e614c771736bd4 MD5sum: 60e832d402cf46c857585d908d4be0bb Description: purges files from your trash based on age and/or filename A script useful to purge files from your trash based on their age. It can also be used to purge the files based on the filename using a regular expression. Homepage: http://www.logfish.net/pr/autotrash/ Tag: implemented-in::python, role::program, use::organizing, works-with::file Section: utils Priority: optional Filename: pool/main/a/autotrash/autotrash_0.1.5-1_all.deb Package: autotrust Version: 0.3.1-1 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 181 Depends: libc6 (>= 2.13-28), libldns1 (>= 1.4.0), libunbound2 (>= 1.4.1), ucf, adduser Homepage: http://www.nlnetlabs.nl/projects/autotrust/ Priority: extra Section: net Filename: pool/main/a/autotrust/autotrust_0.3.1-1_armhf.deb Size: 47620 SHA256: 8d44ff4b9a1eaaeaa2c265627f46fc569323f66c5cb8c66d8e344090d621ae8e SHA1: 73cb5c561ec2c9acff8b5fcdad5fce1eded4bf7c MD5sum: f2f900c540719cbb469a65187119d282 Description: a tool to automatically update your DNSSEC trust anchors autotrust takes care of keeping your DNSSEC trust anchors up to date. It is RFC 5011 compliant, except for the query intervals and the retry timers. autotrust is meant to run as a daemon or from a cron job. Package: avahi-autoipd Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 147 Pre-Depends: dpkg (>= 1.15.7.2) Depends: libc6 (>= 2.13-28), libdaemon0 (>= 0.10), libgcc1 (>= 1:4.4.0), adduser Recommends: isc-dhcp-client, iproute Conflicts: zeroconf Homepage: http://avahi.org/ Priority: optional Section: net Filename: pool/main/a/avahi/avahi-autoipd_0.6.31-2_armhf.deb Size: 54176 SHA256: 3c561274acfce4f35276549e953b6f6d493efa9b8c32c013eb8265cd3b0f8c69 SHA1: 4a0627e36ce4136b6c424f76b682b1b8f8704487 MD5sum: ffebea11aaef77024c07eadb9f4a2081 Description: Avahi IPv4LL network address configuration daemon Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This tool implements IPv4LL, "Dynamic Configuration of IPv4 Link-Local Addresses" (IETF RFC3927), a protocol for automatic IP address configuration from the link-local 169.254.0.0/16 range without the need for a central server. It is primarily intended to be used in ad-hoc networks which lack a DHCP server. Package: avahi-daemon Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 334 Depends: libavahi-common3 (>= 0.6.16), libavahi-core7 (>= 0.6.24), libc6 (>= 2.13-28), libcap2 (>= 2.10), libdaemon0 (>= 0.13), libdbus-1-3 (>= 1.1.1), libexpat1 (>= 2.0.1), adduser, dbus (>= 0.60), lsb-base (>= 3.0-6), bind9-host | host Recommends: libnss-mdns Suggests: avahi-autoipd Multi-Arch: foreign Homepage: http://avahi.org/ Priority: optional Section: net Filename: pool/main/a/avahi/avahi-daemon_0.6.31-2_armhf.deb Size: 94210 SHA256: df4505ab4edff1928a2b72c32f6172bfb10b5297b9579c2195bfb7dd27b45037 SHA1: 9c76cb5b6a3abe47ee5c5739069509348ae9b06c MD5sum: 2c21c2978bac0c4a564617dc051daa05 Description: Avahi mDNS/DNS-SD daemon Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains the Avahi Daemon which represents your machine on the network and allows other applications to publish and resolve mDNS/DNS-SD records. Package: avahi-dbg Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 933 Homepage: http://avahi.org/ Priority: extra Section: debug Filename: pool/main/a/avahi/avahi-dbg_0.6.31-2_armhf.deb Size: 668570 SHA256: 6cf54f56d4366d14657ba3919f33640ff5d1aaa7365fc6b64c52cbaf2716d295 SHA1: 91be23d0297e0d83535212a60e05d6c352231ac2 MD5sum: ed060a7bf6e7578380462936301c1210 Description: Avahi - debugging symbols This package contains detached debugging symbols for the binary packages produced by the avahi source. . Most people will not need this package. Package: avahi-discover Source: avahi Version: 0.6.31-2 Installed-Size: 138 Maintainer: Utopia Maintenance Team Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-avahi, python-dbus, python-gtk2 (>= 2.8.6-2), python-glade2, avahi-daemon Size: 39200 SHA256: 4228e6e5177a7190d8f7f716654be19b9dbc461de688e1ff439d3b5826159175 SHA1: 5726b8f0fa4999d37fb419bfc30f55fbf1647ea8 MD5sum: 0bc2abdefff6d9512e3a0e1d2dce63bc Description: Service discover user interface for avahi Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains a user interface for discovering services. Homepage: http://avahi.org/ Tag: implemented-in::python, interface::x11, network::client, network::scanner, protocol::dns, role::program, scope::utility, uitoolkit::gtk, use::scanning, x11::application Section: net Priority: optional Filename: pool/main/a/avahi/avahi-discover_0.6.31-2_all.deb Package: avahi-dnsconfd Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 131 Pre-Depends: dpkg (>= 1.15.7.2) Depends: libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), libdaemon0 (>= 0.10), avahi-daemon, lsb-base (>= 3.0-6) Recommends: resolvconf Homepage: http://avahi.org/ Priority: optional Section: net Filename: pool/main/a/avahi/avahi-dnsconfd_0.6.31-2_armhf.deb Size: 42696 SHA256: cb265e370766e8f121552e8a63e9f159469542b282d5471bc0763697e1b50d1a SHA1: a15eea5d6a115b29d9f318b6cffc9bc5ce00fb8c MD5sum: 4ce8c8280a260c645237e67722b9bc9c Description: Avahi DNS configuration tool Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This tool listens on the network for announced DNS servers and passes them to resolvconf so it can use them. This is very useful on autoconfigured IPv6 networks. Package: avahi-ui-utils Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 93 Depends: libatk1.0-0 (>= 1.12.4), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.22), libavahi-glib1 (>= 0.6.16), libavahi-ui-gtk3-0 (>= 0.6.30), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libgdbm3 (>= 1.8.3), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0) Recommends: ssh-client, vnc-viewer Homepage: http://avahi.org/ Priority: optional Section: utils Filename: pool/main/a/avahi/avahi-ui-utils_0.6.31-2_armhf.deb Size: 39584 SHA256: 98ccf8bdae25eeda0ee9947fbd7e2c146943d0d55342cd6c8f63cfdff9b35ef5 SHA1: 75e604e74b3c93b150e15e477693ed268e21a89d MD5sum: 2cdc3c073ea1fcab400597a8f002f4a3 Description: Avahi GTK+ utilities Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains some small GTK+ utilities to discover ssh and vnc servers. Package: avahi-utils Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 139 Depends: libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.22), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libgdbm3 (>= 1.8.3), avahi-daemon Homepage: http://avahi.org/ Priority: optional Section: net Filename: pool/main/a/avahi/avahi-utils_0.6.31-2_armhf.deb Size: 55814 SHA256: 6b288228f70ceb92a37cc286e6a221238e6bfa4a98c3ffc05947383f8726d2a2 SHA1: 7a5a415286add21909373c5a92f12be8eca801c2 MD5sum: 38c7aee52235fece9d90d77ecbde0d2f Description: Avahi browsing, publishing and discovery utilities Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains several utilities that allow you to interact with the Avahi daemon, including publish, browsing and discovering services. Package: avant-window-navigator Version: 0.4.1~bzr830-2 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 1035 Depends: libatk1.0-0 (>= 1.12.4), libawn1 (>= 0.4.1~bzr803), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libdesktop-agnostic0 (>= 0.3.92), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.24.0), libgtop2-7 (>= 2.22.3), libpango1.0-0 (>= 1.14.0), libwnck22 (>= 2.30.0-3), libx11-6, libxcomposite1 (>= 1:0.3-1), libxext6, libxrender1, gconf2 (>= 2.28.1-2), avant-window-navigator-data (>= 0.4.1~bzr830-2), libdesktop-agnostic-vfs, libdesktop-agnostic-fdo, libdesktop-agnostic-cfg, dbus-x11 Recommends: awn-applets-python-core, awn-applets-c-core, awn-settings (>= 0.4.1~bzr830-2), dockmanager, metacity (>= 2.21.5) | xcompmgr | compiz | xfwm4 (>= 4.2) | kde-window-manager (>= 4:4.0.0) | mutter Breaks: awn-applets-c-core (<< 0.3.9~bzr1890) Replaces: awn-applets-c-core (<< 0.3.9~bzr1890) Homepage: https://launchpad.net/avant-window-navigator/ Priority: optional Section: gnome Filename: pool/main/a/avant-window-navigator/avant-window-navigator_0.4.1~bzr830-2_armhf.deb Size: 420792 SHA256: 18b737e91323f801fa9d709195c3602303322a90d2f36fbafc7a4880915ca7c6 SHA1: 56cd8eff2a387e2458bb59c0cba388e654f7f472 MD5sum: deb81ea76700b93ee5890ba4ce282abc Description: MacOS X like panel for GNOME Avant-window-navigator (Awn) is a MacOS X like panel for the GNOME Desktop written in C. In addition to launchers that can be dragged onto the bar, it features a taskbar that behaves similarly to the Mac OSX dock. The window navigator uses the composite extension for transparency and other effects. Package: avant-window-navigator-data Source: avant-window-navigator Version: 0.4.1~bzr830-2 Installed-Size: 1003 Maintainer: Julien Lavergne Architecture: all Replaces: avant-window-navigator (<= 0.2.1-dfsg1-1) Breaks: avant-window-navigator (<= 0.2.1-dfsg1-1) Size: 438288 SHA256: 98e872927e13dde977523e1d24503f15dcf176d2fc677d708ec74cf935627208 SHA1: 5110fdfdea1200beefc9f973ac545312cbcabd98 MD5sum: c3cd2beebe05d8ae5990fa662e82430b Description: Common files for avant-window-navigator This package contains all images and locales for avant-windows-navigator. Homepage: https://launchpad.net/avant-window-navigator/ Tag: implemented-in::c, role::app-data Section: gnome Priority: optional Filename: pool/main/a/avant-window-navigator/avant-window-navigator-data_0.4.1~bzr830-2_all.deb Package: avarice Version: 2.11-1 Architecture: armhf Maintainer: Shaun Jackman Installed-Size: 689 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libusb-0.1-4 (>= 2:0.1.12), zlib1g (>= 1:1.2.0) Recommends: gdb-avr Priority: optional Section: electronics Filename: pool/main/a/avarice/avarice_2.11-1_armhf.deb Size: 322602 SHA256: e6d6f6abd2453157c0f5a5dd99dd4dcecd8f928540f8c810c406ee024199d13d SHA1: 9f5659849c0dbc28dc0dae281f58ec13e66496b7 MD5sum: 0aa317f1abd7f64c16dc5c7058e2bca6 Description: use GDB with Atmel's JTAG ICE for the AVR AVaRICE translates between GDB's remote debug protocol and the AVR JTAG ICE protocol. Package: avce00 Version: 2.0.0-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 553 Depends: libc6 (>= 2.4) Suggests: e00compr Homepage: http://avce00.maptools.org/avce00/ Priority: extra Section: science Filename: pool/main/a/avce00/avce00_2.0.0-2_armhf.deb Size: 171228 SHA256: f6073fb4645c60bc5e50d9d504476534a04c52ffa05527466e652a878619bab6 SHA1: cbaa8dc3c3fbdfe2cf3e9ba3a539f7dc4fddbdfd MD5sum: a61d5a5f6a1be67899d3bd87cbeb685c Description: Tools for conversion of ESRI Arcinfo (binary) Vector Coverage in E00 format. AVCE00 is a C library and group of tools that makes Arcinfo (binary) Vector Coverages appear as E00. It allows you to read and write binary coverages just as if they were E00 files. Package: avelsieve Version: 1.9.9-2.3 Installed-Size: 1151 Maintainer: Jan Hauke Rahm Architecture: all Depends: squirrelmail (>= 2:1.4.9), libjs-scriptaculous (>= 1.8.1), debconf (>= 0.5) | debconf-2.0 Suggests: cyrus-imapd-2.2 | dovecot-imapd Size: 328864 SHA256: 62dc1b98858e25e6f151e2dfe5f1c94270029f1dff21a11b599d92d8657eac65 SHA1: 3f7b1d974a7139ebc0e7262e29b1804366967d1f MD5sum: 67e4e4da499143d88d0489a803d99033 Description: Sieve mail filters plugin for SquirrelMail Avelsieve is a plugin for the SquirrelMail webmail system which allows users to create mail filtering scripts on Sieve-compliant mail servers. . It provides a user-friendly wizard-like interface for creating Sieve 'rules', which are assembled into server-side filtering scripts. Not all of Sieve's functionality is supported, but no knowledge of the language is required. Homepage: http://email.uoa.gr/projects/squirrelmail/avelsieve.php Tag: implemented-in::php, interface::web, role::plugin, suite::TODO, use::filtering, works-with::mail Section: web Priority: optional Filename: pool/main/a/avelsieve/avelsieve_1.9.9-2.3_all.deb Package: avfs Version: 1.0.0-4 Architecture: armhf Maintainer: Michael Meskes Installed-Size: 1085 Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), fuse Suggests: unzip, zip, arj, lha, zoo, rpm, p7zip | p7zip-full, cdparanoia, wget Priority: extra Section: utils Filename: pool/main/a/avfs/avfs_1.0.0-4_armhf.deb Size: 466206 SHA256: a65e03af049b29985943480af56199befa9729e2b69bf7e83e519fddeea4a072 SHA1: ab223d98912c4b70dc59487ff2833ce83a1da6b8 MD5sum: 32b3cb5c2316eb0d12271fbe42b5ae7f Description: virtual filesystem to access archives, disk images, remote locations This FUSE-base VFS (Virtual FileSystem) enables all programs to look inside archived or compressed files, or access remote files without recompiling the programs or changing the kernel. . At the moment it supports floppies, tar and gzip files, zip, bzip2, ar and rar files, ftp sessions, http, webdav, rsh/rcp, ssh/scp. Quite a few other handlers are implemented with the Midnight Commander's external FS. Package: aview Version: 1.3.0rc1-9 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 96 Depends: libaa1 (>= 1.4p5), libc6 (>= 2.7) Suggests: imagemagick, netpbm Homepage: http://aa-project.sourceforge.net/aview/ Priority: optional Section: graphics Filename: pool/main/a/aview/aview_1.3.0rc1-9_armhf.deb Size: 30452 SHA256: f1313179827d47e64ff9b1e1ff01028c9e81248ae5bcb3200b9373a2b8fae9d4 SHA1: 5eec111c510623195cfc709cbfc67b60d73a2564 MD5sum: 367e8231c27544aec2db3aa558f2e3b7 Description: A high quality ASCII art image viewer and video player aview is a high quality ASCII art image viewer and video player. It is especially useful with a text-based browser such as lynx, links or w3m. . It supports the pnm, pgm, pbm and ppm image formats, as well as the FLI and FLC video formats. It also supports output via stdio, (n)curses and S-Lang and even has support for gpm. . Features * High quality ASCII art rendering * Portable * Save into many formats (HTML, text, ANSI, more/less etc...) * Contrast, Bright, Gamma control * Image zooming/unzooming * Three dithering modes * Hidden "bonus" features :) * Inversion * Support for bright, dim, inverse attributes/extended character set Package: avinfo Version: 1.0.a15+20090102-1 Architecture: armhf Maintainer: Stanislav Maslovski Installed-Size: 130 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://shounen.ru/soft/avinfo/ Priority: extra Section: utils Filename: pool/main/a/avinfo/avinfo_1.0.a15+20090102-1_armhf.deb Size: 61746 SHA256: dcaab070ae6468a569a7a503c8d701308613da001b2ed4930ece65315467d55b SHA1: b4ea4aa3fa1f5df30e4273ccd41125afe2293073 MD5sum: 8056e46790d578b737160e5cbeea343d Description: Audio/Video information automatic extractor/file list generator AVInfo is a powerful tool for extracting practically any useful information from a collection of your multimedia files. It works with many different file formats including most popular ones as AVI, OGG, OGM, MPEG, and MKV. All the file scanning code has been implemented from scratch. AVInfo does not rely on any external libraries to do this job and has been written in pure C. Due to that it is fast and efficient. It has a built-in scripting language called A.S.S. (AVInfo Simple Script) that is used in templates which control the output of the program. It is a "must have" tool for anyone with a huge number of multimedia files on her/his hard drives. Package: avogadro Version: 1.0.3-5 Architecture: armhf Maintainer: Debichem Team Installed-Size: 7194 Depends: libavogadro1 (= 1.0.3-5), libboost-python1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libgl2ps0, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libopenbabel4, libpython2.7 (>= 2.7), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.3.0), libx11-6, libxext6 Recommends: avogadro-data (>= 1.0.0-2) Homepage: http://avogadro.sourceforge.net/ Priority: optional Section: science Filename: pool/main/a/avogadro/avogadro_1.0.3-5_armhf.deb Size: 4097636 SHA256: 7209450795aaadec8acd5fc88956871d335f9b2f138920e34cba6918cac58c51 SHA1: f04f1402afc05c08db2171cbd3deac02fedfcba1 MD5sum: 3ec017f915da12f34f04d4caa0ab02af Description: Molecular Graphics and Modelling System Avogadro is a molecular graphics and modelling system targeted at molecules and biomolecules. It can visualize properties like molecular orbitals or electrostatic potentials and features an intuitive molecular builder. . Features include: * Molecular modeller with automatic force-field based geometry optimization * Molecular Mechanics including constraints and conformer searches * Visualization of molecular orbitals and general isosurfaces * Visualization of vibrations and plotting of vibrational spectra * Support for crystallographic unit cells * Input generation for the Gaussian, GAMESS and MOLPRO quantum chemistry packages * Flexible plugin architecture and Python scripting . File formats Avogadro can read include PDB, XYZ, CML, CIF, Molden, as well as Gaussian, GAMESS and MOLPRO output. Package: avogadro-data Source: avogadro Version: 1.0.3-5 Installed-Size: 1083 Maintainer: Debichem Team Architecture: all Replaces: avogadro (<< 1.0.0-2), libavogadro0 (<< 1.0.0-2) Breaks: avogadro (<< 1.0.0-2), libavogadro0 (<< 1.0.0-2) Size: 321046 SHA256: cf496cc84251405272acaa7fa1ba5b2235c27b40625e0406784c302303edd497 SHA1: 0610b29e40f8ffa7d43eb84ed45b7bcfc2ddba69 MD5sum: 5c254421749aa86de31dfd28cc68658e Description: Molecular Graphics and Modelling System (Data Files) Avogadro is a molecular graphics and modelling system targeted at molecules and biomolecules. It can visualize properties like molecular orbitals or electrostatic potentials and features an intuitive molecular builder. . This package contains data files like molecular builder fragments or OpenGL shaders. Homepage: http://avogadro.sourceforge.net/ Tag: role::app-data Section: science Priority: optional Filename: pool/main/a/avogadro/avogadro-data_1.0.3-5_all.deb Package: avr-evtd Version: 1.7.7-2 Architecture: armhf Maintainer: Rogério Brito Installed-Size: 95 Depends: libc6 (>= 2.13-28) Homepage: http://sourceforge.net/projects/ppc-evtd Priority: optional Section: misc Filename: pool/main/a/avr-evtd/avr-evtd_1.7.7-2_armhf.deb Size: 24680 SHA256: 671355d30ae7bedd0daa21066c769d0c91f3b266d83f2717ae49303cdf1b8528 SHA1: f48d7ba51e88f8fde6b25601c18668619f89be5b MD5sum: 152a83e0e7cb27e08a12775a3e9fc37b Description: AVR watchdog daemon for Linkstation/Kuroboxes avr-evtd is a simple and small user space interface to the Linkstation AVR micro-controller. It doesn't have a lot of special features, but it's main task is to provide 'keep-alive' messages to the Linkstation's on-board AVR device. . This device controls/monitors the fan, various LEDs, timed power up and two buttons. This daemon provides the necessary initialisation to the device and also stimulates the LEDs depending on various fault conditions. It also monitors a power button (located at the front) and a reset button (located at the rear). Package: avr-libc Version: 1:1.8.0-2 Installed-Size: 28741 Maintainer: Hakan Ardo Architecture: all Depends: gcc-avr (>= 1:4.7-1), binutils-avr (>= 2.20.1-2) Size: 5765994 SHA256: a6abf7835824347ec873f4380f50598561daf2aac3c0ba37d60cc9b445a0b5e5 SHA1: 0463f16ab3247cf8a4bfbe97b8a85c4697cf6768 MD5sum: f055245e966d21d423c94d07d5e24a4a Description: Standard C library for Atmel AVR development Standard library used to the development of C programs for the Atmel AVR micro controllers. This package contains static libraries as well as the header files needed. Tag: devel::library, field::electronics, implemented-in::c, role::devel-lib Section: otherosfs Priority: extra Filename: pool/main/a/avr-libc/avr-libc_1.8.0-2_all.deb Package: avra Version: 1.2.3a-1 Architecture: armhf Maintainer: Tobias Klauser Installed-Size: 1390 Depends: libc6 (>= 2.4) Suggests: avrp Homepage: http://avra.sourceforge.net Priority: extra Section: electronics Filename: pool/main/a/avra/avra_1.2.3a-1_armhf.deb Size: 279428 SHA256: 404ba76d2fb05e72d910d3221c222563b2a9b383bb37b2b40286b12123ec62cf SHA1: 6ca1dbe78fc5d246e186be1efec68d83f66e452b MD5sum: 63e5b45cabba9370417248b262b87e5f Description: Assembler for Atmel AVR microcontrollers Avra is an assembler for the Atmel's family of AVR 8-bit RISC microcontrollers. It is mostly compatible with Atmel's own assembler, but adds new features such as better macro support and additional preprocessor directives. Package: avrdude Version: 5.11.1-1 Architecture: armhf Maintainer: Michael Biebl Installed-Size: 919 Depends: libc6 (>= 2.13-28), libftdi1 (>= 0.20), libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libtinfo5, libusb-0.1-4 (>= 2:0.1.12) Suggests: avrdude-doc Homepage: http://savannah.nongnu.org/projects/avrdude/ Priority: extra Section: electronics Filename: pool/main/a/avrdude/avrdude_5.11.1-1_armhf.deb Size: 228522 SHA256: 4bca7ad70a6dc8d5132055ddaa7612380f884d7baeaa2befb13928c399b63ffc SHA1: 6562cc70dda214a22522043504f28cc91424a15a MD5sum: b43eb1ce628920357217fd0b334df7ab Description: software for programming Atmel AVR microcontrollers AVRDUDE is an open source utility to download/upload/manipulate the ROM and EEPROM contents of AVR microcontrollers using the in-system programming technique (ISP). Package: avrdude-doc Source: avrdude Version: 5.11.1-1 Installed-Size: 788 Maintainer: Michael Biebl Architecture: all Depends: dpkg (>= 1.15.4) | install-info Suggests: doc-base Size: 572956 SHA256: bc6df8c84b88e28b2cc151928ac86caab0823e380bfa9d2f93560c22a1d74055 SHA1: 12ef4b75aadd7656702692d488d203d915b36684 MD5sum: e6662138c17fa1f83f472e76faa14c92 Description: documentation for avrdude AVRDUDE is an open source utility to download/upload/manipulate the ROM and EEPROM contents of AVR microcontrollers using the in-system programming technique (ISP). . This package contains documentation for configuring and running AVRDUDE. Homepage: http://savannah.nongnu.org/projects/avrdude/ Tag: devel::machinecode, field::electronics, hardware::embedded, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/a/avrdude/avrdude-doc_5.11.1-1_all.deb Package: avrp Version: 1.0beta3-7 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 83 Depends: libc6 (>= 2.4) Suggests: avra Homepage: http://tihlde.org/~jonah/el/avrp.html Priority: extra Section: electronics Filename: pool/main/a/avrp/avrp_1.0beta3-7_armhf.deb Size: 22770 SHA256: c510c1a1cd87cbc58bb0fb2a7887bad9acf6fd362365a1ab6062eafd83111a1c SHA1: 6738c0ad04382244485ca43597e49c382169377d MD5sum: f6f6f89767cfb3086bccf1883a0726a5 Description: Programmer for Atmel AVR microcontrollers Avrp is a FLASH/EEPROM programmer for Atmel's family of AVR 8-bit RISC microcontrollers. It can also program the Atmel AT89 series microcontrollers. It supports at least four different programming devices including Atmel's own AVR development board and in-circuit programming. Package: awardeco Version: 0.2-3.1 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 66 Depends: libc6 (>= 2.4) Homepage: http://www.kaos.ru/biosgfx/ Priority: optional Section: utils Filename: pool/main/a/awardeco/awardeco_0.2-3.1_armhf.deb Size: 13668 SHA256: 3e788eb2e7bf2ab99d21ad4783c9f13cb620783bacbcd3e379315f03d1b54a6e SHA1: 59d9467a2c51fcb5467dcecccab30f686c4ffd1f MD5sum: 8face79acdea9096790025468839ffa8 Description: Decompress flashfiles equipped with an AWARD BIOS Awardeco is a program which can decompress BIOS images which contain an AWARD BIOS. Package: away Version: 0.9.5-3 Architecture: armhf Maintainer: Rene Engelhard Installed-Size: 78 Depends: libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1), libpam-modules Priority: optional Section: utils Filename: pool/main/a/away/away_0.9.5-3_armhf.deb Size: 17822 SHA256: 69da616839df1c3aa1a7cbc8d8cfb68a5874d96b2686f4dd08d52a93c3c87fe1 SHA1: bfed000a9849aed38665dff148a5d8f7b3b1ffc2 MD5sum: f6b4c1dee40f2c41ab2571641a156ca2 Description: A terminal locking program Away is a simple program that locks your terminal, checks for new mail in any given number of mailboxes, and lets others know why you are inactive. . Away puts the message specified why your are away into the output of the 'w' command, so that users looking for you can see that and why you are away (and not only idle for some time) . Away will also notify you if you have new mail. By default Away only checks for mail in a user's $MAIL file, but by using the awayrc file, a user can configure any given number of mailboxes to be checked. . Away also uses PAM to lock your terminal in the way xlock locks an X11 session. Package: aweather Version: 0.7-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 2332 Depends: libatk1.0-0 (>= 1.12.4), libbz2-1.0, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.31.8), libglu1-mesa | libglu1, libgps20 (>= 3.3), libgrits4, libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0), librsl1 (>= 1.40), libsoup2.4-1 (>= 2.26) Recommends: gpsd (>= 3.0) Homepage: http://lug.rose-hulman.edu/proj/aweather Priority: extra Section: science Filename: pool/main/a/aweather/aweather_0.7-1_armhf.deb Size: 823248 SHA256: d746b247472c1db7f3f771b8d0ebaa3d0870c22f0c415fda0031c9bf8d473ba3 SHA1: a952b0c8ed9946ed64deaa13e6566335599cafe5 MD5sum: 0b646933f25447adf0a9d8d8096d71e3 Description: Advanced Weather Monitoring Program AWeather is an advanced weather program which is designed to be used by weather enthusiasts. AWeather is not a weather dockapp that simply displays a pre-computed forecast. It is designed to be an easy-to-use program that integrates a variety of weather data in a simple unified interface. AWeather currently supports radar and weather alerts from the United State National Weather Service. Package: awesfx Version: 0.5.1a-1.1 Architecture: armhf Maintainer: Ove Kaaven Installed-Size: 395 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28) Suggests: aumix Priority: extra Section: sound Filename: pool/main/a/awesfx/awesfx_0.5.1a-1.1_armhf.deb Size: 149046 SHA256: f4e91d2d97fef025bd14768e54cc343e1d23de6487d3ed2377e4dfb606e21725 SHA1: ffe9a9f10d72121c022fb9255ee3cf7bcef365c7 MD5sum: 90345fe3c58ef84da89a74a4a7224eb3 Description: utility programs for AWE32/64 and Emu10k1 driver This package contains the following programs: - asfxload SoundFont file loader (ALSA) - sfxload SoundFont file loader (OSS) - setfx Chorus/reverb effect loader - aweset Change the running mode of AWE driver - sf2text Convert SoundFont to readable text - text2sf Convert from text to SoundFont file - gusload GUS PAT file loader - sfxtest Example program to control AWE driver . The package includes a collection of SoundFont managing routines called AWElib. By default, AWElib is installed as a static library. Package: awesome Version: 3.4.13-1 Architecture: armhf Maintainer: Julien Danjou Installed-Size: 2074 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.6.4-5~), libdbus-1-3 (>= 1.0.2), libev4 (>= 1:4.04), libglib2.0-0 (>= 2.12.0), libimlib2, liblua5.1-0, libpango1.0-0 (>= 1.20.0), libstartup-notification0 (>= 0.10), libx11-6, libxcb-icccm4 (>= 0.3.9), libxcb-image0 (>= 0.3.9), libxcb-keysyms1 (>= 0.3.9), libxcb-randr0 (>= 1.1), libxcb-render0, libxcb-shape0, libxcb-shm0, libxcb-util0 (>= 0.3.8), libxcb-xinerama0, libxcb-xtest0, libxcb1, libxdg-basedir1, menu, dbus-x11 Recommends: x11-xserver-utils, rlwrap, feh Provides: x-window-manager Homepage: http://awesome.naquadah.org Priority: optional Section: x11 Filename: pool/main/a/awesome/awesome_3.4.13-1_armhf.deb Size: 848072 SHA256: ad4d802f90a301d7fabc375cf8902496af2e10d7a6f13f0fedd7f1f01c3c55f6 SHA1: c2593cf164a8ee4a3cfa138f29adeb4f59971556 MD5sum: 402d6e042ba3231d1ca078336279bd43 Description: highly configurable X window manager awesome manages windows dynamically in floating or tiled layouts. It is primarily targeted at power users, developers, and all those dealing with everyday computing tasks and looking for fine-grained control over their graphical environment. . It is highly extensible and scriptable via the Lua programming language, providing an easy-to-use and very well documented API to configure its behavior. . awesome uses tags instead of workspaces, which gives better flexibility in displaying windows, and can be entirely keyboard-driven, not needing a mouse. It also supports multi-headed configurations; uses XCB instead of Xlib for better performance; implements many freedesktop standards; and can be controlled over D-Bus from awesome-client. Package: awesome-extra Version: 2012061101 Installed-Size: 663 Maintainer: Julien Danjou Architecture: all Depends: curl Recommends: awesome Size: 142674 SHA256: 036b0c27aa60002714c6404a58ef6971abac7efc46a30e19ff9811a44ec67dee SHA1: 07d6f4e2245dc486d43d230dc66859f69d06b489 MD5sum: 699563bd5eaa2143000367bf2378bad1 Description: additional modules for awesome This is a set of additional modules for the awesome window manager. . It contains: * wicked, a widget manager which can fill them with various system information (CPU or memory usage, network bandwidth, etc); * shifty, an extension implementing dynamic tagging; * obvious, a set of several widgets (WiFi link quality, battery usage, etc), superseding wicked; * vicious, a widget manager; * revelation, expose like functionality; * bashets, use your shell scripts as content providers for widgets; * flaw, object oriented library providing a thin abstraction layer above awesome widgets. Tag: implemented-in::lua, role::plugin Section: x11 Priority: extra Filename: pool/main/a/awesome-extra/awesome-extra_2012061101_all.deb Package: awffull Version: 3.10.2-1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 1036 Pre-Depends: debconf (>= 1.4.58) | debconf-2.0 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libgeoip1 (>= 1.4.8+dfsg), libpcre3 (>= 8.10), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.2.3.3) Suggests: httpd, dnshistory Homepage: http://www.stedee.id.au/awffull/ Priority: optional Section: web Filename: pool/main/a/awffull/awffull_3.10.2-1_armhf.deb Size: 310732 SHA256: 1f4180e19aea5e1947a95f0ae0f7ee023deb78f57dafe301abcce8b592642bd2 SHA1: 7e3b2ecfdc249cf79314d95316248b6824c45896 MD5sum: 45d67df14c5e715bdd2c70aa3bfbf832 Description: web server log analysis program AWFFull is a Web server log analysis program, forked from Webalizer. It adds a number of new features and improvements, such as extended frontpage history, resizable graphs, and a few more pie charts. . Webalizer is a great weblog analysis program but hasn't been going anywhere in recent years. AWFFull takes that base and starts to fix the niggles and annoyances and hopefully become a useful enhancement. . As a base, weblizer has a stated goal of producing web server analysis. AWFFull on the other hand, will gradually focus more on the business intelligence contained within those logs - and not specifically limited just to web server logs. . Major Enhancements vs. Webalizer . The frontpage history can now cover more than 12 months. StyleSheets (CSS) are supported. Customise to your hearts content! The log type can be auto-detected Can process: CLF, Combined, Squid, FTP XFER and Domino v6 Logs All graphs are now resizable, rather than being a fixed size Config File simplification to reduce duplication of common config pairings Bookmarking numbers are tracked. Be aware that these are estimates! Backend changes: Use of PCRE lib and standard library calls to ease coding pain Pattern Matching improvements can increase the speed of a run by 50%. YMMV! 404 Errors are tracked and displayed with both the target and referrering URL Can specify what is a page or what is not. Package: awl-doc Source: awl Version: 0.53-1 Installed-Size: 1826 Maintainer: Andrew McMillan Architecture: all Depends: debconf (>= 1.0.32) Size: 200764 SHA256: 0344c861a2ac9101f4fb7dc014df001f09a0e57c85340a3a08e535d142cf35ea SHA1: 71c05204a3061ab19fc3eec1b7d97eed154e7428 MD5sum: 0e9961f8c41919f335a7b89efd30172a Description: Andrew's Web Libraries - API documentation This package documents Andrew's Web Libraries. This is a set of hopefully lightweight libraries for handling a variety of useful things for web programming, including: - Session management - User management - DB Records - Simple reporting - DB Schema Updating - iCalendar parsing . This package contains detailed technical documentation for the classes and methods in these libraries. Homepage: http://andrew.mcmillan.net.nz/projects/awl Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/a/awl/awl-doc_0.53-1_all.deb Package: awn-applets-c-core Source: awn-extras-applets Version: 0.4.0-5 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 703 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libawn1 (>= 0.4.0), libc6 (>= 2.13-28), libcairo2 (>= 1.4.10), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libdesktop-agnostic0 (>= 0.3.90), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnome-menu2 (>= 2.27.92), libgtk2.0-0 (>= 2.24.0), libgtop2-7 (>= 2.22.3), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libvte9 (>= 1:0.24.0), libwnck22 (>= 2.30.0-3), libx11-6, libxcomposite1 (>= 1:0.3-1), libxrender1, gconf2 (>= 2.28.1-2), awn-applets-common, avant-window-navigator Recommends: awn-settings, awn-applets-python-core, xdg-utils Suggests: awn-applets-c-extras, gconf-editor, python-gconf Breaks: avant-windows-navigator (<< 0.4.0-1), awn-applets-python-core (<< 0.4.0-1) Provides: notification-daemon Homepage: https://launchpad.net/awn-extras Priority: optional Section: gnome Filename: pool/main/a/awn-extras-applets/awn-applets-c-core_0.4.0-5_armhf.deb Size: 204790 SHA256: 9f9ee3df23e62548e8d3f71a521cbc37de98e14ab7dcb2eff219ff03bd09f101 SHA1: 40c4d93ee982fa693cbed88b302616c1386e52b0 MD5sum: 9ffeba622787a1b9f190a41becbf1a97 Description: Collection of applets for Awn, written in C or Vala Awn-extras-applets is a collection of applets for avant-window-navigator. It provides some extensions to the bar and some new features (like applets in the gnome-panel). . This package provides the core applets written in C: * awn-notification-daemon: Libnotify notification daemon implementation. * awn-system-monitor: Display CPU activity and system stats on click. * awnterm: A pop-up terminal that lives in AWN. * cairo_main_menu: Displays a list of all the applications on your computer in a standard menu format. * notification-area : Replaces the gnome-panel notification area and shows it right on your dock * plugger: Displays mounted volumes and allows you to browse them. * shinyswitcher: A workspace switcher supporting compiz and other WMs. * showdesktop: Hides/shows all of the windows of the current viewport, similar to the showdesktop icon of gnome-panel. * garbage: A trash applet, like the one from gnome-panel. Package: awn-applets-c-dbg Source: awn-extras-applets Version: 0.4.0-5 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 1888 Depends: awn-applets-c-extras (= 0.4.0-5) Homepage: https://launchpad.net/awn-extras Priority: extra Section: debug Filename: pool/main/a/awn-extras-applets/awn-applets-c-dbg_0.4.0-5_armhf.deb Size: 795920 SHA256: d403ffd7890b5779b27087154076ff63596d53aee6998de567f1aba9f1e7533a SHA1: cc5decea537eb1643ef6ab6cc2ec8e8098ff88ae MD5sum: a95493d80c661368fbdbb5491e014bcb Description: Collection of applets for avant-window-navigator - debug symbols Awn-extras-applets is a collection of applets for avant-window-navigator. It provides some extensions to the bar and some new features (like applets in the gnome-panel). . This package contains the debugging symbols. Package: awn-applets-c-extras Source: awn-extras-applets Version: 0.4.0-5 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 428 Depends: libatk1.0-0 (>= 1.12.4), libawn1 (>= 0.4.0), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdesktop-agnostic0 (>= 0.3.90), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnome-menu2 (>= 2.27.92), libgtk2.0-0 (>= 2.12.0), libgtop2-7 (>= 2.22.3), libjavascriptcoregtk-1.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libwebkitgtk-1.0-0 (>= 1.3.10), gconf2 (>= 2.28.1-2), awn-applets-common, avant-window-navigator Recommends: awn-settings Breaks: awn-applets-python-extras (<< 0.4.0-1) Homepage: https://launchpad.net/awn-extras Priority: optional Section: gnome Filename: pool/main/a/awn-extras-applets/awn-applets-c-extras_0.4.0-5_armhf.deb Size: 113408 SHA256: c50bb74e8590558d3b5977d24fe58437b4d2d02e83162545742b2cdc1196ec63 SHA1: 05481d26ade17c33b789b1d9402e33dc5aef7cd4 MD5sum: afd7d9c6df57debd6e1b05c48dc5ba35 Description: Collection of extras applets for Awn, written in C or Vala Awn-extras-applets is a collection of applets for avant-window-navigator. It provides some extensions to the bar and some new features (like applets in the gnome-panel). . This package contains extras applets written in C: * digitalClock: A digital clock and calendar applet. * main-menu: Display a list of all the applications on your computer. * places: Displays shortcuts in buttons. * sysmon: Display CPU activity and system stats on click. * webapplet: Displays a web page. Package: awn-applets-common Source: awn-extras-applets Version: 0.4.0-5 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 656 Homepage: https://launchpad.net/awn-extras Priority: optional Section: gnome Filename: pool/main/a/awn-extras-applets/awn-applets-common_0.4.0-5_armhf.deb Size: 118220 SHA256: 6106b6fd9d3cb2e8a1e16a4d73fd87eea67dd3eb46c1d52cbad75d8db2eae777 SHA1: 697e842e97f51e352cabe64b6def4a133ca8510e MD5sum: ecc35d01b82da16004313249ee484d0c Description: Collection of applets for avant-window-navigator - common files Awn-extras-applets is a collection of applets for avant-window-navigator. It provides some extensions to the bar and some new features (like applets in the gnome-panel). . This package contains common files like translations. Package: awn-applets-python-core Source: awn-extras-applets Version: 0.4.0-5 Installed-Size: 6056 Maintainer: Julien Lavergne Architecture: all Depends: gconf2 (>= 2.28.1-2), python, awn-applets-common, avant-window-navigator, python-awn (>= 0.3.2.1), python-awn-extras (>= 0.4.0) Recommends: awn-settings (>= 0.3~bzr489), python-rsvg | python-gnome2-desktop, fortune, hal, python-notify, python-pyinotify, python-dateutil, python-gweather, python-feedparser, python-gst0.10, gnome-applets, python (>= 2.6) | python-simplejson, python-gnomedesktop | python-gnome2-desktop, python-xklavier Suggests: awn-applets-python-extras Size: 936898 SHA256: e5d32b39c9ab7fc57add4a64ce6dc1867962bd41a92c7724032d47865bcd7724 SHA1: 7906b6025ef52d9dd5e18b0e40b2f03d9be5cdf5 MD5sum: f7f3e9b283fd86e190c67046f36e33f6 Description: Collection of applets for Awn, written in python Awn-extras-applets is a collection of applets for avant-window-navigator. It provides some extensions to the bar and some new features (like applets in the gnome-panel). . This package provides the core Python applets: * animal-farm : Various animals tell your fortune. * bandwidth-monitor: Displays information from network. * battery-applet: This applet displays your computers current power status. * cairo-clock: Displays an analog clock. * comics: View your favourite comics on your desktop. * cpufreq: Monitors and controls the CPU frequency. * dialect: Manages keyboard layouts. * feeds: A Python feed launcher. * file-browser-launcher: Launches the user's file manager or opens bookmarks. * hardware sensors: Displays information from sensors. * mail: The Mail Applet lets you check your mail from AWN. * media-control: This applet displays album art in a awn dialog while allowing you to control your favorite media players. * media-player: Plays any media files you drop on it. * mount-applet: Displays mounts from /etc/fstab and allows one to mount/unmount them. * quit-applet: A simple gnome-quit launcher. * stack: Provides a convenient location to place commonly used files. * thinkhdaps: Displays the status of HDAPS. * todo: Manage a simple To-Do list. * volume-control: A fancy volume changing applet that works much like the gnome-panel version. * weather: This applet downloads and displays the current weather conditions and weather forecast from weather.com. Homepage: https://launchpad.net/awn-extras Section: gnome Priority: optional Filename: pool/main/a/awn-extras-applets/awn-applets-python-core_0.4.0-5_all.deb Package: awn-applets-python-extras Source: awn-extras-applets Version: 0.4.0-5 Installed-Size: 398 Maintainer: Julien Lavergne Architecture: all Depends: gconf2 (>= 2.28.1-2), python, awn-applets-common, avant-window-navigator, python-awn (>= 0.3.2.1), python-awn-extras (>= 0.4.0) Recommends: awn-settings (>= 0.3~bzr489), python-wnck | python-gnome2-desktop, python-gdata, python-gmenu Suggests: tomboy, alacarte Breaks: awn-applets-python-core (<< 0.3~bzr912) Size: 203422 SHA256: 2fcaa308568e1b9fd64f6a3971f6537b5eb15f5b663d1af25f48a425a15c2747 SHA1: ef1ff38aa7df41dc1685da5d1b8e137cfff33deb MD5sum: 530345f163c7390917ace6bdba9776c5 Description: Collection of extras applets for Awn, written in python Awn-extras-applets is a collection of applets for avant-window-navigator. It provides some extensions to the bar and some new features (like applets in the gnome-panel). * calendar: Displays a clock and calendar on the bar, and integrates with several external calendar applications to display appointments. * media-icon-applet: This applet allows you to add media icons like play, pause, and next/back to your awn bar, and control a list of media players. * pandora: Plays Pandora internet radio. * slickswitcher: A visual workspace switcher. * tomboy-applet: Control Tomboy with D-Bus. * YAMA: Yet another menu applet Homepage: https://launchpad.net/awn-extras Section: gnome Priority: optional Filename: pool/main/a/awn-extras-applets/awn-applets-python-extras_0.4.0-5_all.deb Package: awn-settings Source: avant-window-navigator Version: 0.4.1~bzr830-2 Installed-Size: 555 Maintainer: Julien Lavergne Architecture: all Replaces: awn-manager Depends: python, gnome-menus, librsvg2-common, python-awn, python-xdg, avant-window-navigator, python-desktop-agnostic, bzr, python-dbus Conflicts: awn-manager Size: 179078 SHA256: f70f2d74cd102ffd8ce6c84c79c04c8bde2026c8ab5b2db820735e8e20a6c6fe SHA1: 28a13e9d2abc66b77a82b6f2a1d9c852c237240e MD5sum: 604ceab9c69d66fd4aaf658b8a3af2eb Description: Preferences manager for avant-window-navigator awn-settings provides a simple way to configure avant-window-navigator. You can manage preferences, themes, applets and launchers. Homepage: https://launchpad.net/avant-window-navigator/ Tag: role::program, use::configuring Section: gnome Priority: optional Filename: pool/main/a/avant-window-navigator/awn-settings_0.4.1~bzr830-2_all.deb Package: aws-status Source: txaws Version: 0.2.3-1 Installed-Size: 44 Maintainer: Debian Python Modules Team Architecture: all Depends: python, python-txaws (>= 0.2.3-1), python-twisted, python-gtk2, python-gobject, python-gnomekeyring Size: 3244 SHA256: 83397b36955cf8ed2b67beb8973b0527db339b98d79b47a0a4a6440238237e67 SHA1: 89bef27164855676d78a16d5588868eb50d07b01 MD5sum: a12b6feae38727361308222a524e88c2 Description: txaws based graphical application for monitoring AWS service Twisted-based Asynchronous Libraries for Amazon Web Services and Eucalyptus private clouds This project's goal is to have a complete Twisted API representing the spectrum of Amazon's web services as well as support for Eucalyptus clouds. . This package provides a GUI application utilizing python-txaws Homepage: https://launchpad.net/txaws Section: python Priority: optional Filename: pool/main/t/txaws/aws-status_0.2.3-1_all.deb Package: awstats Version: 7.0~dfsg-7+deb7u1 Installed-Size: 3102 Maintainer: Sergey B Kirpichev Architecture: all Depends: perl Suggests: apache2 | httpd, libnet-dns-perl, libnet-ip-perl, libgeo-ipfree-perl, liburi-perl Size: 1046032 SHA256: 0d39c96905090d34a79b1c1a3f16ec6dc961fa8987f560fbf460d3bd5c860729 SHA1: e8a88139f1abd7801dc34de11576acbc404f5ce5 MD5sum: 5d01f324199e9e675a864c636072803c Description: powerful and featureful web server log analyzer Advanced Web Statistics (AWStats) is a powerful web server logfile analyzer written in perl that shows you all your web statistics including visits, unique visitors, pages, hits, rush hours, search engines, keywords used to find your site, robots, broken links and more. Gives more detailed information and better graphical charts than webalizer, and is easier to use. Works with several web server log format as a CGI and/or from command line. Supports more than 30 languages. Homepage: http://awstats.sourceforge.net/ Recommends: libnet-xwhois-perl, coreutils (>= 7.4) Section: web Priority: optional Filename: pool/main/a/awstats/awstats_7.0~dfsg-7+deb7u1_all.deb Package: ax25-apps Version: 0.0.8-rc2+cvs20120204-2 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 259 Depends: libax25 (>= 0.0.12-rc2+cvs20120204), libc6 (>= 2.7), libncurses5 (>= 5.5-5~), libtinfo5, debconf (>= 0.5) | debconf-2.0 Suggests: ax25-tools Conflicts: ax25-utils, suidmanager (<< 0.50) Priority: extra Section: hamradio Filename: pool/main/a/ax25-apps/ax25-apps_0.0.8-rc2+cvs20120204-2_armhf.deb Size: 113346 SHA256: 56adc2f4ca8bc0d596c24377ca09557d2fb7f0dfea12e121645d93201ef14e69 SHA1: beca2bf1fc345af72d0f47e5e90791c86fd549d8 MD5sum: d34d9c0d8100485cd2e4ce3088085fae Description: AX.25 ham radio applications This package provides specific user applications for hamradio that use AX.25 Net/ROM or ROSE network protocols: * axcall: a general purpose AX.25, NET/ROM and ROSE connection program. * axlisten: a network monitor of all AX.25 traffic heard by the system. * ax25ipd: an RFC1226 compliant daemon which provides encapsulation of AX.25 traffic over IP. * ax25mond: retransmits data received from sockets into an AX.25 monitor socket. Package: ax25-node Source: node Version: 0.3.2-7.4 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 171 Depends: libax25 (>= 0.0.12-rc2+cvs20120204), libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4), update-inetd, openbsd-inetd | inet-superserver Replaces: node (<= 0.3.2-7.1) Priority: optional Section: hamradio Filename: pool/main/n/node/ax25-node_0.3.2-7.4_armhf.deb Size: 52768 SHA256: 6ac8afaf3f52d248875bce24854b94758c19ba4a7be908086bd823080a0764fe SHA1: eda6a6a2db0492fbb34f0c2ced04d44d2be4452c MD5sum: 25dc5c0fddd9609dd8e77eb0696d0916 Description: Amateur Packet Radio Node program The ax25-node program accepts TCP/IP and packet radio network connections and presents users with an interface that allows them to make gateway connections to remote hosts using a variety of amateur radio protocols. Package: ax25-tools Version: 0.0.10-rc2+cvs20120204-3 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 519 Depends: libax25 (>= 0.0.12-rc2+cvs20120204), libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Suggests: talkd, ax25-apps Conflicts: ax25-utils Replaces: ax25-utils Priority: extra Section: hamradio Filename: pool/main/a/ax25-tools/ax25-tools_0.0.10-rc2+cvs20120204-3_armhf.deb Size: 221640 SHA256: 4c8cd98d401753591299ef8306159657c5a893698dc00eabe34b9de21385405d SHA1: 12beee3907755b5df91f5f7136024c9825d83e90 MD5sum: 2ff49878162785d9c7105099cdbf6e6c Description: tools for AX.25 interface configuration These are hamradio specific tools for setting up and configuring hamradio ports that use AX.25 Net/ROM or ROSE network protocols. Package: ax25-xtools Source: ax25-tools Version: 0.0.10-rc2+cvs20120204-3 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 135 Depends: libc6 (>= 2.13-28), libfltk1.3, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.3.0), libx11-6, libxext6, libxi6 Suggests: talkd, ax25-apps, ax25-tools Conflicts: ax25-utils Priority: extra Section: hamradio Filename: pool/main/a/ax25-tools/ax25-xtools_0.0.10-rc2+cvs20120204-3_armhf.deb Size: 38110 SHA256: ade0622718b3f59398aef61fe637007b72b127d5345ac61c7fc1b0d627e8f1da SHA1: cc8ce53340d496c6257e8bfec2383c5cfee8fcae MD5sum: 610ec09ca8f3568083887fbccff89ade Description: tools for AX.25 interface configuration -- X11-based These are hamradio specific tools for setting up and configuring hamradio ports that use AX.25 Net/ROM or ROSE network protocols. . This package is created separately for those who do not want to install tools that need X to run. Package: axel Version: 2.4-1 Architecture: armhf Maintainer: Y Giridhar Appaji Nag Installed-Size: 175 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://axel.alioth.debian.org/ Priority: optional Section: web Filename: pool/main/a/axel/axel_2.4-1_armhf.deb Size: 53766 SHA256: b38a7d5c98d82e4d23df80ecf16687d381424a7298e5f28a979e123558f9f52c SHA1: 9850ad00c84fd355126b9da02fc7d87ae8865f14 MD5sum: ab73f8516b98a532742fbaa0ef43c258 Description: light download accelerator - console version Axel tries to accelerate the downloading process by using multiple connections for one file. It can also use multiple mirrors for one download. Axel tries to be as light as possible (25-30k in binary form), so it might be useful as a wget clone on byte-critical systems. Package: axel-dbg Source: axel Version: 2.4-1 Architecture: armhf Maintainer: Y Giridhar Appaji Nag Installed-Size: 62 Depends: axel (= 2.4-1) Homepage: http://axel.alioth.debian.org/ Priority: extra Section: debug Filename: pool/main/a/axel/axel-dbg_2.4-1_armhf.deb Size: 15088 SHA256: 185807382891d64981a492aa39b4e57a8be4b69f9a980eacd9d45360548a9939 SHA1: d7606c0a50455ce09b7342281a38d37207a34b82 MD5sum: 7e1337644b479fce0b8ab45d14bf6aaa Description: light download accelerator - debugging symbols Axel tries to accelerate the downloading process by using multiple connections for one file. It can also use multiple mirrors for one download. Axel tries to be as light as possible (25-30k in binary form), so it might be useful as a wget clone on byte-critical systems. . This package contains the debugging symbols for axel. Package: axel-kapt Source: axel Version: 2.4-1 Installed-Size: 68 Maintainer: Y Giridhar Appaji Nag Architecture: all Depends: python, axel, kaptain, xterm | x-terminal-emulator Size: 4046 SHA256: ae7fe8d6784bb326ddba5a0bc27666c328ae57af5bb8ffa9ce2df46f99335edb SHA1: 67c17a5c1836b34448859440747710f907bf295d MD5sum: 04924673906b5aab9ebe09616a910202 Description: light download accelerator - graphical front-end Axel tries to accelerate the downloading process by using multiple connections for one file. It can also use multiple mirrors for one download. Axel tries to be as light as possible (25-30k in binary form), so it might be useful as a wget clone on byte-critical systems. . This package provides a QT based graphical front-end as a wrapper around Axel. Homepage: http://axel.alioth.debian.org/ Tag: implemented-in::python, interface::x11, role::program, scope::utility, suite::kde, uitoolkit::qt, use::downloading, x11::application Section: web Priority: optional Filename: pool/main/a/axel/axel-kapt_2.4-1_all.deb Package: axiom Version: 20120501-1 Architecture: armhf Maintainer: Camm Maguire Installed-Size: 102334 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libreadline6 (>= 6.0), libx11-6, libxpm4, axiom-databases (= 20120501-1) Recommends: axiom-source, axiom-doc, axiom-graphics, axiom-hypertex Suggests: texmacs, axiom-tex, axiom-test, nowebm Priority: optional Section: math Filename: pool/main/a/axiom/axiom_20120501-1_armhf.deb Size: 36147488 SHA256: 8bbcf311161fb686f4a3ea2183abf529853213604aaafe52aa1b537936966c37 SHA1: 108000662f892d61faa81320341dcd72299b1cd7 MD5sum: 4ad8dfc9ebc97a9e9afadf5bdfed9084 Description: General purpose computer algebra system: main binary and modules Axiom is useful for research and development of mathematical algorithms. It defines a strongly typed, mathematically correct type hierarchy. It has a programming language and a built-in compiler. . Axiom has been in development since 1973 and was sold as a commercial product. It has been released as free software. . Efforts are underway to extend this software to (a) develop a better user interface (b) make it useful as a teaching tool (c) develop an algebra server protocol (d) integrate additional mathematics (e) rebuild the algebra in a literate programming style (f) integrate logic programming (g) develop an Axiom Journal with refereed submissions. . This package contains the main program binary and all precompiled algebra and autoloadable modules. Package: axiom-databases Source: axiom Version: 20120501-1 Installed-Size: 7862 Maintainer: Camm Maguire Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 3) Size: 1259298 SHA256: 1e66e83f9d936cdfa79a2f3c29c3cc0225c22232229695caa2107930c18c0b0f SHA1: dfd616fa11e2b43a955a94db099f3efc0c25b5a1 MD5sum: be337565fce404e5d6a7fa63ef7b4baf Description: General purpose computer algebra system: generated text databases Axiom is useful for research and development of mathematical algorithms. It defines a strongly typed, mathematically correct type hierarchy. It has a programming language and a built-in compiler. . Axiom has been in development since 1973 and was sold as a commercial product. It has been released as free software. . Efforts are underway to extend this software to (a) develop a better user interface (b) make it useful as a teaching tool (c) develop an algebra server protocol (d) integrate additional mathematics (e) rebuild the algebra in a literate programming style (f) integrate logic programming (g) develop an Axiom Journal with refereed submissions. . This package contains the text databases generated during the build process used in computing the hierarchical domain structure, among other uses. Tag: field::mathematics, role::app-data Section: math Priority: optional Filename: pool/main/a/axiom/axiom-databases_20120501-1_all.deb Package: axiom-doc Source: axiom Version: 20120501-1 Installed-Size: 69462 Maintainer: Camm Maguire Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 3) Size: 64594146 SHA256: 2aca95e4c79a293cb22d068e8fb06ca04925792811afd4587859a1e2671ba86e SHA1: d0805710d52443ecf64f4deee7e8ea30dd554b79 MD5sum: 32ea5cec9731d315bbef61ed34a438ca Description: General purpose computer algebra system: documentation Axiom is useful for research and development of mathematical algorithms. It defines a strongly typed, mathematically correct type hierarchy. It has a programming language and a built-in compiler. . Axiom has been in development since 1973 and was sold as a commercial product. It has been released as free software. . Efforts are underway to extend this software to (a) develop a better user interface (b) make it useful as a teaching tool (c) develop an algebra server protocol (d) integrate additional mathematics (e) rebuild the algebra in a literate programming style (f) integrate logic programming (g) develop an Axiom Journal with refereed submissions. . This package contains all documentation, including the Axiom book in dvi format with all postscript images. Tag: field::mathematics, interface::text-mode, made-of::tex, role::documentation, uitoolkit::ncurses Section: doc Priority: optional Filename: pool/main/a/axiom/axiom-doc_20120501-1_all.deb Package: axiom-graphics Source: axiom Version: 20120501-1 Architecture: armhf Maintainer: Camm Maguire Installed-Size: 444 Depends: libc6 (>= 2.13-28), libx11-6, libxpm4, axiom (= 20120501-1), axiom-graphics-data (= 20120501-1) Priority: optional Section: math Filename: pool/main/a/axiom/axiom-graphics_20120501-1_armhf.deb Size: 267208 SHA256: 14febf391d10d64417c4c1cd4c641e4e0305d2261f80340e7261022ee853a657 SHA1: 9cecb8b9bc49690f9889ebbec1f604c42e2ba6a4 MD5sum: 58f4af238c182089a773ae366ae75e46 Description: General purpose computer algebra system: graphics subsystem Axiom is useful for research and development of mathematical algorithms. It defines a strongly typed, mathematically correct type hierarchy. It has a programming language and a built-in compiler. . Axiom has been in development since 1973 and was sold as a commercial product. It has been released as free software. . Efforts are underway to extend this software to (a) develop a better user interface (b) make it useful as a teaching tool (c) develop an algebra server protocol (d) integrate additional mathematics (e) rebuild the algebra in a literate programming style (f) integrate logic programming (g) develop an Axiom Journal with refereed submissions. . This package contains the binaries for the graphics subsystem. The programs in this package allow axiom to draw plots to separate windows in X as well as to output files in postscript format. Package: axiom-graphics-data Source: axiom Version: 20120501-1 Installed-Size: 32978 Maintainer: Camm Maguire Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 3) Size: 2682322 SHA256: 3a12013be738ad4c095a5203c0c6dfc9250bcaf48bcad1f7f1985f9e6926d32a SHA1: 96421cdb8e5c0c7a629e41d35181c2b00a348236 MD5sum: 58c7170edae212006135adaa896fbb55 Description: General purpose computer algebra system: graphics subsystem Axiom is useful for research and development of mathematical algorithms. It defines a strongly typed, mathematically correct type hierarchy. It has a programming language and a built-in compiler. . Axiom has been in development since 1973 and was sold as a commercial product. It has been released as free software. . Efforts are underway to extend this software to (a) develop a better user interface (b) make it useful as a teaching tool (c) develop an algebra server protocol (d) integrate additional mathematics (e) rebuild the algebra in a literate programming style (f) integrate logic programming (g) develop an Axiom Journal with refereed submissions. . This package contains required data files for the axiom graphics subsystem. Tag: field::mathematics, role::app-data, works-with::image Section: math Priority: optional Filename: pool/main/a/axiom/axiom-graphics-data_20120501-1_all.deb Package: axiom-hypertex Source: axiom Version: 20120501-1 Architecture: armhf Maintainer: Camm Maguire Installed-Size: 337 Depends: libc6 (>= 2.13-28), libx11-6, libxpm4, axiom (= 20120501-1), axiom-hypertex-data (= 20120501-1) Priority: optional Section: math Filename: pool/main/a/axiom/axiom-hypertex_20120501-1_armhf.deb Size: 215654 SHA256: 16a15b09183ad6d3762a50014749f7adcbd086d5cc5f02030c6de03bf105dc08 SHA1: 33d906122ab3472fa6194337c161af2032c578c6 MD5sum: b982e9a18a90ab37fffd96a776626659 Description: General purpose computer algebra system: hypertex subsystem Axiom is useful for research and development of mathematical algorithms. It defines a strongly typed, mathematically correct type hierarchy. It has a programming language and a built-in compiler. . Axiom has been in development since 1973 and was sold as a commercial product. It has been released as free software. . Efforts are underway to extend this software to (a) develop a better user interface (b) make it useful as a teaching tool (c) develop an algebra server protocol (d) integrate additional mathematics (e) rebuild the algebra in a literate programming style (f) integrate logic programming (g) develop an Axiom Journal with refereed submissions. . This package contains the binaries for the hypertex subsystem. The programs in this package provide an interactive online help system describing the many axiom commands. Package: axiom-hypertex-data Source: axiom Version: 20120501-1 Installed-Size: 113264 Maintainer: Camm Maguire Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 3) Size: 30445126 SHA256: 7fdb8a11f1426bc27cb864c8d620657e1ddcc1154ff326d1ddeaf218efd88c6a SHA1: f20c6de38a2d0f61e858f905cf40885ac4983e32 MD5sum: 7878e4ec3fa3b43d3dd8b85cb4c14140 Description: General purpose computer algebra system: hypertex subsystem Axiom is useful for research and development of mathematical algorithms. It defines a strongly typed, mathematically correct type hierarchy. It has a programming language and a built-in compiler. . Axiom has been in development since 1973 and was sold as a commercial product. It has been released as free software. . Efforts are underway to extend this software to (a) develop a better user interface (b) make it useful as a teaching tool (c) develop an algebra server protocol (d) integrate additional mathematics (e) rebuild the algebra in a literate programming style (f) integrate logic programming (g) develop an Axiom Journal with refereed submissions. . This package contains required data files for the axiom hypertex subsystem. Tag: devel::doc, field::mathematics, interface::text-mode, role::app-data Section: math Priority: optional Filename: pool/main/a/axiom/axiom-hypertex-data_20120501-1_all.deb Package: axiom-source Source: axiom Version: 20120501-1 Installed-Size: 169 Maintainer: Camm Maguire Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 3) Size: 123646 SHA256: 085e85f55a39cdfa9c9df380921e47030f4d6d992009638f0f2acaea33e4a4d8 SHA1: 858a5be562a4ea4f8d261ce7393850ee14cef4fe MD5sum: 510717c83886464b2cd9a1590545150c Description: General purpose computer algebra system: source files Axiom is useful for research and development of mathematical algorithms. It defines a strongly typed, mathematically correct type hierarchy. It has a programming language and a built-in compiler. . Axiom has been in development since 1973 and was sold as a commercial product. It has been released as free software. . Efforts are underway to extend this software to (a) develop a better user interface (b) make it useful as a teaching tool (c) develop an algebra server protocol (d) integrate additional mathematics (e) rebuild the algebra in a literate programming style (f) integrate logic programming (g) develop an Axiom Journal with refereed submissions. . This package contains source files in Axiom's native spad language for the compiled algebra modules supplied in the axiom package. Tag: field::mathematics, interface::text-mode, role::source Section: math Priority: optional Filename: pool/main/a/axiom/axiom-source_20120501-1_all.deb Package: axiom-test Source: axiom Version: 20120501-1 Installed-Size: 47088 Maintainer: Camm Maguire Architecture: all Depends: axiom (>= 20120501-1), dpkg (>= 1.14.18), tex-common (>= 3) Size: 5595968 SHA256: 19e9e2cafcd7322c6858309178bd0bc6232e6ac01645d6ce40229108a849abe0 SHA1: 726b0149e6b0701ec980d7881a1a07f849161dad MD5sum: 18eb6b96333bf35d4a5467f27dada8ac Description: General purpose computer algebra system: regression test inputs Axiom is useful for research and development of mathematical algorithms. It defines a strongly typed, mathematically correct type hierarchy. It has a programming language and a built-in compiler. . Axiom has been in development since 1973 and was sold as a commercial product. It has been released as free software. . Efforts are underway to extend this software to (a) develop a better user interface (b) make it useful as a teaching tool (c) develop an algebra server protocol (d) integrate additional mathematics (e) rebuild the algebra in a literate programming style (f) integrate logic programming (g) develop an Axiom Journal with refereed submissions. . This package contains input files for Axiom's regression test suite. Tag: devel::testing-qa, field::mathematics, interface::text-mode, role::app-data Section: math Priority: optional Filename: pool/main/a/axiom/axiom-test_20120501-1_all.deb Package: axiom-tex Source: axiom Version: 20120501-1 Installed-Size: 211 Maintainer: Camm Maguire Architecture: all Depends: texlive-latex-recommended, dpkg (>= 1.14.18), tex-common (>= 3) Size: 134780 SHA256: 8c85a14b0a54ae07cbf38ed383eb520c991aaa8118dc93636128b2fa3542b3aa SHA1: 48d124995b8440a962c7c2a84964ed17803df5aa MD5sum: 4a38ba752c469926b34f50aee72e1325 Description: General purpose computer algebra system: style file for TeX Axiom is useful for research and development of mathematical algorithms. It defines a strongly typed, mathematically correct type hierarchy. It has a programming language and a built-in compiler. . Axiom has been in development since 1973 and was sold as a commercial product. It has been released as free software. . Efforts are underway to extend this software to (a) develop a better user interface (b) make it useful as a teaching tool (c) develop an algebra server protocol (d) integrate additional mathematics (e) rebuild the algebra in a literate programming style (f) integrate logic programming (g) develop an Axiom Journal with refereed submissions. . This package contains a TeX style file useful in publishing results obtained with Axiom. Tag: field::mathematics, interface::text-mode, role::app-data, works-with-format::tex, works-with::text Section: math Priority: optional Filename: pool/main/a/axiom/axiom-tex_20120501-1_all.deb Package: aylet Version: 0.5-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 123 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5 Suggests: aylet-gtk Homepage: http://www.worldofspectrum.org/ Priority: optional Section: otherosfs Filename: pool/main/a/aylet/aylet_0.5-3_armhf.deb Size: 42608 SHA256: 98734cbf3efc5f272094a4d471f8271f0b577b48128a9e94d1000609d9d1444f SHA1: 7fbb433780fa51529d04f38a1f8f4e1ece47120d MD5sum: 1dc778a7507b664745042b3f6e70b003 Description: ncurses-based player for Spectrum '.ay' music files aylet plays music files in the `.ay' format. These files are essentially wrappers around bits of Z80 code which play music on the Sinclair ZX Spectrum 128's sound hardware - either the beeper, or (eponymously) the AY-3-8912 sound chip. Files using the Amstrad CPC ports are also supported. . One source of `.ay' files playable with aylet is "Project AY" on the World of Spectrum website: Package: aylet-gtk Source: aylet Version: 0.5-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 125 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Suggests: aylet Homepage: http://www.worldofspectrum.org/ Priority: optional Section: otherosfs Filename: pool/main/a/aylet/aylet-gtk_0.5-3_armhf.deb Size: 44364 SHA256: a2ba625f7300a10d8bbaf2504347a0fd06bef3434866ec7791c31592d0c4dc13 SHA1: 8fa349e866e4957eb141ea85eb73222323332059 MD5sum: 422c2491b7209e2340073aedbb6e5bbc Description: X-GTK2-based player for Spectrum '.ay' music files aylet plays music files in the `.ay' format. These files are essentially wrappers around bits of Z80 code which play music on the Sinclair ZX Spectrum 128's sound hardware - either the beeper, or (eponymously) the AY-3-8912 sound chip. Files using the Amstrad CPC ports are also supported. . One source of `.ay' files playable with aylet-gtk is "Project AY" on the World of Spectrum website: Package: ayttm Version: 0.6.3-3 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 1970 Depends: libatk1.0-0 (>= 1.12.4), libaudiofile1 (>= 0.3.3), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libenchant1c2a (>= 1.6), libesd0 (>= 0.2.35), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgpg-error0 (>= 1.10), libgpgme11 (>= 1.2.0), libgtk2.0-0 (>= 2.14.0), libjasper1, libjpeg8 (>= 8c), libltdl7 (>= 2.4.2), libpango1.0-0 (>= 1.14.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libx11-6, libxpm4, libyahoo2-11 (>= 1.0.0) Homepage: http://ayttm.sourceforge.net Priority: optional Section: net Filename: pool/main/a/ayttm/ayttm_0.6.3-3_armhf.deb Size: 840890 SHA256: 2d53b67bfadd7a8d02da0ecd7f3ef5a2a0571a124ba0faabdc3d03cd45208f3e SHA1: 9b5658030249cc3150991d8b1c96b0798bb7c61c MD5sum: d403aacb165c5289fe821e20feba49e7 Description: Universal instant messaging client Ayttm is an instant messaging (aka chat) client that provides all-in-one chat functionality for several major instant messaging services from one simple program. Ayttm "Contacts" allow you to refer to several accounts of the same person from a single contact name, making it one of the cleanest and simplest clients to use. . Ayttm is the heir of the Everybuddy project, and aims to continue improving the program and addressing its shortcomings. The primary goal is to provide a messenger which is: . * simple: Ayttm should work nicely without having to first go through a complicated configuration procedure. The preferences should be simple and minimal. * stable: Ayttm should not crash when you use it. * intuitive: Ayttm should be almost instantly usable by your mother ;) * flexible: Ayttm supports multiple protocols and they should be supported in an integrated manner (common interface, for example), without limiting protocol-specific features support. Ayttm currently handles Yahoo!, MSN, Jabber, IRC, AIM and ICQ. . It also features support for file transfers, group chat, MSN GnomeMeeting integration, tabbed chat, smiley themes, status tooltips, conversation logging, automatic translation, GPG signing/encryption, typing notifications, spell checking and import of contacts from other clients. Package: azr3-jack Version: 1.2.3-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 685 Depends: libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtkmm-2.4-1c2a (>= 1:2.24.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), jackd Homepage: http://ll-plugins.nongnu.org/azr3/ Priority: optional Section: sound Filename: pool/main/a/azr3-jack/azr3-jack_1.2.3-1_armhf.deb Size: 545596 SHA256: 0c32457a815d026e133bb022fd6d853b18b02f8d41d0d1ae583106d6b5ebe80e SHA1: 58e8187e238ebb89ad1eb34340d68a974ee9496b MD5sum: 2fd1d7577f6a3b6b7f0f52cba1cddb81 Description: drawbar organ simulator This JACK program is a port of the free VST plugin AZR-3. It is a tonewheel organ with drawbars, distortion and rotating speakers. The original was written by Rumpelrausch Täips. . The organ has three sections, two polyphonic with 9 drawbars each and one monophonic bass section with 5 drawbars. The two polyphonic sections respond to events on MIDI channel 1 and 2, and an optional keyboard split function makes the bass section listen to the lower keys on channel 1. . The three sections have separate sustain and percussion switches as well as separate volume controls, and the two polyphonic sections have separate vibrato settings. All three sections are mixed and sent through the distortion effect and the rotating speakers simulator, where the modulation wheel can be used to switch between fast and slow rotation, and the fast and slow rotation speeds themselves can be changed separately for the lower and upper frequencies. Package: azureus Version: 4.3.0.6-5 Installed-Size: 12895 Maintainer: Debian Java Maintainers Architecture: all Depends: openjdk-7-jre | openjdk-6-jre | sun-java5-jre | sun-java6-jre, libcommons-cli-java, liblog4j1.2-java, libswt-gtk-3-java, java-wrappers Recommends: vuze Size: 11848238 SHA256: d5545dfcba6805f43d6358cb2fe0e4a8ed2b281125f47bc50a6a0fa4085acb7e SHA1: 36b5173e9e484b56b9485f3ce451431f51907b9f MD5sum: 390cb3f9924d9b39f28cdd27f4935419 Description: BitTorrent client BitTorrent is a peer-to-peer file distribution tool. . Azureus offers multiple torrent downloads, queuing/priority systems (on torrents and files), start/stop seeding options and instant access to numerous pieces of information about your torrents. Azureus now features an embedded tracker easily set up and ready to use. Homepage: http://azureus.sourceforge.net Tag: admin::file-distribution, implemented-in::java, interface::x11, protocol::bittorrent, role::program, use::downloading, works-with::file Section: net Priority: optional Filename: pool/main/a/azureus/azureus_4.3.0.6-5_all.deb Package: babel-1.4.0 Source: babel Version: 1.4.0.dfsg-8.1 Installed-Size: 1774 Maintainer: "Adam C. Powell, IV" Architecture: all Provides: babel Depends: java-gcj-compat | java1-runtime, libgetopt-java, libxerces2-java, libxalan2-java Suggests: babel-1.4.0-doc Conflicts: babel-0.10.2, babel-0.8.0, babel-0.8.2, babel-0.8.4, openbabel Size: 1236482 SHA256: e907f6e2ca9a6ad6bb3eaf01b9c78900f2615a028cd02e12c64f23a87ff6155f SHA1: 9b32bba4ee4b7981236ef4078a65e4ea5a129bea MD5sum: 4405fc144691ed7b1277f1032502e4ac Description: Scientific Interface Definition Language (SIDL) compiler Babel is a compiler for the Scientific Interface Definition Language (SIDL), currently under development as a support tool for parallel simulation codes. . SIDL is in the same "phylum" as CORBA or COM, but with an eye toward future extension to data redistribution on massively parallel architectures. In addition, because of the large amount of existing and very important scientific code written in FORTRAN, SIDL is designed with support for that language in mind. . Babel is written in Java, and currently has backends for: FORTRAN, C, C++, Java and Python. Homepage: https://computation.llnl.gov/casc/components/babel.html Tag: devel::compiler, implemented-in::java, role::program Section: devel Priority: extra Filename: pool/main/b/babel/babel-1.4.0_1.4.0.dfsg-8.1_all.deb Package: babel-doc Source: babel Version: 1.4.0.dfsg-8.1 Installed-Size: 14814 Maintainer: "Adam C. Powell, IV" Architecture: all Size: 1016028 SHA256: a946195e7a883efe88fe340278400bdd58011396655c97e2b7acfe0d8aa383c9 SHA1: 230db2027cac32f72716caf584208b2214dda5b3 MD5sum: 1c7a38666a5a3a4e8a8d8ad1ad9da941 Description: Scientific Interface Definition Language (SIDL) suite documentation Babel is a compiler for the Scientific Interface Definition Language (SIDL), currently under development as a support tool for parallel simulation codes. . SIDL is in the same "phylum" as CORBA or COM, but with an eye toward future extension to data redistribution on massively parallel architectures. In addition, because of the large amount of existing and very important scientific code written in FORTRAN, SIDL is designed with support for that language in mind. . Babel is written in Java, and currently has backends for: FORTRAN 77, C, C++, Java and Python. . This package contains extensive documentation for babel. Homepage: https://computation.llnl.gov/casc/components/babel.html Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/b/babel/babel-doc_1.4.0.dfsg-8.1_all.deb Package: babeld Version: 1.3.1-1 Architecture: armhf Maintainer: Stéphane Glondu Installed-Size: 138 Depends: libc6 (>= 2.7) Recommends: ahcpd Homepage: http://www.pps.jussieu.fr/~jch/software/babel/ Priority: extra Section: net Filename: pool/main/b/babeld/babeld_1.3.1-1_armhf.deb Size: 54098 SHA256: c07650d3227c6c5435c6e7b34e184229045e0850349bc0f6e25f39c94592a977 SHA1: 7fb09110bd387e787ae3d6d904754c37b5b89b43 MD5sum: 71d23c27a9982e46f82051576c1c5b6c Description: loop-free distance-vector routing protocol Babel is a distance-vector routing protocol for IPv6 and IPv4 with fast convergence properties, described in RFC 6126. It was designed to be robust and efficient on both wireless mesh networks and classical wired networks. Babel has extremely modest memory and CPU requirements. Unlike most routing protocols, which route either IPv4 or IPv6 but not both at the same time, Babel is a hybrid IPv6 and IPv4 protocol: a single update packet can carry both IPv6 and IPv4 routes (this is similar to how multi-protocol BGP works). This makes Babel particularly efficient on dual (IPv6 and IPv4) networks. This implementation also includes a radio frequency-aware variant of Babel. . Babel has the following features: * it is a distance-vector protocol; * it is a proactive protocol, but with adaptative (reactive) features; * it senses link quality for computing route metrics using a variant of the ETX algorithm; * it uses a feasibility condition that guarantees the absence of loops (the feasibility condition is taken from EIGRP and is somewhat less strict than the one in AODV); * it uses sequence numbers to make old routes feasible again (like DSDV and AODV, but unlike EIGRP); * it speeds up convergence by reactively requesting a new sequence number (like AODV, and to a certain extent EIGRP, but unlike DSDV); * it allows redistributed external routes to be injected into the routing domain at multiple points (like EIGRP, but unlike DSDV and AODV). Package: babiloo Version: 2.0.11-1 Installed-Size: 2952 Maintainer: Python Applications Packaging Team Architecture: all Depends: python (>= 2.5.2-3), python-support (>= 0.90.0) Recommends: python-qt4 Size: 895280 SHA256: f0e93409c9dbbb136ff85e00d4a3b6b84d9aaedd21311fbbfd31c4b2bbe72aa5 SHA1: b33344c2cff18c05f9f2943204626099734f3e87 MD5sum: 08328cdab08ddce4a1eb49bee175ff37 Description: dictionary viewer with multi-languages support It supports dictionaries in SDictionary and StarDict format and HTML displaying for the supported dictionaries. Babiloo allows the download of more dictionaries from Internet. . Features: * Support for many languages / fonts rendering. * Don't convert dictionaries, use originals. * Phonetic sounds. * Advanced search. * Collaborative dictionaries. . This package contains the GUI frontend and common files. Homepage: http://www.babiloo-project.org/ Tag: interface::x11, role::program, uitoolkit::qt, works-with::dictionary, x11::application Section: utils Priority: optional Filename: pool/main/b/babiloo/babiloo_2.0.11-1_all.deb Package: backfire-dkms Source: rt-tests Version: 0.83-1+deb7u1 Installed-Size: 86 Maintainer: Uwe Kleine-König Architecture: all Replaces: rt-tests (<= 0.66-2) Depends: dkms (>= 2.1.0.0) Size: 51954 SHA256: b30f45ea85f8736b5a595c48c4acabb9f0bd2ad94aa40b8c7b53e7dc5654685b SHA1: c0b3f6a3b53ac3e4ac68b7a7f3ecc8a08e13f27c MD5sum: 2f64232c9d80533fdbf3257ced799109 Description: kernel module for signal benchmarking (DKMS) backfire is a driver used by sendme(8) (contained in the rt-tests package) to benchmark kernel to userspace signal generation. Tag: admin::kernel, implemented-in::c, role::source Section: kernel Priority: extra Filename: pool/main/r/rt-tests/backfire-dkms_0.83-1+deb7u1_all.deb Package: backintime-common Source: backintime Version: 1.0.10-1 Installed-Size: 1063 Maintainer: Jonathan Wiltshire Architecture: all Depends: rsync, cron, python (>= 2.6.6-7~) Size: 181348 SHA256: 99144046c3537787a3bd4a33040404cbed200f7831712a989530ceaf5c959fd8 SHA1: a8e76bf467688603aa529cc230f09d0d6e71a18d MD5sum: 7213d82de167f834c27722eb63238e84 Description: simple backup/snapshot system Back In Time is a framework for rsync, diff and cron for the purpose of taking snapshots and backups of specified folders. It minimizes disk space use by taking a snapshot only if the directory has been changed, and hard links for unmodified files if it has. The user can schedule regular backups using cron. . This is the common framework for Back In Time. You need to choose a suitable front-end for your desktop environment, like backintime-gnome or backintime-kde. Homepage: http://backintime.le-web.org/ Tag: role::app-data Section: utils Priority: extra Filename: pool/main/b/backintime/backintime-common_1.0.10-1_all.deb Package: backintime-gnome Source: backintime Version: 1.0.10-1 Installed-Size: 361 Maintainer: Jonathan Wiltshire Architecture: all Depends: backintime-common (>= 1.0.10-1), python-gnome2 (>= 2.22), python-notify, python-gtk2, python-glade2, menu, python (>= 2.6.6-7~) Recommends: nautilus-actions, meld Size: 49774 SHA256: a9e87728a167849bdcda597cbc98aed477e715209e270bf64d62d4a409c8bb73 SHA1: 817e632ec39650191906393c1cb040331cafc574 MD5sum: eaee29a2dd12908a04ba80f418187ee4 Description: GNOME front-end for backintime Back In Time is a framework for rsync, diff and cron for the purpose of taking snapshots and backups of specified folders. It minimizes disk space use by taking a snapshot only if the directory has been changed, and hard links for unmodified files if it has. The user can schedule regular backups using cron. . This is the GNOME front-end for the backintime-common package. If you also install the package nautilus-actions, it can be integrated with the Nautilus file manager to provide context-menu options. Homepage: http://backintime.le-web.org/ Tag: admin::backup, implemented-in::python, interface::x11, role::program, uitoolkit::gtk, works-with::file Section: utils Priority: extra Filename: pool/main/b/backintime/backintime-gnome_1.0.10-1_all.deb Package: backintime-kde Source: backintime Version: 1.0.10-1 Installed-Size: 192 Maintainer: Jonathan Wiltshire Architecture: all Replaces: backintime-kde4 (<< 0.9.26-2) Provides: backintime-kde4 Depends: backintime-common (>= 1.0.10-1), python-kde4, menu, python (>= 2.6.6-7~) Conflicts: backintime-kde4 Size: 34240 SHA256: 7b45367c43c1b8ff7336c5b09fd63699ca151dbdbce083ea41e3cadf001d2cf0 SHA1: 523f38bb9d648de845422a9f3b4e47a019f6add2 MD5sum: 96a1e0f7deb3ff9ed3386605ce856b4a Description: KDE front-end for backintime Back In Time is a framework for rsync, diff and cron for the purpose of taking snapshots and backups of specified folders. It minimizes disk space use by taking a snapshot only if the directory has been changed, and hard links for unmodified files if it has. The user can schedule regular backups using cron. . This is the KDE front-end for the backintime-common package. Homepage: http://backintime.le-web.org/ Tag: admin::automation, admin::backup, implemented-in::python, interface::x11, role::program, uitoolkit::qt, works-with::file Section: utils Priority: extra Filename: pool/main/b/backintime/backintime-kde_1.0.10-1_all.deb Package: backup-manager Version: 0.7.10.1-2 Installed-Size: 615 Maintainer: Sven Joachim Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, perl, ucf (>= 2.009) Suggests: backup-manager-doc, zip, openssh-client, wodim, genisoimage, gettext-base, anacron, dvd+rw-tools, dar, libnet-amazon-s3-perl Size: 159440 SHA256: f0d60cbfc054f4d6cc9ac554de410fb19e96c23ef1bf29c563a75634953c748f SHA1: ce44ff9c86a9b1a8124e3fd2e37816d4e6ed8f67 MD5sum: 571aee4da046cda445994b3cc6d79ac5 Description: command-line backup tool This is a backup program, designed to help you make daily archives of your file system. . Written in bash and perl, it can make tar, tar.gz, tar.bz2, and zip archives and can be run in a parallel mode with different configuration files. Other archives are possible: MySQL or SVN dumps, incremental backups... . Archives are kept for a given number of days and the upload system can use FTP, SSH or RSYNC to transfer the generated archives to a list of remote hosts. . Automatically burning archives to removable media such as CD or DVD is also possible. . The configuration file is very simple and basic and gettext is used for internationalization. Homepage: http://www.backup-manager.org/ Tag: admin::backup, implemented-in::perl, implemented-in::shell, interface::commandline, role::program, scope::utility, use::compressing, use::storing, works-with-format::tar, works-with-format::zip, works-with::archive, works-with::file Section: admin Priority: optional Filename: pool/main/b/backup-manager/backup-manager_0.7.10.1-2_all.deb Package: backup-manager-doc Source: backup-manager Version: 0.7.10.1-2 Installed-Size: 370 Maintainer: Sven Joachim Architecture: all Suggests: backup-manager Size: 232642 SHA256: 9a20bd0df0a93db8b61741a0fa5f47223ad49870c3eb5aaa9788ea89e2decdea SHA1: e6c9ae12aecb5091981fefb97391d3377babd758 MD5sum: 12acbaee6333168a8883f80beacf85c6 Description: documentation package for Backup Manager Backup-manager is a backup program, designed to help you make daily archives of your file system. . This package provides the Backup Manager User Guide in different formats: HTML, plain text and PDF. Homepage: http://www.backup-manager.org/ Tag: admin::backup, made-of::html, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/b/backup-manager/backup-manager-doc_0.7.10.1-2_all.deb Package: backup2l Version: 1.5-6 Installed-Size: 86 Maintainer: Joachim Wiedorn Architecture: all Recommends: bzip2 Size: 37730 SHA256: 2c336b4668409b26d1fe8a4dbd990b8bd61daf84bf8c8263ae742bd9e30272e8 SHA1: d5eb6422223e9f24b2b494b18300ac428554588d MD5sum: a88836482063ea3c91cdf9b86cb4077b Description: low-maintenance backup/restore tool backup2l [backup-too-l] is a tool for autonomously generating, maintaining and restoring backups on a mountable file system (e. g. hard disk). In a default installation, backups are created regularly by a cron script. . The main design goals are low maintenance effort, efficiency, transparency and robustness. All control files are stored together with the archives on the backup device, and their contents are mostly self-explaining. Hence, a user can - if necessary - browse the files and extract archives manually. . backup2l features differential backups at multiple hierarchical levels. This allows one to generate small incremental backups at short intervals while at the same time, the total number of archives only increases logarithmically with the number of backups since the last full backup. . An open driver architecture allows one to use virtually any archiving program as a backend. Built-in drivers support .tar.gz, .tar.bz2 and others. Further user-defined drivers can be added. . An integrated split-and-collect function allows one to comfortably transfer all or selected archives to a set of CDs or other removable media. Homepage: http://backup2l.sourceforge.net Tag: admin::backup, hardware::storage, implemented-in::shell, interface::commandline, role::program, scope::utility, use::compressing, use::storing, works-with-format::tar, works-with::archive, works-with::file Section: admin Priority: optional Filename: pool/main/b/backup2l/backup2l_1.5-6_all.deb Package: backupninja Version: 1.0.1-1 Installed-Size: 277 Maintainer: Debian backupninja maintainers Architecture: all Depends: gawk | mawk, dialog, bash (>= 2.05b-26), bsd-mailx | mailx | mailutils Suggests: bzip2, debconf-utils, duplicity, genisoimage, hwinfo, mdadm, rdiff-backup, rsync, subversion, trickle, wodim Size: 106710 SHA256: 2d017468f3a3ee50bd1d6910247d3dc5889f88883a11ef464439cd9e7079fb88 SHA1: a2570171ce9b32598839393c5880e183d253c781 MD5sum: 876863de5f58e610932388e963e390b7 Description: lightweight, extensible meta-backup system Backupninja lets you drop simple config files in /etc/backup.d to coordinate system backups. Backupninja is a master of many arts, including incremental remote filesystem backup, and MySQL backup. By creating simple drop-in handler scripts, backupninja can learn new skills. Backupninja is a silent flower blossom death strike to lost data. . In addition to backing up regular files, Backupninja has handlers to ease backing up: Maildir, MySQL, PostgreSQL, SVN, Trac, hardware and system information, as well as the output from custom shell scripts. . Backupninja currently supports common backup utilities, easing their configuration, currently supported are: rdiff-backup, duplicity, rsync and CD/DVD. . Most handlers have their own dependencies/recommendations, shown in brackets bellow: . - dup [duplicity, trickle] - rdiff [rdiff-backup] - sys [debconf-utils, hwinfo, mdadm] - makecd [genisoimage, wodim] - rsync [rsync] - svn [subversion] - tar [bzip2] Homepage: https://labs.riseup.net/code/projects/show/backupninja Tag: admin::backup, implemented-in::shell, interface::commandline, role::program, scope::utility, use::storing, works-with::archive, works-with::file Section: admin Priority: optional Filename: pool/main/b/backupninja/backupninja_1.0.1-1_all.deb Package: backuppc Version: 3.2.1-4 Architecture: armhf Maintainer: Ludovic Drolez Installed-Size: 2098 Depends: libc6 (>= 2.13-28), perl, libdigest-md5-perl, libcompress-zlib-perl, libarchive-zip-perl, tar (>> 1.13), adduser (>= 3.9), dpkg (>= 1.8.3), apache2 | httpd, debconf (>= 0.5) | debconf-2.0, smbclient, samba-common-bin, bzip2, default-mta | exim4 | mail-transport-agent, iputils-ping | inetutils-ping, ucf, libtime-modules-perl, libwww-perl Recommends: rsync, libfile-rsyncp-perl (>= 0.68), openssh-client | ssh-client, rrdtool, libio-dirent-perl Suggests: w3m | www-browser, par2 Conflicts: libfile-rsyncp-perl (<< 0.68) Priority: optional Section: utils Filename: pool/main/b/backuppc/backuppc_3.2.1-4_armhf.deb Size: 605644 SHA256: e2df9ae511dedd0b0405983ddc6c9415f057c12d0118ed0f8e7973b159d899b9 SHA1: a286a8c3383d6b13220ffae4d5f6aeaf7b14b768 MD5sum: ffbcdb0417def0e5c1d7e4f6d84b2b58 Description: high-performance, enterprise-grade system for backing up PCs BackupPC is disk based and not tape based. This particularity allows features not found in any other backup solution: * Clever pooling scheme minimizes disk storage and disk I/O. Identical files across multiple backups of the same or different PC are stored only once resulting in substantial savings in disk storage and disk writes. Also known as "data deduplication". * Optional compression provides additional reductions in storage. CPU impact of compression is low since only new files (those not already in the pool) need to be compressed. * A powerful http/cgi user interface allows administrators to view log files, configuration, current status and allows users to initiate and cancel backups and browse and restore files from backups very quickly. * No client-side software is needed. On WinXX the smb protocol is used. On Linux or Unix clients, rsync or tar (over ssh/rsh/nfs) can be used * Flexible restore options. Single files can be downloaded from any backup directly from the CGI interface. Zip or Tar archives for selected files or directories can also be downloaded from the CGI interface. * BackupPC supports mobile environments where laptops are only intermittently connected to the network and have dynamic IP addresses (DHCP). * Flexible configuration parameters allow multiple backups to be performed in parallel. * and more to discover in the manual... Package: bacula Version: 5.2.6+dfsg-9 Installed-Size: 21 Maintainer: Debian Bacula Team Architecture: all Depends: bacula-server, bacula-client, bacula-common Suggests: bacula-doc Size: 1046 SHA256: 3fc32a4e29a0a7636b5ac588f4ba748dfc6b2c53b7c939569c1d37c74debf8b1 SHA1: 5bc5ec825c5924e968f55156286e134a7f8c20e6 MD5sum: 5222eb76c2f2d32ec9e173c2883e5311 Description: network backup service - metapackage Bacula is a set of programs to manage backup, recovery, and verification of computer data across a network of computers of different kinds. . It is efficient and relatively easy to use, while offering many advanced storage management features that make it easy to find and recover lost or damaged files. Due to its modular design, Bacula is scalable from small single computer systems to networks of hundreds of machines. . This metapackage installs the entire suite of Bacula applications: job scheduling, storage control, node connector, and administrative console. Homepage: http://www.bacula.org/ Tag: admin::backup, network::service, role::dummy, role::metapackage, use::storing Section: admin Priority: optional Filename: pool/main/b/bacula/bacula_5.2.6+dfsg-9_all.deb Package: bacula-client Source: bacula Version: 5.2.6+dfsg-9 Installed-Size: 137 Maintainer: Debian Bacula Team Architecture: all Depends: bacula-console (>= 5.2.6+dfsg-9), bacula-fd (>= 5.2.6+dfsg-9) Recommends: bacula-traymonitor Size: 89444 SHA256: b5dcf4ec35925a26cae1f391409d95ea48c94c3fc0046e22a7fa371671659101 SHA1: 31cb1871d484640bb4d3c5ef352f0b3642e214d6 MD5sum: 481dbc88228f0ff2074a5df7042721d2 Description: network backup service - client metapackage Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . The Bacula Director service supervises all the backup, restore, verify, and archive operations. It can run as a daemon or as a foreground service which administrators can use to schedule backups and recover files. . The package is a metapackage for client installations (file daemon and console only). Homepage: http://www.bacula.org/ Tag: admin::backup, interface::daemon, network::client, network::service, role::dummy, role::metapackage Section: admin Priority: optional Filename: pool/main/b/bacula/bacula-client_5.2.6+dfsg-9_all.deb Package: bacula-common Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 1098 Pre-Depends: adduser Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libpython2.7 (>= 2.7), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.1.1), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4) Suggests: bacula-doc Replaces: bacula-director-common Homepage: http://www.bacula.org/ Priority: optional Section: admin Filename: pool/main/b/bacula/bacula-common_5.2.6+dfsg-9_armhf.deb Size: 682408 SHA256: 375622f7078522ba730c150c36a55c7d8fdd46d466ac2a1799ad32576ae57785 SHA1: baa2342e7863b5e441c2016e175da0b8222255dc MD5sum: 074464453b2c578236dd8c1002248586 Description: network backup service - common support files Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides files that are useful for other Bacula packages. Package: bacula-common-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 1233 Depends: bacula-common (= 5.2.6+dfsg-9) Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-common-dbg_5.2.6+dfsg-9_armhf.deb Size: 497184 SHA256: bebf726b76ec8f3939e16b9c15234ebd74422e1019c29338ceaaf6031654f8f6 SHA1: 17bb3b2befb040141b3ee2e9da67fbc7831b0860 MD5sum: 48689035a86a6273928dc08368f7b120 Description: network backup service - common support files (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides debugging symbols for bacula-common. Package: bacula-common-mysql Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 299 Depends: bacula-common, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libmysqlclient18 (>= 5.5.24+dfsg-1), libstdc++6 (>= 4.1.1), zlib1g (>= 1:1.1.4) Suggests: bacula-doc Conflicts: bacula-common-pgsql, bacula-common-sqlite3 Homepage: http://www.bacula.org/ Priority: optional Section: admin Filename: pool/main/b/bacula/bacula-common-mysql_5.2.6+dfsg-9_armhf.deb Size: 150584 SHA256: 773d5a12f76cea0f21cb67cc3e3ab6ad60d59bb0690768e26d527823fa673d5c SHA1: 2f6b0e7ecc98e33a3cc7c451d947b0c760bac0bc MD5sum: 3861403ce44cac2926dd7770e5c5b008 Description: network backup service - MySQL common files Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides files that are useful for other Bacula packages for the MySQL database. Package: bacula-common-mysql-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 423 Depends: bacula-common-mysql (= 5.2.6+dfsg-9) Conflicts: bacula-common-pgsql-dbg, bacula-common-sqlite3-dbg Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-common-mysql-dbg_5.2.6+dfsg-9_armhf.deb Size: 151774 SHA256: b2816a241a2b3559219f4d614d7e7e83641dd05e5bd032360b9ea26135ad27fd SHA1: 81ca0fe11c727000c37bf4c69dd19b6a28ef3bb2 MD5sum: fb02d893c7a2f82c60c6d863a6362b25 Description: network backup service - MySQL common files (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides debugging symbols for bacula-common-mysql. Package: bacula-common-pgsql Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 306 Depends: bacula-common, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libpq5, libstdc++6 (>= 4.1.1) Suggests: bacula-doc Conflicts: bacula-common-mysql, bacula-common-sqlite3 Homepage: http://www.bacula.org/ Priority: optional Section: admin Filename: pool/main/b/bacula/bacula-common-pgsql_5.2.6+dfsg-9_armhf.deb Size: 153484 SHA256: 77b5c1cf8f48f70f2b1deaac575bdd55f18634fe9ef589d1e93f65420c53b08a SHA1: 091a490a83609f90cbd41649da293a788041537c MD5sum: cc238bd078ef7b40535a7132dad195c4 Description: network backup service - PostgreSQL common files Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides files that are useful for other Bacula packages for the PostgreSQL database. Package: bacula-common-pgsql-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 422 Depends: bacula-common-pgsql (= 5.2.6+dfsg-9) Conflicts: bacula-common-mysql-dbg, bacula-common-sqlite3-dbg Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-common-pgsql-dbg_5.2.6+dfsg-9_armhf.deb Size: 151168 SHA256: 69a1fee12a264a2126ed562c71a5d4c7dca0b6b28b4ea0ac60a626a145e707d4 SHA1: 82526facd1702f9fab2b2876f1d60bd5f8a5d328 MD5sum: a66ea6d450db718f82f83867a6e291b5 Description: network backup service - PostgreSQL common files (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides debugging symbols for bacula-common-pgsql. Package: bacula-common-sqlite3 Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 298 Depends: bacula-common, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.1.1) Suggests: bacula-doc Conflicts: bacula-common-mysql, bacula-common-pgsql Homepage: http://www.bacula.org/ Priority: optional Section: admin Filename: pool/main/b/bacula/bacula-common-sqlite3_5.2.6+dfsg-9_armhf.deb Size: 150294 SHA256: d2aa97f4ac86d678f9e0124907e9365ba4b01277406d24e010a75116c8508ba9 SHA1: 97b08d096e40ecc37c251706d6c255b3d57adeff MD5sum: b8067a631ff562d60c5db6fcea0a4701 Description: network backup service - SQLite v3 common files Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides files that are useful for other Bacula packages for the SQLite v3 database. Package: bacula-common-sqlite3-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 418 Depends: bacula-common-sqlite3 (= 5.2.6+dfsg-9) Conflicts: bacula-common-mysql-dbg, bacula-common-pgsql-dbg Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-common-sqlite3-dbg_5.2.6+dfsg-9_armhf.deb Size: 149620 SHA256: 7f53eec8640d34b66bf9acdf082bbd2b4172bcff307d4e3422027ab79355aea1 SHA1: 46fcaa97bf003e7d32e1e6a80a439226f2286edb MD5sum: 7cd97c3bb0358b04c8db594807f83910 Description: network backup service - SQLite v3 common files (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides debugging symbols for bacula-common-sqlite3. Package: bacula-console Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 191 Depends: bacula-common (= 5.2.6+dfsg-9), libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.1.1), libreadline6 (>= 6.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.1.1), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4) Homepage: http://www.bacula.org/ Priority: optional Section: admin Filename: pool/main/b/bacula/bacula-console_5.2.6+dfsg-9_armhf.deb Size: 105752 SHA256: d0701ad716829f05120a3cc34b5f167569a15b1bc475ea7547d1014b62e1e359 SHA1: 4685c72df1cfcb3ee25cbabceb63f890b08c6b0b MD5sum: 60151f398a21bc57411a13cc72b9ff6f Description: network backup service - text console Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . The management console allows the administrator or user to communicate with the Bacula Director. . This package provides the text-interface version of the console. Package: bacula-console-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 126 Depends: bacula-console (= 5.2.6+dfsg-9) Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-console-dbg_5.2.6+dfsg-9_armhf.deb Size: 42066 SHA256: 70cb5871b6f5e30d1b4497c40af790b96f7a8a8cd30ed552ad97cb5d3ee62aa0 SHA1: 9982967ba8339ebdc589c8df9406e08e1f132ade MD5sum: a7d4580a5f919d4fd600693abadc4b6d Description: network backup service - text console (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides debugging symbols for bacula-console. Package: bacula-console-qt Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 1464 Depends: bacula-common (= 5.2.6+dfsg-9), libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4) Homepage: http://www.bacula.org/ Priority: optional Section: utils Filename: pool/main/b/bacula/bacula-console-qt_5.2.6+dfsg-9_armhf.deb Size: 688042 SHA256: 93c2361483e0ad77bab10b27d980eb34d4926c7e6919b5d6d19d3d82b55e50dd SHA1: 2e3872e19cebb6f44050bb311005361a7700dff2 MD5sum: ea4afacf5a20f6d0e076c5cc4fd523c5 Description: network backup service - Bacula Administration Tool Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . The management console allows the administrator or user to communicate with the Bacula Director. . This package provides the most advanced GUI to Bacula: the Bacula Administration Tool (BAT) console. . This GUI interface has been designed to ease restore operations as much as possible as compared to the basic text console. Package: bacula-console-qt-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 13009 Depends: bacula-console-qt (= 5.2.6+dfsg-9) Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-console-qt-dbg_5.2.6+dfsg-9_armhf.deb Size: 5603476 SHA256: 4eb8d3fa7e41625b7e8e16fe3e144b765c82f4de55cf5621a157e9a60301ce7d SHA1: a7d2d650439f903f9570953ec3c82ecf6c0d6182 MD5sum: 4dc768d9069be04d4435c3ec4ee383e7 Description: network backup service - Bacula Administration Tool (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides debugging symbols for bacula-console-qt. Package: bacula-director-common Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 207 Depends: bacula-common (= 5.2.6+dfsg-9), bsd-mailx | mailx, lsb-base, libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.1.1), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.1.1), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4) Homepage: http://www.bacula.org/ Priority: optional Section: admin Filename: pool/main/b/bacula/bacula-director-common_5.2.6+dfsg-9_armhf.deb Size: 99412 SHA256: 5deaa20a870302130d9ce83ca5651330097aa21a479817b4e7a19def3a0408af SHA1: 0cba537c538f92ccb03e67e6a96bafa158850d73 MD5sum: fd6e80664a3284834c8045a844ca4788 Description: network backup service - Director common files Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . The Bacula Director service supervises all the backup, restore, verify, and archive operations. It can run as a daemon or as a foreground service which administrators can use to schedule backups and recover files. . This package provides common files for the Bacula Director daemon. Package: bacula-director-common-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 55 Depends: bacula-director-common (= 5.2.6+dfsg-9) Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-director-common-dbg_5.2.6+dfsg-9_armhf.deb Size: 7546 SHA256: a2fb7076da33ef2af4deee0f677e406e288aad1ceacdb1489342c0b6315bce69 SHA1: 5b14f7d922e9dcc92a221724b492605206a340a4 MD5sum: 4b15ea3e23e639b4572bea5e749639f8 Description: network backup service - Director common files (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides debugging symbols for bacula-director-common. Package: bacula-director-mysql Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 758 Depends: bacula-director-common (= 5.2.6+dfsg-9), bacula-common-mysql (>= 5.2.6+dfsg-9), dbconfig-common, mysql-client, ucf, bacula-common, libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libpython2.7 (>= 2.7), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0 Recommends: mysql-server Suggests: gawk Conflicts: bacula-director Replaces: bacula-director Provides: bacula-director Homepage: http://www.bacula.org/ Priority: optional Section: admin Filename: pool/main/b/bacula/bacula-director-mysql_5.2.6+dfsg-9_armhf.deb Size: 342320 SHA256: 94e05365a0222ab6df16ab9aee2069139ec782fe6cd6c780978e995e22462ba2 SHA1: 7aeb479bc6460901b12ac7ab68a787cb7baa6f88 MD5sum: be634a14213ef7568b1eb5e18e9526df Description: network backup service - MySQL storage for Director Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . The Bacula Director service supervises all the backup, restore, verify, and archive operations. It can run as a daemon or as a foreground service which administrators can use to schedule backups and recover files. . This package stores Bacula's catalog in a MySQL database, and thus is suitable for large installations. Package: bacula-director-mysql-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 1840 Depends: bacula-director-mysql (= 5.2.6+dfsg-9) Conflicts: bacula-director-dbg Replaces: bacula-director-dbg Provides: bacula-director-dbg Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-director-mysql-dbg_5.2.6+dfsg-9_armhf.deb Size: 741218 SHA256: 962d54c6c840aee9e626e6ab7067a21836ed2b0e6a950dc89e38f7c413d5758f SHA1: 33c2d6722428a6c96ca0ed56f47641c8cec8a564 MD5sum: 348e20d2ad29472bc93a62ceb593b117 Description: network backup service - MySQL storage for Director (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides the debugging symbols for bacula-director-mysql. Package: bacula-director-pgsql Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 766 Depends: bacula-director-common (= 5.2.6+dfsg-9), bacula-common-pgsql (>= 5.2.6+dfsg-9), dbconfig-common, postgresql-client (>= 7.4), ucf, bacula-common, libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libpython2.7 (>= 2.7), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0 Recommends: postgresql (>= 7.4) Suggests: gawk, postgresql-contrib, postgresql-doc Conflicts: bacula-director Replaces: bacula-director Provides: bacula-director Homepage: http://www.bacula.org/ Priority: optional Section: admin Filename: pool/main/b/bacula/bacula-director-pgsql_5.2.6+dfsg-9_armhf.deb Size: 343672 SHA256: e454e04fe82e9b50e86ac722c183c203385f3966b245f09f0b22bd1c9500726f SHA1: f59d05d36730dd9be34d4e9215a33035ff7e61ba MD5sum: beef217651d9b22ca9ec8c22160fba02 Description: network backup service - PostgreSQL storage for Director Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . The Bacula Director service supervises all the backup, restore, verify, and archive operations. It can run as a daemon or as a foreground service which administrators can use to schedule backups and recover files. . This package stores Bacula's catalog in a PostgreSQL database, and thus is suited for large installations. Package: bacula-director-pgsql-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 1840 Depends: bacula-director-pgsql (= 5.2.6+dfsg-9) Conflicts: bacula-director-dbg Replaces: bacula-director-dbg Provides: bacula-director-dbg Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-director-pgsql-dbg_5.2.6+dfsg-9_armhf.deb Size: 741220 SHA256: e4f833d15fd81e63ee3c14c6211bb67c3426d2f6abd18400d4e7324ccb894ce5 SHA1: 7f4455c34bf071eca5ac0cefe42cdb9275fc44b7 MD5sum: 7518614b9dee2634fc798eba03b3eb1f Description: network backup service - PostgreSQL storage for Director (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides the debugging symbols for bacula-director-pgsql. Package: bacula-director-sqlite3 Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 762 Depends: bacula-director-common (= 5.2.6+dfsg-9), bacula-common-sqlite3 (>= 5.2.6+dfsg-9), sqlite3, file, dbconfig-common, ucf, bacula-common, libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libpython2.7 (>= 2.7), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0 Suggests: gawk Conflicts: bacula-director Replaces: bacula-director Provides: bacula-director Homepage: http://www.bacula.org/ Priority: optional Section: admin Filename: pool/main/b/bacula/bacula-director-sqlite3_5.2.6+dfsg-9_armhf.deb Size: 341936 SHA256: 1a52c7f685c2032210181e2418aa218ca84b4f807c526efb65ed7022de4bdf82 SHA1: 30081d56265d7d460d99d5a4f2053df260b7fdf0 MD5sum: e93fd64a4b1442fc750ab5432f38182e Description: network backup service - SQLite 3 storage for Director Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . The Bacula Director service supervises all the backup, restore, verify, and archive operations. It can run as a daemon or as a foreground service which administrators can use to schedule backups and recover files. . This package stores Bacula's catalog in an SQLite 3 database, and thus is suitable for smaller installations. Package: bacula-director-sqlite3-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 1840 Depends: bacula-director-sqlite3 (= 5.2.6+dfsg-9) Conflicts: bacula-director-dbg Replaces: bacula-director-dbg Provides: bacula-director-dbg Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-director-sqlite3-dbg_5.2.6+dfsg-9_armhf.deb Size: 741222 SHA256: 55ad90d7441dda51f53c1f4284da5e48336f77f93deba2f37857c07ff853bce2 SHA1: 24544f13af8fa686ab75a70c49493fe4aaeaa173 MD5sum: f1dd96c29baa7abb07bc6747cbf3ecfc Description: network backup service - SQLite 3 storage for Director (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides the debugging symbols for bacula-director-sqlite3. Package: bacula-doc Version: 5.2.6-3 Installed-Size: 8650 Maintainer: Debian Bacula Team Architecture: all Size: 7365334 SHA256: eed3f94de2d71028e2e3888123b7cef8cf6145a61f98a3c1cbc546b0f32cc08f SHA1: 8e53fb71e659464f782f0d777b507b6cf42998dd MD5sum: 2d2df5e6d0cd2fcf852e6d83c18a7cff Description: Documentation for Bacula This package provides the documentation for Bacula, a backup program that permits you to manage backup, recovery, and verification of computer data across a network of computers of different kinds. Homepage: http://www.bacula.org/ Tag: admin::backup, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/b/bacula-doc/bacula-doc_5.2.6-3_all.deb Package: bacula-fd Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 346 Depends: bacula-common (= 5.2.6+dfsg-9), lsb-base, ucf, libacl1 (>= 2.2.51-8), libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libpython2.7 (>= 2.7), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.1.1), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4) Suggests: bacula-traymonitor Homepage: http://www.bacula.org/ Priority: optional Section: admin Filename: pool/main/b/bacula/bacula-fd_5.2.6+dfsg-9_armhf.deb Size: 169614 SHA256: 3dfb6d8b5f277283a1162289753b376f7e7acdc93d73ed10850c1a9e10690c1e SHA1: 259886f0e65b6281ff5d3e0dc966218f628b5b8d MD5sum: c7959560a7107a982c01fc9ccc198691 Description: network backup service - file daemon Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . The file daemon has to be installed on the machine to be backed up. It is responsible for providing the file attributes and data when requested by the Director, and also for the file system-dependent part of restoration. Package: bacula-fd-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 570 Depends: bacula-fd (= 5.2.6+dfsg-9) Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-fd-dbg_5.2.6+dfsg-9_armhf.deb Size: 226294 SHA256: 18670662a69a04df3b30b19280844118812c07d3dcf1d0c06a90d8ba2ce5fdff SHA1: 12febf53db9907a169d5a884e5d6b9e4426a7ccb MD5sum: 9d7e7693e1ad74c9c0836d295ec63d6b Description: network backup service - file daemon (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package contains the debugging symbols for bacula-fd. Package: bacula-sd Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 966 Depends: bacula-common (= 5.2.6+dfsg-9), mtx, python, lsb-base, ucf, libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libpython2.7 (>= 2.7), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.1.1), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4) Recommends: mt-st, bacula-sd-sqlite3 (>= 5.2.6+dfsg-9) | bacula-sd-tools Suggests: dds2tar, scsitools, sg3-utils Homepage: http://www.bacula.org/ Priority: optional Section: admin Filename: pool/main/b/bacula/bacula-sd_5.2.6+dfsg-9_armhf.deb Size: 472538 SHA256: 32bdc7467d8e8a907d7ed9a21d376ce421f083f67dd682f97caef52534e6294f SHA1: 2b2a4eec178677fc783c3925bbbd814fa8bf8042 MD5sum: 7580f8c85df470d0aeb14fa2dc3a8ba1 Description: network backup service - storage daemon Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . The storage daemon performs the storage and recovery of the file attributes and data to the physical media; in other words, it is responsible for reading and writing the backups. . It runs on the machine which has access to the backup device(s) - usually a tape drive, but alternatively other storage media, such as files. Package: bacula-sd-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 2887 Depends: bacula-sd (= 5.2.6+dfsg-9) Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-sd-dbg_5.2.6+dfsg-9_armhf.deb Size: 1156048 SHA256: 52f223797d8b7393707bdd82e174606beaa70ca9bb5f2236a699428a7ba8afb3 SHA1: e8d54ba5f1330df275419609b8317966eb80ba19 MD5sum: c811ee88541f467e76d4489b17f3aaf4 Description: network backup service - storage daemon (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides debugging symbols for bacula-sd. Package: bacula-sd-mysql Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 883 Depends: bacula-sd (= 5.2.6+dfsg-9), bacula-common-mysql (>= 5.2.6+dfsg-9), bacula-common, libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.1.1), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4) Conflicts: bacula-sd-tools Replaces: bacula-sd-tools Provides: bacula-sd-tools Homepage: http://www.bacula.org/ Priority: optional Section: admin Filename: pool/main/b/bacula/bacula-sd-mysql_5.2.6+dfsg-9_armhf.deb Size: 454486 SHA256: cfde71729c74b5273acd1ada779b72cb14ec18d8637d9b6bde4556f29ca1dd42 SHA1: 3da3678b0538d6a165543ddde80087521788c5bb MD5sum: eff02e73eab5c0024be321e0fd48ef6a Description: network backup service - MySQL SD tools Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . The storage daemon performs the storage and recovery of the file attributes and data to the physical media; in other words, it is responsible for reading and writing the backups. . This package contains MySQL versions of the bscan and bcopy utilities, which are used for recovery when Bacula's catalog is unavailable. Package: bacula-sd-mysql-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 2660 Depends: bacula-sd-mysql (= 5.2.6+dfsg-9) Conflicts: bacula-sd-tools-dbg Replaces: bacula-sd-tools-dbg Provides: bacula-sd-tools-dbg Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-sd-mysql-dbg_5.2.6+dfsg-9_armhf.deb Size: 1069268 SHA256: 35ef63a4f028abc22fb1fdcd8cacb9bf45ef73839c2c2761ebe2bb604cce5609 SHA1: e09e3dcc7ec91d3151d40caaa9dd9876a24643cd MD5sum: 8a1745bcf1f872253487c8f315e1ecbf Description: network backup service - MySQL SD tools (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides debugging symbols for bacula-sd-mysql. Package: bacula-sd-pgsql Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 883 Depends: bacula-sd (= 5.2.6+dfsg-9), bacula-common-pgsql (>= 5.2.6+dfsg-9), bacula-common, libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.1.1), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4) Conflicts: bacula-sd-tools Replaces: bacula-sd-tools Provides: bacula-sd-tools Homepage: http://www.bacula.org/ Priority: optional Section: admin Filename: pool/main/b/bacula/bacula-sd-pgsql_5.2.6+dfsg-9_armhf.deb Size: 454488 SHA256: 5a8b60c5475ed82b6b166f284400ecc029d38abbf415bfcf992e476f49b695a0 SHA1: 2d4cfc31582d782ff5b8012f60827f3abba504d7 MD5sum: 1454d94d802e3c13d4fd62aef9a6bdcc Description: network backup service - PostgreSQL SD tools Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . The storage daemon performs the storage and recovery of the file attributes and data to the physical media; in other words, it is responsible for reading and writing the backups. . This package contains PostgreSQL versions of the bscan and bcopy utilities, which are used for recovery when Bacula's catalog is unavailable. Package: bacula-sd-pgsql-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 2660 Depends: bacula-sd-pgsql (= 5.2.6+dfsg-9) Conflicts: bacula-sd-tools-dbg Replaces: bacula-sd-tools-dbg Provides: bacula-sd-tools-dbg Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-sd-pgsql-dbg_5.2.6+dfsg-9_armhf.deb Size: 1069274 SHA256: 6d9a235e5faa71e80e5e6eaa7d5dcf812c675fff730321ae0fb4d699ba7b99cd SHA1: 90dd4404d5bc264bb56d3e3476b8e386a6cfffa3 MD5sum: f501f4ab4cb437f233575ce0e1c5146c Description: network backup service - PostgreSQL SD tools (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides debugging symbols for bacula-sd-pgsql. Package: bacula-sd-sqlite3 Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 883 Depends: bacula-sd (= 5.2.6+dfsg-9), bacula-common, bacula-common-sqlite3 (>= 5.2.6+dfsg-9), libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.1.1), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4) Conflicts: bacula-sd-tools Replaces: bacula-sd-tools Provides: bacula-sd-tools Homepage: http://www.bacula.org/ Priority: optional Section: admin Filename: pool/main/b/bacula/bacula-sd-sqlite3_5.2.6+dfsg-9_armhf.deb Size: 454492 SHA256: a50daeb962e5a402a8271590465d72c12b38bc68912b1e764425af08a5084cf8 SHA1: 4c0aea4add0cba50abcb506b962fac4f7a1c40f3 MD5sum: 4910007bea01e0981229fc864926150c Description: network backup service - SQLite 3 SD tools Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . The storage daemon performs the storage and recovery of the file attributes and data to the physical media; in other words, it is responsible for reading and writing the backups. . This package contains SQLite 3 versions of the bscan and bcopy utilities, which are used for recovery when Bacula's catalog is unavailable. Package: bacula-sd-sqlite3-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 2660 Depends: bacula-sd-sqlite3 (= 5.2.6+dfsg-9) Conflicts: bacula-sd-tools-dbg Replaces: bacula-sd-tools-dbg Provides: bacula-sd-tools-dbg Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-sd-sqlite3-dbg_5.2.6+dfsg-9_armhf.deb Size: 1069270 SHA256: 0ac57e8dc1efe06a7e660cddc502d155eda0b28e0f35747f48a7145d0955fc90 SHA1: c445a9c2e5c12e82266e4af60878d677235c9b7c MD5sum: a70acf16981d90600b53787e616f2480 Description: network backup service - SQLite 3 SD tools (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides debugging symbols for bacula-sd-sqlite3. Package: bacula-server Source: bacula Version: 5.2.6+dfsg-9 Installed-Size: 137 Maintainer: Debian Bacula Team Architecture: all Depends: bacula-director-sqlite3 (>= 5.2.6+dfsg-9) | bacula-director, bacula-sd (>= 5.2.6+dfsg-9), bacula-sd-sqlite3 (>= 5.2.6+dfsg-9) | bacula-sd-tools Recommends: bacula-fd Size: 89368 SHA256: 7d2c0801999cfd16bfe2d2606d865e3ec846b456a3822accc9074efc9150fea1 SHA1: 624ad7ca8c734b411a1f0b8cae76c868bdca2924 MD5sum: 4d1c02253b00bce92db9e509edc3e96e Description: network backup service - server metapackage Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This metapackage provides a standard server install, consisting of the director and storage daemons. Homepage: http://www.bacula.org/ Tag: admin::backup, network::server, network::service, role::dummy, role::metapackage, works-with::db Section: admin Priority: optional Filename: pool/main/b/bacula/bacula-server_5.2.6+dfsg-9_all.deb Package: bacula-traymonitor Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 203 Depends: bacula-common (= 5.2.6+dfsg-9), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcap2 (>= 2.10), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libwrap0 (>= 7.6-4~), libx11-6, zlib1g (>= 1:1.1.4) Suggests: kde | gnome-desktop-environment Homepage: http://www.bacula.org/ Priority: optional Section: admin Filename: pool/main/b/bacula/bacula-traymonitor_5.2.6+dfsg-9_armhf.deb Size: 109562 SHA256: dee50b7239d5c7740783a2f30ee662b742cf6bbfc8de26823bb3b9259a1dace9 SHA1: 6e8b3eabb13359f858555d950bc3923519302361 MD5sum: 4261d2ec8a72256243023e79d1bf7a45 Description: network backup service - tray monitor Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides a tray monitor for the Bacula backup system, which constantly displays Bacula's status. It is FreeDesktop-compatible, so it will work under both KDE and GNOME. Package: bacula-traymonitor-dbg Source: bacula Version: 5.2.6+dfsg-9 Architecture: armhf Maintainer: Debian Bacula Team Installed-Size: 151 Depends: bacula-traymonitor (= 5.2.6+dfsg-9) Homepage: http://www.bacula.org/ Priority: extra Section: debug Filename: pool/main/b/bacula/bacula-traymonitor-dbg_5.2.6+dfsg-9_armhf.deb Size: 56830 SHA256: b2fd59adbe05a0dd78a19d0fdce7383f6de4481f79f1b14f94708c4cc5dc57b6 SHA1: b81590e08cd7e3023c721faea2177a8416f389ee MD5sum: 2b63529f1960ebcb9513193cef33601e Description: network backup service - tray monitor (debugging) Bacula is a set of programs to manage backup, recovery, and verification of data across a network of computers of different kinds. . This package provides debugging symbols for bacula-traymonitor. Package: balance Version: 3.42-1 Architecture: armhf Maintainer: Rafael D'Leon Installed-Size: 68 Depends: libc6 (>= 2.4) Priority: optional Section: admin Filename: pool/main/b/balance/balance_3.42-1_armhf.deb Size: 19884 SHA256: 6891911b350a998c19d275ae62ff1c2e5c0a65bfdd51c606b9a89c1220f37661 SHA1: 282e42a20be545dde0fe7350d1f77e287a02389d MD5sum: 51f8442f342e314cff242df5133722ca Description: Load balancing solution and generic tcp proxy Balance is a load balancing solution being a simple but powerful generic tcp proxy with round robin load balancing and failover mechanisms. Its behaviour can be controlled at runtime using a simple command line syntax. . Homepage: http://www.inlab.de/balance.html Package: balazar Version: 0.3.4.ds1-6.1 Installed-Size: 28848 Maintainer: Tony Palma Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0), python-soya (>= 0.13.2-1), python-pyvorbis, python-cerealizer (>= 0.6), python-tofu (>= 0.5) Suggests: python-psyco Size: 12870980 SHA256: 6e83d7629d5b65d899dc1fd1e729531f75c56a8890e72870829b2e01bdbc998a SHA1: 2d6dd59b01b0facc0e41f598fbe3590e718a313b MD5sum: a50381947ffe4b0b9cdb138820b9b4d6 Description: adventure/action game Balazar -- Arkanae II, reforged scepters Balazar is a solo or multiplayer adventure/action game, taking place in a 3D fantasy world, with RPG-like dialogs, puzzles, and fights. . This game is the continuation of Arkanae, a small 3D MMORPG. (https://arkanae.dev.java.net/) . Plot: More than a thousand years ago, the three Gods that have created the world became too powerful for the poor mortals. Then the Elves forged three magical scepters to control the Gods, and the Gods were imprisoned in the magical crystal of Arkanae (during Arkanae I). . Though the secret of the Elven blacksmiths has not been lost as time goes on, monsters and powers are coming back. New scepters have been reforged, giving birth to new Gods. But who can find the scepters and imprison them in the Arkanae, or free them for ever by dropping the scepters in the Abyss ? Who can judge the Gods ? . You'll have to find your way through the 7 worlds : the Echassian village, the Pompon forest, the great cathedral, the ice desert, the Arkanae citadel, the Abyss swamp and finally the Elven Forge, to find the scepters and write a new destiny for the Universe ! Homepage: http://home.gna.org/oomadness/en/balazar/ Tag: game::adventure, implemented-in::python, interface::3d, interface::x11, network::client, network::server, role::program, uitoolkit::sdl, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/b/balazar/balazar_0.3.4.ds1-6.1_all.deb Package: balazar3 Version: 0.1-10 Installed-Size: 36 Maintainer: Vincent Bernat Architecture: all Depends: balazar3-3d (= 0.1-10) | balazar3-2d (= 0.1-10) Size: 4862 SHA256: 3ed4357daf85bead5f4c71f1e306dd61b1f33a48170c28a7fd55499a0ba98710 SHA1: 0899ab429d2ac902cb25d66ba7bebf4d64c96e84 MD5sum: fbd4571065b5cfa6dd8afc0d59c66c41 Description: dungeon adventure game with multiplayer support Balazar III is a dungeon adventure game with multiplayer support. As you explore the dungeon, you'll gain magical objects, experience and powers, but also... curses ! Balazar III is based on the (French) comics "Le guide Balazar du mauvais sorcier" (Balazar's guide to bad sorcerers). . The game comes in two versions (which are network-compatible): - 3D version destined to computer gamers. The 3D version is graphically more beautiful, as it takes avantages of the nice cellshading algorithms of the Soya 3D engine. - 2D version, currently supporting 640x480 and 800x480 resolutions. It has been designed mainly for hand-held devices (Sharp Zaurus, Nokia N810, Openmoko Freerunner, Asus EEE PC, and the like), although it can be used on computer too. This version has been highly optimized so as it can be run in 640x480 on a Zaurus C1000 (416 MHz ARM processor without graphics accelerators). . This package is a metapackage depending on the 3D version. Homepage: http://home.gna.org/oomadness/en/balazar_iii/index.html Tag: game::adventure, role::metapackage, role::program, use::gameplaying Section: games Priority: optional Filename: pool/main/b/balazar3/balazar3_0.1-10_all.deb Package: balazar3-2d Source: balazar3 Version: 0.1-10 Installed-Size: 3248 Maintainer: Vincent Bernat Architecture: all Replaces: balazar3-common (<= 0.1-2) Depends: python-pygame, balazar3-common Conflicts: balazar3-common (<= 0.1-2) Size: 2958146 SHA256: 69599c5672a2276eef3d36629e71c1e6919fbf1efcba7be217ab5a9b3fc3c06b SHA1: 9d5820f9a7209508e5a18080cde6ca9597dc0dbe MD5sum: f46489a7bf84e2a9c16663efc0bb6df1 Description: dungeon adventure game with multiplayer support - 2D version Balazar III is a dungeon adventure game with multiplayer support. As you explore the dungeon, you'll gain magical objects, experience and powers, but also... curses ! Balazar III is based on the (French) comics "Le guide Balazar du mauvais sorcier" (Balazar's guide to bad sorcerers). . The game comes in two versions (which are network-compatible): - 3D version destined to computer gamers. The 3D version is graphically more beautiful, as it takes avantages of the nice cellshading algorithms of the Soya 3D engine. - 2D version, currently supporting 640x480 and 800x480 resolutions. It has been designed mainly for hand-held devices (Sharp Zaurus, Nokia N810, Openmoko Freerunner, Asus EEE PC, and the like), although it can be used on computer too. This version has been highly optimized so as it can be run in 640x480 on a Zaurus C1000 (416 MHz ARM processor without graphics accelerators). . This package provides the 2D version. Homepage: http://home.gna.org/oomadness/en/balazar_iii/index.html Tag: game::adventure, role::program, use::gameplaying Section: games Priority: optional Filename: pool/main/b/balazar3/balazar3-2d_0.1-10_all.deb Package: balazar3-3d Source: balazar3 Version: 0.1-10 Installed-Size: 11432 Maintainer: Vincent Bernat Architecture: all Replaces: balazar3-common (<= 0.1-2) Depends: balazar3-common, python-soya (>= 0.14), python-ogg, python-pyvorbis Conflicts: balazar3-common (<= 0.1-2) Size: 5547684 SHA256: 584d4a05f2715789be2d45dd5a64c6cdf05c5f3d1720fc40a9918a30f0048293 SHA1: c4a494596df437678845cb82595c39fc2e94c8e6 MD5sum: 7521656cc3806aa0da53557388b4cc7a Description: dungeon adventure game with multiplayer support - 3D version Balazar III is a dungeon adventure game with multiplayer support. As you explore the dungeon, you'll gain magical objects, experience and powers, but also... curses ! Balazar III is based on the (French) comics "Le guide Balazar du mauvais sorcier" (Balazar's guide to bad sorcerers). . The game comes in two versions (which are network-compatible): - 3D version destined to computer gamers. The 3D version is graphically more beautiful, as it takes avantages of the nice cellshading algorithms of the Soya 3D engine. - 2D version, currently supporting 640x480 and 800x480 resolutions. It has been designed mainly for hand-held devices (Sharp Zaurus, Nokia N810, Openmoko Freerunner, Asus EEE PC, and the like), although it can be used on computer too. This version has been highly optimized so as it can be run in 640x480 on a Zaurus C1000 (416 MHz ARM processor without graphics accelerators). . This package provides the 3D version. Homepage: http://home.gna.org/oomadness/en/balazar_iii/index.html Tag: game::adventure, role::program, use::gameplaying Section: games Priority: optional Filename: pool/main/b/balazar3/balazar3-3d_0.1-10_all.deb Package: balazar3-common Source: balazar3 Version: 0.1-10 Installed-Size: 2372 Maintainer: Vincent Bernat Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-cerealizer Suggests: python-psyco Size: 1851782 SHA256: f771129667cf5a3b6eea8731382383d0b1c3f149ecff50a84054962941face45 SHA1: 9121f858198fb5e1bd2b24f705bca465be69b636 MD5sum: 927554f375a98e409cbb73a67ccde5e7 Description: dungeon adventure game with multiplayer support - common files Balazar III is a dungeon adventure game with multiplayer support. As you explore the dungeon, you'll gain magical objects, experience and powers, but also... curses ! Balazar III is based on the (French) comics "Le guide Balazar du mauvais sorcier" (Balazar's guide to bad sorcerers). . The game comes in two versions (which are network-compatible): - 3D version destined to computer gamers. The 3D version is graphically more beautiful, as it takes avantages of the nice cellshading algorithms of the Soya 3D engine. - 2D version, currently supporting 640x480 and 800x480 resolutions. It has been designed mainly for hand-held devices (Sharp Zaurus, Nokia N810, Openmoko Freerunner, Asus EEE PC, and the like), although it can be used on computer too. This version has been highly optimized so as it can be run in 640x480 on a Zaurus C1000 (416 MHz ARM processor without graphics accelerators). . This package provides common files to 2D and 3D versions Homepage: http://home.gna.org/oomadness/en/balazar_iii/index.html Tag: role::app-data Section: games Priority: optional Filename: pool/main/b/balazar3/balazar3-common_0.1-10_all.deb Package: balazarbrothers Version: 1.0~rc1-4.1 Installed-Size: 23780 Maintainer: Tony Palma Architecture: all Depends: python (>= 2.4), python-soya (>= 0.13.2-1), python-pyvorbis, python-cerealizer (>= 0.6), ttf-dustin Suggests: python-psyco Size: 10318144 SHA256: 1173621d102e103fde0965eb8951d1bae59376b16464725da7c7588bbd7ff112 SHA1: a74683d5e515b61ee656e5209de70d80f6ec24ee MD5sum: dc52f456165e46fabaa9ee118f2cb6b6 Description: 3D puzzle game 3D platform universe, two characters and two keys, one for each character, and at the end of the road two princesses to free. Just press a key and the corresponding character will jump on the next platform in front of him. . Simple? Yes! Easy? Not sure! Because nothing will be spared to you: moving platforms, dangerous monsters, vicious traps... will you manage to find your way trough mad levels and free the princesses? You can count only on your agility, your guile... and the unforgettable acrobatics of the Balazar Brothers! Homepage: http://home.gna.org/oomadness/en/balazar_brothers/ Tag: game::puzzle, implemented-in::python, interface::3d, interface::x11, role::program, uitoolkit::sdl, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/b/balazarbrothers/balazarbrothers_1.0~rc1-4.1_all.deb Package: balder2d Version: 1.0-1.1 Architecture: armhf Maintainer: Bjørn Hansen Installed-Size: 385 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libguichan-0.8.1-1, libguichan-sdl-0.8.1-1, libphysfs1 (>= 1.1.1), libpython2.7 (>= 2.7), libsdl-gfx1.2-4 (>= 2.0.22), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), balder2d-data Homepage: http://balder.sourceforge.net/balder2d/ Priority: optional Section: games Filename: pool/main/b/balder2d/balder2d_1.0-1.1_armhf.deb Size: 124720 SHA256: 502a81e29986632838d0aff0bf099fa40283ce3ae90c10c471d5ecae25a16fad SHA1: c5c6211172acd06f9714547042dcaeef38ae4b38 MD5sum: 25faf294c02871475558410ba2640a98 Description: A 2D shooter in zero gravity In Balder2D, players control small probes which shoot tiny projectiles with which they try to destroy each other. It features a 2D overhead view of the playing field. Probes may be human or computer controlled. . A probe can perform the following actions: . * rotate * fire projectiles * "stick" to a wall * push off of a wall . Players may rotate their probes while in flight, but the only way to change the direction or speed of flight is by firing projectiles (which gives a small kick in the opposite direction) or by running into walls or other probes. Package: balder2d-data Source: balder2d Version: 1.0-1.1 Installed-Size: 11844 Maintainer: Bjørn Hansen Architecture: all Size: 11266918 SHA256: 4c7b7388ababdd01d503b75b6cb2fb0b0e072cedf2023359cf5c985faeb626f9 SHA1: cdddc051a86ede685ebd1d511d65b56696e3166d MD5sum: 4afee5512286cc632abf16a5ffcdad10 Description: data files for balder2d In Balder2D, players control small probes which shoot tiny projectiles with which they try to destroy each other. It features a 2D overhead view of the playing field. Probes may be human or computer controlled. . A probe can perform the following actions: . * rotate * fire projectiles * "stick" to a wall * push off of a wall . Players may rotate their probes while in flight, but the only way to change the direction or speed of flight is by firing projectiles (which gives a small kick in the opposite direction) or by running into walls or other probes. . These are the common files for balder2d. Homepage: http://balder.sourceforge.net/balder2d/ Tag: made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/b/balder2d/balder2d-data_1.0-1.1_all.deb Package: ballview Source: ball Version: 1.4.1+20111206-4 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 2846 Depends: libball1.4, libballview1.4, libboost-date-time1.49.0 (>= 1.49.0-1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libgsl0ldbl (>= 1.9), libice6 (>= 1:1.0.0), libpython2.7 (>= 2.7), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-test (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsm6, libstdc++6 (>= 4.6), libx11-6, libxext6, python-ball, python-ballview Homepage: http://www.ballview.org Priority: optional Section: science Filename: pool/main/b/ball/ballview_1.4.1+20111206-4_armhf.deb Size: 2552994 SHA256: ed39f47bf2f3ba69610eaeac78c04b2dc7bfd28b74f0376cf8862cd00a657dbd SHA1: f72763419af34e985b260a7e31b01514ebe5e585 MD5sum: 2359841c8523b0fa5510ce25f53c4703 Description: free molecular modeling and molecular graphics tool BALLView provides fast OpenGL-based visualization of molecular structures, molecular mechanics methods (minimization, MD simulation using the AMBER, CHARMM, and MMFF94 force fields), calculation and visualization of electrostatic properties (FDPB) and molecular editing features. . BALLView can be considered a graphical user interface on the basis of BALL (Biochemical Algorithms Library) with a focus on the most common demands of protein chemists and biophysicists in particular. It is developed in the groups of Hans-Peter Lenhof (Saarland University, Saarbruecken, Germany) and Oliver Kohlbacher (University of Tuebingen, Germany). BALL is an application framework in C++ that has been specifically designed for rapid software development in Molecular Modeling and Computational Molecular Biology. It provides an extensive set of data structures as well as classes for Molecular Mechanics, advanced solvation methods, comparison and analysis of protein structures, file import/export, and visualization. Package: ballview-dbg Source: ball Version: 1.4.1+20111206-4 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 15385 Depends: python-ballview, ballview Homepage: http://www.ballview.org Priority: extra Section: debug Filename: pool/main/b/ball/ballview-dbg_1.4.1+20111206-4_armhf.deb Size: 3390504 SHA256: 94f2ea5d620f43fbbef09efd63e3889a305e2d1734744d2e4ded63d7c8a2bc63 SHA1: 42df8c19d89cfccadb4d2542e43c69017316840c MD5sum: cd5b6359e1b17a18e5c177994f6f4d90 Description: debug symbols for BALL and VIEW libraries BALL (Biochemical Algorithms Library) is an application framework in C++ that has been specifically designed for rapid software development in Molecular Modeling and Computational Molecular Biology. The package comprises an extensive manual on how to program molecular modelling programs with BALL. . This package contains all the extra information on the libraries of BALL, BALLView and the Python wrappers to help debuggers like GDB to interpret a core dump. This is tremendously useful for debugging and maybe even more so for the communication between the user of this package and upstream when something goes wrong. Package: ballz Version: 1.0.2-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 162 Depends: libaldmb1, liballegro4.2 (>= 2:4.2.2), libc6 (>= 2.13-28), libdumb1, libgcc1 (>= 1:4.4.0), libguichan-0.8.1-1, libguichan-allegro-0.8.1-1, libstdc++6 (>= 4.6), ballz-data (= 1.0.2-1) Homepage: http://www.allegro.cc/forums/thread/590831 Priority: optional Section: games Filename: pool/main/b/ballz/ballz_1.0.2-1_armhf.deb Size: 56294 SHA256: c7a51a95b3068adf1180c2e84a6e0b84a70af5fb58c404d072292901fa2bc3a1 SHA1: cc841fa64fcde79bdb45893f201a5bd1e0791912 MD5sum: b92c17c0ca1eecfadb0e304a6616f3b4 Description: B.A.L.L.Z. - platform/puzzle game where you control a rolling ball The game is a platformer with some puzzle elements. You take control of a ball which is genetically modifed by the British secret service. Your mission is to rescue captured British soldiers from a prison in Iran. . The game was written in 72 hours for the TINS competition, a competition similar to Speedhack. The name TINS is an recursive acronym for 'TINS is not Speedhack'. Package: ballz-data Source: ballz Version: 1.0.2-1 Installed-Size: 2276 Maintainer: Debian Games Team Architecture: all Recommends: ballz Size: 66558 SHA256: 84da0c0a31abee44883a4eddd1acebde9fc4237a448d47bfb8436ba6d1fe65e6 SHA1: 72a52b886ef14b1fc27c598f243410ac15978975 MD5sum: 06b1b1889d575d9aa522c88c32d1e110 Description: B.A.L.L.Z. - game data The game is a platformer with some puzzle elements. You take control of a ball which is genetically modifed by the British secret service. Your mission is to rescue captured British soldiers from a prison in Iran. . This package contains data files required by the game B.A.L.L.Z. Homepage: http://www.allegro.cc/forums/thread/590831 Tag: role::app-data Section: games Priority: optional Filename: pool/main/b/ballz/ballz-data_1.0.2-1_all.deb Package: ballz-dbg Source: ballz Version: 1.0.2-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 2041 Depends: ballz (= 1.0.2-1) Homepage: http://www.allegro.cc/forums/thread/590831 Priority: extra Section: debug Filename: pool/main/b/ballz/ballz-dbg_1.0.2-1_armhf.deb Size: 789472 SHA256: cbfc15e3a00e6ba560409be7c9884fa269edac75d4534db6646444b8f94b0f37 SHA1: 09978bf0a9995c8ab8ae4b256c6ce4d160cff12d MD5sum: 97174977b0a5becff585cb513aec5e97 Description: debugging symbols for ballz The game is a platformer with some puzzle elements. You take control of a ball which is genetically modifed by the British secret service. Your mission is to rescue captured British soldiers from a prison in Iran. . This package contains the debugging symbols for ballz. Package: balsa Version: 2.4.12-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 8557 Depends: gconf-service, libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcanberra-gtk0 (>= 0.2), libcanberra0 (>= 0.2), libcompfaceg1, libenchant1c2a (>= 1.6), libesmtp6, libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgmime-2.6-0 (>= 2.6.4), libgnome-keyring0 (>= 2.22.2), libgnome2-0 (>= 2.17.3), libgnomeui-0 (>= 2.22.0), libgpgme11 (>= 1.2.0), libgssapi-krb5-2 (>= 1.10+dfsg~), libgtk2.0-0 (>= 2.24.0), libgtkhtml3.14-19 (>= 3.32.0), libgtkhtml3.14-19 (<< 3.33), libgtksourceview2.0-0 (>= 2.10.0), libgtkspell0 (>= 2.0.10), libldap-2.4-2 (>= 2.4.7), libnm-glib4 (>= 0.7.999), libnotify4 (>= 0.7.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libsqlite3-0 (>= 3.5.9), libssl1.0.0 (>= 1.0.0), libunique-1.0-0 (>= 1.0.2), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), gnome-icon-theme Recommends: aspell | ispell, yelp Suggests: ca-certificates, lbdb Provides: imap-client, mail-reader Homepage: http://pawsa.fedorapeople.org/balsa/ Priority: optional Section: gnome Filename: pool/main/b/balsa/balsa_2.4.12-1_armhf.deb Size: 3809482 SHA256: e2d991ff13fbfc9ab125cb639a51455cdfd6be8074879c6132fb984ae191a696 SHA1: aee350a5b22c391797f6ff717c8f7668976d98ac MD5sum: 71d4f31742d487a755c44ee5baf9c2a0 Description: e-mail client for GNOME Balsa is a highly configurable and robust mail client for the GNOME desktop. It supports both POP3 and IMAP servers as well as the mbox, maildir and mh local mailbox formats. Balsa also supports SMTP and/or the use of a local MTA such as Sendmail. . Some of Balsa's other features include: * Allowing nested mailboxes * Printing * Spell Checking * Multi-threaded mail retrieval * MIME support (view images inline, save parts) * GPE Palmtop, LDAP, LDIF and vCard address book support * Multiple character sets for composing and reading messages * File attachments on outgoing messages * GPG/OpenPGP mail signing and encryption . Support for Kerberos and SSL has been enabled in this package. Package: balsa-dbg Source: balsa Version: 2.4.12-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 4099 Depends: balsa (= 2.4.12-1) Homepage: http://pawsa.fedorapeople.org/balsa/ Priority: extra Section: debug Filename: pool/main/b/balsa/balsa-dbg_2.4.12-1_armhf.deb Size: 1855522 SHA256: 98dacc7bb09a694e27342f2ac1c4e75c3b44af3cd5e6e8ab1b20a5ab9d448abd SHA1: 0786f8872e2d3e29456c31c24c38a154b9c862f8 MD5sum: 6819f8fc30ef56c86a6814177fd7f4f6 Description: e-mail client for GNOME - debugging symbols Balsa is a highly configurable and robust mail client for the GNOME desktop. It supports both POP3 and IMAP servers as well as the mbox, maildir and mh local mailbox formats. Balsa also supports SMTP and/or the use of a local MTA such as Sendmail. . This package contains the debugging symbols for balsa. Package: bam Version: 0.4.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 169 Depends: libc6 (>= 2.13-28), liblua5.1-0 Homepage: http://matricks.github.com/bam/ Priority: optional Section: devel Filename: pool/main/b/bam/bam_0.4.0-3_armhf.deb Size: 51524 SHA256: e3cc5b59cc4f9977228a3e7d4c75979571c7daf74d0f6e2658b5a786237d753f SHA1: 4265d663ed084da58a620e03b8f4a03ed4a50056 MD5sum: 16b596da07f25e80fba6cdcd4ecd3fc1 Description: fast and flexible build system Bam uses Lua to describe the build process. It's takes its inspiration for the script files from scons. While scons focuses on being 100% correct when building, bam makes a few sacrifices to acquire fast full and incremental build times. Package: bamf-dbg Source: bamf Version: 0.2.118-1 Architecture: armhf Maintainer: Didier Roche Installed-Size: 1036 Depends: libbamf0 (= 0.2.118-1), libbamf3-0 (= 0.2.118-1) Homepage: https://launchpad.net/bamf Priority: extra Section: debug Filename: pool/main/b/bamf/bamf-dbg_0.2.118-1_armhf.deb Size: 359582 SHA256: 301dd28b0fd66c9c5ebd9ac2f9dceb1ac5a6cb9ef6aebb983e2430d4507c984d SHA1: 75b3fac04021a277581c076f05dd338f8e90a5da MD5sum: 92426c7a8ba6b8c5f68711d0ad611eed Description: Window matching library - debugging symbols bamf matches application windows to desktop files . This package contains the daemon used by the library and a gio module that facilitates the matching of applications started through GDesktopAppInfo . This package contains debugging symbols for the daemon and library. Package: bamfdaemon Source: bamf Version: 0.2.118-1 Architecture: armhf Maintainer: Didier Roche Installed-Size: 283 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libgtop2-7 (>= 2.22.3), libpango1.0-0 (>= 1.14.0), libwnck-3-0 (>= 3.2.0), libx11-6 Multi-Arch: foreign Homepage: https://launchpad.net/bamf Priority: optional Section: libs Filename: pool/main/b/bamf/bamfdaemon_0.2.118-1_armhf.deb Size: 90006 SHA256: fce4c966be5a3529398245d8a35e441a726d9a0dc28ea70ab9e880a0ea259268 SHA1: 70e6ffd2e7c322b37eb2b7af1041e8a67da53ba9 MD5sum: 39b4a5f2898c87b812ece8631e87df02 Description: Window matching library - daemon bamf matches application windows to desktop files . This package contains the daemon used by the library and a gio module that facilitates the matching of applications started through GDesktopAppInfo Package: bandwidthcalc Version: 0.2-1 Architecture: armhf Maintainer: Christoph Goehre Installed-Size: 81 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0) Priority: optional Section: x11 Filename: pool/main/b/bandwidthcalc/bandwidthcalc_0.2-1_armhf.deb Size: 13692 SHA256: 2c313547c89ee828b5bbdd29b62298d0c003df100b8e993edabbaf62d19ef614 SHA1: 01e58e07d9945f150dd3693e9067fa0c3d86fc88 MD5sum: 1c9395bf6256396fc22525333ad874c7 Description: file transfer time calculator written in GTK+ Given the available bandwidth, bandwidthcalc determines how long it will take to transfer a file of a given size. You can specify the available bandwidth in kBit/s, kByte/s, MBit/s or MByte/s. The time output is in HH:MM:SS Package: bandwidthd Version: 2.0.1+cvs20090917-5 Architecture: armhf Maintainer: Andreas Henriksson Installed-Size: 218 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libpcap0.8 (>= 0.9.8), libpng12-0 (>= 1.2.13-4), debconf (>= 0.5) | debconf-2.0, ucf Conflicts: bandwidthd-pgsql Homepage: http://bandwidthd.sourceforge.net/ Priority: optional Section: net Filename: pool/main/b/bandwidthd/bandwidthd_2.0.1+cvs20090917-5_armhf.deb Size: 83108 SHA256: 45ee2e0bed7ace4e7d917fa4fc19483e8caa53deba3693cc5022d893a35e79c0 SHA1: 0ae443c04b74f83959e663bf64de0cfad35cd94f MD5sum: f3d3535be679d46f0db2cd61bf3082d8 Description: Tracks usage of TCP/IP and builds html files with graphs BandwidthD tracks usage of TCP/IP network subnets and builds html files with graphs to display utilization. Charts are built by individual IPs. Color Codes HTTP, TCP,UDP, ICMP, VPN, P2P, etc. . This is the static version, see bandwidthd-pgsql for multi sensor/php frontend bandwidthd. Package: bandwidthd-pgsql Source: bandwidthd Version: 2.0.1+cvs20090917-5 Architecture: armhf Maintainer: Andreas Henriksson Installed-Size: 254 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libpcap0.8 (>= 0.9.8), libpng12-0 (>= 1.2.13-4), libpq5, debconf (>= 0.5) | debconf-2.0, ucf, dbconfig-common, postgresql-client, php5-gd Conflicts: bandwidthd Homepage: http://bandwidthd.sourceforge.net/ Priority: optional Section: net Filename: pool/main/b/bandwidthd/bandwidthd-pgsql_2.0.1+cvs20090917-5_armhf.deb Size: 91110 SHA256: 022b9dec49ebbd679f68718c811adab7a4f599696605ccfc84dc055c56e9bc71 SHA1: f2ec09b9e4cdc5120425444aaef3d00b5e5561e7 MD5sum: d33ee347be02fc102ae4fe77cc71480a Description: Tracks usage of TCP/IP and builds html files with graphs BandwidthD tracks usage of TCP/IP network subnets and builds html files with graphs to display utilization. Charts are built by individual IPs. Color Codes HTTP, TCP,UDP, ICMP, VPN, P2P, etc. . This is the PostgreSQL version of bandwidthd which supports multiple sensors and uses a dynamic (php-based) web interface. If you don't need these features then using the package bandwidthd is suggested. Package: bangarang Version: 2.1-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 2732 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.4.0), libkfile4 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkparts4 (>= 4:4.3.4), libkrosscore4 (>= 4:4.3.4), libnepomuk4 (>= 4:4.4.95), libnepomukutils4 (>= 4:4.5.85), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsolid4 (>= 4:4.5.85), libsoprano4 (>= 2.2.69), libstdc++6 (>= 4.6), libtag1c2a (>= 1.5), phonon Homepage: http://gitorious.org/bangarang Priority: optional Section: kde Filename: pool/main/b/bangarang/bangarang_2.1-2_armhf.deb Size: 826068 SHA256: ff9c8bfd7c1c09aaafbd9eb35ff1d2b8f1a1833faa81046dd24b18e7f61b48d0 SHA1: 6ff757bc646c45dd3148fc2fc1959e27cbf451ef MD5sum: bf604c2d995e6afa08b0be32ff70cc82 Description: Multimedia player with a lightweight interface for KDE Offers a media—audio and video—player with a lightweight interface. Integrates with the Nepomuk Social Semantic Desktop, supports command line arguments, can play CDs and DVDs and audio streams. It also has basic keyboard shortcuts and allows users to manually manage playlists. Package: banshee Version: 2.4.1-3 Architecture: armhf Maintainer: Debian CLI Applications Team Installed-Size: 13432 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.32.3), libgstreamer-plugins-base0.10-0 (>= 0.10.26), libgstreamer0.10-0 (>= 0.10.26), libgtk2.0-0 (>= 2.24.0), libsoup-gnome2.4-1 (>= 2.27.4), libsoup2.4-1 (>= 2.26.1), libwebkitgtk-1.0-0 (>= 1.3.10), libx11-6 (>= 2:1.4.99.1), libxrandr2, libxxf86vm1, mono-runtime (>= 2.10.1), libboo2.0.9-cil (>= 0.9.5~git20110729.r1.202a430), libcairo2 (>= 1.12.0), libdbus-glib1.0-cil (>= 0.5), libdbus1.0-cil (>= 0.7), libgconf2.0-cil (>= 2.24.0), libgdata2.1-cil (>= 2.1.0.0), libgdk-pixbuf2.0-0 (>= 2.26.1), libgkeyfile1.0-cil, libglib2.0-cil (>= 2.12.10-1ubuntu1), libgpod4 (>= 0.7.94), libgtk-sharp-beans-cil, libgtk2.0-cil (>= 2.12.10-1ubuntu1), libgudev1.0-cil (>= 0.1), libkarma0, libmono-addins0.2-cil (>= 0.6), libmono-cairo4.0-cil (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-sharpzip4.84-cil (>= 1.0), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), libmono-zeroconf1.0-cil (>= 0.9.0), libmtp9, libnotify0.4-cil (>= 0.4.0~r2998), libpango1.0-0 (>= 1.29.4), libsqlite3-0, libtaglib2.0-cil (>= 2.0.4.0), libwnck22 (>= 2.30.0-3), gstreamer0.10-plugins-base, gstreamer0.10-plugins-good (>= 0.10.8-4), gstreamer0.10-alsa | gstreamer0.10-audiosink, gnome-icon-theme (>= 2.16) Recommends: brasero, avahi-daemon, media-player-info Suggests: gstreamer0.10-plugins-bad, gstreamer0.10-plugins-ugly, gstreamer0.10-ffmpeg, banshee-dbg (= 2.4.1-3) Breaks: banshee-extensions-common (<< 2.0.1-2~) Provides: banshee-api-2.4, banshee-asm-2.4.0.0 Homepage: http://www.banshee.fm Priority: optional Section: sound Filename: pool/main/b/banshee/banshee_2.4.1-3_armhf.deb Size: 4578904 SHA256: 265a911ed5783d5a77829b36d8ec0822b54388a9c63cd101fc3a508de81d65d1 SHA1: 6de7a70e052e285d974949d88e4d9e84920e029b MD5sum: e152c6eb35387afca1fc2f2158c59127 Description: Media Management and Playback application Banshee is a media management and playback application for the GNOME desktop, allowing users to import audio from CDs, search their library, create playlists of selections of their library, sync music to/from iPods and other media devices, play and manage video files and burn selections to a CD. Package: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 42 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1) Recommends: banshee-extension-alarm (>= 2.4.0-1), banshee-extension-albumartwriter (>= 2.4.0-1), banshee-extension-ampache (>= 2.4.0-1), banshee-extension-awn (>= 2.4.0-1), banshee-extension-coverwallpaper (>= 2.4.0-1), banshee-extension-duplicatesongdetector (>= 2.4.0-1), banshee-extension-foldersync (>= 2.4.0-1), banshee-extension-jamendo (>= 2.4.0-1), banshee-extension-lastfmfingerprint (>= 2.4.0-1), banshee-extension-lcd (>= 2.4.0-1), banshee-extension-lirc (>= 2.4.0-1), banshee-extension-liveradio (>= 2.4.0-1), banshee-extension-lyrics (>= 2.4.0-1), banshee-extension-magnatune (>= 2.4.0-1), banshee-extension-mirage (>= 2.4.0-1), banshee-extension-openvp (>= 2.4.0-1), banshee-extension-radiostationfetcher (>= 2.4.0-1), banshee-extension-randombylastfm (>= 2.4.0-1), banshee-extension-streamrecorder (>= 2.4.0-1), banshee-extension-telepathy (>= 2.4.0-1), banshee-extension-zeitgeistdataprovider (>= 2.4.0-1) Enhances: banshee Size: 11790 SHA256: 2e421079e87862d82e4a14f40c213bb56af1313519a4338f9a875581a7ac7c14 SHA1: 355ad5aef7af6bda8f2902301be96e68eb1c66c0 MD5sum: 22bc3eb05accbca81aa14d09c3eaae87 Description: set of community contributed extensions for Banshee This package is a metapackage which depends on the current versions of all the extensions included in the Banshee Community Extensions pack. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::metapackage, role::plugin Section: gnome Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-community-extensions_2.4.0-1_all.deb Package: banshee-dbg Source: banshee Version: 2.4.1-3 Architecture: armhf Maintainer: Debian CLI Applications Team Installed-Size: 2889 Depends: banshee (= 2.4.1-3) Homepage: http://www.banshee.fm Priority: extra Section: debug Filename: pool/main/b/banshee/banshee-dbg_2.4.1-3_armhf.deb Size: 2152622 SHA256: 8dd6f5a2a43522eafb07191940d3d6b391ff398260380f8f39c7e0915bbabb30 SHA1: 4b2c71a526627775a0a293837795e3a1444dea82 MD5sum: f0c49fd4177330298931acb900a7d31b Description: Media Management and Playback application (debug symbols) Banshee is a media management and playback application for the GNOME desktop, allowing users to import audio from CDs, search their library, create playlists of selections of their library, sync music to/from iPods and other media devices, play and manage video files and burn selections to a CD. . This package contains the debugging symbols for Banshee. Package: banshee-extension-alarm Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 90 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libglib2.0-cil (>= 2.12.10), libgtk2.0-cil (>= 2.12.10), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.7) Enhances: banshee Size: 20764 SHA256: 256afe778473b00da08ca75dc6723e0a0da213e44d6f958f974f7eb1699294cd SHA1: ef8542682acc20d7618262f42fb56a9a9a4c3209 MD5sum: 62ef6b97a6c5ee9aaeefc3b91c41974d Description: Alarm extension for Banshee Banshee Alarm Extension is an extension for Banshee which allows alarms to be set in Banshee. Supported features include: * Wake up time * Ascending volume alarm with configurable start and end volumes as well as duration * Sleep timer . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin, uitoolkit::gtk Section: sound Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-alarm_2.4.0-1_all.deb Package: banshee-extension-albumartwriter Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 72 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libgtk2.0-cil (>= 2.12.10), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-drawing4.0-cil (>= 1.0) Enhances: banshee Size: 18974 SHA256: c4b6e3642674572e09f02d708b270ebe33d342dba8fca84bfc4a30ad23a1108e SHA1: 5015b480a4e8a94ac0f538fca78fcb405bb77fe8 MD5sum: 7dcb3e47cd1d7bbe90404f718a8e1f22 Description: Album art writer extension for Banshee This package contains the Album Art Writer extension for Banshee which writes album art from the cache to the folder containing the music files. . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin, uitoolkit::gtk Section: sound Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-albumartwriter_2.4.0-1_all.deb Package: banshee-extension-ampache Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 116 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libgtk2.0-cil (>= 2.12.10), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-xml-linq4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7) Enhances: banshee Size: 37770 SHA256: 86653fce7866b833101bdce23f535683749f12bb96884c87499d31067cfbfd6f SHA1: 8c2289c4e15705caee63dd4664538c2c8d1263cf MD5sum: dc8d897e32e4e769bc25fc9ba7b06ffd Description: Ampache extension for Banshee This package provides integration for Banshee with the Ampache web-based audio file management system, allowing Banshee to act as a frontend for Ampache servers. . Banshee is a media management and playback application for the GNOME desktop Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin, uitoolkit::gtk Section: sound Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-ampache_2.4.0-1_all.deb Package: banshee-extension-awn Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 61 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libdbus1.0-cil (>= 0.7), libmono-corlib4.0-cil (>= 2.10.1) Suggests: avant-window-navigator Enhances: banshee Size: 15150 SHA256: e806c7cb02922e4949f276f4f9522e1cf0bd7d0261eb1202884c7b553918c29e SHA1: 39286d61ab6dbd8bd13f7419d2591e82c77a7e2b MD5sum: 4f02f393c435a11a2a2f398869a87263 Description: AWN integration extension for Banshee This package provides integration for Banshee with the Avant Window Navigator, which is a Mac OS X like dock for the GNOME desktop. . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin Section: gnome Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-awn_2.4.0-1_all.deb Package: banshee-extension-coverwallpaper Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 60 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libgconf2.0-cil (>= 2.24.0), libgtk2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1) Enhances: banshee Size: 14726 SHA256: 9de3452cf49d627e627d80f84e634e2f1c1628a0a4edcd5bafdb02dfecfdb8de SHA1: c4062d1087669e64f1451675a72b482ba03bee23 MD5sum: 5cbed15d86258ae26227cd802648f3e7 Description: Cover wallpaper extension for Banshee CoverWallpaper is an extension for Banshee which automatically sets the desktop wallpaper to the cover art of the currently playing media. . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin, uitoolkit::gtk Section: sound Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-coverwallpaper_2.4.0-1_all.deb Package: banshee-extension-duplicatesongdetector Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 69 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libgtk2.0-cil (>= 2.12.10), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.7) Size: 18020 SHA256: 8d303e699bfa6861e2ca8e64b77bd72273e216760bef0b8c745718df6a13eaf2 SHA1: c431c057d8bdafd80cee62323a95e28d0ca304db MD5sum: 99dd2c1944a923b941c019d07191698b Description: Duplicate song detector extension for Banshee This package adds duplicate song detection to Banshee, allowing it to detect and remove duplicate songs in your library. . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: interface::x11, role::plugin, role::program, uitoolkit::gtk, use::organizing, works-with::file, x11::application Section: sound Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-duplicatesongdetector_2.4.0-1_all.deb Package: banshee-extension-foldersync Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 71 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libgtk2.0-cil (>= 2.12.10), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3) Size: 20296 SHA256: 12b0640d285dea4a4413adeb4bd162f79e301ee5a5d4e1a4334904490137fe26 SHA1: cc3f389cb033d2ee2d0f289799c13a4e444f49d6 MD5sum: ca54e5ff91687dce3093835aa1d8ceb9 Description: Folder synchronization extension for Banshee FolderSync is an extension which copies and synchronizes music files from playlists into user-specified folders. . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: uitoolkit::gtk Section: sound Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-foldersync_2.4.0-1_all.deb Package: banshee-extension-jamendo Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 86 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libgtk2.0-cil (>= 2.12.10), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-sharpzip4.84-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7) Enhances: banshee Size: 18234 SHA256: 6c277a7b97a1b8f2c6a12eec4f3fb53870bd7e91702153eead25bc0961409308 SHA1: c0d56cbc401105f7aff9dc611daaa1395d01d380 MD5sum: 12ff551b3ee9bc6673f7c279582949c5 Description: Jamendo extension for Banshee This package provides integration with the Jamendo music service. Jamendo is a community of music authors and performers which makes free music available. This extension allows you to browse the Jamendo catalog, stream and download free music. . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin, uitoolkit::gtk Section: sound Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-jamendo_2.4.0-1_all.deb Package: banshee-extension-karaoke Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 86 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extension-streamrecorder (= 2.4.0-1), libgtk2.0-cil (>= 2.12.10), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1) Enhances: banshee Size: 31804 SHA256: cdf81d25e64d09c2e9a68b4218c01113a75557ef569f38538546fc6d7c2a7118 SHA1: 5c0ff6dfa144fc72dc6a5206e540481196d22e22 MD5sum: 8dc1706f4fcbaf968b49fad940d5a887 Description: Karaoke extension for Banshee This package adds karaoke functionality to Banshee by allowing filtering the singers' voice out of the song as it is played. . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin, uitoolkit::gtk Section: sound Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-karaoke_2.4.0-1_all.deb Package: banshee-extension-lastfmfingerprint Source: banshee-community-extensions Version: 2.4.0-1 Architecture: armhf Maintainer: Debian CLI Applications Team Installed-Size: 131 Depends: banshee-extensions-common (= 2.4.0-1), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.7), libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.15), libsamplerate0 (>= 0.1.7), libstdc++6 (>= 4.4.0), libxml2 (>= 2.6.27) Enhances: banshee Homepage: http://gitorious.org/banshee-community-extensions Priority: optional Section: sound Filename: pool/main/b/banshee-community-extensions/banshee-extension-lastfmfingerprint_2.4.0-1_armhf.deb Size: 53016 SHA256: 35b19e018330fc3775bd4c9ef13807b8f349bdc869bcc77b7e9763cae931ead3 SHA1: 8647a12c4bbf3d91d745296703d5351d8a299d5a MD5sum: 7462ec614168fbd717d96a4fb782561d Description: Last.FM fingerprinting extension for Banshee This package provides additional integration between Last.FM and Banshee, allowing Banshee to automatically generate and audio fingerprint and correct your metadata by querying Last.FM for the correct metadata. . Banshee is a media management and playback application for the GNOME desktop. Package: banshee-extension-lcd Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 74 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), lcdproc, libgtk2.0-cil (>= 2.12.10), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system4.0-cil (>= 2.10.7) Enhances: banshee Size: 21242 SHA256: 717fc872f93e6502f1a0453a21c53f96d7fec535e20066a70a735b7044ed2f31 SHA1: d5ea8171c3400e45033a766faa3e3a6329e9de36 MD5sum: 908db7e32c2ff5f759c7d1de870d186b Description: LCD display integration extension for Banshee This package provides integration with LCD displays for Banshee using the lcdproc display driver daemon. . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-lcd_2.4.0-1_all.deb Package: banshee-extension-lirc Source: banshee-community-extensions Version: 2.4.0-1 Architecture: armhf Maintainer: Debian CLI Applications Team Installed-Size: 67 Depends: banshee-extensions-common (= 2.4.0-1), lirc, libc6 (>= 2.13-28), liblircclient0, libmono-corlib4.0-cil (>= 2.10.1) Homepage: http://gitorious.org/banshee-community-extensions Priority: optional Section: sound Filename: pool/main/b/banshee-community-extensions/banshee-extension-lirc_2.4.0-1_armhf.deb Size: 17934 SHA256: 264253f92bb41e087f8505286334139004ff28b84464afc5d124011667de38f1 SHA1: 99888e0f16c3e27bcad1796a55d13cdd6d922903 MD5sum: 3bd90ed31437dcf8bfb02ba9c9a132a9 Description: LIRC integration extension for Banshee This package provides LIRC integration for Banshee, which will allow Banshee to be controlled using an infra-red device. . Banshee is a media management and playback application for the GNOME desktop. Package: banshee-extension-liveradio Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 153 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libgtk2.0-cil (>= 2.12.10), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7) Enhances: banshee Size: 48466 SHA256: 20fe0ebac59bc76e982ffa5316496b7efe58fc37c35735588dfbc73a960c08cb SHA1: 8c605807fb1fe059058920a06c3ed0e27b7b809b MD5sum: b287d43cd28c3fd5db516dc89e326c21 Description: LiveRadio extension for Banshee The LiveRadio extension for Banshee is a browser for online Internet station directories integrated into the Banshee media player. It currently supports live365.com, shoutcast.com and xiph.org, but its plugin-like code structure can easily be extended to support just about any directory. . LiveRadio has the follwing features: * Browse and query three online internet radio directories: – live365.com – shoutcast.com – xiph.org * Retrieve stream information and play the stream * Add your favorite streams to your list of Internet Radio stations in Banshee . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-liveradio_2.4.0-1_all.deb Package: banshee-extension-lyrics Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 91 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libglib2.0-cil (>= 2.12.10), libgtk2.0-cil (>= 2.12.10), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), libtaglib2.0-cil (>= 2.0.4.0) Enhances: banshee Size: 28604 SHA256: a8e40bc1ff5d3bf65f75b2f6113cd2559c1892866d7b9dc094446d3dade98b5e SHA1: 70d989c670549f7b3d99636c79f291dda4f59ef2 MD5sum: f4e11a1236946c5abea8dd75cbd77af5 Description: Lyrics extension for Banshee Banshee Lyrics Plugin is an extension which shows lyrics of songs played in Banshee. It supports downloading lyrics from: * http://lyrc.com.ar * http://lyriky.com * http://lyricwiki.org * http://www.autolyrics.com . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin, uitoolkit::gtk, use::downloading, use::viewing, works-with::audio Section: sound Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-lyrics_2.4.0-1_all.deb Package: banshee-extension-magnatune Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 99 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libglib2.0-cil (>= 2.12.10), libgtk2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system-xml4.0-cil (>= 1.0) Enhances: banshee Size: 41808 SHA256: 2b90df86940d1ca04a3a1b018bc4d8b2b15b9fa7a2f4376a38b934340fe42473 SHA1: 2a94b241622a4e8d77797a90cf1b2aeeea214724 MD5sum: c5b7e1db12a26ad136ae0c75d9ce339e Description: Magnatune for Banshee This package provides support for listening to Magnatune streams using Banshee. . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-magnatune_2.4.0-1_all.deb Package: banshee-extension-mirage Source: banshee-community-extensions Version: 2.4.0-1 Architecture: armhf Maintainer: Debian CLI Applications Team Installed-Size: 196 Depends: banshee-extensions-common (= 2.4.0-1), libc6 (>= 2.13-28), libfftw3-3, libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.15), libsamplerate0 (>= 0.1.7), libxml2 (>= 2.6.27), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3) Enhances: banshee Homepage: http://gitorious.org/banshee-community-extensions Priority: optional Section: sound Filename: pool/main/b/banshee-community-extensions/banshee-extension-mirage_2.4.0-1_armhf.deb Size: 50258 SHA256: 59a93102f9ea26e5282b26c127ce164e93332e177e736428d4b75c1d09d5e7df SHA1: c441af48e1c38bc3f38707c0f692e3d2ff1addfc MD5sum: a34674adef3609df1a7aa644d8bb6928 Description: Automatic Playlist Generation extension for Banshee Mirage is a ready-to-try implementation of the latest research in automatic playlist generation and music similarity. Mirage analyzes your music collection and computes similarity models for each song. After your music has been analyzed, Mirage is able to automatically generate playlists of similar music. . Mirage is far from being perfect! But it is a nice and easy way to rediscover your music collection. Imagine it's an automated radio station DJ playing songs from your collection and all songs somehow fit together. . Banshee is a media management and playback application for the GNOME desktop. Package: banshee-extension-openvp Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 235 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libgl1-mesa-glx | libgl1, libglib2.0-cil (>= 2.12.10), libgtk2.0-0 (>= 2.24.0), libgtk2.0-cil (>= 2.12.10), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system4.0-cil (>= 2.10.7), libtaoframework-opengl3.0-cil (>= 2.1.svn20090801), libtaoframework-opengl3.0-cil (<< 2.1.svn20090802), libtaoframework-sdl1.2-cil (>= 2.1.svn20090801), libtaoframework-sdl1.2-cil (<< 2.1.svn20090802), libx11-6 Enhances: banshee Size: 86790 SHA256: c8760ce329cdc8f2de1017904c26ca1504f4bbbe2a7e3a412df5bdee54451fc8 SHA1: 6c845bae87f64c83b6b96f733f903e861cc6cf9a MD5sum: 8ec62b0a2178369ff2b10f24755e6130 Description: visualizations extension for Banshee This package contains an extension for Banshee which adds various visualizations to the Now Playing source in Banshee. . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-openvp_2.4.0-1_all.deb Package: banshee-extension-radiostationfetcher Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 81 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libgtk2.0-cil (>= 2.12.10), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7) Enhances: banshee Size: 22418 SHA256: f20b0e8fe6955356a7a112391625de3922fc997f87fb0db6e17978d3449e8fcf SHA1: c803ab2b24472d0deeec4110c214b01fb734931a MD5sum: 2ea11b5872cfe2b72436a117f3db439f Description: radio station fetcher extension for Banshee This package contains an extension for Banshee which adds radio station fetching functionality for Banshee. Radio stations are fetched from: * http://www.shoutcast.com * http://www.xiph.org . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-radiostationfetcher_2.4.0-1_all.deb Package: banshee-extension-randombylastfm Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 72 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3) Enhances: banshee Size: 19862 SHA256: 471cad46214829d1e4a650ba696fdd77c2a36a3e4ff282903cc3fe4999f4095f SHA1: 0e77a9ba01dfa1ff4f67c06204a91e4aafe4073c MD5sum: 149c28af854c74046bb417dd45e19c08 Description: Random By Last.FM extension for Banshee This package provide additional integration between Last.FM and Banshee, providing a new random mode which queries Last.FM for a list of similar artists to the song you are currently playing, then compares that list with your library to pick only artists that match. . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin Section: sound Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-randombylastfm_2.4.0-1_all.deb Package: banshee-extension-streamrecorder Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 99 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libglib2.0-0 (>= 2.32.0), libglib2.0-cil (>= 2.12.10), libgstreamer0.10-0 (>= 0.10.36), libgtk2.0-cil (>= 2.12.10), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1) Enhances: banshee Size: 31436 SHA256: b670b1ecfa66a853ba0602e336c5c792aad21b4013f43f459ded8ff43de53394 SHA1: ec52ad303a3a73558f9368a02cc7ca02f029e4a8 MD5sum: 96b933f49ca4163be4a6bf0257903d2a Description: StreamRecorder extension for Banshee This package provides the StreamRecorder extension for Banshee which will allow it to rip/record radio streams. It has the following features: * Recording "what you hear is what you get" * Multiple encoders configurable * Recorded stream injected with metadata tags * Automatic file splitting and naming for streams sending metadata tags for title and artist . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-streamrecorder_2.4.0-1_all.deb Package: banshee-extension-telepathy Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 284 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), empathy (>= 2.27.91), telepathy-gabble (>= 0.9), telepathy-mission-control-5 (>= 5.3.1), libdbus-glib1.0-cil (>= 0.5), libdbus1.0-cil (>= 0.7), libglib2.0-cil (>= 2.12.10), libgtk2.0-cil (>= 2.12.10), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.7), libnotify0.4-cil (>= 0.4.0~r2998) Enhances: banshee Size: 102322 SHA256: fa2c59d7e2aac01f84540b218882090c88f58418a61943c40f5c0c5875e0df2c SHA1: 04c817458572d6b2e61f8cfc49a497c17cf0627e MD5sum: 73ee58dc8ff1d17c9006ee3d5e5e080d Description: Telepathy extension for Banshee This extension provides integration between the Empathy instant messenger and Banshee. It provides the following features: . * Download your friends' Banshee library metadata and check out what they listen to, their ratings, BPM values, etc. * View your friends' playlists and export them to disk * Share what you're listening to with all your instant messaging friends by advertising the track, artist, and album of the currently playing track in Empathy's status message. This can be disabled. * Download your friends' music; one track at a time or a selection. You can cancel ones in progress, queued, individually or all at once. The sender has the option to cancel all in progress or queued transfers only. Both sender and receiver get a progress bar. File sharing can be disabled. * Stream your friends' music. This feature can be disabled. . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-telepathy_2.4.0-1_all.deb Package: banshee-extension-zeitgeistdataprovider Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 63 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee-extensions-common (= 2.4.0-1), libmono-corlib4.0-cil (>= 2.10.1), libzeitgeist0.8-cil (>= 0.8.0.0) Enhances: banshee Size: 15544 SHA256: c8c890f2634eb7403d9fc518aa02c28eb8528d8f8ac31ad0ce2ed073602cbe78 SHA1: ccf3ce3fb4619c38622996592a191ea09da3e5c1 MD5sum: e8999a7e64539dca419962bcf7a64283 Description: Zeitgeist data provider extension for Banshee This extension provides integration between Zeitgeist and Banshee, allowing your activity in Banshee to be tracked by Zeitgeist and hence displayed in the Activity Journal. . Banshee is a media management and playback application for the GNOME desktop. Homepage: http://gitorious.org/banshee-community-extensions Tag: role::plugin Section: sound Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extension-zeitgeistdataprovider_2.4.0-1_all.deb Package: banshee-extensions-common Source: banshee-community-extensions Version: 2.4.0-1 Installed-Size: 278 Maintainer: Debian CLI Applications Team Architecture: all Depends: banshee (>= 2.4.0) Size: 59510 SHA256: ffc3d35f2bee7174fb12c00d77cfaa18f1912772f8672bd3e3f433fc640b979a SHA1: 24f08be4ae2a212bb4ffb47669e3372a6af5aa9d MD5sum: be5874af6177e73401d31b557fb43047 Description: common files for banshee-community-extensions This package contains the common files for all the extensions in the Banshee Community Extension. You should not install this package directly, but instead install one of other extension packages. Homepage: http://gitorious.org/banshee-community-extensions Section: gnome Priority: optional Filename: pool/main/b/banshee-community-extensions/banshee-extensions-common_2.4.0-1_all.deb Package: banshee-meego Source: banshee Version: 2.4.1-3 Architecture: armhf Maintainer: Debian CLI Applications Team Installed-Size: 172 Depends: banshee (= 2.4.1-3), mono-runtime (>= 2.10.1), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-cairo4.0-cil (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.7) Homepage: http://www.banshee.fm Priority: optional Section: sound Filename: pool/main/b/banshee/banshee-meego_2.4.1-3_armhf.deb Size: 64782 SHA256: 1951dade5f73d528fe009db038ae15d0e592579221b434469d655efca23d1ae2 SHA1: 66f9fd451c723273bbf73189e3c38e600e31b7fa MD5sum: 7ad9bd4e9b2a65154f65ca334db635f0 Description: Media Management and Playback application - MeeGo extension Banshee is a media management and playback application for the GNOME desktop, allowing users to import audio from CDs, search their library, create playlists of selections of their library, sync music to/from iPods and other media devices, play and manage video files and burn selections to a CD. . This package contains Banshee's MeeGo interface, optimised for running on small devices. Package: baobab Version: 3.4.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 2588 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.3.18), libgtop2-7 (>= 2.22.3), libpango1.0-0 (>= 1.14.0), dconf-gsettings-backend | gsettings-backend Suggests: yelp Breaks: gnome-utils (<< 2.30.0-2) Replaces: gnome-utils (<< 2.30.0-2) Homepage: http://live.gnome.org/GnomeUtils Priority: optional Section: gnome Filename: pool/main/b/baobab/baobab_3.4.1-1_armhf.deb Size: 452268 SHA256: 880f25a6c87fc5475012fbdb7586f05b456d67575050182f3e80f61f0207ae80 SHA1: 47292266577d5ebbf4e144787638daf5c20d5733 MD5sum: 6229c3a44124fbc11329f7cdb67d4088 Description: GNOME disk usage analyzer Disk Usage Analyzer is a graphical, menu-driven application to analyse disk usage in a GNOME environment. It can easily scan either the whole filesystem tree, or a specific user-requested directory branch (local or remote). . It also auto-detects in real-time any changes made to your home directory as far as any mounted/unmounted device. Disk Usage Analyzer also provides a full graphical treemap window for each selected folder. Package: bar Version: 1.11.0+debian-4 Architecture: armhf Maintainer: Georges Khaznadar Installed-Size: 104 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0) Homepage: http://clpbar.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/b/bar/bar_1.11.0+debian-4_armhf.deb Size: 41042 SHA256: 63026190f7999430e29c4f74afbc075a02f1cb291fb402c0478dd8bcb2bc99a3 SHA1: 8b224b3a00db4e7693daef87bb9bafbc3db67e03 MD5sum: 476148edd3a7473a9c49d5d5b9769cbd Description: Show information about a data transfer Bar features a Command Line Progress Bar for a data stream. . Bar is a simple tool to process a stream of data and print a display for the user on stderr showing (a) the amount of data passed, (b) the throughput of the data transfer, and, if the total size of the data stream is known, (c) estimated time remaining, percent complete, and a progress bar. . Bar was originally written for the purpose of estimating the amount of time needed to transfer large amounts (many, many gigabytes) of data across a network. (Usually in an SSH/tar pipe.) Package: barcode Version: 0.98+debian-9 Architecture: armhf Maintainer: Matti Pöllä Installed-Size: 214 Depends: libc6 (>= 2.7), libpaper1, install-info Homepage: http://www.gnu.org/software/barcode/ Priority: optional Section: graphics Filename: pool/main/b/barcode/barcode_0.98+debian-9_armhf.deb Size: 73430 SHA256: dc506e319f2206403bc92c8de24dd1dbdd5a4c33ec9981c9d4621091883c6e41 SHA1: 9c1013c2fa9382b4b87a17c08fe3b1d8459c00d5 MD5sum: a0a1f69ee34d92b86a0478b137a56222 Description: Utility and library for barcode generation GNU-barcode can create printouts for the conventional product-tagging standards: UPC-A, UPC-E, EAN-13, EAN-8, ISBN, and several others. Output is generated as either Postscript, Encapsulated Postscript, or PCL. Package: barcode-dbg Source: barcode Version: 0.98+debian-9 Architecture: armhf Maintainer: Matti Pöllä Installed-Size: 62 Depends: barcode (= 0.98+debian-9) Homepage: http://www.gnu.org/software/barcode/ Priority: extra Section: debug Filename: pool/main/b/barcode/barcode-dbg_0.98+debian-9_armhf.deb Size: 14518 SHA256: b514fe31828ec2be4755e99a0305a9a626961602e0dd8f0a92c3b1c5ad44b851 SHA1: 87601bb6683a8bb2c5ec770e16d19469a7f36ff6 MD5sum: e60d014c40168d87229a793ec47c508f Description: Utility and library for barcode generation (debug) GNU-barcode can create printouts for the conventional product-tagging standards: UPC-A, UPC-E, EAN-13, EAN-8, ISBN, and several others. Output is generated as either Postscript, Encapsulated Postscript, or PCL. . This package contains the debugging symbols. Package: bareftp Version: 0.3.9-1 Architecture: armhf Maintainer: Debian CLI Applications Team Installed-Size: 959 Depends: libc6 (>= 2.13-28), mono-runtime (>= 2.10.1), libgconf2.0-cil (>= 2.24.0), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgnome-keyring1.0-cil (>= 1.0.0), libgnome-vfs2.0-cil (>= 2.24.0), libgnome2.24-cil (>= 2.24.0), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system-web4.0-cil (>= 2.10.3), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7) Homepage: http://www.bareftp.org/ Priority: optional Section: gnome Filename: pool/main/b/bareftp/bareftp_0.3.9-1_armhf.deb Size: 219558 SHA256: 92aa1bba3f1db9df834e7083c77adb2dfcb6d425c4f4f33fc0df17a728556ed3 SHA1: 2278b2a9dd7cf8447cb64fd22c7f0c52d0242fe2 MD5sum: a9a0b20c248466e02e752764a1a0674f Description: FTP client for GNOME bareFTP is a simple ftp client for the GNOME desktop. It supports the FTP, FTPS and SSH (SFTP) protocols. It also supports: resume and append, active and passive mode, browse during file transfers, boomarks and drag and drop. Package: barnowl Version: 1.6.2-1.1 Architecture: armhf Maintainer: Sam Hartman Installed-Size: 887 Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libglib2.0-0 (>= 2.14.0), libncursesw5 (>= 5.6+20070908), libperl5.14 (>= 5.14.2), libssl1.0.0 (>= 1.0.0), libtinfo5, libzephyr4, libnet-dns-perl, libauthen-sasl-perl, libgssapi-perl, libio-socket-ssl-perl, libpar-perl, libtext-autoformat-perl, libnet-irc-perl, libclass-accessor-perl Suggests: zephyr-clients Conflicts: barnowl-irc Replaces: barnowl-irc Provides: barnowl-irc Priority: optional Section: net Filename: pool/main/b/barnowl/barnowl_1.6.2-1.1_armhf.deb Size: 495436 SHA256: c641e9c55e6df5c0b840dfbbfc7c973b52ed1bd2d5e02f7f7ea379e92a81a8b1 SHA1: 2274a72072ee54d64ee2f8ce099321b2c19f9f83 MD5sum: 55f474ce3e503edb3de57d9a75794cc4 Description: A curses-based tty Jabber, IRC, AIM and Zephyr client A curses-based instant-messaging client supporting the Jabber, IRC, AIM and Zephyr protocols. Much of the functionality is written in Perl, which is supported as an extension language. . This is a fork of ktools software's owl Zephyr/AIM client. Package: barrage Version: 1.0.3-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1798 Depends: libc6 (>= 2.13-28), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11) Homepage: http://lgames.sourceforge.net/index.php?project=Barrage Priority: optional Section: games Filename: pool/main/b/barrage/barrage_1.0.3-1_armhf.deb Size: 372934 SHA256: 162d51818fefadedc0df7dee0abf905170823155a7e689792be87f4957bca9da SHA1: 1dcdb568ab176e5c2ff4a8b6b3044a09ccc5d164 MD5sum: 200e379fc60c2f21d92e6afeb4b5373d Description: Rather destructive action game Barrage is a rather destructive action game that puts you on a shooting range with the objective to hit as many dummy targets as possible within 3 minutes. You control a gun which may either fire small or large grenades at dummy soldiers, jeeps and tanks. The gameplay is simple but it is not that easy to get high scores. Package: barry-util Source: barry Version: 0.18.3-5 Architecture: armhf Maintainer: Chris Frey Installed-Size: 2838 Depends: libbarry18, udev, python, libboost-serialization1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libusb-0.1-4 (>= 2:0.1.12) Suggests: ppp Homepage: http://netdirect.ca/barry Priority: optional Section: utils Filename: pool/main/b/barry/barry-util_0.18.3-5_armhf.deb Size: 824470 SHA256: 3fdd51a8a8c91731d20efa43d5f33f64945c5532cde75d817da25f35a4f17de2 SHA1: aefadaff0a0335c5f17278e0f5111d1068c74d58 MD5sum: f602603aff97851e0c49929d72e90add Description: Command line utilities for working with the RIM BlackBerry Handheld Barry is a GPL C++ library for interfacing with the RIM BlackBerry Handheld. . This package contains command line utilities, such as bcharge, btool, breset, bio, etc. It also contains udev rules to automate USB charging as soon as devices are plugged in. Package: barry-util-dbg Source: barry Version: 0.18.3-5 Architecture: armhf Maintainer: Chris Frey Installed-Size: 20518 Depends: barry-util (= 0.18.3-5) Homepage: http://netdirect.ca/barry Priority: extra Section: debug Filename: pool/main/b/barry/barry-util-dbg_0.18.3-5_armhf.deb Size: 5627312 SHA256: cb5dc3df68e93968b64b70661daaee6146dea0fe48b625281e57b40cacd8f4d0 SHA1: 1ca9cd6d72c2620ed969a1980f3def2f01475859 MD5sum: 23abca4271aa505e900f880cd890f4ad Description: Command line BlackBerry utilities (debug symbols) Barry is a GPL C++ library for interfacing with the RIM BlackBerry Handheld. . This package contains the debug versions of the command line utilities from the barry-util package. Package: barrybackup-gui Source: barry Version: 0.18.3-5 Architecture: armhf Maintainer: Chris Frey Installed-Size: 335 Depends: libbarry18, libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglademm-2.4-1c2a (>= 2.6.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0), libxml2 (>= 2.6.27) Homepage: http://netdirect.ca/barry Priority: optional Section: utils Filename: pool/main/b/barry/barrybackup-gui_0.18.3-5_armhf.deb Size: 139386 SHA256: 494839e105c6dba708e628c3a9637d66facd1a2c3d4264d324294cbb7f8bab2c SHA1: 9c64c7f0984000c586befa83ae01ab2f203dd731 MD5sum: 897b888957f9a8cdf70cc74a5e81d12a Description: GTK+ GUI for backing up the RIM BlackBerry Handheld Barry is a GPL C++ library for interfacing with the RIM BlackBerry Handheld. . This package contains a GUI application for making backups and restores. It supports configurations to select individual device databases, or to restore only certain databases from out of a backup file. It supports multiple devices plugged in at the same time. Package: barrybackup-gui-dbg Source: barry Version: 0.18.3-5 Architecture: armhf Maintainer: Chris Frey Installed-Size: 2152 Depends: barrybackup-gui (= 0.18.3-5) Homepage: http://netdirect.ca/barry Priority: extra Section: debug Filename: pool/main/b/barry/barrybackup-gui-dbg_0.18.3-5_armhf.deb Size: 826350 SHA256: 4b8cfc7df69a8021adf98cd9d583b750b67ff7202def33f6c3256d59ba55054d SHA1: 38c5944313533f0e2f34588b4b6747a1d303612c MD5sum: e9e753de4fa7441b11f471d3d9c39f57 Description: GTK+ GUI for backing up BlackBerry (debug symbols) Barry is a GPL C++ library for interfacing with the RIM BlackBerry Handheld. . This package contains the debug version of the backup GUI from the barrybackup-gui package. Package: barrydesktop Source: barry Version: 0.18.3-5 Architecture: armhf Maintainer: Chris Frey Installed-Size: 1895 Depends: libbarry18, barry-util, xterm, gconf-service, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libebook-1.2-13 (>= 3.4.3), libecal-1.2-11 (>= 3.4.3), libedataserver-1.2-16 (>= 3.4.3), libgcal0 (>= 0.8.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libical0 (>= 0.31), libsigc++-2.0-0c2a (>= 2.0.2), libsoup2.4-1 (>= 2.4.0), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libxml++2.6-2 (>= 2.34.2), libxml2 (>= 2.6.27) Recommends: barrybackup-gui, ppp Suggests: gksu Homepage: http://netdirect.ca/barry Priority: optional Section: utils Filename: pool/main/b/barry/barrydesktop_0.18.3-5_armhf.deb Size: 1092400 SHA256: bbd64cbc0794ee1612c3dd31d02d50dd90151ebcbaf1f700d7c7c29ec5e7c966 SHA1: 410f3050a003f27b786c381bb3813259c2fd0095 MD5sum: 28eb53ee0c826a5685d44d65bcf66c8d Description: Desktop Panel GUI for the RIM BlackBerry Handheld Barry is a GPL C++ library for interfacing with the RIM BlackBerry Handheld. . This package contains the top level Barry Desktop GUI management application for backups, syncing with OpenSync 0.2x and 0.4x, modem operation, device data migration, and desktop editing of database records via the GUI (Address Book, Calendar, Tasks, Memos). Package: barrydesktop-dbg Source: barry Version: 0.18.3-5 Architecture: armhf Maintainer: Chris Frey Installed-Size: 11870 Depends: barrydesktop (= 0.18.3-5) Homepage: http://netdirect.ca/barry Priority: extra Section: debug Filename: pool/main/b/barry/barrydesktop-dbg_0.18.3-5_armhf.deb Size: 4631856 SHA256: 0491d19952770d3023deb659c95f9c2bdd0e1a01d045733563880484a3dc2fda SHA1: 2f4b1c89c4cf61b7293ff2348b7cc142d41da281 MD5sum: 7c773d06cea81a31f2358b712c3f5b30 Description: Desktop Panel GUI for the RIM BlackBerry Handheld (debug symbols) Barry is a GPL C++ library for interfacing with the RIM BlackBerry Handheld. . This package contains the debug files for the Barry desktop found in the barrydesktop package. Package: base-files Version: 7.1wheezy11+rpi1 Architecture: armhf Essential: yes Maintainer: Santiago Vila Installed-Size: 216 Pre-Depends: awk Breaks: initscripts (<< 2.88dsf-13.3), sendfile (<< 2.1b.20080616-5.2~) Replaces: base, dpkg (<= 1.15.0), miscutils Provides: base Multi-Arch: foreign Priority: required Section: admin Filename: pool/main/b/base-files/base-files_7.1wheezy11+rpi1_armhf.deb Size: 67020 SHA256: 4ba6f0d196c9757d4417b0012e494f0aa6056925b64df4f20a1319cad7c8047f SHA1: 02f3942e9d3dbe3a3c559795f8ae45217e854ed2 MD5sum: f7528f0b04ec19dda0f769d7448931cf Description: Debian base system miscellaneous files This package contains the basic filesystem hierarchy of a Debian system, and several important miscellaneous files, such as /etc/debian_version, /etc/host.conf, /etc/issue, /etc/motd, /etc/profile, and others, and the text of several common licenses in use on Debian systems. Package: base-passwd Version: 3.5.26 Architecture: armhf Essential: yes Maintainer: Colin Watson Installed-Size: 161 Depends: libc6 (>= 2.13-28) Replaces: base Multi-Arch: foreign Priority: required Section: admin Filename: pool/main/b/base-passwd/base-passwd_3.5.26_armhf.deb Size: 45210 SHA256: e66cecdc85494cfe0657a525bd87f594d0f25a6d7139860859192842566228eb SHA1: 1f8fc0ad414b5889aa76fbd7c4b9fc3866dc33b6 MD5sum: 18e0c5eef755210e2196ddfbac945e56 Description: Debian base system master password and group files These are the canonical master copies of the user database files (/etc/passwd and /etc/group), containing the Debian-allocated user and group IDs. The update-passwd tool is provided to keep the system databases synchronized with these master files. Package: basenji Version: 0.9.0-1 Installed-Size: 1067 Maintainer: Debian CLI Applications Team Architecture: all Depends: librsvg2-common (>= 2.26.0), udisks, mono-runtime (>= 2.10.1), libc6 (>= 2.13) | libc6.1 (>= 2.13) | libc0.1 (>= 2.13), libdbus-glib1.0-cil (>= 0.5), libdbus1.0-cil (>= 0.7), libglib2.0-0 (>= 2.30.2), libglib2.0-cil (>= 2.12.10), libgnome-vfs2.0-cil (>= 2.24.0), libgnome2.24-cil (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libgtk2.0-cil (>= 2.12.10), libmono-cairo4.0-cil (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-sharpzip4.84-cil (>= 1.0), libmono-sqlite4.0-cil (>= 2.10.7), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-data4.0-cil (>= 2.10.1), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), libtaglib2.0-cil (>= 2.0.4.0) Size: 411326 SHA256: 4e1f3ecefca422d5effab9f592d42b738e22e6179ef1dab00a089a0164b4da12 SHA1: 068b9a1c6198cd15553e2ffcd8c9008881a3672e MD5sum: fc0fde6966e4e207afb1f2b7beb63ea0 Description: Cross-platform media indexing/search tool Basenji is an indexing and search tool designed for easy and fast indexing of media collections. Once indexed, removable media such as CDs and USB sticks can be browsed and searched for specific files very quickly, without actually being connected to the computer. Besides file hierarchies and audio track listings, Basenji also presents extracted metadata (image dimensions, mp3 tags etc.) and content previews of indexed media in a clean and straightforward user interface. Homepage: http://launchpad.net/basenji Tag: uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/b/basenji/basenji_0.9.0-1_all.deb Package: basex Version: 7.3-1 Installed-Size: 2658 Maintainer: Alexander Holupirek Architecture: all Depends: java-wrappers, default-jre | java6-runtime Suggests: libtagsoup-java Size: 2363842 SHA256: 8e37b978bab336b23e7380e6960df0c0501ef629aa339b7f099fe635933bcdb4 SHA1: 31d555d7c14f52f5ea56db37442dd38727c0b18e MD5sum: c9015ada10cb3940224f284555d3d23b Description: XML database and XPath/XQuery processor BaseX is a very fast and light-weight, yet powerful XML database and XPath/XQuery processor, including support for the latest W3C Full Text and Update Recommendations. It supports large XML instances and offers a highly interactive front-end (basexgui). Apart from two local standalone modes, BaseX offers a client/server architecture. . The package provides following commands: * basex .......... Standalone local XML database. Command line interface. * basexgui ....... Standalone local XML database. Graphical user interface. * basexserver .... BaseX XML Database Server. * basexclient .... BaseX XML Database Client. Homepage: http://basex.org Tag: devel::TODO, implemented-in::java, interface::commandline, interface::x11, role::program, use::analysing, use::searching, use::storing, use::viewing, works-with-format::xml, works-with::db, works-with::file, x11::application Section: database Priority: optional Filename: pool/main/b/basex/basex_7.3-1_all.deb Package: bash Version: 4.2+dfsg-0.1+deb7u4 Architecture: armhf Essential: yes Maintainer: Matthias Klose Installed-Size: 3480 Pre-Depends: dash (>= 0.5.5.1-2.2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libtinfo5 Depends: base-files (>= 2.1.12), debianutils (>= 2.15) Recommends: bash-completion (>= 20060301-0) Suggests: bash-doc Conflicts: bash-completion (<< 20060301-0) Replaces: bash-completion (<< 20060301-0), bash-doc (<= 2.05-1) Multi-Arch: foreign Homepage: http://tiswww.case.edu/php/chet/bash/bashtop.html Priority: required Section: shells Filename: pool/main/b/bash/bash_4.2+dfsg-0.1+deb7u4_armhf.deb Size: 1437906 SHA256: 7493ba134f47e050cccdda7c1785ecf0c9454ea8a916515d24fc8eeaa6098d97 SHA1: 3bb195455d213ab38fa6dc1f2cc61f9c67afc9b4 MD5sum: 9d0d1abb5a37997051dcfbeda79da77e Description: GNU Bourne Again SHell Bash is an sh-compatible command language interpreter that executes commands read from the standard input or from a file. Bash also incorporates useful features from the Korn and C shells (ksh and csh). . Bash is ultimately intended to be a conformant implementation of the IEEE POSIX Shell and Tools specification (IEEE Working Group 1003.2). . The Programmable Completion Code, by Ian Macdonald, is now found in the bash-completion package. Package: bash-builtins Source: bash Version: 4.2+dfsg-0.1+deb7u4 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 451 Depends: bash (= 4.2+dfsg-0.1+deb7u4) Homepage: http://tiswww.case.edu/php/chet/bash/bashtop.html Priority: optional Section: utils Filename: pool/main/b/bash/bash-builtins_4.2+dfsg-0.1+deb7u4_armhf.deb Size: 110538 SHA256: ca0879c6cc9d95c716fc0f2a1d3000a68961d4b5cb57698ad401c2b38d055978 SHA1: b2e9d32524e72dba75a5cd915c1a59b282cf514a MD5sum: 9cdbf97efeb9486cdb5c22145cf10367 Description: Bash loadable builtins - headers & examples Bash can dynamically load new builtin commands. Included are the necessary headers to compile your own builtins and lots of examples. Package: bash-completion Version: 1:2.0-1 Installed-Size: 766 Maintainer: Bash Completion Maintainers Architecture: all Replaces: bash, cryptsetup (<< 2:1.1.2-2), xen-tools (<= 4.1-1) Depends: bash (>= 3.2) Pre-Depends: dpkg (>= 1.15.7.2~) Breaks: xen-tools (<= 4.1-1) Size: 192736 SHA256: b2bfbd9c432de43114dd13e7889635bb87d993b2c1fb1398a36cadbe52d907ee SHA1: c23b4d629664e0330add7dbc5e706b2f2dcdd92a MD5sum: 0733a3423e3af8a589210263ebbdd898 Description: programmable completion for the bash shell bash completion extends bash's standard completion behavior to achieve complex command lines with just a few keystrokes. This project was conceived to produce programmable completion routines for the most common Linux/UNIX commands, reducing the amount of typing sysadmins and programmers need to do on a daily basis. Homepage: http://bash-completion.alioth.debian.org Tag: implemented-in::shell, interface::shell, role::plugin Section: shells Priority: standard Filename: pool/main/b/bash-completion/bash-completion_2.0-1_all.deb Package: bash-doc Source: bash Version: 4.2+dfsg-0.1+deb7u4 Installed-Size: 1397 Maintainer: Matthias Klose Architecture: all Replaces: bash (<< 3.2-1) Depends: dpkg (>= 1.15.4) | install-info Size: 697382 SHA256: d1cc4a39f8188397da8cbf2f05f717dd34c91874aed89605a41e03752045dfe0 SHA1: 07b93257043eeb473afe7f88458c8e652e239e18 MD5sum: 593b8e843f2eaff8376138f04abdeb78 Description: Documentation and examples for the The GNU Bourne Again SHell Bash is an sh-compatible command language interpreter that executes commands read from the standard input or from a file. Bash also incorporates useful features from the Korn and C shells (ksh and csh). . This package contains the distributable documentation, all the examples and the main changelog. Homepage: http://tiswww.case.edu/php/chet/bash/bashtop.html Section: doc Priority: optional Filename: pool/main/b/bash/bash-doc_4.2+dfsg-0.1+deb7u4_all.deb Package: bash-static Source: bash Version: 4.2+dfsg-0.1+deb7u4 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 1483 Depends: passwd (>= 1:4.0.3-10) Suggests: bash-doc Multi-Arch: foreign Homepage: http://tiswww.case.edu/php/chet/bash/bashtop.html Priority: optional Section: shells Filename: pool/main/b/bash/bash-static_4.2+dfsg-0.1+deb7u4_armhf.deb Size: 811320 SHA256: 0568ecb6105bccf1b92e4d6848feda4f606dff4d9dd01e2b64320a5719424b01 SHA1: 15a8e33525a6944610bf95a7e72166a93460202f MD5sum: 7b9e5cf524f4663f3fccfbea2303e8fb Description: GNU Bourne Again SHell (static version) Bash is an sh-compatible command language interpreter that executes commands read from the standard input or from a file. Bash also incorporates useful features from the Korn and C shells (ksh and csh). . Statically linked. Package: bashburn Version: 3.0.1-2~deb7u1 Installed-Size: 330 Maintainer: Andreas Noteng Architecture: all Replaces: mybashburn Depends: bash (>= 3.0), cdrdao, wodim, dvd+rw-tools, cdparanoia, vorbis-tools, flac, eject, normalize-audio, mpg123, sudo, genisoimage Conflicts: mybashburn Size: 127916 SHA256: 52cca78a582d0c7cf90ac35eaf352e0a2fac7d705fda03234c414b8036eb60ff SHA1: 2f5a5ab04025c68de59420f0c619cef79afc8e17 MD5sum: 40993a7e699dea0628473a240b9b5586 Description: simplify cd/dvd burning at the command line Bashburn is a tool written in Bash to simplify dvd/cd burning and ripping at the command line, BashBurn can also manipulate ISO images. BashBurn handles burning of multiple image formats, including ISO, bin/cue and nrg. Homepage: http://bashburn.dose.se/index.php Tag: implemented-in::shell, interface::commandline, role::program, use::storing, works-with-format::iso9660 Section: utils Priority: extra Filename: pool/main/b/bashburn/bashburn_3.0.1-2~deb7u1_all.deb Package: bashdb Version: 4.2.0.8-1.1 Installed-Size: 988 Maintainer: Oleksandr Moskalenko Architecture: all Depends: bash (>= 4.0), python, dpkg (>= 1.15.4) | install-info Size: 269586 SHA256: 9cb9f6afdeb0a13de5ed00812e70730da774c97c75c9b1fc2775bfbe03b419a3 SHA1: 3e84bcb7c59966778e0649d12750d37010860973 MD5sum: 3fb5809d0ea6f3c45b8a05979450a136 Description: BASH debugger This is a complete rewrite of the Korn Shell debugger from Bill Rosenblatt's `Learning the Korn Shell', published by O'Reilly and Associates (ISBN 1-56592-054-6) with changes by Michael Loukides and Cigy Cyriac. However, this code now depends on a number of debugging support features that are neither part of the POSIX standard nor present are in many POSIX-like shells. Although you can just use bashdb to debug scripts written in BASH, it can also be used just as a front-end for learning more about programming in BASH. As an additional aid, the debugger can be used within the context of an existing script with its functions and variables that have already been initialized; fragments of the existing code can be experimented with by changing them inside the debugger. Tag: devel::debugger, role::program, suite::gnu Section: devel Priority: optional Filename: pool/main/b/bashdb/bashdb_4.2.0.8-1.1_all.deb Package: basic256 Version: 0.9.6.69a-1 Architecture: armhf Maintainer: Ryan Kavanagh Installed-Size: 966 Depends: libc6 (>= 2.13-28), libespeak1 (>= 1.30), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0) Homepage: http://www.basic256.org/ Priority: optional Section: devel Filename: pool/main/b/basic256/basic256_0.9.6.69a-1_armhf.deb Size: 468864 SHA256: b52c88fc0f4ddd0df904b65c2869d7b4d5a340d886b74b80a67fd6a395f8a93a SHA1: 7b81ed6798946c43df8ec88a7bd058440a53ed6f MD5sum: ebb33c5397ba167fa455876147d77dc9 Description: educational BASIC programming environment for children BASIC-256 is an easy to use version of BASIC designed to teach young children the basics of computer programming. It uses traditional control structures like gosub, for/next, and goto, which helps kids easily see how program flow-control works. It has a built-in graphics mode which lets them draw pictures on screen in minutes, and a set of detailed, easy-to-follow tutorials that introduce programming concepts through fun exercises. Package: basket Version: 1.81-3 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1436 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgpg-error0 (>= 1.10), libgpgme11 (>= 1.2.0), libkcmutils4 (>= 4:4.4.95), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.4.0), libkemoticons4 (>= 4:4.4.95), libkfile4 (>= 4:4.3.4), libkidletime4 (>= 4:4.4.95), libkio5 (>= 4:4.3.4), libkparts4 (>= 4:4.3.4), libkprintutils4 (>= 4:4.4.95), libkutils4, libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libphonon4 (>= 4:4.2.0), libqimageblitz4 (>= 1:0.0.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0), libx11-6, libxau6, libxdmcp6, phonon, basket-data (>= 1.81-3) Homepage: http://basket.kde.org/ Priority: optional Section: kde Filename: pool/main/b/basket/basket_1.81-3_armhf.deb Size: 502094 SHA256: cd5a274b3edda59e287eeabe798a191ec7f1e52fa5036846a0394acb58b1eb53 SHA1: eee10282d1a4bbd7003f2557ff9b2dc9197cc929 MD5sum: 9a22ee2f09fd54be627c6e8ff41f41d1 Description: multi-purpose note-taking application for KDE This application provides as many baskets (drawers) as you wish; Several kinds of objects (texts, URLs, images,...) can be drag-n-drop'd into it. . Objects can be edited, copied, dragged... So, they can be arranged according to users' taste. Moreover, Basket allows you to keep all objects you want in one place, keep data on hand, take notes... Package: basket-data Source: basket Version: 1.81-3 Installed-Size: 4828 Maintainer: Debian KDE Extras Team Architecture: all Replaces: basket (<< 1.81-3~) Breaks: basket (<< 1.81-3~) Size: 4144432 SHA256: f62d39674043ee300a66060836448bfff6a1c6e8b9696c4398982dfd999b2bd9 SHA1: 841ac4918c4b35467dec609519ddd5e569a76ca1 MD5sum: b503b874a4d81b36a207b704af21e6d8 Description: data files for BasKet Notepads This application provides as many baskets (drawers) as you wish; Several kinds of objects (texts, URLs, images,...) can be drag-n-drop'd into it. . Objects can be edited, copied, dragged... So, they can be arranged according to users' taste. Moreover, Basket allows you to keep all objects you want in one place, keep data on hand, take notes... . This package contains arch independent data for Basket. Homepage: http://basket.kde.org/ Section: kde Priority: optional Filename: pool/main/b/basket/basket-data_1.81-3_all.deb Package: bastet Version: 0.43-2.1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 239 Depends: libboost-program-options1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.4.0), libtinfo5, debconf (>= 0.5) | debconf-2.0 Homepage: http://fph.altervista.org/prog/bastet.shtml Priority: optional Section: games Filename: pool/main/b/bastet/bastet_0.43-2.1_armhf.deb Size: 79798 SHA256: e22a4a6fdf5f190fbe5cca8d3fc3f518076f087483ccbd5469d9683284a8affd SHA1: f15e06bc22e9d4bcbec35d7980877f8c42695a99 MD5sum: 18c29b80440ebc035ca0532720249705 Description: ncurses Tetris clone with a bastard algorithm Bastet ("bastard Tetris") is a free clone of Tetris which tries to compute how useful blocks are and gives you the worst possible brick. Playing bastet can be a painful experience, especially if you usually make "canyons" and wait for the long I-shaped block. Package: batctl Version: 2012.1.0-1 Architecture: armhf Maintainer: Simon Wunderlich Installed-Size: 121 Depends: libc6 (>= 2.13-28) Homepage: http://www.open-mesh.org/ Priority: extra Section: net Filename: pool/main/b/batctl/batctl_2012.1.0-1_armhf.deb Size: 48758 SHA256: 73bb1209b1a27427dc2d9bd260dd9f9d81e6f9ec45a32a06b89ab5227d668747 SHA1: f796c67f758e52e373ab2959610b4f8b17f5caf2 MD5sum: 76fbffee613224e7b80e31dc9fdf79a7 Description: B.A.T.M.A.N. advanced control and management tool This package contains batctl, which serves as configuration utility, monitoring and debugging application. It allows one to modify the module parameters, reading the logfiles and tables, decapsulate embedded packets on the fly, traceroute to and ping mac addresses, generate sequence number graphs for the Layer 2 Mesh B.A.T.M.A.N. . B.A.T.M.A.N. (better approach to mobile ad-hoc networking) is a new routing protocol for multi-hop ad-hoc mesh networks. B.A.T.M.A.N.-advanced is the implementation of this protocol on ISO/OSI Layer 2, allowing mesh networks to be used as a virtual switch. With this approach, LANs and WANs can be easily integrated, and more protocols (like DHCP, IPv6) are supported. Package: batctl-dbg Source: batctl Version: 2012.1.0-1 Architecture: armhf Maintainer: Simon Wunderlich Installed-Size: 117 Depends: batctl (= 2012.1.0-1) Homepage: http://www.open-mesh.org/ Priority: extra Section: debug Filename: pool/main/b/batctl/batctl-dbg_2012.1.0-1_armhf.deb Size: 69048 SHA256: 2c60b897d4e1d803bee9fb19825ef537f3aec54c491dfd451eb057f0ce77a308 SHA1: e472c2823232a6a3b0e2a33d685816b9e7df3aef MD5sum: c51274f458225824a0106886c1132359 Description: B.A.T.M.A.N. advanced control and management tool (debug files) batctl serves as configuration utility, monitoring and debugging application. It allows one to modify the module parameters, reading the logfiles and tables, decapsulate embedded packets on the fly, traceroute to and ping mac addresses, generate sequence number graphs for the Layer 2 Mesh B.A.T.M.A.N. . This package contains the debug files. Package: batmand Version: 0.3.2-12 Architecture: armhf Maintainer: Holger Levsen Installed-Size: 170 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.open-mesh.org/ Priority: extra Section: net Filename: pool/main/b/batmand/batmand_0.3.2-12_armhf.deb Size: 63424 SHA256: f2ea234c82305125af865e5af306f20ea99ab22f0f9d65345c64987b7f64465b SHA1: c6cb080f4c15d4ef569823f5aebf57534d1254a2 MD5sum: a4ae924d79453bf85776351bf45f15a0 Description: better approach to mobile adhoc networking B.A.T.M.A.N. (better approach to mobile ad-hoc networking) is a new routing protocol for multi-hop ad-hoc mesh networks. . The approach of the B.A.T.M.A.N algorithm is to divide the knowledge about the best end-to-end paths between nodes in the mesh to all participating nodes. Each node perceives and maintains only the information about the best next hop towards all other nodes. Thereby the need for a global knowledge about local topology changes becomes unnecessary. Additionally, an event-based but timeless flooding mechanism prevents the accruement of contradicting topology information and limits the amount of topology messages flooding the mesh. The algorithm is designed to deal with networks that are based on unreliable links. Package: batmand-dbg Source: batmand Version: 0.3.2-12 Architecture: armhf Maintainer: Holger Levsen Installed-Size: 134 Depends: batmand (= 0.3.2-12) Homepage: http://www.open-mesh.org/ Priority: extra Section: debug Filename: pool/main/b/batmand/batmand-dbg_0.3.2-12_armhf.deb Size: 81990 SHA256: 4049375795bd493b561b8568547d842664a81bf97680183a669102547f8ec62b SHA1: 1bb672cd479cb0d59486e9df98b50c4a6587e800 MD5sum: 9d457d0e3880d9f7b246864c5e818bfa Description: better approach to mobile adhoc networking (debug files) B.A.T.M.A.N. (better approach to mobile ad-hoc networking) is a new routing protocol for multi-hop ad-hoc mesh networks. . This package contains the debug files. Package: batmon.app Version: 0.6-1 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 162 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), gnustep-fslayout-fhs Homepage: http://www.nongnu.org/gap/batmon/ Priority: optional Section: gnustep Filename: pool/main/b/batmon.app/batmon.app_0.6-1_armhf.deb Size: 41652 SHA256: 4610c2445a51bdd3b2974cb492d1df4e8d4f249b8a903203a940f0ba9f57bd40 SHA1: 2ce2aebfc0ef850df5ac8bcc6a622158bb8d48df MD5sum: bbcd3b54b63cd5368e0a3181cf7ec655 Description: Battery monitor for GNUstep Battery Monitor is a battery monitor for laptops. It displays the current status of the battery (charge/discharge and energy level) as well as some information about the general health of the cell. Package: battleball Version: 2.0-17 Architecture: armhf Maintainer: Chris Waters Installed-Size: 241 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libx11-6 Priority: optional Section: games Filename: pool/main/b/battleball/battleball_2.0-17_armhf.deb Size: 96738 SHA256: 4dcfd7c5d52ce31e16dced8aa8d7ef5e2994a593511b8405d9aba493e6c87b11 SHA1: d0aade48371f4f1c5e35e5cf82fd54ff2eecda6e MD5sum: cb35d8dfa25ec1a25449f21f3e0e213f Description: soccer game played with tanks or helicopters BattleBall is essentially the game of soccer, played with military vehicles rather than with people. Each player drives a tank or flies a helicopter, and tries to move the ball down the playfield to the other team's goal. Relatively unlimited number of human or computer players can compete in teams or head-to-head. Package: batv-filter Source: batv-milter Version: 0.4.0.dfsg-2 Architecture: armhf Maintainer: Richard A Nelson (Rick) Installed-Size: 90 Depends: libc6 (>= 2.13-28), libmilter1.0.1, libssl1.0.0 (>= 1.0.0), adduser Enhances: postfix, sendmail Priority: extra Section: mail Filename: pool/main/b/batv-milter/batv-filter_0.4.0.dfsg-2_armhf.deb Size: 26596 SHA256: 164506a8de8f8512ecfee10ec54badabc6e24a6b7a543c0e959f6de9a638a3e3 SHA1: f2e7248e62506d07679ae63240651ec19c9d9390 MD5sum: 517f93940eb3507ba121a36f2a6f663a Description: Mail Filter (milter) for BATV signing/verification Bounce Address Tag Validation (BATV) is the name of a method, defined in Proposed Internet Draft, for determining whether the bounce address specified in an E-mail message is valid. . It is designed to reject backscatter, that is, bounce messages to forged return addresses. . The batv-filter implements both BATV signing and verification. Package: bauble Version: 0.9.7-2 Installed-Size: 3404 Maintainer: Giacomo Catenazzi Architecture: all Depends: xdg-utils, python-egenix-mxdatetime, python-sqlalchemy (>= 0.6), python-lxml (>= 2.0), python-pyparsing, python-gtk2 (>= 2.12), librsvg2-common, python-glade2, python-gdata (>= 1.1.1), python-mako (>= 0.2.2), python-pysqlite2 (>= 2.3.2) | python-mysqldb | python-psycopg2, python (>= 2.5), python-support (>= 0.90.0) Recommends: python-mysqldb | python-psycopg2 Size: 780988 SHA256: d0d3de5840948d355723981ce4c63ea943e108b968d83979582d563d11771192 SHA1: 2d36203c323d71ccc045bb7fc9b1d81e2abf1a57 MD5sum: 68d449cf38f3b387d5d44a3e58f3acbd Description: biodiversity collection manager software application Bauble is a software application to help you manage a collection of botanical specimens. It is intended to be used by botanic gardens, herbaria, arboreta, etc. to manage their collection information. It is a open, free, cross-platform alternative to BG-Base and similar software. Homepage: http://bauble.belizebotanic.org/ Tag: field::biology, implemented-in::python, interface::x11, role::program, uitoolkit::gtk, use::organizing, x11::application Section: science Priority: extra Filename: pool/main/b/bauble/bauble_0.9.7-2_all.deb Package: baycomusb Version: 0.10-12.1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 178 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libxml2 (>= 2.7.4) Priority: optional Section: hamradio Filename: pool/main/b/baycomusb/baycomusb_0.10-12.1_armhf.deb Size: 72812 SHA256: e27544675aa15b2634993c5c88c6463620f102a4d83ba156798035caf0e43bed SHA1: b451ee872bf7812fd8880076439d63a34c2f0c9e MD5sum: 842fdf7f14b11f83d145e51b32549fb4 Description: Drivers for the HB9JNX packet radio usb modem This package provides drivers for the baycom usb packet radio modem designed by HB9JNX, see http://www.baycom.org/bayweb/tech/usb/usbindex.htm Package: bb Version: 1.3rc1-8.1 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 1780 Depends: libaa1 (>= 1.4p5), libc6 (>= 2.4), libmikmod2 (>= 3.1.10), oss-compat Homepage: http://aa-project.sourceforge.net/bb/ Priority: optional Section: games Filename: pool/main/b/bb/bb_1.3rc1-8.1_armhf.deb Size: 1204608 SHA256: efb3368141b5574b41c7bbeb7c10fcebbd5415f201838efd14bb4f8feee2a826 SHA1: fa0cc82b3966e2ee91f3ecc7e3dde06fa0c6779d MD5sum: b7ffc6586c328a5e2146c5abba3cc907 Description: ASCII-art demo based on AAlib This package contains a 'high quality audio-visual demonstration' of ASCII-art using AAlib, a portable ASCII-art graphics library. This demonstration can be displayed in a text- or X11-terminal. Package: bbdb Version: 2.36-3 Installed-Size: 1677 Maintainer: Joerg Jaspert Architecture: all Depends: make, emacs23 | emacsen, dpkg (>= 1.15.4) | install-info, perl Suggests: vm, w3m-el, gnuserv, gnus | t-gnus Size: 812414 SHA256: 7b57a0d2bf75e93de7702e9cbed57d034515f08e48b7cd4d24468b9ddae8dfdd SHA1: c330c0952bc62ade6a44d2b88a59afb5a3676447 MD5sum: 7295bc62a61415310696dd9abcc9a21b Description: The Insidious Big Brother Database (email rolodex) for Emacs BBDB is a rolodex-like database program for GNU Emacs. BBDB stands for Insidious Big Brother Database, and is not, repeat, *not* an obscure reference to the Buck Rogers TV series. Homepage: http://bbdb.sourceforge.net/ Tag: implemented-in::lisp, role::plugin, suite::emacs, use::editing, use::searching, use::storing, works-with::db, works-with::mail, works-with::pim Section: mail Priority: optional Filename: pool/main/b/bbdb/bbdb_2.36-3_all.deb Package: bbe Version: 0.2.2-1 Architecture: armhf Maintainer: Aurélien GÉRÔME Installed-Size: 104 Depends: libc6 (>= 2.4) Homepage: http://sourceforge.net/projects/bbe-/ Priority: optional Section: editors Filename: pool/main/b/bbe/bbe_0.2.2-1_armhf.deb Size: 33442 SHA256: 6cd513661c8b9b7d406b71c342be68f8e2d5af906345d31fbc008e5186dd6a29 SHA1: b628c6f9a16ccd1c6f64d44a37a684c53bd6ed18 MD5sum: f383c6464ff7f61dd934567e07541ba4 Description: sed-like editor for binary files Editor like sed, but for binary files. bbe performs basic byte operations on blocks of input stream. bbe is a command line tool developed for Unix systems. Package: bbmail Version: 0.8.3-6 Architecture: armhf Maintainer: Kevin Coyner Installed-Size: 157 Depends: blackbox (>> 0.70.0) | fluxbox (>> 0.9.12), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.3.0), libx11-6 Suggests: menu (>= 2.1) Priority: optional Section: mail Filename: pool/main/b/bbmail/bbmail_0.8.3-6_armhf.deb Size: 55944 SHA256: 6e4d4322ec1f1a9fdbf1c45bf1d9bf589c492dec0a05710d70ef2a20ecb0e41b SHA1: 4ec702f9bb407c4585b86116133aec8fd1f347e9 MD5sum: 9cdc795a22f8fc7902e8eae35b21603e Description: Mail notifier for Blackbox/Fluxbox bbmail is a small mail notifier (displays unread and read mail) that can be used in the Blackbox or Fluxbox window managers. It similar to other notifiers such as xbiff but with some additional features: * All the colors an gradients can be changed. * Support for multiple mail boxes and provides a menu showing all of them (and their unread/total mail count) * Support for counting mail through external programs, it can be used to notify on POP or IMAP checkboxes with fetchpop/fetchmail * Possibility to 'copy' Blackbox toolbar style * Ability to start command when new mail arrives (eg. to play sound) . Homepage: bbtools.sourceforge.net/ Package: bbpager Version: 0.4.7-3 Architecture: armhf Maintainer: Kevin Coyner Installed-Size: 134 Depends: libbt0 (>= 0.70.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.6), libx11-6, libxext6 Suggests: blackbox (>= 0.70) | openbox | fluxbox Homepage: http://bbtools.sourceforge.net Priority: optional Section: x11 Filename: pool/main/b/bbpager/bbpager_0.4.7-3_armhf.deb Size: 43830 SHA256: 5b782fc05edd8d995e19200be71ecb1806f5a5ef8ea025adfdd18a454ae398d5 SHA1: 9c219225b8d2af09a8e704b464b9d46e75f4350a MD5sum: 113cf22bc51501861e6212fb86c599c4 Description: Pager for the blackbox and fluxbox window managers A pager tool for the Blackbox window manager or one of its derivatives. It supports the usual Blackbox styles, moving windows between desktops, and optional placement in the Blackbox slit. It is also possible to define how the desktops are displayed by defining the number of rows or columns to use. Package: bbrun Version: 1.6-6 Architecture: armhf Maintainer: Kevin Coyner Installed-Size: 77 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxext6, libxpm4 Suggests: blackbox (>= 0.60.1) | fluxbox Homepage: http://www.darkops.net/bbrun/ Priority: optional Section: x11 Filename: pool/main/b/bbrun/bbrun_1.6-6_armhf.deb Size: 18430 SHA256: 1329370a1fcd50abd64dd988cc264dfdc57c2ab4bad31e96050be4555c74275d SHA1: 7c20d34d1bb9c3a4f2d85516e9ea4b8a6cbde016 MD5sum: 0986ae07b008c5f6a2e14cbe5c728493 Description: A tool for the blackbox/fluxbox window managers that runs commands bbrun is a small but very useful application. It makes it convenient to quickly execute commands from the blackbox window manager. bbrun is very similar to KDE's Alt+F2 Run Command dialog box. When launched, it occupies a small portion of the desktop and docks itself to the right side of the screen. The run dialog is opened by clicking a tiny bbrun icon. . You don't necessarily need blackbox for this program to work. It looks just as good in fluxbox, but it won't look the same in other window managers. Package: bbtime Version: 0.1.5-12 Architecture: armhf Maintainer: Kevin Coyner Installed-Size: 118 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.3.0), libx11-6 Suggests: blackbox | fluxbox Priority: optional Section: x11 Filename: pool/main/b/bbtime/bbtime_0.1.5-12_armhf.deb Size: 38276 SHA256: e1bf32949448db8fc96e5ed1b1c77075eb67a127e8ef06810d53569c1e50a9ec SHA1: 345e68afee344dfddd31c369e5f314705716e73b MD5sum: 156bea066f0bb24b2f542424dda01cd0 Description: Time tool for the blackbox/fluxbox window managers bbtime is a blackbox tool to display the system time in your blackbox slit. It can also display other times as an offset of your local time in a menu. . Note that you don't actually need blackbox or fluxbox for this program to work, but it won't look as good in other window managers. . Homepage: http://bbtools.sourceforge.net/ Package: bc Version: 1.06.95-2 Architecture: armhf Maintainer: John G. Hasler Installed-Size: 251 Depends: libc6 (>= 2.4), libreadline6 (>= 6.0), dpkg (>= 1.15.4) | install-info Priority: standard Section: math Filename: pool/main/b/bc/bc_1.06.95-2_armhf.deb Size: 105660 SHA256: c877a0190c27df9d88659d5bff5ba4a396e1e88e550998bf75cc8c6404b9092b SHA1: c5d5d92636ca08634aa1fe734f2c54e16ac9d79d MD5sum: 086724c51a4e3323bee1a398f19834be Description: The GNU bc arbitrary precision calculator language GNU bc is an interactive algebraic language with arbitrary precision which follows the POSIX 1003.2 draft standard, with several extensions including multi-character variable names, an `else' statement and full Boolean expressions. GNU bc does not require the separate GNU dc program. Home page: http://directory.fsf.org/GNU/bc.html Package: bcc Source: linux86 Version: 0.16.17-3.1 Architecture: armhf Maintainer: Juan Cespedes Installed-Size: 239 Depends: libc6 (>= 2.7), bin86 (= 0.16.17-3.1) Recommends: elks-libc (= 0.16.17-3.1) Conflicts: linux86 Replaces: linux86 Provides: c-compiler Priority: optional Section: devel Filename: pool/main/l/linux86/bcc_0.16.17-3.1_armhf.deb Size: 115354 SHA256: 71adb3c4862e1e8bbc31a3c505199b0618171f41d64ef5b22cb7c5de2a213bc5 SHA1: 72cb1c1a65d4635ec1456485b9cb9907343591a8 MD5sum: 8e7790adf5eba9085ef01f0adc90c4e5 Description: 16-bit x86 C compiler This is a C-compiler for 8086 cpus which is important for the development of boot loaders or BIOS related 8086 code. . It is possible to run 8086 code under i386 Linux using an emulator, `elksemu', also included in this package. Package: bcfg2 Version: 1.2.2-2 Installed-Size: 432 Maintainer: Arto Jantunen Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), debsums, python-apt (>= 0.7.91), python-lxml (>= 0.9), ucf, lsb-base (>= 3.1-9) Size: 108052 SHA256: f60e3457d01ff4745d1b244c60fbfd5d2cc0cc24875295f51dbae5083b4c46c2 SHA1: d953d96fab5d7613be84d70f3066cda035306d0a MD5sum: e3455a9be55a3cd5a84ec1f26fea38bd Description: Configuration management client Bcfg2 is a configuration management system that generates configuration sets for clients bound by client profiles. bcfg2 is the client portion of bcfg2 system which installs configuration images provided by bcfg2-server Homepage: http://bcfg2.org/ Tag: admin::automation, admin::configuring, admin::package-management, admin::user-management, implemented-in::python, interface::commandline, network::client, role::program Section: admin Priority: optional Filename: pool/main/b/bcfg2/bcfg2_1.2.2-2_all.deb Package: bcfg2-server Source: bcfg2 Version: 1.2.2-2 Installed-Size: 1066 Maintainer: Arto Jantunen Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-lxml (>= 0.9), libxml2-utils (>= 2.6.23), lsb-base (>= 3.1-9), ucf, bcfg2 (= 1.2.2-2), openssl, gamin, python-gamin Recommends: graphviz, patch Suggests: python-cheetah, python-genshi (>= 0.4.4), python-profiler, python-sqlalchemy (>= 0.5.0), python-django, mail-transport-agent Size: 232626 SHA256: b4eac46ea093865a9639394360d56e5c3495eb1408fa1d17e2d1b99cde5aa028 SHA1: 9c024f4ebbd73f642183d4fb7be4422b9e58f687 MD5sum: 3503f68e31268ddcc29947282bc7eb5b Description: Configuration management server Bcfg2 is a configuration management system that generates configuration sets for clients bound by client profiles. bcfg2-server is the server for bcfg2 clients, which generates configuration sets and stores statistics of client system states. Homepage: http://bcfg2.org/ Tag: admin::automation, admin::configuring, admin::file-distribution, admin::install, admin::package-management, admin::user-management, implemented-in::python, interface::commandline, interface::daemon, network::server, role::program, use::analysing, use::checking, use::comparing, use::configuring, works-with::file, works-with::software:package, works-with::software:running Section: admin Priority: optional Filename: pool/main/b/bcfg2/bcfg2-server_1.2.2-2_all.deb Package: bcfg2-web Source: bcfg2 Version: 1.2.2-2 Installed-Size: 102 Maintainer: Arto Jantunen Architecture: all Depends: bcfg2-server (= 1.2.2-2), python-django Recommends: libapache2-mod-wsgi Suggests: python-mysqldb, python-psycopg2, python-sqlite Size: 58300 SHA256: f4f87904abecd95d88f44d0ecf09e04d10f7ecc43c8c87a3d012ccd4173d61f3 SHA1: 19d87495a270e351154e9b60346d2c283125d9d4 MD5sum: f0b642641c7ff251589d57c3897fdb87 Description: Configuration management web interface Bcfg2 is a configuration management system that generates configuration sets for clients bound by client profiles. bcfg2-web is the reporting server for bcfg2. Homepage: http://bcfg2.org/ Section: admin Priority: optional Filename: pool/main/b/bcfg2/bcfg2-web_1.2.2-2_all.deb Package: bchunk Version: 1.2.0-12+deb7u1 Architecture: armhf Maintainer: Praveen Arimbrathodiyil Installed-Size: 59 Depends: libc6 (>= 2.13-28) Homepage: http://he.fi/bchunk/ Priority: optional Section: otherosfs Filename: pool/main/b/bchunk/bchunk_1.2.0-12+deb7u1_armhf.deb Size: 15130 SHA256: 0db64a2e3634703a2a95c979b51269a4342744ab6a65f063cce98ff86f6ca72c SHA1: cfe03da2457ffb4b0909126d2e1b934e61631414 MD5sum: 60b857b297615a3d5f0cdd336b3af239 Description: CD image format conversion from bin/cue to iso/cdr The bchunk package contains a UNIX/C rewrite of the BinChunker program. BinChunker converts a CD image in a .bin/.cue format (sometimes .raw/.cue) into a set of .iso and .cdr/.wav tracks. The .bin/.cue format is used by some non-UNIX CD-writing software, but is not supported on most other CD-writing programs. Package: bcpp Version: 0.0.20050725-2 Architecture: armhf Maintainer: Miriam Ruiz Installed-Size: 111 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Priority: optional Section: devel Filename: pool/main/b/bcpp/bcpp_0.0.20050725-2_armhf.deb Size: 42350 SHA256: a39a01f65e69cac240f4b48b750967685106f2d120c0858ffbdd858dcaf64aed SHA1: b425f32bb8afbc321552ceafb42fc6708511af9c MD5sum: ea11fafb922fc15cc806d820b485a11d Description: C(++) beautifier bcpp indents C/C++ source programs, replacing tabs with spaces or the reverse. Unlike indent, it does (by design) not attempt to wrap long statements. . This version improves the parsing algorithm by marking the state of all characters, recognizes a wider range of indention structures, and implements a simple algorithm for indenting embedded SQL. Package: bcrelay Source: pptpd Version: 1.3.4-5.2 Architecture: armhf Maintainer: Rene Mayrhofer Installed-Size: 58 Depends: libc6 (>= 2.13-28) Replaces: pptpd (<< 1.2.3-1) Priority: optional Section: net Filename: pool/main/p/pptpd/bcrelay_1.3.4-5.2_armhf.deb Size: 22824 SHA256: 521118a864698f9c60cf423f02aabd128e4f0cc9825b98cd9f89da2530d2fb83 SHA1: f13b46b136e5d97edda65fe235cdedc8e25c9f7d MD5sum: d8e6b5758b04c0ded8a4e38bd5e01866 Description: Broadcast relay daemon The bcrelay daemon relays broadcasts between two interfaces. It is shipped with the pptpd package, but can be used for other purposes. Package: bcron Version: 0.09-13 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 198 Depends: libbg1, libc6 (>= 2.13-28) Recommends: bcron-run, runit, ucspi-unix, default-mta | mail-transport-agent Priority: optional Section: admin Filename: pool/main/b/bcron/bcron_0.09-13_armhf.deb Size: 55534 SHA256: b8b158f6c6a255c76613f89b7fa08f0e3a9b18ce0aa8e865cf50ea3ac74343e9 SHA1: 65519c81e5797fb7b673f3c6da38f65ea754480b MD5sum: 5675736fa7962d55d645f1a4e21573e2 Description: Bruce's cron system (programs) This is bcron, a new cron system designed with secure operations in mind. To do this, the system is divided into several separate programs, each responsible for a separate task, with strictly controlled communications between them. The user interface is a drop-in replacement for similar systems (such as vixie-cron), but the internals differ greatly. . This package contains the bcron programs. Package: bcron-run Source: bcron Version: 0.09-13 Installed-Size: 131 Maintainer: Gerrit Pape Architecture: all Replaces: cron Provides: cron Depends: bcron, runit (>= 1.8.0-2), ucspi-unix, default-mta | mail-transport-agent, adduser Suggests: runit-run, anacron Conflicts: cron Size: 8930 SHA256: f7700963d863fdaa08f30360b6ceaa1ec303e9fb10185beef4e29bce98d1c3ce SHA1: f3b7353f6e83f25e2a0015d8bf01793ea1decfec MD5sum: 2dea60dad96f5c0d3843bab5000527d6 Description: Bruce's cron system This is bcron, a new cron system designed with secure operations in mind. To do this, the system is divided into several separate programs, each responsible for a separate task, with strictly controlled communications between them. The user interface is a drop-in replacement for similar systems (such as vixie-cron), but the internals differ greatly. . This package sets up the bcron services, and replaces the default Debian cron package. Tag: admin::automation, interface::daemon, role::program Section: admin Priority: optional Filename: pool/main/b/bcron/bcron-run_0.09-13_all.deb Package: bcrypt Version: 1.1-6 Architecture: armhf Maintainer: Kevin Coyner Installed-Size: 59 Depends: libc6 (>= 2.4), zlib1g (>= 1:1.1.4) Homepage: http://bcrypt.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/b/bcrypt/bcrypt_1.1-6_armhf.deb Size: 18022 SHA256: 3c2df779df0777e88d1b9eaa6c32fff0691a6664580aa701f4e0e8a64b2b4e16 SHA1: 921315476ddf84e59b2de911b959f5facc301718 MD5sum: e982881cb279b0976a4b08f232ca7614 Description: Cross platform file encryption utility using blowfish Bcrypt is a cross platform file encryption utility. Encrypted files are portable across all supported operating systems and processors. In addition to encrypting your data, bcrypt will by default overwrite the original input file with random garbage three times before deleting it in order to thwart data recovery attempts by persons who may gain access to your computer. Bcrypt uses the blowfish encryption algorithm published by Bruce Schneier in 1993. Package: bdf2psf Source: console-setup Version: 1.88 Installed-Size: 217 Maintainer: Debian Install System Team Architecture: all Depends: perl Size: 57692 SHA256: 2283367003fdce801f2eb6a3ee41e3d3b5c017082e15c1d9e9226d21864bb94e SHA1: 04b82261e34aecca7bdc8aa2c91d3e40311062cd MD5sum: e78c598d1456e65352de5a41e427e40d Description: font converter to generate console fonts from BDF source fonts This package provides a command-line converter that can be used in scripts to build console fonts from BDF sources automatically. The converter comes with a collection of font encodings that cover many of the world's languages. The output font can use a different character encoding from the input. When the source font does not define a glyph for a particular symbol in the encoding table, that glyph position in the console font is not wasted but used for another symbol. . When deciding about the position in the font for a particular glyph, the converter takes into account that in text video modes the video adapter copies the eighth column of the glyph matrix of symbols positioned in the pseudographic area to the ninth column. In order to create fonts for text video modes, the width of the glyph matrix of the source BDF font should be seven, eight, or nine pixels; otherwise the converter creates fonts suitable for framebuffer only. Tag: interface::commandline, role::program, use::converting, works-with::font Section: utils Priority: optional Filename: pool/main/c/console-setup/bdf2psf_1.88_all.deb Package: bdfresize Version: 1.5-6 Architecture: armhf Maintainer: Tatsuya Kinoshita Installed-Size: 55 Depends: libc6 (>= 2.13-28) Homepage: http://openlab.jp/efont/ Priority: optional Section: x11 Filename: pool/main/b/bdfresize/bdfresize_1.5-6_armhf.deb Size: 12438 SHA256: 57bd19a9b8f35d6ea7fbdfde4eba06a43267381e5bf002064f3c1ecbcb98873d SHA1: 7e32289b7ece1b05f370ebe70ecd40e256062680 MD5sum: c91c433f7dd29386485093a31981ff2d Description: tool for resizing BDF format font Bdfresize is a command to magnify or reduce fonts which are described with the standard BDF format. Package: bdii Version: 5.2.5-2 Installed-Size: 220 Maintainer: Mattias Ellert Architecture: all Replaces: bdii4 Depends: slapd, ldap-utils, glue-schema, whois, python Suggests: logrotate Size: 16990 SHA256: 9e3d42aa6a19e54fe5d46262de4a289bd9eecae670d11deb99e9e499cbc8a199 SHA1: 5e234b2442672bfcdf01e378b92ec77d56191ce3 MD5sum: 74c5add201da6ca4f36b01a05adfaa38 Description: Berkeley Database Information Index (BDII) The Berkeley Database Information Index (BDII) consists of a standard LDAP database which is updated by an external process. The update process obtains LDIF from a number of sources and merges them. It then compares this to the contents of the database and creates an LDIF file of the differences. This is then used to update the database. Homepage: https://twiki.cern.ch/twiki/bin/view/EGEE/BDII Tag: implemented-in::python, role::program Section: net Priority: optional Filename: pool/main/b/bdii/bdii_5.2.5-2_all.deb Package: beancounter Version: 0.8.10 Installed-Size: 284 Maintainer: Dirk Eddelbuettel Architecture: all Depends: perl, libfinance-yahooquote-perl (>= 0.18), libdate-manip-perl, libdbi-perl, libdbd-pg-perl | libdbd-mysql-perl | libdbd-odbc-perl | libdbd-sqlite3-perl | libdbd-sqlite2-perl, libstatistics-descriptive-perl, postgresql-client | mysql-client | sqlite3 | sqlite Size: 101854 SHA256: e8b3c8b165731fb1ed39160a21deacf826972e9640f100835e74b9de1d169686 SHA1: 8c4aa06d63ee046e2954a3fb18350c599c3632e5 MD5sum: df6d7ec6cb735f0905dd0d6f09ceb4ff Description: A stock portfolio performance monitoring tool This package provides beancounter, a tool to quantify gains and losses in stock portfolios, as well as the BeanCounter Perl module that underlies it. Beancounter queries stock prices from Yahoo! Finance server(s) around the globe and stores them in a relational database (using PostgreSQL) so that the data can be used for further analysis. Canned performance and risk reports are available. Tag: devel::lang:sql, field::finance, implemented-in::perl, interface::commandline, office::finance, role::program, scope::application, use::downloading, use::monitor, works-with::db, works-with::text Section: misc Priority: optional Filename: pool/main/b/beancounter/beancounter_0.8.10_all.deb Package: beanstalkd Version: 1.4.6-5 Architecture: armhf Maintainer: Serafeim Zanikolas Installed-Size: 136 Depends: libc6 (>= 2.13-28), libevent-2.0-5 (>= 2.0.10-stable), libgcc1 (>= 1:4.4.0), adduser, netbase Suggests: doc-base Homepage: http://xph.us/software/beanstalkd/ Priority: extra Section: net Filename: pool/main/b/beanstalkd/beanstalkd_1.4.6-5_armhf.deb Size: 42710 SHA256: a2e2048be9487f397ca571de4a1b82903437b4f8f2f0d68044c9d6660a8fe13c SHA1: be38de1f8851d19f61c404042be66ab03bfac8ba MD5sum: 005643f6bb0a46338007a267e3296aa0 Description: simple, in-memory, workqueue service Beanstalkd is a simple, fast, workqueue service (a specific case of message queueing), in which messages are organised in "tubes". Beanstalk clients can insert and consume messages into and from such tubes. . The beanstalk interface is generic, but was originally designed for reducing the latency of page views in high-volume web applications by running time-consuming tasks asynchronously. . Beanstalkd is meant to be ran in a trusted network, as it has no authorisation/authentication mechanisms. . This package has the server files. Package: bear-factory Source: plee-the-bear Version: 0.6.0-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 6558 Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libclaw-configuration-file1, libclaw-logger1, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1) Homepage: http://plee-the-bear.sourceforge.net/ Priority: extra Section: games Filename: pool/main/p/plee-the-bear/bear-factory_0.6.0-1_armhf.deb Size: 1871214 SHA256: 198d029c04ecb9a8b6602a4916303711d1a12c970e0583235e6e689dfe24304b SHA1: 3484cb5e977064e9d8a5dd99281e1c798ff831f6 MD5sum: d9a08f89b6b99beb6b82c123bea043a0 Description: Editors for Plee the Bear This package includes the level editor, animation editor and model editor of the Bear Engine for Plee the Bear. Package: beast Version: 0.7.4-5 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 3868 Depends: libbse-0.7-4 (= 0.7.4-5), guile-1.8-libs, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnomecanvas2-0 (>= 2.11.1), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Recommends: bse-alsa Suggests: beast-doc Breaks: bse-alsa (<< 0.7.4) Homepage: http://beast.testbit.eu/ Priority: extra Section: gnome Filename: pool/main/b/beast/beast_0.7.4-5_armhf.deb Size: 2367786 SHA256: ecc6613aa7b2cb40ef46b29b83b9bf4334f485bfc6ee7d5afe1a83a72e8252c2 SHA1: e6c3f537cc9669da528e6b335449d90a5d7e754a MD5sum: b32b823e2b11a85c1fef4e88bdde04ad Description: music synthesis and composition framework BEAST/BSE is a plugin-based graphical system where you can link objects to each other and generate sound. . BEAST also has support for scriptability in Scheme using Guile. Package: beast-doc Source: beast Version: 0.7.4-5 Installed-Size: 1050 Maintainer: Debian Multimedia Maintainers Architecture: all Replaces: beast (<< 0.7.4) Breaks: beast (<< 0.7.4) Size: 139650 SHA256: 049f45e81d6593db7f34e969d9d3195c322d420c9e57bbb48dc962fcd837c546 SHA1: c8542a59acc3c84156809be0c66e3c06298682eb MD5sum: 84111ee7f6e7f3ad1b8da0551b6682f0 Description: Documentation for BEAST/BSE BEAST/BSE is a plugin-based graphical system where you can link objects to each other and generate sound. . This package provides the documentation files. Homepage: http://beast.testbit.eu/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/b/beast/beast-doc_0.7.4-5_all.deb Package: beav Version: 1:1.40-18 Architecture: armhf Maintainer: Sam Hocevar (Debian packages) Installed-Size: 129 Depends: libc6 (>= 2.7), libtinfo5 Priority: optional Section: editors Filename: pool/main/b/beav/beav_1.40-18_armhf.deb Size: 62938 SHA256: d67fa9a065841c18cbddf003b006afb495dfc23d9c5ea6452d213d0edf503d0e SHA1: 011a3a814042cf03b9b64fad3ff288fa6a341f9c MD5sum: c37d2c75c169735aaff466d274608463 Description: binary editor and viewer beav (Binary Editor And Viewer) is an editor for binary files containing arbitrary data. Text file editors, on the other hand, expect the files they edit to contain textual data, and/or to be formatted in a certain way (e.g. lines of printable characters delimited by newline characters). . With beav, you can edit a file in HEX, ASCII, EBCDIC, OCTAL, DECIMAL, and BINARY. You can display but not edit data in FLOAT mode. You can search or search and replace in any of these modes. Data can be displayed in BYTE, WORD, or DOUBLE WORD formats. While displaying WORDS or DOUBLE WORDS the data can be displayed in INTEL's or MOTOROLA's byte ordering. Data of any length can be inserted at any point in the file. The source of this data can be the keyboard, another buffer, or a file. Any data that is being displayed can be sent to a printer in the displayed format. Files that are bigger than memory can be handled. Package: bedtools Version: 2.16.1-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 1198 Pre-Depends: dpkg (>= 1.15.6) Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Suggests: filo Homepage: http://code.google.com/p/bedtools/ Priority: optional Section: science Filename: pool/main/b/bedtools/bedtools_2.16.1-1_armhf.deb Size: 367192 SHA256: a85139c128eb2c4893882c1f1b1a3c4417dd386f649000cb78d3084685adfac7 SHA1: 9602f18589588899ac80f560a362dcc7e7e5aba4 MD5sum: fbe85d38ff284c251250921e2bb820e1 Description: suite of utilities for comparing genomic features The BEDTools utilities allow one to address common genomics tasks such as finding feature overlaps and computing coverage. The utilities are largely based on four widely-used file formats: BED, GFF/GTF, VCF, and SAM/BAM. Using BEDTools, one can develop sophisticated pipelines that answer complicated research questions by streaming several BEDTools together. . The groupBy utility is distribued in the filo package. Package: beef Version: 0.0.6-2 Architecture: armhf Maintainer: Andrea Bolognani Installed-Size: 46 Depends: libc6 (>= 2.4) Homepage: http://www.kiyuko.org/beef Priority: extra Section: devel Filename: pool/main/b/beef/beef_0.0.6-2_armhf.deb Size: 7408 SHA256: ad1d7ce4a395dfc29a46c22c6a91a7307ae93406ffe80921eaa5c25070fd914c SHA1: f4045b7c25c936664e58f6a0745e51d5b55f4983 MD5sum: d1a6a1d32df08367a1679c4803625bb5 Description: flexible Brainfuck interpreter beef is a Brainfuck interpreter, a program which executes Brainfuck code on the fly. . Its behavior is configurable using command-line options. This enables you to run most Brainfuck programs, even ones written for other interpreters/compilers without modifications. . beef is not affected by some historical Brainfuck limitations. For example, the length of the memory tape's only limit is the amount of memory your computer has. . beef's aim is not to be incredibly small or optimized (although it is quite fast), but to be a flexible and pleasant-to-work-with interpreter. Package: beep Version: 1.3-3+deb7u1 Architecture: armhf Maintainer: Gerfried Fuchs Installed-Size: 76 Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0 Homepage: http://johnath.com/beep/ Priority: optional Section: sound Filename: pool/main/b/beep/beep_1.3-3+deb7u1_armhf.deb Size: 27258 SHA256: 6e531ab5e81b17f895f345aac84a8b1c6130aeb3f5cb35fa92f9df1b372d6957 SHA1: 14d8c3f4038f05b1192b88622509759644f0acf3 MD5sum: 2d6ce7c9feff86dd1e9a79f31a0600b5 Description: advanced pc-speaker beeper beep does what you'd expect: it beeps. But unlike printf "\a" beep allows you to control pitch, duration, and repetitions. Its job is to live inside shell/perl scripts and allow more granularity than one has otherwise. It is controlled completely through command line options. It's not supposed to be complex, and it isn't - but it makes system monitoring (or whatever else it gets hacked into) much more informative. Package: beets Version: 1.0~b14-2 Installed-Size: 598 Maintainer: Python Applications Packaging Team Architecture: all Depends: libjs-backbone, libjs-jquery, libjs-underscore, python-pkg-resources, python (>= 2.6.6-7~), python-mutagen, python-munkres, python-unidecode, python-musicbrainzngs Suggests: beets-doc, python-acoustid, python-flask, python-gst0.10, python-pylast, python-rgain Size: 161738 SHA256: 8c72cd7bcf4a51551eba86ad79e920a6c59bf2eb1d716b18ca933a515f124c8b SHA1: 7ef908ea3ff73a507da520264498773e6b4ca492 MD5sum: 9950b7ebc2ce71c03a39592e7cfa59e1 Description: music tagger and library organizer Beets is a media library management system for obsessive-compulsive music geeks. . The purpose of beets is to get your music collection right once and for all. It catalogs your collection, automatically improving its metadata as it goes using the MusicBrainz database. It then provides a set of tools for manipulating and accessing your music. . Beets also includes a music player that implements the MPD protocol, so you can play music in your beets library using any MPD client. Homepage: http://beets.radbox.org/ Tag: implemented-in::python, interface::commandline, role::program, scope::application, sound::player, use::organizing, use::playing, works-with-format::mp3, works-with-format::mpc, works-with-format::oggvorbis, works-with::audio Section: sound Priority: optional Filename: pool/main/b/beets/beets_1.0~b14-2_all.deb Package: beets-doc Source: beets Version: 1.0~b14-2 Installed-Size: 668 Maintainer: Python Applications Packaging Team Architecture: all Replaces: beets (<< 1.0~b14-2~) Depends: libjs-sphinxdoc (>= 1.0) Breaks: beets (<< 1.0~b14-2~) Size: 197400 SHA256: 674ce816f0adeb51ba943917c9d77ae0f157f53f65e11fd218d8ec53bfd576a5 SHA1: e39ed8e6fe36e2dee60f086b200b4f8ddb26d40e MD5sum: 3b6abc560734672aa7175e498656f059 Description: music tagger and library organizer - documentation Beets is a media library management system for obsessive-compulsive music geeks. . The purpose of beets is to get your music collection right once and for all. It catalogs your collection, automatically improving its metadata as it goes using the MusicBrainz database. It then provides a set of tools for manipulating and accessing your music. . Beets also includes a music player that implements the MPD protocol, so you can play music in your beets library using any MPD client. . This package provides detailed documentation on beets usage. Homepage: http://beets.radbox.org/ Section: doc Priority: optional Filename: pool/main/b/beets/beets-doc_1.0~b14-2_all.deb Package: belier Version: 1.2-2 Installed-Size: 136 Maintainer: Python Applications Packaging Team Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), expect (>= 5.43.0) Size: 13434 SHA256: f5b04ab34f9ca906ed522015b3a58edb1fc71e40b8e6afa20abe35257d26fff1 SHA1: 89bcc0d162e104cb5e48e6d2273d54186cf0256d MD5sum: 3c1ea652573b46abe91cdb95d96cf38d Description: SSH connection generation tool Belier allows automated openings of a shell or command executions on remote computers through SSH. The main feature is Belier's ability to cross several computers before joining the final machine. Homepage: http://www.ohmytux.com/belier Tag: implemented-in::python, role::program Section: net Priority: optional Filename: pool/main/b/belier/belier_1.2-2_all.deb Package: beneath-a-steel-sky Version: 0.0372-4 Installed-Size: 71364 Maintainer: Debian Games Team Architecture: all Depends: scummvm Size: 69399506 SHA256: 1214fcb1d14a36d8ab5b84928ecfca52613303a83b8aba0c04193a375c6befbd SHA1: aa6773c847e38e448ab51955bc569566b8f081ea MD5sum: e26531336b831459c83588089e3b0763 Description: a science fiction adventure game A science-fiction thriller set in a bleak post-apocalyptic vision of the future, Beneath a Steel Sky revolves around "Union City", where selfishness, rivalry, and corruption by its citizens seems to be all too common, those who can afford it live underground, away from the pollution and social problems which are plaguing the city. . You take on the role of Robert Foster, an outcast of sorts from the city since a boy who was raised in a remote environment outside of Union City simply termed "the gap". Robert's mother took him away from Union City as a child on their way to "Hobart" but the helicopter crashed on its way, unfortunately Robert's mother dies, but he survives and is left to be raised by a local tribe from the gap. . Years later, Union City security drops by and abducts Robert, killing his tribe in the process; upon reaching the city the helicopter taking him there crashes with him escaping, high upon a tower block in the middle of the city he sets out to discover the truth about his past, and to seek vengeance for the killing of his tribe. . Note that this package only contains game-data. The game engine is provided by ScummVM. Tag: game::adventure, hardware::input:mouse, role::program, use::gameplaying Section: games Priority: optional Filename: pool/main/b/beneath-a-steel-sky/beneath-a-steel-sky_0.0372-4_all.deb Package: berusky Version: 1.4-1 Architecture: armhf Maintainer: Bart Martens Installed-Size: 289 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), berusky-data (>= 1.4) Homepage: http://www.anakreon.cz/?q=node/1 Priority: optional Section: games Filename: pool/main/b/berusky/berusky_1.4-1_armhf.deb Size: 114412 SHA256: 1ff3c39835fbd236c89c7a8dbde903e98f8f2d49d9f6c814f2443d1f98b7fe71 SHA1: f613c644b9694d1c65c03a01fa7378d4e26d0662 MD5sum: 5b539f1bc8db2f6eaff7209e7783686f Description: Logic game based on Sokoban Berusky is a free logic game based on an ancient puzzle named Sokoban. An old idea of moving boxes in a maze has been expanded with new logic items such as explosives, stones, special gates and so on. In addition, up to five bugs can cooperate and be controlled by the player. In order to leave each level (there's about 120 levels in the game) it is necessary to own five keys and also to have a free way to the exit. Package: berusky-data Version: 1.4-1 Installed-Size: 9193 Maintainer: Bart Martens Architecture: all Size: 931988 SHA256: 953e12ac81bbd5c02e68c599a1f85d864b97447165337f8d8cfcd3a4a1873d57 SHA1: 8a47c7b72958537cebbb8b12ab64a3de36253157 MD5sum: 464d5a69c8603040e44d740a3cbee46a Description: Data files for Berusky Berusky is a free logic game based on an ancient puzzle named Sokoban. An old idea of moving boxes in a maze has been expanded with new logic items such as explosives, stones, special gates and so on. In addition, up to five bugs can cooperate and be controlled by the player. In order to leave each level (there's about 120 levels in the game) it is necessary to own five keys and also to have a free way to the exit. . This package contains the data files for Berusky. Homepage: http://www.anakreon.cz/?q=node/1 Tag: role::app-data Section: games Priority: optional Filename: pool/main/b/berusky-data/berusky-data_1.4-1_all.deb Package: betaradio Version: 1.4-1 Architecture: armhf Maintainer: Shih-Yuan Lee (FourDollars) Installed-Size: 144 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.0), libgtk2.0-0 (>= 2.16.0), libjson-glib-1.0-0 (>= 0.12.0), libltdl7 (>= 2.4.2), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.6.27), gstreamer0.10-plugins-bad, gstreamer0.10-plugins-ugly, gstreamer0.10-ffmpeg Homepage: http://code.google.com/p/betaradio/ Priority: optional Section: x11 Filename: pool/main/b/betaradio/betaradio_1.4-1_armhf.deb Size: 39778 SHA256: 14ef540f0e00aeb61f120cf9ff1e9388896ef0cb0b7cacf25faf3bc1dc3a6593 SHA1: 92c76684c3476d3f81c4d07f26b4bf1ec7f220b0 MD5sum: 97d01b144cb17a99f98ea5e8533ac270 Description: Internet radio of Taiwan With this client you can listen to internet radio of Taiwan without opening a browser. It displays a icon on system tray that you can click and select the channel you want to listen to. Package: between Version: 6+dfsg1-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 566 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Homepage: http://www.esquire.com/features/best-and-brightest-2008/rohrer-game Priority: extra Section: games Filename: pool/main/b/between/between_6+dfsg1-2_armhf.deb Size: 100922 SHA256: 1c807c522bc9143f4235d7f0c682e47d094f7e6d13787ea55fa0a8ba69e6b2f3 SHA1: 8bb736ab6dcd9b09b69b4d37396da961adadcedb MD5sum: 8e2d79da5a6304b9cdbdaa0867e4fba5 Description: game about consciousness and isolation Between is a pixelated art game for two players by Jason Rohrer. . You know exactly what you need to do -- you can see it shimmering right there in front of you. You can see it while dreaming, too, and the difference has become subtle. Dreams wake into dreams, and people blend in and out: real characters and dream characters, all woven into the same script. Finally, they fade completely, and you're alone in the expanse with the construction. With time, you feel something growing, a pinhole that eventually yawns into a deep ravine of longing. The construction languishes, though the expanse seems indifferent. . One night, in a dream, they appear: things that you clearly could not have conjured on your own. Not snowflakes. Not the self-similar forms of leaves. Not distant planets' erosion networks as viewed through telescopes. Not those things that are beautifully external but lack the signatures of consciousness. These things that appear are ugly and non-procedural: indecipherable transmissions bubbling up through static, faded messages floating in bottles, and charcoal handprints on cave walls. Evidence has reached you through time of unknown duration and distance of unknown magnitude, but stale evidence is still evidence. . Somewhere, across whatever barriers stand between, is an "other". Package: bf-utf-source Source: bf-utf Version: 0.06 Installed-Size: 10137 Maintainer: Changwoo Ryu Architecture: all Suggests: di-packages-build | boot-floppies Size: 1419674 SHA256: 4cc1821d7ef8cde0ce57b92fc539c3120d3239a313651c9884a107cdabbf88a4 SHA1: 5fff1fa9be3e31bc4386a94558d5f33cc71355ab MD5sum: 58283deaacc2f46442ded964263671a3 Description: Source for fonts needed to build Debian installers This package contains bdf fonts needed to build the international version of boot-floppies and Debian-Installer (replacement for boot-floppies). . If you aren't building Debian boot & install floppies or CDs, you probably don't need this package. Tag: devel::i18n, role::source, works-with::font Section: devel Priority: extra Filename: pool/main/b/bf-utf/bf-utf-source_0.06_all.deb Package: bfbtester Version: 2.0.1-7.1 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 76 Depends: libc6 (>= 2.4) Priority: optional Section: utils Filename: pool/main/b/bfbtester/bfbtester_2.0.1-7.1_armhf.deb Size: 21430 SHA256: ed987767a4c974b990ed9c704d856d22849ec599d790002dc0afd848b47d4c87 SHA1: d56d4dec3d6fd13d02aa14d22815894210c69263 MD5sum: 3cf45549423e840b67aca7b7ec081868 Description: Brute Force Binary Tester BFBTester is great for doing quick, proactive, security checks of binary programs. BFBTester will perform checks of single and multiple argument command line overflows as well as environment variable overflows. BFBTester can also watch for tempfile creation activity to alert the user of any programs using unsafe tempfile names. While BFBTester can not test all overflows in software, it is useful for detecting initial mistakes that can red flag dangerous software. Package: bgoffice-computer-terms Version: 0.0.200909080118-1 Installed-Size: 132 Maintainer: Debian Add-ons Bulgaria Project Architecture: all Recommends: gbgoffice Size: 41264 SHA256: ba1f28b2a9968613e9a975f1d1b1db593c0bbf63c9fbb5331bf69de5ac9c3ff2 SHA1: 450151fb25a6b532fac1a3efc89fca60202c9320 MD5sum: 1a8a851fb23bf333f877e0a671313fd5 Description: English-Bulgarian dictionary of computer terms Data files for gbgoffice, containing English-Bulgarian dictionary of commonly used computer terms. . Target users are translators of software into Bulgarian. Tag: culture::bulgarian, made-of::dictionary, role::app-data, use::checking Section: text Priority: extra Filename: pool/main/b/bgoffice-computer-terms/bgoffice-computer-terms_0.0.200909080118-1_all.deb Package: bhl Version: 1.7.3-2 Installed-Size: 216 Maintainer: Debian QA Group Architecture: all Depends: emacs23 | emacsen, dpkg (>= 1.15.4) | install-info Size: 63556 SHA256: 158ea98345311fe7dfd37743e5aad768a35d1feaa8c07fd609a2110334be4a41 SHA1: f4261a2986651ac10693c95de286b528c12c808d MD5sum: 9016c073c0fbec2bc408477c4a83d18b Description: Emacs mode for converting annotated text to HTML and LaTeX BHL is an Emacs mode that enables you to convert text files into HTML, LaTeX and SGML files. . In order to convert TXT files into other formats, you need to use some syntactical elements. The purpose of this mode is to use the most invisible and convenient syntax. Invisible syntax means that the source file must be as readable as possible (like any TXT file must be). Convenient syntax means that the syntax must fit user's habits. . A more modern alternative is org-mode. Tag: implemented-in::lisp, interface::commandline, role::plugin, suite::emacs, use::converting, use::editing, works-with-format::html, works-with-format::tex, works-with::text Section: editors Priority: optional Filename: pool/main/b/bhl/bhl_1.7.3-2_all.deb Package: biabam Version: 0.9.7-7 Installed-Size: 64 Maintainer: Thierry Randrianiriana Architecture: all Depends: bash (>= 2), sharutils, exim4 | mail-transport-agent Suggests: file Size: 7374 SHA256: 7096bd2696f4ddeb000b3ecfef70b5162bc3a184e421e52c9924f4934a5c1ff3 SHA1: b17c56ed2030bcac7440f3b4d95de8a2937b884e MD5sum: 292242e249e6af7db6003af63d7ab15c Description: bash attachment mailer A tool that is used for mailing attachments from the commandline. It is similar to using Mutt to send attachments on the commandline, but without the overhead of a complete email client. Homepage: http://mmj.dk/biabam/ Tag: interface::commandline, mail::user-agent, network::client, role::program, use::storing, works-with::file, works-with::mail Section: mail Priority: extra Filename: pool/main/b/biabam/biabam_0.9.7-7_all.deb Package: bibclean Version: 2.11.4.1-4 Architecture: armhf Maintainer: Thorsten Alteholz Installed-Size: 229 Depends: libc6 (>= 2.13-28) Suggests: texlive-base-bin Homepage: http://ftp.math.utah.edu/pub/bibclean/ Priority: optional Section: tex Filename: pool/main/b/bibclean/bibclean_2.11.4.1-4_armhf.deb Size: 138960 SHA256: 46ce26d222f5a18602edeca7ab76d9a595c8f880ee66473a1e8dcf5e2e3d9e94 SHA1: 471480e228b9931eda878696775eef81b5b5ecde MD5sum: 0421426182986b4afe5e2bd7cd8af8d1 Description: pretty-printer for BibTeX databases This is a pretty-printer and syntax-checker for bibliographic databases in the BibTeX format. It is a useful tool for canonicalizing the layout of personal bibliographies with entries fetched from many different sources. Package: bibcursed Version: 2.0.0-6 Architecture: armhf Maintainer: John Wright Installed-Size: 68 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Priority: optional Section: tex Filename: pool/main/b/bibcursed/bibcursed_2.0.0-6_armhf.deb Size: 17402 SHA256: 0efbe9105de2a20513b913be56bfa03678f32c9cdf55c04cd901a437bafee128 SHA1: 66c3711c09316c735b75565bcd39d53f5ddc6267 MD5sum: e5f7a04bfd73eaad5c6f3c31e68a58ae Description: An interactive program to edit BibTeX bibliographies Bibcursed is a simple program to make life a little easier when using BibTeX bibliographies. It currently provides these main functions: . - Adding references to your bibliography. This is done with prompts so templates for the different entries (article, proceedings, etc) do not have to be remembered. Error checking is used so that the required fields have to be supplied, and incorrect fields cannot be entered. New entries are inserted into the 'correct' place in the BibTeX file - Clean and easy removal of entries - Viewing of entries and changing of fields - Easy searching of entries, including search by field Package: biber Version: 0.9.9+release-1 Installed-Size: 1341 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdata-compare-perl, libdata-dump-perl, libdate-simple-perl, libfile-slurp-unicode-perl, libipc-run3-perl, liblwp-protocol-https-perl, liblist-allutils-perl, liblist-moreutils-perl, liblog-log4perl-perl, libreadonly-perl, libregexp-common-perl, libtext-bibtex-perl (>= 0.62), libunicode-collate-perl (>= 0.89), libwww-perl, libxml-libxml-simple-perl, libxml-libxslt-perl, libxml-writer-string-perl Recommends: biblatex, libreadonly-xs-perl Size: 303568 SHA256: 27c631859a2cf33644c8576ccbe1f5eaef3094191780b4d33a07fee46497c323 SHA1: e8da94c6149c5c1220614930b4dbf68b86c27129 MD5sum: c9e36620780a71b710354a80d4d4b1f7 Description: Much-augmented BibTeX replacement for BibLaTeX users The biblatex package by Philipp Lehman is becoming the definitive citation management tool for LaTeX users. Biblatex has relied on the venerable BibTeX program only for sorting and generating a very generic .bbl file without any formatting instruction. Everything else is taken care of by biblatex, which provides a powerful and flexible macro interface for authors of citation styles. . Biber offers a large superset of BibTeX functionality for BibLaTeX users. In addition it offers full UTF-8 (Unicode 6.0) capabilities, fully customisable sorting, output to GraphViz to help visualise complex crossrefs, support for remote data sources, structural validation of the data against the (customisable) data model, and a lot more. Homepage: http://biblatex-biber.sourceforge.net/ Section: perl Priority: optional Filename: pool/main/b/biber/biber_0.9.9+release-1_all.deb Package: biblatex Version: 1.7-1 Installed-Size: 9392 Maintainer: Debian TeX Task Force Architecture: all Depends: texlive-latex-base, texlive-latex-recommended, texlive-latex-extra, logreq, etoolbox (>= 2.1), dpkg (>= 1.14.18), tex-common (>= 2.00) Recommends: biber (>= 0.9.6) Breaks: biblatex-dw (<< 1.2o-1) Size: 8422196 SHA256: 9ccbfecab3fc1815c5afc4acb96c40a60d617d42e4fe759f2012da8f2e9ac728 SHA1: 737ab737383ac00264b524cc886f5d4bda015930 MD5sum: e75bf176f9837f0143fc9ad7bb77eb91 Description: Bibliographies for LaTeX The biblatex package is a complete reimplementation of the bibliographic facilities provided by LaTeX in conjunction with BibTeX. It redesigns the way in which LaTeX interacts with BibTeX at a fairly fundamental level. With biblatex, BibTeX is only used to sort the bibliography and to generate labels. Instead of being implemented in BibTeX's style files, the formatting of the bibliography is entirely controlled by TeX macros. Good working knowledge in LaTeX should be sufficient to design new bibliography and citation styles — there is no need to learn BibTeX’s postfix stack language. Just like the bibliography styles, all citation commands may be freely (re)defined. Homepage: http://www.ctan.org/tex-archive/help/Catalogue/entries/biblatex.html Tag: devel::doc, field::linguistics, made-of::pdf, made-of::tex, role::plugin, science::bibliography, science::publishing, scope::utility, use::editing, use::organizing, use::text-formatting, works-with-format::bib, works-with-format::tex, works-with::text Section: tex Priority: extra Filename: pool/main/b/biblatex/biblatex_1.7-1_all.deb Package: biblatex-dw Version: 1.4-1 Installed-Size: 2543 Maintainer: Debian TeX Task Force Architecture: all Depends: texlive-latex-base, biblatex (>= 1.6), texlive-latex-extra (>= 2009), dpkg (>= 1.14.18), tex-common (>= 2.00) Size: 2396740 SHA256: 1ad065774b6cc3784d5fbe59198545ad8be7e6dee73e70b836cb9cace14b0f80 SHA1: 6da31562c3840102c4b84ca1715708851bb7156f MD5sum: 8fd167fbdb3001d65d42c525b85555b1 Description: Biblatex styles for humanities biblatex-dw is a small collection of styles for the biblatex package. It was designed for citations in the Humanities and offers some features that are not provided by the standard biblatex styles. Homepage: http://www.ctan.org/tex-archive/help/Catalogue/entries/biblatex-dw.html Tag: field::linguistics, made-of::tex, role::plugin, science::bibliography, science::publishing, scope::utility, use::text-formatting, works-with-format::bib, works-with-format::tex, works-with::text Section: tex Priority: extra Filename: pool/main/b/biblatex-dw/biblatex-dw_1.4-1_all.deb Package: bible-kjv Version: 4.26 Architecture: armhf Maintainer: Matthew Vernon Installed-Size: 233 Depends: libc6 (>= 2.7), libreadline6 (>= 6.0), bible-kjv-text Suggests: verse Conflicts: verse (<= 0.20) Priority: optional Section: doc Filename: pool/main/b/bible-kjv/bible-kjv_4.26_armhf.deb Size: 113818 SHA256: b98cd460046e8ca238e69a3efc35033bc236ba65582a0c70dc03c11dc5b06c2d SHA1: ce86831e79bedc1d0b8ef92afa18e9980db6e26b MD5sum: 347c5b0c3f5d811332ae8de06c8cf7b6 Description: King James Version of the Bible: user interface program. This package contains a text-retrieval program and user interface which is primarily designed to operate on the text and concordance of the King James translation of the Bible, although it could, in principle, be used for any text. The search/browse engine allows searching and reading passages from scripture. Includes full concordance. . It would be nice if someone could set up a web interface for the bible. Package: bible-kjv-text Source: bible-kjv Version: 4.26 Installed-Size: 2616 Maintainer: Matthew Vernon Architecture: all Recommends: bible-kjv Conflicts: bible-kjv (<< 4.00-5) Size: 2497122 SHA256: 00f7608551dea48cedde67060d1c1b561060b67c11de881dd0ff516ad71a096e SHA1: 9849587695de57b3f1991ff8f4118f027d1e86d3 MD5sum: 2e20ba9bedce9653ceb93544dcfa4aba Description: King James Version of the Bible - text and concordance This package contains the King James Version of the Bible (translated in 1611) which is and was widely used in the English speaking culture, together with a full concordance. . The text and concordance are compressed and require the bible-kjv package which contains a text-based user interface for accessing the text. Tag: role::data, use::searching Section: doc Priority: optional Filename: pool/main/b/bible-kjv/bible-kjv-text_4.26_all.deb Package: bibledit Source: bibledit-gtk Version: 4.6-1 Installed-Size: 31 Maintainer: CrossWire Packaging Team Architecture: all Depends: bibledit-gtk Size: 5998 SHA256: c6a0d09b879687dd1bc1ccfe8c4c378d3abdf3e3d5267c0f0e3504f58865055b SHA1: 3c32db40370c241190ff05dd79c7c6d6fe7baebe MD5sum: 547fa80408236216f3d1207269ec1738 Description: transitional dummy package to bibledit-gtk bibledit is a transitional dummy package to bibledit-gtk. . The name of the software was changed to bibledit-gtk by its author. Homepage: http://www.bibledit.org Tag: role::program, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/b/bibledit-gtk/bibledit_4.6-1_all.deb Package: bibledit-bibletime Version: 1.1.1-1 Architecture: armhf Maintainer: Teus Benschop Installed-Size: 143 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libstdc++6 (>= 4.4.0), curl Homepage: http://www.bibledit.org Priority: optional Section: gnome Filename: pool/main/b/bibledit-bibletime/bibledit-bibletime_1.1.1-1_armhf.deb Size: 31946 SHA256: f897b891406eacff25dfbaa6d1f35d3108a255c5f94f7967bdf9143a3e950801 SHA1: eef38268e0a7eb42dcd1dda21c1e726002ca194f MD5sum: 8f738919c0db31aca49a0c981256c5e1 Description: Glue between bibledit and bibletime Bibledit-Bibletime can retrieve the focused reference through Bibledit-Web, and then forward it to Bibletime. Package: bibledit-data Source: bibledit-gtk Version: 4.6-1 Installed-Size: 31 Maintainer: CrossWire Packaging Team Architecture: all Depends: bibledit-gtk-data Size: 6010 SHA256: 36f3d7ff0f0d1136bb528c793ab54506f88181f8a3b3f33d36a699778edbec5b SHA1: 6903ee02fd570fe9be9fcb7ef3419cc2955a340a MD5sum: 6c15209bbf8f0fc5f26b930b792921a5 Description: transitional dummy package to bibledit-gtk-data bibledit-data is a transitional dummy package to bibledit-gtk-data. . The name of the software was changed to bibledit-gtk by its author. Homepage: http://www.bibledit.org Tag: role::app-data Section: gnome Priority: optional Filename: pool/main/b/bibledit-gtk/bibledit-data_4.6-1_all.deb Package: bibledit-gtk Version: 4.6-1 Architecture: armhf Maintainer: CrossWire Packaging Team Installed-Size: 3565 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libenchant1c2a (>= 1.6), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.12.0), libgtkhtml3.14-19 (>= 3.32.0), libgtkhtml3.14-19 (<< 3.33), libgtksourceview2.0-0 (>= 2.10.0), libjavascriptcoregtk-1.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libwebkitgtk-1.0-0 (>= 1.3.10), libxml2 (>= 2.7.4), psmisc, bibledit-gtk-data, curl Conflicts: bibledit (<< 4.2-1~) Replaces: bibledit (<< 4.2-1~) Homepage: http://www.bibledit.org Priority: optional Section: gnome Filename: pool/main/b/bibledit-gtk/bibledit-gtk_4.6-1_armhf.deb Size: 1730382 SHA256: 9e6e828b565236b2e6e745c29c6ab486d2372f6f30ae0fdb97f1f2b7bb4a4b8c SHA1: ee590d2e08b39c299f285a73f2f9f913e068803c MD5sum: 39a16a662bfbdfa0c91530d76f9c3e55 Description: Bible translation tool There are many languages still without a translation of the Bible. . Bible translators need a text editor that can input Bible text. Bible text is unique in that it is organized in two distinct hierarchies. One, it has sections and paragraphs, like any other text. Second, it is made up of books that contain chapters that contain verses. Many contemporary Bible translators use the USFM data format for their Bible translations, but the most widespread USFM text editors require Windows. Bibledit-gtk is a multi-platform USFM Bible editor or Scripture processor. . It has been designed for the glory of God and the salvation of people. Package: bibledit-gtk-data Source: bibledit-gtk Version: 4.6-1 Installed-Size: 24587 Maintainer: CrossWire Packaging Team Architecture: all Replaces: bibledit-data (<< 4.2-1~) Conflicts: bibledit-data (<< 4.2-1~) Size: 6536698 SHA256: 47497e0da01dc9ef9c8df66e44b64ee985f61793c45e1f6165b8258e02952f17 SHA1: 00526f12e6d760dc2de906e07774e7b071b6aaa5 MD5sum: c9ea4d5604a9293989182baa670aa1cc Description: documentation and data for bibledit-gtk, a Bible translation tool Bibledit-gtk is a multi-platform USFM Bible editor or Scripture processor. . This package contains data and documentation files for bibledit. These files include many pages from the Bibledit wiki and a database of key terms. . Bibledit has been designed for the glory of God and the salvation of people. Homepage: http://www.bibledit.org Section: gnome Priority: optional Filename: pool/main/b/bibledit-gtk/bibledit-gtk-data_4.6-1_all.deb Package: bibledit-xiphos Version: 1.1.1-1 Architecture: armhf Maintainer: Teus Benschop Installed-Size: 138 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libstdc++6 (>= 4.4.0), curl Homepage: http://www.bibledit.org Priority: optional Section: gnome Filename: pool/main/b/bibledit-xiphos/bibledit-xiphos_1.1.1-1_armhf.deb Size: 30256 SHA256: 7b613996d3b123eef09e86351a388f7694faee7dcd38037da38237a81f3be3f6 SHA1: 3621ff248098ff3ef8a88a384ceb0f85d25ecc44 MD5sum: f966cb4166194773fb327d0ef759d9c3 Description: Glue between bibledit and xiphos Bibledit-Xiphos can retrieve the focused reference through Bibledit-Web, and then forward it to Xiphos. Package: biblememorizer Version: 0.6.4-3 Architecture: armhf Maintainer: Debian Crosswire Packaging Team Installed-Size: 498 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.6), libsword9 (>= 1.6.2+dfsg), libx11-6, libxext6 Homepage: http://biblememorizer.sourceforge.net/ Priority: optional Section: kde Filename: pool/main/b/biblememorizer/biblememorizer_0.6.4-3_armhf.deb Size: 171720 SHA256: b51d7723578db6a276eece89fa60a544a46ccd1f17b1be090a596bad8199fc8a SHA1: 860b7aec568859b13eb2b5f79cdd417cfbffc034 MD5sum: 2897ba3e763ec08874371817843c1c9a Description: a Bible verses memorizer BibleMemorizer is a program to help with memorizing Scripture. It allows you to create files with lists of verses you want to memorize, including the text of the verse and any categories you create. . BibleMemorizer uses plugins to import texts, including a plugin to use the Sword API. Texts are then imported from the Sword Bible modules installed on your system. Package: bibletime Version: 2.9.1-2 Architecture: armhf Maintainer: CrossWire Packages Installed-Size: 2138 Depends: libc6 (>= 2.13-28), libclucene0ldbl (>= 0.9.21b), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.6), libsword9 (>= 1.6.2+dfsg), zlib1g (>= 1:1.1.4), libqt4-svg (>= 4.4.0), bibletime-data (= 2.9.1-2) Provides: sword-frontend Homepage: http://www.bibletime.info/ Priority: optional Section: kde Filename: pool/main/b/bibletime/bibletime_2.9.1-2_armhf.deb Size: 836714 SHA256: a56617dc5e3562c2b684c2df5ef4612e835080afc13646d5ca7a80c900ba019e SHA1: b2b7eac36593107b194ca4e622a1654fa3b4c9de MD5sum: b086e3cbfe6d665ce002c57caff75f18 Description: bible study tool for Qt BibleTime is a free and easy to use bible study tool. It uses the Qt and SWORD software libraries. BibleTime provides easy handling of digitized texts (Bibles, commentaries and lexicons) and powerful features to work with these texts (search in texts, write own notes, save, print etc.) in the SWORD module format. Package: bibletime-data Source: bibletime Version: 2.9.1-2 Installed-Size: 12887 Maintainer: CrossWire Packages Architecture: all Replaces: bibletime-i18n Suggests: bibletime Conflicts: bibletime-i18n Size: 5081842 SHA256: f7e117f9edf023ae8a20e868aa8c4d2f2bf33472add474292fa0b8fdf53cab67 SHA1: fa3d299c4d8aea8c6d0c656f2b1d124ea4601ad3 MD5sum: 1c403cf563c0118f669c42b0b5fe07c3 Description: Documentation and data for bibletime, a bible study tool BibleTime is a free and easy to use bible study tool. . This package contains the help, online documentation and data files which BibleTime uses. Homepage: http://www.bibletime.info/ Tag: role::app-data, role::documentation Section: kde Priority: optional Filename: pool/main/b/bibletime/bibletime-data_2.9.1-2_all.deb Package: bibtex2html Version: 1.97-2 Installed-Size: 691 Maintainer: Debian OCaml Maintainers Architecture: all Depends: ocaml-base-nox-3.12.1, perl, texlive-base Suggests: hlins Size: 159926 SHA256: f4f689949354434ed53e7041333bce1de48f6699f186eba74d3377ed7449fe95 SHA1: 6780f23fa1d797f87bc6579904cad36c3f4ab99e MD5sum: 52896af15579c0445b7b6e12ffa99392 Description: filters BibTeX files and translates them to HTML Collection of tools for filtering BibTeX data bases and for producing HTML documents from BibTeX data bases: . - aux2bib extracts a BibTeX database consisting of only the entries that are refereed by an aux file. . - bib2bib is a filter tool that reads one or several bibliography files, filters the entries with respect to a given criterion, and outputs the list of selected keys together with a new bibliography file containing only the selected entries; . - bibtex2html is a translator that reads a bibliography file and outputs two HTML documents that are respectively the cited bibliography in a nice presentation, and the original BibTeX file augmented with several transparent HTML links to allow easy navigation. Homepage: http://www.lri.fr/~filliatr/bibtex2html/index.en.html Tag: implemented-in::ocaml, interface::commandline, role::program, scope::utility, use::converting, use::editing, works-with-format::bib, works-with-format::html, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/b/bibtex2html/bibtex2html_1.97-2_all.deb Package: bibtexconv Version: 0.8.20-1 Architecture: armhf Maintainer: Thomas Dreibholz Installed-Size: 231 Depends: file, zip, libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0) Homepage: http://www.iem.uni-due.de/~dreibh/bibtexconv/ Priority: optional Section: tex Filename: pool/main/b/bibtexconv/bibtexconv_0.8.20-1_armhf.deb Size: 88252 SHA256: d2747c5b95e8e74cb08b166db67f10b27d609026da804aa3f5fede8404fc36e4 SHA1: c2455bd539eaee9ea47c6e871b80eab42586cd2a MD5sum: 6d7a46dc19f2987b1e612121ce7f1328 Description: BibTeX Converter BibTeXConv is a BibTeX file converter which allows one to export BibTeX entries to other formats, including customly defined text output. Furthermore, it provides the possibility to check URLs (including MD5, size and MIME type computations) and to verify ISBN and ISSN numbers. Package: bibtool Version: 2.55+ds-1 Architecture: armhf Maintainer: Jerome Benoit Installed-Size: 1082 Depends: libc6 (>= 2.13-28), libkpathsea6, dpkg (>= 1.14.18), tex-common (>= 3) Homepage: http://www.gerd-neugebauer.de/software/TeX/BibTool/ Priority: optional Section: tex Filename: pool/main/b/bibtool/bibtool_2.55+ds-1_armhf.deb Size: 926450 SHA256: ba7be962eea033debdb17fefbf4035ac5484984327d90bbd55e9492d59b8b692 SHA1: 75b4627f0e9d44fc78f5344e3eb6182a9d757a69 MD5sum: 1eb3f6cbc1e604de199619964d68f507 Description: tool to manipulate BibTeX files BibTeX provides an easy to use means to integrate citations and bibliographies into LaTeX documents. But the user is left alone with the management of the BibTeX files. BibTool is intended to fill this gap. BibTool allows the manipulation of BibTeX files which goes beyond the possibilities -- and intentions -- of BibTeX. . BibTool manipulates BibTeX database files through the command line front-end bibtool which accepts numerous options. Modifications are performed through resource instructions that allow the modification of the various internal parameters determining the behavior of BibTool; resource instructions can be grouped in resource files. The original BibTool distribution contains a sufficient set of resource file samples to perform basic, relevant manipulations. . BibTool contains a documentation written in LaTeX. . BibTool is written in C and has been compiled on various operating systems like flavors of UN*X and MSDOS machines. Package: bibus Version: 1.5.2-1 Installed-Size: 4429 Maintainer: Debian Science Maintainers Architecture: all Depends: python (>= 2.6.6-7~), python-wxgtk2.8 Recommends: libreoffice-writer | openoffice.org-writer, python-uno Suggests: python-mysqldb, unixodbc, odbcinst1 | odbcinst1debian2, libmyodbc | libsqliteodbc, bibus-doc-en Size: 1423048 SHA256: da005d6abf43ae20de82cf12116da92db454a0faf57ad914880e85f513a0553e SHA1: 5aaf2dea6b1c5dd541790370208cd5f4238d6de3 MD5sum: 5f9bfb4cff7d1e924506905c7a0cadf8 Description: bibliographic database Bibus is a bibliographic database which has been developed with LibreOffice/ OpenOffice.org in mind. It can directly insert citations and format the bibliographic index in an open OpenOffice.org Writer document. The main features are * hierarchical organization of the references with user-defined keys * designed for multiuser-environments (share databases between users) * a search engine supporting live queries * on-line PubMed access * import of PubMed (Medline), EndNote/Refer, RIS and BibTeX records. . Bibus will use an SQLite-database by default for storage (via the SQLite3 module available in Python >2.5). But it also supports MySQL-databases. If you want to use a MySQL-database, make sure, that you have the python-mysqldb package installed. Homepage: http://bibus-biblio.sourceforge.net/ Tag: implemented-in::python, interface::x11, role::program, science::bibliography, science::publishing, uitoolkit::wxwidgets, use::organizing, use::typesetting, works-with-format::bib, works-with-format::odf, x11::application Section: science Priority: optional Filename: pool/main/b/bibus/bibus_1.5.2-1_all.deb Package: bibus-doc-en Source: bibus Version: 1.5.2-1 Installed-Size: 3390 Maintainer: Debian Science Maintainers Architecture: all Depends: w3m | www-browser Suggests: bibus Size: 3196032 SHA256: 0c3dc589c812ba6f482b7e7a582838e1133424964e18ca9ee4b6b2b71c3c4a54 SHA1: 96c20e5ffd1fc38695c0f827f14940418d20d3c9 MD5sum: 0928000a9af3eb36777f3d8f1894c5c6 Description: Bibus bibliographic database documentation Bibus is a bibliographic database which has been developed with LibreOffice/ OpenOffice.org in mind and can directly insert citations and format the bibliographic index in an open LibreOffice/OpenOffice.org Writer document. . This package contains the Bibus documentation. Homepage: http://bibus-biblio.sourceforge.net/ Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/b/bibus/bibus-doc-en_1.5.2-1_all.deb Package: bibutils Version: 4.12-5 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 235 Depends: libbibutils2 (>= 4.12), libc6 (>= 2.13-28) Homepage: http://www.scripps.edu/~cdputnam/software/bibutils/ Priority: optional Section: text Filename: pool/main/b/bibutils/bibutils_4.12-5_armhf.deb Size: 46896 SHA256: 6a39db2637a4459ba56ce3ded1ff528a4ded7ee8232a8cdd9aa23301b09f2d29 SHA1: d560e18f15913eda45c4a859822a9a3e6527384f MD5sum: 8a8f94e4ef533a68fe5888c4662694a8 Description: interconvert various bibliographic data formats Convert between the following bibliographic data formats: BibTeX, COPAC, EndNote refer, EndNote XML, Pubmed XML, ISI web of science, US Library of Congress MODS XML, RIS, and Word 2007 bibliography. . This package provides command line tools bib2xml, copac2xml, end2xml endx2xml, isi2xml, med2xml, ris2xml which convert to MODS XML format, modsclean which reformats MODS XML, and a matching set of commands xml2ads, xml2bib, xml2end, xml2isi, xml2ris, xml2wordbib which convert from MODS XML. Package: bicyclerepair Version: 0.9-6 Installed-Size: 772 Maintainer: Robert Collins Architecture: all Depends: python, python-central (>= 0.6.11) Recommends: vim-addon-manager Suggests: vim-python (>= 1:6.2) | idle | pymacs Size: 114616 SHA256: 3aebc1414f8b997e6834c031e9df556f762b35f3bad4848f7bb0339fa07869da SHA1: b9f9f3b59b003add3939dc1970bcb616c2d65022 MD5sum: a2717a7396b35b780836c79bec954008 Description: A refactoring tool for python A framework and refactoring tool for Python. IDE Plugins are included for Pymacs, IDLE and Vim. Using Bicycle Repair Man you can rename classes, methods and variables, and all users of them are found and adjusted appropriately. Homepage: http://bicyclerepair.sourceforge.net/ Python-Version: all Tag: devel::lang:python, devel::library, implemented-in::python, role::plugin Section: devel Priority: optional Filename: pool/main/b/bicyclerepair/bicyclerepair_0.9-6_all.deb Package: bidentd Version: 1.1.4-1.1 Architecture: armhf Maintainer: Wesley W. Terpstra (Debian) Installed-Size: 84 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), debconf (>= 0.5) | debconf-2.0, netbase, debconf, openbsd-inetd | inet-superserver Conflicts: ident-server Provides: ident-server Homepage: http://bisqwit.iki.fi/source/bidentd.html Priority: extra Section: net Filename: pool/main/b/bidentd/bidentd_1.1.4-1.1_armhf.deb Size: 22988 SHA256: e9f4021914b7651a0e9753cd2549bb708392642db26924b4d6bb8dccc1695563 SHA1: 3cfaa4880da044ed6cb62bf7e98ba3d0376182bf MD5sum: 8ecd63a29d62a9836394118e0554a217 Description: Bisqwit's identd for NAT proxying This daemon provides an Identification Protocol (RFC 1413) daemon. It works like an ident daemon is supposed to work. Masquerading is supported, and works recursively. Works only under Linux, due to the use of /proc filesystem. . A typical case for using Bisqwit's identd: - Alpha has the internet connection. It has an ip in internet. - Beta is masqueraded by Alpha. - Gamma is masqueraded by Beta. - Somebody in Gamma starts irc, and the irc server (in internet) gets the username of the user in Gamma, correctly. All of these computers would be running bidentd (from inetd), although Gamma could have any ident daemon, as it does not masquerade further. Package: bidiv Version: 1.5-4 Architecture: armhf Maintainer: Debian Hebrew Packaging Team Installed-Size: 54 Depends: libc6 (>= 2.4), libfribidi0 (>= 0.19.2) Priority: optional Section: text Filename: pool/main/b/bidiv/bidiv_1.5-4_armhf.deb Size: 11130 SHA256: e246cbcef3420eadf69737ca9c8e092d1b9d7e7bd2d42e12216358390b03c299 SHA1: eb24306c348a4c07a8f57aac6cf6e24fda519a28 MD5sum: f81b908b5e7ca8963e9f22d5073f19e7 Description: BiDi viewer - command-line tool displaying logical Hebrew/Arabic bidiv is a simple utility for converting logical-Hebrew input to visual-Hebrew output. This is useful for reading Hebrew mail messages, viewing Hebrew texts, etc. It was written for Hebrew but Arabic (or other BiDi languages) should work equally well. Package: biff Version: 1:0.17.pre20000412-5 Architecture: armhf Maintainer: Dave Holland Installed-Size: 64 Depends: libc6 (>= 2.4), netbase Priority: extra Section: mail Filename: pool/main/b/biff/biff_0.17.pre20000412-5_armhf.deb Size: 13856 SHA256: dde98c416c908e79d30e6068d3dcb0748dcacb9aed4c6a0eb7a782d2d87cd454 SHA1: 705bbd302495ac052a32a24028104cee20654537 MD5sum: 3e312d853576d0ca29c974ee23213955 Description: a mail notification tool biff is a small program that prints a message to your terminal when new email arrives. Actually, the message is printed by the comsat daemon, and biff just enables/disables the u+x permission flag for the terminal, which comsat uses to determine whether or not to write to your terminal. . biff is mainly of historic interest, since there are much better alternatives (such as xlbiff and gbuffy) that are network-aware and do not require a daemon. Although there are no known security problems, running additional services is often considered risky. . By default, the biff service is disabled. To use biff email notification, you must enable this service by running 'update-inetd --enable biff' after the package is installed. You may also need to modify the configuration of your mail transport agent to enable comsat notification. Package: big-cursor Version: 3.8 Installed-Size: 68 Maintainer: Joey Hess Architecture: all Depends: xfonts-utils, xfonts-base Size: 11634 SHA256: 697d508d92a4e6900cc1d20a086363598c165042863304b882cdf4821a931e74 SHA1: 399bd7159ea0c510bd310cc3964549e46b0b907d MD5sum: 23566cc3a5435541dcf93cddba3c35c0 Description: larger mouse cursors for X This package provides some large mouse cursors for use under X. It's useful for laptop users, for those running X at very high resolutions, and for anyone who finds it hard to see the default mouse cursors. Tag: accessibility::screen-magnify, interface::x11, role::app-data, x11::theme Section: x11 Priority: optional Filename: pool/main/b/big-cursor/big-cursor_3.8_all.deb Package: billard-gl Version: 1.75-11 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 227 Depends: freeglut3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libstdc++6 (>= 4.4.0), billard-gl-data (= 1.75-11) Homepage: http://www.billardgl.de/index-en.html Priority: optional Section: games Filename: pool/main/b/billard-gl/billard-gl_1.75-11_armhf.deb Size: 93664 SHA256: 87bddb7bb2afd677e55f62df4bb16ecaa4795b1257d4f7ee7ab5c020b0c872bc SHA1: dceae7abd54a3332bb48b7c7f0a0b3d51bc10659 MD5sum: 8a8be4e77d386d7a249d43c3956a1fbd Description: 3D billiards game Play a game of 8-ball or 9-ball, either in training mode or against a friend. For beginners, the game features a tutorial, introducing them to the user-interface and controls. Help is also available for those who do not know the rules for an 8-ball or 9-ball game. Package: billard-gl-data Source: billard-gl Version: 1.75-11 Installed-Size: 8020 Maintainer: Debian Games Team Architecture: all Recommends: billard-gl (= 1.75-11) Size: 601974 SHA256: 81018286ddced797298d64dff5db64ee810b839cf99aa7a2a34e7305f229960d SHA1: bfd2efb77051fda5afb5da6cbcb1cac6aa63164f MD5sum: a6d8da34b818e86ed4e620e2fe4de7f8 Description: 3D billiards game - data files Play a game of 8-ball or 9-ball, either in training mode or against a friend. For beginners, the game features a tutorial, introducing them to the user-interface and controls. Help is also available for those who do not know the rules for an 8-ball or 9-ball game. . This package contains the data files for billard-gl. Homepage: http://www.billardgl.de/index-en.html Tag: game::simulation, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/b/billard-gl/billard-gl-data_1.75-11_all.deb Package: biloba Version: 0.9.3-4 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 177 Depends: libc6 (>= 2.13-28), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), biloba-data (= 0.9.3-4) Homepage: http://biloba.sourceforge.net/ Priority: optional Section: games Filename: pool/main/b/biloba/biloba_0.9.3-4_armhf.deb Size: 73914 SHA256: 7d8ac120eeeeebfa730654562a56ebdea40e6026250c860692eb69778b9e3166 SHA1: b5ee6e1947d5a15282bf7a8e0c2f21ebf2037c6b MD5sum: b59ce246960e2aa264ccc28343774ed6 Description: turn based strategy board game for up to 4 players Biloba is an abstract strategy board game for 1 to 4 players. At each turn the player moves pawns on an octagonal shaped board (but squared cells) trying to remove opponent's pawns from it. . Biloba includes an AI opponent for single player mode, and supports local or network play for 2 or more (up to 4) players. Package: biloba-data Source: biloba Version: 0.9.3-4 Installed-Size: 3013 Maintainer: Ricardo Mones Architecture: all Recommends: biloba (>= 0.9.3-4) Size: 2958132 SHA256: aa1b56a7f07ae5c243ec305a9c47849b2fdb5aedd5cff0247ea4a23867f85fa9 SHA1: 1e9ebed29b9b1e197f00e92604ba44e75d9a9dfe MD5sum: 889a92288e0fc00ab6cbf5c59d41abcf Description: data package for biloba turn based strategy board game This is the required data package for biloba. Biloba is an abstract strategy board game for 1 to 4 players. At each turn the player moves pawns on an octagonal shaped board (but squared cells) trying to remove opponent's pawns. Homepage: http://biloba.sourceforge.net/ Tag: game::board, game::strategy, implemented-in::c, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/b/biloba/biloba-data_0.9.3-4_all.deb Package: bin86 Source: linux86 Version: 0.16.17-3.1 Architecture: armhf Maintainer: Juan Cespedes Installed-Size: 181 Depends: libc6 (>= 2.7) Conflicts: linux86 Priority: optional Section: devel Filename: pool/main/l/linux86/bin86_0.16.17-3.1_armhf.deb Size: 89840 SHA256: 8e61597491531bb7280bf09447ebcdc35bcd1b3ace85ae0b4b945f1b09cca0c9 SHA1: ce3cbfeaee19b1379598dc9c943e68fc1a3e3f58 MD5sum: 16144673037bd8b797e5bb190869b824 Description: 16-bit x86 assembler and loader This is the as86 and ld86 distribution written by Bruce Evans. It's a complete 8086 assembler and loader which can make 32-bit code for the 386+ processors. Package: binclock Version: 1.5-6 Architecture: armhf Maintainer: Nico Golde Installed-Size: 53 Depends: libc6 (>= 2.13-28) Homepage: http://www.ngolde.de/binclock.html Priority: optional Section: utils Filename: pool/main/b/binclock/binclock_1.5-6_armhf.deb Size: 9296 SHA256: 1e63efbf86553c6b20438ba2220ef2a2a8519ed95c831954e6cf24820c0ca704 SHA1: 8fb9df606cb5d2ba218ad1659db9d06b57d76abd MD5sum: 5c1141afa56b4746a1cf235753c485e5 Description: binary clock for console with color support BinClock - Displays system time in binary format. It supports showing the time with eight different colors, and it can run a loop that prints the time every second. The default colors and characters for printing can be changed with a config file. Package: bind9 Version: 1:9.8.4.dfsg.P1-6+nmu2+deb7u20 Architecture: armhf Maintainer: LaMont Jones Installed-Size: 737 Depends: libbind9-80 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libc6 (>= 2.13-28), libcap2 (>= 2.10), libdns88 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libgssapi-krb5-2 (>= 1.6.dfsg.2), libisc84 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libisccc80 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libisccfg82 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), liblwres80 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.7.4), debconf (>= 0.5) | debconf-2.0, netbase, adduser, lsb-base (>= 3.2-14), bind9utils (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), net-tools Suggests: dnsutils, bind9-doc, resolvconf, ufw Conflicts: apparmor-profiles (<< 2.1+1075-0ubuntu4), bind Replaces: apparmor-profiles (<< 2.1+1075-0ubuntu4), bind, dnsutils (<< 1:9.1.0-3) Priority: optional Section: net Filename: pool/main/b/bind9/bind9_9.8.4.dfsg.P1-6+nmu2+deb7u20_armhf.deb Size: 351240 SHA256: 83b38b933fa483c954700c487c095787b9ec449726630d4be8400b3f8ac83877 SHA1: 1a0096e8083861df10474bf19cc592004bac3f70 MD5sum: be1186f31a513802fb33782df3f27670 Description: Internet Domain Name Server The Berkeley Internet Name Domain (BIND) implements an Internet domain name server. BIND is the most widely-used name server software on the Internet, and is supported by the Internet Software Consortium, www.isc.org. This package provides the server and related configuration files. Package: bind9-doc Source: bind9 Version: 1:9.8.4.dfsg.P1-6+nmu2+deb7u20 Installed-Size: 1268 Maintainer: LaMont Jones Architecture: all Size: 367118 SHA256: f2e217c8525cbd85a7e96217561305a88a1b42deefad584f029dc7689c967869 SHA1: b73142e5f2fbbafa402a5f71477f30fa62c27300 MD5sum: 4a8b57199b0d52e8a5e34bda68ec9257 Description: Documentation for BIND This package provides various documents that are useful for maintaining a working BIND installation. Section: doc Priority: optional Filename: pool/main/b/bind9/bind9-doc_9.8.4.dfsg.P1-6+nmu2+deb7u20_all.deb Package: bind9-host Source: bind9 Version: 1:9.8.4.dfsg.P1-6+nmu2+deb7u20 Architecture: armhf Maintainer: LaMont Jones Installed-Size: 125 Depends: libbind9-80 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libc6 (>= 2.13-28), libcap2 (>= 2.10), libdns88 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libgssapi-krb5-2 (>= 1.6.dfsg.2), libisc84 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libisccfg82 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), liblwres80 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.6.27) Conflicts: dnsutils (<< 1:9.0.0), host (<< 1:9.7.0) Replaces: dnsutils (<< 1:9.0.0), host (<< 1:9.7.0) Provides: host Priority: standard Section: net Filename: pool/main/b/bind9/bind9-host_9.8.4.dfsg.P1-6+nmu2+deb7u20_armhf.deb Size: 73138 SHA256: 064aee60543e3044b9539ede5add8e19cf96cf5b329750b39d77e1f56c40fcae SHA1: 7efcebeaf800d186ccf6fd5b103760286bfa87b1 MD5sum: 396c41db04b5e116198923cedac4e726 Description: Version of 'host' bundled with BIND 9.X This package provides the 'host' program in the form that is bundled with the BIND 9.X sources. Package: bind9utils Source: bind9 Version: 1:9.8.4.dfsg.P1-6+nmu2+deb7u20 Architecture: armhf Maintainer: LaMont Jones Installed-Size: 239 Depends: libbind9-80, libc6 (>= 2.13-28), libcap2 (>= 2.10), libdns88, libgcc1 (>= 1:4.4.0), libgssapi-krb5-2 (>= 1.6.dfsg.2), libisc84, libisccc80, libisccfg82, libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.6.27) Replaces: bind9 (<= 1:9.5.0~b2-1) Priority: optional Section: net Filename: pool/main/b/bind9/bind9utils_9.8.4.dfsg.P1-6+nmu2+deb7u20_armhf.deb Size: 123814 SHA256: 2cd1dbfe839c194746dd3be9f20780c1875a1b690ad8a79370392bf77ad95140 SHA1: 1724baa4f70be0562689344d64dcacc5c356a56a MD5sum: 7cb1f05fd3e479d10d0d0e5a36b21dba Description: Utilities for BIND This package provides various utilities that are useful for maintaining a working BIND installation. Package: bindfs Version: 1.10.3-2 Architecture: armhf Maintainer: Eugene V. Lyubimkin Installed-Size: 73 Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), fuse Homepage: http://code.google.com/p/bindfs/ Priority: optional Section: utils Filename: pool/main/b/bindfs/bindfs_1.10.3-2_armhf.deb Size: 23140 SHA256: 7235091c3c808462ac064c3ddacdcc6ff5b47912d1160968dc37132a6cc48bc7 SHA1: 49d9bd8b2057c9b60c4906a180871d7c17515e60 MD5sum: 238e2c401f3a48e402606502c2f53580 Description: mirrors or overlays a local directory with altered permissions bindfs is a FUSE filesystem for mirroring a directory to another directory, similarly to mount --bind. The permissions of the mirrored directory can be altered in various ways. . Some things bindfs can be used for: - Making a directory read-only. - Making all executables non-executable. - Sharing a directory with a list of users (or groups). - Modifying permission bits using rules with chmod-like syntax. - Changing the permissions with which files are created. . Non-root users can use almost all features, but most interesting use-cases need user_allow_other to be defined in /etc/fuse.conf. Package: bindgraph Version: 0.2a-5.1 Installed-Size: 160 Maintainer: Jose Luis Tallon Architecture: all Depends: libfile-tail-perl, librrds-perl, debconf (>= 0.5) | debconf-2.0 Recommends: httpd, bind9 Size: 24572 SHA256: 292cbbec53a4b9e556170e041744622b3def854ed70ebea577d964c8544cc960 SHA1: 8263a169661fd088ae397c9729af30355f0de510 MD5sum: f87b6652a5a0b27e2e8c81408f27141c Description: DNS statistics RRDtool frontend for BIND9 BindGraph is a very simple DNS statistics RRDtool frontend for BIND9 that produces daily, weekly, monthly and yearly graphs of the DNS server's activity (queries, errors, etc.). Tag: implemented-in::perl, interface::commandline, protocol::dns, role::program, scope::utility, use::monitor, works-with::db, works-with::logfile Section: admin Priority: extra Filename: pool/main/b/bindgraph/bindgraph_0.2a-5.1_all.deb Package: binfmt-support Version: 2.0.12 Architecture: armhf Maintainer: Colin Watson Installed-Size: 198 Depends: libc6 (>= 2.13-28), libpipeline1 (>= 1.0.0), lsb-base (>= 3.0-6) Multi-Arch: foreign Priority: optional Section: admin Filename: pool/main/b/binfmt-support/binfmt-support_2.0.12_armhf.deb Size: 73478 SHA256: 076842ee2a45a56dbf6478b64b2a9ad2b616a5aa3a0591ce1eb16996a703c99e SHA1: 5e4d94d655245b44e90f5ac01be82d13953534da MD5sum: 07d7d38b750c98abec626bc7bdf04ef4 Description: Support for extra binary formats The binfmt_misc kernel module, contained in versions 2.1.43 and later of the Linux kernel, allows system administrators to register interpreters for various binary formats based on a magic number or their file extension, and cause the appropriate interpreter to be invoked whenever a matching file is executed. Think of it as a more flexible version of the #! executable interpreter mechanism. . This package provides an 'update-binfmts' script with which package maintainers can register interpreters to be used with this module without having to worry about writing their own init.d scripts, and which sysadmins can use for a slightly higher-level interface to this module. Package: binfmtc Version: 0.17-1 Architecture: armhf Maintainer: Junichi Uekawa Installed-Size: 114 Depends: libc6 (>= 2.4), gcc, binutils, g++, binfmt-support Recommends: libreadline-dev Suggests: gfortran, gcj-jdk Priority: extra Section: utils Filename: pool/main/b/binfmtc/binfmtc_0.17-1_armhf.deb Size: 26844 SHA256: 82641f072964c0a303d0dd08544b73dcd9e2afd709f05eb0d1888486b9c5198d SHA1: b6cd281303a05042d228218547394a426cbc2428 MD5sum: 4a7a9b8a70bcde04950fe32a7d7867bc Description: Execute C program as script Linux binfmt_misc handler for C, C++, Assembly languages, Pascal, Fortran, Java(GCJ). . Using the binfmt_misc interface, binfmtc allows users to seamlessly execute C source code as if they were scripts. . Includes example utilities realcsh.c, realksh.c, which are for your real C scripting pleasures. Package: bing Version: 1.1.3-2 Architecture: armhf Maintainer: Mark Purcell Installed-Size: 76 Depends: libc6 (>= 2.7) Homepage: http://fgouget.free.fr/bing/bing_src-readme-1st.shtml Priority: optional Section: net Filename: pool/main/b/bing/bing_1.1.3-2_armhf.deb Size: 28856 SHA256: 8d7938b7f74a84a3b05a7b23c9b4c7e61adf1d890262f8832a01355db6b53ba5 SHA1: 0255ee4372cd76272760c91bcbf424bbd0b707a1 MD5sum: f2f16f3aab253a3e63e0e5268baeecd8 Description: Empirical stochastic bandwidth tester Bing is a point-to-point bandwidth measurement tool (hence the 'b'), based on ping. . Bing determines the real (raw, as opposed to available or average) throughput on a link by measuring ICMP echo requests' round trip times for different packet sizes at each end of the link. Package: biniax2 Version: 1.30-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 108 Depends: biniax2-data (= 1.30-1), libc6 (>= 2.13-28), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11) Homepage: http://biniax.com/index2.html Priority: extra Section: games Filename: pool/main/b/biniax2/biniax2_1.30-1_armhf.deb Size: 28626 SHA256: 9920bca583044b74213f6ce1063739ecfd2dd82df2c1487cf393d18a0debd768 SHA1: 221b7534ccfb144ff52b212bf898c09a1464e16f MD5sum: 02e1e2b66e542bdb0d5ceb158529caef Description: logic game with arcade and tactics modes Biniax-2 is an original and entertaining game. Takes a minute to learn and gives you hours and hours of gameplay. There are three game modes (two singleplayer and one multiplayer), hall of fame, dynamic music and a nice cartoon look. Package: biniax2-data Source: biniax2 Version: 1.30-1 Installed-Size: 3912 Maintainer: Debian Games Team Architecture: all Recommends: biniax2 (>= 1.30-1) Size: 2994706 SHA256: c67395e97afaf81be735717cc7c5e50b4e1bc39f0d14656512c6f90d4771ce96 SHA1: ab9e27186f5394a69060951d6dd801b642e78bea MD5sum: 78f8b8b1926382bd7ad695ffebd36c22 Description: logic game with arcade and tactics modes - game data This package includes the runtime data for the game Biniax-2. Homepage: http://biniax.com/index2.html Tag: role::app-data, use::gameplaying Section: games Priority: extra Filename: pool/main/b/biniax2/biniax2-data_1.30-1_all.deb Package: binkd Version: 0.9.11-1.1 Architecture: armhf Maintainer: Marco d'Itri Installed-Size: 256 Depends: libc6 (>= 2.13-28), perl, adduser Priority: extra Section: comm Filename: pool/main/b/binkd/binkd_0.9.11-1.1_armhf.deb Size: 98598 SHA256: 911cc4c6ab59a1acf12c3845ce1ee9cd534171b9852843733920d7cb51293130 SHA1: b8dee734c97f76369709902f18f15e3ccbbbc2c6 MD5sum: 45b0b7318daa0154c96b14d446c8621c Description: FidoTech TCP/IP mailer Binkd is a FidoTech mailer designed for use over TCP/IP. . This program is NOT an internet mail transfer agent. If you don't know what it is, you don't need it. Package: bino Version: 1.4.0-1 Architecture: armhf Maintainer: Daniel Schaal Installed-Size: 1516 Depends: dpkg (>= 1.15.4) | install-info, libass4 (>= 0.9.7), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavdevice53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglewmx1.7 (>= 1.7.0), liblircclient0, libopenal1, libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0), libswscale2 (>= 5:0.8-2~), libx11-6 Homepage: http://bino3d.org/ Priority: extra Section: video Filename: pool/main/b/bino/bino_1.4.0-1_armhf.deb Size: 778940 SHA256: d0eb5d449db60be62f6a6b810a5de3da17ca4294a3f3436a32d150f66365d988 SHA1: 32d1c88729ddddf91fee8615478724f8dbcf0ce5 MD5sum: 10dddd2db2e4e678f7031967d7195a4e Description: 3D video player Bino is a video player with support for a wide variety of stereoscopic video layouts and formats. . Bino can display monoscopic videos, as well as videos with the left and right view stored either in separate streams or displayed side by side. It can output these videos for several types of anaglyph glasses or 3D displays. Package: bino-dbg Source: bino Version: 1.4.0-1 Architecture: armhf Maintainer: Daniel Schaal Installed-Size: 2087 Depends: bino (= 1.4.0-1) Homepage: http://bino3d.org/ Priority: extra Section: debug Filename: pool/main/b/bino/bino-dbg_1.4.0-1_armhf.deb Size: 1965484 SHA256: 27ba22d7ee0c076c6f3bede0acc338a539a5691b680627e0cb2b13a13c238c3b SHA1: 98db27a66df7e9ce81998750d65934058aa2c204 MD5sum: 630ffa96c1deec1187550c0c1bc9e862 Description: debugging symbols for bino 3D video player Bino is a video player with support for a wide variety of stereoscopic video layouts and formats. . Bino can display monoscopic videos, as well as videos with the left and right view stored either in separate streams or displayed side by side. It can output these videos for several types of anaglyph glasses or 3D displays. . This package contains the debugging symbols. Package: bins Version: 1.1.29-16 Installed-Size: 1173 Maintainer: Ludovic Rousseau Architecture: all Depends: libio-string-perl, libimage-size-perl, libimage-info-perl, perlmagick, libhtml-parser-perl, libhtml-template-perl, liburi-perl, libxml-perl, libxml-grove-perl, libxml-handler-yawriter-perl, libtext-iconv-perl, liblocale-gettext-perl, libjpeg-progs, libxml-writer-perl, libxml-xql-perl, libhtml-clean-perl, libtimedate-perl, libtext-unaccent-perl Size: 268616 SHA256: b6343cc8b00e3fbbb8220491327f964505ec6c56d88cfbb710c85c1c3fac6a37 SHA1: 884d1738127e791e294ea6ce0f5d2eb535ee6892 MD5sum: 6d6502537f06776bccf5e6fd77ac8486 Description: Generate static HTML photo albums using XML and EXIF tags BINS generates a complete static gallery (images and HTML) with thumbnails and image lists, using XML files to hold information about each image. Includes bins_edit tool for adding information to the XML files. Interprets EXIF and JFIF tags (and Canon extensions) in the jpeg directly. Gallery appearance customizable through HTML templates; gallery can be generated in different languages. . Based on SWIGS (Structured Web Image Gallery System). Homepage: http://bins.sautret.org/ Tag: implemented-in::perl, interface::x11, role::program, scope::application, suite::gnome, uitoolkit::gtk, use::viewing, works-with-format::html, works-with-format::xml, works-with::image, works-with::image:raster, works-with::text, x11::application Section: web Priority: optional Filename: pool/main/b/bins/bins_1.1.29-16_all.deb Package: binstats Version: 1.08-8 Installed-Size: 80 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Depends: bsdmainutils, debianutils (>= 1.13.1), file Size: 15490 SHA256: 8b028d912fe05a160d8595fc5a8e4580595557b2a84f7b5c17c9620eaa146bf0 SHA1: 489a4d441afabf314503dbe399b1aad7fb006235 MD5sum: af8f6c7265a3a80fa910d031943ea773 Description: Statistics tool for installed programs A utility to aid the tidying up of binaries, interpreted scripts, and dynamic libraries. It can find the number and identity of a.out and ELF binaries, plus their debugging symbols status, setuid status, and dynamic library dependence. It can count the number of Java bytecode programs, tally up the main types of scripts, and look for unidentified executable text files. . Also it is able to find any duplicated executable names, unused libraries, binaries with missing libraries, statically linked binaries, and duplicated manual page names. Tag: devel::debugger, interface::commandline, role::program, scope::utility, use::scanning, works-with::software:running Section: utils Priority: optional Filename: pool/main/b/binstats/binstats_1.08-8_all.deb Package: binutils Version: 2.22-8+deb7u3 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 12035 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.2.0) Suggests: binutils-doc (>= 2.22-8+deb7u3) Conflicts: binutils-gold (<< 2.20.51.20100415), elf-binutils, gas, modutils (<< 2.4.19-1) Replaces: binutils-gold (<< 2.20.51.20100415) Provides: elf-binutils Priority: optional Section: devel Filename: pool/main/b/binutils/binutils_2.22-8+deb7u3_armhf.deb Size: 4534000 SHA256: 151c3e8b49364633f5416ad4d580711e3b82c11673d1ef7d5eac65d126e58c10 SHA1: e1ede7cd4e13f1f989135c98fd6351e7b818fa26 MD5sum: f2ac029e2ed615387c4bdce1ab0e51b1 Description: GNU assembler, linker and binary utilities The programs in this package are used to assemble, link and manipulate binary and object files. They may be used in conjunction with a compiler and various libraries to build programs. Package: binutils-avr Version: 2.20.1-3 Architecture: armhf Maintainer: Hakan Ardo Installed-Size: 13261 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Suggests: binutils (>= 2.20.1-3) Priority: extra Section: devel Filename: pool/main/b/binutils-avr/binutils-avr_2.20.1-3_armhf.deb Size: 4187650 SHA256: e82561794250b19195d8e0dd6603edec2828b00516b3ce9b3b916f343d20b10c SHA1: 80c0b3b4250a108b7d9a56406880e0c16e622f51 MD5sum: d6bc4987e38236bcd08046fe54f4b4f2 Description: Binary utilities supporting Atmel's AVR targets The programs in this package are used to manipulate binary and object files that may have been created for Atmel's AVR architecture. This package is primarily for AVR developers and cross-compilers and is not needed by normal users or developers. Package: binutils-dev Source: binutils Version: 2.22-8+deb7u3 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 8256 Depends: binutils (= 2.22-8+deb7u3) Conflicts: libbfd-dev Replaces: libbfd-dev, libc5-dev Provides: libbfd-dev Priority: extra Section: devel Filename: pool/main/b/binutils/binutils-dev_2.22-8+deb7u3_armhf.deb Size: 3186818 SHA256: 8111d1f81fbcd4dfb53381b31d19d000b3513cf401c11d00af302c75473c2d43 SHA1: 5b74642eff890b9e69657654ac24cb060ea63f76 MD5sum: 321154bed7c128b83dd96a82de8c4b15 Description: GNU binary utilities (BFD development files) This package includes header files and static libraries necessary to build programs which use the GNU BFD library, which is part of binutils. Note that building Debian packages which depend on the shared libbfd is Not Allowed. Package: binutils-doc Source: binutils Version: 2.22-8+deb7u3 Installed-Size: 596 Maintainer: Matthias Klose Architecture: all Depends: dpkg (>= 1.15.4) | install-info Suggests: binutils (= 2.22-8+deb7u3) Conflicts: binutils (<< 2.9.1.0.25-3) Size: 582100 SHA256: c79acde91ae6983c69f887d06af360f7f856031572c6655e022637f7c9e1ff54 SHA1: 2e049a6cc268eb460acab97a3076ed2517ea85bf MD5sum: 6547fea704e209286f91dba6d4c37d9d Description: Documentation for the GNU assembler, linker and binary utilities This package consists of the documentation for the GNU assembler, linker and binary utilities in info format. Section: doc Priority: optional Filename: pool/main/b/binutils/binutils-doc_2.22-8+deb7u3_all.deb Package: binutils-gold Source: binutils Version: 2.22-8+deb7u3 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 2 Depends: binutils (= 2.22-8+deb7u3) Priority: extra Section: devel Filename: pool/main/b/binutils/binutils-gold_2.22-8+deb7u3_armhf.deb Size: 1394 SHA256: e720a82aa399183c7a1f203eb2aade4689eb0b16b2836b76c7b3c6fe320a9b35 SHA1: 9f88f41006e58431e42151a2c944f1c6d4580d10 MD5sum: d1f5f8731e8f6e2b414aec92890a47f0 Description: GNU gold linker utility Gold is a new linker, which is faster than the current linker included in binutils. . This package diverts the GNU linker (ld) with the gold linker. Package: binutils-h8300-hms Version: 2.16.1-8 Architecture: armhf Maintainer: Michael Tautschnig Installed-Size: 6284 Depends: binutils, libc6 (>= 2.11), libgcc1 (>= 1:4.4.0) Suggests: binutils-doc Priority: extra Section: devel Filename: pool/main/b/binutils-h8300-hms/binutils-h8300-hms_2.16.1-8_armhf.deb Size: 1995776 SHA256: a42204762e6a0893b4916bb5729e4af8d1160d1bece95e77e60955d8c4885441 SHA1: 3cb5b2a1b1f373f09ee067c2b94723b25f4faca2 MD5sum: 4b91203b5877b1838bf34da538cafd46 Description: The GNU binary utilities, for h8300-hitachi-coff target This package provides GNU assembler, linker and binary utilities for h8300-hitachi-coff target, for use in a cross-compilation environment. . You don't need this package unless you plan to cross-compile programs for h8300-hitachi-coff. Package: binutils-m68hc1x Version: 1:2.18-3.2 Architecture: armhf Maintainer: Arthur Loiret Installed-Size: 6757 Depends: libc6 (>= 2.11), libgcc1 (>= 1:4.4.0) Conflicts: binutils-m68hc11, binutils-m68hc12 Replaces: binutils-m68hc11, binutils-m68hc12 Priority: extra Section: devel Filename: pool/main/b/binutils-m68hc1x/binutils-m68hc1x_2.18-3.2_armhf.deb Size: 3364196 SHA256: ccc26be2b16f7d12f51b235b5565a4d7882b11385bcd016d6ba38d877de287fe SHA1: a2e3bf1f02a3282451bfecf743719805c94ee857 MD5sum: f9904e301fac6f64bc9cdb4d4d79e950 Description: binary utilities that support Motorola's 68HC11/12 targets The programs in this package are used to assemble, link and manipulate binary and object files for the Motorola's 68HC11/12 architecture. This package is primarily for 68HC11/12 developers and cross-compilers and is not needed by normal users or developers. Package: binutils-mingw-w64 Source: binutils-mingw-w64 (2+deb7u1) Version: 2.22-8+deb7u2+2+deb7u1 Installed-Size: 122 Maintainer: Stephen Kitt Architecture: all Depends: binutils-mingw-w64-i686, binutils-mingw-w64-x86-64 Size: 124888 SHA256: d0dc43665cfdfa48ce5a7bdb25566ed095c6bc61d51bd539193e019526616e87 SHA1: 407de20f086445601af983a500eb6404931d743e MD5sum: b0f3374138a60a817e63fe750036eee7 Description: Cross-binutils for Win32 and Win64 using MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the toolchain binutils. Homepage: http://www.gnu.org/software/binutils/ Built-Using: binutils (= 2.22-8+deb7u2) Section: devel Priority: extra Filename: pool/main/b/binutils-mingw-w64/binutils-mingw-w64_2.22-8+deb7u2+2+deb7u1_all.deb Package: binutils-mingw-w64-i686 Source: binutils-mingw-w64 (2+deb7u1) Version: 2.22-8+deb7u2+2+deb7u1 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 12036 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.2.0), binutils (>= 2.22) Breaks: binutils-mingw-w64 (<< 2.22-1+1) Replaces: binutils-mingw-w64 (<< 2.22-1+1) Built-Using: binutils (= 2.22-8+deb7u2) Homepage: http://www.gnu.org/software/binutils/ Priority: extra Section: devel Filename: pool/main/b/binutils-mingw-w64/binutils-mingw-w64-i686_2.22-8+deb7u2+2+deb7u1_armhf.deb Size: 5960544 SHA256: 592ea8e025ba7b844705dfae35ed07704ae3cc2048b4cee7498564d03f08614b SHA1: 72757f4cd5326b3b9987f50450f92b2f3a6d9e46 MD5sum: 14fe0afcc3a1418f19a2afe8d0245fe9 Description: Cross-binutils for Win32 (x86) using MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the toolchain binutils targeting 32-bit Windows. Package: binutils-mingw-w64-x86-64 Source: binutils-mingw-w64 (2+deb7u1) Version: 2.22-8+deb7u2+2+deb7u1 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 14867 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.2.0), binutils (>= 2.22) Breaks: binutils-mingw-w64 (<< 2.22-1+1) Replaces: binutils-mingw-w64 (<< 2.22-1+1) Built-Using: binutils (= 2.22-8+deb7u2) Homepage: http://www.gnu.org/software/binutils/ Priority: extra Section: devel Filename: pool/main/b/binutils-mingw-w64/binutils-mingw-w64-x86-64_2.22-8+deb7u2+2+deb7u1_armhf.deb Size: 7339288 SHA256: f4a1ee5ad9c4983bf572a92a73f9d81bec9efbc84a83c38020bae77199f2aad3 SHA1: 44419bd4f746b60af59a554f14f58f7a7acb92c2 MD5sum: 2c73b559779c105709742ba4110bb99f Description: Cross-binutils for Win64 (x64) using MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the toolchain binutils targeting 64-bit Windows. Package: binutils-msp430 Version: 2.22~msp20120406-2 Architecture: armhf Maintainer: Luca Bruno Installed-Size: 7002 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), msp430mcu Suggests: binutils (>= 2.22~msp20120406-2) Priority: extra Section: devel Filename: pool/main/b/binutils-msp430/binutils-msp430_2.22~msp20120406-2_armhf.deb Size: 3556406 SHA256: fb8c2889e87ab769075b84d2769bb5cce45810438bb464c9d23e8a62d249501f SHA1: 9fd0427d69c9c1ed0cf1718a4647595b084d15a8 MD5sum: e62ddd808e5344b78fb7d04ef1edd02d Description: Binary utilities supporting TI's MSP430 targets The programs in this package are used to manipulate binary and object files that may have been created for TI's MSP430 architecture. This package is primarily intended for MSP430 developers and cross-compilers. Package: binutils-multiarch Source: binutils Version: 2.22-8+deb7u3 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 5413 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.2.0), binutils (= 2.22-8+deb7u3) Provides: multiarch-binutils Priority: extra Section: devel Filename: pool/main/b/binutils/binutils-multiarch_2.22-8+deb7u3_armhf.deb Size: 2087988 SHA256: 6f3b201c9815a5afe1ed4f7a42c2ec686a66a4e76d71f5acdda20dee651f3c78 SHA1: e7917d4c692bd41e9a9e5798946ef2824400075f MD5sum: bfbc3ba9bb0b525d669072bea9ea0058 Description: Binary utilities that support multi-arch targets The programs in this package are used to manipulate binary and object files that may have been created on other architectures. This package is primarily for multi-architecture developers and cross-compilers and is not needed by normal users or developers. Note that a cross-assembling version of gas is not included in this package, just the binary utilities. NORMAL USERS SHOULD NOT INSTALL THIS PACKAGE. It's meant only for those requiring support for reading info from binaries from other architectures. Package: binutils-source Source: binutils Version: 2.22-8+deb7u3 Installed-Size: 15045 Maintainer: Matthias Klose Architecture: all Depends: texinfo, zlib1g-dev, make, python Size: 14833954 SHA256: 2fa31ed1bd28b74620726cd12a08893b43252ec1c6bd87847fc6c97c82b92a3d SHA1: 5f914535e245df1c9e4c5ef2bd865915eef1ab85 MD5sum: ba0eedcf9bea87c3c1a5f2aa301a2943 Description: GNU assembler, linker and binary utilities (source) This package contains the sources and patches which are needed to build binutils. Section: devel Priority: optional Filename: pool/main/b/binutils/binutils-source_2.22-8+deb7u3_all.deb Package: binutils-z80 Version: 2.22-3 Architecture: armhf Maintainer: Alberto Garcia Installed-Size: 4827 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.2.0) Suggests: binutils (>= 2.22-3) Multi-Arch: foreign Homepage: https://www.gnu.org/software/binutils/ Priority: extra Section: devel Filename: pool/main/b/binutils-z80/binutils-z80_2.22-3_armhf.deb Size: 2264166 SHA256: 853e6dd2964853078441481373e4b4d7a68a5185bc3ecefaa811d11a9b507820 SHA1: 2235e119832b800eb1bcf6392a2e7fd90c6ed86c MD5sum: bde270087e64b7f13098a70fa41b9b7b Description: GNU binary utilities for the z80-unknown-coff target The programs in this package are used to assemble, link and manipulate binary and object files for the Z80 and R800 CPUs. This package is primarily for Z80 and R800 developers and is not needed by normal users or developers. Package: biogenesis Version: 0.8-1+deb7u1 Installed-Size: 400 Maintainer: Miriam Ruiz Architecture: all Depends: default-jre | java6-runtime Size: 337316 SHA256: 015ce8bbdf81ea49b69acba9a4d580f25c6cab2a14e5cb58f00e67ebd086a8ea SHA1: bfb9556da09a41df9198dbbb00b05bfaf42ebd38 MD5sum: 53477f19277de313da95f8e916afee9b Description: artificial life program that simulates evolution of organisms Biogenesis is an artificial life program that simulates the processes involved in the evolution of organisms. It shows colored segment based organisms that mutate and evolve in a 2D environment. Biogenesis is based on Primordial Life. Homepage: http://biogenesis.sourceforge.net/ Section: science Priority: optional Filename: pool/main/b/biogenesis/biogenesis_0.8-1+deb7u1_all.deb Package: biomaj Version: 1.2.1-1 Installed-Size: 4066 Maintainer: Debian Med Packaging Team Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, ant, ant-contrib, ant-optional, junit4, libcommons-cli-java, libcommons-codec-java, libcommons-dbcp-java (>= 1.2), libcommons-httpclient-java, libcommons-logging-java, libcommons-net2-java (>= 2.2), libcommons-pool-java (>= 1.5), libgnujaf-java, libgnumail-java, libhsqldb-java, libjcommon-java (>= 1.0), libjets3t-java, libjgoodies-forms-java (>= 1.2), libjsch-java (>= 0.1.42), liblog4j1.2-java, libmysql-java, liboro-java (>= 2.0), default-jre | java6-runtime, debconf, libregexp-java (>= 1.4), libjdom1-java (>= 1.1), libsaxonb-java (>= 0.9), perl, mysql-server Suggests: ncbi-tools-bin, blast2 Size: 3767188 SHA256: cedfe2b50a505908d796152b59be6d5638f82f16c5db8899663ef611de3d1db6 SHA1: 2dbb21e0de82d77d91ef1c9be3428fa5a0715640 MD5sum: a7af84da040af1eb5f159a0085678606 Description: biological data-bank updater BioMAJ downloads remote data banks, checks their status and applies transformation workflows, with consistent state, to provide ready-to-use data for biologists and bioinformaticians. For example, it can transform original FASTA files into BLAST indexes. It is very flexible and its post-processing facilities can be extended very easily. Homepage: http://biomaj.genouest.org Tag: field::biology, field::biology:bioinformatics, implemented-in::java, interface::commandline, role::program Section: science Priority: optional Filename: pool/main/b/biomaj/biomaj_1.2.1-1_all.deb Package: biomaj-properties Source: biomaj Version: 1.2.1-1 Installed-Size: 250 Maintainer: Debian Med Packaging Team Architecture: all Size: 34320 SHA256: 7e8f140ff9d5ca5eeeed09ade17cf8097918ada0292f836c339d55283bd93b88 SHA1: 2308f0eb9c54a5d053b21b120c366d72c5df0beb MD5sum: 429a37551f320b7962e3718551f07ac7 Description: biological data-bank updater - example properties files This package contains a set of data bank properties files that can be used as examples for BioMAJ. It contains descriptions for many existing data banks with or without workflows to transform data. Some files may require additional programs (such as BLAST) for post-processing. Homepage: http://biomaj.genouest.org Tag: field::biology, role::examples Section: science Priority: optional Filename: pool/main/b/biomaj/biomaj-properties_1.2.1-1_all.deb Package: bioperl Version: 1.6.901-3 Installed-Size: 893 Maintainer: Debian Med Packaging Team Architecture: all Depends: libbio-perl-perl (= 1.6.901-3), perl Recommends: bioperl-run (>= 1.6.0), perl-doc, libhttp-message-perl, libwww-perl, libcache-cache-perl, libbio-perl-run-perl, libdbi-perl, libgd-gd2-perl, libapache-dbi-perl, liburi-perl, libxml-simple-perl, libyaml-perl, libace-perl, liblist-moreutils-perl, libxml-twig-perl, libset-scalar-perl Suggests: groff-base Size: 467416 SHA256: 490dacb821ec0dc7cd79674e1dfa3a0dca1a58a36f7a9337b98aba78483625b6 SHA1: 9787f360b0ee188386afdb46f4a24dc79e0a5329 MD5sum: e4713276242ff05a54787a20a7fb4423 Description: Perl tools for computational molecular biology The Bioperl project is a coordinated effort to collect computational methods routinely used in bioinformatics into a set of standard CPAN-style, well-documented, and freely available Perl modules. It is well-accepted throughout the community and used in many high-profile projects, e.g., Ensembl. . The recommended packages are needed to run some of the included binaries, for a detailed explanation including the specific Perl modules please see README.Debian. . The suggested package enhances the manual pages. Homepage: http://www.bioperl.org/ Tag: devel::lang:perl, devel::library, field::biology, field::biology:bioinformatics, implemented-in::perl, role::devel-lib, role::shared-lib Section: science Priority: optional Filename: pool/main/b/bioperl/bioperl_1.6.901-3_all.deb Package: bioperl-run Version: 1.6.9-1 Installed-Size: 144 Maintainer: Debian Med Packaging Team Architecture: all Depends: perl, bioperl (>= 1.6.0), libbio-perl-run-perl (= 1.6.9-1) Recommends: amap-align, bedtools, blast2, bowtie, bwa, clustalw, emboss, exonerate, hmmer, infernal, kalign, maq, mafft, muscle, phyml, primer3, probcons, samtools, sim4, t-coffee, tigr-glimmer, wise Suggests: gmap, paml, molphy, phylip, ensembl Size: 46294 SHA256: 687f553a99475cdcdff833f3600c1899353541832cba9daf513f2b7fa2d0ce29 SHA1: 30dd4a966b8be7143e4e550579bdb2db5eabca04 MD5sum: e4e1eab03f0dfd9ddebcac2b1e6aa767 Description: BioPerl wrappers: scripts Contains scripts from the BioPerl-Run package. This package will also install all wrappable applications packaged in Debian. The ones that are not Free are "Suggested" by this package. Homepage: http://www.bioperl.org/wiki/Run_package Tag: field::biology, field::biology:bioinformatics, implemented-in::perl, interface::commandline, role::program Section: science Priority: optional Filename: pool/main/b/bioperl-run/bioperl-run_1.6.9-1_all.deb Package: biosig-tools Source: biosig4c++ Version: 1.3.0-2 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 66 Depends: libbiosig1, libc6 (>= 2.13-28), libcholmod1.7.1 (>= 1:3.4.0), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1), zlib1g (>= 1:1.1.4) Homepage: http://biosig.sf.net/ Priority: extra Section: science Filename: pool/main/b/biosig4c++/biosig-tools_1.3.0-2_armhf.deb Size: 14726 SHA256: 6c5086caaff368ee200506289aac517ad739f21cce86fa077a073abab0a46ca1 SHA1: 2370a1528a5e9029cef8e5013bf0aa949c80f921 MD5sum: 5d92c93224b071c7870950da25696119 Description: format conversion tools for biomedical data formats Based on BioSig library, this package provides command line tools, such as . - save2gdf: converter between different file formats, including but not limited to SCP-ECG(EN1064), HL7aECG (FDA-XML), GDF, EDF, BDF, CWFB. save2gdf can be also used to upload or retrieve data from a bscs server. Package: biosquid Version: 1.9g+cvs20050121-2 Architecture: armhf Maintainer: Debian-Med Packaging Team Installed-Size: 1292 Depends: libc6 (>= 2.4) Recommends: hmmer (>> 2.1.4) Conflicts: hmmer (<= 2.1.4) Homepage: http://selab.wustl.edu/cgi-bin/selab.pl?mode=software Priority: optional Section: science Filename: pool/main/b/biosquid/biosquid_1.9g+cvs20050121-2_armhf.deb Size: 678856 SHA256: d8d19dd7816292add6fb504ac4c44d164df7f1b16965468fe5af3e373fe2325d SHA1: 8c69f08f5f2695786d2f8fd878bd97203928e30a MD5sum: 1ccdf69cb4cd83f47e98151ff14df012 Description: utilities for biological sequence analysis SQUID is a library of C code functions for sequence analysis. It also includes a number of small utility programs to convert, show statistics, manipulate and do other functions on sequence files. . The original name of the package is "squid", but since there is already a squid on the archive (a proxy cache), it was renamed to "biosquid". Package: biosquid-dev Source: biosquid Version: 1.9g+cvs20050121-2 Architecture: armhf Maintainer: Debian-Med Packaging Team Installed-Size: 294 Suggests: biosquid Homepage: http://selab.wustl.edu/cgi-bin/selab.pl?mode=software Priority: optional Section: devel Filename: pool/main/b/biosquid/biosquid-dev_1.9g+cvs20050121-2_armhf.deb Size: 101872 SHA256: f112ec1d9e6c0f6f18c2fd196bced7e1fc9cd31e8f544d3748fd70b6ae0e879f SHA1: 03ce55b5eb48a3d857f3abab9efdff08c2b60a67 MD5sum: b4e77609d84ca8b4440d223a5e92fc74 Description: headers and static library for biological sequence analysis SQUID is a library of C code functions for sequence analysis. It also includes a number of small utility programs to convert, show statistics, manipulate and do other functions on sequence files. . The original name of the package is "squid", but since there is already a squid on the archive (a proxy cache), it was renamed to "biosquid". Package: bip Version: 0.8.8-2 Architecture: armhf Maintainer: Pierre-Louis Bonicoli Installed-Size: 313 Depends: libc6 (>= 2.7), libssl1.0.0 (>= 1.0.0), adduser, lsb-base (>= 3.2-14) Homepage: http://bip.t1r.net Priority: optional Section: net Filename: pool/main/b/bip/bip_0.8.8-2_armhf.deb Size: 141494 SHA256: 29f5dd909ac4a57f30e549436efc8a1327b5cef31ae8c766dedbabc7254eb4ad SHA1: db01705f86994244718f07798d2f026b6a70ede7 MD5sum: cbf20d2e26ca1d339377182fe50b9911 Description: multiuser irc proxy with conversation replay and more Bip is a IRC proxy that supports replaying logged conversation when a client connects, multiple clients on one irc server connection, It has a handy logging directory structure. It is multiuser, and has a flexible configuration. Some of its configuration can be changed at runtime with special irc commands. Package: bird Version: 1.3.7-1 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 561 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libtinfo5 Recommends: bird6 Conflicts: routed, zebra Homepage: http://bird.network.cz/ Priority: extra Section: net Filename: pool/main/b/bird/bird_1.3.7-1_armhf.deb Size: 355340 SHA256: 188f9aac79e5fa5583d2bac3fffd469e433a88e760d5a5a1f81df9b5e2d206b3 SHA1: bbb351e9b6430962bd76bef05a61ace7fc2c3b29 MD5sum: b15221a51d64b1b6d25efcff5a6165f5 Description: Internet Routing Daemon BIRD is a Internet routing daemon with full support for all the major routing protocols. It allows redistribution between protocols with a powerful route filtering syntax and an easy-to-use configuration interface. . This package supports IPv4 versions of OSPFv2, RIPv2 and BGP. Package: bird-dbg Source: bird Version: 1.3.7-1 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 2379 Depends: bird (= 1.3.7-1) | bird6 (= 1.3.7-1) Recommends: gdb Homepage: http://bird.network.cz/ Priority: extra Section: debug Filename: pool/main/b/bird/bird-dbg_1.3.7-1_armhf.deb Size: 1071970 SHA256: 199922688136914c34e54396d853e199f121358e5624ce793868492a8fe2f0d6 SHA1: 3f6a79dfbaab866904e9ad97828a9dd7fb3fc574 MD5sum: c011a5a1d9e8da36f4bd7dcae730ce89 Description: Debug symbols for BIRD BIRD is a Internet routing daemon with full support for all the major routing protocols. It allows redistribution between protocols with a powerful route filtering syntax and an easy-to-use configuration interface. . This package provides the debug symbols for BIRD needed for properly debugging errors in BIRD with gdb. Package: bird6 Source: bird Version: 1.3.7-1 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 597 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libtinfo5 Recommends: bird Conflicts: routed, zebra Homepage: http://bird.network.cz/ Priority: extra Section: net Filename: pool/main/b/bird/bird6_1.3.7-1_armhf.deb Size: 377074 SHA256: 06066f5e21f47e8a2d0b6bebfe34627fe8e09f710dec333feccddaa39bbc8f35 SHA1: 27c5bae0a89213e9825e154481ed6497c2240449 MD5sum: cff58a5c0ccb4c8dec040e25fd926e6b Description: Internet Routing Daemon BIRD is a Internet routing daemon with full support for all the major routing protocols. It allows redistribution between protocols with a powerful route filtering syntax and an easy-to-use configuration interface. . This package supports IPv4 versions of OSPFv3, RIPng and BGP. Package: birthday Version: 1.6.2-3 Architecture: armhf Maintainer: Bart Martens Installed-Size: 87 Depends: libc6 (>= 2.7) Recommends: perl Homepage: http://sourceforge.net/projects/birthday/ Priority: optional Section: misc Filename: pool/main/b/birthday/birthday_1.6.2-3_armhf.deb Size: 24610 SHA256: eead3753cebdb7187f844a7d211ce7a7aa359652d44109287bddc23f365a57e2 SHA1: 5220eaa9fd01d697f66b7f3ad5e650f3cb63493f MD5sum: 0b9f8ebf4735216e908d727a00316649 Description: Display information about pending events on login Given a list of the dates of various different events, works out and displays a list of those which will come up in the next couple of weeks. This was originally designed for birthdays, but can equally be used for reminders about yearly events, or for a running diary. . Note that if you want to use vcf2birthday script you will need perl. Package: bisho Version: 0.27.2+git20111122.9e68ef3d-1 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 741 Depends: libatk1.0-0 (>= 1.12.4), libbisho-common0, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnome-keyring0 (>= 2.20.3), libgtk2.0-0 (>= 2.12), libmx-1.0-2 (>= 1.2.0), libpango1.0-0 (>= 1.14.0), librest-0.7-0 (>= 0.7), librest-extras-0.7-0, libsocialweb-client2 (>= 0.25.3), libsocialweb0 (>= 0.23.1), libsoup2.4-1 (>= 2.4.0), libunique-1.0-0 (>= 1.0.0), libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2) Homepage: http://meego.com/ Priority: optional Section: web Filename: pool/main/b/bisho/bisho_0.27.2+git20111122.9e68ef3d-1_armhf.deb Size: 51652 SHA256: 0fe341b55a68d7d67244d6a5d5527d9f383731f48bcf27b6db4304bce9dd5a5d SHA1: 539fdc9c7c7019f4fdb2f58390be5d45c5bb6a5a MD5sum: 0e0ca490b67374f62c211663241c1ce6 Description: Meego web services settings bisho is the settings front-end for Meego web services. Users can use bisho to set the account information for web services like Last.fm or Twitter. Package: bison Version: 1:2.5.dfsg-2.1 Architecture: armhf Maintainer: Chuan-kai Lin Installed-Size: 1777 Depends: m4, libc6 (>= 2.4), libbison-dev (= 1:2.5.dfsg-2.1) Suggests: bison-doc Multi-Arch: foreign Homepage: http://www.gnu.org/software/bison/ Priority: optional Section: devel Filename: pool/main/b/bison/bison_2.5.dfsg-2.1_armhf.deb Size: 669154 SHA256: c82ba45c51ff95575dfd97f7efbc80259b1c8c8ed1d1de33c8de76fbe24e9bf1 SHA1: 7ccb1796423845fa4c7a9645f3cea27723d9322d MD5sum: 575dd4b67a12f6ce935e6b68fd6e82ac Description: YACC-compatible parser generator Bison is a general-purpose parser generator that converts a grammar description for an LALR(1) context-free grammar into a C program to parse that grammar. Once you are proficient with Bison, you may use it to develop a wide range of language parsers, from those used in simple desk calculators to complex programming languages. . Bison is upward compatible with Yacc: all properly-written Yacc grammars ought to work with Bison with no change. Anyone familiar with Yacc should be able to use Bison with little trouble. Documentation of the program is in the bison-doc package. Package: bison++ Version: 1.21.11-3 Architecture: armhf Maintainer: Jose Luis Tallon Installed-Size: 517 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Recommends: flex-old, c-compiler Conflicts: bison, bison-doc Priority: optional Section: devel Filename: pool/main/b/bison++/bison++_1.21.11-3_armhf.deb Size: 382106 SHA256: 3e4b32609969bcc7e6890d6d109652320a8abc31db1715e88c18e7d7307c9ca9 SHA1: a4436613ea014ed5ca0ce8d2611dee0b32e72b2a MD5sum: 986d7bcbc72b2ba333522601d526e8f0 Description: Generate a parser in c or c++ from BNF notation Based on bison version 1.19. Compatible with bison but with C++ support. Bison is a general-purpose parser generator that converts a grammar description for an LALR (BNF-like) context free grammar into a C/C++ program to parse that grammar. Once you are proficient with bison++ you can generate a wide range of language parsers, from those used in simple desk calculators to complex programming languages. Package: bisonc++ Version: 4.01.00-1 Architecture: armhf Maintainer: Frank B. Brokken Installed-Size: 572 Depends: libbobcat3 (>= 3.01.00), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Suggests: bisonc++-doc, flexc++ Homepage: http://bisoncpp.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/b/bisonc++/bisonc++_4.01.00-1_armhf.deb Size: 202244 SHA256: d502ea1ccd494a62b160dd437913d921a770797cb25b17cd9d294aed94ced4b8 SHA1: 86e281111723d08b63b3437daa40e7a90935666d MD5sum: b25421aa87b6475c266df0c44d9c0d7e Description: Bison-style parser generator for C++ Bisonc++ was designed after `bison++', created by Alain Coetmeur. Bisonc++ adds to bison++ a cleaner class-design, using a base-class to communicate lexical tokens to a lexical scanner. . Since bisonc++ generates the parser class as well as the parsing function. The class can easily be provided with additional members without needing polymorphic functions. Consequently, classes generated by bisonc++ have no virtual members and actually have but one public member: parse(), replacing the old-style bison and bison++ yyparse() function. . Bisonc++ offers many options, among which an option to define classes generated by bisonc++ in a separate namespace. This allows developers to define additional symbols, even outside of the class generated by bisonc++, without encountering name-collision problems. With bisonc++, artificial means to prevent name-collisions, like bison and bison++'s yy-convention are not required anymore when using bisonc++. Bisonc++ merely generates C++ code. If C code is required, bison should be used. Bisonc++'s grammar requirements are highly compatible with bison's requirements, so converting a bison grammar into a bisonc++ grammar should be fairly simple. . In addition to the bisonc++ parser generator itself and several skeleton files, the package contains an extensive man-page, a full manual rewritten after the original bison manual, and several examples. . Some history: Bisonc++ versions 0.98 is a complete rewrite of an LALR(1) parser generator, as described in Aho, Sethi and Ullman's (1986) book `Compilers' (a.k.a. the `Dragon Book'). Version 0.98 was completed in May 2005. Another major rewrite was completed one year later, May 2006, resulting in version 1.00. Package: bisonc++-doc Source: bisonc++ Version: 4.01.00-1 Installed-Size: 1404 Maintainer: Frank B. Brokken Architecture: all Size: 467096 SHA256: 1e38c5642a1c51d4e67d5442fd0c1dbe7953605c35752ff64ada6d22d56daa15 SHA1: 2a315ab95248dd554de261178cfd5d97600f2ca1 MD5sum: 2b4585a6bc1ac66bc20c8e06e3851b58 Description: Bison-style parser generator for C++ Bisonc++ was designed after `bison++', created by Alain Coetmeur. Bisonc++ adds to bison++ a cleaner class-design, using a base-class to communicate lexical tokens to a lexical scanner. . Since bisonc++ generates the parser class as well as the parsing function. The class can easily be provided with additional members without needing polymorphic functions. Consequently, classes generated by bisonc++ have no virtual members and actually have but one public member: parse(), replacing the old-style bison and bison++ yyparse() function. . Bisonc++ offers many options, among which an option to define classes generated by bisonc++ in a separate namespace. This allows developers to define additional symbols, even outside of the class generated by bisonc++, without encountering name-collision problems. With bisonc++, artificial means to prevent name-collisions, like bison and bison++'s yy-convention are not required anymore when using bisonc++. Bisonc++ merely generates C++ code. If C code is required, bison should be used. Bisonc++'s grammar requirements are highly compatible with bison's requirements, so converting a bison grammar into a bisonc++ grammar should be fairly simple. . In addition to the bisonc++ parser generator itself and several skeleton files, the package contains an extensive man-page, a full manual rewritten after the original bison manual, and several examples. . Some history: Bisonc++ versions 0.98 is a complete rewrite of an LALR(1) parser generator, as described in Aho, Sethi and Ullman's (1986) book `Compilers' (a.k.a. the `Dragon Book'). Version 0.98 was completed in May 2005. Another major rewrite was completed one year later, May 2006, resulting in version 1.00. . This package provides the supplemental documentation for Bisonc++. Homepage: http://bisoncpp.sourceforge.net/ Tag: devel::doc, devel::examples, made-of::html, made-of::postscript, role::documentation Section: doc Priority: optional Filename: pool/main/b/bisonc++/bisonc++-doc_4.01.00-1_all.deb Package: bist Version: 0.5.2-1 Architecture: armhf Maintainer: David Paleino Installed-Size: 2520 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libcurl3-gnutls (>= 7.16.2), libexpat1 (>= 2.0.1), libfltk-images1.3, libfltk1.3 (>= 1.3.0), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgsl0ldbl (>= 1.9), libncurses5 (>= 5.5-5~), libpango1.0-0 (>= 1.18.0), libstdc++6 (>= 4.4.0), libtinfo5, libx11-6, libxpm4 Homepage: https://gna.org/projects/bist/ Priority: optional Section: science Filename: pool/main/b/bist/bist_0.5.2-1_armhf.deb Size: 910010 SHA256: 392e878ed4e605c89ec580b9659f0015fe23f0b2e97656e6fa881d228c3c772e SHA1: 9d85082e6bd28362e144c189956c24a8ce5a5b50 MD5sum: c2c15438a44eb97783ed77ec6648a52c Description: chemical drawing tool Bist stands for BIdimensional STructures (in Italian it sounds like "beast" in English) and is a chemical drawing tool. It is focused on organic chemistry but it may be useful also for chemists or teachers. . Bist supports many of the formalisms used to describe molecular structures like single bonds, double bonds, stereospecific bonds, charges, resonance arrows, lone pairs, and so on. . It can export both in PostScript and PNG formats. Package: bitbake Version: 1.12.0-1 Installed-Size: 1080 Maintainer: Jan Lübbe Architecture: all Depends: python (>= 2.6), python-support (>= 0.90.0), python-ply, python-progressbar Size: 192244 SHA256: c8c7985189bcfff97c4dd2e525a1a7b6da905eb66eab6b3babe165d522954391 SHA1: b93f5d29d63173ce5f7583502f179216fcd871c0 MD5sum: 2374c05f8cd5e78286047e8c67a4d228 Description: build system used for embedded Linux distributions BitBake is a simple tool for the execution of tasks. It is derived from Portage, which is the package management system used by the Gentoo Linux distribution. It is most commonly used to build packages, as it can easily use its rudimentary inheritence to abstract common operations, such as fetching sources, unpacking them, patching them, compiling them, and so on. It is the basis of the OpenEmbedded project, which is being used for OpenZaurus, Familiar and a number of other Linux distributions. Homepage: http://bitbake.berlios.de Tag: devel::buildtools, devel::packaging, implemented-in::python, interface::commandline, role::program, works-with::software:source Section: devel Priority: extra Filename: pool/main/b/bitbake/bitbake_1.12.0-1_all.deb Package: bitcoind Source: bitcoin Version: 0.3.24~dfsg-1 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 955 Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcrypto++9, libdb5.1++, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libminiupnpc5, libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Suggests: db-util, db4.8-util, db4.7-util Homepage: http://www.bitcoin.org/ Priority: optional Section: utils Filename: pool/main/b/bitcoin/bitcoind_0.3.24~dfsg-1_armhf.deb Size: 436946 SHA256: 871184439143f9277396065d3ac7180d1e7e279d834879d536b49607c6701fcd SHA1: 1135ebf4cb5c33ba049d37796fe688ce5d81c6ce MD5sum: 50e65786fb99202725ce3b5335eb3dfc Description: peer-to-peer network based anonymous digital currency - daemon Bitcoin is a free open source peer-to-peer electronic cash system that is completely decentralized, without the need for a central server or trusted parties. Users hold the crypto keys to their own money and transact directly with each other, with the help of a P2P network to check for double-spending. . By default connects to an IRC network to discover other peers. . Full transaction history is stored locally at each client. This requires 150+ MB of space, slowly growing. . This package provides bitcoind, a combined daemon and CLI tool to interact with the daemon. Package: bitlbee Version: 3.0.5-1.2+deb7u1 Architecture: armhf Maintainer: Wilmer van der Gaast Installed-Size: 693 Depends: libc6 (>= 2.13-28), libevent-2.0-5 (>= 2.0.10-stable), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.24.0), libgnutls26 (>= 2.12.17-0), debianutils (>= 1.16), bitlbee-common (= 3.0.5-1.2+deb7u1) Conflicts: bitlbee-libpurple Replaces: bitlbee-libpurple Homepage: http://www.bitlbee.org/ Priority: optional Section: net Filename: pool/main/b/bitlbee/bitlbee_3.0.5-1.2+deb7u1_armhf.deb Size: 266906 SHA256: c404e61ce725a6586bac12ef6e239f4b762fd458399c335bf941640d3c0fd915 SHA1: f0a2b19e89a4b8d463da9a15ca2d52f4a8c363bb MD5sum: 4fca69a47d041216c9ac91bdb7c58db9 Description: An IRC to other chat networks gateway (default version) This program can be used as an IRC server which forwards everything you say to people on other chat networks: Jabber (which includes Google Talk and Facebook Chat), ICQ, AIM, MSN, Yahoo! and Twitter/Identica/Status.net. Package: bitlbee-common Source: bitlbee Version: 3.0.5-1.2+deb7u1 Installed-Size: 377 Maintainer: Wilmer van der Gaast Architecture: all Replaces: bitlbee Depends: debconf (>= 0.5) | debconf-2.0, net-tools, adduser Size: 136754 SHA256: 7b1bd9c0ef546a20f4ddfc9355e88030131b07196fc9f87ce148f81806d752f0 SHA1: 498a84d6e7b3734f43b5f758fb044f551882eb09 MD5sum: 34e3bf729598745e0f9da37924f5797d Description: An IRC to other chat networks gateway (common files/docs) This program can be used as an IRC server which forwards everything you say to people on other chat networks: Jabber (which includes Google Talk and Facebook Chat), ICQ, AIM, MSN, Yahoo! and Twitter/Identica/Status.net. . This package contains common files (mostly documentation) for bitlbee and bitlbee-libpurple. Homepage: http://www.bitlbee.org/ Section: net Priority: optional Filename: pool/main/b/bitlbee/bitlbee-common_3.0.5-1.2+deb7u1_all.deb Package: bitlbee-dev Source: bitlbee Version: 3.0.5-1.2+deb7u1 Installed-Size: 131 Maintainer: Wilmer van der Gaast Architecture: all Depends: bitlbee (>= 3.0.5-1.2+deb7u1), bitlbee (<< 3.0.5-1.2+deb7u1.1~), bitlbee-common (= 3.0.5-1.2+deb7u1) Size: 26182 SHA256: c8901cc712848980c2cb775b64a9436817057f1418d4ce367ce502c6d3cf286f SHA1: 356511d25e7b0497dca6180918ed0c07c67990b0 MD5sum: dffd75616fee26f9c86f89f836284d57 Description: An IRC to other chat networks gateway (dev files) This program can be used as an IRC server which forwards everything you say to people on other chat networks: Jabber (which includes Google Talk and Facebook Chat), ICQ, AIM, MSN, Yahoo! and Twitter/Identica/Status.net. . This package holds development stuff for compiling plug-ins. Homepage: http://www.bitlbee.org/ Section: net Priority: optional Filename: pool/main/b/bitlbee/bitlbee-dev_3.0.5-1.2+deb7u1_all.deb Package: bitlbee-libpurple Source: bitlbee Version: 3.0.5-1.2+deb7u1 Architecture: armhf Maintainer: Wilmer van der Gaast Installed-Size: 302 Depends: libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.24.0), libgnutls26 (>= 2.12.17-0), libpurple0 (>= 2.6.0), debianutils (>= 1.16), bitlbee-common (= 3.0.5-1.2+deb7u1) Conflicts: bitlbee Replaces: bitlbee Homepage: http://www.bitlbee.org/ Priority: optional Section: net Filename: pool/main/b/bitlbee/bitlbee-libpurple_3.0.5-1.2+deb7u1_armhf.deb Size: 112744 SHA256: 95d6203b599da51290cae7f30f4928751ed60c2b572656579ff7f0173ec6ac3e SHA1: a036fd152e70b4fb7c6077a01edfcaa7ad879f36 MD5sum: 6a491256043f2ade5d205be58f131633 Description: An IRC to other chat networks gateway (using libpurple) This program can be used as an IRC server which forwards everything you say to people on other chat networks: Jabber (which includes Google Talk and Facebook Chat), ICQ, AIM, MSN, Yahoo! and Twitter/Identica/Status.net. . This package contains a version of BitlBee that uses the libpurple instant messaging library instead of built-in code, which adds support for more IM protocols (all protocols supported by Pidgin/Finch) and features (like file transfers), at the price of being less lightweight. . If you don't need the extra features, it's better to use the built-in code. Package: bitlbee-plugin-otr Source: bitlbee Version: 3.0.5-1.2+deb7u1 Architecture: armhf Maintainer: Wilmer van der Gaast Installed-Size: 39 Depends: libc6 (>= 2.13-28), libotr2 (>= 3.2.1), bitlbee (= 3.0.5-1.2+deb7u1) | bitlbee-libpurple (= 3.0.5-1.2+deb7u1), bitlbee-common (= 3.0.5-1.2+deb7u1) Homepage: http://www.bitlbee.org/ Priority: optional Section: net Filename: pool/main/b/bitlbee/bitlbee-plugin-otr_3.0.5-1.2+deb7u1_armhf.deb Size: 15348 SHA256: 5b3027a80a5a01857b9196215d05f0907530f54efefe3eaff7ef7cc2c106c330 SHA1: 8314005a1480ef65b2ed531acc86234f56767b1c MD5sum: e61bbbefa4469593e9bf02c76c432c0b Description: An IRC to other chat networks gateway (OTR plugin) This program can be used as an IRC server which forwards everything you say to people on other chat networks: Jabber (which includes Google Talk and Facebook Chat), ICQ, AIM, MSN, Yahoo! and Twitter/Identica/Status.net. . This package contains a plugin that adds support for Off-The-Record encryption of instant messages. Package: bitmap-mule Version: 8.5+0.20030825.0433-12 Installed-Size: 259 Maintainer: Tatsuya Kinoshita Architecture: all Depends: emacs | emacs23 | emacs22 | emacs21, apel, make Recommends: xfonts-bitmap-mule Suggests: compface, x-face-el Size: 54926 SHA256: 27ceb8b95387f2fdd6d48541b70df0e67ad60e0bfa4ba2e205931efca05b9d00 SHA1: ce82bad38965d236febe2733200f7e1ac92726d1 MD5sum: 1b4b922f8de12a1f8d7cbb089961edf8 Description: bitmap handler for GNU Emacs BITMAP-MULE is a package to use bitmap in MULE (XEmacs is not supported). . BITMAP-MULE has following modules: . - bitmap.el: kernel of BITMAP-MULE - bitmap-bi.el: functions for Emacs 20.2 or earlier - bitmap-ci.el: functions for Emacs 20.3 or later - bm-utils.el: utility functions. - po.el: tiny BDF font editor - smiley-mule.el: smiley faces encoder/decoder - x-face-mule.el: inline X-Face decoder - x-face-18.el: X-Face decoder for Emacs 18 - gnus-bitmap.el: Gnus/gnus related functions - vm-bitmap.el: VM related functions Homepage: http://www.jpl.org/elips/bitmap/ Tag: implemented-in::lisp, role::plugin, suite::emacs, use::editing, works-with::image, works-with::image:raster Section: editors Priority: optional Filename: pool/main/b/bitmap-mule/bitmap-mule_8.5+0.20030825.0433-12_all.deb Package: bitmeter Version: 1.2-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 209 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpango1.0-0 (>= 1.14.0), jackd (>= 0.50.0) Conflicts: bitscope Replaces: bitscope Provides: bitscope Homepage: http://devel.tlrmx.org/audio/ Priority: optional Section: sound Filename: pool/main/b/bitmeter/bitmeter_1.2-3_armhf.deb Size: 133828 SHA256: 3c2a9ff65d6d88f4ed46d60d986b02bbae046996c9c85d366c20d42cd1001cec SHA1: 1d9cb10561d403bb51b10acf22e63665e7a2c705 MD5sum: e6ff192483bc3a4623ba23643f90ee89 Description: diagnosis tool for JACK audio software JACK Bitmeter is a diagnosis tool for JACK audio software. As its name might suggest, the bitmeter operates at the bare metal of JACK's I/O layer, looking at the 32 binary digits in each individual sample. . Think of bitmeter like the cable detector in your toolbox. You cannot use a cable detector to drive screws into wood, or to undo bolts, or measure the length of a copper pipe, but it's the perfect tool for detecting hidden cables in walls before you drill. You will rarely need bitmeter, in fact most JACK users will never use it at all, but having it and never needing it is much better than needing it and not having it. Package: bitpim Version: 1.0.7+dfsg1-3 Installed-Size: 16597 Maintainer: Aaron M. Ucko Architecture: all Depends: bitpim-lib, python-apsw, python-crypto, python-dsv, python-paramiko, python-serial, python-wxgtk2.8, usbutils, python (>= 2.6.6-7~) Recommends: netpbm Suggests: ffmpeg, udev Size: 4864846 SHA256: b98ff7eb0bb85a00f60e1afeeb96641e07227ea980c4f1217d53e325398be3ef SHA1: f34be41e3d3fe2458d6adcf7c5c0bec5810ec7e1 MD5sum: c38f81a78d8a9dbcedbd566243a5a871 Description: utility to communicate with many CDMA phones BitPim allows you to view and manipulate data on many phones from LG, Samsung, Sanyo, and other manufacturers that use Qualcomm CDMA chips. Depending on your phone model, you may be able to access the phone book, the calendar, wallpapers, ring tones, and the filesystem. Homepage: http://www.bitpim.org/ Tag: admin::hardware, hardware::TODO, hardware::usb, implemented-in::python, interface::x11, role::program, scope::application, uitoolkit::wxwidgets, use::synchronizing, works-with::pim, x11::application Section: comm Priority: extra Filename: pool/main/b/bitpim/bitpim_1.0.7+dfsg1-3_all.deb Package: bitpim-lib Source: bitpim Version: 1.0.7+dfsg1-3 Architecture: armhf Maintainer: Aaron M. Ucko Installed-Size: 268 Depends: python (>= 2.6.6-7~), python2.7, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libusb-0.1-4 (>= 2:0.1.12) Homepage: http://www.bitpim.org/ Priority: extra Section: comm Filename: pool/main/b/bitpim/bitpim-lib_1.0.7+dfsg1-3_armhf.deb Size: 91768 SHA256: e87f70ca8324cf73a0efa49582d495f13702cc70b19e164a9427f490482a80ec SHA1: 40158741077e264e91c0154a5203723683d2e81d MD5sum: d72f42a118bd120869a69c8b4f819bb9 Description: architecture-dependent helper files for BitPim BitPim allows you to view and manipulate data on many phones from LG, Samsung, Sanyo, and other manufacturers that use Qualcomm CDMA chips. Depending on your phone model, you may be able to access the phone book, the calendar, wallpapers, ring tones, and the filesystem. . This package contains a handful of architecture-dependent helper files. Package: bitstormlite Version: 0.2q-3 Architecture: armhf Maintainer: Andrea Veri Installed-Size: 243 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6) Homepage: http://sourceforge.net/projects/bbom/ Priority: optional Section: net Filename: pool/main/b/bitstormlite/bitstormlite_0.2q-3_armhf.deb Size: 91684 SHA256: 6bd41b1594957873ea7883f09cd7364d801bbfdf37fc1fd3a2d7c8cb0d07f4af SHA1: f0ac43a9b7ac40ccab145a61b42d6d2465ad7d06 MD5sum: 2977e1bcca166414d0bcd1d30b894e5e Description: BitTorrent Client based on C++/Gtk+2.0 This application based on the C++ language integrated with a Gtk+2.0 user-friendly gui has a great feature, which is the possibility to download a huge amount of data from any .torrent file taken from trackers around the web. Package: bittornado Version: 0.3.18-10 Installed-Size: 811 Maintainer: Cameron Dale Architecture: all Replaces: bittorrent Provides: python-bittornado Depends: python, python-support (>= 0.90.0) Recommends: mime-support, python-crypto Suggests: bittornado-gui, python-psyco Conflicts: bittorrent (<= 3.4.2-2) Size: 161390 SHA256: eadd5996763239697cbec6abc7a792f0d9b1331c625aa5d31eb55cb4669236ab SHA1: e60c790db9f0d169e5e1fa133581287ca3328380 MD5sum: c46166f1f04b0ee12e3041e3fa570c53 Description: bittorrent client (and tracker) with console and curses interfaces BitTornado is a bittorrent client built on the original BitTorrent client from BitTorrent Inc. This client features a console and curses mode, lots of features, and is one of the original bittorrent clients created. . Features include: * upload/download speed limitation * prioritised downloading when downloading batches (several files) * detailed information about connections to other peers * encryption (PE/MSE) support (with the recommended python-crypto) * console mode for running from scripts * curses mode for running interactively * tracker for the distribution of files . This package contains the console and curses interfaces, and a bittorrent tracker, install the package bittornado-gui to get the GUI components. See the bittorrent package for a description of what bittorrent is. Homepage: http://bittornado.com Tag: implemented-in::python, interface::commandline, interface::text-mode, interface::x11, network::client, network::server, network::service, protocol::bittorrent, role::program, uitoolkit::ncurses, use::downloading, works-with::file, x11::application Section: net Priority: optional Filename: pool/main/b/bittornado/bittornado_0.3.18-10_all.deb Package: bittornado-gui Source: bittornado Version: 0.3.18-10 Installed-Size: 180 Maintainer: Cameron Dale Architecture: all Replaces: bittorrent Depends: python, bittornado (= 0.3.18-10), python-wxgtk2.6 | python-wxgtk2.8 Recommends: mime-support, python-crypto Suggests: python-psyco Conflicts: bittorrent (<= 3.4.2-2), libfreetype6 (= 2.1.7-2.4) Size: 41076 SHA256: da64d7722fc8275edf90c7de5458802642d0c785f5c7d3dbcea6cc5380e8261f SHA1: 1d0fd8d13731c3d40a196fd6dba0f059ef2c156b MD5sum: bd655c2f8c820fb3480215d3d4ca7d85 Description: bittorrent client with GUI interface The GUI interface to the BitTornado bittorrent client. BitTornado is a bittorrent client built on the original BitTorrent client from BitTorrent Inc. This client features a GUI interface, lots of features, and is one of the original bittorrent clients created. . Features include: * upload/download speed limitation * prioritised downloading when downloading batches (several files) * detailed information about connections to other peers * encryption (PE/MSE) support (with the recommended python-crypto) * console mode for running from scripts * curses mode for running interactively * tracker for the distribution of files . This package contains the GUI interface, install only the bittornado package to get a console and curses interface and a bittorrent tracker. See the bittorrent package for a description of what bittorrent is. Homepage: http://bittornado.com Tag: implemented-in::python, interface::x11, network::client, network::server, network::service, protocol::bittorrent, role::program, uitoolkit::gtk, use::downloading, works-with::file, x11::application Section: net Priority: optional Filename: pool/main/b/bittornado/bittornado-gui_0.3.18-10_all.deb Package: bittorrent Version: 3.4.2-11.4 Installed-Size: 328 Maintainer: Michael Janssen Architecture: all Depends: python (>= 2.3), python-support (>= 0.90.0), lsb-base (>= 3.0-10) Recommends: mime-support Suggests: bittorrent-gui Size: 96692 SHA256: ea2eb80ee6d11b8685509d34185b4838ca711f6addc1dbd95231143a46c3ad98 SHA1: 3cff5aa6eefba08867fdbce973e283e2b3178173 MD5sum: cbe390a7d2a02e95d6fd42afd8becc2b Description: Original BitTorent client - console tools BitTorrent is a tool for distributing files. It's extremely easy to use - downloads are started by clicking on hyperlinks. Whenever more than one person is downloading at once they send pieces of the file(s) to each other, thus relieving the central server's bandwidth burden. Even with many simultaneous downloads, the upload burden on the central server remains quite small, since each new downloader introduces new upload capacity. . This package contains the tools which are used for console-only downloading. If you want the GUI interface, install the bittorrent-gui package. . Homepage: http://bitconjurer.org/BitTorrent/ Python-Version: 2.6, 2.7 Tag: implemented-in::python, interface::text-mode, network::client, network::server, network::service, protocol::bittorrent, role::program, uitoolkit::ncurses, use::downloading, works-with::file Section: net Priority: optional Filename: pool/main/b/bittorrent/bittorrent_3.4.2-11.4_all.deb Package: bittorrent-gui Source: bittorrent Version: 3.4.2-11.4 Installed-Size: 27 Maintainer: Michael Janssen Architecture: all Depends: python (>= 2.3), bittorrent (>= 3.4.2-1), python-wxgtk2.8 Size: 13782 SHA256: f6bddeb60e7e6907e9500ad00f4b58c09ad27a8cad96d50697570bb52ec91018 SHA1: 5fe24050495709679e69f1a7aa75a4ae320b44d9 MD5sum: 6df24342460a4d942d3fd98717bbad36 Description: Original BitTorrent client - GUI tools BitTorrent is a tool for distributing files. It's extremely easy to use - downloads are started by clicking on hyperlinks. Whenever more than one person is downloading at once they send pieces of the file(s) to each other, thus relieving the central server's bandwidth burden. Even with many simultaneous downloads, the upload burden on the central server remains quite small, since each new downloader introduces new upload capacity. . This package contains the GUI tools for downloading. . Homepage: http://bitconjurer.org/BitTorrent/ Tag: implemented-in::python, interface::x11, network::client, network::server, network::service, protocol::bittorrent, role::program, uitoolkit::gtk, use::downloading, works-with::file, x11::application Section: net Priority: optional Filename: pool/main/b/bittorrent/bittorrent-gui_3.4.2-11.4_all.deb Package: bittwist Version: 1.1-1 Architecture: armhf Maintainer: Joao Eriberto Mota Filho Installed-Size: 95 Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8) Recommends: tcpdump Suggests: wireshark Homepage: http://bittwist.sourceforge.net Priority: optional Section: net Filename: pool/main/b/bittwist/bittwist_1.1-1_armhf.deb Size: 35888 SHA256: 95755335219206ac845e0c4d3d07c784401edc9f5990176fdc0c31365f2cfb05 SHA1: 9b28d0077f160b0481e77f75f142d0931c86aaa5 MD5sum: 1fe9b7bedbf3298ec491415e84945d03 Description: libpcap-based Ethernet packet generator Bit-Twist is designed to complement tcpdump, which by itself has done a great job in capturing network traffic. Bit-Twist can regenerate the captured traffic onto a live network (the packets are generated from tcpdump trace file, generating a .pcap file). . Bit-Twist also comes with a comprehensive trace file editor to allow to change the contents of a trace file. . Generally, a packet generator is useful in simulating networking traffic or scenario, testing firewall, IDS, and IPS, and troubleshooting various network problems. . The Bit-Twist features are: . * send multiple trace files at a time; * send packets at a specific speed or line rate in Mbps; * comprehensive trace file editor with control over most fields in Ethernet, ARP, IP, ICMP, TCP, and UDP headers with automatic header checksum correction; * append user payload to existing packets after a specific header; * select a specific range of packets and save them in another trace file; * if you are teaching Computer Networks classes, you may find Bit-Twist useful as a practical teaching material. It gives your students a hands-on experience to learn various networking protocols etc. Package: bkchem Version: 0.13.0-4 Installed-Size: 3284 Maintainer: Debichem Team Architecture: all Depends: python (>= 2.6.6-7~), python-imaging, python-pmw (>= 1.2) Suggests: python-cairo Size: 840262 SHA256: 428eebfd6ea20807b342409530469bcbc282aef9ff0aa4f4337f453151ba4c4f SHA1: 235b37092c399aba1fc31d81621e7dd0c7004617 MD5sum: f2e646bf4ddc9c3f5ce9c5965c54a1b1 Description: Chemical structures editor BKchem is a free chemical drawing program, which is written in Python. . Some of the features, you can expect: * Drawing (bond-by-bond drawing; templates for common rings; expanding of common-groups; draws radicals, charges, arrows; color support ...) * Editing (unlimited undo and redo capabilities; aligning; scaling; rotation (2D, 3D) ...) * Export/Import (fully supported SVG-, OpenOffice.org-Draw-, EPS-export; basic support for CML1 and CML2 import and export) Homepage: http://bkchem.zirael.org Tag: field::chemistry, implemented-in::python, role::program Section: science Priority: optional Filename: pool/main/b/bkchem/bkchem_0.13.0-4_all.deb Package: bkhive Version: 1.1.1-1 Architecture: armhf Maintainer: Adam Cécile (Le_Vert) Installed-Size: 49 Depends: libc6 (>= 2.7) Recommends: samdump2 Suggests: ophcrack Homepage: http://ophcrack.sourceforge.net/ Priority: optional Section: admin Filename: pool/main/b/bkhive/bkhive_1.1.1-1_armhf.deb Size: 10050 SHA256: 22b6074423ad87e1ffff9a3676fefe593e6f2f69af9b7ceeec907c06273b40dd SHA1: ce713f039e3e57a04a0bd0fe3146fe3172e5489b MD5sum: 2611ae62b6c3e1e05f242589de853536 Description: Dump the syskey bootkey from a Windows NT/2K/XP system hive This tool is designed to recover the syskey bootkey from a Windows NT/2K/XP system hive. Then we can decrypt the SAM file with the syskey and dump password hashes. . Syskey is a Windows feature that adds an additional encryption layer to the password hashes stored in the SAM database. Package: black-box Version: 1.4.8-2 Architecture: armhf Maintainer: Karl Bartel Installed-Size: 365 Depends: libc6 (>= 2.13-28), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11) Priority: optional Section: games Filename: pool/main/b/black-box/black-box_1.4.8-2_armhf.deb Size: 250516 SHA256: b041e8fd724cc6bad3fb88cf3c7ded42fc413abc68700fa1423fccd6b4b87fed SHA1: d3c0c1874e60d30f2998e537bd03ccef7c9211fa MD5sum: 0e9054fd4cebe4948724e79c583e357e Description: Find the crystals There's a black box. You can shoot in and watch, where the shot leaves the box. In the box, crystals are reflecting the shots. You have to guess where the crystals are hidden, by watching your shots. Package: blackbox Version: 0.70.1-13 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 576 Depends: libbt0 (>= 0.70.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libx11-6, libxext6, libxft2 (>> 2.1.1) Suggests: menu (>= 1.5), bbkeys (>= 0.9) Provides: x-window-manager Homepage: http://blackboxwm.sourceforge.net/ Priority: optional Section: x11 Filename: pool/main/b/blackbox/blackbox_0.70.1-13_armhf.deb Size: 236374 SHA256: 3f6baf0e1c823d277f52fb3508c50a5846a0f954f722cd4ab257a2c2eda092d2 SHA1: 81a933c6564b4359f9fd1edf0e1225ed7bd6bd15 MD5sum: 251b3a6b612c37e6842a0039fe19f866 Description: Window manager for X This is a window manager for X. It is similar in many respects to such popular packages as Window Maker, Enlightenment, and FVWM2. You might be interested in this package if you are tired of window managers that are a heavy drain on your system resources, but you still want an attractive and modern-looking interface. . The best part of all is that this program is coded in C++, so it is even more attractive "under the hood" than it is in service -- no small feat. . If none of this sounds familiar to you, or you want your computer to look like Microsoft Windows or Apple's OS X, you probably don't want this package. Package: blackbox-themes Version: 0.5 Installed-Size: 476 Maintainer: Juhani Numminen Architecture: all Depends: blackbox Size: 419032 SHA256: fa98ed0e2562131d3da71a812abb2c17012b5c4aee9223f2119e9b32c987d0fd SHA1: 48ccba4f041167b1ab1c011ace9054647859d3df MD5sum: 3d026a21fc48c4c263ce7caae3e405b0 Description: Themes for the Blackbox Windowmanager This package contains various themes for the Blackbox Windowmanager. Tag: role::app-data, x11::theme Section: x11 Priority: optional Filename: pool/main/b/blackbox-themes/blackbox-themes_0.5_all.deb Package: blacs-mpi-test Source: blacs-mpi Version: 1.1-31 Architecture: armhf Maintainer: Muammar El Khatib Installed-Size: 2244 Depends: blacs-test-common, libblacs-mpi1, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libopenmpi1.3 Conflicts: blacs-lam-test, blacs-mpich-test, blacsgf-lam-test, blacsgf-mpich-test Replaces: blacs-lam-test, blacs-mpich-test, blacsgf-lam-test, blacsgf-mpich-test Homepage: http://www.netlib.org/blacs/ Priority: extra Section: math Filename: pool/main/b/blacs-mpi/blacs-mpi-test_1.1-31_armhf.deb Size: 512662 SHA256: db9ebae911e24afd24abf0fb613eb8f7d75f6b6130acfb027af0cb1431c83f3a SHA1: fc5f16c772f2815e0b8f6c101e9089469956b066 MD5sum: 2cd51f40f4dd44d24abf4308176ce429 Description: Basic Linear Algebra Comm. Subprograms - Test files for MPI The BLACS project is an ongoing investigation whose purpose is to create a linear algebra oriented message passing interface that may be implemented efficiently and uniformly across a large range of distributed memory platforms. . You can choose between an implementation based on MPI or PVM. This package uses MPI. There are packages for the shared libraries, for the static libraries and the development files, and for test programs (this one). . Most users do not need to install this package directly because it contains test programs for the BLACS libraries. You only need these if you experience problems with ScaLAPACK. The BLACS libraries are used as a high level communications library for ScaLAPACK. ScaLAPACK is a parallel version of LAPACK and is used on Beowulf type clusters. Package: blacs-pvm-dev Source: blacs-pvm Version: 1.1-21 Architecture: armhf Maintainer: Muammar El Khatib Installed-Size: 465 Depends: blacs1-pvm (= 1.1-21) Suggests: scalapack-doc Conflicts: blacs-pvm Replaces: blacs-pvm Homepage: http://www.netlib.org/blacs/ Priority: extra Section: devel Filename: pool/main/b/blacs-pvm/blacs-pvm-dev_1.1-21_armhf.deb Size: 53292 SHA256: 4fdcdc155bf207a618e0507e7bbd5a09eebde9ef9cfb165458a3bdbf090cecbc SHA1: 86153d5ba62c221b2ca58d5226865abd0b3e200f MD5sum: 51fac4811d25e2502db09bd59c72192d Description: Basic Linear Algebra Comm. Subprograms - Dev. files for PVM The BLACS project is an ongoing investigation whose purpose is to create a linear algebra oriented message passing interface that may be implemented efficiently and uniformly across a large range of distributed memory platforms. . You can choose between an implementation based on MPI or PVM. This package uses PVM. . There are packages for the shared libraries, for the static libraries and the development files (this one) and for test programs. . Most users do not need to install this package directly because it is used as a high level driver for the communication in the ScaLAPACK packages. Therefore, it is installed when installing ScaLAPACK. ScaLAPACK is a parallel version of LAPACK and is used on Beowulf type clusters. Package: blacs-pvm-test Source: blacs-pvm Version: 1.1-21 Architecture: armhf Maintainer: Muammar El Khatib Installed-Size: 1052 Depends: blacs-test-common, blacs1-pvm (>= 1.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libpvm3 Homepage: http://www.netlib.org/blacs/ Priority: extra Section: devel Filename: pool/main/b/blacs-pvm/blacs-pvm-test_1.1-21_armhf.deb Size: 230388 SHA256: 0fdbb9987cf3bd349fd948fd036534cc9762831951e527188f346cec8efa34ca SHA1: 60fea77e064321f7b5beba3b16c7233dd06f9b3c MD5sum: 7b1d474f72ee1621609e68ed60607170 Description: Basic Linear Algebra Comm. Subprograms - Test files for PVM The BLACS project is an ongoing investigation whose purpose is to create a linear algebra oriented message passing interface that may be implemented efficiently and uniformly across a large range of distributed memory platforms. . You can choose between an implementation based on MPI or PVM. This package uses PVM. . There are packages for the shared libraries, for the static libraries and the development files and for test programs (this one). . Most users do not need to install this package directly because it is used as a high level driver for the communication in the ScaLAPACK packages. Therefore, it is installed when installing ScaLAPACK. ScaLAPACK is a parallel version of LAPACK and is used on Beowulf type clusters. Package: blacs-test-common Source: blacs-mpi Version: 1.1-31 Installed-Size: 40 Maintainer: Muammar El Khatib Architecture: all Size: 6936 SHA256: 1a9c6bcc924b57aeefe3d44217c2c44e8304dec3d79e8e670c7c7ca79afa7fa5 SHA1: 4da04b7f5bfe1b4e579878b486808cf57be906cf MD5sum: e581dc84b0a40e720c3bcb540d1e6a72 Description: Test data for BLACS testers The BLACS project is an ongoing investigation whose purpose is to create a linear algebra oriented message passing interface that may be implemented efficiently and uniformly across a large range of distributed memory platforms. . You can choose between an implementation based on MPI or PVM. This package provides test data for all BLACS packages (MPI and PVM versions). . Most users do not need to install this package directly because it is installed when installing the test programs for any BLACS package. Homepage: http://www.netlib.org/blacs/ Tag: devel::testing-qa, field::mathematics, implemented-in::fortran, role::app-data Section: math Priority: extra Filename: pool/main/b/blacs-mpi/blacs-test-common_1.1-31_all.deb Package: blacs1-pvm Source: blacs-pvm Version: 1.1-21 Architecture: armhf Maintainer: Muammar El Khatib Installed-Size: 169 Depends: pvm, libc6 (>= 2.13-28) Homepage: http://www.netlib.org/blacs/ Priority: extra Section: libs Filename: pool/main/b/blacs-pvm/blacs1-pvm_1.1-21_armhf.deb Size: 47794 SHA256: 1dbbe114ae2a29c61d55b4e13e67d2dd13b25460f1a0214c43d367c35084feed SHA1: 8fa2a44a18fbcb5cfee82d696fd40c1e6665f422 MD5sum: 7aebaf7a4cd304210f4ea7d3f166b844 Description: Basic Linear Algebra Comm. Subprograms - Shared libs. for PVM The BLACS project is an ongoing investigation whose purpose is to create a linear algebra oriented message passing interface that may be implemented efficiently and uniformly across a large range of distributed memory platforms. . You can choose between an implementation based on MPI or PVM. This package uses PVM. . There are packages for the shared libraries (this one), for the static libraries and the development files and for test programs. . Most users do not need to install this package directly because it is used as a high level driver for the communication in the ScaLAPACK packages. Therefore, it is installed when installing ScaLAPACK. ScaLAPACK is a parallel version of LAPACK and is used on Beowulf type clusters. Package: blahtexml Version: 0.9-1.1 Architecture: armhf Maintainer: Abhishek Dasgupta Installed-Size: 791 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libxerces-c3.1 Homepage: http://gva.noekeon.org/blahtexml/ Priority: extra Section: text Filename: pool/main/b/blahtexml/blahtexml_0.9-1.1_armhf.deb Size: 325852 SHA256: e32c13c0092f0dfbcb6c67cd9ebf8c49d23617b1ffa0bcd06b5606f20910ee3d SHA1: 5282529ceb57f9b418d667170a1c1c976e4bef6a MD5sum: d4b53330f52eadbec53a29ad37b90144 Description: Converts TeX equations into MathML Blahtex converts an equation given in a syntax close to TeX into MathML. Blahtexml is a simple extension of blahtex. In addition to the functionality of blahtex, blahtexml has XML processing in mind and is able to process a whole XML document into another XML document. Instead of converting only one formula at a time, blahtexml can convert all the formulas of the given XML file into MathML. Package: blam Version: 1.8.9-3 Installed-Size: 601 Maintainer: Debian CLI Applications Team Architecture: all Depends: gconf2 (>= 2.28.1-2), mono-runtime (>= 2.10.1), libc6 (>= 2.13) | libc6.1 (>= 2.13) | libc0.1 (>= 2.13), libgconf2.0-cil (>= 2.24.0), libglade2.0-cil (>= 2.12.10), libglib2.0-cil (>= 2.12.10), libgnome2.24-cil (>= 2.24.0), libgtk2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system-web4.0-cil (>= 2.10.3), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.1), libwebkit1.1-cil (>= 0.3) Size: 176650 SHA256: 194d96e4e2c093f340b4d4ff31f8ee9ecc0239739fc40c25106f0baa660d9432 SHA1: e3ca52c6293d6e53dbf9df9c899e634310ff285f MD5sum: 619958504b6d1f9e397deb72cba81e2b Description: simple RSS aggregator for GNOME Blam is an RSS aggregator for GNOME with support for Atom and RSS feeds and support for different themes. Homepage: http://blam.cmartin.tk Tag: implemented-in::c-sharp, interface::x11, network::client, protocol::http, role::program, scope::application, suite::gnome, uitoolkit::gtk, use::browsing, use::downloading, web::blog, works-with-format::xml, works-with-format::xml:rss, x11::application Section: gnome Priority: optional Filename: pool/main/b/blam/blam_1.8.9-3_all.deb Package: blast2 Source: ncbi-tools6 (6.1.20120620-2) Version: 1:2.2.26.20120620-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 1173 Depends: libncbi6 (<< 6.1.20120620.1), libncbi6 (>= 6.1.20120620), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Suggests: libvibrant6a, ncbi-rrna-data, ncbi-tools-bin, ncbi-tools-x11 Multi-Arch: foreign Homepage: http://www.ncbi.nih.gov/BLAST/ Priority: optional Section: science Filename: pool/main/n/ncbi-tools6/blast2_2.2.26.20120620-2_armhf.deb Size: 652518 SHA256: cfe4c5053a519c539801b00c3afde1282b150d055b7a3bd3bc2736bb8346899f SHA1: c23a234bc717ca256ba90bbbb1c020fa8d36183a MD5sum: 25b4680497e8f4dd74b752345c233205 Description: Basic Local Alignment Search Tool The famous sequence alignment program. This is "official" NCBI version, #2. The blastall executable allows you to give a nucleotide or protein sequence to the program. It is compared against databases and a summary of matches is returned to the user. . Note that databases are not included in Debian; they must be retrieved manually. Package: blazeblogger Version: 1.2.0-3 Installed-Size: 299 Maintainer: Angel Abad Architecture: all Depends: perl Size: 90032 SHA256: 13410ed8562fead8d28fae9bcfa855ebba5849474b224829030c194ec24c0185 SHA1: 0f3a10de7f5369814792e1fcdf89817a722674ee MD5sum: 1bc2105035540adbae206701ed91c8cd Description: simple to use, command line based, content management system Written in Perl as a cross-platform application and producing the static content without the need of database servers or server side scripting, it is literally a CMS without boundaries suitable for a wide variety of web presentations, from personal weblog to a project page or even a company presentation. Homepage: http://blaze.blackened.cz/ Tag: implemented-in::perl, interface::commandline, interface::web, role::program, scope::utility, use::editing, web::blog, web::cms, works-with-format::html, works-with::text Section: web Priority: optional Filename: pool/main/b/blazeblogger/blazeblogger_1.2.0-3_all.deb Package: blcr-dkms Source: blcr Version: 0.8.5-2 Installed-Size: 4592 Maintainer: Alan Woodland Architecture: all Replaces: blcr-source Depends: dkms, make, linux-headers-2.6-686 | linux-headers-2.6-amd64 | linux-headers-generic | linux-headers Recommends: blcr-util Size: 961232 SHA256: 719b36d62e6a5207f72a39254cca33f61a52db24d4ff8e364d557bac0ff4005d SHA1: 8e3a65f45c7956be673ca45f1d040014ac3d6200 MD5sum: 997251119712b5aa9c6feae911132796 Description: DKMS support for BLCR kernel module This package provides integration with the DKMS infrastructure for automatically building out of tree kernel modules. . BLCR (Berkeley Lab Checkpoint/Restart) allows programs running on Linux to be "checkpointed" (written entirely to a file), and then later "restarted". Homepage: https://ftg.lbl.gov/projects/CheckpointRestart/ Tag: admin::kernel, implemented-in::c, role::source Section: kernel Priority: optional Filename: pool/main/b/blcr/blcr-dkms_0.8.5-2_all.deb Package: blcr-testsuite Source: blcr Version: 0.8.5-2 Architecture: armhf Maintainer: Alan Woodland Installed-Size: 1025 Depends: libc6 (>= 2.13-28), libcr0, blcr-util Suggests: libcr-dbg Homepage: https://ftg.lbl.gov/projects/CheckpointRestart/ Priority: optional Section: misc Filename: pool/main/b/blcr/blcr-testsuite_0.8.5-2_armhf.deb Size: 282442 SHA256: 94210c8fd19f61fce7420c4bda1542e12ec5bdc907d8511604ebfebdbc35ab19 SHA1: 613c199d892dd8f494768b859116c4072829ef1c MD5sum: 228a0fee34bb9fe3544243f4e6ee7006 Description: Userspace tools to Checkpoint and Restart Linux processes BLCR (Berkeley Lab Checkpoint/Restart) allows programs running on Linux to be "checkpointed" (written entirely to a file), and then later "restarted". . BLCR can checkpoint both single- and multithreaded (pthreads) programs linked with the NPTL implementation of pthreads. BLCR is also able to save and restore groups of related processes together with the pipes that connect them. . This package contains the testsuite needed to verify and diagnose problems with the local BLCR kernel module. Package: blcr-util Source: blcr Version: 0.8.5-2 Architecture: armhf Maintainer: Alan Woodland Installed-Size: 98 Depends: libc6 (>= 2.13-28), libcr0 Homepage: https://ftg.lbl.gov/projects/CheckpointRestart/ Priority: optional Section: misc Filename: pool/main/b/blcr/blcr-util_0.8.5-2_armhf.deb Size: 42768 SHA256: d8f9237a4b99f046b307568dd92767b815d830d129fdce95e5402c6d232ff603 SHA1: b4ad0417e313b4f631ed4e1b66e80c898b48e8c2 MD5sum: ab594c3898f1c5cb6883471ebd6fced4 Description: Userspace tools to Checkpoint and Restart Linux processes BLCR (Berkeley Lab Checkpoint/Restart) allows programs running on Linux to be "checkpointed" (written entirely to a file), and then later "restarted". . BLCR can checkpoint both single- and multithreaded (pthreads) programs linked with the NPTL implementation of pthreads. BLCR is also able to save and restore groups of related processes together with the pipes that connect them. . This package contains utilities needed to launch and restart unmodified processes with checkpointing support. Package: bld Version: 0.3.4.1-4 Architecture: armhf Maintainer: Martin Meredith Installed-Size: 106 Depends: libc6 (>= 2.4), adduser Suggests: bld-tools, bld-postfix Homepage: http://bld.r14.freenix.org/ Priority: optional Section: admin Filename: pool/main/b/bld/bld_0.3.4.1-4_armhf.deb Size: 30792 SHA256: 52527f701451b004fcb255fe8324c4b22d3d9ab7add0521aec4eab2f53f91e87 SHA1: 36261d06d78b55700c40e94443f235e8991529e4 MD5sum: 7dbfe203b3b53b3a56cce21d6256c363 Description: Black List Daemon, automatically build blacklists BLD stands for "black list daemon" and is intended to build blacklists using simple rules based on a maximum number of submissions of the same IP address during a minimum time interval. BLD was primarily designed to fight against dictionary-based spams (by making the MTA report to BLD any host that tries to send a mail to an unknown user) but can be used by any application that needs a blacklist based on connection rate limits. . This package contains the server. Package: bld-postfix Source: bld Version: 0.3.4.1-4 Architecture: armhf Maintainer: Martin Meredith Installed-Size: 54 Depends: libc6 (>= 2.4), postfix Recommends: bld (= 0.3.4.1-4) Homepage: http://bld.r14.freenix.org/ Priority: extra Section: admin Filename: pool/main/b/bld/bld-postfix_0.3.4.1-4_armhf.deb Size: 12754 SHA256: df9efefb0b98951581709f6f59e7859fb07c3130de81e39f2974445b2fdc81a3 SHA1: 6032a20c108d912dc874e1bc09b1934a6592e964 MD5sum: 2b2b481957501afdb6b389294b66282b Description: Postfix tools for the Black List Daemon BLD stands for "black list daemon" and is intended to build blacklists using simple rules based on a maximum number of submissions of the same IP address during a minimum time interval. BLD was primarily designed to fight against dictionary-based spams (by making the MTA report to BLD any host that tries to send a mail to an unknown user) but can be used by any application that needs a blacklist based on connection rate limits. . This package contains the tools dedicated to Postfix. Package: bld-tools Source: bld Version: 0.3.4.1-4 Architecture: armhf Maintainer: Martin Meredith Installed-Size: 55 Depends: libc6 (>= 2.4) Recommends: bld (= 0.3.4.1-4) Homepage: http://bld.r14.freenix.org/ Priority: optional Section: admin Filename: pool/main/b/bld/bld-tools_0.3.4.1-4_armhf.deb Size: 14148 SHA256: ad5739a9ce40c6ac3f7d6461d1edf3293948e1b00e6de86727066ff51e2468ae SHA1: ee99bab7a75f70bfa688ccd6485d3c147674ac30 MD5sum: 2141ec9a295aface4c48aa1e15e8402b Description: Generic tools for Black List Daemon BLD stands for "black list daemon" and is intended to build blacklists using simple rules based on a maximum number of submissions of the same IP address during a minimum time interval. BLD was primarily designed to fight against dictionary-based spams (by making the MTA report to BLD any host that tries to send a mail to an unknown user) but can be used by any application that needs a blacklist based on connection rate limits. . This package contains additional tools to be used with bld. Package: bleachbit Version: 0.9.2-2 Installed-Size: 1744 Maintainer: Luca Falavigna Architecture: all Depends: python (>= 2.6.6-7~), python-gtk2 (>= 2.14), menu Recommends: python-notify Size: 326192 SHA256: 2df0cc7520a9fc4df311ff01f9a13fa92c570bbee479cf47bb186cdc7b164e53 SHA1: b7355d6997352b7f08d42ef06f721c3e5134593d MD5sum: a6d5689128b4d5cead0a49068d951eec Description: delete unnecessary files from the system BleachBit deletes unnecessary files to free valuable disk space, maintain privacy, and remove junk. It removes cache, Internet history, temporary files, cookies, and broken shortcuts. . It handles cleaning of Adobe Reader, Bash, Beagle, Epiphany, Firefox, Flash, GIMP, Google Earth, Java, KDE, OpenOffice.org, Opera, RealPlayer, rpmbuild, Second Life Viewer, VIM, XChat, and more. . Beyond simply erasing junk files, BleachBit wipes free disk space (to hide previously deleted files for privacy and to improve compression of images), vacuums Firefox databases (to improve performance without deleting data), and securely shreds arbitrary files. Homepage: http://bleachbit.sourceforge.net Tag: implemented-in::python, interface::x11, role::program, scope::application, uitoolkit::gtk, x11::application Section: admin Priority: optional Filename: pool/main/b/bleachbit/bleachbit_0.9.2-2_all.deb Package: blender Version: 2.63a-1+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 48405 Depends: python3.2, fonts-droid, libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.13), libavdevice53 (>= 6:0.8.3-1~), libavformat53 (>= 6:0.8.3-1~), libavutil51 (>= 6:0.8.3-1~), libc6 (>= 2.13-28), libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libgomp1 (>= 4.2.1), libilmbase6 (>= 1.0.1), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libjpeg8 (>= 8c), libopenal1, libopenexr6 (>= 1.6.1), libopenjpeg2, libpng12-0 (>= 1.2.13-4), libpython3.2 (>= 3.2~a4), libsdl1.2debian (>= 1.2.11), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.6), libswscale2 (>= 6:0.8.3-1~), libtiff4 (>> 3.9.5-3~), libx11-6, libxi6, zlib1g (>= 1:1.2.3.3) Suggests: yafaray Homepage: http://blender.org Priority: optional Section: graphics Filename: pool/main/b/blender/blender_2.63a-1+deb7u1_armhf.deb Size: 20733684 SHA256: ab7ceee231fd21b967cb5d6356ee04dac3e636fbe9aa2aa03a8da04ab63771f7 SHA1: 18b4007936e1b14d34e6918313bf3ec78f44b945 MD5sum: 07f6cea7340b45f64481d6a1879e0bab Description: Very fast and versatile 3D modeller/renderer Blender is an integrated 3d suite for modelling, animation, rendering, post-production, interactive creation and playback (games). Blender has its own particular user interface, which is implemented entirely in OpenGL and designed with speed in mind. Python bindings are available for scripting; import/export features for popular file formats like 3D Studio and Wavefront Obj are implemented as scripts by the community. Stills, animations, models for games or other third party engines and interactive content in the form of a standalone binary are common products of Blender use. Package: blender-dbg Source: blender Version: 2.63a-1+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 6885 Depends: blender (= 2.63a-1+deb7u1) Homepage: http://blender.org Priority: extra Section: debug Filename: pool/main/b/blender/blender-dbg_2.63a-1+deb7u1_armhf.deb Size: 2197436 SHA256: db85d4269ae8f7e7fb6c3ef27975c3bc6e925cc0de982c834c9d3ed503fbec72 SHA1: f15e72a721846d9220e500f75a1122b6912b9600 MD5sum: e9008c78f1bd6a379991097f71ad9190 Description: debug symbols for Blender Blender is an integrated 3d suite for modelling, animation, rendering, post-production, interactive creation and playback (games). . This package contains debug symbols to produce useful backtraces. Package: blender-ogrexml Source: ogre Version: 1.7.4+dfsg1-7 Installed-Size: 540 Maintainer: Debian Games Team Architecture: all Depends: blender Size: 219166 SHA256: 9c842ed094797e5c88505d979caf1911f759ffbd7961c0c1c213190c2c021c1e SHA1: 3d4fb6066d25684f041fad0eb6d9e64f98a65d00 MD5sum: d8724608900fca3a0f9bebc0435fe1f7 Description: Blender Exporter for OGRE OGRE (Object-Oriented Graphics Rendering Engine) is a scene-oriented, flexible 3D engine written in C++ designed to make it easier and more intuitive for developers to produce applications utilising hardware-accelerated 3D graphics. The class library abstracts all the details of using the underlying system libraries like Direct3D and OpenGL and provides an interface based on world objects and other intuitive classes. . This package contains the Blender exporter for OGRE. Homepage: http://ogre3d.org/ Tag: implemented-in::python Section: graphics Priority: optional Filename: pool/main/o/ogre/blender-ogrexml_1.7.4+dfsg1-7_all.deb Package: blender-ogrexml-1.8 Source: ogre-1.8 Version: 1.8.0+dfsg1-3 Installed-Size: 517 Maintainer: Debian Games Team Architecture: all Depends: blender Conflicts: blender-ogrexml (<< 1.8.0) Size: 195686 SHA256: bdecdd14589f541ca6cae0d9c4fc62914849331b475abc9fa651fdc43ac11945 SHA1: 2288298db2ba616549283636ec46b33d32abbc10 MD5sum: a94d6c60e015538eb590ba2b52b79c7c Description: Blender Exporter for OGRE OGRE (Object-Oriented Graphics Rendering Engine) is a scene-oriented, flexible 3D engine written in C++ designed to make it easier and more intuitive for developers to produce applications utilising hardware-accelerated 3D graphics. The class library abstracts all the details of using the underlying system libraries like Direct3D and OpenGL and provides an interface based on world objects and other intuitive classes. . This package contains the Blender exporter for OGRE. Homepage: http://ogre3d.org/ Section: graphics Priority: optional Filename: pool/main/o/ogre-1.8/blender-ogrexml-1.8_1.8.0+dfsg1-3_all.deb Package: blends-common Source: blends Version: 0.6.16.2 Installed-Size: 104 Maintainer: Debian Pure Blend Team Architecture: all Depends: adduser, menu (>= 2.1.25), debconf (>= 0.5) | debconf-2.0 Suggests: blends-doc Size: 23380 SHA256: 7b653c62cfce2e004539345485ba5de97c6ed5e5af0e14e108d87b9393e55556 SHA1: cbc6aa297702ef50158ac0b5b8fc6bbef98815dd MD5sum: 0d38a6dfc8bc6086ec9676b3a600dd01 Description: Debian Pure Blends common package This package builds the basic infra structure for metapackages. . This package provides some files which are common to metapackages of Common Debian Distributions. It introduces a method to handle system users in a group named according to the name of the Debian Pure Blend. Tag: suite::debian Section: misc Priority: optional Filename: pool/main/b/blends/blends-common_0.6.16.2_all.deb Package: blends-dev Source: blends Version: 0.6.16.2 Installed-Size: 161 Maintainer: Debian Pure Blend Team Architecture: all Replaces: cdd-dev Depends: debconf (>= 0.5) | debconf-2.0, make | build-essential, apt, debhelper (>= 7) Suggests: blends-doc Size: 33224 SHA256: 3c00702972a60fca711a159853ffccd6a7a772df1c47f5e13b96a254cca68d53 SHA1: bd5d22a8f71aed4bdfa24fe792b240226d498348 MD5sum: 3872c3ace0eb216183f74b89c9964475 Description: Debian Pure Blends common files for developing metapackages This package makes life easier when packaging metapackages. Perhaps this will also encourage other people to build metapackages if there are easy to use templates where only the packages, the metapackage is depending from, to insert into the right place. Tag: devel::packaging, suite::debian Section: devel Priority: optional Filename: pool/main/b/blends/blends-dev_0.6.16.2_all.deb Package: blends-doc Source: blends Version: 0.6.16.2 Installed-Size: 735 Maintainer: Debian Pure Blend Team Architecture: all Replaces: cdd-doc Suggests: www-browser, postscript-viewer Size: 417248 SHA256: b5c4db9dc212039b66a69496f85bd74253df6c2a176589880531873dd6c4a076 SHA1: a020281984e0159390b493b78b5da231d95e9065 MD5sum: 8960503c4170a1b582c646a1990f5bbf Description: Debian Pure Blends documentation This paper is intended to people who are interested in the philosophy of Debian Pure Blends and the technique which is used to manage those projects. . It is explained in detail why these are no forks from Debian but reside completely inside the Debian GNU/Linux distribution and which advantages can be gathered by this approach. The concept of metapackages and user role based menus is explained. In short: This document describes why Debian Pure Blends are important to the vitality and quality of Debian. Tag: devel::doc, made-of::html, made-of::pdf, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/b/blends/blends-doc_0.6.16.2_all.deb Package: blepvco Version: 0.1.0-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 121 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Provides: ladspa-plugin Homepage: http://www.smbolton.com/linux.html Priority: extra Section: sound Filename: pool/main/b/blepvco/blepvco_0.1.0-3_armhf.deb Size: 66996 SHA256: c2b80e408edf94a76358d6c26de07d6a6b314cec64c3ec4376d2391cc7343df8 SHA1: c40f5496e142c3b2fe6def9055fb068228150767 MD5sum: 6b215c3487b68a37951d6f209d60aca1 Description: LADSPA, minBLEP-based, hard-sync-capable oscillator plugins A collection of LADSPA plugins containing three anti-aliased, minBLEP-based, hard-sync-capable oscillator plugins. The oscillators are intended to be used with modular synthesis systems, such as Alsa Modular Synth. Package: bless Version: 0.6.0-4 Installed-Size: 991 Maintainer: Debian CLI Applications Team Architecture: all Depends: mono-runtime (>= 2.10.1), libc6 (>= 2.13) | libc6.1 (>= 2.13) | libc0.1 (>= 2.13), libglade2.0-cil (>= 2.12.10), libglib2.0-cil (>= 2.12.10), libgtk2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.1), rarian-compat | scrollkeeper Size: 527408 SHA256: 4a6c2832d828ccac119efd642aad6017d5bb99894d12157f2ccd49e972e174f0 SHA1: 6426e3dbf4c4c273dc36572d952e969fbf7075b4 MD5sum: e4d5e6cfb2dfe0d9d9c3faedbed261b0 Description: A full featured hexadecimal editor Bless is a binary (hex) editor, a program that enables you to edit files as a sequence of bytes written for the GNOME Desktop. Main features are: . * Efficient editing of large data files. * Multilevel undo - redo operations. * Customizable data views. * Fast data rendering on screen. * Multiple Tabs. * Fast Find and Replace operations. * Conversion Table. * Advanced Copy/Paste capabilities. * Multi-threaded search and save operations. * Export to text and html (others with plugins). * Extensibility with Plugins. Homepage: http://home.gna.org/bless/ Tag: role::program, uitoolkit::gtk, use::editing, works-with::file Section: editors Priority: optional Filename: pool/main/b/bless/bless_0.6.0-4_all.deb Package: bley Version: 0.1.5-2+deb7u1 Installed-Size: 132 Maintainer: Evgeni Golov Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, python (>= 2.6.6-7~), python (<< 2.8), python-twisted-core (>= 8.1.0), python-spf, python-twisted-names (>= 8.1.0), python-psycopg2 | python-mysqldb, dbconfig-common, adduser Recommends: postgresql-client | mysql-client, postfix Size: 15346 SHA256: dd4bdfa02cf20c0162632d4b3a77811232d490015355e0d814ccb214935c9420 SHA1: 02f44742bfdb3ca3a4926aa06b62946b45c9c1b6 MD5sum: 00e86c96203f2d3fea458a6a5a034889 Description: intelligent greylisting daemon for Postfix bley uses various test (incl. RBL and SPF) to decide whether a sender should be greylisted or not, thus mostly eliminating the usual greylisting delay while still filtering most of the spam. Homepage: http://bley.mx Python-Version: 2.6, 2.7 Tag: implemented-in::python, interface::daemon, role::program, use::checking, works-with::mail Section: mail Priority: optional Filename: pool/main/b/bley/bley_0.1.5-2+deb7u1_all.deb Package: blhc Version: 0.03+20120626+git93afe23-1 Installed-Size: 89 Maintainer: Jari Aalto Architecture: all Depends: libdpkg-perl Size: 23134 SHA256: 6aeff446e39e6d8a1070afddabbafe33c205391d6e298e64d7a9132f0d8e5759 SHA1: 98ad8632ea659f7184003885bd6b200f6a0c34b4 MD5sum: ecc4aac4cfd129d2d110fa1a0e95acc3 Description: build log hardening check Perl tool which checks build logs for missing hardening flags. Hardening flags enable additional security features in the compiler to prevent e.g. stack overflows, format string vulnerabilities, GOT overwrites, etc. See e.g. . . Because most build systems are quite complicated there are many places where compiler flags from the environment might be ignored. The parser verifies that all compiler commands use the correct hardening flags and thus all hardening features are correctly used. . It's designed to check build logs generated by Debian's dpkg-buildpackage (or tools using dpkg-buildpackage like pbuilder or the official buildd build logs) to help maintainers detect missing hardening flags in their packages. Homepage: http://ruderich.org/simon/blhc Section: utils Priority: optional Filename: pool/main/b/blhc/blhc_0.03+20120626+git93afe23-1_all.deb Package: blinken Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 983 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.7.0), libphonon4 (>= 4:4.2.0), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), phonon, ttf-sjfonts Suggests: khelpcenter4 Homepage: http://edu.kde.org/applications/all/blinken Priority: optional Section: misc Filename: pool/main/b/blinken/blinken_4.8.4-1_armhf.deb Size: 554640 SHA256: f37d7b4b4c15caa1c15d456bef5168c8da965e2ba5d32154e68647e4fa1cffe9 SHA1: 7be470b889a6f4dfac577cd4132bbb948c4431bc MD5sum: ce9e71a17a8ddbfbbeee166a0b4fecdd Description: KDE version of the Simon electronic memory game Blinken is based on an electronic game released in 1978, which challenges players to remember sequences of increasing length. On the face of the device, there are 4 different color buttons, each with its own distinctive sound. These buttons light up randomly, creating the sequence that the player must then recall. If the player is successful in remembering the sequence of lights in the correct order, they advance to the next stage, where an identical sequence with one extra step is presented. . This package is part of the KDE education module. Package: bliss Version: 0.72-4 Architecture: armhf Maintainer: David Bremner Installed-Size: 62 Depends: libbliss1d, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libstdc++6 (>= 4.3.0) Suggests: libbliss-dev Homepage: http://www.tcs.hut.fi/Software/bliss/index.shtml Priority: optional Section: math Filename: pool/main/b/bliss/bliss_0.72-4_armhf.deb Size: 9304 SHA256: 8f537e5bbda2fd5a8b8abc2437509411001ad13ab5fa933d23d017c76b2c7a93 SHA1: d1a7d9d7396075f37b48b3914dc35d6c5c75810a MD5sum: 3f1720b1692e96abf6f472d243f754d4 Description: tool to compute graph automorphisms and labelings Bliss is a backtracking algorithm for computing automorphism groups and canonical forms of graphs, based on individualization and refinement. Its data structures, subroutines, and pruning heuristics are specially designed for fast handling of large and sparse graphs. . This package provides the command line tool bliss. Package: blktool Version: 4-6.1 Architecture: armhf Maintainer: Stefan Bauer Installed-Size: 63 Depends: libc6 (>= 2.4), libglib2.0-0 (>= 2.12.0) Priority: optional Section: admin Filename: pool/main/b/blktool/blktool_4-6.1_armhf.deb Size: 15586 SHA256: 0b6f5f43210796be00cd603361109ef2e474b23f31c87e1363d7e81fd802f9d7 SHA1: 823937143602026a63ef73eadb45402c836ef170 MD5sum: f9b26c584bb0221d174a57ad6bce7e24 Description: tune low-level block device parameters blktool is used for querying and/or changing settings of a block device. It is like hdparm but a more general tool, as it works on SCSI, IDE and SATA devices. . This program is for those who know what they're doing and should be used it at your own risk as it could cause damage to your hardware. Package: blktrace Version: 1.0.1-2.1 Architecture: armhf Maintainer: Bas Zoetekouw Installed-Size: 800 Depends: libaio1 (>= 0.3.93), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), python, lsb-base Suggests: gnuplot-x11 Homepage: http://brick.kernel.dk/snaps/ Priority: extra Section: utils Filename: pool/main/b/blktrace/blktrace_1.0.1-2.1_armhf.deb Size: 634324 SHA256: 8e2a3c5a7983952a2a311f18ac5f1bd02a131825f57ddd7dd867e73db0d2a046 SHA1: 386e9f7310216a855d012edb300d8a837a89a6e5 MD5sum: 5123a5a46c003260353cedef7b734057 Description: utilities for block layer IO tracing blktrace is a block layer IO tracing mechanism which provides detailed information about request queue operations up to user space. There are three major components that are provided: . blktrace: A utility which transfers event traces from the kernel into either long-term on-disk storage, or provides direct formatted output (via blkparse). . blkparse: A utility which formats events stored in files, or when run in live mode directly outputs data collected by blktrace. . Running blktrace requires a patch to the Linux kernel which includes the kernel event logging interfaces, and patches to areas within the block layer to emit event traces. These patches have been included in the main Linux kernel since 2.6.17-rc1, and the default Debian kernel since 2.6.23. Package: blobandconquer Version: 1.11-dfsg+20-1 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 1215 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), libx11-6, zlib1g (>= 1:1.1.4), blobandconquer-data (= 1.11-dfsg+20-1) Suggests: blobwars Homepage: http://www.parallelrealities.co.uk/projects/blobAndConquer.php Priority: optional Section: games Filename: pool/main/b/blobandconquer/blobandconquer_1.11-dfsg+20-1_armhf.deb Size: 415840 SHA256: 34a852b22e3b1b9e44206e31ee76af44d23d364e1c08759ecb4be0b308a93765 SHA1: b5af72eccd6fc8245a5ffd4ff0d888be3206ea47 MD5sum: 11f5bc7e584d90f93c52625b499feca3 Description: 3D platform shooting game Blob Wars episode II: Blob and Conquer is the sequel to Blob Wars: Metal Blob Solid. . With the apparent defeat of Galdov and the reclaiming of the Fire, Time, Space and Reality Crystals the Blobs' battle was only just beginning. Bob had rescued many Blobs and fought many battles, but now he had an ever bigger task ahead of him. The Blobs' homeworld is still littered with the alien forces and Bob once again makes it his task to lead the counter attack. But even without Galdov the aliens are still extremely well organised... Package: blobandconquer-data Source: blobandconquer Version: 1.11-dfsg+20-1 Installed-Size: 86752 Maintainer: Guus Sliepen Architecture: all Depends: ttf-dejavu-core Size: 15101498 SHA256: b64b8584cfa0f0e385bf486194b64a1857ba1fc08e9614eaf86939f8c97c7824 SHA1: 1832d652ae7359c97c8627cfb8da1182a543707d MD5sum: d28d940572423d64a25b98916fa203bb Description: 3D platform shooting game -- data files Blob Wars episode II: Blob and Conquer is the sequel to Blob Wars: Metal Blob Solid. . This package contains the data files for blobandconquer. Homepage: http://www.parallelrealities.co.uk/projects/blobAndConquer.php Tag: role::app-data Section: games Priority: optional Filename: pool/main/b/blobandconquer/blobandconquer-data_1.11-dfsg+20-1_all.deb Package: blobby Version: 1.0~rc1-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 707 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, liblua5.1-0, libphysfs1 (>= 1.1.1), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libtinyxml2.6.2, blobby-data (>= 1.0~rc1-2) Homepage: http://sourceforge.net/projects/blobby/ Priority: optional Section: games Filename: pool/main/b/blobby/blobby_1.0~rc1-2_armhf.deb Size: 303890 SHA256: 147987674715ac3e1a532c42fab02bf77629837bc11333491f324acb873e4a8c SHA1: 636b18daf82446916d84b4ab7955c11775a1402e MD5sum: 2a8044208686ee0387902bdda19a9bf2 Description: Volleyball game with blobs This package contains the client binary for Blobby Volley 2. . Blobby Volley is an arcade volleyball game with jumping blobs. It contains a multiplayer mode and several bots. Package: blobby-data Source: blobby Version: 1.0~rc1-2 Installed-Size: 1049 Maintainer: Debian Games Team Architecture: all Size: 1028498 SHA256: 7a95c6d6a68930acfac1ce7eb9a25a116061ffcd9ce410f675c39b8ed8e1bce8 SHA1: 712dbd8fb1985108558d78a7eff9d708b7b3e720 MD5sum: f41502939be978e1262748308bf298d4 Description: Volleyball game with blobs (data files) This package contains the static data for Blobby Volley 2. . Blobby Volley is an arcade volleyball game with jumping blobs. It contains a multiplayer mode and several bots. Homepage: http://sourceforge.net/projects/blobby/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/b/blobby/blobby-data_1.0~rc1-2_all.deb Package: blobby-server Source: blobby Version: 1.0~rc1-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 338 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblua5.1-0, libphysfs1 (>= 1.1.1), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libtinyxml2.6.2, blobby-data (>= 1.0~rc1-2) Homepage: http://sourceforge.net/projects/blobby/ Priority: optional Section: games Filename: pool/main/b/blobby/blobby-server_1.0~rc1-2_armhf.deb Size: 144870 SHA256: f723d21db1e09f43d12869981c6bb41675e98f1a9983572a4d9a30d69f77f8b4 SHA1: f810b17170bc969122e8cb3811d10e38dd4570aa MD5sum: c7fd0e4637d5c8ed615c3a38d12de712 Description: Volleyball game with blobs (server) This package contains the server binary for Blobby Volley 2. . Blobby Volley is an arcade volleyball game with jumping blobs. It contains a multiplayer mode and several bots. Package: bloboats Version: 1.0.1.dsfg-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1114 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-image1.2 (>= 1.2.10), libsdl-net1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6) Homepage: http://bloboats.blobtrox.net/about.php Priority: optional Section: games Filename: pool/main/b/bloboats/bloboats_1.0.1.dsfg-3_armhf.deb Size: 888494 SHA256: 1964ebd7fd845c43ea1ee4dc95ceda65bf03d89b55d664503fed8ff437712002 SHA1: 47cfbcf3b148c12f88c8b861561a266cffd17647 MD5sum: 2f75fc61ca7dca56754dd32d437a7d9d Description: a boat racing game Bloboats is an arcade-like boat racing game in the hybrid spirit of Mario-like platform jumpers and elasto mania / xmoto. . The objective of Bloboats is to reach MS Enterprise as fast as possible to save if from the hands of the terrible Tentacle Monsters of an Unknown Master and the same time beat your friend and laugh at his or her puny time. . The journey starts from a place called Tutorial, somewhere in the United States, and somehow the player ends up in the famous HV-Arena of Helsinki. During the trip a number of MS Enterprises are saved, luckily, from the hands of the terrible Tentacle Monsters of an Unknown Master, who actually is your dad. Or then ain't. :-) Package: blobwars Version: 1.19-2 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 320 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-net1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), blobwars-data (= 1.19-2) Homepage: http://blobwars.sourceforge.net/ Priority: optional Section: games Filename: pool/main/b/blobwars/blobwars_1.19-2_armhf.deb Size: 139860 SHA256: 917910b3cf1a2b53eec37ec745f4f0d42c29fc276d357a881f22a14577d46a7a SHA1: 82b1f75b72a04838eec5af7cd40b4f3fdf2c46eb MD5sum: f7a4ce5c774a5b267bcfc6784955cd54 Description: platform shooting game Blob Wars: Metal Blob Solid is a 2D platform game. It is the first in the Blob Wars series. . Since their world was invaded by an alien race, the Blobs have faced a lifetime of war. But now they have a chance to win the war once and for all. . In Blob Wars: Metal Blob Solid, you take on the role of a fearless Blob agent, Bob. Bob's mission is to infiltrate the various enemy bases around the Blobs' homeworld and rescue as many MIAs as possible. But standing in his way are many vicious aliens, other Blobs who have been assimilated and the evil alien leader, Galdov. Package: blobwars-data Source: blobwars Version: 1.19-2 Installed-Size: 74056 Maintainer: Guus Sliepen Architecture: all Replaces: blobwars (<< 1.08-dfsg-1) Depends: ttf-dejavu-core Conflicts: blobwars (<< 1.08-dfsg-1) Size: 66735558 SHA256: 913e299bac78108bdd1e1f8acf4e0f3e52640f7a862608882abddc5368096bb4 SHA1: 611426308e011b68965001b1e20195de8f86e8e3 MD5sum: b4d4b57cc6483c4f25aa0a0fd579698b Description: platform shooting game Since their world was invaded by an alien race, the Blobs have faced a lifetime of war. But now they have a chance to win the war once and for all. . In Blob Wars: Metal Blob Solid, you take on the role of a fearless Blob agent, Bob. Bob's mission is to infiltrate the various enemy bases around the Blobs' homeworld and rescue as many MIAs as possible. But standing in his way are many vicious aliens, other Blobs who have been assimilated and the evil alien leader, Galdov. . This package contains the maps, graphics, music and sound for blobwars. Homepage: http://blobwars.sourceforge.net/ Tag: game::platform, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/b/blobwars/blobwars-data_1.19-2_all.deb Package: blockattack Version: 1.4.1+ds1-2.1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 5775 Depends: libc6 (>= 2.13-28), libenet1a, libgcc1 (>= 1:4.4.0), libphysfs1 (>= 1.1.1), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Homepage: http://blockattack.sf.net Priority: optional Section: games Filename: pool/main/b/blockattack/blockattack_1.4.1+ds1-2.1_armhf.deb Size: 5621426 SHA256: b7e059fcdaac4738657ec7346397cb6c1030aa5ae745c1409e0401e3d921a002 SHA1: 659670b2c1543a28b01de08a7d452231bb9835ec MD5sum: dd9b429efe18a194bbd62e276756ab54 Description: puzzle game inspired by Tetris Block Attack is a puzzle/blockfall game inspired by Nintendo's Tetris Attack for the Super Nintendo. The game is pretty action packed for a puzzle game :-) . Features: * Based on the classic "Tetris Attack" for the SNES * 5 single player modes: Endless, Time Trial, Puzzle Mode, Stage Clear and Vs. Mode * 2 two player options: Time Trial and Vs. Mode * Puzzle mode has 20+ puzzles * Players can use a custom key setup * Handicap in multiplayer * Highscores are saved * Joypad support * Music and sound effects * Animations * Select puzzle file from a list in the game . System requirements: * Screen resolution: 1024x768 (cannot be changed, but it can run in a window) * Keyboard * Mouse (optional) * Processor: Decent (tested on 733 MHz) * Memory: at least 64 MB Package: blockout2 Version: 2.4+dfsg1-6 Architecture: armhf Maintainer: Dmitry E. Oboukhov Installed-Size: 4915 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libpng12-0 (>= 1.2.13-4), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.1.1) Homepage: http://www.blockout.net/blockout2/ Priority: extra Section: games Filename: pool/main/b/blockout2/blockout2_2.4+dfsg1-6_armhf.deb Size: 4668518 SHA256: 42321caec0b94f5c3aeb9c203343f4eafce84c48c9b096b4b0276b21968b042c SHA1: 119fa0091c8f990be73909f8878c1713bdad1da2 MD5sum: d908ce0a97b966c04bf1d8fec748499c Description: Tetris like game (3D-tetris) BlockOut II is a free adaptation of the original BlockOut DOS game edited by California Dreams in 1989. BlockOut II has the same features than the original game with few graphic improvements. The score calculation is also nearly similar to the original game. BlockOut II has been designed by an addicted player for addicted players. BlockOut II is an open source project available for both Windows and Linux. Package: blocks-of-the-undead Version: 1.0-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 342 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-gfx1.2-4 (>= 2.0.22), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), blocks-of-the-undead-data (= 1.0-5) Homepage: http://www.gamecreation.org/game/blocksundead Priority: optional Section: games Filename: pool/main/b/blocks-of-the-undead/blocks-of-the-undead_1.0-5_armhf.deb Size: 142510 SHA256: 369fb9268299cd55ce735f4f48e2940a399cac52fc3fbe9e0f14774c4f72e591 SHA1: 2caf0f08301e6eabf4b606b5364cdd9c2bdc799f MD5sum: 2ae5b3f4aa758a48b610214c3645989c Description: Tetris Attack clone with spooky undertones Blocks of the Undead is a puzzle game. You have to remove all the blocks on the field by swapping these around. Package: blocks-of-the-undead-data Source: blocks-of-the-undead Version: 1.0-5 Installed-Size: 1934 Maintainer: Debian Games Team Architecture: all Depends: ttf-dejavu-core Size: 1845624 SHA256: 650cd7ca962258cf84b0b09f9956e746dbb9ad64684c15b7cc886fd6890c8d33 SHA1: 168b827b5a282d42d81fa2667b9db36a41e0a1b8 MD5sum: 04448665596acbd755c4bf3479988567 Description: Tetris Attack clone with spooky undertones - data files Blocks of the Undead is a puzzle game. You have to remove all the blocks on the field by swapping these around. . This package provides the needed data (images, sounds, levels) for blocks-of-the-undead. Homepage: http://www.gamecreation.org/game/blocksundead Tag: role::app-data Section: games Priority: optional Filename: pool/main/b/blocks-of-the-undead/blocks-of-the-undead-data_1.0-5_all.deb Package: blogilo Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2347 Depends: kde-runtime, kdepim-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkblog4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdeui5 (>= 4:4.6), libkhtml5 (>= 4:4.6), libkio5 (>= 4:4.6), libktexteditor4 (>= 4:4.6), libqt4-sql (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libqt4-sql-sqlite Conflicts: kde-l10n Homepage: http://pim.kde.org/ Priority: optional Section: web Filename: pool/main/k/kdepim/blogilo_4.4.11.1+l10n-3_armhf.deb Size: 849584 SHA256: 103c2277ad659c1192df82f58266e997adca545fd06ea3d7956c32727854ed81 SHA1: 75264621d35448031467ddcabe69da9948fee23a MD5sum: 9e2700dd716dd3906401f4385fcfd337 Description: graphical blogging client Blogilo is a Free/Open Source Blogging client, focused on simplicity and usability. Currently featured: . * A full featured WYSIWYG editor and an HTML editor. * Previewing your post with your blog style, like when you are visiting it at your blog. * Support for Blogger1.0, MetaWeblog, MovableType (Wordpress supports all of these) and Google GData (used on Blogspot.com blogs) APIs. * Support for creating/modifying/deleting posts. * Support for creating drafts and scheduled posts. * Support for uploading media files to your blog (only on supported APIs e.g. MetaWeblog and MovableType). * Support for fetching your recent blog entries. * Support for adding images to post from your system. It will upload them on Submitting post to blog (only on supported APIs e.g. MetaWeblog and MovableType). * Support for saving local entries before publishing. * Saving your writing copy to prevent data loss, at configurable intervals. * Internal auto spell checker. (KDE spell checker used so most of languages are supported.) . This package is part of the KDE PIM module. Package: blop Version: 0.2.8-6 Architecture: armhf Maintainer: Mario Lang Installed-Size: 1693 Depends: libc6 (>= 2.13-28) Provides: ladspa-plugin Priority: optional Section: sound Filename: pool/main/b/blop/blop_0.2.8-6_armhf.deb Size: 954506 SHA256: 11ef8c7bfb846552633017e5d1c0ea462944f0b4fe4d0c5adef0b98e1b8e041e SHA1: ea82a26c16e77b0ccf7a7fbcb0309ea19fe9e686 MD5sum: d38795dabfd8207d395a3d4cbe19870d Description: Bandlimited wavetable-based oscillator plugins for LADSPA hosts BLOP comprises a set of LADSPA plugins that generate bandlimited sawtooth, square, variable pulse and slope-variable triangle waves, for use in LADSPA aware audio applications, principally as components of a modular synthesis network. . They are wavetable based, and are designed to produce output with harmonic content as high as possible over a wide pitch range. . Additionally, there are a few extra plugins to assist in building synthesis networks, like a analogue-type sequencer, sync-square and ADSR envelope. Package: blosxom Version: 2.1.2-1 Installed-Size: 176 Maintainer: Gerfried Fuchs Architecture: all Depends: perl Recommends: apache | httpd Size: 28386 SHA256: 0c3bd8b046ff03c47d6fc290cc33c4d5356681f83462e3d62e3771413269fdcb SHA1: c7df2f9b29387b2d7af51f86b85d3bb614f16410 MD5sum: 4240bca8a6994df2dc5869d2c69482ed Description: light, feature-packed weblog app with plugin extensibility Blosxom (pronounced "Blossom") is a lightweight yet feature-packed weblog application designed from the ground up with simplicity, usability, and interoperability in mind. . Fundamental is its reliance upon the file system, folders and files as its content database. Blosxom's weblog entries are plain text files like any other. Write from the comfort of your favorite text editor and hit the Save button. Create, edit, rename, and delete entries on the command-line, via FTP, WebDAV, or anything else you might use to manipulate your files. There's no import or export; entries are nothing more complex than title on the first line, body being everything thereafter. . Despite its tiny footprint, Blosxom doesn't skimp on features, sporting the majority of features one would find in any other Weblog application. Additionally, Blosxom 2 includes support for plugins, and thus much more extensive customization. . Blosxom is simple, straightforward, minimalist Perl affording even the dabbler an opportunity for experimentation and customization. And last, but not least, Blosxom is open source and free for the taking and altering. Homepage: http://blosxom.sourceforge.net/ Tag: devel::web, implemented-in::perl, interface::commandline, interface::web, protocol::ftp, protocol::webdav, role::program, scope::application, use::editing, web::blog, web::cgi, works-with-format::html, works-with::text Section: web Priority: optional Filename: pool/main/b/blosxom/blosxom_2.1.2-1_all.deb Package: blt Version: 2.4z-4.2 Architecture: armhf Maintainer: Chris Waters Installed-Size: 3388 Depends: libc6 (>= 2.13-28), libx11-6, tcl8.5 (>= 8.5.0) | tcl8.4 (>= 8.4.16), tk8.5 (>= 8.5.0) | tk8.4 (>= 8.4.16) Suggests: blt-demo Conflicts: blt-common, blt4.2, blt8.0, blt8.0-unoff Replaces: blt-common, blt-demo (<< 2.4i-1), blt-dev (<< 2.4z-3), blt4.2, blt8.0, blt8.0-unoff Provides: blt-common Homepage: http://blt.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/b/blt/blt_2.4z-4.2_armhf.deb Size: 1521526 SHA256: d2c77ad39288c39898ebd04444b457a1154988bb49ddb6687749e9f8813c971b SHA1: e69d97a6ec3e16a2a42ca0b47efa63f79ddb4c9c MD5sum: 58529abe7646863152b8f8663ad0eaa7 Description: the BLT extension library for Tcl/Tk - run-time package BLT is a library of useful extensions for the Tcl language and the popular Tk graphical toolkit. It adds a vector and tree data type, background execution and some debugging tools to Tcl, and provides several new widgets for Tk, including graphs, bar-charts, trees, tabs, splines and hyper-links, as well as a new geometry manager, drag & drop support, and more. . This package contains everything you need to use BLT with your Tcl/Tk scripts and Tcl/Tk-enabled apps. Package: blt-demo Source: blt Version: 2.4z-4.2 Installed-Size: 2120 Maintainer: Chris Waters Architecture: all Replaces: blt-dev (<< 2.4j-1) Depends: blt, tk8.5 | wish, tcl8.5 | tclsh Conflicts: blt4.2, blt8.0 (<< 2.4i-1) Size: 606720 SHA256: 270ac23711430fa1b751b66263857d22fcfd41f76d0c1fb98f03d26b8a0ffc07 SHA1: 84d9fcdc0f0d8d39240c2d1aca6a9eb9bb3e9e24 MD5sum: e08cb70251c7117b2e6c6ade34f939b1 Description: the BLT extension library for Tcl/Tk - demos and examples BLT is a library of useful extensions for the Tcl language and the popular Tk graphical toolkit. It adds a vector and tree data type, background execution and some debugging tools to Tcl, and provides several new widgets for Tk, including graphs, bar-charts, trees, tabs, splines and hyper-links, as well as a new geometry manager, drag & drop support, and more. . This package contains demos and samples showing the power and versatility of BLT. Homepage: http://blt.sourceforge.net/ Tag: devel::examples, devel::lang:tcl, devel::library, implemented-in::shell, interface::x11, role::app-data, uitoolkit::tk Section: devel Priority: optional Filename: pool/main/b/blt/blt-demo_2.4z-4.2_all.deb Package: blt-dev Source: blt Version: 2.4z-4.2 Architecture: armhf Maintainer: Chris Waters Installed-Size: 2942 Depends: blt (= 2.4z-4.2), tk8.5-dev | tk8.4-dev, tcl8.5-dev | tcl8.4-dev Suggests: blt-demo Conflicts: blt8.0-dev, blt8.0-unoff Replaces: blt (<< 2.4i-1), blt4.2, blt4.2-dev, blt8.0-unoff Homepage: http://blt.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/b/blt/blt-dev_2.4z-4.2_armhf.deb Size: 1273326 SHA256: dd4a8e84835f2e6256ec6cae96dc690053b5183a9813a23a68576940380d62ff SHA1: ee99070ff6dfdf84c1b73f7affd8b203d1769118 MD5sum: b3cdbf45e5567355cfcc40ec7e047e37 Description: the BLT extension library for Tcl/Tk - development files BLT is a library of useful extensions for the Tcl language and the popular Tk graphical toolkit. It adds a vector and tree data type, background execution and some debugging tools to Tcl, and provides several new widgets for Tk, including graphs, bar-charts, trees, tabs, splines and hyper-links, as well as a new geometry manager, drag & drop support, and more. . This package contains the headers and libraries needed to extend or embed BLT. Package: bluedevil Version: 1.2.3-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 2324 Depends: kde-runtime, libbluedevil1 (>= 1.9.2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.4-2~), libkdeui5 (>= 4:4.7.0), libkfile4 (>= 4:4.4), libkio5 (>= 4:4.5.85), libqt4-dbus (>= 4:4.6.1), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), bluez, obexd-client, obex-data-server Suggests: pulseaudio-module-bluetooth | bluez-alsa Homepage: https://projects.kde.org/projects/extragear/base/bluedevil Priority: optional Section: net Filename: pool/main/b/bluedevil/bluedevil_1.2.3-1_armhf.deb Size: 548370 SHA256: c8bfbab0b9e4584ca83f593fc62512046f2b679479f6486c0f1f00312b6275ed SHA1: 492b99ba128762875461d39e3dd5723bf7b7e802 MD5sum: c7cbf70397072cde17e18c9ed092d16a Description: KDE Bluetooth stack BlueDevil is a set of components which integrate Bluetooth in KDE. It contains: * A KDE Control Module (KCM) to configure all the Bluetooth-related options. * Integration with the KDE input/output system (KIO), which allows you to discover and explore Bluetooth devices from your favorite file browser. * A wizard to pair your devices and connect directly to services they offer, such as input (mouse, keyboard, Wiimote) and audio (headsets, phones). * A system tray application from where all BlueDevil actions can be done (disconnect devices, send files, configure, etc...) * A daemon to listen incoming requests, for example to receive files or to introduce a requested PIN. Package: bluefish Version: 2.2.3-4 Architecture: armhf Maintainer: Daniel Leidert Installed-Size: 553 Depends: bluefish-data (= 2.2.3-4), bluefish-plugins (= 2.2.3-4), gvfs-backends, libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libenchant1c2a (>= 1.6), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.22.0), libxml2 (>= 2.7.4) Suggests: bluefish-dbg, libxml2-utils, php5-cli, tidy, weblint-perl | weblint, iceweasel | iceape | www-browser Homepage: http://bluefish.openoffice.nl Priority: optional Section: web Filename: pool/main/b/bluefish/bluefish_2.2.3-4_armhf.deb Size: 249524 SHA256: 34428c250e6823426918acfcff8927c8e98ec041ebe0738e39c941ca91ed3453 SHA1: 571121c426301ff323a2f87a003030078812fb42 MD5sum: 9581774d8aff8b9089ca0dc4d7604912 Description: advanced Gtk+ HTML editor Bluefish is a GTK+ HTML editor for the experienced web designer. Its features include nice wizards for startup, tables and frames; a fully featured image insert dialog; thumbnail creation and automatically linking of the thumbnail with the original image; and configurable HTML syntax highlighting. . For validation to work you need weblint and xmllint. For preview to work, you need a web browser that can view local files given to it on the command line. Package: bluefish-data Source: bluefish Version: 2.2.3-4 Installed-Size: 6935 Maintainer: Daniel Leidert Architecture: all Replaces: bluefish (<< 1.3), bluefish-unstable (<< 1.1.5), bluefish-unstable-data (<< 2.0) Depends: python (>= 2.6.6-7~) Breaks: bluefish (<< 1.3), bluefish-unstable (<< 1.1.5), bluefish-unstable-data (<< 2.0) Size: 2701052 SHA256: 0857f4fff05ce87fd11c178a4bf4bbc77015fc9264fe175df26b87669c775f1f SHA1: de5364114d6d8e0204cd7c9e5de4208f868e9fb2 MD5sum: d4ad64ff5d895a1a6e66e2d69088b481 Description: advanced Gtk+ HTML editor (data) Bluefish is a GTK+ HTML editor for the experienced web designer. Its features include nice wizards for startup, tables and frames; a fully featured image insert dialog; thumbnail creation and automatically linking of the thumbnail with the original image; and configurable HTML syntax highlighting. . This package contains the architecture independent data. Homepage: http://bluefish.openoffice.nl Tag: role::app-data Section: web Priority: optional Filename: pool/main/b/bluefish/bluefish-data_2.2.3-4_all.deb Package: bluefish-dbg Source: bluefish Version: 2.2.3-4 Architecture: armhf Maintainer: Daniel Leidert Installed-Size: 2049 Depends: bluefish (= 2.2.3-4), bluefish-plugins (= 2.2.3-4) Suggests: gvfs-dbg, libatk1.0-dbg, libc6-dbg, libcairo2-dbg, libglib2.0-0-dbg, libgtk-3-0-dbg, libpango1.0-0-dbg, libxml2-dbg, zlib1g-dbg Homepage: http://bluefish.openoffice.nl Priority: extra Section: debug Filename: pool/main/b/bluefish/bluefish-dbg_2.2.3-4_armhf.deb Size: 871568 SHA256: 0b08859ed7046df9f643672e9014cb8c91c0587a4f361f62df0ee682924f7252 SHA1: cb2869e71ad67e701ecbb7565da44eb092fe9934 MD5sum: 5cf06511dabe39d5dba327531086fc69 Description: advanced Gtk+ HTML editor (debugging symbols) Bluefish is a GTK+ HTML editor for the experienced web designer. Its features include nice wizards for startup, tables and frames; a fully featured image insert dialog; thumbnail creation and automatically linking of the thumbnail with the original image; and configurable HTML syntax highlighting. . This package contains the debugging symbols. It is recommended to install it if you suffer a crash. Package: bluefish-plugins Source: bluefish Version: 2.2.3-4 Architecture: armhf Maintainer: Daniel Leidert Installed-Size: 695 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libenchant1c2a (>= 1.6), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libgucharmap-2-90-7 (>= 1:3.0.0), libpango1.0-0 (>= 1.14.0), libpython2.7 (>= 2.7), libxml2 (>= 2.7.4) Homepage: http://bluefish.openoffice.nl Priority: optional Section: web Filename: pool/main/b/bluefish/bluefish-plugins_2.2.3-4_armhf.deb Size: 219634 SHA256: 164339fe7b59a95ba55163c30b8eb3d079b535fe03902d797c1eb350b4e974fc SHA1: 6265da247083488dbd5e518bec0be25d7dffdaba MD5sum: 598c5b479ea6fd59fd0104b82af2b746 Description: advanced Gtk+ HTML editor (plugins) Bluefish is a GTK+ HTML editor for the experienced web designer. Its features include nice wizards for startup, tables and frames; a fully featured image insert dialog; thumbnail creation and automatically linking of the thumbnail with the original image; and configurable HTML syntax highlighting. . This package contains the plugins. You will need it for the HTML dialogs. So it's basically necessary. Package: blueman Version: 1.23-1+deb7u1 Architecture: armhf Maintainer: Christopher Schramm Installed-Size: 1852 Depends: libatk1.0-0 (>= 1.12.4), libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.16), libpango1.0-0 (>= 1.14.0), libpython2.7 (>= 2.7), libstartup-notification0 (>= 0.4), python (>= 2.7), python (<< 2.8), dbus, bluez (>= 4.25), obex-data-server (>= 0.4.3), python-gtk2 (>= 2.12), python-dbus, python-gi, python-notify, notification-daemon, librsvg2-common, gnome-icon-theme Recommends: python-gconf, policykit-1, libpulse-mainloop-glib0 Homepage: http://blueman-project.org/ Priority: optional Section: x11 Filename: pool/main/b/blueman/blueman_1.23-1+deb7u1_armhf.deb Size: 406064 SHA256: f6f7fd63289ad162df0a23ed502879946ba462028c8710359477c210c84b5145 SHA1: bafddfa60e6d1476a89df506e587186cca0650e8 MD5sum: 9c5d149a44631f7129cc7a3fc06f99cf Description: Graphical bluetooth manager Blueman is a GTK+ bluetooth management utility for GNOME using bluez D-Bus backend. Package: bluemindo Version: 0.3-4 Installed-Size: 988 Maintainer: Thibaut GIRKA Architecture: all Depends: python, python-support (>= 0.90.0), python-gst0.10, python-gtk2 (>= 2.10), python-glade2, python-tagpy, gstreamer0.10-plugins-base Recommends: python-dbus, python-notify Suggests: python-xmpp Size: 168876 SHA256: db29314762c1fdad0e60b1bf7cc341fde544a71a497baead47c942b3adf9fb3c SHA1: ac7c53aef01eeb26f0d24d8b5745e5e5ca438c33 MD5sum: 712720b1e3d30ac459215241618a6ca7 Description: simple yet powerful audio player Bluemindo is a really simple yet powerful audio player in Python/PyGTK, using GStreamer. . With Bluemindo you can: * automatically download lyrics, album-covers, or a picture of the artist, for the current playing song; * choose between five different view modes (lightweight, basic, normal, full or albums); * use plugins; * get desktop notifications (requires python-notify); * update Gajim's status message (requires python-dbus); * send music to your Jabber account (requires python-xmpp) or to your Last.fm profile. * listen to webradios and ShoutCast Homepage: http://bluemindo.codingteam.net/ Tag: implemented-in::python, interface::x11, role::program, sound::player, uitoolkit::gtk, use::playing, works-with::audio, x11::application Section: sound Priority: extra Filename: pool/main/b/bluemindo/bluemindo_0.3-4_all.deb Package: bluemon Version: 1.4-6 Architecture: armhf Maintainer: Matthew Johnson Installed-Size: 116 Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), bluez Priority: optional Section: net Filename: pool/main/b/bluemon/bluemon_1.4-6_armhf.deb Size: 25986 SHA256: 6dddf4f0b901908b79fd5ee7c01861202240553de85180ed5e7c987c62243130 SHA1: 43df656840e5cbf98f61e98e27799d3e1896049e MD5sum: 824b9c7631851aff5aa26ddc11aa9ec0 Description: Activate or deactivate programs based on Bluetooth link quality BlueMon monitors the quality of the link to a Bluetooth device and can start or stop programs when the threshold drops below a certain value. This can be used to perform actions like locking the terminal when you walk away from it. . Bluemon uses the DBus system bus to alert other applications to the presence of Bluetooth devices. A user binary can then start or stop programs when such signals are received. Package: blueproximity Version: 1.2.5-6 Installed-Size: 855 Maintainer: Francesco Namuri Architecture: all Depends: python (>= 2.6.6-7~), bluetooth, python-configobj (>= 4.7.0), python-bluez, python-gtk2, python-glade2, python-gobject, librsvg2-common Suggests: gnome-screensaver | xscreensaver Size: 303636 SHA256: 38ff12c90c401533c8c50f5f020ae458b9bc7c63ab6e9bd6746f060369968d35 SHA1: 5727e72e9f99c477b83b2f49210bb3b08e4c4579 MD5sum: 733cd44b3c1932732c7bfc0700d070ff Description: locks/unlocks your desktop tracking a bluetooth device blueproximity helps you adding a little more security to your desktop. It does so by detecting one of your bluetooth devices, most likely your mobile phone, and keeping track of its distance. If you move away from your computer and the distance is above a certain level (no measurement in meters is possible) for a given time, it automatically locks your desktop (or starts any other shell command you want). . Once away, if you return nearer than a given level for a set time your computer unlocks magically without any interaction (or starts any other shell command you want). Homepage: http://blueproximity.sourceforge.net/ Tag: admin::login, implemented-in::python, interface::x11, role::program, scope::utility, security::authentication, uitoolkit::gtk, use::login, x11::applet Section: admin Priority: extra Filename: pool/main/b/blueproximity/blueproximity_1.2.5-6_all.deb Package: bluetile Version: 0.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 96502 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libgmp10, libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.18.0), libx11-6, libxext6, libxft2 (>> 2.1.1), libxinerama1, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4), libgnome2-0 Suggests: gnome-core Homepage: http://hackage.haskell.org/package/bluetile Priority: optional Section: x11 Filename: pool/main/b/bluetile/bluetile_0.6-1_armhf.deb Size: 14442626 SHA256: 2b76e9968238ff54062568c10da2763ae5e3ea9f5e1e53456962eabc4a3068a6 SHA1: e35cff8a2b10494877372843759a50228c479832 MD5sum: 0fb1f90ba955637e32755e163525f064 Description: full-featured tiling for the GNOME desktop environment Bluetile is a tiling window manager designed to integrate with the GNOME desktop environment. It provides both a traditional, stacking layout mode as well as tiling layouts where windows are arranged to use the entire screen without overlapping. Bluetile tries to make the tiling paradigm easily accessible to users coming from traditional window managers by drawing on known conventions and providing both mouse and keyboard access for all features. Package: bluetooth Source: bluez Version: 4.99-2+deb7u1 Installed-Size: 71 Maintainer: Debian Bluetooth Maintainers Architecture: all Depends: bluez Size: 37576 SHA256: 0f063154a8b11c18d6ab1bd3012f6afea094ad84038e41dbc8c5e33c26e162c6 SHA1: ade5581aa9f9530a8913392ea8573e2438bb83dd MD5sum: 691dc812b9ab91f5ff3fc40a06b3b04a Description: Bluetooth support This package provides all of the different plugins supported by the Bluez bluetooth stack. Homepage: http://www.bluez.org Recommends: bluez-alsa, bluez-cups, bluez-gstreamer Section: admin Priority: optional Filename: pool/main/b/bluez/bluetooth_4.99-2+deb7u1_all.deb Package: bluewho Version: 0.1-1 Installed-Size: 544 Maintainer: Fabio Castelli Architecture: all Depends: python-gtk2, python-glade2, librsvg2-common, python-bluez, python (>= 2.5), python-support (>= 0.90.0) Recommends: libnotify-bin Suggests: alsa-utils Size: 182646 SHA256: 209024ae82353608ccf46f12917dba59656eb4f5d7651432486623b0f510eee6 SHA1: 5e83e2202d67aaef0a1bc4fef4d3f9bf4d72f880 MD5sum: 69684a70331322ed322061e42c3d45b4 Description: notifies new discovered bluetooth devices BlueWho informs and notifies when a new bluetooth device is discovered. Detection can be requested by the user or automatically continuous until it's stopped. A visible and audible notification can be triggered whenever a new device is detected. . Each device found will be saved on the list as well its name, MAC address, last seen date and time. For each device a list of available Bluetooth services can be requested. Homepage: http://code.google.com/p/bluewho/ Tag: role::program Section: admin Priority: optional Filename: pool/main/b/bluewho/bluewho_0.1-1_all.deb Package: bluez Version: 4.99-2+deb7u1 Architecture: armhf Maintainer: Debian Bluetooth Maintainers Installed-Size: 1926 Depends: libc6 (>= 2.13-28), libcap-ng0, libdbus-1-3 (>= 1.1.1), libglib2.0-0 (>= 2.28.0), libreadline6 (>= 6.0), libudev0 (>= 0.140), libusb-0.1-4 (>= 2:0.1.12), kmod, udev (>= 170-1), lsb-base, dbus, python-gi, python-dbus Conflicts: bluez-audio (<= 3.36-3), bluez-utils (<= 3.36-3) Breaks: udev (<< 170-1) Replaces: bluez-audio (<= 3.36-3), bluez-input, bluez-network, bluez-serial, bluez-utils (<= 3.36-3), udev (<< 170-1) Multi-Arch: foreign Homepage: http://www.bluez.org Priority: optional Section: admin Filename: pool/main/b/bluez/bluez_4.99-2+deb7u1_armhf.deb Size: 888890 SHA256: 42f0ddd7d74d7986cc02e2ce804d8249ac5d6967208036e6d956b13b793d9a38 SHA1: d9d7933dcd85a63a5edf4d9997ac1198c2f6bfdb MD5sum: 18d827a3398704ea9635b772ea8e8833 Description: Bluetooth tools and daemons This package contains tools and system daemons for using Bluetooth devices. . BlueZ is the official Linux Bluetooth protocol stack. It is an Open Source project distributed under GNU General Public License (GPL). Package: bluez-alsa Source: bluez Version: 4.99-2+deb7u1 Architecture: armhf Maintainer: Debian Bluetooth Maintainers Installed-Size: 292 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), bluez Replaces: bluez-audio Multi-Arch: same Homepage: http://www.bluez.org Priority: optional Section: admin Filename: pool/main/b/bluez/bluez-alsa_4.99-2+deb7u1_armhf.deb Size: 159060 SHA256: a167329cfaf9f9be9098a6a6f367d25bffaef3af07cea09067e778ac00be2622 SHA1: aac7b2a39b575c0967fefd07e380aaef7aa2fe8c MD5sum: b60a730e50005bee8e6b8c2ca69e2bbd Description: Bluetooth ALSA support This package contains a driver operate with the ALSA stack. . BlueZ is the official Linux Bluetooth protocol stack. It is an Open Source project distributed under GNU General Public License (GPL). Package: bluez-audio Source: bluez Version: 4.99-2+deb7u1 Installed-Size: 63 Maintainer: Debian Bluetooth Maintainers Architecture: all Depends: bluez-alsa (>= 4.94-3), bluez-gstreamer (>= 4) Size: 37396 SHA256: 4c1aaba0e6c21e18851eba06cc5c777da897f5ac54fb60d915dea9a05dac6520 SHA1: d1b0c7ffc6a9c25437eac8535f325bc34e034015 MD5sum: 55246fcec07936daaa2be094bf4d923c Description: Transitional package This is a transitional package to assist with moving people to the BlueZ 4.x stack. Homepage: http://www.bluez.org Section: admin Priority: optional Filename: pool/main/b/bluez/bluez-audio_4.99-2+deb7u1_all.deb Package: bluez-compat Source: bluez Version: 4.99-2+deb7u1 Architecture: armhf Maintainer: Debian Bluetooth Maintainers Installed-Size: 340 Depends: libc6 (>= 2.13-28) Homepage: http://www.bluez.org Priority: optional Section: admin Filename: pool/main/b/bluez/bluez-compat_4.99-2+deb7u1_armhf.deb Size: 178802 SHA256: be2115839132ec3bbf1f90509862d5564c35954123a8f5f7a652ea71fb748d1f SHA1: f9e71bd23ae75e1791c832ebb1ede1b0bac65ab9 MD5sum: 36aa7736f4333aa06ee55de958d96df9 Description: BlueZ 3.x compatibility binaries This package provides the legacy binaries that were reminiscent of the BlueZ 3.x pairing methods. These binaries are not supported, and will go away again in the future. The provided binaries are: . hidd dund pand Package: bluez-cups Source: bluez Version: 4.99-2+deb7u1 Architecture: armhf Maintainer: Debian Bluetooth Maintainers Installed-Size: 146 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), libglib2.0-0 (>= 2.16.0), cups Homepage: http://www.bluez.org Priority: optional Section: admin Filename: pool/main/b/bluez/bluez-cups_4.99-2+deb7u1_armhf.deb Size: 85838 SHA256: 21af360eba6fcce77842ff92a17382fba3458c182657c0977e0823e2ab1ee0a8 SHA1: 540677990b6271e21dc496694edb3021c442b8c0 MD5sum: 97cd9cbb4b3f5ae5d4ee8ee509435a37 Description: Bluetooth printer driver for CUPS This package contains a driver to let CUPS print to Bluetooth-connected printers. . BlueZ is the official Linux Bluetooth protocol stack. It is an Open Source project distributed under GNU General Public License (GPL). Package: bluez-dbg Source: bluez Version: 4.99-2+deb7u1 Architecture: armhf Maintainer: Debian Bluetooth Maintainers Installed-Size: 4036 Depends: bluez (= 4.99-2+deb7u1), libbluetooth3-dbg (= 4.99-2+deb7u1) Homepage: http://www.bluez.org Priority: extra Section: debug Filename: pool/main/b/bluez/bluez-dbg_4.99-2+deb7u1_armhf.deb Size: 1701348 SHA256: a4afcd0c9c9977dc690904165a6ec5903ce6acf668983cddfa637ee1cc05479d SHA1: def3c60a39e432f60565136dd6171e3201f0be6a MD5sum: 8eb0e4c8775fb626cdd6fc487b127b19 Description: Bluetooth tools and daemons (with debugging symbols) This package contains tools and system daemons for using Bluetooth devices. . BlueZ is the official Linux Bluetooth protocol stack. It is an Open Source project distributed under GNU General Public License (GPL). . This package contains the debugging symbols. Package: bluez-gstreamer Source: bluez Version: 4.99-2+deb7u1 Architecture: armhf Maintainer: Debian Bluetooth Maintainers Installed-Size: 251 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.30), libgstreamer0.10-0 (>= 0.10.30), libxml2 (>= 2.6.27), bluez Replaces: bluez-audio Homepage: http://www.bluez.org Priority: optional Section: admin Filename: pool/main/b/bluez/bluez-gstreamer_4.99-2+deb7u1_armhf.deb Size: 136050 SHA256: f8e5ae9853a5ca4439cbdfff7d3b4d024f8bd0a6125676efd1e206fa411bcc7b SHA1: fb8d3078f4654c3754ce8d64eb766ada573b7ff1 MD5sum: 1d706677422fa6d1b9f18cbb5ce6d042 Description: Bluetooth GStreamer support This package contains a plugin to operate with GStreamer applications. . BlueZ is the official Linux Bluetooth protocol stack. It is an Open Source project distributed under GNU General Public License (GPL). Package: bluez-hcidump Version: 2.4-1 Architecture: armhf Maintainer: Debian Bluetooth Maintainers Installed-Size: 343 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.bluez.org Priority: extra Section: admin Filename: pool/main/b/bluez-hcidump/bluez-hcidump_2.4-1_armhf.deb Size: 129788 SHA256: 05c06b7998db63adf745d6fe7c780b53d4399bc5cb0ad163bb918f39526b8df7 SHA1: 5efc2baf74086aa82fe61fc9623ee41dc70d4974 MD5sum: b16e3f736215a409d781efa9b0a4c144 Description: Analyses Bluetooth HCI packets The hcidump utility allows the monitoring of Bluetooth activity. It provides a disassembly of the Bluetooth traffic and can display packets from higher level protocols such as RFCOMM, SDP and BNEP. . hcidump is part of the BlueZ Bluetooth Linux project. For more information see http://www.bluez.org . Package: bluez-pcmcia-support Source: bluez Version: 4.99-2+deb7u1 Architecture: armhf Maintainer: Debian Bluetooth Maintainers Installed-Size: 42 Depends: pcmciautils Recommends: setserial Homepage: http://www.bluez.org Priority: extra Section: admin Filename: pool/main/b/bluez/bluez-pcmcia-support_4.99-2+deb7u1_armhf.deb Size: 38774 SHA256: 6d156476662b55717253b8a80931e8cf0fd21503fa5e85951705d758debd05c0 SHA1: a387c6a2149a9e5ffddf90029d4cebc295bbf0a3 MD5sum: 6452c407efe38b5025a591a335afd773 Description: PCMCIA support files for BlueZ 2.0 Bluetooth tools This package contains files to enable PCMCIA card services to recognise and initialise PCMCIA Bluetooth devices. . BlueZ is the official Linux Bluetooth protocol stack. It is an Open Source project distributed under GNU General Public License (GPL). Package: bluez-tools Version: 0.1.38+git662e-3 Architecture: armhf Maintainer: Debian Bluetooth Maintainers Installed-Size: 900 Depends: libc6 (>= 2.13-28), libdbus-glib-1-2 (>= 0.88), libglib2.0-0 (>= 2.22.0), libreadline6 (>= 6.0) Recommends: obexd-client (>= 0.30), obexd-server (>= 0.30) Homepage: http://code.google.com/p/bluez-tools/ Priority: optional Section: utils Filename: pool/main/b/bluez-tools/bluez-tools_0.1.38+git662e-3_armhf.deb Size: 322334 SHA256: 912f68ea21c911c846fc940aa4e547c2eddf212f36e584e7df189fc1770e87de SHA1: 19a99bcd21049f12d0c61f2d8aa9849af6ff10b0 MD5sum: f842d05d7fb803671d0973676603eafd Description: Set of tools to manage Bluetooth devices for linux Bluez-tools provides comamnd line tools to functions of Bluetooth. They are Bluetooth adapter control, Bluetooth agent, sound, input, network and other. Package: bluez-utils Source: bluez Version: 4.99-2+deb7u1 Installed-Size: 71 Maintainer: Debian Bluetooth Maintainers Architecture: all Depends: bluetooth Size: 37520 SHA256: 2dab03ec47587bb2dca6689224aa6717cb32e73acd4d7d920ee2174bbc30f588 SHA1: 2ef6b79429c48e0936be6792b6bb54562d663440 MD5sum: 94fdbd4e900f32dd1651bf944ef24b0c Description: Transitional package This is a transitional package to assist with moving people to the BlueZ 4.x stack. Homepage: http://www.bluez.org Section: admin Priority: optional Filename: pool/main/b/bluez/bluez-utils_4.99-2+deb7u1_all.deb Package: bmagic Version: 3.7.0-1.1 Installed-Size: 7560 Maintainer: Athena Capital Research Architecture: all Recommends: c++-compiler Size: 2989464 SHA256: 10d67ebd376acddfc750972cf56c06900d17aebf9c94faa8147c7e5742eba8ee SHA1: d475cd87882e2905743446100c379dd5c159fe04 MD5sum: 13d6be31fef7a52bdb9ecda0bc15846d Description: C++ template library for efficient platform independent bitsets BitMagic is a C++ template library designed and developed to implement efficient platform independent bitsets. Key features: . - Several types of on the fly adaptive compression. - Dynamic range of addressable space of 232-1 bits. - Efficient memory management. - Cache optimized bitset distance algorithms. - Serialization in platform independent, compact format suitable for storing in files and databases. - Performance tuning for 32-bit and 64-bit systems. - Optimization for Intel SSE2 128-bit integer SIMD. Homepage: http://bmagic.sourceforge.net/ Tag: devel::library Section: libdevel Priority: optional Filename: pool/main/b/bmagic/bmagic_3.7.0-1.1_all.deb Package: bmf Version: 0.9.4-9 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 93 Depends: libc6 (>= 2.13-28), libdb5.1 Homepage: http://freshmeat.net/projects/bmf Priority: optional Section: mail Filename: pool/main/b/bmf/bmf_0.9.4-9_armhf.deb Size: 28830 SHA256: f5e8017f5a3c8984bdc54707088beb40bf4617419cac844c7fa29e73cf18e772 SHA1: cc70d1cd46c9a6c265133b02e40336450bb9e827 MD5sum: b12935fbfa8bd332790a89fae6efcc6c Description: e-mail filter for spam that learns A small, fast, flexible Bayesian filter for processing e-mail. It is "trained" by the user to categorize email as spam or non-spam. This implementation integrates well with existing tools such as procmail and mutt. It can use a variety of formats for storing data. A utility is supplied for converting data between the supported formats. . This package includes support for text and libdb formats. . See "A Plan for Spam" by Paul Graham for further information. Package: bmon Version: 2.0.1-3 Architecture: armhf Maintainer: Reto Schuettel Installed-Size: 131 Depends: libc6 (>= 2.7), libncurses5 (>= 5.5-5~), libtinfo5 Priority: optional Section: net Filename: pool/main/b/bmon/bmon_2.0.1-3_armhf.deb Size: 46316 SHA256: 59bcdb2ce0035652418dd61eb56f90ce67e1a162d65e3617fc0fcd0637193b22 SHA1: e24bb9451d02ea14ba9e2955cf67035e1623be36 MD5sum: 9b3a2811cd313ba4cd3ffb3545c2f6bd Description: portable bandwidth monitor and rate estimator bmon is a commandline bandwidth monitor which supports various output methods including an interactive curses interface, lightweight HTML output but also simple ASCII output. . Statistics may be distributed over a network using multicast or unicast and collected at some point to generate a summary of statistics for a set of nodes. . Homepage: http://suug.ch/~tgr/bmon/ Package: bnd Version: 1.50.0-5 Installed-Size: 2276 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java5-runtime-headless | openjdk-6-jdk, java-wrappers, libosgi-compendium-java (>= 4.3.0), libosgi-core-java (>= 4.3.0) Recommends: libbindex-java (>= 2.2+svn101) Suggests: eclipse-jdt, eclipse-rcp Size: 2053618 SHA256: e9df876e7030a7513a98d14e9134e4310d3faba3375f5e2af9c4389ef87ab6f0 SHA1: 2e8a66aea1e38b4e17be05e6b1921fca3088df0e MD5sum: 73ff485c53b48ffce92ea9afbf73f44a Description: tool to create and diagnose OSGi R4 bundles The bnd tool helps you create and diagnose OSGi R4 bundles. The key functions are: . * Show the manifest and JAR contents of a bundle * Wrap a JAR so that it becomes a bundle * Create a Bundle from a specification and a class path * Verify the validity of the manifest entries . The tool is capable of acting as: . * Command line tool * Eclipse Plugin * Maven Plugin * Ant Plugin Homepage: http://www.aQute.biz/Bnd Tag: devel::lang:java, devel::packaging, implemented-in::java, interface::commandline, role::devel-lib, role::program, role::shared-lib Section: java Priority: optional Filename: pool/main/b/bnd/bnd_1.50.0-5_all.deb Package: bnetd Version: 0.4.25-8 Architecture: armhf Maintainer: Dennis L. Clark Installed-Size: 895 Depends: libc6 (>= 2.7) Suggests: fortune Priority: optional Section: net Filename: pool/main/b/bnetd/bnetd_0.4.25-8_armhf.deb Size: 363784 SHA256: 77bfa765cc85795fcfca9a96b5098c5540b5573c1f59fdb37587b6ae5a425875 SHA1: 4a525b0f54a168d295fe96d68192e1c259cbbfd3 MD5sum: 13371b5b818189e205e05e8141f5da0b Description: Gaming server that emulates Battle.net(R) The server currently implements most of the same functionality as the real Battle.net(R) servers from Blizzard Entertainment. You can chat, play games, use / commands, and things like account passwords, user icons, ad banners, and channel operators work too. It is by no means complete, though. . Currently Starcraft(R), Brood War(R), Diablo(R), and Warcraft(R) II BNE are supported as clients. Package: bnfc Version: 2.4.2.0-2 Architecture: armhf Maintainer: Antti-Juhani Kaijanaho Installed-Size: 14662 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Priority: optional Section: devel Filename: pool/main/b/bnfc/bnfc_2.4.2.0-2_armhf.deb Size: 2349296 SHA256: 1208f0d14ece7550b2af22b629ae3992ca72becb6e12764440bab59a69cd0ae4 SHA1: 796d9c99bfaa6e465839f9dd4a8a737c165dfc87 MD5sum: cc4772a98589e34d5e639af2a79fe12b Description: Compiler front-end generator based on Labelled BNF The BNF Converter is a compiler construction tool that generates a compiler front-end and a readable syntax description document from a Labelled BNF grammar. It was originally written to generate Haskell, but it can now also be used for generating Java, C++, and C. . To process Haskell output, you need the Glorious Glasgow Haskell Compiler (virtual package ghc), the Happy parser generator (package happy) and the Alex scanner generator (package alex). . To process Java output, you need a Java compiler and virtual machine, the CUP parser generator (package cup) and the JLex scanner generator (package jlex). . To process C or C++ output, you need a C or C++ compiler, respectively, the Bison parser generator (package bison) and the flex scanner generator (package flex). . To process the generated documents, you need LaTeX (packages tetex-base, tetex-bin, etc.). Package: boa Version: 0.94.14rc21-3.1 Architecture: armhf Maintainer: Francois-Denis Gonthier Installed-Size: 284 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), mime-support, logrotate, dpkg (>= 1.15.4) | install-info Provides: httpd, httpd-cgi Priority: optional Section: web Filename: pool/main/b/boa/boa_0.94.14rc21-3.1_armhf.deb Size: 123108 SHA256: 075856aff6b52094c9c0f22724ee8b64a9e8c1ee3a84585bbd366a66d1c816b5 SHA1: a7339105e8da32ff2db9b4c50577198c35f95420 MD5sum: a93e7456473eb004f53be212381fd97e Description: Lightweight and high performance web server Boa is a single-tasking HTTP server. That means that unlike traditional web servers, it does not fork for each incoming connection, nor does it fork many copies of itself to handle multiple connections. It internally multiplexes all of the ongoing HTTP connections, and forks only for CGI programs (which must be separate processes). Preliminary tests show boa is capable of handling several hundred hits per second on a 100 MHz Pentium. Package: boa-constructor Version: 0.6.1-12 Installed-Size: 17814 Maintainer: Debian QA Group Architecture: all Depends: python (>= 2.6.6-7~), pychecker, python-wxgtk2.8 Suggests: python-pyparsing Size: 13522594 SHA256: 81fec1196e09218927fd9f65a3ff1bb93ee91ee8f04bb3eaf943fd998573761a SHA1: fdc5a165f116551a7e5d8caf78344acc966b25b0 MD5sum: 1b6c44e8d931d49fd8ff6bce17114565 Description: RAD tool for Python and wxWindows application Boa-constructor is an IDE oriented towards creating cross-platform applications built on top of the Python language and the wxWindows GUI toolkit. . It features: - visual wxWindows frame design, - object inspector and explorer, - syntax highlighting editor with code completion, call tips and code browsing for Python code, - syntax highlighting editor for C, C++, HTML, XML, config files (INI style), - documentation generation, - an integrated Python debugger, - integrated help, - a Python Shell, - an explorer able to browse, open/edit, inspect and interact with various data sources including files, CVS, Zope, FTP, DAV and SSH, - an UML view generator. Homepage: http://boa-constructor.sourceforge.net/ Tag: devel::ide, devel::lang:python, implemented-in::python, interface::x11, role::program, scope::application, uitoolkit::wxwidgets, works-with::software:source, x11::application Section: devel Priority: optional Filename: pool/main/b/boa-constructor/boa-constructor_0.6.1-12_all.deb Package: boats Version: 201204-1 Architecture: armhf Maintainer: Thibaut Gridel Installed-Size: 596 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgif4 (>= 4.1.4), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.2), libstdc++6 (>= 4.4.0) Homepage: http://boats.sf.net Priority: extra Section: x11 Filename: pool/main/b/boats/boats_201204-1_armhf.deb Size: 235784 SHA256: a51ae7d9eea4f782ec61f18481e74f7c179499a2e0e01f47add7ec3c7b25a0c8 SHA1: 971915ef103e690bb88c85f7ed3fda77325dd8ee MD5sum: 28949ca6973a19c05602e31236dae004 Description: a race scenario drawing tool Boat Scenario is a drawing tool. It is your ideal companion for training sessions, rule learning, strategy explanations and more. It lets you make a presentation of a situation, describing the facts as you represent the action. Package: bobot++ Version: 1:1.97-10.4 Architecture: armhf Maintainer: Sam Hocevar (Debian packages) Installed-Size: 307 Depends: guile-1.6-libs, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libguile-ltdl-1, libstdc++6 (>= 4.6) Priority: optional Section: net Filename: pool/main/b/bobot++/bobot++_1.97-10.4_armhf.deb Size: 129134 SHA256: ad70c08d8173696f4ac9b9b66b045ea0355d48f1e15562481b26d5a3df7d86a1 SHA1: f638b69e4b6683c0c5176edcd2bc12f504221c5a MD5sum: 3000dbb22b21335364c5980d606070c4 Description: IRC bot with scripting features bobot++ is a robust IRC bot with many common bot features such as flood control, userlist and channel control, logging, but also intelligent ban/deban and channel mode settings. . It also provides Scheme scripting features using Guile. Package: bochs Version: 2.4.6-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 2557 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libltdl7 (>= 2.4.2), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), bochs-wx | bochs-gui, bochsbios (= 2.4.6-5), vgabios (>= 0.5c-1) Recommends: bximage | createdisk Suggests: bochs-doc, debootstrap, grub-rescue-pc, gcc | c-compiler, libc-dev Homepage: http://bochs.sourceforge.net/ Priority: extra Section: misc Filename: pool/main/b/bochs/bochs_2.4.6-5_armhf.deb Size: 1031474 SHA256: 358aeffb3a1351d7d896c330df6f412af535459a09bd749679fc52e82ebeca89 SHA1: e3198b34169e62c26856eaa35483a79040e9f27b MD5sum: 75b9028c21d8ee178fed27cb0c0bb439 Description: IA-32 PC emulator Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . Bochs is capable of running most operating systems inside the emulation including GNU, GNU/Linux, *BSD, FreeDOS, MSDOS and Windows 95/NT. Package: bochs-doc Source: bochs Version: 2.4.6-5 Installed-Size: 760 Maintainer: Debian QA Group Architecture: all Size: 246174 SHA256: fc3c1b7dac14109ed72e068b2788c3d2b8d3edf11e7eeaf00862474e1c5adff8 SHA1: 3e027e7f773a30ebcb9688179aa9f18881c395d3 MD5sum: c27cae38642d49e53765bd8e24ef4479 Description: Bochs upstream documentation This package contains the HTML documentation of the Bochs project. . The documentation is divided into three parts: . * User Guide * Development Guide * Documentation Guide Homepage: http://bochs.sourceforge.net/ Tag: hardware::emulation, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/b/bochs/bochs-doc_2.4.6-5_all.deb Package: bochs-sdl Source: bochs Version: 2.4.6-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 151 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.3.0), bochs (= 2.4.6-5) Replaces: bochs (<< 2.0rel-1) Provides: bochs-gui Homepage: http://bochs.sourceforge.net/ Priority: extra Section: misc Filename: pool/main/b/bochs/bochs-sdl_2.4.6-5_armhf.deb Size: 97384 SHA256: 1a84d8897c26d6e22b64875d2164d0f58a47449e8692a670cfa437d71ece22c8 SHA1: f6016c0e2d9720101448e5853ccb5cb3a4c436f9 MD5sum: 6efdc6fc8a2e8ee0b6f9bd8b663a0651 Description: SDL plugin for Bochs Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . This package contains an SDL GUI plugin for Bochs. . Use Scroll-Lock key for full screen. Package: bochs-svga Source: bochs Version: 2.4.6-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 134 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libsvga1, bochs (= 2.4.6-5) Provides: bochs-gui Homepage: http://bochs.sourceforge.net/ Priority: extra Section: misc Filename: pool/main/b/bochs/bochs-svga_2.4.6-5_armhf.deb Size: 87198 SHA256: fcb29a12c20a6ecb7f003f2d9ce3195e52e120e31b94e79286f597b6532f0b09 SHA1: e7e41f5e3093bb66de10b76dda15629343afde43 MD5sum: f6ffd735873c92f84d4006f0734e084d Description: SVGA plugin for Bochs Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . This package contains an SVGA GUI plugin for Bochs. . **VERY IMPORTANT WARNING** Running Bochs with this plugin will redirect all your keyboard input (including ALT+Fx) to the guest OS, blocking your terminal. An exception is the F12 key, which you can use to interrupt the emulation. Package: bochs-term Source: bochs Version: 2.4.6-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 130 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.3.0), libtinfo5, bochs (= 2.4.6-5) Replaces: bochs (<< 2.0rel-1), bochs-curses Provides: bochs-gui Homepage: http://bochs.sourceforge.net/ Priority: extra Section: misc Filename: pool/main/b/bochs/bochs-term_2.4.6-5_armhf.deb Size: 86738 SHA256: 84b81986990b0123e7628d8bbba3676e68113d9d6f819794c0c730f4779b17d9 SHA1: d2ada2b9222bf7fe037bf8f8d02b08763dafae43 MD5sum: a45120c24e7c8d86b7333c4f86c337e0 Description: Terminal (ncurses-based) plugin for Bochs Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . This package contains a Terminal (ncurses-based) GUI plugin for Bochs. Package: bochs-wx Source: bochs Version: 2.4.6-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 339 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), bochs (= 2.4.6-5) Replaces: bochs (<< 2.0rel-1) Provides: bochs-gui Homepage: http://bochs.sourceforge.net/ Priority: extra Section: misc Filename: pool/main/b/bochs/bochs-wx_2.4.6-5_armhf.deb Size: 165004 SHA256: e42f2c0a22218df6f1f656ef9e53d0e150317edad6ce4cb6621b41ae4a74b70d SHA1: 93b804fde4c0f981460fe2efeb1a30b74fb782f2 MD5sum: b86494b57054bc31400e0dd9b6890835 Description: WxWindows plugin for Bochs Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . This package contains a WxWindows GUI plugin for Bochs. Package: bochs-x Source: bochs Version: 2.4.6-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 158 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libx11-6, libxrandr2, bochs (= 2.4.6-5) Replaces: bochs (<< 2.0rel-1) Provides: bochs-gui Homepage: http://bochs.sourceforge.net/ Priority: extra Section: misc Filename: pool/main/b/bochs/bochs-x_2.4.6-5_armhf.deb Size: 102310 SHA256: d1f271e22a3c88cf288e11098ee0a7b733316240a611dc0f55e43c920188ffaf SHA1: 2944958fc5267d8c2ac30e7ba5bf5e4d8cab8341 MD5sum: 69ae267ce18794e6f12e7bc1dab0559a Description: X11 plugin for Bochs Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . This package contains an X11 GUI plugin for Bochs. Package: bochsbios Source: bochs Version: 2.4.6-5 Installed-Size: 424 Maintainer: Debian QA Group Architecture: all Replaces: bochs (<< 2.0) Size: 174338 SHA256: 2bf6d56db16e2e4527386fc13a2427f1e035c9f73b5bc9c9338b3f2601ccefdd SHA1: f6224277d666c86eae14557aec2ec689fdb7922a MD5sum: 85aeb52e608700217eeadef567f33c80 Description: BIOS for the Bochs emulator Bochs is a highly portable free IA-32 (x86) PC emulator written in C++, that runs on most popular platforms. It includes emulation of the Intel x86 CPU, common I/O devices, and a custom BIOS. . This package contains the BIOS of the Bochs project. Homepage: http://bochs.sourceforge.net/ Tag: hardware::emulation, implemented-in::c++, role::app-data, uitoolkit::sdl Section: misc Priority: extra Filename: pool/main/b/bochs/bochsbios_2.4.6-5_all.deb Package: bodr Version: 9-1 Installed-Size: 1440 Maintainer: Debichem Team Architecture: all Size: 103984 SHA256: 0530bb8e11ddc8efa8282422e1ee2a51b2013af1281235fb745c818a7b2f1022 SHA1: 62b54421d8c6d9017daec2984b8efe5a88e80844 MD5sum: a9ce5acea8ba2f5cfe41ee96796de62a Description: Blue Obelisk Data Repository Common repository of chemical and physical facts that aim to increase interoperability between chemistry programs. . See DOI 10.1021/ci050400b. Homepage: http://www.blueobelisk.org/ Tag: role::app-data Section: science Priority: optional Filename: pool/main/b/bodr/bodr_9-1_all.deb Package: bogl-bterm Source: bogl Version: 0.1.18-8 Architecture: armhf Maintainer: Samuel Thibault Installed-Size: 92 Depends: libc6 (>= 2.13-28), ncurses-term (>= 5.9-7) Priority: optional Section: utils Filename: pool/main/b/bogl/bogl-bterm_0.1.18-8_armhf.deb Size: 32678 SHA256: 9bcdad1f347e4520cd2e0dc933cc289e8cfc5e6bd6054d74867944a4c5cf532a SHA1: 3987a6033cdc6a059582ac8dcb67e2f45bbceb8e MD5sum: 4dea4d299cc255d3ae7b796d4dffc698 Description: Ben's Own Graphics Library - graphical terminal Ben's Own Graphics Library is a small framebuffer library, including basic widgets, support for text in multiple languages, and mouse handling. . This package contains bterm, a UTF-enabled framebuffer terminal. Package: bognor-regis Version: 0.6.12+git20101007.02c25268-7 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 471 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgssdp-1.0-3 (>= 0.12.0), libgstreamer0.10-0 (>= 0.10.7), libgtk2.0-0 (>= 2.10.0), libgupnp-1.0-4 (>= 0.18.0), libgupnp-av-1.0-2 (>= 0.6.0), libnotify4 (>= 0.7.3), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libtracker-sparql-0.14-0 (>= 0.10.0), libxml2 (>= 2.7.4) Homepage: http://meego.com/ Priority: optional Section: libs Filename: pool/main/b/bognor-regis/bognor-regis_0.6.12+git20101007.02c25268-7_armhf.deb Size: 44136 SHA256: b01c693a7643abf2239c49e48d8fa85f8d265090ab53329a559928d6bb1ed24f SHA1: 4c7f24493aee65dcdad1b82c2aca57e03e2d15d4 MD5sum: 950873990fe51283a8fbe54eeb594fa0 Description: Media daemon and play queue manager bognor-regis controls playqueues in meego allowing the same information to be shared between the media player and panel. Package: bogofilter Version: 1.2.2+dfsg1-2 Architecture: armhf Maintainer: Serafeim Zanikolas Installed-Size: 21 Depends: bogofilter-common, bogofilter-bdb | bogofilter-db Priority: optional Section: mail Filename: pool/main/b/bogofilter/bogofilter_1.2.2+dfsg1-2_armhf.deb Size: 970 SHA256: 15f295c614aa835b150d874ef91097ef0134fa631450cde68646528d0bf45fca SHA1: aa9260437837c1465fff9463ddb6dcf8506f1afd MD5sum: 356262352b83374bb89e19a8e6456a02 Description: fast Bayesian spam filter (dummy package) This package implements a fast Bayesian spam filter along the lines suggested by Paul Graham in his article "A Plan For Spam". . This version substantially improves on Paul's proposal by doing smarter lexical analysis. In particular, hostnames and IP addresses are retained as recognition features rather than broken up. Various kinds of MTA cruft such as dates and message-IDs are discarded so as not to bloat the word lists. Package: bogofilter-bdb Source: bogofilter Version: 1.2.2+dfsg1-2 Architecture: armhf Maintainer: Serafeim Zanikolas Installed-Size: 776 Depends: libc6 (>= 2.13-28), libdb5.1, libgcc1 (>= 1:4.4.0), libgsl0ldbl (>= 1.9), bogofilter-common Suggests: db5.1-util Replaces: bogofilter (<< 0.94.14-1) Provides: bogofilter-db Priority: optional Section: mail Filename: pool/main/b/bogofilter/bogofilter-bdb_1.2.2+dfsg1-2_armhf.deb Size: 274888 SHA256: 48a1593662ae063395185f54465e2c3b443e4fcd3850316068b6277af2ce72d0 SHA1: 2b07be629afefd51cc1971728709fec26e23646f MD5sum: 3ec994e360075e8e22f3331d935da08a Description: fast Bayesian spam filter (Berkeley DB) This package implements a fast Bayesian spam filter along the lines suggested by Paul Graham in his article "A Plan For Spam". . This version substantially improves on Paul's proposal by doing smarter lexical analysis. In particular, hostnames and IP addresses are retained as recognition features rather than broken up. Various kinds of MTA cruft such as dates and message-IDs are discarded so as not to bloat the word lists. . This package provides the bdb database backend. Package: bogofilter-common Source: bogofilter Version: 1.2.2+dfsg1-2 Installed-Size: 555 Maintainer: Serafeim Zanikolas Architecture: all Replaces: bogofilter (<< 0.94.14-1) Suggests: doc-base Size: 193898 SHA256: c8a5f3107d4a91df1986ced74841a4f3e31bd19e3637285e98ea851b0e88756a SHA1: d0dc7cdb8b97d5b5a7955cc1619c9dc282246d76 MD5sum: 675b61ab706ad4c868320edd01645400 Description: fast Bayesian spam filter (common files) This package implements a fast Bayesian spam filter along the lines suggested by Paul Graham in his article "A Plan For Spam". . This version substantially improves on Paul's proposal by doing smarter lexical analysis. In particular, hostnames and IP addresses are retained as recognition features rather than broken up. Various kinds of MTA cruft such as dates and message-IDs are discarded so as not to bloat the word lists. . This package provides files that are common for all database backends. Tag: mail::filters, role::app-data Section: mail Priority: optional Filename: pool/main/b/bogofilter/bogofilter-common_1.2.2+dfsg1-2_all.deb Package: bogofilter-sqlite Source: bogofilter Version: 1.2.2+dfsg1-2 Architecture: armhf Maintainer: Serafeim Zanikolas Installed-Size: 670 Depends: libc6 (>= 2.13-28), libgsl0ldbl (>= 1.9), libsqlite3-0 (>= 3.5.9), bogofilter-common Provides: bogofilter-db Priority: extra Section: mail Filename: pool/main/b/bogofilter/bogofilter-sqlite_1.2.2+dfsg1-2_armhf.deb Size: 231074 SHA256: f506a28c1f37e43e551eb7f2d579cb4d968115d7537c3ab3f3b118190b07b76b SHA1: 19a7fbbe9cb3a9706bc48b5a672047eaf8da16b2 MD5sum: 7f8feebce80d49032fad1868d12dfc5b Description: fast Bayesian spam filter (sqlite) This package implements a fast Bayesian spam filter along the lines suggested by Paul Graham in his article "A Plan For Spam". . This version substantially improves on Paul's proposal by doing smarter lexical analysis. In particular, hostnames and IP addresses are retained as recognition features rather than broken up. Various kinds of MTA cruft such as dates and message-IDs are discarded so as not to bloat the word lists. . This package provides the sqlite database backend. Package: bogofilter-tokyocabinet Source: bogofilter Version: 1.2.2+dfsg1-2 Architecture: armhf Maintainer: Serafeim Zanikolas Installed-Size: 656 Depends: libc6 (>= 2.13-28), libgsl0ldbl (>= 1.9), libtokyocabinet9 (>= 1.4.47), bogofilter-common Provides: bogofilter-db Priority: extra Section: mail Filename: pool/main/b/bogofilter/bogofilter-tokyocabinet_1.2.2+dfsg1-2_armhf.deb Size: 225264 SHA256: 5c4ed2423b6d8693d4fb74acda0c5bc065e5331d5790ebdd473d1d3058cba838 SHA1: 45bd293bd02a88d4331b42da47759530ab191a19 MD5sum: 66faac7056272ef2963fc8a38711c3d9 Description: fast Bayesian spam filter (tokyocabinet) This package implements a fast Bayesian spam filter along the lines suggested by Paul Graham in his article "A Plan For Spam". . This version substantially improves on Paul's proposal by doing smarter lexical analysis. In particular, hostnames and IP addresses are retained as recognition features rather than broken up. Various kinds of MTA cruft such as dates and message-IDs are discarded so as not to bloat the word lists. . This package provides the tokyocabinet backend. Package: boinc Version: 7.0.27+dfsg-5 Installed-Size: 84 Maintainer: Debian BOINC Maintainers Architecture: all Depends: boinc-client (>= 7.0.27+dfsg-5), boinc-manager (>= 7.0.27+dfsg-5) Size: 64016 SHA256: 8b298287beff0c298e84c1736ba3ca936e6370750b30fd0b6ff945268edbc0b2 SHA1: 01de99f8a657279577a6539180a24e216232461f MD5sum: e3d874bb57a104f49064493b7e53a310 Description: metapackage for the BOINC client and the manager The Berkeley Open Infrastructure for Network Computing (BOINC) is a software platform for distributed computing: several initiatives of various scientific disciplines all compete for the idle time of desktop computers. The developers' web site at the University of Berkeley serves as a common portal to the otherwise independently run projects. . This package depends both on the BOINC core client program that is required to participate in any project that uses BOINC, and the BOINC Manager, a graphical monitor and control utility for the BOINC core client. Homepage: http://boinc.berkeley.edu/ Tag: field::astronomy, field::biology, field::chemistry, field::mathematics, field::physics, field::statistics, role::metapackage, role::program Section: net Priority: optional Filename: pool/main/b/boinc/boinc_7.0.27+dfsg-5_all.deb Package: boinc-app-examples Source: boinc Version: 7.0.27+dfsg-5 Architecture: armhf Maintainer: Debian BOINC Maintainers Installed-Size: 963 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Recommends: boinc-server-maker Homepage: http://boinc.berkeley.edu/ Priority: optional Section: net Filename: pool/main/b/boinc/boinc-app-examples_7.0.27+dfsg-5_armhf.deb Size: 470540 SHA256: ed600c516d3cc0451b36687bde49c0e501bdf2db499dffa43d8f70808b1bad9d SHA1: ac4671595b7607ea48dc6bfb4654c5a50fe00cd5 MD5sum: 2c95d965b6b4a7f983dc1be06b538b79 Description: example binaries for BOINC servers The Berkeley Open Infrastructure for Network Computing (BOINC) is a software platform for distributed computing using volunteered computer resources. For every scientific problem its own distinct project-server must be provided. The users only run a common client with project-specific additions (if not self-compiled or available as a Linux distribution's package itself) being downloaded from the server. . This package extends the boinc-server-maker package. It features a series of small applications that a newly installed environment may decide to use for testing purposes. Having them separated has the neat side effect that thosee binaries may be retrieved more easily for foreign platforms to feed the local servers with. . The package also features the wrapper application, which is likely to find its audience also in real projects. Package: boinc-app-milkyway Version: 0.18d-1.1 Architecture: armhf Maintainer: Debian BOINC Maintainers Installed-Size: 235 Depends: boinc-dev, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), boinc-client Homepage: http://milkyway.cs.rpi.edu/ Priority: optional Section: science Filename: pool/main/b/boinc-app-milkyway/boinc-app-milkyway_0.18d-1.1_armhf.deb Size: 93702 SHA256: 0a37e4ccae5ed0b14e032147c39b287e1749fe942bae46ae2bb9493a87889c84 SHA1: a7f1007727a20667b26b7ef9d3e99701c0c265b6 MD5sum: 89c06ae4774f2363f9e0431616cfc9c3 Description: Milkyway@home application for the BOINC client The goal of Milkyway@Home is to use the BOINC platform to harness volunteered computing resources in creating a highly accurate three dimensional model of the Milky Way galaxy using data gathered by the Sloan Digital Sky Survey. This project enables research in both astroinformatics and computer science. . In computer science, the project is investigating different optimization methods which are resilient to the fault-prone, heterogeneous and asynchronous nature of Internet computing; such as evolutionary and genetic algorithms, as well as asynchronous newton methods. While in astroinformatics, Milkyway@Home is generating highly accurate three dimensional models of the Sagittarius stream, which provides knowledge about how the Milky Way galaxy was formed and how tidal tails are created when galaxies merge. . This package contains the Milkyway@home application for the BOINC distributed computing platform. Note that this package has been primarily created for users of architectures for which Milkyway@home does not provide its application. If your architecture is x86 or AMD64 the BOINC client automatically downloads the latest Milkyway@home application if you participate in this project. There is no need to install this package then. Package: boinc-app-seti Version: 6.97~svn1409-1 Architecture: armhf Maintainer: Debian BOINC Maintainers Installed-Size: 820 Depends: boinc-dev, libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), boinc-client (>= 5.4.3-1) Suggests: boinc-manager Homepage: http://setiathome.berkeley.edu/ Priority: extra Section: science Filename: pool/main/b/boinc-app-seti/boinc-app-seti_6.97~svn1409-1_armhf.deb Size: 361518 SHA256: 65df76aefc2eca6d38926201530d2c7328ebd4d78e79a4acf6dc4a55d80c8bb6 SHA1: 79e3963e8da08ea31e80ac01adf6987609023573 MD5sum: 9ecd8c8edb6be2c6d39d1943c1b61750 Description: SETI@home application for the BOINC client SETI@home is a distributed computing project using Internet-connected computers for the Search for Extraterrestrial Intelligence (SETI). It searches for possible evidence of extraterrestrial intelligence in radio transmissions collected by the Arecibo radio telescope. . This package contains the SETI@home Enhanced application for the BOINC distributed computing platform. The BOINC client downloads the radio data which the SETI@home application analyzes looking for signals of extraterrestrial origin. Results are then send back to the SETI@home server by the BOINC client. . Note that this package has been primarily created for users of architectures for which SETI@home does not provide its application. If your architecture is x86 or AMD64 the BOINC client automatically downloads the latest SETI@home application if you participate in this project. There is no need to install this package then, except for it to take all technical hurdles from you to have your very custom SETI patch or new compiler flags evaluated. The configuration of BOINC to find the local SETI binary is all performed by the package. Package: boinc-app-seti-dbg Source: boinc-app-seti Version: 6.97~svn1409-1 Architecture: armhf Maintainer: Debian BOINC Maintainers Installed-Size: 8200 Depends: boinc-app-seti (= 6.97~svn1409-1) Homepage: http://setiathome.berkeley.edu/ Priority: extra Section: debug Filename: pool/main/b/boinc-app-seti/boinc-app-seti-dbg_6.97~svn1409-1_armhf.deb Size: 2388996 SHA256: 2717a10b4e5c81ebddcb0764881aed999e6e1e4a4037bed3c384beebb8622939 SHA1: a5ff44f0b081ca401a2fea4d6f168ad1d559047a MD5sum: 10943dc6981a66a8b85dbef65bc59888 Description: debug symbols for SETI@home SETI@home is a distributed computing project using Internet-connected computers for the Search for Extraterrestrial Intelligence (SETI). It searches for possible evidence of extraterrestrial intelligence in radio transmissions collected by the Arecibo radio telescope. . This package provide debug information. It comes handy when you have optimised the code of SETI yourself and find it crash or be stuck in an infinite loop or you may be asked to install the package for some joint investigation with the SETI core developers. Package: boinc-cgi-stripchart Source: boinc Version: 7.0.27+dfsg-5 Installed-Size: 118 Maintainer: Debian BOINC Maintainers Architecture: all Depends: gnuplot-nox, perl Recommends: apache2 | httpd-cgi Size: 75642 SHA256: 183c9ba6d4fb80ccec102562a49a46cd44fb2838ad0477ee51cca76dc4a72cab SHA1: ccdcd5107d693c4f9375d1242d4f2c8944fc5692 MD5sum: 4d52af8614b263a9e34aab9215ece397 Description: CGI script for plotting basic statistical graphs The Berkeley Open Infrastructure for Network Computing (BOINC) is a software platform for distributed computing using volunteered computer resources. . The BOINC developers have found their charting library to be of interest for a larger audience. It is isolated in the BOINC source tree and thus presented as a separate package. Homepage: http://boinc.berkeley.edu/ Section: libs Priority: extra Filename: pool/main/b/boinc/boinc-cgi-stripchart_7.0.27+dfsg-5_all.deb Package: boinc-client Source: boinc Version: 7.0.27+dfsg-5 Architecture: armhf Maintainer: Debian BOINC Maintainers Installed-Size: 1450 Depends: libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libx11-6, libxss1, zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, python (>= 2.3), adduser, ca-certificates Suggests: boinc-app-seti, boinc-manager, x11-xserver-utils, libcuda1 Breaks: udev (<< 136-1) Homepage: http://boinc.berkeley.edu/ Priority: optional Section: net Filename: pool/main/b/boinc/boinc-client_7.0.27+dfsg-5_armhf.deb Size: 580628 SHA256: e972af1a8c0be5f7caed2c3663a9e71114a9a07a7de912a8d4d17622703017af SHA1: 9b8bf061c5d6d6b89b9b3d6730bd98993aa0430b MD5sum: 0ab567a26f55a9779a214c858833a89d Description: core client for the BOINC distributed computing infrastructure The Berkeley Open Infrastructure for Network Computing (BOINC) is a software platform for distributed computing: several initiatives of various scientific disciplines all compete for the idle time of desktop computers. The developers' web site at the University of Berkeley serves as a common portal to the otherwise independently run projects. . This package contains the BOINC core client program that is required to participate in any project that uses BOINC. A central server distributes work units and collects results via this client. When attaching a local machine to a project, this client will also dynamically download the projects application's program to be then wrapped by the BOINC core client. Package: boinc-dbg Source: boinc Version: 7.0.27+dfsg-5 Architecture: armhf Maintainer: Debian BOINC Maintainers Installed-Size: 65135 Depends: boinc-client (= 7.0.27+dfsg-5) | boinc-manager (= 7.0.27+dfsg-5) Suggests: libcurl3-dbg, libssl0.9.8-dbg, libwxgtk2.8-dbg Homepage: http://boinc.berkeley.edu/ Priority: extra Section: debug Filename: pool/main/b/boinc/boinc-dbg_7.0.27+dfsg-5_armhf.deb Size: 26143736 SHA256: e3252c163b54e1c8ba3572f7084ff3e4e435739defa8312e8fbadb9ff19bcabf SHA1: 95170b2c5c3b153021d2f359accacca3faad6d31 MD5sum: 56921032c332907e17bf4177f02b0932 Description: debugging symbols for BOINC binaries The Berkeley Open Infrastructure for Network Computing (BOINC) is a software platform for distributed computing using volunteered computer resources. . This package contains unstripped versions of all binaries included in the BOINC packages. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. Package: boinc-dev Source: boinc Version: 7.0.27+dfsg-5 Architecture: armhf Maintainer: Debian BOINC Maintainers Installed-Size: 2129 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libc6-dev | libc-dev, libstdc++6-4.3-dev | libstdc++-dev, libssl-dev, libmysqlclient-dev Homepage: http://boinc.berkeley.edu/ Priority: optional Section: devel Filename: pool/main/b/boinc/boinc-dev_7.0.27+dfsg-5_armhf.deb Size: 788010 SHA256: 619264ad4757d24c2e69752c5652f543f16c586be7c04fab9067655c088406b9 SHA1: 307e604fc99d21893cbb19b4548b043713b77147 MD5sum: 05fbc9813693584fe47e38785c371460 Description: development files to build applications for BOINC projects The Berkeley Open Infrastructure for Network Computing (BOINC) is a software platform for distributed computing using volunteered computer resources. . This package contains header files and static libraries that are needed to develop and compile applications which utilizes the BOINC infrastructure. Package: boinc-manager Source: boinc Version: 7.0.27+dfsg-5 Architecture: armhf Maintainer: Debian BOINC Maintainers Installed-Size: 3647 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.10.0), libnotify4 (>= 0.7.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1) Recommends: boinc-client Suggests: libgl1-mesa-glx, libxt6 Homepage: http://boinc.berkeley.edu/ Priority: optional Section: x11 Filename: pool/main/b/boinc/boinc-manager_7.0.27+dfsg-5_armhf.deb Size: 1343016 SHA256: 4e6db65992547b13960ffe5f7de16555d5ec913d1d6f521ddbc3662bc84fcfa8 SHA1: d6a40e220524f90804a9658e3cfd0c5f62379cac MD5sum: ab4f3f496ca19e8e8ff8d2c8620c4c51 Description: GUI to control and monitor the BOINC core client The Berkeley Open Infrastructure for Network Computing (BOINC) is a software platform for distributed computing using volunteered computer resources. . This package contains the BOINC Manager, a graphical monitor and control utility for the BOINC core client. It gives a detailed overview of the state of the client it is monitoring, for example it shows attached projects, running tasks or file transfers between the client and project servers. It also shows statistics about granted credits and disk usage of the client and the projects. The BOINC Manager has two modes of operation, the "Simple View" in which it only displays the most important information and the "Advanced View" in which all information and all control elements are available. . For active participation in any BOINC project the recommended boinc-client package, not the boinc-manager, is required for every machine contributing. Package: boinc-server-maker Source: boinc Version: 7.0.27+dfsg-5 Architecture: armhf Maintainer: Debian BOINC Maintainers Installed-Size: 12661 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmysqlclient18 (>= 5.5.24+dfsg-1), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), python-support, python, python-mysqldb, mysql-server-5.0 | virtual-mysql-server, apache2 | httpd-cgi, php5, php5-cli, php5-mysql, tcsh, openssl (>= 0.9.8), adduser, libfcgi0ldbl Recommends: libapache2-mod-php5, php5-gd, uuid-runtime, boinc-cgi-stripchart Suggests: boinc-app-examples Provides: boinc-server Homepage: http://boinc.berkeley.edu/ Priority: optional Section: net Filename: pool/main/b/boinc/boinc-server-maker_7.0.27+dfsg-5_armhf.deb Size: 5386630 SHA256: e2b2f37f461e3601dfb97b43155bce4553c62b893d7f676bd2f45e1b15fe56c0 SHA1: 785591f77bedcf8b00c317d077a988d1b5738fa9 MD5sum: 16533ce485d4fd5d70d11f470c46421a Description: BOINC server applications and data files The Berkeley Open Infrastructure for Network Computing (BOINC) is a software platform for distributed computing using volunteered computer resources. For every scientific problem its own distinct project-server must be provided. The users only run a common client with project-specific additions (if not self-compiled or available as a Linux distribution's package itself) being downloaded from the server. . This package contains the daemons and tools to create and run BOINC projects. Package: bokken Version: 1.6-1 Installed-Size: 1971 Maintainer: David Martínez Moreno Architecture: all Depends: python-radare2 (>= 0.9), pyew (>= 2.0), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-gtk2, python-gtksourceview2, graphviz Conflicts: python-radare2 (<< 0.9) Size: 962640 SHA256: 78ed1d26068b71a9edade164fb143583014e4757952111f8b08c2a0bb4219375 SHA1: d43ce4fe285dc52cb8241fb597f3cbebb3e55f1a MD5sum: ce5b5cbefdb73d8047e168ebd2ec0cfc Description: reverse code engineering GUI for pyew and radare Bokken is a GUI for pyew, the malware analysis tool, and radare2, the reverse engineering framework. Bokken is also the RCE tool for the Inguma project. . Actually Bokken supports the following formats: - PE - ELF - Java (.class) - Web - PDF . Most of this functionality comes from either pyew or radare2, so it offers almost all the features that pyew has and some of radare's. It's intended to be used as a basic disassembler, mainly, to analyze malware and vulnerabilities. . Currently Bokken is neither an hexadecimal editor nor a full featured disassembler YET, so it should not be used for deep code analysis or to try to modify files with it. Homepage: http://bokken.inguma.eu Section: python Priority: extra Filename: pool/main/b/bokken/bokken_1.6-1_all.deb Package: bombardier Version: 0.8.3+nmu1 Architecture: armhf Maintainer: RISKO Gergely Installed-Size: 74 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Priority: optional Section: games Filename: pool/main/b/bombardier/bombardier_0.8.3+nmu1_armhf.deb Size: 16494 SHA256: b8feb4364ee5d8bec418d90fe9c16d9986c75607150f2585df38015b894306e4 SHA1: f7f0e01c77a3526161ea59f074ba730f1947654f MD5sum: 39835b9a04526c4013503f39e5256f32 Description: The GNU Bombing utility This game is the same as the old Blitz16 game on Commodore 16/Plus 4, written by Simon Taylor. . The player is driving a plane that moves across the screen. When the plane reaches the right edge of the screen it starts again on the left side, but drops down one line. Below is a cityscape composed of blocks. The player has to drop bombs from the plane, and each bomb which hits a building removes some blocks. As the plane descends it risks hitting any remaining blocks so priority has to be given to bombing the tallest buildings. The level is completed when all blocks are removed and the plane has descended safely to the bottom of the screen. . Info about the original Blitz 16: http://plus4world.powweb.com/software/Blitz_16 Package: bomber Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 642 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libphonon4 (>= 4:4.3.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), phonon Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/bomber_4.8.4-3_armhf.deb Size: 462732 SHA256: 48337126f2722da57e458cfdc79443acd42266d1ce75b778c4c2a94fbe6bbbfc SHA1: 9c7e43124046e6c712f82fd04ef2eff15c19a33c MD5sum: df568b4f1f15c85ccf45b1f14a12f90c Description: arcade spaceship game Bomber is a game where you fly a spaceship and attempt to bomb the buildings below you. Each pass the spaceship makes, it gets lower and lower. If you've not destroyed a building in your path, you will crash into it. . This package is part of the KDE games module. Package: bomberclone Version: 0.11.9-4 Architecture: armhf Maintainer: Bart Martens Installed-Size: 392 Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), bomberclone-data (= 0.11.9-4) Homepage: http://www.bomberclone.de/ Priority: extra Section: games Filename: pool/main/b/bomberclone/bomberclone_0.11.9-4_armhf.deb Size: 131252 SHA256: 9e4fa16b6a9e31ea4bae8fb9ee4084c4e0766f1026ee406f0e4ab137b915b358 SHA1: 495d2dcc7a5a2cd45640f2d92596e78861393cf5 MD5sum: f9fd8e447f1ae4283dd1b8fae462ac28 Description: free Bomberman clone BomberClone is a free Bomberman-like game for Linux and Windows. The rules of the game are simple: run through a level and bomb other players. It features powerups that give you more strength, make you walk faster through the level, or let you drop more bombs. . BomberClone can be played in multi-player mode via IPv4 or IPv6 networks or in single-player mode against the local AI. Package: bomberclone-data Source: bomberclone Version: 0.11.9-4 Installed-Size: 7990 Maintainer: Bart Martens Architecture: all Recommends: bomberclone Size: 7766154 SHA256: a00f364375932710c0c32aaa0ed8634d9b3a169a556fbc1278af6f59d180f736 SHA1: ffcb52499d934cccdaad00b6b7f368a5a8faa29e MD5sum: bf7b9ae5cf26869a041eebf5e2c9b575 Description: Data files for bomberclone game BomberClone is a free Bomberman-like game for Linux and Windows. . This package contains the data files needed to run the game. Homepage: http://www.bomberclone.de/ Tag: game::arcade, role::app-data, uitoolkit::sdl, use::gameplaying Section: games Priority: extra Filename: pool/main/b/bomberclone/bomberclone-data_0.11.9-4_all.deb Package: bomstrip Version: 9-6 Architecture: armhf Maintainer: Peter Pentchev Installed-Size: 46 Depends: libc6 (>= 2.7) Multi-Arch: foreign Homepage: http://www.ueber.net/who/mjl/projects/bomstrip/ Priority: optional Section: text Filename: pool/main/b/bomstrip/bomstrip_9-6_armhf.deb Size: 7214 SHA256: 85da3498bff99c8d5196c074ceeffe959cad0ec34a53ac9bc5aa5dc2c6608acc SHA1: ddfa6df1f2c8642c77988d1599fa575f75e38d06 MD5sum: 8adc317779c58e335b58076e91f257de Description: tool to strip Byte-Order Marks from UTF-8 text files Bomstrip is a very simple tool that removes BOM's (byte-order-marks) from UTF-8 files. UTF-8 does not have byte-ordering issues, so there is absolutely no need to have three bytes (the UTF-8-BOM) that do not say anything about the byte-order (since there is nothing to say). Package: bonnie++ Version: 1.96 Architecture: armhf Maintainer: Russell Coker Installed-Size: 167 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: bonnie, bonnie++, zcav Replaces: bonnie, zcav Provides: bonnie, zcav Priority: optional Section: utils Filename: pool/main/b/bonnie++/bonnie++_1.96_armhf.deb Size: 68112 SHA256: 63588ad308eae82009326c3fe23bfa1572c6230016a5d4fc400a371cb60350f7 SHA1: c75630580e7ab42179f4d252f9bbe0f2af17440f MD5sum: 3d21a7cb3b87eb8b5372bf1d5c290123 Description: Hard drive benchmark suite. It is called Bonnie++ because it was based on the Bonnie program. This program also tests performance with creating large numbers of files. Now includes zcav raw-read test program. A modern hard drive will have more sectors in the outer tracks because they are longer. The hard drive will have a number (often more than 8) of zones where each zone has the same number of sectors (due to the need for an integral number of sectors per track). This program allows you to determine the levels of performance provided by different zones and store them in a convenient format for gnuplot. . This is the experimental version. It's not ready to replace the 1.x series in time for Lenny but will be for Lenny+1. The extra features (large file support, better bon_csv2html, and support for zcav tests on multiple devices) will make it worth using for some people. Package: boo Version: 0.9.5~git20110729.r1.202a430-2 Installed-Size: 389 Maintainer: Debian CLI Libraries Team Architecture: all Depends: mono-runtime (>= 2.10.1), libboo2.0.9-cil (>= 0.9.5~git20110729.r1.202a430), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system4.0-cil (>= 2.10.1) Size: 125414 SHA256: 6a11abf1b672333a171776372bb52986926c1722d9b7b68d9fcbdf66a771019b SHA1: 8a6b22ee7115f1bd424a5db11080880f2870d6ed MD5sum: e2f90c15cb6b4b36163ed5316386a536 Description: python-like language and compiler for the CLI Boo is an object oriented statically typed programming language for the Common Language Infrastructure (CLI) with a Python inspired syntax and a special focus on language and compiler extensibility. . This package contains the boo compiler, interpreter and interactive shell. The boo compiler and the programs it produces are 100% Common Intermediate Language (CIL) bytecode and can therefore be run on any compliant CLI virtual machine. Homepage: http://boo.codehaus.org/ Tag: devel::compiler, devel::ecma-cli, devel::interpreter, implemented-in::c-sharp, role::program Section: devel Priority: optional Filename: pool/main/b/boo/boo_0.9.5~git20110729.r1.202a430-2_all.deb Package: bookletimposer Version: 0.2-1 Installed-Size: 328 Maintainer: intrigeri Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), gir1.2-gtk-3.0, python-gobject, python-pypdf (>= 1.13) Size: 116542 SHA256: d3e49b13572458b2b7dfb480a59fd2def1c559b391d5d3f8b68dec125bf6e905 SHA1: f392824d4854632576d41601a3c863e1000280d5 MD5sum: 2e7e5cf68fdf02393ff01f0177f3d2fd Description: PDF imposition toolkit pdfimposer is a Python module to achieve some basic imposition on PDF documents, especially designed to work on booklets. . BookletImposer is a commandline and GTK+ interface to pdfimposer. . The bookletimposer package ships both. Homepage: http://kjo.herbesfolles.org/bookletimposer/ Section: python Priority: optional Filename: pool/main/b/bookletimposer/bookletimposer_0.2-1_all.deb Package: bookview Version: 3.2.1-1 Installed-Size: 540 Maintainer: GOTO Masanori Architecture: all Depends: tcl8.4, tk8.4 Suggests: ndtpd Size: 268718 SHA256: f4237e82b93f302b676abfd070e10d2039716aeeb203c30436571d2cb170c753 SHA1: 1df9351216822bdd4196bdd541b73228e2ccd40d MD5sum: b2d95f705f00cd37bbc86f02cfb66b7f Description: Tcl/Tk based NDTP(Network Dictionary Transfer Protocol) client BookView is an NDTP (Network Dictionary Transfer Protocol) client written in Tcl/Tk. It provides good GUI (Graphical User Interface) to read NDTP dictionaries. You can look up a word in multiple dictionaries that an NDTP server archives. NDTP is a server for accessing CD-ROM books and dictionaries. Tag: role::program, uitoolkit::tk Section: text Priority: extra Filename: pool/main/b/bookview/bookview_3.2.1-1_all.deb Package: boolector Version: 1.4.ffc2089.100608-1 Architecture: armhf Maintainer: Michael Tautschnig Installed-Size: 264 Depends: libc6 (>= 2.4), picosat Homepage: http://fmv.jku.at/boolector/ Priority: extra Section: science Filename: pool/main/b/boolector/boolector_1.4.ffc2089.100608-1_armhf.deb Size: 110952 SHA256: 63c5a9a7e4a56eb4bfabe089c7efd776f3bda7bdedbe875765eb92100d23c39d SHA1: 031259488580e1cb7a267d9bd45ab44f847c8744 MD5sum: bbbeb5fed965c8a382fe60b4cc7ea273 Description: SMT solver for bit-vectors and arrays Boolector is an efficient SMT solver for the quantifier-free theory of bit-vectors in combination with the quantifier-free extensional theory of arrays. Package: boolstuff Version: 0.1.12-3 Architecture: armhf Maintainer: Miriam Ruiz Installed-Size: 75 Depends: libboolstuff-0.1-0, libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://sarrazip.com/dev/boolstuff.html Priority: optional Section: libs Filename: pool/main/b/boolstuff/boolstuff_0.1.12-3_armhf.deb Size: 20820 SHA256: eccb878da25bc8f61212736817dafaee66d4c4487ecc9510a910e2d31865f563 SHA1: 57aae68d5703bc3359849b4830ce58c635bfc4d1 MD5sum: 4383ecc93eaccdc04a2b7dec84779cdf Description: library for operating on boolean expression binary trees BoolStuff is a C++ library that supports a few operations on boolean expression binary trees. . This package includes extra programs that allow the usage of the library from the shell. A command named booldnf can be used to convert an expression to the Disjunctive Normal Form (DNF). Package: boolstuff-dev Source: boolstuff Version: 0.1.12-3 Architecture: armhf Maintainer: Miriam Ruiz Installed-Size: 202 Depends: libboolstuff-0.1-0 (= 0.1.12-3) Homepage: http://sarrazip.com/dev/boolstuff.html Priority: optional Section: libdevel Filename: pool/main/b/boolstuff/boolstuff-dev_0.1.12-3_armhf.deb Size: 56472 SHA256: b067338f6034029fa9bf864811c4461231085ae67cbc30537171d4f4eb967de9 SHA1: 33290ecbccfbb59402b51c46b2b7432e0e6c1e62 MD5sum: ad9d2218b5b10e3eb87d76ba42471ccb Description: library for operating on boolean expression binary trees BoolStuff is a C++ library that supports a few operations on boolean expression binary trees. The main features are: * a simple boolean expression parser (supports operators AND, OR and NOT, as well as parentheses) * an algorithm to convert a boolean expression binary tree into its Disjunctive Normal Form (this algorithm supports the NOT operator) * a function that determines if an expression tree is in DNF. . This package includes the development files for using the library from inside your programs. Package: boot-info-script Version: 0.61-1 Installed-Size: 153 Maintainer: Christian Hofstaedtler Architecture: all Depends: bsdmainutils Size: 39256 SHA256: 600130ffe9671a19bbe2e3fc929646f580e6b2b1dd305cfb2bfe349f95d421aa SHA1: b5489fc9b51d9b87cd4c5e8cb3d4e5d23f5a697e MD5sum: b84e0c5bb3235e0d30f683d7892d3432 Description: inspect boot environment boot-info-script generates a report of your boot environment. This report can easily be read or sent to someone else in case you can not fix the problem yourself. Homepage: http://sourceforge.net/projects/bootinfoscript/ Section: admin Priority: extra Filename: pool/main/b/boot-info-script/boot-info-script_0.61-1_all.deb Package: bootcd Version: 3.28 Installed-Size: 336 Maintainer: Bernd Schumacher Architecture: all Depends: genisoimage, cpio, fdutils, file, dosfstools, realpath, bootcd-i386 | bootcd-ia64 Recommends: wodim Suggests: ssh, bootcd-mkinitramfs Size: 84906 SHA256: 899e9347be884352d975eafd1e7a7306572c70e0cca8d1982f2b68cad3f342ff SHA1: f339136c86e51eac72caa7c31707671c94464e2a MD5sum: 7c2624482e7b1c79b60f0b3c9199abad Description: run your system from cd without need for disks Build an image of your running Debian System with the command bootcdwrite. You can also build a bootcd ISO image via NFS on a remote System. When you run your system from CD you do not need any disks. All changes will be done in ram. To reuse this changes at next boot time you can save them on FLOPPY with the command bootcdflopcp. If booting from your CD-drive is not supported, booting from FLOPPY is possible. It is possible to install a new system from the running CD with the command bootcd2disk. Bootcd2disk can also find a target disk, format it and make it bootable automatically. Bootcd also supports lilo, grub, initrd, udev, lvm, transparent-compression ISO 9660 fs and syslinux/isolinux. The included FAQ describes how bootcd can do online or offline backups of other Linux distributions, resulting in restore-bootcds. The bootcdbackupwizard helps to create online backups. Tag: admin::boot, admin::filesystem, hardware::storage:cd, hardware::storage:floppy, role::app-data, role::program, scope::utility Section: utils Priority: extra Filename: pool/main/b/bootcd/bootcd_3.28_all.deb Package: bootcd-backup Source: bootcd Version: 3.28 Installed-Size: 232 Maintainer: Bernd Schumacher Architecture: all Suggests: bootcd (>= 3.26) Size: 58434 SHA256: 842d0cd10a0734528578c17e873b4c4f91603388ca5d379f7c6ffe85245bec8f SHA1: fe73bfc9f0029d034f76ed27e82fe5f0a4618104 MD5sum: b32fed44c6126496a85ede9e0350af61 Description: tools to backup a Debian or alien Linux installation Tools and documentation to backup a Debian or alien Linux distribution to a bootable Debian bootcd. If you only want to backup an alien Linux distribution just download ftp://bootcd.alioth.debian.org/pub/bootcd/bootcdbackupwizard.tar.gz on the alien Linux distribution, untar it and follow the README. To create bootcdbackupwizard.tar.gz and to learn more, install this package. Tag: admin::backup, role::documentation Section: utils Priority: extra Filename: pool/main/b/bootcd/bootcd-backup_3.28_all.deb Package: bootcd-i386 Source: bootcd Version: 3.28 Installed-Size: 68 Maintainer: Bernd Schumacher Architecture: all Depends: syslinux Recommends: bootcd-mkinitramfs Size: 16302 SHA256: 0482e8f27fe72146d6ef9bfbff6184a9591a0b9b877444096a287c59a27107ff SHA1: 62c637b90d389269aaf78ebbb67ab37d84392066 MD5sum: a0491e9c9ca46e83063043391989beb4 Description: bootcd extension to create images that can boot on i386 With bootcd you can copy your system to cd, run it from cd without need for disks and restore your system on disk from bootcd. This package is needed to create images that can boot on i386. Tag: admin::backup, admin::boot, admin::filesystem, admin::hardware, hardware::storage, hardware::storage:cd, role::plugin, role::program, scope::utility Section: utils Priority: extra Filename: pool/main/b/bootcd/bootcd-i386_3.28_all.deb Package: bootcd-ia64 Source: bootcd Version: 3.28 Installed-Size: 52 Maintainer: Bernd Schumacher Architecture: all Depends: elilo Size: 16988 SHA256: 06b58096c5011e1ce10b391e87863ed33715eb628cb6709065cc6741f133e4fb SHA1: f1abb2443b687d5b660dc7ea5c83901a31cff1d5 MD5sum: 994a3e33779e453b7b34a4cd6fd36520 Description: bootcd extension to create images that can boot on ia64 With bootcd you can copy your system to cd, run it from cd without need for disks and restore your system on disk from bootcd. This package is needed to create images that can boot on ia64. Tag: admin::boot, admin::filesystem, admin::hardware, hardware::storage, hardware::storage:cd, role::plugin Section: utils Priority: extra Filename: pool/main/b/bootcd/bootcd-ia64_3.28_all.deb Package: bootcd-mkinitramfs Source: bootcd Version: 3.28 Installed-Size: 96 Maintainer: Bernd Schumacher Architecture: all Depends: initramfs-tools, busybox | busybox-static | busybox-cvs | busybox-cvs-static, bootcd (>= 3.26) Suggests: discover | discover1 Conflicts: bootcd-mkinitrd Size: 19424 SHA256: cf5b4e919fc6a4cac4bd7b0ef7fa683aea4088e3e3790310e8b8830bb6a15184 SHA1: 68cd0758001b8124472fb9cda025262604040fc4 MD5sum: 0adcb0b613e9af913bf2dfb90772925a Description: initramfs extension for bootcd With the installation of this bootcd extension your initrd-image will be updated to be usable for bootcd. With bootcd you can copy your system to cd, run it from cd without need for disks and restore your system on disk from bootcd. This Package should be installed on a system you want to copy to cd, if the kernel uses initrd (as all prebuild Debian 2.4 and 2.6 kernels do). If you have also installed discover than it can be used to detect the needed modules to boot. Section: utils Priority: extra Filename: pool/main/b/bootcd/bootcd-mkinitramfs_3.28_all.deb Package: bootchart Version: 0.10~svn407-4.1~deb7u1 Installed-Size: 45 Maintainer: Jörg Sommer Architecture: all Depends: psmisc, lsb-base (>= 3.0-6) Recommends: bootchart-view, acct Size: 28276 SHA256: 27ca2ad0ef5268becf094918eac652256d597bda99bc2a79194b9aa2ad6524e4 SHA1: 68332eafa4fc08310a88e74056e207e48daec96e MD5sum: 8f3370d34ffa6ebf8775efc3f37b302f Description: Boot process performance analyser Bootchart is a tool for performance analysis and visualization of the GNU/Linux boot process. Resource utilization and process information are collected during the boot process and are later rendered in a PNG, SVG or EPS encoded chart. . You can render the data with bootchart-view or at http://www.bootchart.org/download.html Homepage: http://www.bootchart.org/ Tag: admin::benchmarking, admin::boot, implemented-in::shell, role::program, use::viewing, works-with-format::png, works-with-format::svg, works-with::image, works-with::image:raster, works-with::image:vector Section: admin Priority: optional Filename: pool/main/b/bootchart/bootchart_0.10~svn407-4.1~deb7u1_all.deb Package: bootchart-view Source: bootchart Version: 0.10~svn407-4.1~deb7u1 Installed-Size: 211 Maintainer: Jörg Sommer Architecture: all Depends: java-gcj-compat | java-runtime | java2-runtime, libcommons-cli-java, libcommons-compress-java Recommends: bootchart Suggests: gqview, librsvg2-bin, gimp-svg Size: 196524 SHA256: 2852e0dc8b6dbdb1bca4e9d3546ac225c25ff2a4b326b164fc977030f2517646 SHA1: b25576e79913ea12d92d9b9eadace55976294dd4 MD5sum: 30f7192435d48bda23e8303b77ce5b5a Description: Boot process performance analyser (visualisation) Bootchart is a tool for performance analysis and visualization of the GNU/Linux boot process. Resource utilization and process information are collected during the boot process and are later rendered in a PNG, SVG or EPS encoded chart. . This package ships the engine to render to data as graphic. Homepage: http://www.bootchart.org/ Section: admin Priority: optional Filename: pool/main/b/bootchart/bootchart-view_0.10~svn407-4.1~deb7u1_all.deb Package: bootchart2 Version: 0.14.4-3 Architecture: armhf Maintainer: David Paleino Installed-Size: 133 Depends: libc6 (>= 2.13-28), lsb-base (>= 3.0-6~) Recommends: pybootchartgui Conflicts: bootchart Homepage: http://github.com/mmeeks/bootchart Priority: optional Section: utils Filename: pool/main/b/bootchart2/bootchart2_0.14.4-3_armhf.deb Size: 32502 SHA256: 32c55cfd8dded144a1c5bf4ad6507a79e7b08e97e15480fa16360ffde2ef7eb8 SHA1: f07db4aeb8750eb3da46c3b703b81efed2b55d23 MD5sum: 7b19bbb58a15d64d36a0be0f1add051f Description: boot process performance analyser bootchart2 is a boot process performance analyser: a tool that lets you collect and analyse data from your boot process. bootchart2 origins from bootchart, and has some advantages over it: . - more detailed kernel charting: uses the taskstats interface, instead of /proc/*/stat - the data collector has been rewritten to make it faster . After the boot, you can view the logged data with a separately-provided GUI. Package: bootlogd Source: sysvinit Version: 2.88dsf-41+deb7u1 Architecture: armhf Maintainer: Debian sysvinit maintainers Installed-Size: 113 Depends: libc6 (>= 2.13-28), lsb-base (>= 3.2-14) Breaks: initscripts (<< 2.88dsf-17), sysvinit-utils (<< 2.88dsf-17) Replaces: initscripts (<< 2.88dsf-17), sysvinit-utils (<< 2.88dsf-17) Homepage: http://savannah.nongnu.org/projects/sysvinit Priority: optional Section: admin Filename: pool/main/s/sysvinit/bootlogd_2.88dsf-41+deb7u1_armhf.deb Size: 54278 SHA256: c165e8daaba81a0e350184b35916279c84113e7f64217b8a95c778bd031846c1 SHA1: cb461a07d7851caaca5f724d9f15ccc61f8cddd3 MD5sum: f9c2fbf96c175f2aa924d3d485c0efb6 Description: daemon to log boot messages bootlogd logs all messages printed to the system console during system boot, and records those messages to a logfile. Package: bootp Version: 2.4.3-18 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 183 Depends: libc6 (>= 2.7), netbase, update-inetd Homepage: ftp://ftp.ntplx.net/pub/networking/bootp/ Priority: extra Section: net Filename: pool/main/b/bootp/bootp_2.4.3-18_armhf.deb Size: 81412 SHA256: 27ef221887dff3bdf2f8faa68d54b0bb92ad24b1e81906d5cf664c50457b6a35 SHA1: ecd3b4582ba92c9a120ddc3d5e6902809a9f6c86 MD5sum: a8b9cebc5502e3fc796136b1673872ff Description: server for the bootp protocol with DHCP support This is a server for the bootp protocol; which allows network administrator to setup networking information for clients via an /etc/bootptab on a server so that the clients can automatically get their networking information. While this server includes rudimentary DHCP support as well, we suggest using the dhcp package if you need DHCP support, as it is much more complete. Package: bootparamd Source: netkit-bootparamd Version: 0.17-9 Architecture: armhf Maintainer: Alberto Gonzalez Iniesta Installed-Size: 68 Depends: portmap, libc6 (>= 2.4) Priority: optional Section: net Filename: pool/main/n/netkit-bootparamd/bootparamd_0.17-9_armhf.deb Size: 13914 SHA256: d149e416f181143c60316b3f26fe64415893f7892d7bace1ba264b289e5b4e1e SHA1: 16bd63cdfad2ae3af23346ed4dc3329dbfc5b80d MD5sum: 33bfa48404e993a03f657e5f102fbc45 Description: Boot parameter server bootparamd is a server process that provides information to diskless clients necessary for booting. It consults the /etc/bootparams file to find the information it needs. Package: bootpc Version: 0.64-7 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 71 Depends: libc6 (>= 2.7) Replaces: netstd Priority: extra Section: net Filename: pool/main/b/bootpc/bootpc_0.64-7_armhf.deb Size: 21722 SHA256: 0f9f3d4144fc276c4ea1c9e318890fb6537425f3c79e06e8d97072dc13203d26 SHA1: 01b18a6e17f1e6abc1270da9978ff4c9f9a720df MD5sum: 9d28168c40835f2462e0f30a2c4a88ca Description: bootp client This is a boot protocol client used to grab the machines ip number, set up DNS nameservers and other useful information. Package: bopm Version: 3.1.3-3 Architecture: armhf Maintainer: Martin Wuertele Installed-Size: 227 Depends: libc6 (>= 2.4) Homepage: http://wiki.blitzed.org/BOPM Priority: optional Section: net Filename: pool/main/b/bopm/bopm_3.1.3-3_armhf.deb Size: 113276 SHA256: c0851828d17ec2212e72549e7595c0759f95e5aa30230c65a721a0f43b2d1611 SHA1: 83f0df1de7b188eddbf196acfa4db7d29761b9c8 MD5sum: 6a8114b61b0909af201faed30b9f097f Description: Blitzed Open Proxy Monitor An open-source open proxy monitor, designed for use with hybrid-based ircds, although it can be used with slight modification on any server which has +c to show connects to opers and that supports KLINEs. Package: bosh Version: 0.6-6 Architecture: armhf Maintainer: Salvatore Bonaccorso Installed-Size: 96 Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5 Homepage: http://bosh.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/b/bosh/bosh_0.6-6_armhf.deb Size: 24570 SHA256: 918a154c4e259478ced2b04f14d45ebfe8f0378ad697cf0a4a588601eea9e5e8 SHA1: c61a648a82134a0450f51184f0643876fb031dda MD5sum: ab335fc345ea2587837786fd8877e596 Description: browse output of processes bosh stands for browsable output shell. This is a bit of a misnomer because it isn't really a shell. What is does is store the output of a specified program in a buffer, and provides a simple curses interface to browse this buffer. Actions can be configured which can make use of the contents of the currently selected line. . Commands and actions are stored in bosh configuration files. These can include shebang line (#!/usr/bin/bosh) so that the configuration can just be run from the command-line. Package: boswars Version: 2.6.1-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1488 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, liblua5.1-0, libogg0 (>= 1.0rc3), libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libtheora0 (>= 0.0.0.alpha7.dfsg), libvorbis0a (>= 1.1.2), libx11-6, zlib1g (>= 1:1.1.4), boswars-data (= 2.6.1-2) Homepage: http://www.boswars.org/ Priority: extra Section: games Filename: pool/main/b/boswars/boswars_2.6.1-2_armhf.deb Size: 598524 SHA256: f74e1684773f37bf611ac9783fec547fa7e6b91c3acc78315959ff0bc75acf40 SHA1: 2f1ca0248a0b064de095b7474c81e0d81ed9b5d0 MD5sum: 3ebdedb4d536621db304cae1080ebc12 Description: futuristic real-time strategy game Bos Wars is a futuristic real-time strategy game. It is possible to play against human opponents over local network (LAN), internet, or against the computer. . OpenGL support is available as a run-time configuration option. Package: boswars-data Source: boswars Version: 2.6.1-2 Installed-Size: 75240 Maintainer: Debian Games Team Architecture: all Size: 64091456 SHA256: 8a42f88d783b20057981b23dc18fc8275c446e84b930da8b6133d60bff3b9ea6 SHA1: 39a1dbd3dd762c2fe244ad335edde185477cc260 MD5sum: 3902e2fa6873382e6c8d91b9a6f239a9 Description: Images, data, and music files for Bos Wars This package includes the images, data files, and music for the Bos Wars real-time-strategy game. Homepage: http://www.boswars.org/ Tag: made-of::audio, role::app-data Section: games Priority: extra Filename: pool/main/b/boswars/boswars-data_2.6.1-2_all.deb Package: botan1.10-dbg Source: botan1.10 Version: 1.10.5-1+deb7u4 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 519 Depends: libbotan-1.10-0 (= 1.10.5-1+deb7u4), libbotan1.10-dev (= 1.10.5-1+deb7u4) Homepage: http://botan.randombit.net/ Priority: extra Section: debug Filename: pool/main/b/botan1.10/botan1.10-dbg_1.10.5-1+deb7u4_armhf.deb Size: 156206 SHA256: 3a806eead78951ca061aee01ded0b9197258cdaa0d76ac869bb48e09b3dda77c SHA1: 5bcfd114a658445b32c2352cda93dde8d64308f0 MD5sum: cb2ee3aba7b614697a22adb89a520edc Description: multiplatform crypto library (debug) Botan is a C++ library which provides support for many common cryptographic operations, including encryption, authentication, and X.509v3 certificates and CRLs. A wide variety of algorithms is supported, including RSA, DSA, DES, AES, MD5, and SHA-1. . This package contains the debugging symbols. Package: botan1.8-dbg Source: botan1.8 Version: 1.8.13-4 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 524 Depends: libbotan-1.8.13 (= 1.8.13-4), libbotan1.8-dev (= 1.8.13-4) Homepage: http://botan.randombit.net/ Priority: extra Section: debug Filename: pool/main/b/botan1.8/botan1.8-dbg_1.8.13-4_armhf.deb Size: 143116 SHA256: 993f880eefbdb98f861cba6b664078041a1d14da06308f573ba6326ecbf4860b SHA1: dab45ae572799b318fe76070bf4bc6a3f166fab1 MD5sum: 4f46128fed1893e31f3ff3fb7acc229e Description: multiplatform crypto library (debug) Botan is a C++ library which provides support for many common cryptographic operations, including encryption, authentication, and X.509v3 certificates and CRLs. A wide variety of algorithms is supported, including RSA, DSA, DES, AES, MD5, and SHA-1. . This package contains the debugging symbols. Package: bottlerocket Version: 0.05b3-14.1 Architecture: armhf Maintainer: Kevin Coyner Installed-Size: 77 Depends: libc6 (>= 2.13-28), debconf (>= 0.5) Suggests: wish Homepage: http://www.linuxha.com/bottlerocket/ Priority: extra Section: electronics Filename: pool/main/b/bottlerocket/bottlerocket_0.05b3-14.1_armhf.deb Size: 23400 SHA256: 9a3c867bb6f34e4084e7c1e7fc85aa99d7a47dde270ed75c171ddebf93c33f6a SHA1: 020225515ae0332f32bd672222df1dfb80662e3e MD5sum: 98f6bc14758b39c05dc62b341c4f7b08 Description: Utility to control X10 Firecracker devices for home automation A command-line utility to interact with the Firecracker version of X10's home control devices (wireless home automation to control lights, cameras, appliances via a small transmitter that plugs into the standard RS-232 serial port of a computer). . Also included is rocket launcher, a graphical frontend to bottlerocket. If you want this functionality you should have wish (tk8.3 or tk8.4 - the Tcl/Tk interpreter) installed. Package: bouml Version: 4.21-1 Architecture: armhf Maintainer: Thomas Girard Installed-Size: 12298 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.4.0), libx11-6, libxext6 Homepage: http://bouml.free.fr Priority: optional Section: devel Filename: pool/main/b/bouml/bouml_4.21-1_armhf.deb Size: 4763168 SHA256: dc70db81f55693c5ef88a0544eade37bb29d5f3cba8d7907847ad14759f9f620 SHA1: f72201536ff93728843745ba4e1f4a4983af8f3f MD5sum: f472a4901b8bb1ec9fce431dd172e400 Description: UML2 tool box to specify and generate code BOUML is a Unified Modeling Language editor for Qt. You can use it to create nearly all of UML diagrams. BOUML can generate code from those diagrams in C++, Java and IDL, and can also reverse existing code. . The program supports class diagrams, sequence diagrams, collaboration diagrams, object diagrams, use case diagrams, component diagrams, state diagrams, activity diagrams, component diagrams and deployment diagrams. Package: bouml-plugouts-src Source: bouml Version: 4.21-1 Installed-Size: 32992 Maintainer: Thomas Girard Architecture: all Replaces: bouml (<< 2.27-1) Depends: bouml (>= 4.21-1) Size: 2455926 SHA256: b1159d0cedf8da53dfc5db6a6bc6e067e0d1a78c859890ae35cc77e743b525fe SHA1: 5d2f6cb4efe3ef1ad18babedc62c78a0c669fa21 MD5sum: 9d62b117f8edd5e99ed8cd56c16516a3 Description: UML2 tool box to specify and generate code (plugouts sources) BOUML is a Unified Modeling Language editor for Qt. You can use it to create nearly all of UML diagrams. BOUML can generate code from those diagrams in C++, Java and IDL, and can also reverse existing code. . This package contains the plugouts sources. Homepage: http://bouml.free.fr Tag: role::source Section: devel Priority: optional Filename: pool/main/b/bouml/bouml-plugouts-src_4.21-1_all.deb Package: bouncy Version: 0.6.20071104-3 Installed-Size: 1616 Maintainer: Debian Games Team Architecture: all Depends: ttf-dejavu-core, python, python-pygame, python-opengl Size: 347512 SHA256: 520ae5c7c27b75a82b3f4d914cbcb04a0eb480eb9e24844c89feafe089f49f9a SHA1: 20abfb4d2fd745a5c43919630d153eb7551fd9f3 MD5sum: 77326e3d7e904ef8a22f7ef9dbe7a73b Description: eat the yummy veggies in the garden - game for small kids You play Bouncy the Hungry Rabbit. You're in a garden with yummy veggies and a farmer who's not keen on you eating them. You can hide (and move around) under the ground. . Bouncy was written so it could be enjoyed by the author's daughter, who was about to turn 3, and by older gamers. Hence it's not a violent game and "easy" is really, really easy, and "hard" is challenging. Homepage: http://www.pyweek.org/e/bouncy/ Tag: game::arcade, implemented-in::python, interface::3d, interface::x11, junior::arcade, role::program, uitoolkit::glut, use::gameplaying, x11::application Section: games Priority: extra Filename: pool/main/b/bouncy/bouncy_0.6.20071104-3_all.deb Package: bovo Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 441 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/bovo_4.8.4-3_armhf.deb Size: 133320 SHA256: f82f34bd2b9079b0f9aa40f01a9b688c01ceaee2a47022354d4edd6f56942433 SHA1: 6e6221570c33a34a565b58a0654e3e358f40d73f MD5sum: 0c53a2756f5a82aa10d2415dbffe8f56 Description: gomoku (five in line) board game Bovo is a game where two players take turns placing markers on the board, the winner being the first to complete a line of five markers. . This package is part of the KDE games module. Package: bowtie Version: 0.12.7-3 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 2739 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: bowtie-examples Homepage: http://bowtie-bio.sourceforge.net/ Priority: extra Section: science Filename: pool/main/b/bowtie/bowtie_0.12.7-3_armhf.deb Size: 1294834 SHA256: 0d31d5bd4b910b580795d7ba763d27771a4508f84cf712b2f36aeef6c058d953 SHA1: dcee84f88a87596dce4750ab47673d54098bd25f MD5sum: 37caac8aa8f193ee65da035236b4cdc6 Description: ultrafast memory-efficient short read aligner This package addresses the problem to interpret the results from the latest (2010) DNA sequencing technologies. Those will yield fairly short stretches and those cannot be interpreted directly. It is the challenge for tools like Bowtie to give a chromosomal location to the short stretches of DNA sequenced per run. . Bowtie aligns short DNA sequences (reads) to the human genome at a rate of over 25 million 35-bp reads per hour. Bowtie indexes the genome with a Burrows-Wheeler index to keep its memory footprint small: typically about 2.2 GB for the human genome (2.9 GB for paired-end). Package: bowtie-examples Source: bowtie Version: 0.12.7-3 Installed-Size: 6429 Maintainer: Debian Med Packaging Team Architecture: all Recommends: bowtie Enhances: bowtie Size: 6545392 SHA256: 602f2b104c07dffdb5535672580659aded652d12196a0474782e0244adf32815 SHA1: 4fc188dc6a7534b269ba5bfcdd4ec787dc18ea13 MD5sum: 3f6222c7fb7cd562ff4c1883f74da003 Description: Examples for bowtie, the ultrafast memory-efficient short read aligner This package addresses the problem to interpret the results from the latest (2010) DNA sequencing technologies. Those will yield fairly short stretches and those cannot be interpreted directly. It is the challenge for tools like Bowtie to give a chromosomal location to the short stretches of DNA sequenced per run. . Bowtie aligns short DNA sequences (reads) to the human genome at a rate of over 25 million 35-bp reads per hour. Bowtie indexes the genome with a Burrows-Wheeler index to keep its memory footprint small: typically about 2.2 GB for the human genome (2.9 GB for paired-end). . This package provides some example data to work with bowtie. Homepage: http://bowtie-bio.sourceforge.net/ Tag: field::biology, role::app-data, role::examples Section: science Priority: extra Filename: pool/main/b/bowtie/bowtie-examples_0.12.7-3_all.deb Package: bowtie2-examples Source: bowtie2 Version: 2.0.0-beta6-3 Installed-Size: 4844 Maintainer: Debian Med Packaging Team Architecture: all Recommends: bowtie2 Enhances: bowtie2 Size: 4879758 SHA256: f0e9ce5fd083faca8c84bdbc19c387c2677ec4d4ccdaad5cc88a2384d19217bf SHA1: d8124ab3ce643b7637204243089711c0a0cb0952 MD5sum: 201b6e2dc75417c2dbaf814642a34cdf Description: Examples for bowtie2, an ultrafast and memory-efficient tool for aligning sequencing reads to long reference sequences. It is particularly good at aligning reads of about 50 up to 100s or 1,000s of characters, and particularly good at aligning to relatively long (e.g. mammalian) genomes. . Bowtie 2 indexes the genome with an FM Index to keep its memory footprint small: for the human genome, its memory footprint is typically around 3.2 GB. Bowtie 2 supports gapped, local, and paired-end alignment modes . This package provides some example data to work with bowtie2. Homepage: http://bowtie-bio.sourceforge.net/bowtie2 Section: science Priority: extra Filename: pool/main/b/bowtie2/bowtie2-examples_2.0.0-beta6-3_all.deb Package: boxbackup-client Source: boxbackup Version: 0.11.1~r2837-1 Architecture: armhf Maintainer: Reinhard Tartler Installed-Size: 2481 Depends: debconf (>= 0.5) | debconf-2.0, openssl, perl, ucf, libc6 (>= 2.13-28), libedit2 (>= 2.11-20080614-1), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Homepage: http://boxbackup.org Priority: optional Section: utils Filename: pool/main/b/boxbackup/boxbackup-client_0.11.1~r2837-1_armhf.deb Size: 1222886 SHA256: 023e0e1b28b9d096788cb5d8536d20f82282535cae2ea1ca7caee3cf27a594db SHA1: 8a2e7fe567e1d23bc3b6190903c9be2d425029c3 MD5sum: 9ff20f62c2267dae2118fbff6762ce62 Description: client for the BoxBackup remote backup system BoxBackup is an automatic on-line backup system. The client watches for changes on the local file system, connects to a BoxBackup server and sends the changes via a secure channel. All data is encrypted before being sent to the server. A command-line tool is provided for restoration of backups including deleted files and old versions. Package: boxbackup-server Source: boxbackup Version: 0.11.1~r2837-1 Architecture: armhf Maintainer: Reinhard Tartler Installed-Size: 2367 Depends: adduser, debconf (>= 0.5) | debconf-2.0, gawk, openssl, perl, ucf, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Homepage: http://boxbackup.org Priority: optional Section: utils Filename: pool/main/b/boxbackup/boxbackup-server_0.11.1~r2837-1_armhf.deb Size: 1209314 SHA256: 36fe8005d89cae67bacabf14bc6b0c043a9f629142f3440a125d56a25e9b2150 SHA1: 3cd4b99bb028777c943722fe7da0b079cbc3d38e MD5sum: 4b4a2af0c13f075bc84518a86c6be32d Description: server for the BoxBackup remote backup system BoxBackup is an automatic on-line backup system. The server waits for connections from remote clients, authenticates them via X.509 certificates and stores the encrypted data on hard drives with optional RAID techniques. It also supports versions historization and per-user quotas. Package: boxes Version: 1.0.1a-2.3 Architecture: armhf Maintainer: KELEMEN Peter Installed-Size: 171 Depends: libc6 (>= 2.4) Priority: optional Section: text Filename: pool/main/b/boxes/boxes_1.0.1a-2.3_armhf.deb Size: 56250 SHA256: c32c37160c4eb471a6b457d5a11a3c6cafa499803557ddb62edeb0d1a9132a9b SHA1: 4cd31c48904dbe49a9314cf299e9f79028ddff90 MD5sum: 1218b7a0c9ac6e418fc5497bb79cdfdb Description: Textmode box- and comment drawing filter Boxes is extremely configurable filter for adding and removing ASCII art (comments, for example) around chunks of text. Most modern text editors support filtering text through external filters. Boxes is such a filter. Package: boxshade Version: 3.3.1-7+wheezy1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 163 Depends: libc6 (>= 2.13-28) Suggests: seaview, kalign | clustalw | mafft | hmmer, xfig, texlive-latex-extra Homepage: http://www.ch.embnet.org/software/BOX_form.html Priority: optional Section: science Filename: pool/main/b/boxshade/boxshade_3.3.1-7+wheezy1_armhf.deb Size: 52564 SHA256: 7d82e98ded750073af1b3b7b7322396757137d5a29dee7c5fc5848f3b47bf303 SHA1: 05fc2d5266fe2d4ed81d1dac3b8470fdfd6ffbb9 MD5sum: ff317e93e00979c5eb485e0d8e4ab38f Description: Pretty-printing of multiple sequence alignments Boxshade is a program for creating good looking printouts from multiple-aligned protein or DNA sequences. The program does not perform the alignment by itself and requires as input a file that was created by a multiple alignment program or manually edited with respective tools. . Boxshade reads multiple-aligned sequences from either PILEUP-MSF, CLUSTAL-ALN, MALIGNED-data and ESEE-save files (limited to a maximum of 150 sequences with up to 10000 elements each). Various kinds of shading can be applied to identical/similar residues. Output is written to screen or to a file in the following formats: ANSI/VT100, PS/EPS, RTF, HPGL, ReGIS, LJ250-printer, ASCII, xFIG, PICT, HTML Package: bozohttpd Version: 20111118-1+deb7u1 Architecture: armhf Maintainer: Mattias Nordstrom Installed-Size: 89 Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), openbsd-inetd | inet-superserver Provides: httpd, httpd-cgi Homepage: http://www.eterna.com.au/bozohttpd/ Priority: extra Section: httpd Filename: pool/main/b/bozohttpd/bozohttpd_20111118-1+deb7u1_armhf.deb Size: 44186 SHA256: bf86774039c73d7f95f7a5794bd3fd61cef7d493bb7f4ff80849885c4ec6b546 SHA1: 250877fdf9275d472965b11fa7d45add0fc9201f MD5sum: ed6b45556652493b19e2d507a32f6546 Description: Bozotic HTTP server A small and secure HTTP server. Its main feature is the lack of features, reducing code size and improving verifiability. It has no configuration file by design. . It supports CGI/1.1, HTTP/1.1, HTTP/1.0, HTTP/0.9, ~user translations, virtual hosting support, as well as multiple IP-based servers on a single machine, and is able to serve pages via the IPv6 protocol. Package: bplay Version: 0.991-10 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 65 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Priority: optional Section: sound Filename: pool/main/b/bplay/bplay_0.991-10_armhf.deb Size: 20152 SHA256: 7a09bb50354308c68acce7f018b3e8d5425b2278debfd652af49fece2b2d979c SHA1: db73eb34b9359905f88ad3e847003a7cc3e7e5d8 MD5sum: 2865bc3fed141c86956ccbddbda32373 Description: Buffered audio file player/recorder The bplay package provides a simple command-line utility for playing and recording audio files in raw sample, VOC and WAV formats. . To use this program you need a soundcard of some kind and the appropriate driver configured into your kernel. . When run the program creates two processes which share a memory buffer. It does reading/writing on the disk and the sound device simultaneously, in order to be less liable to `pause' because the disk is too slow or too busy. Package: bppphyview Version: 0.2.1-1 Architecture: armhf Maintainer: Loic Dachary Installed-Size: 260 Depends: libbpp-core2, libbpp-phyl9, libbpp-qt1 (>= 2.0.2), libbpp-seq9, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Priority: optional Section: science Filename: pool/main/b/bppphyview/bppphyview_0.2.1-1_armhf.deb Size: 93682 SHA256: 95e73e517ef25e9df4d1097cef39719e64fc48fd023d3ddd71890232b382a552 SHA1: aea1f88988be05e9d47fe73fd5f8c453a4bcb59d MD5sum: 48cb28151ce8d0a206b16890c100ab06 Description: Bio++ Phylogenetic Viewer A phylogenetic tree editor developed using Bio++ and Qt. Package: bppsuite Version: 0.7.0-1 Architecture: armhf Maintainer: Loic Dachary Installed-Size: 1017 Depends: libbpp-core2, libbpp-phyl9 (>= 2.0.3), libbpp-seq9, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Priority: optional Section: science Filename: pool/main/b/bppsuite/bppsuite_0.7.0-1_armhf.deb Size: 418950 SHA256: 24e2980678be433508134937130ba130e6ce0b021f9e212ac2908bf89a068169 SHA1: 9c88d6e9eac4c4158e28f51bd46135c0eca20d74 MD5sum: 0126b587c40f2ee7d701869842a6f084 Description: Bio++ program suite Includes programs: - BppML for maximum likelihood analysis, - BppSeqGen for sequences simulation, - BppAncestor for ancestral states reconstruction, - BppDist for distance methods, - BppPars for parsimony analysis, - BppSeqMan for file conversion and sequence manipulation, - BppConsense for building consensus tree and computing bootstrap values, - BppPhySamp for phylogenetic sampling, - BppReRoot for tree rerooting. - BppTreeDraw for tree drawing. - BppAlnScore for comparing alignments and computing alignment scores. Package: bpython Version: 0.11-1 Installed-Size: 294 Maintainer: David Paleino Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pygments, python-pkg-resources Size: 62768 SHA256: 96c166d648d207d159d1c505350f27512ed79e23c931811e17eec5990ce22dd5 SHA1: 1d614c6f179bb13bf37928a95a524d5ad63eabd5 MD5sum: cff17b25da12283a78b13f797751026c Description: fancy interface to the Python interpreter - Curses frontend bpython is a fancy interface to the Python interpreter, and has the following features: . * In-line syntax highlighting. * Readline-like autocomplete with suggestions displayed as you type * Expected parameter list for any Python function. Uses pydoc to attempt to divine params for C functions. * "Rewind" function to pop the last line of code from memory and re-evaluate. Note: this is only really useful when laying out classes and functions, since a true "undo" function is impossible, so be careful when using this. * Send the code you've entered off to a pastebin and display the pastebin URL for copying, etc. * Save the code you've entered to a file. * Auto-indentation. * Anti-Crash Mode. . This package contains the Curses frontend to bpython. Homepage: http://bpython-interpreter.org/ Tag: devel::ide, devel::interpreter, devel::lang:python, implemented-in::python, role::program, uitoolkit::ncurses Section: python Priority: optional Filename: pool/main/b/bpython/bpython_0.11-1_all.deb Package: bpython-gtk Source: bpython Version: 0.11-1 Installed-Size: 105 Maintainer: David Paleino Architecture: all Depends: python, python-gobject, python-gtk2, bpython (= 0.11-1) Size: 31874 SHA256: 27e0ecec5c68511dbd3e1a18ac7d639e859f4620ec8fd5a6e83e8fe239b70aed SHA1: 64daa3c418db86433888827bce21393cb5957672 MD5sum: 75b9a0ad5b9cd68cc2c05b26c720f74b Description: fancy interface to the Python interpreter - GTK+ frontend bpython is a fancy interface to the Python interpreter, and has the following features: . * In-line syntax highlighting. * Readline-like autocomplete with suggestions displayed as you type * Expected parameter list for any Python function. Uses pydoc to attempt to divine params for C functions. * "Rewind" function to pop the last line of code from memory and re-evaluate. Note: this is only really useful when laying out classes and functions, since a true "undo" function is impossible, so be careful when using this. * Send the code you've entered off to a pastebin and display the pastebin URL for copying, etc. * Save the code you've entered to a file. * Auto-indentation. * Anti-Crash Mode. . This package contains the GTK+ frontend to bpython. Homepage: http://bpython-interpreter.org/ Tag: devel::lang:python, interface::x11, role::program, uitoolkit::gtk, x11::application Section: python Priority: optional Filename: pool/main/b/bpython/bpython-gtk_0.11-1_all.deb Package: bpython-urwid Source: bpython Version: 0.11-1 Installed-Size: 110 Maintainer: David Paleino Architecture: all Depends: python, python-urwid, bpython (= 0.11-1) Size: 30740 SHA256: 6dcfa3c5b9f24cefa1a5631f8e77dd06a17c8174a937276b0dffafa9fba902a3 SHA1: bde43bb8d3f09ce58f42b5c3f911b5adf6d5042e MD5sum: 798ceab47d0fa693b4906529a58ca532 Description: fancy interface to the Python interpreter - urwid frontend bpython is a fancy interface to the Python interpreter, and has the following features: . * In-line syntax highlighting. * Readline-like autocomplete with suggestions displayed as you type * Expected parameter list for any Python function. Uses pydoc to attempt to divine params for C functions. * "Rewind" function to pop the last line of code from memory and re-evaluate. Note: this is only really useful when laying out classes and functions, since a true "undo" function is impossible, so be careful when using this. * Send the code you've entered off to a pastebin and display the pastebin URL for copying, etc. * Save the code you've entered to a file. * Auto-indentation. * Anti-Crash Mode. . This package contains the urwid frontend to bpython. Homepage: http://bpython-interpreter.org/ Tag: devel::lang:python, role::program, uitoolkit::ncurses Section: python Priority: optional Filename: pool/main/b/bpython/bpython-urwid_0.11-1_all.deb Package: bpython3 Source: bpython Version: 0.11-1 Installed-Size: 305 Maintainer: David Paleino Architecture: all Depends: python3 (>= 3.1.3-13~), python3.2, python3-pygments, python3-pkg-resources Size: 75958 SHA256: 413be6178ed8597f936dd6852282d92e230505db4759d16a52eb47eb606958cb SHA1: 6abb657396ad2d4d0cee1671ca0fa8dd95e87309 MD5sum: 32bded703725fef0a9254eafa8010ff3 Description: fancy interface to the Python interpreter - Curses frontend bpython is a fancy interface to the Python interpreter, and has the following features: . * In-line syntax highlighting. * Readline-like autocomplete with suggestions displayed as you type * Expected parameter list for any Python function. Uses pydoc to attempt to divine params for C functions. * "Rewind" function to pop the last line of code from memory and re-evaluate. Note: this is only really useful when laying out classes and functions, since a true "undo" function is impossible, so be careful when using this. * Send the code you've entered off to a pastebin and display the pastebin URL for copying, etc. * Save the code you've entered to a file. * Auto-indentation. * Anti-Crash Mode. . This package contains the Curses frontend to bpython for Python 3. Homepage: http://bpython-interpreter.org/ Tag: devel::lang:python, implemented-in::python, role::program, uitoolkit::ncurses Section: python Priority: optional Filename: pool/main/b/bpython/bpython3_0.11-1_all.deb Package: br2684ctl Source: linux-atm Version: 1:2.5.1-1.5 Architecture: armhf Maintainer: Peter De Schrijver (p2) Installed-Size: 44 Depends: libatm1 (>= 2.4.1-17~), libc6 (>= 2.13-28) Multi-Arch: foreign Priority: optional Section: net Filename: pool/main/l/linux-atm/br2684ctl_2.5.1-1.5_armhf.deb Size: 7448 SHA256: a05ca8a6b3755ab7a4dbb421bd00fd7d73641b8a1ea841b59f9fb958badbf1b3 SHA1: d2b44bd65dde8b5ec035a3e8d4dc0387af121c34 MD5sum: e303cf2c8ea622c4fd503dbceac1a83d Description: Utility for configuring RFC 2684 ATM/Ethernet bridging ATM bridging is a way to extend Ethernet over an ATM network and is mainly used for DSL connections. This package contains the user space utility needed to configure the kernel driver. . This package is needed if you own an USB DSL modem and your connection uses one of these protocols: RFC 1483 bridged (RFC 2684 bridged), RFC 1483 bridged (RFC 2684 routed), PPP over Ethernet (PPPoE). Package: brag Version: 1.4.1-2 Installed-Size: 104 Maintainer: Simon Kelley Architecture: all Depends: uudeview, tcl8.3 | tclsh Size: 20628 SHA256: 9845279031e98f3f5b2072e8794f4f82d7548c3f23bdde80914ac0fad1129871 SHA1: 610c7f808b68fbf023e203f42ef569beed5fd15e MD5sum: 4186a715d952dfb8c665e46069870e80 Description: Downloads and assembles multipart Usenet binaries Brag collects and assembles multipart binary attachements from newsgroups. This is a robust command-line tool, well suited to run as a cron job. * Collects and downloads multipart binary attachements * Supported encodings: uuencode, MIME base64 and yenc * Filters messages using accept/reject patterns * Optionally saves message subjects * Supports NNTP authentication * Supports non-default NNTP ports * Can combine parts from different newsgroups or even different servers * Bulletproof: Restarts from the last successful operation Tag: implemented-in::tcl, interface::commandline, network::client, protocol::nntp, role::program, use::downloading, works-with::archive Section: news Priority: optional Filename: pool/main/b/brag/brag_1.4.1-2_all.deb Package: braindump Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 678 Depends: calligra-libs (= 1:2.4.4-3), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.4.0), libkio5 (>= 4:4.4.0), libkparts4 (>= 4:4.4.0), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0) Homepage: http://www.calligra-suite.org/braindump/ Priority: optional Section: kde Filename: pool/main/c/calligra/braindump_2.4.4-3_armhf.deb Size: 270032 SHA256: 9c0ee0ba7cb18ac3f76aac24b7f2b07b99a5a04b6b43331e78364a78238f8c95 SHA1: 915bc82ff09864b126e0178a7394d266cd03aa2e MD5sum: ba54cebde114fab04cac91bac3d3c503 Description: ideas organizer application for the Calligra Suite BrainDump is a tool to help to organize the ideas from your brain to your computer. . This package is part of the Calligra Suite. Package: brandy Version: 1.20~pre5-4 Architecture: armhf Maintainer: Colin Tuckley Installed-Size: 385 Depends: libc6 (>= 2.13-28), libsdl1.2debian (>= 1.2.11) Homepage: http://sourceforge.net/projects/brandy Priority: optional Section: interpreters Filename: pool/main/b/brandy/brandy_1.20~pre5-4_armhf.deb Size: 228688 SHA256: 14fc436bf7928643d4624f5b469ca0dc5a5cb2b3d061c213f863eac58bffdec0 SHA1: 6a75904669a5732e0f5df9fa1fb1e7b8dcf7404c MD5sum: 00f3126fa42194b4c1ef636affffbc18 Description: BBC BASIC V interpreter Brandy is an interpreter for BBC Basic. It is source code compatible with the BASIC V interpreter in RISC OS and runs under a number of different operating systems. . Note that it is not possible to make operating system calls from within a program except under RISC OS. Package: brasero Version: 3.4.1-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 970 Depends: libatk1.0-0 (>= 1.12.4), libbrasero-media3-1 (= 3.4.1-4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.12), libgstreamer0.10-0 (>= 0.10.15), libgtk-3-0 (>= 3.0.0), libice6 (>= 1:1.0.0), libnautilus-extension1a (>= 2.91), libpango1.0-0 (>= 1.14.0), libsm6, libtotem-plparser17 (>= 2.32), libtracker-sparql-0.14-0 (>= 0.10.0), libxml2 (>= 2.7.4), gstreamer0.10-plugins-base (>= 0.10.0), gnome-icon-theme, gvfs, brasero-common (= 3.4.1-4) Recommends: yelp Suggests: vcdimager, libdvdcss2, tracker Conflicts: nautilus-cd-burner Homepage: http://www.gnome.org/projects/brasero/ Priority: optional Section: gnome Filename: pool/main/b/brasero/brasero_3.4.1-4_armhf.deb Size: 651168 SHA256: 05162e3a44aac9901f83bd3c24f33256ce042ac54fec9bd052986de62417087b SHA1: 06cb731bc9ee1c6ec9ed14c87788af396e800e7b MD5sum: 752f5c7ed98de2bc4a92dbf4311ad185 Description: CD/DVD burning application for GNOME Brasero is a simple application to burn, copy and erase CD and DVD media: audio, video or data. It features among other things: * On-the-fly burning * Multisession support * On-the-fly conversion of music playlists in all formats supported by GStreamer . This package contains the main binary, the burning plugins and the nautilus extension. . The following packages, if installed, will provide Brasero with added functionality: * cdrdao to burn combined data/audio CDs and for byte-to-byte copy * GStreamer backends to support more audio formats * vcdimager to create VCDs or SVCDs * libdvdcss2 to copy encrypted DVDs * tracker to search for video, audio, image and document files Package: brasero-cdrkit Source: brasero Version: 3.4.1-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 654 Depends: libbrasero-media3-1 (= 3.4.1-4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.29.14), libgstreamer-plugins-base0.10-0 (>= 0.10.12), libgstreamer0.10-0 (>= 0.10.15), libxml2 (>= 2.7.4), genisoimage, growisofs, wodim Recommends: dvdauthor Suggests: readom Breaks: libbrasero-media3-1 (<< 3.4.1-3) Replaces: libbrasero-media3-1 (<< 3.4.1-3) Homepage: http://www.gnome.org/projects/brasero/ Priority: optional Section: gnome Filename: pool/main/b/brasero/brasero-cdrkit_3.4.1-4_armhf.deb Size: 544284 SHA256: 2dd05675027d2fa883389068a5456987f721f7ad7eda4e0b5a52b861f7daeb11 SHA1: 0664fa83ee97e20012c0ae764c352f42c9610390 MD5sum: 5036af781a36ac83cea477c62ddb5ba8 Description: cdrkit extensions for the Brasero burning application Brasero is a simple application to burn, copy and erase CD and DVD media. . This package contains extensions for Brasero, based on the genisoimage, growisofs, wodim, readom and dvdauthor programs. They are only needed for the following operations in Brasero: * Audio CD burning with CD-Text information * Video DVD creation Package: brasero-common Source: brasero Version: 3.4.1-4 Installed-Size: 10547 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: brasero (<< 2.26) Depends: dconf-gsettings-backend | gsettings-backend Breaks: brasero (<< 2.26) Size: 2038502 SHA256: 44183a38b9c2bfe747007713da20f321b891b006b60fc17b8334b606ce02c490 SHA1: 1925f03a39060ee75544c123646e5216cd9e36bc MD5sum: 265f3898604754ffa81417bec4bf9b8c Description: Common files for the Brasero CD burning application and library Brasero is a simple application to burn, copy and erase CD and DVD media. . This package contains the common files and translations. Homepage: http://www.gnome.org/projects/brasero/ Tag: role::app-data Section: gnome Priority: optional Filename: pool/main/b/brasero/brasero-common_3.4.1-4_all.deb Package: brazilian-conjugate Source: br.ispell Version: 3.0~beta4-15 Installed-Size: 356 Maintainer: Agustin Martin Domingo Architecture: all Depends: gawk Suggests: ibrazilian Size: 105272 SHA256: ccdb1a5afb1cdfec6e95830678d94527aeecbcc03c3d08ceca8775a13ee9b6de SHA1: 4fe463f556b448edc037a646d9eca08c71639557 MD5sum: 60302f64ce3c3ed5fe94ba9443a2db7e Description: Brazilian Portuguese verb conjugator This package contains a interactive program (conjugue) capable of conjugating portuguese verbs, as spoken in Brazil. The upstream version is numbered 1.0, but as it is distributed together with the Ispell dictionary for Brazilian Portuguese, it has the same version number as the ibrazilian package for Debian. Homepage: http://www.ime.usp.br/~ueda/br.ispell/ Tag: culture::brazilian, field::linguistics, interface::commandline, role::program, scope::utility, works-with::dictionary Section: text Priority: extra Filename: pool/main/b/br.ispell/brazilian-conjugate_3.0~beta4-15_all.deb Package: brewtarget Version: 1.2.4+dfsg-1.1 Architecture: armhf Maintainer: Philip G. Lee Installed-Size: 3584 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0), phonon Homepage: http://brewtarget.sourceforge.net Priority: optional Section: misc Filename: pool/main/b/brewtarget/brewtarget_1.2.4+dfsg-1.1_armhf.deb Size: 1485938 SHA256: c4e94e700319024833c80355df5427e454497c02ab59f835216114cd644cb5e1 SHA1: 141cc3a39f16fa523ef4ec1336fa8e0599fd27a4 MD5sum: 3896bb6c7e292bb899bf5ab45ac3e118 Description: GUI beer brewing software Brewtarget is a calculator for brewing beer. It is a Qt-based program which allows you to create recipes from a database of ingredients. It calculates all the important parameters, helps you with mash temperatures, and just makes the process of recipe formulation much easier. Package: brickos Version: 0.9.0.dfsg-6 Architecture: armhf Maintainer: Michael Tautschnig Installed-Size: 496 Depends: libc6 (>= 2.7) Recommends: binutils-h8300-hms (>= 2.16.1), gcc-h8300-hms (>= 1:3.4.6) Suggests: brickos-doc Conflicts: legos Replaces: legos Homepage: http://brickos.sourceforge.net Priority: extra Section: devel Filename: pool/main/b/brickos/brickos_0.9.0.dfsg-6_armhf.deb Size: 146782 SHA256: 2553d4f2970b54323635ea940670fffab094d3a70f68882d115b771874826208 SHA1: 13d8d2e1aedb7078445d9de7319f0a0c9b423158 MD5sum: e969c14d3b7cc7a0a5b37182ffb0c034 Description: alternative OS for LEGO(r) Mindstorms RCX. Supports devel. in C/C++ A multitasking operating system with development environment for use as an alternative to the standard LEGO(r) Mindstorms RCX firmware. . For posts to/from other users: http://lugnet.com/robotics/rcx/legos/ . (LEGO(r) is a trademark of the LEGO Group of companies.) Package: brickos-doc Source: brickos Version: 0.9.0.dfsg-6 Installed-Size: 10008 Maintainer: Michael Tautschnig Architecture: all Size: 1144378 SHA256: 4434575ad36f576c3641eaeb83111dab2dce9adbee20797d27ff7bd650799343 SHA1: 197d2fd150329ee4a49c43651ebd538b55afc858 MD5sum: 47006bdc8330c5f52769514464ac5b17 Description: documentation for brickOS an Alternative OS for the RCX HOWTO and API Documentation for brickOS an alternative Operating System for the LEGO(r) Mindstorms RCX. . (LEGO(r) is a trademark of the LEGO Group of companies.) Homepage: http://brickos.sourceforge.net Tag: devel::doc, game::toys, hardware::embedded, made-of::html, role::documentation, use::driver, use::gameplaying Section: doc Priority: extra Filename: pool/main/b/brickos/brickos-doc_0.9.0.dfsg-6_all.deb Package: bridge-utils Version: 1.5-6 Architecture: armhf Maintainer: Santiago Garcia Mantinan Installed-Size: 138 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Suggests: ifupdown, net-tools Conflicts: ifupdown (<< 0.6.0) Multi-Arch: foreign Priority: optional Section: net Filename: pool/main/b/bridge-utils/bridge-utils_1.5-6_armhf.deb Size: 35904 SHA256: b456b2ebe59bf0c7d1cfa9f0567db201e44df75c2a2b3e3d6b5cce77edcbb868 SHA1: f8664abaae5ba4b16ee53924c34341aa26682e35 MD5sum: 57451ba83db330a60df374344413ae4c Description: Utilities for configuring the Linux Ethernet bridge This package contains utilities for configuring the Linux Ethernet bridge in Linux. The Linux Ethernet bridge can be used for connecting multiple Ethernet devices together. The connecting is fully transparent: hosts connected to one Ethernet device see hosts connected to the other Ethernet devices directly. Package: brightside Version: 1.4.0-4.1 Architecture: armhf Maintainer: Ari Pollak Installed-Size: 726 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libwnck22 (>= 2.30.0-3), libx11-6, libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2) Priority: optional Section: gnome Filename: pool/main/b/brightside/brightside_1.4.0-4.1_armhf.deb Size: 104162 SHA256: 7c18f3287230c90435b30963929605aa8a8ebf0beb3d92e6ab29f38071f1a7cc SHA1: a38562e05486719b352c12037744ab362cf2d74a MD5sum: 15ae2cbf59c2db707104eebc73735b6c Description: Add reactivity to the corners and edges of your GNOME desktop Brightside provides "edge flipping" to allow you to switch to the adjacent workspace simply by pressing your mouse against the edge of the screen. . Brightside also allows you to assign configurable actions to occur while you rest the mouse in a corner of the screen. Currently available actions comprise: . * Fade out volume * Prevent screensaver starting * Start screensaver and lock screen * Enter DPMS standby mode * Enter DPMS suspend mode * Enter DPMS off mode * Dim laptop backlight * Custom action Package: briquolo Version: 0.5.7-4 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 553 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libpng12-0 (>= 1.2.13-4), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), ttf-dejavu, briquolo-data (>= 0.5.7-4) Homepage: http://briquolo.free.fr/ Priority: optional Section: games Filename: pool/main/b/briquolo/briquolo_0.5.7-4_armhf.deb Size: 179562 SHA256: fe42742bd64cb97c0bd18de2f291a00b5e15e08ec55d33c4ce1736f39e30363a SHA1: e20eceb6a30dd9111c5755fc93519cfb537af297 MD5sum: 324e58811e1b3d43c72db91dc4f04cf3 Description: Fast paced 3d Breakout This is a 3d view breakout with moving stones, fancy levels and a lot of special effects put in. Package: briquolo-data Source: briquolo Version: 0.5.7-4 Installed-Size: 3080 Maintainer: Debian Games Team Architecture: all Size: 1336504 SHA256: 891d7dc162f05195651a7d3847e2e675d9e612f12bad9275c177eb7911a00c19 SHA1: 02ebb7216a0d7b3f18329d393406115ed25e0500 MD5sum: 06fbbc95a0952bc516d6573779e75c40 Description: Fast paced 3d Breakout data files This is a 3d view breakout with moving stones, fancy levels and a lot of special effects put in. . This package contains the game data. Homepage: http://briquolo.free.fr/ Tag: made-of::TODO, role::app-data Section: games Priority: optional Filename: pool/main/b/briquolo/briquolo-data_0.5.7-4_all.deb Package: bristol Version: 0.60.10-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 2467 Depends: bristol-data (= 0.60.10-3), libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libx11-6 Suggests: jackd Homepage: http://bristol.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/b/bristol/bristol_0.60.10-3_armhf.deb Size: 914434 SHA256: 7aaa085d65d1626cd8f9a2206ed59e397f01b66b8cae0a85af48d554a36558bb SHA1: 1022603a15db7eff19511afb34b515724dbff20c MD5sum: 934df3f5be481718c3ac1517980fe490 Description: vintage synthesizer emulator Bristol is a synthesizer emulator application. Bristol uses the sound card PCM generator and can be MIDI driven, furthermore it can be set to use the low latency scheduling feature of the kernel, reducing audio under runs. It can handle any number of simultaneous synthesizers (they all connect to the same engine). It supports splitting and layering the keyboard with multiple synthesizers on a single midi channel. Currently Bristol emulates the following keyboards: Moog Mini, Moog Voyager (Bristol "Explorer"), Sequential Circuits Prophet-5, Roland Juno-6, Yamaha DX-7, Hammond (single manual), Hammond B3 (dual manual). Package: bristol-data Source: bristol Version: 0.60.10-3 Installed-Size: 3883 Maintainer: Debian Multimedia Maintainers Architecture: all Replaces: bristol (<< 0.40.6-1) Size: 2700606 SHA256: 0a14fb800d240c05c043dc3582ee929769f195ba4c823b27c70a1f495e0cb984 SHA1: 5100cc628baa7cbda8d385dce6a562a2792d59b8 MD5sum: fb8c78084edf5ce442b875171ffe311f Description: vintage synthesizer emulator (data files) Bristol is a synthesizer emulator application. Bristol uses the sound card PCM generator and can be MIDI driven, furthermore it can be set to use the low latency scheduling feature of the kernel, reducing audio under runs. It can handle any number of simultaneous synthesizers (they all connect to the same engine). It supports splitting and layering the keyboard with multiple synthesizers on a single midi channel. Currently Bristol emulates the following keyboards: Moog Mini, Moog Voyager (Bristol "Explorer"), Sequential Circuits Prophet-5, Roland Juno-6, Yamaha DX-7, Hammond (single manual), Hammond B3 (dual manual). . This package contains the application data files. Homepage: http://bristol.sourceforge.net/ Tag: role::app-data Section: sound Priority: optional Filename: pool/main/b/bristol/bristol-data_0.60.10-3_all.deb Package: brltty Version: 4.4-10+deb7u1 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 5522 Depends: libbrlapi0.5, libc6 (>= 2.13-28), libgpm2 (>= 1.20.4), libicu48 (>= 4.8-1), libncursesw5 (>= 5.6+20070908), libtinfo5, lsb-base (>= 3.2-14) Recommends: python Suggests: brltty-speechd, brltty-x11, console-braille Replaces: libbrlapi1 (<< 3.7.2-7.1) Homepage: http://mielke.cc/brltty/ Priority: extra Section: admin Filename: pool/main/b/brltty/brltty_4.4-10+deb7u1_armhf.deb Size: 1636160 SHA256: c734fb1d4b20f465256cff6a3fa1df520aed8b1c32048b45aff4576ecb99d3e2 SHA1: e4cff7bb924eed17663ddd9ca1288823c847a1ff MD5sum: f89b05ef8bf3e512e698fb7dc6cc400a Description: Access software for a blind person using a braille display BRLTTY is a daemon which provides access to the console (text mode) for a blind person using a braille display. It drives the braille display and provides complete screen review functionality. The following display models are supported: * Alva/Optelec (ABT3xx, Delphi, Satellite, Braille System 40, BC 640/680) * Baum * BrailComm * BrailleLite * BrailleNote * EcoBraille * EuroBraille (AzerBraille, Clio, Esys, Iris, NoteBraille, Scriba) * Freedom Scientific (Focus and PacMate) * Handy Tech * HIMS (Braille Sense, SyncBraille) * HumanWare (Brailliant) * Iris * LogText 32 * MDV * Metec (BD-40) * NinePoint (Cebra) * Papenmeier * Pegasus * Seika * Tieman (Voyager, CombiBraille, MiniBraille, MultiBraille, BraillePen/EasyLink) * Tivomatic (Albatross) * TSI (Navigator, PowerBraille) * VideoBraille * VisioBraille . BRLTTY also provides a client/server based infrastructure for applications wishing to utilize a Braille display. The daemon process listens for incoming TCP/IP connections on a certain port. A shared object library for clients is provided in the package libbrlapi0.5. A static library, header files and documentation is provided in package libbrlapi-dev. Bindings to other programming languages can be found in cl-brlapi (Lisp), libbrlapi-java (Java) and python-brlapi (Python). Package: brltty-dbg Source: brltty Version: 4.4-10+deb7u1 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 3141 Depends: brltty (= 4.4-10+deb7u1) Homepage: http://mielke.cc/brltty/ Priority: extra Section: debug Filename: pool/main/b/brltty/brltty-dbg_4.4-10+deb7u1_armhf.deb Size: 1301034 SHA256: 4a811f06573363845ec94a4cb476fe4071e2d2a4f94b2267f71fa3a4930c7ebc SHA1: d94eeababe4e5a3e4f299cb582f317e51465662d MD5sum: 4d5746e8e0de816a13654c1c247df6f3 Description: debugging symbols for brltty This package contains the debugging symbols for BRLTTY. Package: brltty-espeak Source: brltty Version: 4.4-10+deb7u1 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 100 Depends: libc6 (>= 2.13-28), libespeak1 (>= 1.30), brltty (= 4.4-10+deb7u1) Homepage: http://mielke.cc/brltty/ Priority: extra Section: admin Filename: pool/main/b/brltty/brltty-espeak_4.4-10+deb7u1_armhf.deb Size: 63326 SHA256: ef090371f449b557962a17f930af41564edd9cea6b945e0035e8793ffce574c0 SHA1: 16fb953945cd4f2e6949e9bb6be28d8c31b4ee88 MD5sum: 969cd1678e87d6a292edf89e87ff2f80 Description: Access software for a blind person - espeak driver BRLTTY is a daemon which provides access to the Linux console (text mode) for a blind person using a braille display. It drives the braille display and provides complete screen review functionality. . This package contains an optional speech driver for the espeak speech synthesis engine. Package: brltty-flite Source: brltty Version: 4.4-10+deb7u1 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 100 Depends: libc6 (>= 2.13-28), libflite1, brltty (= 4.4-10+deb7u1) Homepage: http://mielke.cc/brltty/ Priority: extra Section: admin Filename: pool/main/b/brltty/brltty-flite_4.4-10+deb7u1_armhf.deb Size: 63414 SHA256: 52313aa813e74e6d1c6c62d19309a409d1532518bcacb6e7d48fb0dc68ac6bbb SHA1: c250005e73fc5a9095a0d30c898fa1cf1c6ae3d2 MD5sum: 76f87b579689fca796acb2fb32065018 Description: Access software for a blind person - Flite speech driver BRLTTY is a daemon which provides access to the Linux console (text mode) for a blind person using a braille display. It drives the braille display and provides complete screen review functionality. . This package contains an optional speech driver for the Festival Lite speech synthesis engine. Package: brltty-speechd Source: brltty Version: 4.4-10+deb7u1 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 100 Depends: libc6 (>= 2.13-28), libspeechd2, brltty (= 4.4-10+deb7u1) Recommends: speech-dispatcher Homepage: http://mielke.cc/brltty/ Priority: extra Section: admin Filename: pool/main/b/brltty/brltty-speechd_4.4-10+deb7u1_armhf.deb Size: 63964 SHA256: 396e483b39617ef65d650bf2dfcd6b166a0a9ca1493876f0df2453a4f983adc8 SHA1: 81cc3dc8cc0b6cbf5d684e06b8e9a8eb5b0541b7 MD5sum: ccd7db357e6ab568d2614645df42e3bb Description: Access software for a blind person - Speech Dispatcher driver BRLTTY is a daemon which provides access to the Linux console (text mode) for a blind person using a braille display. It drives the braille display and provides complete screen review functionality. . This package contains an optional speech driver for the speech-dispatcher speech synthesis server. Package: brltty-x11 Source: brltty Version: 4.4-10+deb7u1 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 144 Depends: libatspi2.0-0 (>= 1.91.92), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libglib2.0-0 (>= 2.12.0), libx11-6, libxaw7, libxt6, brltty (= 4.4-10+deb7u1) Recommends: xbrlapi (>= 4.3-3) Homepage: http://mielke.cc/brltty/ Priority: extra Section: admin Filename: pool/main/b/brltty/brltty-x11_4.4-10+deb7u1_armhf.deb Size: 80534 SHA256: 2f373426112e60c447fc3e357e91fb91a29d52ea8495c447c2539fe7e5551b8c SHA1: d313bb500b57a09e977cf3b2a5452cf81da65be6 MD5sum: 994edb058a125853664bdddba4042ec2 Description: Access software for a blind person using a braille display - X11 drivers BRLTTY is a daemon which provides access to the Linux console (text mode) for a blind person using a braille display. It drives the braille display and provides complete screen review functionality. . This package contains optional drivers which require X11 or GNOME. Package: browser-history Version: 2.8-15 Architecture: armhf Maintainer: Colin Watson Installed-Size: 104 Depends: libc6 (>= 2.13-28), libx11-6, libxmu6 Suggests: cgiwrap | apache | apache-ssl, perl-modules | libcgi-pm-perl Priority: optional Section: web Filename: pool/main/b/browser-history/browser-history_2.8-15_armhf.deb Size: 35270 SHA256: 8f69357129bec22656c66133eb9fc7ad69278fa19951fdb7fdacd0f295762ac1 SHA1: b176d59d75686f3b61c8d8fd567969590045bd25 MD5sum: 368c5295bffff42a0b0554a5964cc91a Description: User daemon that tracks URLs looked at and logs them Browser-history came from the will to overcome a Netscape bug: there is no global history, and if you close a window, its whole history is lost. For people browsing lots of sites, having the possibility of backtracking to where one has been before means that you don't have to put everything in your bookmarks file. If you are not sure if a site may be worth remembering, don't add it in your bookmarks. If you need it later, just browse your history files. . It works with: Netscape Navigator, Arena, and Amaya. Support for `browser-history' can easily be added to other browsers, provided you can program and have the browser sources. . A manual page and simple documentation will be installed in /usr/share/doc/browser-history/browser-history.html, along with a simple CGI interface to grep the history log and display the result. The optional CGI program requires `cgiwrap' or Apache configured with `suexec'. Package: browser-plugin-gnash Source: gnash Version: 0.8.11~git20120629-1+deb7u1 Architecture: armhf Maintainer: Debian Flash Team Installed-Size: 322 Depends: gnash (= 0.8.11~git20120629-1+deb7u1), libboost-iostreams1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libstdc++6 (>= 4.4.0), libx11-6 Suggests: browser-plugin-lightspark Breaks: mozilla-plugin-gnash (<< 0.8.8-4) Replaces: mozilla-plugin-gnash (<< 0.8.8-4) Provides: mozilla-plugin-gnash Homepage: http://www.gnu.org/software/gnash/ Priority: optional Section: video Filename: pool/main/g/gnash/browser-plugin-gnash_0.8.11~git20120629-1+deb7u1_armhf.deb Size: 125992 SHA256: addc582d5b125bda15f789dc396847182e8bcd2d9c91504f967b5144dd7980be SHA1: 32af826fb64c025976adb55c9cf6f598ab237439 MD5sum: 2d7c64866f3c6f7481a3521cd7b00e8a Description: GNU Shockwave Flash (SWF) player - Plugin for Mozilla and derivatives GNU Gnash is a free GPL'd SWF player. It runs as a standalone application on either the desktop or embedded devices, or may be used as a plugin for several popular browsers. It supports playing media from a disk or streaming over a network connection. . GNU Gnash is based on GameSWF and supports most SWF v7 features and some SWF v8 and v9. SWF v10 is not supported by GNU Gnash. . Included in the Gnash is an XML based messaging system, as specified in the SWF specification. This lets a SWF animation communicate over a TCP/IP socket, and parse the incoming XML message. This lets an animation be a remote control for other devices or applications. . This package includes the plugin for Firefox/Mozilla Web Browser. The plugin works best with Firefox 1.0.4 or newer, and should work in any Mozilla based browser. Npp-Applications: ec8030f7-c20a-464f-9b0e-13a3a9e97384,92650c4d-4b8e-4d2a-b7eb-24ecf4f6b63a,aa5ca914-c309-495d-91cf-3141bbb04115 Npp-Mimetype: application/x-shockwave-flash Npp-Name: Gnash SWF Player Package: browser-plugin-lightspark Source: lightspark Version: 0.6.0.1-2+rpi1 Architecture: armhf Maintainer: Debian Flash Maintainers Installed-Size: 142 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0), libx11-6, lightspark-common (= 0.6.0.1-2+rpi1) Recommends: gnash-common Homepage: http://lightspark.sf.net Priority: optional Section: utils Filename: pool/main/l/lightspark/browser-plugin-lightspark_0.6.0.1-2+rpi1_armhf.deb Size: 43368 SHA256: 1c32f5c19781441cd859d723d7426ce6a89c93691022892ba2e243ef9b96ed33 SHA1: bc9d0529c7c76212f6175f8129f471ade87a3dbb MD5sum: bd09b90346db2b33b6e3085235d70f74 Description: High-performance SWF player - Mozilla Plugin (experimental) Lightspark is a free Flash player for Linux which aims for high-performance by using modern technologies such as JIT compilation and OpenGL shaders. . The project is currently in an alpha status and provides the standalone player and browser plugin for testing purposes only. . Nice features: * JIT compilation of ActionScript to native x86 bytecode * Hardware accelerated rendering using OpenGL shaders (GLSL) * Aims to support current-generation ActionScript 3 * A new, clean, codebase exploiting multithreading and optimized for modern hardware. Designed from scratch after the official Flash documentation was released. . This package contains the browser plugin. Package: browser-plugin-packagekit Source: packagekit Version: 0.7.6-3 Architecture: armhf Maintainer: Matthias Klumpp Installed-Size: 98 Depends: packagekit (= 0.7.6-3), iceweasel (>> 3.0) | www-browser, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.26.0), libgtk2.0-0 (>= 2.24.0), libpackagekit-glib2-14, libpango1.0-0 (>= 1.20.0) Suggests: gnome-packagekit | apper Conflicts: mozilla-packagekit Replaces: mozilla-packagekit Homepage: http://www.packagekit.org Priority: optional Section: web Filename: pool/main/p/packagekit/browser-plugin-packagekit_0.7.6-3_armhf.deb Size: 30344 SHA256: eb83a2c2fcd3b31880d6d60292f88ce9485e6f76efda0ce757096a27104b4bfa SHA1: b951616af70167eb211d89488892358d2d16ffa7 MD5sum: 0aafe35d668282fedbf5f02c74ec3ada Description: Plugin to install missing plugins using PackageKit PackageKit allows performing simple software management tasks over a DBus interface e.g refreshing the cache, updating, installing and removing software packages or searching for multimedia codecs and file handlers. . This package provides a browser plugin. Package: browser-plugin-vlc Source: npapi-vlc Version: 2.0.0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 168 Depends: vlc, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libstdc++6 (>= 4.4.0), libvlc5 (>= 1.1.0), libx11-6 Breaks: mozilla-plugin-vlc (<< 2.0.0) Replaces: mozilla-plugin-vlc (<< 2.0.0) Homepage: http://www.videolan.org/vlc/ Priority: optional Section: video Filename: pool/main/n/npapi-vlc/browser-plugin-vlc_2.0.0-2_armhf.deb Size: 44132 SHA256: 31a21be48526fdfa34cf31e86e8b9fb1d7b840eee4aa160c196b0176a8edcb7c SHA1: 48037bc1849f2bd335ac51d53d84f5e7833deaac MD5sum: 3f643f6613e7d70e8e1ad21eacc5eedb Description: multimedia plugin for web browsers based on VLC This plugin adds support for MPEG, MPEG2, DVD, DivX, Ogg/Vorbis and many more formats to any Gecko-based web browser (Firefox, Galeon, etc.). The decoding process is done by VLC and the output window is embedded in a webpage or directly in the browser window. There is also support for fullscreen display and javascript control. . VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4, DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs, podcasts, and multimedia streams from various network sources. Npp-Applications: 92650c4d-4b8e-4d2a-b7eb-24ecf4f6b63a, ec8030f7-c20a-464f-9b0e-13a3a9e97384 Npp-Description: play video and audio in Firefox using the VLC Multimedia Player Npp-File: libvlcplugin.so Npp-Mimetype: application/mpeg4-iod, application/mpeg4-muxcodetable, application/ogg, application/x-google-vlc-plugin, application/x-mplayer2, application/x-ogg, application/x-vlc-plugin, audio/3gpp, audio/3gpp2, audio/mpeg, audio/mpeg4, audio/wav, audio/x-mpeg, audio/x-wav, video/3gpp, video/3gpp2, video/mpeg, video/mpeg-system, video/mpeg4, video/quicktime, video/x-mpeg, video/x-mpeg-system, video/x-ms-asf, video/x-ms-asf-plugin, video/x-ms-wmv, video/x-msvideo Npp-Name: VLC Multimedia Plugin Package: brp-pacu Version: 2.1.1+git20110314~repack1-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 152 Depends: fftw2, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.12.0), libgtkdatabox-0.9.1-1, libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpango1.0-0 (>= 1.14.0) Homepage: http://sourceforge.net/projects/brp-pacu/ Priority: optional Section: sound Filename: pool/main/b/brp-pacu/brp-pacu_2.1.1+git20110314~repack1-2_armhf.deb Size: 38528 SHA256: 2bdf3c6a1d867463b980bdbcb60670e96fb8cadecc5d6375f6340e4f885f9619 SHA1: bf0ee5b47de64400bce2e6b974fca45cc2555dec MD5sum: 80b73beb9fd4c6594184ebeb5f706f6a Description: audio analysis tool Brp-pacu compares the output of the system to the input of the system and allows you to use this data to perform final equalization by matching the results with actual DSP/EQ. Package: brp-pacu-dbg Source: brp-pacu Version: 2.1.1+git20110314~repack1-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 114 Depends: brp-pacu (= 2.1.1+git20110314~repack1-2) Homepage: http://sourceforge.net/projects/brp-pacu/ Priority: extra Section: debug Filename: pool/main/b/brp-pacu/brp-pacu-dbg_2.1.1+git20110314~repack1-2_armhf.deb Size: 36222 SHA256: aa071a7856773b1aa118dece06ade736df94cd5bc01960edbb39b4db0af3842d SHA1: 5c43cf6d2f7081241724f0d3c26515b7806dd57d MD5sum: 2cdb10e4a9930157cc5ecd44652be365 Description: audio analysis tool (debug) Brp-pacu compares the output of the system to the input of the system and allows you to use this data to perform final equalization by matching the results with actual DSP/EQ. . This package contains the debugging symbols. Package: brutalchess Version: 0.5.2+dfsg-4 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 2914 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libsm6, libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxi6, libxmu6, libxt6, zlib1g (>= 1:1.1.4), ttf-dejavu Homepage: http://brutalchess.sf.net/ Priority: optional Section: games Filename: pool/main/b/brutalchess/brutalchess_0.5.2+dfsg-4_armhf.deb Size: 740190 SHA256: 762acf3951e1d263aa9f14662a8c49d464f320585fcb35f0f003ab7feb6f5f4f SHA1: e4b857c0c5a2cf69edca1d4d6d438cfad63f70fb MD5sum: 801ddc6fed5169f64471de8f3ae3827c Description: 3D chess game with reflection of the chessmen Brutal Chess features full 3D graphics, an advanced particle engine, and several different levels of intelligent AI, inspired by the once popular "Battle Chess" released by Interplay circa 1988. Package: brutefir Version: 1.0k-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 485 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116 Homepage: http://www.ludd.luth.se/~torger/brutefir.html Priority: optional Section: sound Filename: pool/main/b/brutefir/brutefir_1.0k-2_armhf.deb Size: 195950 SHA256: 5414bc1747c7c3c18ef4ffc7c7c635d1c7358513caadd698a392394e8e7d5274 SHA1: 30feb9279fa65620eff4a0238a2674b8a7bbead1 MD5sum: 27430b540bcea9bf42dc110a16f2b804 Description: a software convolution engine BruteFIR is a program for applying long FIR filters to multi-channel digital audio, either offline or in realtime. Its basic operation is specified through a configuration file, and filters, attenuation and delay can be changed in runtime through a simple command line interface. The FIR filter algorithm used is an optimised frequency domain algorithm, partly implemented in hand-coded assembler, thus throughput is extremely high. In realtime, a standard computer can typically run more than 10 channels with more than 60000 filter taps each. . Through its highly modular design, things like adaptive filtering, signal generators and sample I/O are easily added, extended and modified, without the need to alter the program itself. Package: bs2b-ladspa Version: 0.9.1-3 Architecture: armhf Maintainer: Andrew Gainer Installed-Size: 43 Depends: libbs2b0, libc6 (>= 2.13-28) Provides: ladspa-plugin Homepage: http://bs2b.sourceforge.net/ Priority: extra Section: sound Filename: pool/main/b/bs2b-ladspa/bs2b-ladspa_0.9.1-3_armhf.deb Size: 6700 SHA256: 9a9fab0fae0baece4f55774c3336b0ac8f1a047dded81b38d50926aad9862dc7 SHA1: 0489b1fa642edea6ecafa7e41d0c5d3123e13321 MD5sum: ee8e63f410b383a93e5eb8080c740757 Description: Bauer stereophonic-to-binaural DSP LADSPA plugin The Bauer stereophonic-to-binaural DSP (bs2b) is designed to improve headphone listening of stereo audio records. . Typical stereo recordings are made for listening with speakers. What's missing in headphones is the sound going from each channel to the opposite ear, arriving a short time later for the extra distance traveled, and with a bit of high frequency roll-off for the shadowing effect of the head. The time delay to the far ear should also be somewhat longer at low frequencies than at high frequencies. bs2b implements both of these ideas to transform stereophonic recordings for headphone listening. . This plugin allows the bs2b library to be used with the LADSPA plug-in architecture. Package: bsd-mailx Version: 8.1.2-0.20111106cvs-1+deb7u1 Architecture: armhf Maintainer: Robert Luberda Installed-Size: 161 Depends: base-files (>= 2.2.0), default-mta | mail-transport-agent, libbsd0 (>= 0.2.0), libc6 (>= 2.13-28), liblockfile1 (>= 1.0) Breaks: mailutils (<< 1:1.1+dfsg1-4), mailx (<< 1:20071201) Replaces: mailx (<< 1:20071201) Provides: mail-reader, mailx Priority: standard Section: mail Filename: pool/main/b/bsd-mailx/bsd-mailx_8.1.2-0.20111106cvs-1+deb7u1_armhf.deb Size: 79528 SHA256: e056adcba19b270ea3d1232d1e9b8a689989129782bc937ee9b90439f25bd95f SHA1: 634c354dd8c8571524b53917c5809fb1f4896044 MD5sum: 626decbf987930cca71552b17d17623f Description: simple mail user agent mailx is the traditional command-line-mode mail user agent. Even if you don't use it, it may be required by other programs. Package: bsdcpio Source: libarchive Version: 3.0.4-3+wheezy6+deb7u1 Architecture: armhf Maintainer: Debian Libarchive Maintainers Installed-Size: 63 Depends: libarchive12 (= 3.0.4-3+wheezy6+deb7u1), libacl1 (>= 2.2.51-8), libattr1 (>= 1:2.4.46-8), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblzma5 (>= 5.1.1alpha+20110809), libnettle4, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Suggests: bsdtar Homepage: http://libarchive.github.com/ Priority: optional Section: utils Filename: pool/main/liba/libarchive/bsdcpio_3.0.4-3+wheezy6+deb7u1_armhf.deb Size: 43218 SHA256: df236b76828bcaef1cf552f5bfec45b7aa58adb2b79c37ac6ef4162301a4457f SHA1: fd105aabce1d6474619dc30aca87178d3b6956ab MD5sum: 624b80982ae6a829a9560bb8ad6737a3 Description: Implementation of the 'cpio' program from FreeBSD The bsdcpio program is the default system 'cpio' program used on FreeBSD. bsdcpio uses the libarchive library as a backend which does all of the work for reading and writing archives in various formats. Package: bsdgames Version: 2.17-21 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 2206 Depends: libc6 (>= 2.11), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.4.0), libtinfo5, wamerican | wordlist Priority: optional Section: games Filename: pool/main/b/bsdgames/bsdgames_2.17-21_armhf.deb Size: 1078938 SHA256: 4ec430a4f0b49daab40d55e9b0dfc099796295d2e8596b521860331586a0210c SHA1: b18d1d82cacdfcdb194a35676814b92656d4cc33 MD5sum: f4dc738d80294b7770365ded594dee35 Description: collection of classic textual unix games This is a collection of some of the text-based games and amusements that have been enjoyed for decades on unix systems. . It includes these programs: adventure, arithmetic, atc, backgammon, battlestar, bcd, boggle, caesar, canfield, countmail, cribbage, dab, go-fish, gomoku, hack, hangman, hunt, mille, monop, morse, number, pig, phantasia, pom, ppt, primes, quiz, random, rain, robots, rot13, sail, snake, tetris, trek, wargames, worm, worms, wump, wtf Package: bsdiff Version: 4.3-14+deb7u1 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 31 Depends: libbz2-1.0, libc6 (>= 2.13-28) Provides: bspatch Homepage: http://www.daemonology.net/bsdiff/ Priority: optional Section: utils Filename: pool/main/b/bsdiff/bsdiff_4.3-14+deb7u1_armhf.deb Size: 15982 SHA256: 2086d725412c28364b931ea40ba786a04d9167cdb0ee46a1587ca622848c1428 SHA1: c4e5fb4f32255ff7b082f88cfee9687a1d77f3af MD5sum: c913d88b6a07be590032bcd76bda8d72 Description: generate/apply a patch between two binary files bsdiff and bspatch are tools for building and applying patches to binary files. By using suffix sorting (specifically, Larsson and Sadakane's qsufsort) and taking advantage of how executable files change, bsdiff routinely produces binary patches 50-80% smaller than those produced by Xdelta, and 15% smaller than those produced by .RTPatch (a commercial patch tool). Package: bsdmainutils Version: 9.0.3 Architecture: armhf Maintainer: Debian Bsdmainutils Team Installed-Size: 525 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5, bsdutils (>= 3.0-0), debianutils (>= 1.8) Suggests: cpp, wamerican | wordlist, whois, vacation Breaks: bsdutils (<< 1:2.13-11) Priority: important Section: utils Filename: pool/main/b/bsdmainutils/bsdmainutils_9.0.3_armhf.deb Size: 207346 SHA256: bfb0571ea00a4a0caa1e12d0ec657adf0f4a932b018018bd8be04d530686eca5 SHA1: 0c17788bf12c3ce2ebd1155b9263bc31d2c59870 MD5sum: 789a1840bac10210f7a7b7f61e6f9a22 Description: collection of more utilities from FreeBSD This package contains lots of small programs many people expect to find when they use a BSD-style Unix system. . It provides banner (as printerbanner), calendar, col, colcrt, colrm, column, from (as bsd-from), hexdump (or hd), look, lorder, ncal (or cal), ul, and write (as bsd-write). . This package used to contain whois and vacation, which are now distributed in their own packages. Also here was tsort, which is now in the "coreutils" package. Package: bsdtar Source: libarchive Version: 3.0.4-3+wheezy6+deb7u1 Architecture: armhf Maintainer: Debian Libarchive Maintainers Installed-Size: 81 Depends: libarchive12 (= 3.0.4-3+wheezy6+deb7u1), libacl1 (>= 2.2.51-8), libattr1 (>= 1:2.4.46-8), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblzma5 (>= 5.1.1alpha+20110809), libnettle4, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Suggests: bsdcpio Homepage: http://libarchive.github.com/ Priority: optional Section: utils Filename: pool/main/liba/libarchive/bsdtar_3.0.4-3+wheezy6+deb7u1_armhf.deb Size: 55528 SHA256: f1673aa600b9e2dcc44774c4b7f34f3159e95e21e41a86bad4cf9bb56215a37e SHA1: 7b78cb1d3fe32ba40af2cf7a60f01d99898d41a9 MD5sum: d619728d8542d3d64e7d557e1ea20221 Description: Implementation of the 'tar' program from FreeBSD The bsdtar program is the default system 'tar' program used on FreeBSD. bsdtar uses the libarchive library as a backend which does all of the work for reading and writing archives in various formats. Package: bsdutils Source: util-linux (2.20.1-5.3) Version: 1:2.20.1-5.3 Architecture: armhf Essential: yes Maintainer: LaMont Jones Installed-Size: 185 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Recommends: bsdmainutils Multi-Arch: foreign Homepage: http://userweb.kernel.org/~kzak/util-linux/ Priority: required Section: utils Filename: pool/main/u/util-linux/bsdutils_2.20.1-5.3_armhf.deb Size: 88460 SHA256: 8f37b6497e333e29fd12365bb87270233123c8ad4f1b6487a298484f799b9f8f SHA1: baf69e968993c02eef1bbbcdc4bb185c5ffb0ad8 MD5sum: 9503db3ddfba55a37c082319c7e55719 Description: Basic utilities from 4.4BSD-Lite This package contains the bare minimum number of BSD utilities needed to boot a Debian system: logger, renice, script, scriptreplay, and wall. The remaining standard BSD utilities are provided by bsdmainutils. Package: bse-alsa Source: beast Version: 0.7.4-5 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 92 Depends: libbse-0.7-4 (= 0.7.4-5), libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libmad0 (>= 0.15.1b-3), libogg0 (>= 1.0rc3), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2), zlib1g (>= 1:1.1.4) Enhances: beast Homepage: http://beast.testbit.eu/ Priority: extra Section: sound Filename: pool/main/b/beast/bse-alsa_0.7.4-5_armhf.deb Size: 33644 SHA256: e3e254bd2c593ad210d0856977c96298bac250bd22db6906cda9db0349dcc47a SHA1: 4bd8778c3c02e94eaf299b81ee582d9576ee01be MD5sum: 34a7df6f1b5a94c2c14d65f9cb0ef6f8 Description: ALSA plugin for BEAST BEAST/BSE is a plugin-based graphical system where you can link objects to each other and generate sound. . This package provides a plugin for BEAST that uses ALSA (the Advanced Linux Sound Architecture) to output sound. Package: bsfilter Version: 1:1.0.17-3 Installed-Size: 250 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby1.8 Suggests: ruby-mecab | ruby-kakasi, ruby-bdb | ruby-qdbm Size: 71324 SHA256: 864a5da3076a95df33116aa11e98fb3bb0431d58fdd40e6c31d7ca7444afd8c6 SHA1: 14d5f8cf367003143ff171e0ee0be638f4c919fb MD5sum: 15d05e79d313bfcdff2057c407ece8cf Description: Bayesian spam filter Bsfilter is a spam filter which can distinguish spam mail from other mails. It can read mails by three way: * reads from normal file or stdin. * retrieves and stores from IMAP server. * reads from POP server and passes to POP client. (POP proxy) Bsfilter gives nice support of Japanese. Homepage: http://sourceforge.jp/projects/bsfilter/ Ruby-Version: 1.8 Tag: mail::filters, role::program, works-with::mail Section: mail Priority: optional Filename: pool/main/b/bsfilter/bsfilter_1.0.17-3_all.deb Package: bsh Version: 2.0b4-12+deb7u2 Installed-Size: 352 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java1-runtime-headless | java2-runtime-headless, libjline-java Suggests: libservlet2.5-java, bsh-doc, default-jre | java1-runtime | java2-runtime Size: 270882 SHA256: 5bc6f74d074b02dfbd1d259b32bc0d35a31fa51c2705046784e72963b91b9e0e SHA1: 512aa9e9cf64b15745d26108b2d9d64040624353 MD5sum: 9a2c75f973ec75eecb0b873d2a738b54 Description: Java scripting environment (BeanShell) Version 2 BeanShell is a small, free, embeddable, Java source interpreter with object scripting language features, written in Java. BeanShell executes standard Java statements and expressions, in addition to obvious scripting commands and syntax. BeanShell supports scripted objects as simple method closures like those in Perl and JavaScript(tm). . You can use BeanShell interactively for Java experimentation and debugging or as a simple scripting engine for you applications. In short: BeanShell is a dynamically interpreted Java, plus some useful stuff. Homepage: http://www.beanshell.org/ Enhances: libbsf-java Recommends: bsh-gcj Section: devel Priority: optional Filename: pool/main/b/bsh/bsh_2.0b4-12+deb7u2_all.deb Package: bsh-doc Source: bsh Version: 2.0b4-12+deb7u2 Installed-Size: 3137 Maintainer: Debian Java Maintainers Architecture: all Suggests: bsh Size: 427696 SHA256: e4ca3e73956f7f724bbaa2b6f654061b5526283122d3bfbb71b765ff5c2cafb3 SHA1: 4cab4264ef91341dd14f6bfc52e32823f9636b68 MD5sum: 0a5501f71f1939fa7d35ec49f2d62588 Description: Documentation for bsh BeanShell is a small, free, embeddable, Java source interpreter with object scripting language features, written in Java. BeanShell executes standard Java statements and expressions, in addition to obvious scripting commands and syntax. BeanShell supports scripted objects as simple method closures like those in Perl and JavaScript(tm). . You can use BeanShell interactively for Java experimentation and debugging or as a simple scripting engine for you applications. In short: BeanShell is a dynamically interpreted Java, plus some useful stuff. . The documentation includes the javadoc API for bsh version 2 as well as the faq and manual in html-format. Homepage: http://www.beanshell.org/ Section: doc Priority: optional Filename: pool/main/b/bsh/bsh-doc_2.0b4-12+deb7u2_all.deb Package: bsh-gcj Source: bsh Version: 2.0b4-12+deb7u2 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 1068 Depends: bsh (= 2.0b4-12+deb7u2), libgcj-common (>> 1:4.1.1-13), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcj-bc (>= 4.6.3-1~), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Homepage: http://www.beanshell.org/ Priority: optional Section: libs Filename: pool/main/b/bsh/bsh-gcj_2.0b4-12+deb7u2_armhf.deb Size: 392510 SHA256: 20aa58d811f4007715f97039b47aed8158dc876f6ce45a7835fc375529edae57 SHA1: 4e9341134cae2b6063b339f563e471927b8de7be MD5sum: e288ae37f2174c2ed55cb401352b743d Description: Java scripting environment (BeanShell) Version 2 (native code) BeanShell is a small, free, embeddable, Java source interpreter with object scripting language features, written in Java. BeanShell executes standard Java statements and expressions, in addition to obvious scripting commands and syntax. BeanShell supports scripted objects as simple method closures like those in Perl and JavaScript(tm). . You can use BeanShell interactively for Java experimentation and debugging or as a simple scripting engine for you applications. In short: BeanShell is a dynamically interpreted Java, plus some useful stuff. . This package contains the natively compiled code for use by gij. Package: bsh-src Source: bsh Version: 2.0b4-12+deb7u2 Installed-Size: 848 Maintainer: Debian Java Maintainers Architecture: all Suggests: bsh Size: 836112 SHA256: 6d39ad9ed09aa90104b76ca739ae3125187feae86783f4461afe371af0e93647 SHA1: 3e9adb99d4306a50abc82a4786aad041de849c52 MD5sum: 7b44180e31639d6dc2651a6f8dd51c43 Description: Java scripting environment (BeanShell) Version 2 (source code) BeanShell is a small, free, embeddable, Java source interpreter with object scripting language features, written in Java. BeanShell executes standard Java statements and expressions, in addition to obvious scripting commands and syntax. BeanShell supports scripted objects as simple method closures like those in Perl and JavaScript(tm). . You can use BeanShell interactively for Java experimentation and debugging or as a simple scripting engine for you applications. In short: BeanShell is a dynamically interpreted Java, plus some useful stuff. . This package contains the bsh source code. Homepage: http://www.beanshell.org/ Section: java Priority: optional Filename: pool/main/b/bsh/bsh-src_2.0b4-12+deb7u2_all.deb Package: bsnes Version: 0.088-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 9422 Depends: libao4 (>= 1.1.0), libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libopenal1, libpango1.0-0 (>= 1.14.0), libpulse0 (>= 0.99.1), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxv1 Suggests: laevateinn Homepage: http://byuu.org/bsnes/ Priority: optional Section: games Filename: pool/main/b/bsnes/bsnes_0.088-5_armhf.deb Size: 2694018 SHA256: cd640615282b8c271c3bddaef78c5c3525c0832d37a49e64e0e7b562602a76a4 SHA1: 31423c61bfa7c25e89c252c2c0d24a139cd7d99e MD5sum: c1a9537bd200693cbeaab46b6267bea2 Description: Accurate SNES/SuperFamicom emulator bsnes is an emulator that focuses on accuracy, debugging functionality, and clean code. bsnes does not include speed and game-specific hacks for compatibility, and as a result the minimum system requirements are very high. . There are three profiles that differ in the amount of accuracy and required system resources. Which profile to use can be set with: . # update-alternatives --config bsnes-bin . Although not as polished as the SNES emulation (yet), there is also support for NES, Gameboy, Gameboy Color and Gameboy Advance games. . This package also contains bsnes-purify, a command line tool for preparing ROMs for usage with bsnes. Package: btag Version: 1.1.3-1 Architecture: armhf Maintainer: Fernando Tarlá Cardoso Lemos Installed-Size: 171 Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libtag1c2a (>= 1.5) Homepage: https://github.com/fernandotcl/btag Priority: optional Section: sound Filename: pool/main/b/btag/btag_1.1.3-1_armhf.deb Size: 60442 SHA256: 4c6648e1e671e6f7f078cb353033673acbfed1b2569c94ad0e6a89249702d015 SHA1: 1c9fbc6f7d216039206ee0b7255dda1298e27558 MD5sum: d1e278860933fe1192ae7121031b33da Description: interactive command-line based multimedia tag editor btag is an interactive utility for tagging multimedia files in batches. tries to automate most of the process by stripping away stray whitespace guessing the best capitalization style for the text fields and keeping state on the current album being tagged. . btag can change the capitalization of text fields even when those contain non-ASCII characters, relying on the standard library to perform the appropriate case conversions. It works on directories containing complete albums as well as on individual files. . All tag formats supported by TagLib should be supported by btag. This includes (among others) ID3v1 and ID3v2 tags found in MP3 files, Ogg Vorbis comments, ID3 tags and Vorbis comments in FLAC files. Package: btanks Version: 0.9.8083-4 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 5723 Depends: btanks-data (= 0.9.8083-4), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), liblua5.1-0, libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libsmpeg0, libstdc++6 (>= 4.6), libvorbisfile3 (>= 1.1.2), libx11-6, zlib1g (>= 1:1.1.4) Breaks: btanks-data (<< 0.9.8083-1) Homepage: http://btanks.sourceforge.net Priority: extra Section: games Filename: pool/main/b/btanks/btanks_0.9.8083-4_armhf.deb Size: 1958994 SHA256: 35e2852ea61d01d705d7f72179bed1522968b5efd78e382c550ff96e47a32bb8 SHA1: 7fa9f720a41e2ff8a05c9cac1f100f29061a2982 MD5sum: 2e9943c396bacf217d89376acec63adb Description: fast 2D tank arcade game with multiplayer and split-screen modes Battle Tanks is a funny battle on your desk, where you can choose one of three vehicles and eliminate your enemy using the whole arsenal of weapons. has original cartoon-like graphics and cool music, it is fun and dynamic, it has several network modes for deathmatch and cooperative. Package: btanks-data Source: btanks Version: 0.9.8083-4 Installed-Size: 29440 Maintainer: Debian Games Team Architecture: all Recommends: btanks Size: 26405590 SHA256: bab86ed53ca0ecfe4e6b4cbf0f84c0a7a57633d99a5958989f3929151447595a SHA1: 4fc58bb2f5afb07859263bb84ca011369136a398 MD5sum: 10628989b6e69244f01891c113691e45 Description: fast 2D tank arcade game -- data Battle Tanks is a funny battle on your desk, where you can choose one of three vehicles and eliminate your enemy using the whole arsenal of weapons. has original cartoon-like graphics and cool music, it is fun and dynamic, it has several network modes for deathmatch and cooperative. . This package contains fonts, maps, sounds, music, images and other data for Battle Tanks. Homepage: http://btanks.sourceforge.net Tag: role::app-data Section: games Priority: extra Filename: pool/main/b/btanks/btanks-data_0.9.8083-4_all.deb Package: bti Version: 032-1 Architecture: armhf Maintainer: gregor herrmann Installed-Size: 91 Depends: libc6 (>= 2.13-28), libcurl3-nss (>= 7.23.1), liboauth0 (>= 0.9.1), libpcre3 (>= 8.10), libxml2 (>= 2.7.4) Suggests: bash-completion Homepage: http://gregkh.github.com/bti/ Priority: extra Section: net Filename: pool/main/b/bti/bti_032-1_armhf.deb Size: 29742 SHA256: 0e49492b50380b4b8e9769528f06800f3cbf9c4e1476cfca9cd55b80a360bb02 SHA1: 3000898ff765a2409f893850f05f55ef3f8bb4b6 MD5sum: 85274df1c44aaa1369014772a590029a Description: command line micro-blogging tool bti sends a tweet message to twitter.com, identi.ca, or any other StatusNet instance. . bti provides an easy way to send tweet messages directly from the command line or a script. It reads the message on standard input and uses the account and password settings either from the command line options or from a config file to deliver the message. . Besides sending messages bti also allows one to see one's friends', a group's or a specific user's timeline, the public timeline, and replies to messages. Package: btrfs-tools Version: 0.19+20120328-7.1 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 2384 Depends: e2fslibs (>= 1.42), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgcc1 (>= 1:4.4.0), libuuid1 (>= 2.16), zlib1g (>= 1:1.2.0) Homepage: http://btrfs.wiki.kernel.org/ Priority: optional Section: admin Filename: pool/main/b/btrfs-tools/btrfs-tools_0.19+20120328-7.1_armhf.deb Size: 209806 SHA256: 1815bd5b69a07f5fb65712b1b1ad6ec0362aa9bc66e65eb4a811be3b896f7b2c SHA1: beb06d3410d64c54696f668385ecda378862a99c MD5sum: c0509660c756a4ed118ac86318d5eb53 Description: Checksumming Copy on Write Filesystem utilities Btrfs is a new copy on write filesystem for Linux aimed at implementing advanced features while focusing on fault tolerance, repair and easy administration. . This package contains utilities (mkfs, fsck, btrfsctl) used to work with btrfs and an utility (btrfs-convert) to make a btrfs filesystem from an ext3. Package: btrfs-tools-dbg Source: btrfs-tools Version: 0.19+20120328-7.1 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 3819 Depends: btrfs-tools (= 0.19+20120328-7.1) Homepage: http://btrfs.wiki.kernel.org/ Priority: extra Section: debug Filename: pool/main/b/btrfs-tools/btrfs-tools-dbg_0.19+20120328-7.1_armhf.deb Size: 2956730 SHA256: 7c90c1289fb37079994dc9d0f3fcc8016fc3bc9cb68d63991889b59114f211aa SHA1: 97a961ab0a3c0b7e0daa2e9b3a2cd8091f79c141 MD5sum: 7bbe9a3ebbf0bbdab6dc4b2eb8b38cf1 Description: Checksumming Copy on Write Filesystem utilities (debug) Btrfs is a new copy on write filesystem for Linux aimed at implementing advanced features while focusing on fault tolerance, repair and easy administration. . This package contains the debugging symbols. Package: btscanner Version: 2.1-5.1 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 326 Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5, libxml2 (>= 2.7.4) Homepage: http://www.pentest.co.uk/cgi-bin/viewcat.cgi?cat=downloads§ion=01_bluetooth Priority: optional Section: net Filename: pool/main/b/btscanner/btscanner_2.1-5.1_armhf.deb Size: 115128 SHA256: d47510052eb6628b9598cbdc61004c0e1f65b5b80f09c2ef01dc36f1a2cf1b11 SHA1: 2dd7ff8152f1010c3a09c2264ae33582a3edd948 MD5sum: dc2a69adf9f4560630c850d5b91bc13d Description: ncurses-based scanner for Bluetooth devices btscanner is a tool designed specifically to extract as much information as possible from a Bluetooth device without the requirement to pair. A detailed information screen extracts HCI and SDP information, and maintains an open connection to monitor the RSSI and link quality. btscanner is based on the BlueZ Bluetooth stack, which is included with recent Linux kernels, and the BlueZ toolset. btscanner also contains a complete listing of the IEEE OUI numbers and class lookup tables. Using the information gathered from these sources it is possible to make educated guesses as to the host device type. Package: btyacc Version: 3.0-5 Architecture: armhf Maintainer: Richard Atterer Installed-Size: 183 Depends: libc6 (>= 2.4) Priority: extra Section: devel Filename: pool/main/b/btyacc/btyacc_3.0-5_armhf.deb Size: 95320 SHA256: e734d2c0974b6a494a7529cb14bb44a8b3a52f447c5f3a44525f40434d8d145a SHA1: 67af2dd657e08e0347f5af9abfcd5410f8c45a51 MD5sum: 8908a83ceb43ddc67d825090042fe038 Description: Backtracking parser generator based on byacc btyacc is a hacked version of the original Berkeley "byacc". The main change to byacc is the addition of backtracking code, allowing you to try both alternatives in case of shift-reduce or reduce-reduce conflicts. As long as no backtracking takes place, speed is comparable to bison. . Additional features: - enhanced support for storing of text position information for tokens - closes the potential *yacc/bison memory leak during error recovery - preprocessor directives like %ifdef, %include - C++ friendly . Related packages: bison, byacc, antlr Package: bubblefishymon Source: bfm Version: 0.6.4-5 Architecture: armhf Maintainer: Mika Matsuzaki Installed-Size: 149 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6 Homepage: http://www.jnrowe.ukfsn.org/projects/bfm.html Priority: optional Section: x11 Filename: pool/main/b/bfm/bubblefishymon_0.6.4-5_armhf.deb Size: 35056 SHA256: 2faad3f265c376149e6f91b4882950373639140455ae58fedd7bcfbb6870b63b SHA1: 664c9874e9a8c6a4edbec14b07fe4d7d393544d4 MD5sum: 463dcfebb73662d16f36533a8a4edaa4 Description: system load dockapp with a duck A load monitor dockapp, descended from wmfishtime and bubblemon. Features include fish representing network traffic, bubbles representing CPU usage, and a duck representing a duck. Package: bubbros Version: 1.6-2 Installed-Size: 36588 Maintainer: Debian Games Team Architecture: all Depends: python, python-support (>= 0.90.0), python-pygame (>= 1.5.5) Size: 11825174 SHA256: f4d15a62326124138ffe63eca6a2fd4658d99adfb176b3f233a0ae4c1126f953 SHA1: dffa83a7e9c05870da2deb216355019ee6365068 MD5sum: 3e6cd1d0fbdcd96d327f564977f92549 Description: multiplayer clone of the famous Bubble Bobble game The objective of this game is to obtain points by destroying enemies (capturing them into bubbles and smashing those) and collecting items. It supports up to 10 players and is network-capable. Homepage: http://bub-n-bros.sourceforge.net/ Tag: role::program, use::gameplaying Section: games Priority: optional Filename: pool/main/b/bubbros/bubbros_1.6-2_all.deb Package: bucardo Version: 4.99.5-1 Installed-Size: 837 Maintainer: Nicholas Jefferson Architecture: all Depends: adduser, perl (>= 5.10.0), libdbix-safe-perl, libdbd-pg-perl, libboolean-perl Recommends: postgresql-plperl-8.4 | postgresql-plperl-9.1 Size: 203134 SHA256: 957a85ae6b39bbe44027daa675f736d80e338b932c42a1837abe38b6e8a13eb5 SHA1: 0bf907a7e4774c03b002d807b2386da690d30cf2 MD5sum: 2434c13d1dd09e083421a50af629ee1b Description: asynchronous replication system for PostgreSQL Bucardo is an asynchronous PostgreSQL replication system, allowing for both multi-master and multi-slave operations. . Bucardo uses PL/pgSQL and Pl/PerlU triggers to send notification events to a daemon, which replicates the data modifications, and Bucardo provides both standard and custom conflict resolution methods. Homepage: http://bucardo.org/ Tag: implemented-in::perl, role::program, suite::postgresql, works-with::db Section: database Priority: optional Filename: pool/main/b/bucardo/bucardo_4.99.5-1_all.deb Package: buffer Version: 1.19-11 Architecture: armhf Maintainer: Martin Buck Installed-Size: 68 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Priority: optional Section: utils Filename: pool/main/b/buffer/buffer_1.19-11_armhf.deb Size: 16918 SHA256: 6b22c44e86bd06215493732c3bd5865fea707118cd10917d1db046074b79e091 SHA1: e4912ff6fab5ba433f9581fd397f2f488b6801fb MD5sum: caf57225089e2b2792e788b80da53dd2 Description: Buffering/reblocking program for tape backups, printing, etc. Buffer implements double buffering and can be used to keep backup tapes streaming or printers printing. It can also be used to convert a data stream to a given output blocksize. . Buffer uses shared memory to convert a variable input data rate to a constant output data rate. It is typically used in a pipe between a backup program and the tape device, but there are also other applications like buffering printer data in lpd's input filter. Package: buffy Version: 1.5-1 Architecture: armhf Maintainer: Enrico Zini Installed-Size: 354 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Priority: optional Section: mail Filename: pool/main/b/buffy/buffy_1.5-1_armhf.deb Size: 143982 SHA256: 745d8ce65d3f459c2386eb340c47a9f4784ebe6e952528aa482fcf7130123efd SHA1: 6f47c72e0153be8c7e5820be161a42ac0579cfbc MD5sum: 6606d25bca27f4e0ca125e143bad3db7 Description: Heavy duty browser for mail folders Buffy is a program that displays a compact summary of your mail folders, and allows to invoke a command (usually a mail reader) on them. It is written with the intent of being a handy everyday tool for people handling large volumes of mail. For mutt users, this can be a nice front-end to supplement the simple built-in folder browser when one has many folders to keep track of. . Buffy tries hard to work out of the box: it looks for mail folders in sensible places and comes with reasonable defaults. . The program is functional but still very young, and only Maildir and Mailbox format are supported at the moment. Package: buffycli Version: 0.7-1 Installed-Size: 70 Maintainer: Penny Leach Architecture: all Depends: perl, libtext-formattable-perl, libtie-ixhash-perl, libbuffy-perl (>= 0.10), procps, libfile-which-perl, libproc-simple-perl Suggests: mutt, offlineimap Size: 11204 SHA256: 6d9966b9abedeb5d55965944a2c85fc8f284df2532afaf0dfeaf5f4eb8a5e9aa SHA1: 38bd41d196347fade3ae4809d5682d09bb72a483 MD5sum: 89aa3640faef8af9351d3f8c35645d75 Description: Text mode alternative to Buffy buffycli displays a compact summary of your mail folders in text-mode, and allows you to invoke a command (usually a mail reader) on them. It is written with the intent of being a handy everyday tool for people handling large volumes of mail. For mutt users, this can be a nice front-end to supplement the simple built-in folder browser when one has many folders to keep track of. It tries hard to work out of the box: it looks for mail folders in sensible places and comes with reasonable defaults. . buffycli uses the same backend as Buffy, but it outputs text to the terminal, rather than a GUI window. It is thus suitable to be used over SSH links, and fits nicely with window managers that are primarily used to organise terminals. . Buffycli is functional but still very young, and only Maildir and Mailbox format are supported at the moment. Tag: implemented-in::perl, role::program, works-with::mail Section: mail Priority: optional Filename: pool/main/b/buffycli/buffycli_0.7-1_all.deb Package: bugs-everywhere Version: 0.0.193+bzr.r217-2 Installed-Size: 304 Maintainer: Ben Finney Architecture: all Depends: python, python-support (>= 0.90.0) Recommends: bzr | git-core | mercurial | tla | bazaar | rcs Size: 41632 SHA256: 9dd8014dcb069992d241d9cda71d94b4361a079a66ab5bc81bc3bd6e8206543c SHA1: 899fb11a51ac73aa66ebb963730fd50057dc4a90 MD5sum: 0f51f01a387ae01a1a75b1e3ecd485d2 Description: distributed bug tracking system using VCS storage Bugs Everywhere is a “distributed bug tracker”, designed to complement a distributed version control system (VCS). By using a distributed VCS as a back-end for bug state, it gains several convenient features: . * Bugs and code that live on branches are tracked together. * Users can fully modify bug state while offline. * When a user checks out a project’s source code, she gets the current bug state for free. * A web interface to the bug database becomes just another client that merges with the main repository. . Bugs Everywhere currently supports the following VCS back-ends: . * GNU Arch (tla, baz) * Bazaar (bzr) * git * Mercurial (hg) * GNU RCS Homepage: http://bugseverywhere.org/ Tag: devel::bugtracker, implemented-in::python, role::program, works-with::bugs Section: devel Priority: optional Filename: pool/main/b/bugs-everywhere/bugs-everywhere_0.0.193+bzr.r217-2_all.deb Package: bugsquish Version: 0.0.6-7 Architecture: armhf Maintainer: Christian T. Steigies Installed-Size: 930 Depends: libc6 (>= 2.13-28), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11) Priority: optional Section: games Filename: pool/main/b/bugsquish/bugsquish_0.0.6-7_armhf.deb Size: 644240 SHA256: ec934ef34198641b7153d1c55a1d1b34fd9fab5b17f68f84468098c985f93036 SHA1: 75a66515db627b6e48bb1d79c01b066bf8f6ba3c MD5sum: 3fcd49cb4e697fe8ee1568ea0f6cccdb Description: Bugs are trying to suck blood out of your arm! "Bug Squish" is an action game not unlike light gun arcade games, but played with a mouse. It's loosely based on a MacOS game whose name I can't recall. . Bugs are trying to suck blood out of your arm! Squish them with your fly swatter before you run out of blood. Package: bugz Version: 0.9.3-2 Installed-Size: 162 Maintainer: Rolf Leggewie Architecture: all Provides: python-bugz Depends: python (>= 2.7) | python-argparse, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 27304 SHA256: 6602d586e33856dc746b34c9480f9ca1d803d8464315f2998d3268291b78ef2c SHA1: 5846bdd2309d17bbaacaecf2f72e719af8a31b51 MD5sum: bc55a0503063a561b0af59ea57e837a5 Description: command-line interface to Bugzilla PyBugz is a Python and command line interface to Bugzilla, allowing the user to quickly search, isolate and contribute to projects using the Bugzilla bug tracker. Developers can easily extract attachments and close bugs all from the comfort of the command line. . This package provides both a fully-working CLI application as well as a Python module to be reused by other projects. Homepage: http://www.liquidx.net/pybugz/ Tag: devel::bugtracker, implemented-in::python, role::program, works-with::bugs Section: misc Priority: optional Filename: pool/main/b/bugz/bugz_0.9.3-2_all.deb Package: buici-clock Version: 0.4.9.2 Architecture: armhf Maintainer: Marc Singer Installed-Size: 92 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libx11-6, libxext6 Priority: optional Section: x11 Filename: pool/main/b/buici-clock/buici-clock_0.4.9.2_armhf.deb Size: 29158 SHA256: 5daa8983137448e12ccca8cb4cc3d2a489321c441c4015eb7da06d3689ddd509 SHA1: 1eca29bb339f363f9b75659f77ad8c4f67f9dc2e MD5sum: 77233e6817152692f2bfd1d72af73f37 Description: attractive desktop clock As clocks go, Buici satisfies the basic need of representing the time accurately and attractively. I wrote it when I began to use X as my primary desktop environment and wanted to have a decent looking clock on my desktop. I loathe digital clocks. This release has limited configurability. Package: build-essential Source: build-essential (11.5) Version: 11.5+b1 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 36 Depends: libc6-dev | libc-dev, gcc (>= 4:4.4.3), g++ (>= 4:4.4.3), make, dpkg-dev (>= 1.13.5) Priority: optional Section: devel Filename: pool/main/b/build-essential/build-essential_11.5+b1_armhf.deb Size: 7140 SHA256: 1d56f5577621125ec3f5113be2873c96121b3e67f91ed7ada919df539e73110d SHA1: 8bb0ae63e3abe93dcba8a0a2e8c06cef64a37791 MD5sum: daf378ad5bd42a302af854c891d06298 Description: Informational list of build-essential packages If you do not plan to build Debian packages, you don't need this package. Starting with dpkg (>= 1.14.18) this package is required for building Debian packages. . This package contains an informational list of packages which are considered essential for building Debian packages. This package also depends on the packages on that list, to make it easy to have the build-essential packages installed. . If you have this package installed, you only need to install whatever a package specifies as its build-time dependencies to build the package. Conversely, if you are determining what your package needs to build-depend on, you can always leave out the packages this package depends on. . This package is NOT the definition of what packages are build-essential; the real definition is in the Debian Policy Manual. This package contains merely an informational list, which is all most people need. However, if this package and the manual disagree, the manual is correct. Package: buildbot Version: 0.8.6p1-1 Installed-Size: 8978 Maintainer: Andriy Senkovych Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libjs-sphinxdoc (>= 1.0), python-jinja2 (>= 2.1), python-sqlalchemy, python-migrate, python-twisted-core (>= 2.0), python-twisted-web, python-twisted-words, adduser, dpkg (>= 1.15.4) Recommends: buildbot-slave, python-twisted-mail Suggests: bzr | subversion | cvs | mercurial | darcs | git-core Size: 2370502 SHA256: 7a05d2ded1eb0d45d7c213bc33c7549a2785959e965ddfc367bb1d2e0c02e8e4 SHA1: 3f0675ce985dd6360353f22441e32b4cacb33dea MD5sum: b75cffd6e41030e55ab49438c71ceefb Description: system to automate the compile/test cycle The BuildBot is a system to automate the compile/test cycle required by most software projects to validate code changes. By automatically rebuilding and testing the tree each time something has changed, build problems are pinpointed quickly, before other developers are inconvenienced by the failure. The guilty developer can be identified and harassed without human intervention. . By running the builds on a variety of platforms, developers who do not have the facilities to test their changes everywhere before checkin will at least know shortly afterwards whether they have broken the build or not. Warning counts, lint checks, image size, compile time, and other build parameters can be tracked over time, are more visible, and are therefore easier to improve. Homepage: http://buildbot.net Tag: devel::buildtools, devel::lang:python, devel::testing-qa, implemented-in::python, role::program Section: devel Priority: optional Filename: pool/main/b/buildbot/buildbot_0.8.6p1-1_all.deb Package: buildbot-slave Version: 0.8.6p1-1 Installed-Size: 629 Maintainer: Andriy Senkovych Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-twisted-core (>= 2.2), python-twisted-words, adduser Suggests: buildbot, bzr | subversion | cvs | mercurial | darcs | git-core Breaks: buildbot (<< 0.8) Size: 98570 SHA256: a5f27ca6efb549a21f4a5aa1cda628c83d83c3293ca600aac2a68d6997e1e6a5 SHA1: 9077de364285dbf0eec15e555d8e5bbe0453cde5 MD5sum: b29917f2a450b8b41df487001818830a Description: system to automate the compile/test cycle The BuildBot is a system to automate the compile/test cycle required by most software projects to validate code changes. By automatically rebuilding and testing the tree each time something has changed, build problems are pinpointed quickly, before other developers are inconvenienced by the failure. The guilty developer can be identified and harassed without human intervention. . By running the builds on a variety of platforms, developers who do not have the facilities to test their changes everywhere before checkin will at least know shortly afterwards whether they have broken the build or not. Warning counts, lint checks, image size, compile time, and other build parameters can be tracked over time, are more visible, and are therefore easier to improve. Homepage: http://buildbot.net Tag: devel::buildtools, devel::testing-qa, implemented-in::python, role::program Section: devel Priority: optional Filename: pool/main/b/buildbot-slave/buildbot-slave_0.8.6p1-1_all.deb Package: buildd Source: sbuild Version: 0.63.2-1.1 Installed-Size: 522 Maintainer: Debian buildd-tools Developers Architecture: all Replaces: sbuild, ubuntu-dev-tools (<= 0.83) Depends: perl, libsbuild-perl, adduser, sbuild (>= 0.63.2-1.1), sbuild (<< 0.63.2-1.1.1~), dupload, cron, exim4 | mail-transport-agent, libyaml-tiny-perl Suggests: wanna-build Breaks: ubuntu-dev-tools (<= 0.83) Size: 288762 SHA256: 3631638c931d35751a6422316995cfbaa60b90960be1f1ef20fade799845ee08 SHA1: 538863f29626f9f35fd93ec280d8664e3d7df100 MD5sum: 42ebc1999bd643844bb114aa37a895cd Description: Daemon for automatically building Debian binary packages from Debian sources The sbuild suite of programs (buildd and sbuild) are used to build binary packages from source packages. The wanna-build database tracks packages which require building; buildd schedules work from information it gets from the wanna-build database; sbuild does the actual package building. . buildd is a daemon which will build Debian packages automatically using the wanna-build database to identify which packages need to be built. Note that the wanna-build database is not packaged, and requires installing separately. Tag: devel::buildtools, devel::debian, implemented-in::perl, interface::commandline, interface::daemon, works-with::software:source Section: devel Priority: extra Filename: pool/main/s/sbuild/buildd_0.63.2-1.1_all.deb Package: buildtorrent Version: 0.8-4 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 72 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://claudiusmaximus.goto10.org/cm/torrent.html Priority: optional Section: net Filename: pool/main/b/buildtorrent/buildtorrent_0.8-4_armhf.deb Size: 22278 SHA256: 8c6fb4709f1ff633041e34fc761e60657d45e7b992ca961bbeabb1935aa48b44 SHA1: c3cac004d0183010c8cedc148dc9fbe8a79c1e5a MD5sum: f7fd41da491af2ef9bd9fad06ab50f83 Description: command line torrent creation program buildtorrent is a torrent file creation program. Given an announce url and an input file or directory, buildtorrent generates an output .torrent file that can be used by torrent clients. Package: bum Version: 2.5.2-1 Installed-Size: 520 Maintainer: Fabio Marzocca Architecture: all Depends: menu, sysv-rc, perl, libgtk2-perl (>= 1:1.100-1), libglib-perl (>= 1:1.100-1), liblocale-gettext-perl Conflicts: file-rc Size: 85122 SHA256: 3a3c246404a4e8c28a948aaa068165086983b9f01903f8d80d0702715c141871 SHA1: 0d3fd8bd876f449f880b03e683a3487fb656f422 MD5sum: b5c87c18099340949cbc38ae3401f0b9 Description: graphical runlevel editor Boot-Up Manager is a graphical tool to allow easy configuration of init services in user and system runlevels, as far as changing Start/Stop services priority. Homepage: http://www.marzocca.net/linux/bum.html Tag: admin::boot, admin::configuring, implemented-in::perl, interface::x11, role::program, scope::utility, uitoolkit::gtk, use::configuring, x11::application Section: admin Priority: optional Filename: pool/main/b/bum/bum_2.5.2-1_all.deb Package: bumprace Version: 1.5.4-1 Architecture: armhf Maintainer: Christian T. Steigies Installed-Size: 127 Depends: bumprace-data, libc6 (>= 2.13-28), libjpeg8 (>= 8c), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4) Priority: optional Section: games Filename: pool/main/b/bumprace/bumprace_1.5.4-1_armhf.deb Size: 42762 SHA256: dbab516eaa15bf05bc628610d071550dabbf8cda01a8922255b6c8b84272c97f SHA1: dbd8f6be7be179f6c9271026998423c48bf343cf MD5sum: 3579c99d3089469cac283c933935be93 Description: 1 or 2 players race through a multi-level maze In BumpRacer, 1 player or 2 players (team or competitive) choose among 4 vehicles and race through a multi-level maze. The players must acquire bonuses and avoid traps and enemy fire in a race against the clock. For more info, see the homepage at http://www.linux-games.com/bumprace/ Package: bumprace-data Source: bumprace Version: 1.5.4-1 Installed-Size: 580 Maintainer: Christian T. Steigies Architecture: all Replaces: bumprace (<< 1.4.3-1) Size: 506188 SHA256: ea71026027884825116cac6ca4c85617fa9a4ccb9db37d815ad5b4c59d2c438d SHA1: 112fe6a9fd4372239b57c402f2b0e3fe7b45fab8 MD5sum: b660cf6a399824c9f759a2acedddbd5c Description: data files for bumprace In BumpRacer, 1 player or 2 players (team or competitive) choose among 4 vehicles and race through a multi-level maze. The players must acquire bonuses and avoid traps and enemy fire in a race against the clock. . This package contains sound and image files for bumprace Tag: game::arcade, role::app-data, uitoolkit::sdl, use::gameplaying Section: games Priority: optional Filename: pool/main/b/bumprace/bumprace-data_1.5.4-1_all.deb Package: bundler Version: 1.1.4-6 Installed-Size: 624 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby | ruby-interpreter Recommends: sudo, ruby-dev, build-essential, rubygems-integration, less Size: 145798 SHA256: a3b81318d625e34e3350ff588ebdcfd5502ce71f58c61cdc104499044d91d66f SHA1: 3386321d1e804aecfc04a67a866e2ca3c1b1e96e MD5sum: 15299a5e5a503aafed859077473eaa49 Description: Manage Ruby application dependencies Bundler manages a Ruby application's dependencies through its entire life, across many machines, systematically and repeatably. . Bundler is most useful for developers of applications. Homepage: http://gembundler.com Ruby-Versions: ruby1.8 ruby1.9.1 Section: ruby Priority: optional Filename: pool/main/b/bundler/bundler_1.1.4-6_all.deb Package: bup Version: 0.25~git2011.11.04-5.1 Architecture: armhf Maintainer: Jon Dowland Installed-Size: 502 Depends: libc6 (>= 2.13-28), python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), git (>= 1:1.7.0.4-2) | git-core, python-fuse, python-tornado (>= 1.0.1-1) Recommends: par2 Homepage: http://github.com/apenwarr/bup Priority: extra Section: admin Filename: pool/main/b/bup/bup_0.25~git2011.11.04-5.1_armhf.deb Size: 160130 SHA256: 08d6a91dc1e260a9fd99f4dea634248c7d9aa4dda8ed3814c35f556c2f78682f SHA1: 005fc9403ba8da9487761bea5904f4bbdaf7e190 MD5sum: e76febb2e9c9a776998df68195abb0e6 Description: highly efficient file backup system based on git bup is a backup tool which stores the backups in a system based around the packfile format from git. Package: burgerspace Version: 1.9.0-4 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 604 Depends: libc6 (>= 2.13-28), libflatzebra-0.1-2, libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Homepage: http://sarrazip.com/dev/burgerspace.html Priority: extra Section: games Filename: pool/main/b/burgerspace/burgerspace_1.9.0-4_armhf.deb Size: 222498 SHA256: c3ba0d7117b750bfb9a2db6b7eda8434b4492fb51820b8f24fc8f9d387071acf SHA1: 034f6c8476dc5efcc8744c6e3a5c26a52ecd1b3b MD5sum: 4a622cccd9a26dd4839b561443b9fd61 Description: Avoid evil foodstuffs and make burgers This is a clone of the classic game "BurgerTime". In it, you play the part of a chef who must create burgers by stepping repeatedly on the ingredients until they fall into place. And to make things more complicated, you also must avoid evil animate food items while performing this task, with nothing but your trusty pepper shaker to protect you. Package: burn Version: 0.4.6-2 Installed-Size: 364 Maintainer: Ben Finney Architecture: all Depends: python (>= 2.6.6-7~), wodim, genisoimage, cdrdao, python-pyvorbis, python-eyed3, python-pyao, python-pymad, mpg321 (>= 0.2.10.3), python2.6 | python2.7, python (<< 2.8), python-pkg-resources, python-docutils Size: 55742 SHA256: 2b3af4512a7e3561c5ddd87b92432fd5a896da515c95d3be2dda594fabba974a SHA1: 763d4513c84afffb2c3889d74037207b23e98104 MD5sum: b64bf66243f2ca6d10b281e287f5dc18 Description: command-line tool for writing optical media ‘burn’ is a command-line tool to create audio discs from MP3, Ogg Vorbis, or WAV files, to backup data files, to create discs from ISO-9660 images, and to copy discs on-the-fly. It performs any of its functions in a single command, without requiring preparatory filesystem creation, etc. . The program can compute if there is necessary free space for temporary files (images and audio files), warn if size is bigger than disc capacity, and manage multisession discs. Homepage: http://www.bigpaul.org/burn/ Tag: hardware::storage, hardware::storage:cd, interface::commandline, role::program, scope::utility, use::storing, works-with-format::iso9660, works-with-format::mp3, works-with-format::oggvorbis, works-with-format::wav, works-with::archive, works-with::audio Section: otherosfs Priority: optional Filename: pool/main/b/burn/burn_0.4.6-2_all.deb Package: burp Version: 1.3.8-1 Architecture: armhf Maintainer: Bastiaan Franciscus van den Dikkenberg Installed-Size: 436 Depends: libacl1 (>= 2.2.51-8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), librsync1 (>= 0.9.6), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.1.1), libtinfo5, zlib1g (>= 1:1.2.3.3) Homepage: http://burp.grke.net/ Priority: extra Section: utils Filename: pool/main/b/burp/burp_1.3.8-1_armhf.deb Size: 164298 SHA256: bd91fddd5641c40280300392f291cc190cfc4aa8cdb97d2eb2f2f3f905a013b9 SHA1: e060a10f24003465b7f03e81952895e0f0f45e31 MD5sum: 9ed470344b25a3fb263201937b4f8a79 Description: Simple cross-platform network BackUp and Restore Program Burp is a client/server backup system that saves space and network usage by using librsync, and uses the Volume Snapshot Service when backing up Windows clients. Package: burp-dbg Source: burp Version: 1.3.8-1 Architecture: armhf Maintainer: Bastiaan Franciscus van den Dikkenberg Installed-Size: 720 Depends: burp (= 1.3.8-1) Homepage: http://burp.grke.net/ Priority: extra Section: debug Filename: pool/main/b/burp/burp-dbg_1.3.8-1_armhf.deb Size: 273198 SHA256: 334d8da8e5fbf532fde57a13eb0e851b145e51d0cfaf8351d403a676fd7fc898 SHA1: 7ce1078cab42c7df602ae6df99d43c0b8d7dba56 MD5sum: 0b2a423bac14c2713c4c07fcd0fdb79f Description: debugging symbols for Burp Burp is a client/server backup system that saves space and network usage by using librsync, and uses the Volume Snapshot Service when backing up Windows clients. . This package contains debugging symbols for burp. Package: bustle Version: 0.2.5-1 Architecture: armhf Maintainer: Chris Lamb Installed-Size: 51851 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libdbus-1-3 (>= 1.0.2), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libgmp10, libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.18.0), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Suggests: graphviz Homepage: http://willthompson.co.uk/bustle/ Priority: optional Section: devel Filename: pool/main/b/bustle/bustle_0.2.5-1_armhf.deb Size: 8030038 SHA256: 925de7aa4696ca80a092d93ec7cbe337dfa889c92d585fa1a547eb838c6f0868 SHA1: ea52f3e039da4a27be25e1364325152db7219476 MD5sum: 2f67c19f2e5e9311685051518217dd7e Description: D-Bus activity visualiser Bustle is a tool to chart and provide timing information of D-Bus calls for profiling and debugging purposes. It is intended to replace reading the cryptic output of dbus-monitor. . Calls are displayed using Message Sequence Charts, a succinct way of representing entities and interactions over time. It can also output data in Graphviz format. Package: busybox Version: 1:1.20.0-7 Architecture: armhf Maintainer: Debian Install System Team Installed-Size: 740 Depends: libc6 (>= 2.13-28) Conflicts: busybox-static Replaces: busybox-static Homepage: http://www.busybox.net Priority: optional Section: utils Filename: pool/main/b/busybox/busybox_1.20.0-7_armhf.deb Size: 438318 SHA256: 5ee1f6b486f3c1553c57d69a63dbeb89838ce81b6d4a9554166523ff360abe69 SHA1: 906b5f99196baed792bae35be6511ed9b881b5dd MD5sum: 5f2e166436a86b11bb9df7ffbdc9fa7c Description: Tiny utilities for small and embedded systems BusyBox combines tiny versions of many common UNIX utilities into a single small executable. It provides minimalist replacements for the most common utilities you would usually find on your desktop system (i.e., ls, cp, mv, mount, tar, etc.). The utilities in BusyBox generally have fewer options than their full-featured GNU cousins; however, the options that are included provide the expected functionality and behave very much like their GNU counterparts. . This package installs the BusyBox binary but does not install symlinks for any of the supported utilities. Some of the utilities can be used in the system by installing the busybox-syslogd, busybox-udhcpc or busybox-udhcpd packages. Package: busybox-static Source: busybox Version: 1:1.20.0-7 Architecture: armhf Maintainer: Debian Install System Team Installed-Size: 1554 Conflicts: busybox Replaces: busybox Homepage: http://www.busybox.net Priority: extra Section: shells Filename: pool/main/b/busybox/busybox-static_1.20.0-7_armhf.deb Size: 863708 SHA256: 1d8b520a0614c8c40d68262a9c8376f9c9b8c1db93219d30e923934d6b801a28 SHA1: 131fdf98a125ae8cff6c6fe550e797f410166d3a MD5sum: d7b401eac4c68b5aa3ef08d21e61a6f1 Description: Standalone rescue shell with tons of builtin utilities BusyBox combines tiny versions of many common UNIX utilities into a single small executable. It provides minimalist replacements for the most common utilities you would usually find on your desktop system (i.e., ls, cp, mv, mount, tar, etc.). The utilities in BusyBox generally have fewer options than their full-featured GNU cousins; however, the options that are included provide the expected functionality and behave very much like their GNU counterparts. . busybox-static provides you with a statically linked simple stand alone shell that provides all the utilities available in BusyBox. This package is intended to be used as a rescue shell, in the event that you screw up your system. Invoke "busybox sh" and you have a standalone shell ready to save your system from certain destruction. Invoke "busybox", and it will list the available builtin commands. Package: busybox-syslogd Source: busybox Version: 1:1.20.0-7 Installed-Size: 29 Maintainer: Debian Install System Team Architecture: all Replaces: inetutils-syslogd, klogd, sysklogd Provides: klogd, linux-kernel-log-daemon, syslogd, system-log-daemon Depends: busybox (>> 1:1.20.0) | busybox-static (>> 1:1.20.0) Conflicts: klogd, linux-kernel-log-daemon, sysklogd, syslogd, system-log-daemon Size: 20604 SHA256: 6a334128601674c55b429c6ae3e64ec804b8979107465788a168d34d183f413a SHA1: fb7708e45ba80f94ab6a731446dd160ca81e4acf MD5sum: e2acb96e4b4e5aa16213384403aa9dd8 Description: Provides syslogd and klogd using busybox The system log daemon is responsible for providing logging of messages received from programs and facilities on the local host as well as from remote hosts. . The kernel log daemon listens to kernel message sources and is responsible for prioritizing and processing operating system messages. . The busybox implementation of the syslogd is particular useful on embedded, diskless (netboot) or flash disk based systems because it can use a fixed size ring buffer for logging instead of saving logs to the disk or sending it to remote logging servers. The ring buffer can be read using the (also busybox based) command logread. . This package provides the glue to the busybox syslogd and klogd to be used in the system by providing the appropriate symbolic links and scripts. Homepage: http://www.busybox.net Tag: admin::logging, implemented-in::c, interface::daemon, role::program Section: utils Priority: optional Filename: pool/main/b/busybox/busybox-syslogd_1.20.0-7_all.deb Package: buthead Version: 1.1-2 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 44 Depends: libc6 (>= 2.13-28) Priority: extra Section: text Filename: pool/main/b/buthead/buthead_1.1-2_armhf.deb Size: 5146 SHA256: c4c5f648453111b8de33eb47d2654371dfd6b369427f8d8a9c450904a1fa815a SHA1: 21847f820b66eecb8b6a45ca1ecf208811613e92 MD5sum: 01486a4e09cae1e461f49a9b6303127b Description: copy all but the first few lines Program to copy all but the first N lines of stdin to stdout. Package: buxon Version: 0.0.5-3 Installed-Size: 272 Maintainer: Nacho Barrientos Arias Architecture: all Depends: python (>= 2.6.6-7~), python-rdflib, python-glade2 Size: 20100 SHA256: 457641e5d6cbe9668c7f78bae8aade6b41f084efbea84458c2645ddf58182691 SHA1: a74fd1f16eee32fc43b90e7140019cc186c1266a MD5sum: 097cd4f9afa004b71e42c218e85d1f0d Description: SIOC forums browser Buxon, which was created as a part of the SWAML (Semantic Web Archive of Mailing Lists) project, provides a graphical user interface which helps you to browse SIOC forums. . This GUI only needs a URL to an RDF file storing SIOC forums information to start browsing and searching into it. Homepage: http://swaml.berlios.de Tag: implemented-in::python, interface::x11, role::program, scope::utility, uitoolkit::gtk, use::browsing, works-with-format::xml, works-with::file Section: web Priority: extra Filename: pool/main/b/buxon/buxon_0.0.5-3_all.deb Package: buzztard Version: 0.5.0-4 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 649 Depends: gconf-service, libart-2.0-2 (>= 2.3.17), libatk1.0-0 (>= 1.12.4), libbml0 (>= 0.6.0), libbuzztard0 (= 0.5.0-4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnomecanvas2-0 (>= 2.11.1), libgnomevfs2-0 (>= 1:2.17.90), libgsf-1-114 (>= 1.14.8), libgstbuzztard0 (>= 0.5.0), libgstreamer-plugins-base0.10-0 (>= 0.10.20), libgstreamer0.10-0 (>= 0.10.20), libgtk2.0-0 (>= 2.14.0), libhal1 (>= 0.5.8.1), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27), buzztard-data (= 0.5.0-4), gstreamer0.10-buzztard (>= 0.5.0) Suggests: buzztard-bsl Replaces: buzztard-data (<< 0.5.0-2) Homepage: http://www.buzztard.org Priority: optional Section: sound Filename: pool/main/b/buzztard/buzztard_0.5.0-4_armhf.deb Size: 289900 SHA256: fe1078bc8d1b28a05539f154ed88e1f75c24ee3dd5ff3ae948acd7393afaefb9 SHA1: 9eb584e0397bd333c7925d97f64a4b44f8121447 MD5sum: 866ac987797216db227dc05f130360ea Description: Modular music composer The Buzztard project aims to provide a modular, free, open source music studio that is conceptually based on the proprietary Windows software called Buzz. The Buzztard project itself has no direct link to Buzz apart from its concepts that we build upon and is no 1:1 Buzz copy. To allow migration for Buzz users, Buzztard provides song-file import and buzz-machine reuse. Package: buzztard-bsl Source: bsl Version: 0.5.0-2.1 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 124 Depends: buzztard (>= 0.5.0), gconf-service, libbuzztard0 (>= 0.5.0), libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.24.0), libgnomevfs2-0 (>= 1:2.17.90), libgstbuzztard0 (>= 0.5.0), libgstreamer0.10-0 (>= 0.10.20), libxml2 (>= 2.6.27) Homepage: http://www.buzztard.org Priority: optional Section: sound Filename: pool/main/b/bsl/buzztard-bsl_0.5.0-2.1_armhf.deb Size: 31818 SHA256: 1f61d98a5e4e9ced2b95c8e7603e529d9c001e1715b30a4bbe618275ccf68248 SHA1: 43bedfe912c59a751b0654f02066436cb654bdad MD5sum: cf454fe1681c57bde5c129ca261e931b Description: Buzztard - Buzz Song Loader Plugin The Buzztard project aims to provide a modular, free, open source music studio that is conceptually based on the proprietary Windows software called Buzz. The Buzztard project itself has no direct link to Buzz apart from its concepts that we build upon and is no 1:1 Buzz copy. To allow migration for Buzz users, Buzztard provides song-file import and buzz-machine reuse. . bsl is a plugin for Buzztard which enables the loading of Jeskola Buzz song files. Package: buzztard-data Source: buzztard Version: 0.5.0-4 Installed-Size: 1576 Maintainer: Maintainers of GStreamer packages Architecture: all Depends: gconf2 (>= 2.28.1-2) Recommends: buzztard Size: 753528 SHA256: 15c6b82c2b0691b07be1111601a312d7fd5d5265e736e897d2028ee5e0746660 SHA1: 5490334c845b0cf21ec8074d61eec3179b88eef6 MD5sum: 4e1f7886679cf3ee073d82511ea712c2 Description: Modular music composer - shared data files The Buzztard project aims to provide a modular, free, open source music studio that is conceptually based on the proprietary Windows software called Buzz. The Buzztard project itself has no direct link to Buzz apart from its concepts that we build upon and is no 1:1 Buzz copy. To allow migration for Buzz users, Buzztard provides song-file import and buzz-machine reuse. . This package contains the icons, localization and other data required by Buzztard. Homepage: http://www.buzztard.org Tag: role::app-data Section: sound Priority: optional Filename: pool/main/b/buzztard/buzztard-data_0.5.0-4_all.deb Package: bvi Version: 1.3.2-2 Architecture: armhf Maintainer: Sam Hocevar (Debian packages) Installed-Size: 298 Depends: libc6 (>= 2.7), libncurses5 (>= 5.5-5~), libtinfo5 Priority: optional Section: editors Filename: pool/main/b/bvi/bvi_1.3.2-2_armhf.deb Size: 102360 SHA256: 9a5bd453cbf297170d167133e8fec18f272376e1bab7cb8cd8e48ea91a385c6c SHA1: 7c68e37184d0a8fcc3aae2ec30a5e5435d1ba65e MD5sum: 244e8b0037a926e839981c27b98e24fb Description: binary file editor The bvi is a display-oriented editor for binary files, based on the vi text editor. If you are familiar with vi, just start the editor and begin to edit! If you never heard about vi, maybe bvi is not the best choice for you. Package: bwa Version: 0.6.2-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 308 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4) Suggests: samtools Homepage: http://bio-bwa.sourceforge.net/ Priority: optional Section: science Filename: pool/main/b/bwa/bwa_0.6.2-1_armhf.deb Size: 163982 SHA256: 0671588e94e99d4074f81c996ef4f030bbe9726b90d4a4fea587c097d84ffc7d SHA1: 1a6bd0718a97ef7e1a9df5dd7879f7f62a081b25 MD5sum: 4a8f6b24b0c7bd3aa38ff60756b0ea74 Description: Burrows-Wheeler Aligner Burrows-Wheeler Aligner (BWA) is a program that aligns relatively short nucleotide sequences against a long reference sequence such as the human genome. It implements two algorithms, bwa-short and BWA-SW. The former works for query sequences shorter than 200 bp and the latter for longer sequences up to around 100 kbp. Both algorithms do gapped alignment. They are usually more accurate and faster on queries with low error rates. Package: bwbar Version: 1.2.3-2 Architecture: armhf Maintainer: Julien Danjou Installed-Size: 70 Depends: libc6 (>= 2.4), libpng12-0 (>= 1.2.13-4) Priority: optional Section: net Filename: pool/main/b/bwbar/bwbar_1.2.3-2_armhf.deb Size: 11650 SHA256: 625a441e728004ef83411dfb61764584494526e682fc98a2078999cc98dd6a4f SHA1: 9444ccf2801023c6391ebbc2a5124aa180bd6936 MD5sum: 7442b0844bd9b4dbdf820aa784efdefe Description: generates text and graphical readout of current bandwidth use This program will output a PNG and a text file that can be used in scripts or be included in web pages to show current bandwidth usage. The amount of total bandwidth can be customized. The PNG output appears as a bar graph showing maximum possible usage with the current inbound or outbound usage shown as a differently colored bar. Package: bwbasic Version: 2.20pl2-11 Architecture: armhf Maintainer: Vince Mulhollon Installed-Size: 269 Depends: libc6 (>= 2.4) Homepage: http://sourceforge.net/projects/bwbasic/ Priority: optional Section: interpreters Filename: pool/main/b/bwbasic/bwbasic_2.20pl2-11_armhf.deb Size: 91572 SHA256: 615a30fc6c843116b2d306ca14becb47cae96ff6e21e12f0f9850f8927bbfff3 SHA1: 7ad9db85c31590d382c3807616f3b63b9706c162 MD5sum: 01be2fe752fc6a01b46ad711ccc0f73c Description: Bywater BASIC Interpreter The Bywater BASIC Interpreter (bwBASIC) implements a large superset of the ANSI Standard for Minimal BASIC (X3.60-1978) and a significant subset of the ANSI Standard for Full BASIC (X3.113-1987) in C. It also offers shell programming facilities as an extension of BASIC. bwBASIC seeks to be as portable as possible. Package: bwidget Version: 1.9.5-1 Installed-Size: 1572 Maintainer: Debian Tcl/Tk Packagers Architecture: all Depends: tk (>= 8.5.0-1) | wish Size: 239904 SHA256: eb7638b90167eeab8d21c1e85369aa6f4af725bfc3e3f234bd3759560ec20a42 SHA1: 271d970280f50ba94f119ebf4f5de3cb2ddbf3c2 MD5sum: 0318a2343cbad98d28c5220234cb7ffa Description: Extension widgets for Tcl/Tk The BWidget toolkit is a high-level widget set for Tcl/Tk. It contains widgets such as progress bars, 3D separators, various manager widgets for top levels, frames, paned or scrolled windows, button boxes, notebooks or dialogs as well as composite widgets such as combo boxes, spin boxes and tree widgets. . The BWidget toolkit is completely written in Tcl so no compiled extension library is required. Homepage: http://sourceforge.net/projects/tcllib/ Tag: devel::lang:tcl, devel::library, interface::x11, role::app-data, uitoolkit::tk, x11::application Section: libs Priority: optional Filename: pool/main/b/bwidget/bwidget_1.9.5-1_all.deb Package: bwm-ng Version: 0.6-3.1 Architecture: armhf Maintainer: Damián Viano Installed-Size: 105 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libstatgrab6, libtinfo5 Homepage: http://www.gropp.org/?id=projects&sub=bwm-ng Priority: optional Section: net Filename: pool/main/b/bwm-ng/bwm-ng_0.6-3.1_armhf.deb Size: 40550 SHA256: dfd5e41f43b0245705b25c4a62b4116d6d270f94ad4830f0d7d1d1dcb452161d SHA1: b2be7067c3cefc5bdbe456031dfbcbfe0e5ebe36 MD5sum: 2b23cb687a42abb7eb920a6e1c38b5b0 Description: small and simple console-based bandwidth monitor Bandwidth Monitor NG is a small and simple console-based live bandwidth monitor. . Short list of features: * supports /proc/net/dev, netstat, getifaddr, sysctl, kstat and libstatgrab * unlimited number of interfaces supported * interfaces are added or removed dynamically from list * white-/blacklist of interfaces * output of KB/s, Kb/s, packets, errors, average, max and total sum * output in curses, plain console, CSV or HTML * configfile Package: bximage Source: bochs Version: 2.4.6-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 137 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Homepage: http://bochs.sourceforge.net/ Priority: extra Section: misc Filename: pool/main/b/bochs/bximage_2.4.6-5_armhf.deb Size: 90082 SHA256: 2122061ef0b7a18a8211fecf02893fc65d547e646a89c28d0bf07bc7db5f106f SHA1: 529219a48fc5da01bd77b3335c16ca00abad29d1 MD5sum: 7cb9e8526840aadd6e745cd324260d25 Description: Disk Image Creation Tool for Bochs This tool is part of the Bochs project. Its purpose is to generate disk images that are used to allocate the guest operating system in Bochs environment. Package: byacc Version: 20120115-1 Architecture: armhf Maintainer: Dave Beckett Installed-Size: 136 Depends: libc6 (>= 2.4) Homepage: http://invisible-island.net/byacc/ Priority: extra Section: devel Filename: pool/main/b/byacc/byacc_20120115-1_armhf.deb Size: 61104 SHA256: aca1a9e69f4c059e11208a879b774ef991c69f3d90caf3a2bc99e5df75ae2347 SHA1: 6b88300a6af44012a6327e1127316a0f1b963e91 MD5sum: db2dc4622f3b31fb8a4d4e1be69ddc97 Description: public domain Berkeley LALR Yacc parser generator This package provides a parser generator utility that reads a grammar specification from a file and generates an LR(1) parser for it. The parsers consist of a set of LALR(1) parsing tables and a driver routine written in the C programming language. It has a public domain license which includes the generated C. Package: byacc-j Version: 1.15-1 Architecture: armhf Maintainer: Ludovic Claude Installed-Size: 148 Depends: libc6 (>= 2.13-28) Homepage: http://byaccj.sourceforge.net/ Priority: extra Section: devel Filename: pool/main/b/byacc-j/byacc-j_1.15-1_armhf.deb Size: 55278 SHA256: a75109466a9bbe0ba9695f1fe2c8e5149d787ee3e73d9463ef531e36c24367a9 SHA1: 970763aefe56fd62c772e82baf4b61865a1568a7 MD5sum: 415306dd849f74ad18aeab02ce236b61 Description: Berkeley YACC parser generator extended to generate Java code BYACC/J is an extension of the Berkeley v 1.8 YACC-compatible parser generator. . Standard YACC takes a YACC source file, and generates one or more C files from it, which if compiled properly, will produce a LALR-grammar parser. This is useful for expression parsing, interactive command parsing, and file reading. Many megabytes of YACC code have been written over the years. . This is the standard YACC tool that is in use every day to produce C/C++ parsers. . I have added a "-J" flag which will cause BYACC to generate Java source code, instead. So there finally is a YACC for Java now! Package: bygfoot Version: 2.3.2-1 Architecture: armhf Maintainer: Elías Alejandro Año Mendoza Installed-Size: 687 Depends: bygfoot-data (= 2.3.2-1), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), zip Homepage: http://bygfoot.sourceforge.net/ Priority: optional Section: games Filename: pool/main/b/bygfoot/bygfoot_2.3.2-1_armhf.deb Size: 312972 SHA256: bfd32e720ffb6861f88408bd95edf1912e19607f55b8c108c8491786ec73d6e1 SHA1: 196e83d70d8057d07712efb7a0f2601caf26a617 MD5sum: 95f420552cf0e601f63e6dbc4d8350c6 Description: football (a.k.a soccer) management game Bygfoot allows you to manage a team by training the players, buying and selling them, contracting loans, maintaining the stadium, etc. You can be promoted or relegated, even become a champion if you're a skillful manager. You can customise Bygfoot by writting your own country definition files or by creating your own team definition files. Package: bygfoot-data Source: bygfoot Version: 2.3.2-1 Installed-Size: 9336 Maintainer: Elías Alejandro Año Mendoza Architecture: all Replaces: bygfoot (<= 2.3.1) Conflicts: bygfoot (<= 2.3.1) Size: 1503290 SHA256: ca7d5f5b852775696b006366d39f3a285ee02ae3340b307d743545907468d524 SHA1: d87b109eaa2bcf0dd65056c48cfe4a0c92ae3fee MD5sum: ae15fc84c2ddf40a7169264089e59263 Description: data of football (a.k.a soccer) management game Contain files necessary to work with bygfoot: images,hints,commentaries,players names,strategies. You can customise Bygfoot by writting your own country definition files or by creating your own team definition files. Homepage: http://bygfoot.sourceforge.net/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/b/bygfoot/bygfoot-data_2.3.2-1_all.deb Package: byobu Version: 5.16-1.1 Installed-Size: 423 Maintainer: Alexander Chernyakhovsky Architecture: all Replaces: byobu-extras (<< 2.17), screen-profiles (<< 2.0), screen-profiles-extras (<< 2.0) Provides: byobu-extras, screen-profiles, screen-profiles-extras Depends: debconf (>= 0.5) | debconf-2.0, gettext-base, python, python-newt (>= 0.52.2-11), tmux (>= 1.5) | screen Recommends: screen, tmux (>= 1.5) Suggests: apport, lsb-release, po-debconf, run-one, ttf-ubuntu-font-family (>= 0.80-0ubuntu1~medium), update-notifier-common, vim, w3m Enhances: screen Breaks: byobu-extras (<< 2.17), screen-profiles (<< 2.0), screen-profiles-extras (<< 2.0) Size: 135706 SHA256: 6a80bce929bf4760e133e9373b1e05656ce67cf42c37ca75a40c4c805f1f6ad0 SHA1: 0a9a438d9b9ddada1730faf6fd8eb0ecd11d90f4 MD5sum: c6c121d1265a8189f649473cebbb93b2 Description: powerful command line "window manager" and shell multiplexer Byobu is Ubuntu's session-managing wrapper around tmux or GNU Screen. Using Byobu, you can quickly create and move between different windows over a single SSH connection or TTY terminal, monitor dozens of important statistics about your system, or detach and reattach to sessions later while your programs continue to run in the background. Homepage: http://launchpad.net/byobu Tag: role::app-data Section: misc Priority: optional Filename: pool/main/b/byobu/byobu_5.16-1.1_all.deb Package: byzanz Version: 0.2.2+git22.10.2011-1.3 Architecture: armhf Maintainer: Bastian Venthur Installed-Size: 769 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.23), libgstreamer0.10-0 (>= 0.10.15), libgtk-3-0 (>= 3.0.0), libpanel-applet-4-0 (>= 3.2.1), libpango1.0-0 (>= 1.14.0), libx11-6, libxdamage1 (>= 1:1.1), libxfixes3, libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2) Homepage: http://people.freedesktop.org/~company/byzanz Priority: optional Section: graphics Filename: pool/main/b/byzanz/byzanz_0.2.2+git22.10.2011-1.3_armhf.deb Size: 165552 SHA256: d691ca582ba6ce935b0801522b0b87e893a4ae89fccb216c558737a9952dc68e SHA1: 799e1a1f4fe1a5c832dcc24ad6d3f8f9efd17bc0 MD5sum: 00d5ce3c64e9e156c8f3dd1d65923a1a Description: Small screencast creator Byzanz is an applet allowing you to record your current desktop or parts of it to an animated GIF, Ogg Theora or Flash. This is especially useful for publishing on the web. . Byzanz also allows recording of audio, when the output format supports it. Package: bzflag Version: 2.0.16.20100405+nmu1 Installed-Size: 154 Maintainer: Tim Riker Architecture: all Depends: bzflag-client, bzflag-server Size: 59574 SHA256: ba1122dc340429fbd055b476bb74f9855f3256b32d9e9a718ccf1f15aa600361 SHA1: 02532674bfa5e985a02b8c336eb24a1c9a190f95 MD5sum: 2ba480765bbf397efe97c95ef054131c Description: a 3D first person tank battle game BZFlag is a 3D multi-player multiplatform tank battle game that allows users to play against each other in a network environment. There are five teams: red, green, blue, purple and rogue (rogue tanks are black). Destroying a player on another team scores a win, while being destroyed or destroying a teammate scores a loss. Rogues have no teammates (not even other rogues), so they cannot shoot teammates and they do not have a team score. . There are two main styles of play: capture-the-flag and free-for-all. In capture-the-flag, each team (except rogues) has a team base and each team with at least one player has a team flag. The object is to capture an enemy team's flag by bringing it to your team's base. This destroys every player on the captured team, subtracts one from that team's score, and adds one to your team's score. In free-for-all, there are no team flags or team bases. The object is simply to get as high a score as possible. . This metapackage installs the client and server. Tag: game::arcade, interface::3d, junior::games-gl, network::client, role::program, uitoolkit::ncurses, uitoolkit::sdl, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/b/bzflag/bzflag_2.0.16.20100405+nmu1_all.deb Package: bzflag-client Source: bzflag Version: 2.0.16.20100405+nmu1 Architecture: armhf Maintainer: Tim Riker Installed-Size: 1754 Depends: bzflag-data, libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libx11-6, libxext6, zlib1g (>= 1:1.1.4) Replaces: bzflag (<< 2.0.13.20080902-1) Priority: optional Section: games Filename: pool/main/b/bzflag/bzflag-client_2.0.16.20100405+nmu1_armhf.deb Size: 860968 SHA256: 7dec47cb9b6f7fe596396d5261bf5401204a674147e9f6e63d39f2572a3fb23a SHA1: 6968ebfc2a8ad479f5bb13176967cc4ac01e0d37 MD5sum: c49effda8d697af662a93f84190f8bfb Description: BZFlag client BZFlag client application. Package: bzflag-data Source: bzflag Version: 2.0.16.20100405+nmu1 Installed-Size: 10881 Maintainer: Tim Riker Architecture: all Replaces: bzflag (<< 2.0.13.20080902-1) Size: 9595618 SHA256: ba55521fa6204f57a805707cf243e88c843cc0747887aec14da09c742fd18bb6 SHA1: 4e1337d5f36e5f8922659ad869ab93112fbce9a0 MD5sum: e5511c7df1ca9071b10b357d81edb61b Description: BZFlag data file Data files needed by BZFlag client. Arch independant. Tag: role::app-data Section: games Priority: optional Filename: pool/main/b/bzflag/bzflag-data_2.0.16.20100405+nmu1_all.deb Package: bzflag-server Source: bzflag Version: 2.0.16.20100405+nmu1 Architecture: armhf Maintainer: Tim Riker Installed-Size: 1665 Depends: libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.6), libtinfo5, zlib1g (>= 1:1.1.4) Priority: optional Section: games Filename: pool/main/b/bzflag/bzflag-server_2.0.16.20100405+nmu1_armhf.deb Size: 766242 SHA256: 31c10a332bb6a93158ab3eedeeac31f3a8895dd9d9afbe45d852248951cbc8c9 SHA1: 9b39b2bf1eb49f8676b58382c2f45a8c9d8d8b2e MD5sum: 8c44b5476c7c3fc438cda8ebeed8241d Description: bzfs - BZFlag game server bzfs is the server for BZFlag, and it must be running to play. It can be run on any system on the network (including a player's system or one without graphics). Terminating the server terminates the game in progress. Package: bzip2 Version: 1.0.6-4 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 113 Depends: libbz2-1.0 (= 1.0.6-4), libc6 (>= 2.13-28) Suggests: bzip2-doc Replaces: libbz2 (<< 0.9.5d-3) Multi-Arch: foreign Homepage: http://www.bzip.org/ Priority: standard Section: utils Filename: pool/main/b/bzip2/bzip2_1.0.6-4_armhf.deb Size: 49714 SHA256: c3265bd336dd8ac26a646497317ac6bcb6258ac394f905e72897ed9f01e97744 SHA1: f297abe6ffc480f506e67d09b197533b547d14d0 MD5sum: c5e6f12ff449210d90090ceda9baba3c Description: high-quality block-sorting file compressor - utilities bzip2 is a freely available, patent free, high-quality data compressor. It typically compresses files to within 10% to 15% of the best available techniques, whilst being around twice as fast at compression and six times faster at decompression. . bzip2 compresses files using the Burrows-Wheeler block-sorting text compression algorithm, and Huffman coding. Compression is generally considerably better than that achieved by more conventional LZ77/LZ78-based compressors, and approaches the performance of the PPM family of statistical compressors. . The archive file format of bzip2 (.bz2) is incompatible with that of its predecessor, bzip (.bz). Package: bzip2-doc Source: bzip2 Version: 1.0.6-4 Installed-Size: 427 Maintainer: Anibal Monsalve Salazar Architecture: all Replaces: bzip2 (<< 1.0.4-0ubuntu2) Depends: dpkg (>= 1.15.4) | install-info Size: 306730 SHA256: 293e8cd577f8367420d01a40e0879705b4233a5173a537ed36f4bead430ca734 SHA1: cdd1cf43a4ce4e8ef4ade854a4d78923babd0934 MD5sum: c11f30b1e399862d6932bbb5addcc57c Description: high-quality block-sorting file compressor - documentation bzip2 is a freely available, patent free, high-quality data compressor. It typically compresses files to within 10% to 15% of the best available techniques, whilst being around twice as fast at compression and six times faster at decompression. . This package contains the bzip2 user manual. Homepage: http://www.bzip.org/ Tag: made-of::html, made-of::info, made-of::postscript, made-of::tex, role::documentation Section: doc Priority: optional Filename: pool/main/b/bzip2/bzip2-doc_1.0.6-4_all.deb Package: bzr Version: 2.6.0~bzr6526-1+deb7u1 Installed-Size: 98 Maintainer: Debian Bazaar Maintainers Architecture: all Depends: python-bzrlib (>= 2.6.0~bzr6526-1+deb7u1), python-bzrlib (<= 2.6.0~bzr6526-1+deb7u1.1~), python Suggests: bzr-doc, bzr-gtk, bzr-svn, python-bzrlib.tests, bzrtools Breaks: bzr-pqm (<< 1.4.0~bzr80), bzr-xmloutput (<< 0.8.8+bzr160), python-bzrlib (<< 2.4.0~beta3~) Size: 52834 SHA256: af19c2e4c35d300cd3e529ddbe1d20faf51d2c3643df03b5f1894451afef00a2 SHA1: 092c07b33b60bd459eec90b54ffaadec5402b62a MD5sum: 7a396ed565faed13a0540f31734aa190 Description: easy to use distributed version control system Bazaar is a distributed version control system designed to be easy to use and intuitive, able to adapt to many workflows, reliable, and easily extendable. . Publishing of branches can be done over plain HTTP, that is, no special software is needed on the server to host Bazaar branches. Branches can be pushed to the server via sftp (which most SSH installations come with), FTP, or over a custom and faster protocol if bzr is installed in the remote end. . Merging in Bazaar is easy, as the implementation is able to avoid many spurious conflicts, deals well with repeated merges between branches, and is able to handle modifications to renamed files correctly. . Bazaar is written in Python, and has a flexible plugin interface which can be used to extend its functionality. Many plugins exist, providing useful commands (bzrtools), graphical interfaces (bzr-gtk), or native interaction with Subversion branches (bzr-svn). . Install python-paramiko if you are going to push branches to remote hosts with sftp, and python-pycurl if you'd like for SSL certificates always to be verified. Homepage: http://bazaar-vcs.org Recommends: python-gpgme Section: devel Priority: optional Filename: pool/main/b/bzr/bzr_2.6.0~bzr6526-1+deb7u1_all.deb Package: bzr-builddeb Version: 2.8.4 Installed-Size: 979 Maintainer: Debian Bazaar Maintainers Architecture: all Provides: bzr-buildpackage Depends: bzr (>= 2.1~), python-debian (>= 0.1.11), python-apt, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), dpkg-dev, fakeroot, devscripts (>= 2.10.59), patchutils, pristine-tar, quilt Recommends: python-launchpadlib, libalgorithm-merge-perl, python-distro-info Suggests: bzr-svn (>= 0.4.10), python-lzma Size: 187110 SHA256: aff32abfb469905dbeb3f30a3d96d20f00b23eeb44504ddece528262b2ab257b SHA1: 924adb8b1837dcfc7b6ffc82af2bfda667ebcfeb MD5sum: 58dd6f9839ea21836e5780e1ce4141f7 Description: bzr plugin for Debian package management bzr-builddeb is a plugin for the bzr version control system that helps to automate the task of maintaining Debian packages using bzr. It is similar in intent to cvs-buildpackage, svn-buildpackage and the similar scripts. . It builds a Debian package from a bzr branch, optionally using a separate upstream tarball. Tag: devel::buildtools, devel::debian, devel::packaging, devel::rcs, implemented-in::python, interface::commandline, role::plugin, suite::debian, works-with::software:source Section: vcs Priority: optional Filename: pool/main/b/bzr-builddeb/bzr-builddeb_2.8.4_all.deb Package: bzr-cvsps-import Version: 0.0.1~bzr71-1 Installed-Size: 235 Maintainer: Debian Bazaar Maintainers Architecture: all Depends: bzr (>= 0.15~rc1), cvsps, rcs, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Enhances: bzr Size: 32944 SHA256: 7a37a85ce3483a64c91d7d61430c359f0fc819beb4893667bfc57d1010ea27ab SHA1: ac4cc879ff93064651bfc4347a4e5963f13279a0 MD5sum: daeccd7269bb696306c962d0342aafdc Description: CVS to Bazaar importer This is a plugin for Bazaar, which converts from cvs to bzr using cvsps as the program that analyzes the cvs history to extract "patchsets". The main difference from other tools (such as tailor) is that it converts all branches, rather than just converting the mainline branch. . One key advantage of using cvsps is that it tries to guess what ancestor branch an new branch is based from. Which allows branches of branches to be tracked. Homepage: https://launchpad.net/bzr-cvsps-import Tag: devel::rcs, implemented-in::python, interface::commandline, role::plugin, use::converting Section: vcs Priority: optional Filename: pool/main/b/bzr-cvsps-import/bzr-cvsps-import_0.0.1~bzr71-1_all.deb Package: bzr-dbus Version: 0.1~bzr52-2 Installed-Size: 91 Maintainer: Debian QA Group Architecture: all Depends: python-bzrlib | bzr (>= 1.0), python-dbus, python-gobject-2 | python-gi, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: bzr Suggests: bzr-gtk Enhances: bzr, bzr-gtk Size: 17268 SHA256: ac1527aae6224c4c994a2cabcf1090cdf6f6ee07be5b63cc79633c4728197735 SHA1: 3c7a2b350a0ae9afc92e5b5f2a94841d77f49616 MD5sum: 34e66628438676033c8702bce1e3e861 Description: D-Bus announcements plugin for Bazaar Integration between bzr and D-Bus. This includes a D-Bus service to broadcast revisions and bzr hooks to generate such broadcasts. Homepage: https://launchpad.net/bzr-dbus Tag: devel::rcs, implemented-in::python, role::plugin, use::monitor Section: vcs Priority: optional Filename: pool/main/b/bzr-dbus/bzr-dbus_0.1~bzr52-2_all.deb Package: bzr-doc Source: bzr Version: 2.6.0~bzr6526-1+deb7u1 Installed-Size: 8396 Maintainer: Debian Bazaar Maintainers Architecture: all Replaces: bzr (<< 2.0.1) Suggests: bzr Breaks: bzr (<< 2.0.1) Size: 5529466 SHA256: 5065248cbfe66f3df8d3eb0331ffd7ef37de87ab625d6072a4753406abaf229c SHA1: 68766c4a32b2e16df62193158e88adffed2556db MD5sum: 7eb6147414ef2b77e04c33a431b2a865 Description: easy to use distributed version control system (documentation) Bazaar is a distributed version control system designed to be easy to use and intuitive, able to adapt to many workflows, reliable, and easily extendable. . Publishing of branches can be done over plain HTTP, that is, no special software is needed on the server to host Bazaar branches. Branches can be pushed to the server via sftp (which most SSH installations come with), FTP, or over a custom and faster protocol if bzr is installed in the remote end. . Merging in Bazaar is easy, as the implementation is able to avoid many spurious conflicts, deals well with repeated merges between branches, and is able to handle modifications to renamed files correctly. . Bazaar is written in Python, and has a flexible plugin interface which can be used to extend its functionality. Many plugins exist, providing useful commands (bzrtools), graphical interfaces (bzr-gtk), or native interaction with Subversion branches (bzr-svn). . Install python-paramiko if you are going to push branches to remote hosts with sftp, and python-pycurl if you'd like for SSL certificates always to be verified. . This package provides the documentation. Homepage: http://bazaar-vcs.org Section: doc Priority: optional Filename: pool/main/b/bzr/bzr-doc_2.6.0~bzr6526-1+deb7u1_all.deb Package: bzr-email Version: 0.0.1~bzr57-2 Installed-Size: 56 Maintainer: Debian QA Group Architecture: all Depends: python-bzrlib | bzr (>= 1.0), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: bzr Enhances: bzr Size: 10548 SHA256: 1458f8e9c9fa1e26f5a64e425bff942ec987917313f016738b34a1e492aafb7d SHA1: 336aa1dafa2f4cc900f91b81a4d84a0a988992de MD5sum: 3eeeb157a0d8ff6ec7a6db1c6ab77e3f Description: Notification email plugin for Bazaar Plugin for Bazaar that can send notification emails at commit time. . It supports sending (partial) diffs of the commit. Homepage: https://launchpad.net/bzr-email Tag: devel::rcs, implemented-in::python, protocol::smtp, role::plugin, works-with::mail Section: vcs Priority: optional Filename: pool/main/b/bzr-email/bzr-email_0.0.1~bzr57-2_all.deb Package: bzr-explorer Version: 1.3.0~bzr556-1 Installed-Size: 3489 Maintainer: Debian Bazaar Maintainers Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), bzr (>= 2.3), qbzr (>= 0.19), tango-icon-theme Recommends: ssh-askpass Suggests: bzr-gtk Enhances: bzr Size: 627946 SHA256: e5e32a81e250e60f8216db499a24c94b4e13145f31eef67653e39ef2c96cf8a1 SHA1: 90beb3eb1438de907500aad00cb45cc2bc2ca153 MD5sum: e35ace43546f0bb50acd20a3d7dbf8ca Description: GUI application for using Bazaar Bazaar Explorer is a desktop application providing an easy-to-use interface to the Bazaar version control system. It is designed to be simple enough for casual users of version control, yet powerful enough for experts to be productive. . It provides a high level interface to all commonly used features, launching "applets" from the QBzr plug-in to provide most of the functionality. Alternatively, the applets from the bzr-gtk plug-in can be used if it is installed. Homepage: http://doc.bazaar.canonical.com/explorer/en/ Tag: devel::rcs, implemented-in::python, interface::x11, role::program, uitoolkit::qt, works-with::software:source, works-with::vcs, x11::application Section: vcs Priority: extra Filename: pool/main/b/bzr-explorer/bzr-explorer_1.3.0~bzr556-1_all.deb Package: bzr-fastimport Version: 0.13.0-2 Installed-Size: 344 Maintainer: Debian QA Group Architecture: all Depends: bzr (>= 1.0), python-fastimport (>= 0.9.0~), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: cvs2svn, git, monotone, python-subvertpy | svn-all-fast-export Enhances: bzr Size: 66334 SHA256: 6b7dcb25d20d8a4ae5831d5dda494af1147d56a51d146fa963452e5d86611b71 SHA1: 1cc7c307c0c8c33bba3713aa3c4f0b3a962f2e55 MD5sum: c7897815326a4a39465f085d98b140dc Description: Fast-import/fast-export plugin for Bazaar Bazaar Fast Import is a plugin providing fast loading of revision control data into Bazaar. . It is designed to be used in combination with front-end programs that generate a command/data stream for it to process. Front-ends are available for a wide range of foreign VCS tools including Subversion, CVS, Git, Mercurial, Darcs and Perforce. New front-ends are easy to develop in whatever programming language you prefer, making Bazaar Fast Import useful for teams needing a custom migration solution. Homepage: https://launchpad.net/bzr-fastimport Tag: devel::rcs, implemented-in::python, role::plugin, works-with-format::TODO, works-with::software:source Section: vcs Priority: optional Filename: pool/main/b/bzr-fastimport/bzr-fastimport_0.13.0-2_all.deb Package: bzr-git Version: 0.6.9-1 Installed-Size: 550 Maintainer: Debian Bazaar Maintainers Architecture: all Depends: bzr (<< 2.6.0), bzr (>= 2.5~), python-dulwich (>= 0.8.3~), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: python-tdb Suggests: bzr-fastimport Enhances: bzr, git, loggerhead Size: 117608 SHA256: fd71aadf19c24ce1523a3dceae177585810a210a991ad3eb4ee73351388dfe90 SHA1: 8b35fb30a9252cf3e09fa4e7837bae5621279103 MD5sum: 35c66f632f97585cd95456e13eb32f17 Description: Bazaar plugin providing Git integration This is a plugin for Bazaar that adds the ability to use Git repositories, both local and remote (git://, git+ssh:// and http://). It integrates with the regular Bazaar UI, and provides a new Bazaar subcommand `git-import` which imports all branches from a Git repository. . An experimental remote helper for Git that can push to and fetch from Bazaar branches is also provided. Homepage: http://bazaar-vcs.org/BzrForeignBranches/Git Tag: devel::rcs, implemented-in::python, network::client, protocol::http, role::plugin, works-with::software:source Section: vcs Priority: optional Filename: pool/main/b/bzr-git/bzr-git_0.6.9-1_all.deb Package: bzr-grep Version: 0.4.0+bzr147-1 Installed-Size: 229 Maintainer: Debian Bazaar Maintainers Architecture: all Depends: bzr (>= 2.0), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Enhances: bzr Size: 21744 SHA256: 6a0efc6263b93f7760b1afff43f80c13a5199a6cb763a57f63316a87d5a617ba SHA1: 847a981afe0311f4d20b4507dc607084363d97aa MD5sum: 5eeac1b1a5dfcfe53d127a2edb16d2fa Description: Bazaar plugin to grep files and history A plugin for Bazaar that adds the ability to grep files and history in a repository. . This command searches the specified files and revisions for a given pattern. The pattern is specified as a Python regular expressions. Homepage: https://launchpad.net/bzr-grep Tag: devel::rcs, implemented-in::python, interface::commandline, role::plugin, use::searching, use::viewing, works-with::software:source, works-with::text, works-with::vcs Section: vcs Priority: extra Filename: pool/main/b/bzr-grep/bzr-grep_0.4.0+bzr147-1_all.deb Package: bzr-gtk Version: 0.103.0+bzr792-3 Installed-Size: 629 Maintainer: Debian QA Group Architecture: all Depends: bzr (<< 2.6.0), bzr (>= 2.1~), gir1.2-gconf-2.0, gir1.2-gtk-3.0, gir1.2-gtksource-3.0, libcanberra-gtk3-module, python-gi, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: bzr-dbus, gir1.2-appindicator3-0.1 | gir1.2-notify-0.7, gir1.2-freedesktop, python-gi-cairo, seahorse Suggests: bzr-loom, bzr-search Enhances: bzr Size: 164282 SHA256: 37d8f95376764801d9b35136b98db5aae241c4c2b1c433fc469756274b1a07ee SHA1: d668777d860bf67a2ea9538d31c8504520ddc336 MD5sum: 8f7f3bd50bf5e5687a24d86178d21e65 Description: provides graphical interfaces to Bazaar (bzr) version control bzr-gtk provides GTK+ interfaces to the Bazaar (bzr) source code management tool. . This package adds the following commands to the bzr command line tool: gannotate, gbranch, gcheckout, gcommit, gconflicts, gdiff, gloom, gmissing, gpreferences, gpush, gstatus, visualise. Homepage: http://bazaar-vcs.org/bzr-gtk Tag: devel::rcs, implemented-in::python, interface::x11, role::plugin, role::program, suite::gnome, uitoolkit::gtk, works-with::software:source Section: vcs Priority: optional Filename: pool/main/b/bzr-gtk/bzr-gtk_0.103.0+bzr792-3_all.deb Package: bzr-loom Version: 2.2.0-2 Installed-Size: 211 Maintainer: Debian QA Group Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-bzrlib (>= 2.4~) Recommends: bzr Enhances: bzr Size: 45550 SHA256: aefc49bf6158c74442734ad966b50f8b1eef339c5d261049158cf4026c52743d SHA1: bd1b372bcf96370a7a34c13ec093e4892bd684ed MD5sum: df2938a2f180021234aa00ba6f2cf011 Description: Focused patch plugin support for Bazaar Loom is a Bazaar plugin to assist in developing focused patches. It adds a 'loom' to a bzr branch. . A loom allows the development of multiple patches at once, while still giving each patch a branch of its own. Homepage: https://launchpad.net/bzr-loom Tag: devel::rcs, implemented-in::python, role::plugin Section: vcs Priority: optional Filename: pool/main/b/bzr-loom/bzr-loom_2.2.0-2_all.deb Package: bzr-pipeline Version: 1.4-3 Installed-Size: 156 Maintainer: Debian QA Group Architecture: all Depends: python-bzrlib (<< 2.6.0), python-bzrlib (>= 2.2~), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: bzr Enhances: bzr Size: 30380 SHA256: 9baa29f80df04a81a85fd68edcfefb020b8c47dc1af8a25376e8b058237b5ea2 SHA1: a09c3021404fd1e52a32577ed5d41cfa0bd39e35 MD5sum: 46a6cac375564b3dd2c74ce871d15e9e Description: Bazaar plugin for managing a pipeline of changes A Bazaar plugin helps you organize your changes into sections called "pipes" . Pipelines can help you: . * focus on each set of changes as a coherent piece, without being distracted by other sets of changes. * respect diff size limits when submitting changes * avoid reviewer fatigue when submitting changes for code review * maintain a set of patches against an upstream branch Homepage: http://bazaar-vcs.org/BzrPipeline Tag: devel::rcs, implemented-in::python, role::plugin, works-with::vcs Section: vcs Priority: optional Filename: pool/main/b/bzr-pipeline/bzr-pipeline_1.4-3_all.deb Package: bzr-rewrite Version: 0.6.3+bzr256-1 Installed-Size: 210 Maintainer: Debian Bazaar Maintainers Architecture: all Provides: bzr-rebase Depends: bzr (<< 2.7~), bzr (>= 2.5~), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Enhances: bzr Conflicts: bzr-rebase (<< 0.6.0), bzr-svn (<< 0.6.0) Size: 26362 SHA256: ef3ac8b69075d0448a57153f527ac94277ca2cbc6a677d857107d35155a4e4c2 SHA1: 3372bfc27e9f4210878f294ea70de5bc15d70160 MD5sum: 3becd70b0871bb5dcecfa11bb4d1cf14 Description: History rewriting plugin for Bazaar The Bazaar history rewriting plugin adds commands for rewriting history in Bazaar, such as the 'rebase' command. . When conflicts occur when replaying patches, the user can resolve the conflict and continue the rebase using the 'rebase-continue' command or abort using the 'rebase-abort' command. . Other commands provided include 'replay' and 'rebase-foreign'. Homepage: http://bazaar-vcs.org/Rewrite Tag: devel::rcs, implemented-in::python, role::plugin, works-with::software:source, works-with::vcs Section: vcs Priority: optional Filename: pool/main/b/bzr-rewrite/bzr-rewrite_0.6.3+bzr256-1_all.deb Package: bzr-search Version: 1.7.0~bzr94-1 Installed-Size: 180 Maintainer: Debian QA Group Architecture: all Depends: bzr (>= 1.7~), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Enhances: bzr Size: 36316 SHA256: e9b2ff406b7d24b59e172b74f31826fdc3ed8a331e77a08e53822b47c46eb536 SHA1: c5232aecf2f6e97a9f6654ca3d20a9ad0b9c6149 MD5sum: e7fe6c48315d3df8cadf6ffc2c5b5031 Description: search plugin for Bazaar This is a plugin for Bazaar that can index and search local and remote branches. . It adds a "index" and a "search" command to the Bazaar command-line UI. Homepage: https://launchpad.net/bzr-search Tag: devel::rcs, implemented-in::python, role::plugin, use::searching, works-with::db Section: vcs Priority: optional Filename: pool/main/b/bzr-search/bzr-search_1.7.0~bzr94-1_all.deb Package: bzr-stats Version: 0.1.0+bzr51-1 Installed-Size: 115 Maintainer: Debian Bazaar Maintainers Architecture: all Depends: bzr (>= 1.13~), python (>= 2.6.6-7~), python (<< 2.8) Enhances: bzr Size: 10346 SHA256: a04d243e0a4c91fcab865d240ce46a9e21e6bc9e38ca2982156e19facdea2f10 SHA1: def6d6cc47aed41f78602060e7b4ab47b4db3578 MD5sum: 9b94015ded4177dce7ed2b741c4583d3 Description: statistics plugin for Bazaar This is a simple plugin for Bazaar that can list the contributors to a branch and what they worked on. . It adds two extra subcommands to Bazaar to list the committers by number of commits and by their type of contribution. Homepage: http://launchpad.net/bzr-stats Tag: devel::rcs, implemented-in::python, role::plugin Section: vcs Priority: optional Filename: pool/main/b/bzr-stats/bzr-stats_0.1.0+bzr51-1_all.deb Package: bzr-svn Version: 1.2.1-1 Installed-Size: 1595 Maintainer: Debian Bazaar Maintainers Architecture: all Depends: python-bzrlib (<< 2.6.0), python-bzrlib (>= 2.5~), python (>= 2.6.6-7~), python-subvertpy (>= 0.8.8~), python2.7 | python2.6, python (<< 2.8) Recommends: python-tdb (>= 1.1.2~git20081222), python-xdg, bzr Suggests: bzr-rewrite Enhances: bzr, subversion Conflicts: bzr-rebase (<< 0.5.0) Size: 268486 SHA256: ee81d1271972758565e8dda156d236d6554ebeeabb3b19b802528876d23eb500 SHA1: 4c05431cab0f8f492f8d7e0e881005b2635cfcb3 MD5sum: 64d147abc4192a1f960ae60fa36e75df Description: Bazaar plugin providing Subversion integration Plugin for Bazaar that adds the ability to read and commit to branches stored in Subversion. . Also included is a bzr subcommand that allows converting complete Subversion repositories to Bazaar repositories. Homepage: http://samba.org/~jelmer/bzr-svn/ Tag: devel::rcs, implemented-in::python, protocol::http, role::plugin Section: vcs Priority: optional Filename: pool/main/b/bzr-svn/bzr-svn_1.2.1-1_all.deb Package: bzr-upload Version: 1.1.0-2 Installed-Size: 91 Maintainer: Maintainer: Debian QA Group Architecture: all Depends: bzr (<< 2.6.0), bzr (>= 2.2~), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: python-paramiko Enhances: bzr Size: 17680 SHA256: cbd02139ae6ab7e6ee369ff841e4f415af1cb47c8cf56ce0e14e9c464ec8997b SHA1: c132a9cc5b201f1317bb923914ee3c6f9d7ec3ea MD5sum: 289273729f64b65ea7eaa3713c96c3b4 Description: Bazaar plugin for uploading to web servers This is a plugin for Bazaar that incrementally uploads changes in a Bazaar branch to a dumb server. . Web sites are often hosted on servers where Bazaar can not be installed. In other cases, the web site must not give access to its corresponding branch (for security reasons for example). Finally, web hosting providers often provides only ftp access to upload sites. This plugin uploads only the relevant changes since the last upload using the ftp or sftp protocols. . It adds a "upload" subcommand to bzr. Homepage: https://launchpad.net/bzr-upload Tag: devel::rcs, implemented-in::python, protocol::ftp, protocol::sftp, role::plugin Section: vcs Priority: optional Filename: pool/main/b/bzr-upload/bzr-upload_1.1.0-2_all.deb Package: bzr-xmloutput Version: 0.8.8+bzr162-3 Installed-Size: 109 Maintainer: Debian QA Group Architecture: all Depends: bzr (>= 1.0), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Enhances: bzr Size: 24530 SHA256: 9a7eff5fb2117c1c7fd7b872ba574778f3fbf43f8a067d128c703692ae27b444 SHA1: 89d44d5bf35dcc439a0c16c7b4788b2ba3ac063f MD5sum: c8f5c70bbb3712558153a7eee8038222 Description: XML Communication plugin for Bazaar Plugin for Bazaar that provides access an XML/RPC interface to the core Bazaar commands. . This plugin is mainly useful for other applications that need to use Bazaar but are not written in Python. Homepage: https://launchpad.net/bzr-xmloutput Tag: devel::rcs, implemented-in::python, role::plugin Section: vcs Priority: optional Filename: pool/main/b/bzr-xmloutput/bzr-xmloutput_0.8.8+bzr162-3_all.deb Package: bzrtools Version: 2.5+bzr786-2 Installed-Size: 318 Maintainer: Debian Bazaar Maintainers Architecture: all Depends: bzr (<< 2.6.0), bzr (>= 2.5~), patch, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: rsync Suggests: graphviz, librsvg2-bin Size: 60490 SHA256: 29c2b3f2a1ed0f6433a8b8d491514882f9b9b23f5c523fa298e75e3ae7695114 SHA1: 1db51c62c48721036b4055b4136362ab0e41cdb9 MD5sum: 24fe49c181c900b0567619b7ffdb0ec6 Description: Collection of tools for bzr This package contains a collection of plugins for bzr - a distributed version control system. Some of these tools may work their way into bzr itself at some point, and some are just convenient addons useful for day to day operations. . bzrtools actually includes: * rspush: Push local changes to a remote server using rsync instead sftp. * graph-ancestry: Use graphviz to produce graphs of branch ancestry. * shell: Start up a command interpreter that run bzr natively, providing autocompletion. * patch: Apply a specified patch to your tree, that can be a file or URL. * heads: Show all revisions in a repository not having descendants. Homepage: http://bazaar-vcs.org/BzrTools Tag: devel::rcs, implemented-in::python, interface::commandline, role::plugin, works-with::software:source Section: vcs Priority: optional Filename: pool/main/b/bzrtools/bzrtools_2.5+bzr786-2_all.deb Package: c++-annotations Version: 9.4.0-1 Installed-Size: 630 Maintainer: Frank B. Brokken Architecture: all Depends: c++-annotations-html, c++-annotations-txt, c++-annotations-latex, c++-annotations-pdf, c++-annotations-ps, c++-annotations-dvi, c++-annotations-contrib Suggests: bisonc++ Size: 128104 SHA256: 908bf2da3ba7a7497d263e493c227c35b990198b799d65fe4c4d7045032887f3 SHA1: 35e2281f3d341a59272361322e9881c1abcacfa6 MD5sum: 834fc3e39f2d235fbb894265eeaf5f6b Description: Extensive tutorial and documentation about C++ The C++ Annotations offer an extensive tutorial about the C++ programming language. It can be used as a textbook for C/C++ programming courses. . This document is intended for knowledgeable users of C (or any other language using a C-like grammar, like Perl or Java) who would like to know more about, or make the transition to, C++. This document is the main textbook for Frank's C++ programming courses, which are yearly organized at the University of Groningen, the Netherlands. . The C++ Annotations do not cover all aspects of C++, though. In particular, C++'s basic grammar, which is, for all practical purposes, equal to C's grammar, is not covered. For this part of the C++ language, the reader should consult other texts, like a book covering the C programming language. . This package installs C++ Annotations packages for all available formats, including: - plain ascii text - LaTeX (including a .dvi file) - PostScript - pdf - html The postscript, pdf and LaTeX formats were constructed for two different paper-sizes: A4 and legal. . If you do not require all of the available formats, you may prefer to install one or more of the following c++-annotations-{format} packages instead: - c++-annotations-html - c++-annotations-txt - c++-annotations-latex - c++-annotations-pdf - c++-annotations-ps - c++-annotations-dvi Homepage: http://cppannotations.sourceforge.net/ Tag: devel::lang:c++, role::dummy, role::metapackage, use::learning Section: doc Priority: optional Filename: pool/main/c/c++-annotations/c++-annotations_9.4.0-1_all.deb Package: c++-annotations-contrib Source: c++-annotations Version: 9.4.0-1 Installed-Size: 72 Maintainer: Frank B. Brokken Architecture: all Suggests: bisonc++ Conflicts: c++-annotations (<< 6.4.0f-3) Size: 20856 SHA256: f227627946384578013afaaf599483e7f07d33f1343a33e3ce385388c56a7ba0 SHA1: 507213057cdba9b31eb2602286210d2225c55bc0 MD5sum: dbabc17ccc09e563d9fee83675ac0960 Description: Extensive tutorial and documentation about C++ - contributed files The C++ Annotations offer an extensive tutorial about the C++ programming language. It can be used as a textbook for C/C++ programming courses. See the c++-annotations package for more information about the tutorial . This package contains external contributions. Homepage: http://cppannotations.sourceforge.net/ Tag: devel::lang:c++, made-of::TODO, made-of::html, made-of::pdf, role::documentation, use::learning Section: doc Priority: optional Filename: pool/main/c/c++-annotations/c++-annotations-contrib_9.4.0-1_all.deb Package: c++-annotations-dvi Source: c++-annotations Version: 9.4.0-1 Installed-Size: 1760 Maintainer: Frank B. Brokken Architecture: all Suggests: bisonc++ Conflicts: c++-annotations (<< 6.4.0f-3) Size: 1768974 SHA256: c099e7e9bd544484287c0cb0f2a3ff096826dc85abf20c29c0152c949e242253 SHA1: 23a26032cb80b204fc0904d565d4063c087a803e MD5sum: ac899f895087495ffa97ccbf98a05928 Description: Extensive tutorial and documentation about C++ - DVI output The C++ Annotations offer an extensive tutorial about the C++ programming language. It can be used as a textbook for C/C++ programming courses. . This document is intended for knowledgeable users of C (or any other language using a C-like grammar, like Perl or Java) who would like to know more about, or make the transition to, C++. This document is the main textbook for Frank's C++ programming courses, which are yearly organized at the University of Groningen, the Netherlands. . The C++ Annotations do not cover all aspects of C++, though. In particular, C++'s basic grammar, which is, for all practical purposes, equal to C's grammar, is not covered. For this part of the C++ language, the reader should consult other texts, like a book covering the C programming language. . The package offers the C++ Annotations in the DVI format. Homepage: http://cppannotations.sourceforge.net/ Tag: devel::doc, devel::lang:c++, made-of::tex, role::documentation, use::learning Section: doc Priority: optional Filename: pool/main/c/c++-annotations/c++-annotations-dvi_9.4.0-1_all.deb Package: c++-annotations-html Source: c++-annotations Version: 9.4.0-1 Installed-Size: 2901 Maintainer: Frank B. Brokken Architecture: all Suggests: bisonc++ Conflicts: c++-annotations (<< 6.4.0f-3) Size: 772868 SHA256: f00a6026c3f681bba20e9e073babbf41940e6ae80a37390facda4449d38c5420 SHA1: 4f4d2bcda64a1e079e77688f965d937957bd0f47 MD5sum: 293fda790bc4e3be05557ee80a56840d Description: Extensive tutorial and documentation about C++ - html output The C++ Annotations offer an extensive tutorial about the C++ programming language. It can be used as a textbook for C/C++ programming courses. . This document is intended for knowledgeable users of C (or any other language using a C-like grammar, like Perl or Java) who would like to know more about, or make the transition to, C++. This document is the main textbook for Frank's C++ programming courses, which are yearly organized at the University of Groningen, the Netherlands. . The C++ Annotations do not cover all aspects of C++, though. In particular, C++'s basic grammar, which is, for all practical purposes, equal to C's grammar, is not covered. For this part of the C++ language, the reader should consult other texts, like a book covering the C programming language. . The package offers the C++ Annotations in the html format. Homepage: http://cppannotations.sourceforge.net/ Tag: devel::doc, devel::lang:c++, made-of::html, role::documentation, use::learning Section: doc Priority: optional Filename: pool/main/c/c++-annotations/c++-annotations-html_9.4.0-1_all.deb Package: c++-annotations-latex Source: c++-annotations Version: 9.4.0-1 Installed-Size: 1061 Maintainer: Frank B. Brokken Architecture: all Suggests: bisonc++ Conflicts: c++-annotations (<< 6.4.0f-3) Size: 1056944 SHA256: cdf77246023d7f8c1421c30577b5cbceb3f7812c010e3200868d1c2ed387ccc9 SHA1: e1ef4eca2709a07fd43dd03f68e0197594131fef MD5sum: 469a2f73039a2c1e082542fbbf2c5758 Description: Extensive tutorial and documentation about C++ - LaTeX output The C++ Annotations offer an extensive tutorial about the C++ programming language. It can be used as a textbook for C/C++ programming courses. . This document is intended for knowledgeable users of C (or any other language using a C-like grammar, like Perl or Java) who would like to know more about, or make the transition to, C++. This document is the main textbook for Frank's C++ programming courses, which are yearly organized at the University of Groningen, the Netherlands. . The C++ Annotations do not cover all aspects of C++, though. In particular, C++'s basic grammar, which is, for all practical purposes, equal to C's grammar, is not covered. For this part of the C++ language, the reader should consult other texts, like a book covering the C programming language. . The package offers the C++ Annotations in the LaTeX format. Homepage: http://cppannotations.sourceforge.net/ Tag: devel::doc, devel::lang:c++, made-of::tex, role::documentation, use::learning Section: doc Priority: optional Filename: pool/main/c/c++-annotations/c++-annotations-latex_9.4.0-1_all.deb Package: c++-annotations-pdf Source: c++-annotations Version: 9.4.0-1 Installed-Size: 10134 Maintainer: Frank B. Brokken Architecture: all Suggests: bisonc++ Conflicts: c++-annotations (<< 6.4.0f-3) Size: 8266690 SHA256: 3ff6582df63706f8fe0f15aa84ef7c6b9427626ae42b3d2838cdeea2a9a450da SHA1: b9bb8e6d42ac996da3575127921779afa79f7c4a MD5sum: e7a5bbc8617b5551c434cc21f656e7f9 Description: Extensive tutorial and documentation about C++ - PDF output The C++ Annotations offer an extensive tutorial about the C++ programming language. It can be used as a textbook for C/C++ programming courses. . This document is intended for knowledgeable users of C (or any other language using a C-like grammar, like Perl or Java) who would like to know more about, or make the transition to, C++. This document is the main textbook for Frank's C++ programming courses, which are yearly organized at the University of Groningen, the Netherlands. . The C++ Annotations do not cover all aspects of C++, though. In particular, C++'s basic grammar, which is, for all practical purposes, equal to C's grammar, is not covered. For this part of the C++ language, the reader should consult other texts, like a book covering the C programming language. . The package offers the C++ Annotations in the PDF format. Homepage: http://cppannotations.sourceforge.net/ Tag: devel::doc, devel::lang:c++, made-of::pdf, role::documentation, use::learning Section: doc Priority: optional Filename: pool/main/c/c++-annotations/c++-annotations-pdf_9.4.0-1_all.deb Package: c++-annotations-ps Source: c++-annotations Version: 9.4.0-1 Installed-Size: 3829 Maintainer: Frank B. Brokken Architecture: all Suggests: bisonc++ Conflicts: c++-annotations (<< 6.4.0f-3) Size: 3860390 SHA256: 81d98f9388585cac0ee6d2329e817fa445b754668880af37bc0b6d47627c5638 SHA1: 05475fe3fd2d74d6523449b0853937854068e40d MD5sum: c6b2b76b0df8993059c6f93e60160639 Description: Extensive tutorial and documentation about C++ - Postscript output The C++ Annotations offer an extensive tutorial about the C++ programming language. It can be used as a textbook for C/C++ programming courses. . This document is intended for knowledgeable users of C (or any other language using a C-like grammar, like Perl or Java) who would like to know more about, or make the transition to, C++. This document is the main textbook for Frank's C++ programming courses, which are yearly organized at the University of Groningen, the Netherlands. . The C++ Annotations do not cover all aspects of C++, though. In particular, C++'s basic grammar, which is, for all practical purposes, equal to C's grammar, is not covered. For this part of the C++ language, the reader should consult other texts, like a book covering the C programming language. . The package offers the C++ Annotations in the PS format. Homepage: http://cppannotations.sourceforge.net/ Tag: devel::doc, devel::lang:c++, made-of::postscript, role::documentation, use::learning Section: doc Priority: optional Filename: pool/main/c/c++-annotations/c++-annotations-ps_9.4.0-1_all.deb Package: c++-annotations-txt Source: c++-annotations Version: 9.4.0-1 Installed-Size: 512 Maintainer: Frank B. Brokken Architecture: all Suggests: bisonc++ Conflicts: c++-annotations (<< 6.4.0f-3) Size: 491208 SHA256: 2ac892478a67154de74f96805764034b3757920240561b34d86a0eefc54af74a SHA1: 9efbda3e91f70acd416c5218a9a13bb1d6b53bd5 MD5sum: f49d43365366672b822c2142c55d9041 Description: Extensive tutorial and documentation about C++ - text output The C++ Annotations offer an extensive tutorial about the C++ programming language. It can be used as a textbook for C/C++ programming courses. . This document is intended for knowledgeable users of C (or any other language using a C-like grammar, like Perl or Java) who would like to know more about, or make the transition to, C++. This document is the main textbook for Frank's C++ programming courses, which are yearly organized at the University of Groningen, the Netherlands. . The C++ Annotations do not cover all aspects of C++, though. In particular, C++'s basic grammar, which is, for all practical purposes, equal to C's grammar, is not covered. For this part of the C++ language, the reader should consult other texts, like a book covering the C programming language. . The package offers the C++ Annotations in the txt format. Homepage: http://cppannotations.sourceforge.net/ Tag: devel::doc, devel::lang:c++, made-of::TODO, role::documentation, use::learning Section: doc Priority: optional Filename: pool/main/c/c++-annotations/c++-annotations-txt_9.4.0-1_all.deb Package: c-cpp-reference Version: 2.0.2-8 Installed-Size: 3253 Maintainer: Tobias Klauser Architecture: all Depends: lynx | www-browser Suggests: kdevelop Size: 978244 SHA256: cb32e1ee0ed4ea2c41382c04ba2cd618d7b361b36074a7c197e5780dd9a7d16b SHA1: e46b2b7e23535f932d9d63a438d594492676cef9 MD5sum: 29c9246ac283f0a21f44347e0d1065e9 Description: C and C++ programming reference A reference for C and C++ primarily created to be used with KDevelop. As it is in HTML format, it can also be used without KDevelop using your favorite browser. Homepage: http://kdevelop.org Tag: devel::doc, devel::lang:c, devel::lang:c++, made-of::html, role::documentation Section: devel Priority: optional Filename: pool/main/c/c-cpp-reference/c-cpp-reference_2.0.2-8_all.deb Package: c-icap Version: 1:0.1.6-1.1+deb7u2 Architecture: armhf Maintainer: Tim Weippert Installed-Size: 200 Depends: libc6 (>= 2.13-28), libdb5.1, libicapapi0 (= 1:0.1.6-1.1+deb7u2), adduser, lsb-base (>= 3.0-6) Suggests: squid3, libc-icap-mod-urlcheck, libc-icap-mod-clamav | libc-icap-mod-squidclamav Homepage: http://c-icap.sourceforge.net/ Priority: extra Section: net Filename: pool/main/c/c-icap/c-icap_0.1.6-1.1+deb7u2_armhf.deb Size: 88598 SHA256: 15bc515e921727086bdeb4824161fb94599f281ac350b384fe9c525315e5a01e SHA1: a12e762fbb67b549748f1898c2be0638688cd304 MD5sum: a498de59dc0b2b5198fca1d7a07abd41 Description: ICAP server implementation C-ICAP is an implementation of an ICAP server. It can be used with HTTP proxies that support the ICAP protocol to implement content adaptation and filtering services. .. Most of the commercial HTTP proxies must support the ICAP protocol. The open source Squid 3.x proxy server supports it. . This Package contains the core ICAP daemon Package: c-repl Version: 0.0.20071223-1 Architecture: armhf Maintainer: Robert S. Edmonds Installed-Size: 53 Depends: libc6 (>= 2.4), ruby, libreadline-ruby Priority: extra Section: interpreters Filename: pool/main/c/c-repl/c-repl_0.0.20071223-1_armhf.deb Size: 9662 SHA256: 5fd0de0235f5692869d42bc2a45283ac586798193a929e25cb51c05fd0c0aa5f SHA1: fba5c9d451fb504a7f4030d0719fcd94dc226d7b MD5sum: 5d2aa0da564e7156c81950a1cb339f63 Description: read-eval-print loop for C Many programming languages come with a REPL (read-eval-print loop), which allows you to type in code line by line and see what it does. This is quite useful for prototyping, experimentation, and debugging code. . Other programming languages, and especially C, use a "compile-run" model, and don't provide a REPL. Let's fix that. . This approach is actually more of a read-eval loop, as c-repl doesn't know anything about the types and parse trees of the code it's running. But unlike other approaches to solving the "C interpreter" problem, c-repl works directly with unmodified libraries and system headers. . This means you can experiment with a new library without writing a test program or any bindings. Or just use it as a simple calculator, content in knowing it is much faster than your neighbors using irb, like driving a Ferarri on city streets. Package: c-sig Version: 3.8-17 Installed-Size: 42 Maintainer: TANIGUCHI Takaki Architecture: all Depends: emacs23 | emacsen Size: 28862 SHA256: f3c137fdbdaba01412fea87fd98c33b9e3f23e821fa2db2361efbb8a715ce29d SHA1: b99f54b9765b35734eadc3387cb6772e6b34e4ad MD5sum: 1bdd6623d52156b13e061ed91a59573c Description: signature tool for GNU Emacs C-sig is a signature insertion tool for GNU Emacs. Features in c-sig include: - registering signatures interactively - learning facility - expanding signatures dynamically - modifying signatures through elisp functions Homepage: http://www.osk.3web.ne.jp/~kshibata/c-sig/ Tag: implemented-in::lisp, role::plugin, suite::emacs, use::editing, works-with::mail Section: mail Priority: optional Filename: pool/main/c/c-sig/c-sig_3.8-17_all.deb Package: c2050 Version: 0.3b-4 Installed-Size: 3 Maintainer: Debian Printing Team Architecture: all Depends: printer-driver-c2050 Size: 3032 SHA256: 02e9e6a6b89027e492237624b135bb7888d0e38307f65160d2a36aa26a8855b5 SHA1: dfc6f5e387c078775ec4dc642a03230c2f64112c MD5sum: 0b5db6338a3ffa496365fa61bbaee496 Description: transitional dummy package for c2050 printer driver This is a transitional dummy package to transition to uniformly named printer-driver-c2050. Homepage: http://www.prato.linux.it/~mnencia/lexmark2050/ Tag: hardware::printer, role::dummy, use::printing Section: oldlibs Priority: extra Filename: pool/main/c/c2050/c2050_0.3b-4_all.deb Package: c2esp Version: 24-2 Installed-Size: 28 Maintainer: Debian Printing Team Architecture: all Depends: printer-driver-c2esp Size: 3432 SHA256: 309bf49ca1bd9c21edc56b4e117f967c3cc283de44a849b9c8ee7fd1096595cc SHA1: 99e7dd9676119a84a43a906191c815bb0b9aad99 MD5sum: d9c8d1757c47575684764aab42bd37c2 Description: transitional dummy package for c2esp printer driver This is a transitional dummy package to transition to uniformly named printer-driver-c2esp. Homepage: http://cupsdriverkodak.sf.net/ Tag: hardware::printer, role::dummy, role::shared-lib, use::driver Section: oldlibs Priority: extra Filename: pool/main/c/c2esp/c2esp_24-2_all.deb Package: c2hs Version: 0.16.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 17415 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Homepage: http://hackage.haskell.org/package/c2hs Priority: extra Section: haskell Filename: pool/main/c/c2hs/c2hs_0.16.3-2_armhf.deb Size: 2632022 SHA256: 9aeff258b648132bb822dcbf2261111e2492392d16590b19168430773a61749c SHA1: cff775019931f29c96301c55887db2be9e6e8aa9 MD5sum: 3d8a130ab7e2d319623856860c127e4a Description: C->Haskell Interface Generator C->Haskell is an interface generator that simplifies the development of Haskell bindings to C libraries. The tool processes existing C header files that determine data layout and function signatures on the C side in conjunction with Haskell modules that specify Haskell-side type signatures and marshaling details. Hooks embedded in the Haskell code signal access to C structures and functions -- they are expanded by the interfacing tool in dependence on information from the corresponding C header file. . Haskell 98 is "the" standard lazy functional programming language. More info plus the language definition is at http://www.haskell.org/. . This package contains the c2hs parser. Package: c2hs-doc Source: c2hs Version: 0.16.3-2 Installed-Size: 256 Maintainer: Debian Haskell Group Architecture: all Size: 40586 SHA256: d023931a1dd25046a08bcf54ecfb73463bb399674b485b7e68dc74dede58afdf SHA1: c6237c0e1c94012e56deb88ac5cf9a56e8f4a76a MD5sum: cf835b842db6500ad22b63daab79e990 Description: C->Haskell Interface Generator -- Documentation package C->Haskell is an interface generator that simplifies the development of Haskell bindings to C libraries. The tool processes existing C header files that determine data layout and function signatures on the C side in conjunction with Haskell modules that specify Haskell-side type signatures and marshaling details. Hooks embedded in the Haskell code signal access to C structures and functions -- they are expanded by the interfacing tool in dependence on information from the corresponding C header file. . Haskell 98 is "the" standard lazy functional programming language. More info plus the language definition is at http://www.haskell.org/. . This package contains documentation for c2hs. Homepage: http://hackage.haskell.org/package/c2hs Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/c2hs/c2hs-doc_0.16.3-2_all.deb Package: c2html Version: 0.9.6-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 110 Depends: libc6 (>= 2.7) Homepage: http://user.cs.tu-berlin.de/~schintke/x2html/ Priority: optional Section: web Filename: pool/main/c/c2html/c2html_0.9.6-3_armhf.deb Size: 37710 SHA256: 93208b9fb94326ce05331e104c9380558e4fba187f7a6b4d472f83a45ba94382 SHA1: 4adbc2eeb809625513816d7a3af9082e4788f2d1 MD5sum: f044b4ba3a6c44e5bc243cbf8757598f Description: Highlight C sources for WWW presentation c2html can highlight your source for presentation in the WWW. It can also be used as a CGI script and can detect whether the client browser supports compressed data to save bandwidth. Package: ca-certificates Version: 20130119+deb7u3 Installed-Size: 407 Maintainer: Michael Shuler Architecture: all Depends: openssl (>= 1.0.0), debconf (>= 0.5) | debconf-2.0 Breaks: ca-certificates-java (<< 20121112+nmu1) Size: 198110 SHA256: 92a2b8bffef21b0cb366a87bd512f740e675f3c174e3a573a8b88b7dc59eeccc SHA1: db20f74c7c9df50dac334a2aca12aa0f5fe36828 MD5sum: 52d24c5138ca4fce238494d9d2a1a6cc Description: Common CA certificates This package includes PEM files of CA certificates to allow SSL-based applications to check for the authenticity of SSL connections. . It includes, among others, certificate authorities used by the Debian infrastructure and those shipped with Mozilla's browsers. . Please note that Debian can neither confirm nor deny whether the certificate authorities whose certificates are included in this package have in any way been audited for trustworthiness or RFC 3647 compliance. Full responsibility to assess them belongs to the local system administrator. Enhances: openssl Multi-Arch: foreign Section: misc Priority: optional Filename: pool/main/c/ca-certificates/ca-certificates_20130119+deb7u3_all.deb Package: ca-certificates-java Version: 20121112+nmu2 Installed-Size: 19 Maintainer: Debian Java Maintainers Architecture: all Depends: ca-certificates (>= 20121114), openjdk-6-jre-headless (>= 6b16-1.6.1-2) | java6-runtime-headless, libnss3 (>= 3.12.10-2~) Size: 14922 SHA256: 2b9021a73e184eedf95ad6f728888c8eba99bc773351a45e3d4f64c36c41051f SHA1: c66559b2e5351c3843b5bee206bed49ff5b099f7 MD5sum: 96acfa5e6e0eee9ffdbdefaa0e83d91f Description: Common CA certificates (JKS keystore) This package uses the hooks of the ca-certificates package to update the cacerts JKS keystore used for many java runtimes. Multi-Arch: foreign Tag: protocol::ssl, role::app-data, security::authentication, security::cryptography Section: java Priority: optional Filename: pool/main/c/ca-certificates-java/ca-certificates-java_20121112+nmu2_all.deb Package: cabal-install Source: haskell-cabal-install Version: 0.14.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 16855 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4) Recommends: ghc Homepage: http://www.haskell.org/cabal/ Priority: extra Section: haskell Filename: pool/main/h/haskell-cabal-install/cabal-install_0.14.0-2_armhf.deb Size: 3814604 SHA256: 48a9af21de68150d57a44d5628b7b4883e74110cc3a193afe52b0001f6db7e78 SHA1: d83be42f21be091f5f44c74c2ed9037acf33fd2a MD5sum: fdbc1a9a865bad05509b617e0df3c5e7 Description: command-line interface for Cabal and Hackage The 'cabal' command-line program simplifies the process of managing Haskell software by automating the fetching, configuration, compilation and installation of Haskell libraries and programs. Package: cabextract Version: 1.4-3 Architecture: armhf Maintainer: Eric Sharkey Installed-Size: 139 Depends: libc6 (>= 2.13-28) Enhances: konqueror Priority: optional Section: utils Filename: pool/main/c/cabextract/cabextract_1.4-3_armhf.deb Size: 49554 SHA256: fc65586a263f806d277cc41343a9c2db306f4895f1b27f2a80ce525716eac5a4 SHA1: 7df6f2944db72fa08a4f2aa972f716421f8d258b MD5sum: 4d83ee3a8db8bbce71ddb217ccbd701e Description: Microsoft Cabinet file unpacker Cabextract is a program which unpacks cabinet (.cab) files, which are a form of archive Microsoft uses to distribute their software and things like Windows Font Packs. Package: cableswig Version: 0.1.0+cvs20111009-1 Architecture: armhf Maintainer: Steve M. Robbins Installed-Size: 2044 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), gccxml Homepage: http://www.itk.org/HTML/CableSwig.html Priority: optional Section: devel Filename: pool/main/c/cableswig/cableswig_0.1.0+cvs20111009-1_armhf.deb Size: 731960 SHA256: bf8642087e98f0b5553406701dbbb6eef8da37449c10fac7cda13a287f3d56f0 SHA1: 56d6c2025a9bf255ce79b7c6e91c600c99511449 MD5sum: 56f281a0453618072633d2125ed5bc32 Description: Generate wrappers for Python and Tcl from C++ code CableSwig is used to create interfaces (i.e. "wrappers") to interpreted languages such as Tcl and Python. It was created to produce wrappers for ITK because the toolkit uses C++ structures that SWIG cannot parse (deeply nested template instantiations). CableSwig is a combination tool that uses GCC_XML as the c++ parser. The input files are Cable style input files. The XML produced from the Cable/GCC_XML input files are then parsed and feed into a modified version of SWIG. SWIG is a software development tool that connects programs written in C and C++ with a variety of high-level programming languages. It is used to generate the language bindings to the target language. Currently, Tcl and Python are supported. Package: caca-utils Source: libcaca Version: 0.99.beta18-1 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 530 Depends: libc6 (>= 2.13-28), libcaca0 (>= 0.99.beta17-1), libimlib2 Recommends: toilet Conflicts: libcaca-dev (<= 0.3-1) Replaces: libcaca-dev (<= 0.3-1) Multi-Arch: foreign Homepage: http://caca.zoy.org/wiki/libcaca Priority: optional Section: utils Filename: pool/main/libc/libcaca/caca-utils_0.99.beta18-1_armhf.deb Size: 209766 SHA256: 0532b9a08cfa608f1f7ebc4ccb94dd37d953a364eb20d636bfd5f8723efca36a SHA1: 9523129048ab8982ffc0b2957bca646fb1f04d87 MD5sum: 0ca2105590ddc008763186c085b18e8a Description: text mode graphics utilities This package contains utilities and demonstration programs for libcaca, the Colour AsCii Art library. . cacaview is a simple image viewer for the terminal. It opens most image formats such as JPEG, PNG, GIF etc. and renders them on the terminal using ASCII art. The user can zoom and scroll the image, set the dithering method or enable anti-aliasing. . cacafire is a port of AALib's aafire and displays burning ASCII art flames. . cacademo is a tiny graphic program that renders animated ASCII metaballs, matrix effects, colourful moiré circles and old school plasma effects. Package: cachefilesd Version: 0.9-3.1 Architecture: armhf Maintainer: Shane Wegner Installed-Size: 119 Depends: libc6 (>= 2.4) Priority: optional Section: misc Filename: pool/main/c/cachefilesd/cachefilesd_0.9-3.1_armhf.deb Size: 30158 SHA256: 5f8f41cc53fe7ef952c30b2fb759a2d99738f2f71ab8794fb3492ffd02094604 SHA1: 61d7a607614c4a758b69668b1ebf088601056ea8 MD5sum: c30b454edaa45743674952bdb7357684 Description: support fscache on already mounted filesystem FSCache is a generic caching manager in the Linux kernel which can be used by network and other filesystems to cache data locally. . CacheFiles is an FSCache backend that's meant to use as a cache a directory on an already mounted filesystem of a local type (such as Ext3). This package installs the userspace support required by the cachefiles backend. Package: cacti Version: 0.8.8a+dfsg-5+deb7u10 Installed-Size: 4908 Maintainer: Cacti Maintainer Architecture: all Depends: dbconfig-common (>= 1.8.8), libapache2-mod-php5 | libapache2-mod-fcgid | php5-cgi, libphp-adodb (>= 4.50-1), php5-cli, php5-mysql, php5-snmp, rrdtool, snmp, ucf, virtual-mysql-client, debconf (>= 0.5) | debconf-2.0, perl Suggests: php5-ldap, moreutils Size: 2150918 SHA256: a96ebfb181923fc906aa826cfd2f643701e6967c0cdf227b9e65eb5b13daad27 SHA1: 980a17a0ac5472b65300dc1661820b8cb586fdd8 MD5sum: ef03a8ea8994075d6b326ce9ef635488 Description: web interface for graphing of monitoring systems Cacti is a complete PHP-driven front-end for RRDTool. It stores all of the necessary data source information to create graphs, handles the data gathering, and populates the MySQL database with round-robin archives. It also includes SNMP support for those used to creating traffic graphs with MRTG. . This package requires a functional MySQL database server on either the installation host or a remotely accessible system. Homepage: http://www.cacti.net/ Recommends: apache2 | lighttpd | nginx | httpd, inetutils-ping | iputils-ping, logrotate, libjs-jquery, libjs-jquery-cookie, mysql-server Section: web Priority: extra Filename: pool/main/c/cacti/cacti_0.8.8a+dfsg-5+deb7u10_all.deb Package: cacti-spine Version: 0.8.8a-1 Architecture: armhf Maintainer: Cacti Maintainer Installed-Size: 158 Depends: cacti (>= 0.8.6c), dbconfig-common, ucf, debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libmysqlclient18 (>= 5.5.24+dfsg-1), libsnmp15 (>= 5.4.3~dfsg), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4) Suggests: snmp-mibs-downloader Breaks: cacti-cactid (<< 0.8.7a-1) Replaces: cacti-cactid Provides: cacti-cactid Homepage: http://cacti.net/ Priority: extra Section: web Filename: pool/main/c/cacti-spine/cacti-spine_0.8.8a-1_armhf.deb Size: 63124 SHA256: affb6956f14c97167bc23eb9bb15738f65fd016ad558e86b488901669a696e44 SHA1: b365dc3ce10c3d5044bf7f973adb72fe0ce94f4c MD5sum: 5c9a2b98c9b091ef0d650af021318fb9 Description: Multi-Threading poller for cacti Spine (formerly cactid) is an experimental replacement for the default cmd.php poller in the cacti package. It primarily strives to be as fast as possible, and is designed for sites with large numbers of hosts and devices polled. . If the default poller provided by cacti works for you, then you should not use this package. You should only use this package if the default poller is unable to complete a polling cycle in the required amount of time. Package: cadabra Version: 1.29-1 Architecture: armhf Maintainer: Iulian Udrea Installed-Size: 1565 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgmp10, libgmpxx4ldbl, libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libmodglue1, libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libpcrecpp0 (>= 7.7), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), dpkg (>= 1.14.18), tex-common (>= 3), lie, dvipng, texlive, texlive-math-extra, texlive-latex3, texlive-fonts-extra, ttf-lyx Homepage: http://cadabra.phi-sci.com/ Priority: optional Section: math Filename: pool/main/c/cadabra/cadabra_1.29-1_armhf.deb Size: 657564 SHA256: fbf21219eaf30fcc25c29953d4d3b4e68ffecc8145d8443b10f32011570d3927 SHA1: e2c9889f15d3feb9b59361aba446301f91560858 MD5sum: 2d10217ed5b3c491b016db3133145e5e Description: field-theory motivated computer algebra system Cadabra is a computer algebra system designed specifically for the solution of problems encountered in field theory. It has extensive functionality for tensor polynomial simplification including multi-term symmetries, fermions and anti-commuting variables, Clifford algebras and Fierz transformations, implicit coordinate dependence, multiple index types and many more. The input format is a subset of TeX. Package: cadaver Version: 0.23.3-1 Architecture: armhf Maintainer: Sebastian Harl Installed-Size: 253 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libneon27-gnutls, libreadline6 (>= 6.0) Homepage: http://www.webdav.org/cadaver/ Priority: optional Section: web Filename: pool/main/c/cadaver/cadaver_0.23.3-1_armhf.deb Size: 97192 SHA256: 7e27d3c460a0a9366a069c5fb3478d2a3ba6d97d904c7c5034a43197c95ecf37 SHA1: ec166788579819766680cbd0ccd4b0940fac7eca MD5sum: 4513374099e5227d0afc07d61b9d90b0 Description: command-line WebDAV client cadaver supports file upload, download, on-screen display, in-place editing, namespace operations (move/copy), collection creation and deletion, property manipulation, and resource locking. . Its operation is similar to the standard BSD ftp(1) client and the Samba Project's smbclient(1). . This package includes GnuTLS (HTTPS) support. . WebDAV (Web-based Distributed Authoring and Versioning) is a set of extensions to the HTTP protocol which allow users to collaboratively edit and manage files on remote web servers. Package: cadubi Version: 1.3-2 Installed-Size: 116 Maintainer: Marcela Tiznado Architecture: all Depends: perl, libterm-readkey-perl Size: 20410 SHA256: 1cb2eb62bfe29b1f9628935c10b7dcba1b889837d668378015087440fbf5c744 SHA1: 56d0571b95acb6f747c5742da15c1908659d22d9 MD5sum: fd18dcebb9c30d678c8b5a3ab4cb3fa2 Description: Creative ASCII Drawing Utility By Ian CADUBI is an application written in Perl that allows you to draw text-based images that are viewable on typical unix-based consoles. Usually the applications that emulate these consoles support various text modes, such as background and foreground colors, bold, and inverse. . This text art, commonly called "ASCII art", is used in various places such as online BBSes, email and login prompts. Tag: role::program, use::editing Section: graphics Priority: optional Filename: pool/main/c/cadubi/cadubi_1.3-2_all.deb Package: cain Version: 1.9-4 Installed-Size: 24301 Maintainer: Debian Med Packaging Team Architecture: all Depends: python (>= 2.6.6-7~), python (<< 3.0), python-wxgtk2.8, python-matplotlib, python-numpy, python-scipy, python-sympy, cain-solvers Recommends: cain-examples Size: 18014920 SHA256: 1a0161457fad3db75d6cb2942b2594797aa0fdd02829421afbf64091f2cdfa0b SHA1: 523fbf821ca845c45430908d2754426df9d3f41a MD5sum: 1bbc1aa0e771e8f38b3fb4a86d2f7322 Description: simulations of chemical reactions Cain performs stochastic and deterministic simulations of chemical reactions. It can spawn multiple simulation processes to utilize multi-core computers. It stores models, methods, and simulation output (populations and reaction counts) in an XML format. In addition, SBML models can be imported and exported. The models and methods can be read from input files or edited within the program. . The GUI (Graphical User Interface) is written in Python and uses the wxPython toolkit. Most of the solvers are implemented as command line executables, written in C++, which are driven by Cain. This makes it easy to launch batch jobs. It also simplifies the process of adding new solvers. Cain offers a variety of solvers: * Gillespie's direct method. * Gillespie's first reaction method. * Gibson and Bruck's next reaction method. * Tau-leaping. * Hybrid direct/tau-leaping. * ODE integration. . This package provides the architecture independent files for cain Homepage: http://cain.sourceforge.net Section: science Priority: extra Filename: pool/main/c/cain/cain_1.9-4_all.deb Package: cain-examples Source: cain Version: 1.9-4 Installed-Size: 1419 Maintainer: Debian Med Packaging Team Architecture: all Depends: cain Size: 266144 SHA256: 0a75f5585e1672bd9506bb0563e55f92829b40c4da7e27f7e97d7f93a4a85d8f SHA1: 0b82d3d98991fa807a621d2a771b870067468622 MD5sum: 5c550e90864ccab6f75cf9fc1dd3a673 Description: simulations of chemical reactions Cain performs stochastic and deterministic simulations of chemical reactions. It can spawn multiple simulation processes to utilize multi-core computers. It stores models, methods, and simulation output (populations and reaction counts) in an XML format. In addition, SBML models can be imported and exported. The models and methods can be read from input files or edited within the program. . The GUI (Graphical User Interface) is written in Python and uses the wxPython toolkit. Most of the solvers are implemented as command line executables, written in C++, which are driven by Cain. This makes it easy to launch batch jobs. It also simplifies the process of adding new solvers. Cain offers a variety of solvers: * Gillespie's direct method. * Gillespie's first reaction method. * Gibson and Bruck's next reaction method. * Tau-leaping. * Hybrid direct/tau-leaping. * ODE integration. . This package provides the cain examples Homepage: http://cain.sourceforge.net Section: science Priority: extra Filename: pool/main/c/cain/cain-examples_1.9-4_all.deb Package: cain-solvers Source: cain Version: 1.9-4 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 6614 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libeigen2-dev Homepage: http://cain.sourceforge.net Priority: extra Section: science Filename: pool/main/c/cain/cain-solvers_1.9-4_armhf.deb Size: 3000948 SHA256: 10faa9cc68d5ca5af32d6a9f71537f15113d170295f6efa7c62b8a988fba32c5 SHA1: a9fe1873fae4905b5949a8c46b21b7adc8841555 MD5sum: 23c0336670655283316f5294d0b91586 Description: simulations of chemical reactions Cain performs stochastic and deterministic simulations of chemical reactions. It can spawn multiple simulation processes to utilize multi-core computers. It stores models, methods, and simulation output (populations and reaction counts) in an XML format. In addition, SBML models can be imported and exported. The models and methods can be read from input files or edited within the program. . The GUI (Graphical User Interface) is written in Python and uses the wxPython toolkit. Most of the solvers are implemented as command line executables, written in C++, which are driven by Cain. This makes it easy to launch batch jobs. It also simplifies the process of adding new solvers. Cain offers a variety of solvers: * Gillespie's direct method. * Gillespie's first reaction method. * Gibson and Bruck's next reaction method. * Tau-leaping. * Hybrid direct/tau-leaping. * ODE integration. . This package provides the solver libraries Package: cairo-5c Version: 1.8.1 Architecture: armhf Maintainer: Keith Packard Installed-Size: 207 Depends: nickle, libcairo5c-0 Priority: optional Section: libs Filename: pool/main/c/cairo-5c/cairo-5c_1.8.1_armhf.deb Size: 56408 SHA256: 612cfeda0cf8094f3bfa89a0f20cb68fed2b80b497699e76fbc25d62aaa12f28 SHA1: 4bb3efaf3e35c445438d0791ccb4197d4221f353 MD5sum: 81f5b659e33c2e402c54cce8ab45ca04 Description: nickle bindings for the cairo graphics library This package contains the nickle code to load and use the cairo-5c library Package: cairo-clock Version: 0.3.4-2 Architecture: armhf Maintainer: Bart Martens Installed-Size: 2681 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27) Recommends: x11-common (>= 7.0.0) Suggests: compiz Homepage: http://macslow.thepimp.net/index.php?page_id=23 Priority: optional Section: x11 Filename: pool/main/c/cairo-clock/cairo-clock_0.3.4-2_armhf.deb Size: 335900 SHA256: e4bde670e7dd84fca83f1db74aacf2632ca05d2a7f37c3606d011b38400c1f47 SHA1: 19ea281ead8b93360c1ba767a9dc9037b89961b7 MD5sum: ca462faf450944e21610fdc0e6db134f Description: Analog clock drawn with vector-graphics It is an analog clock displaying the system-time. It leverages the new visual features offered by Xorg 7.0 in combination with a compositing-manager (e.g. like xcompmgr or compiz), GTK+ 2.10.0, cairo 1.2.0, libglade 2.6.0 and librsvg 2.14.0 to produce a time display with pretty-pixels. Package: cairo-dock Version: 3.0.0-2+deb7u1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 34 Depends: cairo-dock-core (= 3.0.0-2+deb7u1), cairo-dock-plug-ins (>= 3.0.0) Conflicts: cairo-dock (<= 2.1.3.5-2) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock/cairo-dock_3.0.0-2+deb7u1_armhf.deb Size: 8106 SHA256: 4325319de1913e6a25aed2566d759b7de69001e25cc6e58e07f96d95c3801123 SHA1: 09147cec47b16a23c5ce8b78e9d0631d94075354 MD5sum: 1a9180f8caacd59c7521c1c418dfcf10 Description: Light eye-candy fully themable animated dock for Linux desktop Cairo-dock has a family-likeness with OS X dock, but with more options. It uses cairo to render nice graphics, and Glitz to use hardware acceleration. It's fully configurable and can be a taskbar too. You can easily plug applets into it. . This is metapackage that provides the components necessary for running Cairo-dock. This installs a cairo-dock-core application and cairo-dock-plugins package easily. . And Cairo-dock installs cairo-dock-core and plugins and makes sense as Cairo-dock. Package: cairo-dock-alsamixer-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 320 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdbusmenu-glib4 (>= 0.4.2), libdbusmenu-gtk3-4 (>= 0.4.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk-3-0 (>= 3.0.0), libido3-0.1-0 (>= 0.1.9), libindicator3-7, libpango1.0-0 (>= 1.22.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-alsamixer-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-alsamixer-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-alsamixer-plug-in_3.0.0-1_armhf.deb Size: 97056 SHA256: c6fb3a8853c1538761c4384df08717b05a83f80c55669c20ca41b4d0a542534d SHA1: 745140f83c96d6f01952b2f54f229115bbfea6cb MD5sum: 2c8af2717f3774277219d1c2be37417d Description: Cairo-dock - Alsamixer plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in lets you control the sound volume from the dock. This works with the Alsa sound driver. Package: cairo-dock-animated-icons-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 218 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-animated-icons-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-animated-icons-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-animated-icons-plug-in_3.0.0-1_armhf.deb Size: 117218 SHA256: 8e9978fb3e479ee2ef19e14c2e281af2106cc9fc06b94cd77dafcbc3b89c4280 SHA1: 197152cc73c686d4d6b7c601e08da0f69def3d86 MD5sum: f87f8d00dcd7b3695c96e8b093ab9ac6 Description: Cairo-dock - Animated icons plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in provides many different animations for your icons. Package: cairo-dock-cairo-penguin-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 206 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-cairo-penguin-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-cairo-penguin-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-cairo-penguin-plug-in_3.0.0-1_armhf.deb Size: 108400 SHA256: f1e0cf5f60c18bc12d27fff85a7dc0cb2261efe769a2b84d9560a284302a3d3c SHA1: 3f2c97508c3ca0cc28a90f89e32cbe4c24e2c669 MD5sum: 01c5ee4e91eb6cf660d123b03b4d16f5 Description: Cairo-dock - Cairo-Penguin plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in adds a lively Penguin in your dock. Tux images are taken from Pingus, some other characters are available or can be added easily. Package: cairo-dock-clipper-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 329 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.14.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-clipper-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-clipper-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-clipper-plug-in_3.0.0-1_armhf.deb Size: 79266 SHA256: 873c038e41748f327e868dd3adefcac95a38a22a5282e4127b34f51dcd9d8a8b SHA1: e2f5381bc2d0a33cdc97ce3a9ab929cf9cc94738 MD5sum: a2c60daf944996af6e071918918d2a28 Description: Cairo-dock - Clipper plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in keeps a trace of the clipboard and mouse selection, so that you can recall them quickly. It's a clone of the well-know Klipper. This supports clipboard and mouse selection, predefined actions, and persistent items. Package: cairo-dock-clock-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 315 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libical0 (>= 0.31), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-clock-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-clock-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-clock-plug-in_3.0.0-1_armhf.deb Size: 83724 SHA256: a4b473d1d051c1bb9b086417dfb00c87ef4be48b111c6b64df2c4ecd717029d1 SHA1: ef24b89629166e82bce9113b641847add631d21d MD5sum: 91b84fc2a60f77fed3bbba61a19baf18 Description: Cairo-dock - Clock plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in displays time and date in your dock. Two views are available : numeric and analogic, based on Cairo-Clock. This is compatible with the Cairo-Clock's themes, and you can detach itself to be a perfect clone of Cairo-Clock. And this supports alarms, and a basic calendar, and allows you to set time and date. Package: cairo-dock-core Source: cairo-dock Version: 3.0.0-2+deb7u1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 5041 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libcurl3-gnutls (>= 7.16.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.31.8), libglu1-mesa | libglu1, libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.14.4), libx11-6, libxcomposite1 (>= 1:0.3-1), libxinerama1, libxml2 (>= 2.7.4), libxrender1, libxtst6 Recommends: cairo-dock-plugins (>= 2.1.3.10), curl Suggests: xcompmgr, empathy, f-spot, gcalctool, gimp, inkscape Conflicts: cairo-dock (<= 2.1.3.5-2) Replaces: cairo-dock (<= 2.1.3.5-2) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock/cairo-dock-core_3.0.0-2+deb7u1_armhf.deb Size: 1709874 SHA256: 463151e4f12f85d7ca77f9283f1f8a0a00855466c6facb1bc4edb78bd30b4acd SHA1: d52092b39eaa36cef22e030ab241ec8feca8946a MD5sum: 00d0c4aea7c6f2d5e4e788d42a38f214 Description: Light eye-candy fully themable animated dock for Linux desktop Cairo-dock has a family-likeness with OS X dock, but with more options. It uses cairo to render nice graphics, and Glitz to use hardware acceleration. It's fully configurable and can be a taskbar too. You can easily plug applets into it. . This package provides Cairo-dock-core application. Package: cairo-dock-dbus-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 195 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-dbus-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-dbus-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-dbus-plug-in_3.0.0-1_armhf.deb Size: 57076 SHA256: ece9f4cc08c3ad06df97f77d2d196bd6b5c919f534f91d125cef5c6c94e90d2a SHA1: 749518ebd08759e93d0d7b6518ff0323fd03da7d MD5sum: 51ec1e60ec3e2c4e1da3cc10dfbd39ba Description: Cairo-dock - Dbus plug-in A collection of official plug-ins and applets for cairo-dock . This plug-in lets external applications interact on the dock. The communication between both sides is based on Dbus. Package: cairo-dock-desklet-rendering-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 610 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-desklet-rendering-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-desklet-rendering-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-desklet-rendering-plug-in_3.0.0-1_armhf.deb Size: 442538 SHA256: 86bb3e04b09c74759b94164d18d7fc687fd68c3173456631bfd7c8ab48f76b91 SHA1: 05103d09021fdc1a17b10e19a0926eb6af5317a4 MD5sum: fcab6b92d71270f34f787577d7fcc61e Description: Cairo-dock - Desklet rendering plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in renders your desktop. Package: cairo-dock-dev Source: cairo-dock Version: 3.0.0-2+deb7u1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 566 Depends: cairo-dock-core (= 3.0.0-2+deb7u1) Homepage: http://www.glx-dock.org/ Priority: extra Section: devel Filename: pool/main/c/cairo-dock/cairo-dock-dev_3.0.0-2+deb7u1_armhf.deb Size: 122992 SHA256: 130b4c3c52a26e1d61691955a65f2fa6d13f8a31478cba5894190c28814ec5f3 SHA1: ac7fe97fa9efcaede7891a695bf18478c30579a6 MD5sum: 67e78530dc60e8a9d77eb688b5dcacc1 Description: Cairo-dock develpment file Cairo-dock has a family-likeness with OS X dock, but with more options. It uses cairo to render nice graphics, and Glitz to use hardware acceleration. It's fully configurable and can be a taskbar too. You can easily plug applets into it. . This package provides Cairo-dock development file. Package: cairo-dock-dialog-rendering-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 101 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-dialog-rendering-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-dialog-rendering-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-dialog-rendering-plug-in_3.0.0-1_armhf.deb Size: 23348 SHA256: 9933a28ac7434d0ef87738d25c49cabbb6855e30d2ee91035ca242a4bccdfe9d SHA1: 9730451927abb6e0be7abac42e4a032ba78c11a1 MD5sum: 6febc6b402c3bd2dc65039c63bab90b3 Description: Cairo-dock - Dialog rendering plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in provides some dialog decorators for dialog bubbles. Package: cairo-dock-dnd2share-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 190 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-dnd2share-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-dnd2share-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-dnd2share-plug-in_3.0.0-1_armhf.deb Size: 59968 SHA256: e5b019563c4d446bc8a779452ace9de03087fda40946e28b696e2bd7f9413791 SHA1: c3a53921a677461a8e48b352a5f4146c757dd5da MD5sum: 1d08b76e00d65cc0a588a90fe6331bcb Description: Cairo-dock - Dnd2share plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in lets you share files easily. Drag-and-drop a file on the icon to upload it to one of the available hosting sites. This supports many sites, like DropBox, Imageshack, pastebin, etc. The user can upload text, image, video, and files. Package: cairo-dock-drop-indicator-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 100 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-drop-indicator-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-drop-indicator-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-drop-indicator-plug-in_3.0.0-1_armhf.deb Size: 26880 SHA256: bce43b74c069faeb266e6ade99e2f3cbe2ade7fe96183eb91c2ef39e1fe12c10 SHA1: c5459cf485e2349fc4c1ea82e64000137c23b4ba MD5sum: fe3f7fcb08642591077fce695d7503dc Description: Cairo-dock - Drop indicator plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in displays an animated indicator when you drop something in the dock. Package: cairo-dock-dustbin-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 221 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-dustbin-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-dustbin-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-dustbin-plug-in_3.0.0-1_armhf.deb Size: 68636 SHA256: 80c8cf41522208fba0a419de57dc5bf20b45e0ada31e8257b3eb627147513196 SHA1: 12b80206a8e87b90d18c63219a3051fe34343b2b MD5sum: 64dfec0b1211ae2d3afeed58852675e4 Description: Cairo-dock - Dustbin plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in manages the dustbin. Users can delete files and unmount disks by drag and dropping them on the icon. This can warn users if they use too much space. Package: cairo-dock-folders-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 164 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-folders-plug-in_3.0.0-1_armhf.deb Size: 91880 SHA256: dee25302389b9476776f3c03083971d368ef588f1e96625d71246063aaed4669 SHA1: af5cf9ab3459e8955c649e2141e29f6ebf95f173 MD5sum: 369749bd52115358f313da653cd4acde Description: Cairo-dock - Folders plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in provides that applet imports folders inside the Dock\n" User can have as many instances of this applet as user want, each one with a different folder. Package: cairo-dock-gmenu-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 166 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnome-menu2 (>= 2.27.92), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-gmenu-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-gmenu-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-gmenu-plug-in_3.0.0-1_armhf.deb Size: 56148 SHA256: d45566ab2ad0389c96890b5fc8c264281a148b23626bae6e7d4e44119d1428d3 SHA1: a34d54e0d1a35b336f34b2eec1cdf39c00fa3a73 MD5sum: 124fa6f6cbe6ae38b351be429ae328ce Description: Cairo-dock - GMenu plug-in A collection of official plug-ins and applets for cairo-dock. . Displays the common Applications menu and the Recently used files. This is compatible with any XDG compliant menu (GNOME, Xfce, KDE, ...). Package: cairo-dock-gnome-integration-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 103 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-gnome-integration-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-gnome-integration-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-gnome-integration-plug-in_3.0.0-1_armhf.deb Size: 30678 SHA256: 64ff00c11167401cc7cb0efa12acca6341727328b4152f4ddcbbb195831d894e SHA1: b031a2fa0eebdd2876d0c1a679dc72e131c091b3 MD5sum: 9cbf3e8c4f8cc28be5b1f82bdb4b7931 Description: Cairo-dock - GNOME integration plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in provides functions for a better integration into the GNOME environment. This is auto-activated, so you don't need to activate it. This is designed for the GNOME version >= 2.22. Package: cairo-dock-icon-effect-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 282 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-icon-effect-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-icon-effect-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-icon-effect-plug-in_3.0.0-1_armhf.deb Size: 164912 SHA256: bae4d9032e13e1e246786dd4adc9f8d855aec692da6385d325a2e7610a91c064 SHA1: 8145221eec59621dde6cdc8e84fdf45fc2bc5c60 MD5sum: 7ccd70ab17f9ce846010160ae0846987 Description: Cairo-dock - Icon effect plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in adds many special effects to your icons. Package: cairo-dock-illusion-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 180 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-illusion-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-illusion-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-illusion-plug-in_3.0.0-1_armhf.deb Size: 65164 SHA256: 5c43f987f65e82216ec2997e742e599b5c88704597c0ed2a25ba77a84e358283 SHA1: 6c43d06fd7922114c650e96729b46baa8316d05c MD5sum: faedd01565dfacf208f0075b0eff42c0 Description: Cairo-dock - Illusion plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in provides animations for appearance and disappearance of icons. Package: cairo-dock-impulse-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 173 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfftw3-3, libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.14.0), libpulse0 (>= 0.99.1), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1), pulseaudio Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-impulse-plug-in_3.0.0-1_armhf.deb Size: 54808 SHA256: 1f3bfa20602e37612b4a4f99a12b4169ad20d4c52838760584309527f8ada0b2 SHA1: 9995671b6f99a02e0c0402e89dc6341c80a9965a MD5sum: cbf5cfe57de52fea58cafed96ed524a1 Description: Cairo-dock - Implus plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in provides the function which an icon dances according to music. It will analyse the signal given by PulseAudio. Package: cairo-dock-kde-integration-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 99 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-kde-integration-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-kde-integration-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-kde-integration-plug-in_3.0.0-1_armhf.deb Size: 28736 SHA256: 60b02154cc55be0d79543f01191a6bedf6a57fdefdf5697bd6e4cbd8ee8d55e6 SHA1: f95848a0e502daa5648dc881b87085d7bc96b645 MD5sum: 4f919e8d283dae39f1927231c33ddf54 Description: Cairo-dock - KDE integration plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in provides functions for a better integration into the KDE environment. This is auto-activated, so you don't need to activate it. It is designed for KDE4. Package: cairo-dock-keyboard-indicator-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 100 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.14.0), librsvg2-2 (>= 2.14.4), libxklavier16 (>= 5.0), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-keyboard-indicator-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-keyboard-indicator-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-keyboard-indicator-plug-in_3.0.0-1_armhf.deb Size: 32348 SHA256: fcbffb76e6bffd9ef2373fef2da72b973bd17d35ea629b8dd2d4b7c8d11c2fff SHA1: 73e60ba05cbe21767930a2fb0bc245be5519477c MD5sum: 03655d4a8a0cca2f7179faa99264b106 Description: Cairo-dock - Keyboard indicator plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in lets you control the keyboard layout. This can also display the current num and caps lock. Package: cairo-dock-logout-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 153 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.14.0), librsvg2-2 (>= 2.14.4), libupower-glib1 (>= 0.9.0), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-logout-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-logout-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-logout-plug-in_3.0.0-1_armhf.deb Size: 36268 SHA256: 3999e41e828a450e6f79a97356bfebd4b3296f1f72cac628bdc4f9d935b2af58 SHA1: c677c72014c344e6bd102b976ccfd9e676504cea MD5sum: e91181a280aa8f3757c7071ea05960d6 Description: Cairo-dock - Logout plug-in A collection of official plug-ins and applets for cairo-dock. . A very simple plug-in that adds an icon to log out from your session. Package: cairo-dock-mail-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 584 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libcurl3-gnutls (>= 7.16.2), libdb5.1, libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libetpan15 (>= 1.0), libexpat1 (>= 2.0.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnutls26 (>= 2.12.17-0), liblockfile1 (>= 1.0), librsvg2-2 (>= 2.14.4), libsasl2-2 (>= 2.1.24), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-mail-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-mail-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-mail-plug-in_3.0.0-1_armhf.deb Size: 339302 SHA256: 66969e0e478e59c6880f057f6a3a7b7feb3c2a79ffbb82d42b7a80ae16e3886b SHA1: a6d73ec8540cea166ab90fa0148147ea8f3c6fe0 MD5sum: 8cfb4c8ec5020e0a2f73f2d58b8c79fb Description: Cairo-dock - Mail plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in is very useful to warn you when you get new e-mails. This can check in any kind of mailbox (yahoo, gmail, etc). Package: cairo-dock-messaging-menu-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 151 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdbusmenu-glib4 (>= 0.4.2), libdbusmenu-gtk3-4 (>= 0.4.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk-3-0 (>= 3.0.0), libindicator3-7, libpango1.0-0 (>= 1.18.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-messaging-menu-plug-in_3.0.0-1_armhf.deb Size: 44522 SHA256: 523fb3008b592f5505b22a3d543ce1c6177e8eed245b34a486bcdb52664331b7 SHA1: a35eb22f0d8e784cf9fa3214b985039efb7d4a97 MD5sum: 7c9afed7bfe142c62986a7ffda96835b Description: Cairo-dock - Messaging menu plug-in A collection of official plug-ins and applets for cairo-dock. . This provide a menu that notices you about new messages from Mail or Chat applications. It handles Evolution, Pidgin, Empathy, etc. Package: cairo-dock-motion-blur-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 80 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-motion-blur-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-motion-blur-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-motion-blur-plug-in_3.0.0-1_armhf.deb Size: 21246 SHA256: 055b78870d1d4c9a4ae3886cdc98960f860bf9457c151b557fbe8973deef0da4 SHA1: b67f250c33af20683c9de0f44c17bfff41b5dd13 MD5sum: 440ed594da3fadbae92ad332210857ef Description: Cairo-dock - Motion blur plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in adds a motion blur effect on docks. Package: cairo-dock-musicplayer-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 602 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Suggests: audacious | amarok | banshee | exaile | listen | quodlibet | rhythmbox | xmms2 Conflicts: cairo-dock-musicplayer-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-musicplayer-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-musicplayer-plug-in_3.0.0-1_armhf.deb Size: 366564 SHA256: 0ad8d9773ec1b753f82fcfb601c88be218b53be38a6a9409b0da6ccce8abd582 SHA1: 7e439ac5d6e5603f4da3ab649e2b6a4f3de190ce MD5sum: d20cdb4002f1fed57ab2b5fe22c61a9e Description: Cairo-dock - Music player plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in lets you control any music player. The User can drag and drop songs on the icon to put them in the queue (depends on the player). Package: cairo-dock-netspeed-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 253 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-netspeed-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-netspeed-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-netspeed-plug-in_3.0.0-1_armhf.deb Size: 191638 SHA256: b3e0ec97a29d405b9a84e3a42ad377b2099d109b547f5fa02a91606ed8330dcb SHA1: 38259b0d8cec5ae7ed0770ba28c15c4e4571c7be MD5sum: c115058e94e76147d71b5cd417e0b8b9 Description: Cairo-dock - Netspeed plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in shows you the bit rate of your internet connection and some stats about it. Package: cairo-dock-plug-in-data Source: cairo-dock-plug-ins Version: 3.0.0-1 Installed-Size: 2820 Maintainer: Debian Cairo-dock Maintainers Architecture: all Replaces: cairo-dock-plugin-data (<< 2.4.0~2-1) Conflicts: cairo-dock-plugin-data (<< 2.4.0~2-1) Size: 946154 SHA256: a548c7528b72993ea70f9ab25b6109ce910e94ff9423370c75a6d74a2e8228f0 SHA1: 60a247822ddb7b5b54d94d663c229d2149921008 MD5sum: 7f201c1e570c8f24b6848a36c2d9ca49 Description: Cairo-dock - Plug-in data files A collection of official plug-ins and applets for cairo-dock. . This package provides plug-in data files. Homepage: http://www.glx-dock.org/ Section: x11 Priority: extra Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-plug-in-data_3.0.0-1_all.deb Package: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 43 Depends: cairo-dock-alsamixer-plug-in, cairo-dock-animated-icons-plug-in, cairo-dock-cairo-penguin-plug-in, cairo-dock-clipper-plug-in, cairo-dock-clock-plug-in, cairo-dock-dbus-plug-in, cairo-dock-desklet-rendering-plug-in, cairo-dock-dialog-rendering-plug-in, cairo-dock-drop-indicator-plug-in, cairo-dock-dustbin-plug-in, cairo-dock-icon-effect-plug-in, cairo-dock-illusion-plug-in, cairo-dock-logout-plug-in, cairo-dock-motion-blur-plug-in, cairo-dock-netspeed-plug-in, cairo-dock-powermanager-plug-in, cairo-dock-quick-browser-plug-in, cairo-dock-rendering-plug-in, cairo-dock-shortcuts-plug-in, cairo-dock-showdesktop-plug-in, cairo-dock-showmouse-plug-in, cairo-dock-slider-plug-in, cairo-dock-stack-plug-in, cairo-dock-switcher-plug-in, cairo-dock-systray-plug-in, cairo-dock-tomboy-plug-in, cairo-dock-toons-plug-in, cairo-dock-weather-plug-in, cairo-dock-wifi-plug-in, cairo-dock-xgamma-plug-in, cairo-dock-gmenu-plug-in, cairo-dock-keyboard-indicator-plug-in, cairo-dock-terminal-plug-in, cairo-dock-mail-plug-in, cairo-dock-rssreader-plug-in, cairo-dock-system-monitor-plug-in, cairo-dock-dnd2share-plug-in, cairo-dock-musicplayer-plug-in, cairo-dock-weblets-plug-in, cairo-dock-folders-plug-in, cairo-dock-impulse-plug-in, cairo-dock-messaging-menu-plug-in, cairo-dock-recent-events-plug-in, cairo-dock-remote-control-plug-in Conflicts: cairo-dock-plugins (<< 2.4.0~2-1) Replaces: cairo-dock-plugins (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-plug-ins_3.0.0-1_armhf.deb Size: 8426 SHA256: 36c391057efd70915b290784eeb854927c265ae5189f3140d20b01ba5dea1a9f SHA1: ee914a7feb21b6765536df0c3150a3ab4d5473f0 MD5sum: a71121145ce779ec2ba6f005ec1fa2ea Description: Cairo-dock - All plug-ins A collection of official plug-ins and applets for cairo-dock. . This is a metapackage depending on all available cairo-dock plug-ins to make installation easier. Package: cairo-dock-powermanager-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 181 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.14.0), librsvg2-2 (>= 2.14.4), libupower-glib1 (>= 0.9.0), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1), gnome-power-manager Conflicts: cairo-dock-powermanager-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-powermanager-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-powermanager-plug-in_3.0.0-1_armhf.deb Size: 56698 SHA256: 8211844b81b175decb8e0f7d58546280210633676f39cbd1a2a849ac4b5e33c3 SHA1: 1a702ae56ba202783dd9f099b1a591137f0b69e1 MD5sum: 2494b3b14c3c0ebdee355378bc12bbba Description: Cairo-dock - Powermanager plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in controls the powermanager for your laptop's battery. It works with ACPI and DBus. Package: cairo-dock-quick-browser-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 122 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-quick-browser-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-quick-browser-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-quick-browser-plug-in_3.0.0-1_armhf.deb Size: 37302 SHA256: c728e779c312e127accf3f1a1ed4c846b646df78fc5f99f3c3b237461b60f1d5 SHA1: 56a831a395b53cb13bfbb37a9d1ab19a27c49198 MD5sum: 284471a3a803bb707a0b992f7cef356b Description: Cairo-dock - Quick browser plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in lets you browse a folder and its sub-folders very quickly. Package: cairo-dock-recent-events-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 173 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.14.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), libzeitgeist-1.0-1 (>= 0.3.2), cairo-dock-plug-in-data (= 3.0.0-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-recent-events-plug-in_3.0.0-1_armhf.deb Size: 71960 SHA256: c1c338e874624513a2cd8d6b1367e456e14fcf2345b819be18e6c5d6d2c507c6 SHA1: 675603a5274c695dd6c0ef4463af74af844fa703 MD5sum: a0417addf2f5b12bc22940847790d520 Description: Cairo-dock - Recent events plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in remembers you last actions to help you working faster. Package: cairo-dock-remote-control-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 112 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-remote-control-plug-in_3.0.0-1_armhf.deb Size: 34006 SHA256: 411324329e26eb1e376cb0fe9e6a16a968ff7885d363ffded1e207ee90c555ee SHA1: 4d46d782d4452648f33cd74ee7567f24c927ce27 MD5sum: 7dbddb66488c81905e2e0111c5b2209e Description: Cairo-dock - Remote control plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in lets you control dock from the keyboard, or even a remote controller. Package: cairo-dock-rendering-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 332 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-rendering-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-rendering-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-rendering-plug-in_3.0.0-1_armhf.deb Size: 218766 SHA256: 55cbccd487a75065bfe9c9fdd8d98b48b101df71773e3451cf0ed841fbb9f19c SHA1: 65ecaefe6f4a3447016eb1dd92ee935e7d153e5b MD5sum: 524f036fe9873dca63d0cf230ff656ad Description: Cairo-dock - Rendering plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in adds different views to your dock. Any dock or sub-dock can be displayed with the view of your choice. Currently, 3D-plane, Caroussel, Parabolic, Rainbow, Slide, and Curve views are provided. Package: cairo-dock-rssreader-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 123 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.7.4), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-rssreader-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-rssreader-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-rssreader-plug-in_3.0.0-1_armhf.deb Size: 43534 SHA256: 035040e99f0ee24f57ca3d32414ee5e4ca608c25158d2ffb815203827dbcdb75 SHA1: 15af135726ef8a48a51dc2cd29e5960a28bd8d15 MD5sum: f7a9ff11b1e779acbf597aa1ab40c28c Description: Cairo-dock - RSS Reader plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in is an RSS/Atom feed reader. You can instantiate it as many times as you want. Package: cairo-dock-shortcuts-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 123 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1), nautilus Conflicts: cairo-dock-shortcuts-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-shortcuts-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-shortcuts-plug-in_3.0.0-1_armhf.deb Size: 49194 SHA256: d3911c526f308b259af74039fa380e627f05fb4a63f78594ea80e48def1b972b SHA1: 82408300371076242300842f08dbce09eb8e2838 MD5sum: a95168a4e0ce03d805d579e86f08491d Description: Cairo-dock - Shortcuts plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in lets you access quickly all of your shortcuts. This can manage disks, network points, and Nautilus bookmarks. Package: cairo-dock-showdesktop-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 96 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), libxrandr2 (>= 4.3), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-showdesktop-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-showdesktop-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-showdesktop-plug-in_3.0.0-1_armhf.deb Size: 31584 SHA256: ca8c55ec9742b044570a6c2e813f7bfcad13e2542cfd537b28f641a2a5264d92 SHA1: 4db3604b886ed474a029f04128027f595a56394d MD5sum: 750507a31554f70387f1466f7cf2263f Description: Cairo-dock - Show desktop plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in adds an icon to show your desktop, and also the desklets, the Widget Layer, or all the desktops at once. Package: cairo-dock-showmouse-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 94 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-showmouse-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-showmouse-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-showmouse-plug-in_3.0.0-1_armhf.deb Size: 31132 SHA256: 67fad822d43aa38e7a1a261ba1db171950d0c86825e29c89967468b9ab2f3db6 SHA1: 7c190b5d84e9a0e9cc91fa74c71c031e3ec6e55b MD5sum: e21dea4c83b54e7b862f30b45c7ab5ba Description: Cairo-dock - Showmouse plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in draws some animation around the cursor when it's inside a dock/desklet. Package: cairo-dock-slider-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 120 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libexif12, libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.14.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-slider-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-slider-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-slider-plug-in_3.0.0-1_armhf.deb Size: 44274 SHA256: 560eb39f6ef59e81f65242500c124041b0b04ed93afd51bc66a0e7069515af95 SHA1: f88e4c37efcdf66f571ef4871cb9ec710a5e430a MD5sum: 99b3ece76a2b4086c057ca088737a984 Description: Cairo-dock - Slider plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in is a basic image slider. Users just have to select a directory and a display effect and you're done. Package: cairo-dock-stack-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 178 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-stack-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-stack-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-stack-plug-in_3.0.0-1_armhf.deb Size: 95318 SHA256: 81ef2ca1827f632aef6d6115d488d489ab7cb0de4df3c7cf464c59cb76bc6512 SHA1: 2cf244a9757e66e3d0803e5005d885da0fc24737 MD5sum: 9f6baa24bf1be66f6343e8302266dd28 Description: Cairo-dock - Stack plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in allows you to build a stack of files, just like the Stacks applet of MacOS X. Package: cairo-dock-switcher-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 137 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.14.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-switcher-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-switcher-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-switcher-plug-in_3.0.0-1_armhf.deb Size: 46210 SHA256: d5890fedc1de02973ba8a5da773ced834328912b45326974b3557fcfaaf43054 SHA1: 7e8c10111ac3d644e9383c6cfa121d6436144d3b MD5sum: d806e4db79cf8c0dae70125ac5c51c94 Description: Cairo-dock - Switcher plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in allows you to interact with your workspaces. This has 2 modes : compact on one icon and expanded with a sub-dock. Package: cairo-dock-system-monitor-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 155 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), librsvg2-2 (>= 2.14.4), libsensors4 (>= 1:3.0.0), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-system-monitor-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-system-monitor-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-system-monitor-plug-in_3.0.0-1_armhf.deb Size: 47862 SHA256: 52deac22d0efa1676bcaf039a1a3aa8c056a11d2a89cfd821a2ca31754768fe3 SHA1: 282d6dc6b4a6f171f9937e7ce3406565f53cbbd5 MD5sum: d09f8bb939e2aeb1fd41b8572f4a38f0 Description: Cairo-dock - System Monitor plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in shows you the CPU load, RAM usage, graphic card temperature, etc. The user can instantiate this applet several times to show different values each time. Package: cairo-dock-systray-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 118 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-systray-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-systray-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-systray-plug-in_3.0.0-1_armhf.deb Size: 35430 SHA256: 58301e1d605875c2d543ab7beb8c21ffb9dfcc3c97fb743a2487f9618bf2d142 SHA1: 77b8ecd27f717524c640278066d618a3647088b5 MD5sum: f33053d74ea69b2036cdbb340c8b81fe Description: Cairo-dock - Systray plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in adds the system tray to your dock. Package: cairo-dock-terminal-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 163 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.14.4), libvte-2.90-9 (>= 1:0.27.2), libx11-6, libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-terminal-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-terminal-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-terminal-plug-in_3.0.0-1_armhf.deb Size: 90960 SHA256: 0d047361b943248bc82ddf873ca46b436cadecb93e7f32f3a3cff4d6b16c69d1 SHA1: b120015bea236c27f35f589af1a58b2839486431 MD5sum: 971590e3a7b9d6bb9592c847eb31287d Description: Cairo-dock - Terminal plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in add a terminal to cairo-dock. The user can drag'n'drop files or text and select an action. Package: cairo-dock-tomboy-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 388 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1), gnote | tomboy Conflicts: cairo-dock-tomboy-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-tomboy-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-tomboy-plug-in_3.0.0-1_armhf.deb Size: 77682 SHA256: 395f62092083c9d66d5c19e4a932093f854b0f503a36791aa7b4b78c1ee83ed9 SHA1: fe8a473b41cf0fc749ca982ee2de14d653700a4c MD5sum: b635e8a6b6f37f86d09297fab8b2ca50 Description: Cairo-dock - Tomboy plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in controls Gnote or TomBoy's notes directly in the dock. User can search inside notes and display their content on the icons. Package: cairo-dock-toons-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 115 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-toons-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-toons-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-toons-plug-in_3.0.0-1_armhf.deb Size: 32718 SHA256: 754e15de460603645e94f83677a6c4a43447cd92b681d8850cc2794158cab911 SHA1: 085e0880d07085b9f25a4b65ecc780f9f2c4a2f5 MD5sum: 775b9e226f5cfd3c22f60a348fc25ed0 Description: Cairo-dock - Toons plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in displays a toon that will look at user's mouse. Package: cairo-dock-weather-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 353 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.7.4), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-weather-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-weather-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-weather-plug-in_3.0.0-1_armhf.deb Size: 276144 SHA256: c05e61579bc364d725ad1aef5c13a2f174795f32f92d71cbd328a8f68f8e2cc5 SHA1: 732f2ac63e33499a3d24184348d2d195281661e4 MD5sum: f6b6f15da4e28f12084513e0511cfcbf Description: Cairo-dock - Weather plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in displays weather into your dock. Data are provided by www.weather.com, users can find the location in the config panel. Package: cairo-dock-weblets-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 101 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libjavascriptcoregtk-3.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.14.4), libsoup2.4-1 (>= 2.4.0), libwebkitgtk-3.0-0 (>= 1.3.10), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-weblets-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-weblets-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-weblets-plug-in_3.0.0-1_armhf.deb Size: 37134 SHA256: 47b94989c5720bd5dbe70f70caa94b954a490c513511cba95b2e88a0dc6cda0c SHA1: caf85dd2765c7e47f4899dd93b486984f63d6f23 MD5sum: 8025cddc6c4800eea99ab6e71871aa3a Description: Cairo-dock - Weblets plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in allows you to show an interactive web page on your desktop. Package: cairo-dock-wifi-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 273 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-wifi-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-wifi-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-wifi-plug-in_3.0.0-1_armhf.deb Size: 68300 SHA256: b4436c867a39d26dedb61161bb99f10dba7ff40161ca2bddcae017c3a14a7e84 SHA1: 0e2b3974504f6bea46a5798c813d651821a791ff MD5sum: fbd53bd5920af20e497761faf116425b Description: Cairo-dock - Wifi plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in shows you the signal strength of the first active Wi-Fi connection. Package: cairo-dock-xfce-integration-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 102 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), librsvg2-2 (>= 2.14.4), libxml2 (>= 2.6.27), cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-xfce-integration-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-xfce-integration-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-xfce-integration-plug-in_3.0.0-1_armhf.deb Size: 29638 SHA256: c279e70c9873d9bcfb58e3b020eacd82c6933af8d10668e417ce6a735465921b SHA1: 4aeed78eb77f67896e342810f525579564860313 MD5sum: a880bd7a42e2e1f5712a06fbd2d6c4bc Description: Cairo-dock - Xfce integration plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in provides functions for a better integration into the Xfce environment. This is auto-activated, so you don't need to activate it. Package: cairo-dock-xgamma-plug-in Source: cairo-dock-plug-ins Version: 3.0.0-1 Architecture: armhf Maintainer: Debian Cairo-dock Maintainers Installed-Size: 130 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libx11-6, libxml2 (>= 2.6.27), libxxf86vm1, cairo-dock-plug-in-data (= 3.0.0-1) Conflicts: cairo-dock-xgamma-plugin (<< 2.4.0~2-1) Replaces: cairo-dock-xgamma-plugin (<< 2.4.0~2-1) Homepage: http://www.glx-dock.org/ Priority: extra Section: x11 Filename: pool/main/c/cairo-dock-plug-ins/cairo-dock-xgamma-plug-in_3.0.0-1_armhf.deb Size: 63624 SHA256: 5266c2fd77eea4d3f33affb6ee217a315c95dcc583a9f24a2a0258d9992ac380 SHA1: 0ca917d003338d84a4b911145af99df61f1e1800 MD5sum: 31a4aecc0d87dbfadd86d65d58957072 Description: Cairo-dock - Xgamma plug-in A collection of official plug-ins and applets for cairo-dock. . This plug-in setup the luminosity of your screen directly from your dock. User can also define a luminosity value that will be applied automatically on startup. Package: cairo-perf-utils Source: cairo Version: 1.12.2-3+deb7u1 Architecture: armhf Maintainer: Dave Beckett Installed-Size: 2065 Depends: libc6 (>= 2.13-28), libcairo-script-interpreter2 (>= 1.10.0), libcairo2 (>= 1.12.0), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libpixman-1-0 (>= 0.10.0), libx11-6, libxcb-render0, libxcb1, libxrender1, zlib1g (>= 1:1.1.4) Homepage: http://cairographics.org/ Priority: optional Section: misc Filename: pool/main/c/cairo/cairo-perf-utils_1.12.2-3+deb7u1_armhf.deb Size: 913300 SHA256: 0a54d99e2257dcd946d3882e65727b63208243c08c8f2827d494f0d783fbb2b0 SHA1: 996330c7127e35de2f62f138ea4005d08509bbb5 MD5sum: 72a828d34c6e8db7fa7314a044f42e8e Description: The Cairo 2D vector graphics library performance utilities Cairo is a multi-platform library providing anti-aliased vector-based rendering for multiple target backends. . This package contains a set of utilities for capturing and replaying cairo execution traces using various backends, in order to be able to measure the performance of cairo for real-world applications in a reproducible way. Package: cakephp Version: 1.3.15-1+deb7u2 Installed-Size: 6854 Maintainer: Chris Lamb Architecture: all Replaces: cakephp1.2 Depends: php5 Suggests: cakephp-instaweb, php5-mysql Conflicts: cakephp1.2 Size: 905746 SHA256: 4986b5b4ce235c4c1218a20605361672d4cdf3d76830aa3ca2785d3194af0543 SHA1: 205530008602e302ef0092ca7d91aec8e24b90b9 MD5sum: fb1ce544a55ed83878f58bf74dd3f842 Description: MVC rapid application development framework for PHP CakePHP is a flexible model-view-controller rapid application development framework for PHP inspired by Ruby on Rails. . CakePHP makes developing applications swiftly and with the least amount of hassle: . * compatibility with PHP4 and PHP5 * integrated CRUD for database interaction and simplified queries including scaffolding * request dispatcher with good looking, custom URLs * fast and flexible templating (PHP syntax, with helpers) * useful core features (access control lists, AJAX integration, etc.) * works from any website subdirectory Homepage: http://www.cakephp.org/ Recommends: cakephp-scripts Section: web Priority: optional Filename: pool/main/c/cakephp/cakephp_1.3.15-1+deb7u2_all.deb Package: cakephp-instaweb Version: 0.5-1 Installed-Size: 56 Maintainer: Chris Lamb Architecture: all Depends: php5-cgi, python, python-twisted-web, cakephp Size: 5588 SHA256: d7e27d5fede5804c24bcf3b8ff023846d03f30c6669cbcb1113387294d84b397 SHA1: 2cf05cd3a28a6643a95de0dd89ed3d129fa88d5e MD5sum: e56e66a28a22df37b43eb7a32f5425d6 Description: Development webserver for CakePHP applications CakePHP is a flexible model-view-controller rapid application development framework for PHP inspired by Ruby on Rails. . This package contains a tiny Python-based webserver suitable for quick development of CakePHP applications, similar to Django's 'runserver' and the 'script/server' script in Ruby on Rails. Homepage: http://chris-lamb.co.uk/projects/cakephp-instaweb Section: web Priority: optional Filename: pool/main/c/cakephp-instaweb/cakephp-instaweb_0.5-1_all.deb Package: cakephp-scripts Source: cakephp Version: 1.3.15-1+deb7u2 Installed-Size: 922 Maintainer: Chris Lamb Architecture: all Replaces: cakephp1.2-scripts Depends: cakephp (>= 1.3.15-1+deb7u2), php5-cli Conflicts: cakephp1.2-scripts Size: 113314 SHA256: a5a94ad6b22b101548533de7fc4a2bbd829800b5f7e7cc97d87da4836aa2b2e7 SHA1: 914391ea3145973b3ebcd519a586e3063033953c MD5sum: eb04d79f8da63e7d7097f57c253b0e1c Description: MVC rapid application development framework for PHP (scripts) CakePHP is a flexible model-view-controller rapid application development framework for PHP inspired by Ruby on Rails. . This package contains the bake.php and acl.php scripts for creating (or "baking") CakePHP applications and modifying Access Control Lists. Homepage: http://www.cakephp.org/ Section: web Priority: optional Filename: pool/main/c/cakephp/cakephp-scripts_1.3.15-1+deb7u2_all.deb Package: calamaris Version: 2.99.4.0-18 Installed-Size: 454 Maintainer: Daniel Echeverry Architecture: all Depends: perl, debconf (>= 0.5) | debconf-2.0, bc Suggests: squid (>= 1.1.0) | squid3, libgd-graph-perl, libnetaddr-ip-perl Size: 129438 SHA256: 2809af851c2f8304e04726b4aeacb8ea3aab5537e1ada25b8f48874209f98d80 SHA1: c0561591b10324830887d0adabc1616a9eee75ac MD5sum: 3fbefdc69adde15bca5ff382f3354d4c Description: log analyzer for Squid or Oops proxy log files Calamaris is a Perl script which generates nice statistics out of Squid or Oops log files. It is invoked daily before the proxy rotates its log files, and mails the statistics or puts them on the web. . Various options are supported for generated reports; some extra features require the suggested Perl modules. Homepage: http://cord.de/tools/squid/calamaris/ Tag: admin::monitoring, implemented-in::perl, interface::commandline, interface::text-mode, interface::web, role::program, security::log-analyzer, use::checking, use::monitor, works-with::logfile, works-with::mail Section: utils Priority: optional Filename: pool/main/c/calamaris/calamaris_2.99.4.0-18_all.deb Package: calcoo Version: 1.3.18-3 Architecture: armhf Maintainer: Edgar Antonio Palma de la Cruz Installed-Size: 127 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://calcoo.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/c/calcoo/calcoo_1.3.18-3_armhf.deb Size: 41572 SHA256: bb1af73f78d78e7344def25b34fe72975a014e87610dcd424e9615ab087d8de3 SHA1: 74df193d1dbc3e5d4ba82be2420e2f297fdd6e73 MD5sum: 6854cf4bbc7104880da00fb6815e8934 Description: Scientific calculator (GTK+) Calcoo is a scientific calculator designed to provide maximum usability. The features that make Calcoo better than (at least some) other calculator programs are: . - bitmapped button labels and display digits to improve readability - no double-function buttons - you need to click only one button for any operation (except for arc-hyp trigonometric functions) - undo/redo buttons - both RPN (reverse Polish notation) and algebraic modes - copy/paste interaction with X clipboard - display tick marks to separate thousands - two memory registers with displays - displays for Y, Z, and T registers Package: calcurse Version: 2.9.2-1 Architecture: armhf Maintainer: Bartosz Fenski Installed-Size: 581 Depends: libc6 (>= 2.7), libncurses5 (>= 5.5-5~), libtinfo5 Homepage: http://calcurse.org Priority: optional Section: utils Filename: pool/main/c/calcurse/calcurse_2.9.2-1_armhf.deb Size: 213530 SHA256: 7bd56dcab4b13db87a23c11cc6a97c86d83c24edd0fc5b7e6090799c5b79199f SHA1: 179ead0846c16d117770befddafbdb76a03295bd MD5sum: 9bf3cd61d5f41e9cdf4bd1aaaa71edea Description: text-based calendar and todo manager CalCurse is a calendar and todo list for the console which allows you to keep track of your appointments and everyday tasks. CalCurse has a nice textmode interface with configurable color schemes, configurable layout and Vi-like keybindings. Package: calendar-google-provider Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 572 Maintainer: Carsten Schoenert Architecture: all Depends: lightning (>= 1:52.8.0-1~deb7u1) Size: 161088 SHA256: 4fe5824bdf849cdbb3b55b71f0492101014b23c2c0101edd5cc3e14167914b60 SHA1: 5238364268a69dde1f7f957c1553c300ef4bea65 MD5sum: 4556fb6f2aeff6bcb41c87c86511243c Description: Google Calendar support for lightning This extension adds support for accessing google calendars in Thunderbird via lightning. . It's a recommended component if you want to connect Thunderbird to your Google calendar. Homepage: http://www.mozilla.org/thunderbird/ Enhances: lightning, thunderbird Section: web Priority: optional Filename: pool/main/t/thunderbird/calendar-google-provider_52.8.0-1~deb7u1_all.deb Package: calendarserver Version: 3.2+dfsg-4+deb7u3 Architecture: armhf Maintainer: Rahul Amaram Installed-Size: 8160 Depends: libc6 (>= 2.13-28), python (>= 2.6.6-7~), python (<< 2.8), memcached, python-zope.interface, python-openssl (>= 0.9), python-kerberos (>= 1.1+svn4241), python-xattr (>= 0.5), python-pysqlite2, python-pygresql (>= 4.0), python-dateutil, python-pycalendar, python-sqlparse (>= 0.1.2), python-twisted-core (>= 11.0.0), python-twisted-conch (>= 11.0.0), python-twisted-mail (>= 11.0.0), python-twisted-web (>= 11.0.0), python-twisted-words (>= 11.0.0), python-plist, ssl-cert, adduser, lsb-base Recommends: python-pam, python-ldap (>= 2.3.13) Homepage: http://www.calendarserver.org/ Priority: optional Section: net Filename: pool/main/c/calendarserver/calendarserver_3.2+dfsg-4+deb7u3_armhf.deb Size: 1498480 SHA256: a5b3f7ee88eb769d1ccd0deb4a3726cab76122f000948a7f438f8913554b6d85 SHA1: 93db4094a580e4890d3f99f130ba0273d6c0c166 MD5sum: 995222e5066505a022d6c4ea0d2b8c1b Description: Apple's Calendar and Contacts Server The open source Calendar and Contacts Server project is a standards-compliant server implementing the CalDAV and CardDAV protocols. It provides a shared location on the network allowing multiple users to store and edit calendaring and contact information. Package: calf-plugins Source: calf Version: 0.0.18.6-5 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 4092 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6) Provides: lv2-plugin Homepage: http://calf.sourceforge.net/ Priority: extra Section: sound Filename: pool/main/c/calf/calf-plugins_0.0.18.6-5_armhf.deb Size: 1254746 SHA256: 56076a9830d0a575d5bc6b137a4f6f34fbb1daaf36f44a10588340b40e6744aa SHA1: ad70e06c3f037d9e54cdf63d077023084f83d10e MD5sum: 7e193c4d7fc1d450ce7cf9c4a1c0d662 Description: pack of audio plugins - effects and instruments The Calf project aims at providing a set of high quality open source audio plugins for musicians. All the included plugins are designed to be used with multitrack software, as software replacement for instruments and guitar stomp boxes. Package: calgebra Source: analitza Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 51 Depends: libanalitza4abi1 (>= 4:4.8.4), libc6 (>= 2.13-28), libqtcore4 (>= 4:4.7.0~beta1), libreadline6 (>= 6.0), libstdc++6 (>= 4.4.0) Breaks: kalgebra-common (<< 4:4.8) Replaces: kalgebra-common (<< 4:4.8) Homepage: http://edu.kde.org/ Priority: optional Section: kde Filename: pool/main/a/analitza/calgebra_4.8.4-2_armhf.deb Size: 11184 SHA256: d9e473c0e4110607c777cbd1322aa4b0f7828b3a776aeca37b960a0211f568f8 SHA1: 23c0751ae5f38a8527a827243981afb5c71d830a MD5sum: dd5eed85e30b15b8453373d86db1a2be Description: console interface for KAlgebra KAlgebra is an algebraic graphing calculator with support for 3D graphing and MathML markup language. This package contains the non graphical console interface for KAlgebra. . This package is part of the KDE education module. Package: calibre Version: 0.8.51+dfsg1-0.1+deb7u1 Installed-Size: 30543 Maintainer: Miriam Ruiz Architecture: all Depends: python2.7, python-dbus, python-imaging, python-lxml, python-mechanize, python-beautifulsoup, python-pkg-resources, python-cssutils (>= 0.9.9~), python-cherrypy3 (>= 3.1.1), python-dateutil, python-feedparser, python-qt4 (>= 4.9.3-4), python-pyparsing, python-routes, python-chardet, xdg-utils, imagemagick, poppler-utils, fonts-liberation, calibre-bin (>= 0.8.51+dfsg1-0.1+deb7u1) Size: 15509648 SHA256: c4d3441f675334b4bd246b6549cb569c6a5feff88204c8b3cd8aa915a1b62b82 SHA1: 75e403935fdd381dc8a105fb6b19fdebc7f7c788 MD5sum: 3f850224d83cc03509a9047a859fdc5a Description: e-book converter and library management Calibre is meant to be a complete e-library solution. It includes library management, format conversion, news feeds to e-book conversion as well as e-book reader sync features. . Calibre is primarily an e-book cataloging program. It manages your e-book collection for you. It is designed around the concept of the logical book, i.e. a single entry in the database that may correspond to e-books in several formats. It also supports conversion from a dozen different e-book formats to LRF and EPUB. A graphical interface to the conversion software can be accessed easily by just clicking the "Convert E-books" button. . Supported input formats are: MOBI, LIT, PRC, EPUB, ODT, HTML, CBR, CBZ, RTF, TXT, PDF and LRS. . Calibre has a modular device driver design that makes adding support for different e-reader devices easy. At the moment, it has support for the SONY PRS 500/505/700 and the iPhone (with the stanza reader software). Syncing supports updating metadata on the device from metadata in the library and the creation of collections on the device based on the tags defined in the library view. If an book has more than one format available, calibre automatically chooses the best format when uploading to the device. . Calibre can automatically fetch news from a number of websites/RSS feeds, format the news into a e-book and upload to a connected device. There is support for generating LRF/EPUB e-books. The e-books include the *full* versions of the articles, not just the summaries. . Calibre has also a built-in e-book viewer that can display all the major e-book formats. Homepage: http://calibre-ebook.com Recommends: python-dnspython Section: text Priority: extra Filename: pool/main/c/calibre/calibre_0.8.51+dfsg1-0.1+deb7u1_all.deb Package: calibre-bin Source: calibre Version: 0.8.51+dfsg1-0.1+deb7u1 Architecture: armhf Maintainer: Miriam Ruiz Installed-Size: 461 Depends: libc6 (>= 2.13-28), libchm1 (>= 0.40), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libmagickcore5 (>= 8:6.7.7.10), libmagickwand5 (>= 8:6.7.7.10), libpng12-0 (>= 1.2.13-4), libpodofo0.9.0, libpoppler19 (>= 0.18.4), libpython2.7 (>= 2.7), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), sip-api-8.1, python2.7 Recommends: calibre (>= 0.8.51+dfsg1-0.1+deb7u1) Homepage: http://calibre-ebook.com Priority: extra Section: text Filename: pool/main/c/calibre/calibre-bin_0.8.51+dfsg1-0.1+deb7u1_armhf.deb Size: 181096 SHA256: 0c2f5b56a4511aa0694f518c5f70beaea8bd7118af3abdf8142da3b4dea75371 SHA1: da2424f6fdc42462f7769db68b44235377d8a613 MD5sum: c8beadeff2388945e286f1708d795e1a Description: e-book converter and library management Calibre is meant to be a complete e-library solution. It includes library management, format conversion, news feeds to e-book conversion as well as e-book reader sync features. . Calibre is primarily an e-book cataloging program. It manages your e-book collection for you. It is designed around the concept of the logical book, i.e. a single entry in the database that may correspond to e-books in several formats. It also supports conversion from a dozen different e-book formats to LRF and EPUB. A graphical interface to the conversion software can be accessed easily by just clicking the "Convert E-books" button. . Supported input formats are: MOBI, LIT, PRC, EPUB, ODT, HTML, CBR, CBZ, RTF, TXT, PDF and LRS. . Calibre has a modular device driver design that makes adding support for different e-reader devices easy. At the moment, it has support for the SONY PRS 500/505/700 and the iPhone (with the stanza reader software). Syncing supports updating metadata on the device from metadata in the library and the creation of collections on the device based on the tags defined in the library view. If an book has more than one format available, calibre automatically chooses the best format when uploading to the device. . Calibre can automatically fetch news from a number of websites/RSS feeds, format the news into a e-book and upload to a connected device. There is support for generating LRF/EPUB e-books. The e-books include the *full* versions of the articles, not just the summaries. . Calibre has also a built-in e-book viewer that can display all the major e-book formats. . This package contains the compiled architecture dependent plugins. Package: calife Version: 1:3.0.1-4 Architecture: armhf Maintainer: Christian Perrier Installed-Size: 79 Depends: libc6 (>= 2.4) Priority: optional Section: admin Filename: pool/main/c/calife/calife_3.0.1-4_armhf.deb Size: 25340 SHA256: e9818820b1d29c5af5264312078f70d656d637689bcf9d554c20cef7976daf35 SHA1: 4b7646705afcde0360047e31d93e5cca85d9d2d5 MD5sum: 8bd4376f35955a8a11e01625111bb5f3 Description: Provides super user privileges to specific users Calife is a lightweight alternative to Sudo. It allows selected users to obtain a shell with the identity of root, or another user, after entering their own password. This permits the system administrator to grant root privileges without sharing the root password. Package: calligra Version: 1:2.4.4-3 Installed-Size: 70 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: braindump (>= 1:2.4.4-3), calligraflow (>= 1:2.4.4-3), calligraplan (>= 1:2.4.4-3), calligrasheets (>= 1:2.4.4-3), calligrastage (>= 1:2.4.4-3), calligrawords (>= 1:2.4.4-3), karbon (>= 1:2.4.4-3), kexi (>= 1:2.4.4-3), krita (>= 1:2.4.4-3), kthesaurus (>= 1:2.4.4-3) Size: 12116 SHA256: 2c7ab1e5c999a4953bfeeed6afd393c321d18e04e7d3f3531016e6b351b757b1 SHA1: 63a91272b7092644345689b83d8ea85d6c718fce MD5sum: 011538cc098cc7c8eab9fe88ff1c725d Description: extensive productivity and creative suite Calligra Suite is a set of applications written to help you to accomplish your work. It includes office applications such as a word processor, a spreadsheet, a presentation program, a database application, etc., and raster and vector graphics tools. . This metapackage provides all the components of the Calligra Suite. Homepage: http://www.calligra-suite.org Section: kde Priority: optional Filename: pool/main/c/calligra/calligra_2.4.4-3_all.deb Package: calligra-data Source: calligra Version: 1:2.4.4-3 Installed-Size: 3569 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kformula, koffice-data Suggests: khelpcenter4 Conflicts: kformula, koffice-data Size: 1526460 SHA256: af62ee25eb980ec60489e2daf1b5b93c6a1aac7f566eba6d757bc0160cf08e6e SHA1: 2b9ec9ac8d630a2ca7b45d561d26b2197f934fd3 MD5sum: 52353ae64c45c6b7536130df73614dc3 Description: common shared data for the Calligra Suite This package provides the architecture-independent data that is shared amongst the various components of Calligra. . This package is part of the Calligra Suite. Homepage: http://www.calligra-suite.org/ Section: libs Priority: optional Filename: pool/main/c/calligra/calligra-data_2.4.4-3_all.deb Package: calligra-dbg Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 317764 Depends: calligra-libs (= 1:2.4.4-3), kde-runtime-dbg Homepage: http://www.calligra-suite.org/ Priority: extra Section: debug Filename: pool/main/c/calligra/calligra-dbg_2.4.4-3_armhf.deb Size: 307146042 SHA256: e7d7fdaf939e906d01c2c307137a5bf9766fa9ddf766b473a6e605c9842d2060 SHA1: 9b34fe351ca41d744dbaa4eae8017555063a0060 MD5sum: 5ace3fdf78c0636deadb0a6e0dd1b335 Description: debugging symbols for Calligra This package contains the debugging symbols associated with Calligra. They will automatically be used by gdb for debugging calligra-related issues. . This package is part of the Calligra Suite. Package: calligra-l10n-ca Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 1442 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-ca (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-ca Suggests: calligra Breaks: koffice-l10n-ca (<< 1:2.4) Size: 330120 SHA256: c43cc2ef367add052dd48e1d48071b0fd58bb513853dba4f363975ca4b1c81d3 SHA1: 768fdf6a52944b3fe7fa684e22279e585835f68d MD5sum: 1ad88032c843a783e3ec34203cf0d33d Description: Catalan (ca) localization files for Calligra This package contains the Catalan translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-ca_2.4.3-1_all.deb Package: calligra-l10n-cavalencia Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 746 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-cavalencia (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-cavalencia Suggests: calligra Breaks: koffice-l10n-cavalencia (<< 1:2.4) Size: 187540 SHA256: 1d26406eb2b7d3464cd6618523e5820df431e4895669820eac402fccfd91c77e SHA1: dd5d99f475cf33d0c14a4ec0d78d82bcf636aea8 MD5sum: bc6c9d63a48fa513f14c21df6b4ba8ac Description: Southern Catalan (Valencian) (ca@valencia) files for Calligra This package contains the Southern Catalan (Valencian) translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-cavalencia_2.4.3-1_all.deb Package: calligra-l10n-cs Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 762 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-cs (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-cs Suggests: calligra Breaks: koffice-l10n-cs (<< 1:2.4) Size: 189718 SHA256: d08223bb06ec5985365fd93790beec7553d5aea8510ad3ac7c8719f4c46d76c5 SHA1: a11a7eb2fe6354425baa113f387170a121d2476f MD5sum: 0ef103cad33e1b07e0f7e42dfffc506c Description: Czech (cs) localization files for Calligra This package contains the Czech translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-cs_2.4.3-1_all.deb Package: calligra-l10n-da Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 1381 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-da (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-da Suggests: calligra Breaks: koffice-l10n-da (<< 1:2.4) Size: 368386 SHA256: 311319e8f48d056f39976ed7a0a1e59f50bdd4b97a386c6e597d61a6e3f9c70f SHA1: 09bfeb62080f15e47cb9538d55cd65b7b73cd85d MD5sum: e557034dc5281b2d63c9adebb0a53b08 Description: Danish (da) localization files for Calligra This package contains the Danish translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-da_2.4.3-1_all.deb Package: calligra-l10n-de Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 2315 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-de (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-de Suggests: calligra, openthesaurus-de-kword Breaks: koffice-l10n-de (<< 1:2.4) Size: 506952 SHA256: c148cc373314ec89ed0ab503031117617b713cc709520a54cd3c26fcfb599bee SHA1: ed1ef1fd98b9aaf5068049aebcb6703b9d1a1904 MD5sum: 160c2180107676a7b64a21a50c5fa7cc Description: German (de) localization files for Calligra This package contains the German translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-de_2.4.3-1_all.deb Package: calligra-l10n-el Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 1451 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-el (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-el Suggests: calligra Breaks: koffice-l10n-el (<< 1:2.4) Size: 300812 SHA256: 3b3b646da1a3b8420293b6b0aa10f33b190a182b6a25d77d9c82a75e44fbdbf8 SHA1: 6bcc04536b0bc3247d9888b3ce8df5b5a0c79be8 MD5sum: 25f94ea2b6ca862cafb402cd59591aa5 Description: Greek (el) localization files for Calligra This package contains the greek translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-el_2.4.3-1_all.deb Package: calligra-l10n-engb Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 937 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-engb (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-engb Suggests: calligra Breaks: koffice-l10n-engb (<< 1:2.4) Size: 168514 SHA256: dcb832e0a0aa60d7a9fd5b9b5389aa5717abeddae5657bcc6ee3b4547e833836 SHA1: 1b324ce19ce806b25280e9155de0fdf634f5092e MD5sum: faea7915a72057ef0e7cb4a0c06e1d4d Description: British English (en_GB) localization files for Calligra This package contains the British English (en_GB) translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-engb_2.4.3-1_all.deb Package: calligra-l10n-es Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 2335 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-es (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-es Suggests: calligra Breaks: koffice-l10n-es (<< 1:2.4) Size: 987938 SHA256: eb7bbae149c6b3642932c9d717c6371b6aa36bdf07602f1dd39efe2e1843d0ca SHA1: 3bef36b360ad5ac03c89ae765cfc8ef7cc0a3660 MD5sum: 2ef5878c97c7b2bd1c60756bdf93a294 Description: Spanish (es) localization files for Calligra This package contains the Spanish translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-es_2.4.3-1_all.deb Package: calligra-l10n-et Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 1727 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-et (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-et Suggests: calligra Breaks: koffice-l10n-et (<< 1:2.4) Size: 552390 SHA256: 4c1621d88a2a0d0c535e9933eecc8837e2686724e3988a852d40a75067cf8079 SHA1: 7f8ef0c0fbece569fe0d5d312af4789488c7f093 MD5sum: ad4f1ca366ead63c0cce41c48b1c5f8d Description: Estonian (et) localization files for Calligra This package contains the Estonian translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-et_2.4.3-1_all.deb Package: calligra-l10n-fi Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 954 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-fi (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-fi Suggests: calligra Breaks: koffice-l10n-fi (<< 1:2.4) Size: 245676 SHA256: bdc7247f91325905034b6dca3694d883f691c7aa8cb0e7fb3ac292b71296d8af SHA1: a3110831776c0a238236a22d73c236c320d5a1a8 MD5sum: 03727a1e414226414f9bec8e70b4382c Description: Finnish (fi) localization files for Calligra This package contains the Finnish translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-fi_2.4.3-1_all.deb Package: calligra-l10n-fr Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 2956 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-fr (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-fr Suggests: calligra Breaks: koffice-l10n-fr (<< 1:2.4) Size: 1630320 SHA256: 491622af70ea2753ca001b337522f4d399d1284983720b96d79bf94ea774db3f SHA1: 415e62227ac32a44a14bf2fa689fb6f4a1376baa MD5sum: adbb5c2fcfda27aff9895fbaba5bd79e Description: French (fr) localization files for Calligra This package contains the French translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-fr_2.4.3-1_all.deb Package: calligra-l10n-hu Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 870 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-hu (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-hu Suggests: calligra Breaks: koffice-l10n-hu (<< 1:2.4) Size: 218818 SHA256: 11db0fc44c2bfc9bba92474422e3269215ff3484e145c597b015276a286b3ad0 SHA1: 0f3bdf8a3e124dd5bf7e22d9d0574b62bf46409b MD5sum: 8cab13301833c3d23cdecfd554a1c52b Description: Hungarian (hu) localization files for Calligra This package contains the Hungarian translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-hu_2.4.3-1_all.deb Package: calligra-l10n-it Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 1566 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-it (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-it Suggests: calligra Breaks: koffice-l10n-it (<< 1:2.4) Size: 400044 SHA256: a5066504c1cc7d0181f8a2eec59157723055e2203f4cdb380048947299a6700b SHA1: 74e6d4bdce76a4bb79c2ed4d9a2ec723e97e4f23 MD5sum: 6e911801a6e20b523593c8ff2ce4f821 Description: Italian (it) localization files for Calligra This package contains the Italian translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-it_2.4.3-1_all.deb Package: calligra-l10n-kk Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 1388 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-kk (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-kk Suggests: calligra Breaks: koffice-l10n-kk (<< 1:2.4) Size: 304098 SHA256: 2482715203c09177f69b9301bc78cc86760f505ef47143cc68876c8965afe513 SHA1: 2195a4bef45fd61f8701b642e1d814306a9489f8 MD5sum: e6086c731ec7c1eb63ae23519fa1ab18 Description: Kazakh (kk) localization files for Calligra This package contains the Kazakh translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-kk_2.4.3-1_all.deb Package: calligra-l10n-nb Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 1110 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-nb (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-nb Suggests: calligra Breaks: koffice-l10n-nb (<< 1:2.4) Size: 280078 SHA256: 9402cd3e649c99cb16b65182858465ebaa0867866b05effc5068478b49439dac SHA1: b7aa72128ac3a18dcb84dafcba270afad00b04f4 MD5sum: 6b9e1a7a3206cd1388d65223f03056f6 Description: Norwegian Bookmal (nb) localization files for Calligra This package contains the Norwegian Bookmal translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-nb_2.4.3-1_all.deb Package: calligra-l10n-nds Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 1069 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-nds (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-nds Suggests: calligra Breaks: koffice-l10n-nds (<< 1:2.4) Size: 267176 SHA256: 905aa2d37e6528f592817a45070814169d6fcebf022b5ffcae6de32722a8829b SHA1: 4c6822b6017e097f33ab99ed757fddfd14b18d9d MD5sum: 6f93fc55adeeca6ffca0b0f8c8736338 Description: Low Saxon (nds) localization files for Calligra This package contains the Low Saxon translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-nds_2.4.3-1_all.deb Package: calligra-l10n-nl Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 3818 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-nl (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-nl Suggests: calligra Breaks: koffice-l10n-nl (<< 1:2.4) Size: 1929646 SHA256: 549f3626ccd44415c02c32071f17b37077439c9f4bba05ceff09e803cc000c45 SHA1: 06e602d0321d9064a51a9eca51ab62394f4120f2 MD5sum: 70d60e9667d4ba96dee101356f8959da Description: Dutch (nl) localization files for Calligra This package contains the Dutch translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-nl_2.4.3-1_all.deb Package: calligra-l10n-pl Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 1168 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-pl (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-pl Suggests: calligra Breaks: koffice-l10n-pl (<< 1:2.4) Size: 296480 SHA256: bd836895f525a6ba6f95d17d5c764e514ad2bd452c6915d5d5ce29029601997a SHA1: bbc2b3216b7529e8fb4128c5cd8c06635dc74926 MD5sum: 327d13583011c3e041e95faef28f557a Description: Polish (pl) localization files for Calligra This package contains the Polish translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-pl_2.4.3-1_all.deb Package: calligra-l10n-pt Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 2367 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-pt (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-pt Suggests: calligra Breaks: koffice-l10n-pt (<< 1:2.4) Size: 530292 SHA256: bbcdaa20f6201ff741ba9f02c10efc8265f20acbb7c0a268219b152ab6b9aac8 SHA1: 4b9841a616da30bb59a96e2482a9cc32e5a31516 MD5sum: edd34b0c77a0b8f8c52a7748f914bdf3 Description: Portuguese (pt) localization files for Calligra This package contains the Portuguese translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-pt_2.4.3-1_all.deb Package: calligra-l10n-ptbr Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 2443 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-ptbr (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-ptbr Suggests: calligra Breaks: koffice-l10n-ptbr (<< 1:2.4) Size: 605994 SHA256: cf36511c02c346f233894c93fbc8d957f3d23deaf9c2f63fb78e61445537884e SHA1: fb362f4aa1f6d769c660589cf077bbe47afa969e MD5sum: 73c827af9de304ca8be73e4809540346 Description: Brazilian Portuguese (pt_BR) localization files for Calligra This package contains the Brazilian Portuguese translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-ptbr_2.4.3-1_all.deb Package: calligra-l10n-ru Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 1502 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-ru (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-ru Suggests: calligra Breaks: koffice-l10n-ru (<< 1:2.4) Size: 343102 SHA256: 7f88601a0d6bae4a11efc4f0598922e5b22e9a2e05588e1dd218c1d8f11cebf6 SHA1: ee9064da0e313078a1d484cfe6a0c1f69c944590 MD5sum: 4f2c520f47698d2960ab83b550bf9f33 Description: Russian (ru) localization files for Calligra This package contains the Russian translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-ru_2.4.3-1_all.deb Package: calligra-l10n-sk Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 1145 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-sk (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-sk Suggests: calligra Breaks: koffice-l10n-sk (<< 1:2.4) Size: 291356 SHA256: 8b9457a32adba182e316f31e5eb6a19f007b2728b6eb42cdca517c93b4517d44 SHA1: eade5f2b98d294ee396904c833427322e7d07273 MD5sum: 932684fbfcb131598410a5e7df43740e Description: Slovakian (sk) localization files for Calligra This package contains the Slovakian translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-sk_2.4.3-1_all.deb Package: calligra-l10n-sv Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 3679 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-sv (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-sv Suggests: calligra Breaks: koffice-l10n-sv (<< 1:2.4) Size: 1843614 SHA256: 590a676128acc8adbb05ee37ae364755061ed68fdc1c994acacfc2db0e6bbada SHA1: 408c88f6b35833d7d6e1eb73c6ba337069a811ad MD5sum: b76ab046940bf5e6bb0b04dac0816458 Description: Swedish (sv) localization files for Calligra This package contains the Swedish translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-sv_2.4.3-1_all.deb Package: calligra-l10n-uk Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 4388 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-uk (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-uk Suggests: calligra Breaks: koffice-l10n-uk (<< 1:2.4) Size: 1925420 SHA256: 3ac1d0edad225d84146bc858ce692add564e9ef261c14573caea34cd0846a9fd SHA1: 63017950e639be02b1676d4fb19537b2c87a39b2 MD5sum: 06c52d360e523043e8cca76b694f89a0 Description: Ukrainian (uk) localization files for Calligra This package contains the Ukrainian translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-uk_2.4.3-1_all.deb Package: calligra-l10n-zhcn Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 797 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-zhcn (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-zhcn Suggests: calligra Breaks: koffice-l10n-zhcn (<< 1:2.4) Size: 207700 SHA256: 621a36c2557ec2867d440e5366bf700ca7e32f97ea1b95731fda476860d30b90 SHA1: bd8144856e4b12998e561f3944d0d915c3a85b40 MD5sum: bc73ced59f7eceb1db99bf1ff956229c Description: Chinese Simplified (zh_CN) localization files for Calligra This package contains the Chinese Simplified translation for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-zhcn_2.4.3-1_all.deb Package: calligra-l10n-zhtw Source: calligra-l10n Version: 1:2.4.3-1 Installed-Size: 1061 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: koffice-l10n-zhtw (<< 1:2.4) Provides: calligra-l10n Depends: calligra-libs (>= 1:2.4.2) Recommends: kde-l10n-zhtw Suggests: calligra Breaks: koffice-l10n-zhtw (<< 1:2.4) Size: 271650 SHA256: ff1b8acbae3a1d1d909d15faed468b88a4a3c0e160798eab1b5826d498f0b167 SHA1: 035a744f45670cdb97ebc88f3fd142e46891f020 MD5sum: 20e949c38d5d9fe06e055070827faed2 Description: Chinese Traditional (zh_TW) localization files for Calligra This package contains the Chinese Traditional translations for all the applications in the Calligra Office Suite. Homepage: http://www.calligra-suite.org/ Section: kde Priority: optional Filename: pool/main/c/calligra-l10n/calligra-l10n-zhtw_2.4.3-1_all.deb Package: calligra-libs Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 16598 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libilmbase6 (>= 1.0.1), libkabc4 (>= 4:4.4.3), libkcal4 (>= 4:4.4.3), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.5.2), libkfile4 (>= 4:4.4.0), libkio5 (>= 4:4.7.0), libknewstuff3-4 (>= 4:4.4.0), libkparts4 (>= 4:4.5.85), libkresources4 (>= 4:4.4.3), libkrosscore4 (>= 4:4.4.0), libkrossui4 (>= 4:4.4.0), liblcms2-2, libnepomuk4 (>= 4:4.5.85), libphonon4 (>= 4:4.2.0), libqca2 (>= 2.0.2), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsoprano4 (>= 2.2.69), libspnav0, libstdc++6 (>= 4.6), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), phonon, calligra-data (>= 1:2.4.4-3) Recommends: fonts-lyx, ruby, python Suggests: wordnet, texlive Conflicts: kformula, koffice-libs Replaces: kformula, koffice-libs Homepage: http://www.calligra-suite.org/ Priority: optional Section: libs Filename: pool/main/c/calligra/calligra-libs_2.4.4-3_armhf.deb Size: 5946444 SHA256: 97f1eae64f21973a8cb42beaf6cf45873265e1c87bc344e651aaf4fb469bbe66 SHA1: 3b58337b5d55e2fc7c8b30c052bb0810b38fedfc MD5sum: ef41d938b595ba9522531ff5be8cdc4f Description: common libraries and binaries for the Calligra Suite This package provides the libraries and binaries that are shared amongst the various components of Calligra. . This package is part of the Calligra Suite. Package: calligra-reports-map-element Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 172 Depends: calligra-libs, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.4.0), libmarblewidget13 (>= 4:4.8.4), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://www.calligra-suite.org/ Priority: optional Section: kde Filename: pool/main/c/calligra/calligra-reports-map-element_2.4.4-3_armhf.deb Size: 34428 SHA256: f37bbda65a31b181367a21c3eb3f69dc8a0f95610dbefa03021a66a7a23bc061 SHA1: 749157ca0b705388c08e660df6f336c2abcb5b64 MD5sum: ed5d3f5d4c803e24eb18e3896c75cde8 Description: map element for Calligra Reports Calligra Suite is a set of applications written to help you to accomplish your work. It includes office applications such as a word processor, a spreadsheet, a presentation program, a database application, etc., and raster and vector graphics tools. . This package provides a map element for Calligra Reports, based on the Marble library. . This package is part of the Calligra Suite. Package: calligra-reports-web-element Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 156 Depends: calligra-libs, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.4.0), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.6.1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0) Homepage: http://www.calligra-suite.org/ Priority: optional Section: kde Filename: pool/main/c/calligra/calligra-reports-web-element_2.4.4-3_armhf.deb Size: 27958 SHA256: 441bd7702cf67688e8bce7d87b153eaed9f661b414904db28c58746e849cde22 SHA1: fe88d4202cc7e1115c162dccc726ed94bc8315dc MD5sum: 93d4d56440e06f076db31644afcdb193 Description: web element for Calligra Reports Calligra Suite is a set of applications written to help you to accomplish your work. It includes office applications such as a word processor, a spreadsheet, a presentation program, a database application, etc., and raster and vector graphics tools. . This package provides a web element for Calligra Reports, based on QtWebKit. . This package is part of the Calligra Suite. Package: calligraflow Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 297 Depends: calligra-libs (= 1:2.4.4-3), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.4.0), libkio5 (>= 4:4.4.0), libknewstuff3-4 (>= 4:4.4.0), libkparts4 (>= 4:4.5.85), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0), calligraflow-data (>= 1:2.4.4-3) Homepage: http://www.calligra-suite.org/flow/ Priority: optional Section: graphics Filename: pool/main/c/calligra/calligraflow_2.4.4-3_armhf.deb Size: 70006 SHA256: 4dc5a4a372ff61ccbbad420a574aadb9725e066bdbf9fa277a6e681cc04e2b62 SHA1: 38f70a9e991f1b58a71911465c00178c7c9c4225 MD5sum: fe5abe200699027a2820662aeb9c1b98 Description: flowcharting program for the Calligra Suite Flow offers basic flowcharting abilities, but with a twist. Objects are scriptable, and a backend plugin system offers the ability to make objects do just about anything. . This package is part of the Calligra Suite. Package: calligraflow-data Source: calligra Version: 1:2.4.4-3 Installed-Size: 12458 Maintainer: Debian Qt/KDE Maintainers Architecture: all Size: 6190768 SHA256: e8c827208ca7691a4c1c149b7d76be51f39d9966557b1029452a4f6dd45ce6b4 SHA1: 04b818fda49d100ed4490ef82f539cbb156363af MD5sum: 37f9ce380d1b311e76a6f8beebec039e Description: data files for Flow flowcharting program This package contains architecture-independent data files for Flow, the flowcharting program shipped with the Calligra Suite. . See the calligraflow package for further information. . This package is part of the Calligra Suite. Homepage: http://www.calligra-suite.org/flow/ Section: graphics Priority: optional Filename: pool/main/c/calligra/calligraflow-data_2.4.4-3_all.deb Package: calligramobile Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 792 Depends: calligra-libs (= 1:2.4.4-3), calligrasheets, calligrawords, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libkdecore5 (>= 4:4.4.4-2~), libkdeui5 (>= 4:4.4.0), libkparts4 (>= 4:4.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta2), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0), libx11-6, libxml2 (>= 2.7.4) Homepage: http://www.calligra-suite.org/ Priority: optional Section: kde Filename: pool/main/c/calligra/calligramobile_2.4.4-3_armhf.deb Size: 362976 SHA256: c9bcf859499ff916aa97128bb3bbc7d81150c90776af96216281a644f0348950 SHA1: 498050450bbab10e6b79bacee666491712a0bc50 MD5sum: d03edd9e275b25b792f2e1d2b317574a Description: version of Calligra for mobile Calligra Mobile aims to create a version of the Calligra Suite for mobile devices, such as Maemo or MeeGo. . This package is part of the Calligra Suite. Package: calligraplan Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 5737 Depends: calligra-libs (= 1:2.4.4-3), kde-runtime, kdepim-runtime, libakonadi-contact4 (>= 4:4.5.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkabc4 (>= 4:4.4.3), libkcal4 (>= 4:4.4.3), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.4.0), libkhtml5 (>= 4:4.4.0), libkio5 (>= 4:4.4.0), libkparts4 (>= 4:4.5.85), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Suggests: khelpcenter4 Breaks: kplato (<< 1:2.4) Replaces: kplato (<< 1:2.4) Homepage: http://www.calligra-suite.org/plan/ Priority: optional Section: kde Filename: pool/main/c/calligra/calligraplan_2.4.4-3_armhf.deb Size: 2042064 SHA256: bd74be1a060d916cdc1a62c0c9a197e5050b41ccafcdbe332aec01ce7094518c SHA1: c5ed69e5dee3e7eaf6fb3efdc5e1c9c06f1c0d32 MD5sum: ac8091ad19fbdfb2de36a1505fd619a1 Description: integrated project management and planning tool Plan is a project management application, allowing for the planning and scheduling of projects. It was formerly known as KPlato. . This package is part of the Calligra Suite. Package: calligrasheets Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 11317 Depends: calligra-libs (= 1:2.4.4-3), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgsl0ldbl (>= 1.9), libkcmutils4 (>= 4:4.4.95), libkde3support4 (>= 4:4.4.0), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.4.0), libkhtml5 (>= 4:4.4.0), libkio5 (>= 4:4.4.0), libknotifyconfig4 (>= 4:4.4.0), libkparts4 (>= 4:4.5.85), libkrosscore4 (>= 4:4.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta2), libqtgui4 (>= 4:4.6.2), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Suggests: khelpcenter4 Breaks: calligra-data (<< 1:2.4.3-2), koffice-data (<< 1:2.4), kspread (<< 1:2.4) Replaces: calligra-data (<< 1:2.4.3-2), koffice-data (<< 1:2.4), kspread (<< 1:2.4) Homepage: http://www.calligra-suite.org/sheets/ Priority: optional Section: math Filename: pool/main/c/calligra/calligrasheets_2.4.4-3_armhf.deb Size: 4065898 SHA256: 255c1814759b1c08ca741df98f12a2f860154d7efd5ae2f22c3b96cae967e96b SHA1: 8356b4b10b0a3fbe10ba4af39d604db7615f6638 MD5sum: 97335df087135a5c4dd5ac75543c0f66 Description: spreadsheet for the Calligra Suite Tables is a powerful spreadsheet application. It is scriptable and provides both table-oriented sheets and support for complex mathematical formulae and statistics. It is the successor of KSpread. . This package is part of the Calligra Suite. Package: calligrastage Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 10407 Depends: calligra-libs (= 1:2.4.4-3), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.4.0), libkio5 (>= 4:4.4.0), libkparts4 (>= 4:4.5.85), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.2), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.6), phonon, zlib1g (>= 1:1.1.4) Suggests: khelpcenter4 Breaks: calligra-data (<< 1:2.4.3-2), koffice-data (<< 1:2.4), kpresenter (<< 1:2.4) Replaces: calligra-data (<< 1:2.4.3-2), koffice-data (<< 1:2.4), kpresenter (<< 1:2.4) Homepage: http://www.calligra-suite.org/stage/ Priority: optional Section: kde Filename: pool/main/c/calligra/calligrastage_2.4.4-3_armhf.deb Size: 7944864 SHA256: 53e4cda2abdde01207afc1dad01da6a74e5d5c041708647b79c1026347c6678b SHA1: 895a07dafb90d0488c703588b0cb31ceccfbf8e0 MD5sum: 25534bbc55c5f3bcf3397990d2148d60 Description: presentation program for the Calligra Suite Stage is an easy to use yet still flexible presentation application. You can easily create presentations containing a rich variety of elements, from graphics to text, from charts to images. Stage is extensible through a plugin system, so it is easy to add new effects, new content elements or even new ways of managing your presentation. . Stage natively uses the OpenDocument file format standard, for easy interchange with all ODF supporting applications, which includes Microsoft Office. Examples of its easy-to-use features are support for layouts, a special slide overview view during presentations for the presenter, support for many different master sliders in one presentation, cool transitions and a useful notes feature. . This package is part of the Calligra Suite. Package: calligrawords Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 6080 Depends: calligra-libs (= 1:2.4.4-3), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.4.0), libkio5 (>= 4:4.4.0), libkparts4 (>= 4:4.5.85), libqt4-qt3support (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.6.1), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.6), libwpd-0.9-9, zlib1g (>= 1:1.1.4), calligrawords-data (>= 1:2.4.4-3) Suggests: khelpcenter4 Breaks: kword (<< 1:2.4) Replaces: kword (<< 1:2.4) Homepage: http://www.calligra-suite.org/words/ Priority: optional Section: text Filename: pool/main/c/calligra/calligrawords_2.4.4-3_armhf.deb Size: 2391958 SHA256: 37c2be80ecd3ac70f940253b5b7596cb178240c446cac272056dc7ee8dd04523 SHA1: 8163204b98744a18ae00ef078acd5bd5839e84d3 MD5sum: 243d9c2f99fe553dc701623beba83091 Description: word processor for the Calligra Suite Words is a FrameMaker-like word processing and desktop publishing application. It is capable of creating polished and professional looking documents. It can be used for desktop publishing, but also for "normal" word processing, like writing letters, reports and so on. . This package is part of the Calligra Suite. Package: calligrawords-data Source: calligra Version: 1:2.4.4-3 Installed-Size: 761 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: calligra-data (<< 1:2.4.3-2), koffice-data Conflicts: koffice-data Breaks: calligra-data (<< 1:2.4.3-2) Size: 490576 SHA256: 4b21ed1a6ecbf83b5c07b5f9a3a28e31935bd6e08a94c82788484a2a37391ee1 SHA1: 5dce529ac0b6582f67133a667015c5412541558d MD5sum: ece64d690122afccaf38a234aa90f5ff Description: data files for Words word processor This package contains architecture-independent data files for Words, the word processor shipped with the Calligra Suite. . See the calligrawords package for further information. . This package is part of the Calligra Suite. Homepage: http://www.calligra-suite.org/words/ Section: text Priority: optional Filename: pool/main/c/calligra/calligrawords-data_2.4.4-3_all.deb Package: cam Version: 1.05-8 Architecture: armhf Maintainer: Hamish Moffatt Installed-Size: 80 Depends: libc6 (>= 2.7), libncurses5 (>= 5.5-5~), libtinfo5 Priority: optional Section: sound Filename: pool/main/c/cam/cam_1.05-8_armhf.deb Size: 18986 SHA256: a9e7b27b27941f7d573e882302068a7a9e8474337baa074f8d12744640199970 SHA1: 6e11e93dd260085e4914eecd77a414a2ba2c9859 MD5sum: db242998bfa5da280589294a2dde1618 Description: Cpu's Audio Mixer for Linux An audio mixer for Linux, based originally on aumix. Supports both OSS/Free and OSS/Linux (but not ALSA without emulation). Package: cameleon Version: 1.9.21-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 22454 Depends: liblablgtk2-ocaml-yeug0, ocaml-base-nox-3.12.1, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libgtksourceview2.0-0 (>= 2.10.0), libncurses5 (>= 5.5-5~), libpango1.0-0 (>= 1.14.0), libpcre3 (>= 8.10), libtinfo5, libxml2 (>= 2.6.27) Suggests: cameleon-doc Homepage: http://home.gna.org/cameleon/ Priority: optional Section: ocaml Filename: pool/main/c/cameleon/cameleon_1.9.21-2_armhf.deb Size: 5674654 SHA256: 56b52bc263afef343e75d0682343e4fa90b67924d255b4a1bf94984a90a208fe SHA1: aec2f82cb1f21a6116222fb90db08d239385862f MD5sum: 7072417777458404272d38d473fb56e6 Description: integrated development environment for OCaml Cameleon is a set of tools and libraries put together to create an integrated development environment for the OCaml programming language. . Its main features are : - graphical user interface, - configuration management based on CVS, - easy access to and browsing of documentation, - various editors, according to customizable file types, - use of plug-ins to define new features, - highly customizable interface (menus, toolbar, keyboard shortcuts). . Tools shipped are : - Chamo, a source code editor based on the GtkSourceView widget with some emacs-like functionality, - DBforge, a tool to describe database schemas and generate OCaml code to access these databases, - OCamlCVS, a graphical front end for the CVS configuration management utility, - Report, a tool aims to make generation of XML documents from OCaml applications easier, Package: cameleon-doc Source: cameleon Version: 1.9.21-2 Installed-Size: 69223 Maintainer: Debian OCaml Maintainers Architecture: all Size: 3141400 SHA256: 53c67368e2bf03c85ee1bc6bfd214f534c62e3d310ecbebc38068b5b14a4ac66 SHA1: 7b0be17f82bc5e79db506ae58fd6c117d26c82ca MD5sum: 931841bd0b3ead7376a884dfdb7c08a1 Description: documentation for Cameleon It contains documentation build with Cameleon: - Cameleon reference manual - Cameleon user manual, . Documentation can be in HTML, Postscript or odoc formats. Homepage: http://home.gna.org/cameleon/ Tag: devel::doc, devel::lang:ocaml, implemented-in::ocaml, role::documentation Section: doc Priority: optional Filename: pool/main/c/cameleon/cameleon-doc_1.9.21-2_all.deb Package: camera.app Version: 0.8.0-9 Architecture: armhf Maintainer: Gürkan Sengün Installed-Size: 134 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libgphoto2-2 (>= 2.4.10.1), libgphoto2-port0 (>= 2.4.10.1), libobjc3 (>= 4.2.1) Conflicts: camera Replaces: camera Homepage: http://home.gna.org/gsimageapps/ Priority: optional Section: gnustep Filename: pool/main/c/camera.app/camera.app_0.8.0-9_armhf.deb Size: 30866 SHA256: 6ca515dde7adc3739fa758b82eac7ebdc7f2db53b09f5e6b12a13d451ea7346c SHA1: 4fdc00a5dc8f5bb35ef0961b5db22a9de01223b1 MD5sum: de75501490c29055b686456b1e584c81 Description: GNUstep application for digital still cameras Camera downloads files from your digital camera. It is based on GNUstep. Package: cameramonitor Version: 0.2-2.1 Installed-Size: 140 Maintainer: Adolfo González Blázquez Architecture: all Depends: gconf2 (>= 2.10.1-2), python (>= 2.4), python-support (>= 0.90.0), python-gtk2 (>= 2.4), python-gconf, python-notify Size: 29898 SHA256: ba5673552e2b31625bca14ee49a5f328980ecd8b13b18aecc41f748800c44afb SHA1: 9b8688fe16f21d3c71b52868c481836301270870 MD5sum: c8af22f5616312e73a4b51bae8db813a Description: Webcam monitoring in system tray Camera Monitor is a little system tray icon that appears when your webcam is on. It is designed for the GNOME desktop, but should work as well on KDE and Xfce. Homepage: http://www.infinicode.org/code/cameramonitor/ Python-Version: 2.4, 2.5, 2.6 Tag: interface::x11, role::program, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/c/cameramonitor/cameramonitor_0.2-2.1_all.deb Package: caml2html Version: 1.4.1-3 Installed-Size: 269 Maintainer: Debian OCaml Maintainers Architecture: all Depends: ocaml-base-nox-3.12.1 Size: 54528 SHA256: 97c1dd94065599904b23d7ac74f17c6ef3124f7842c2457482c7bd68a1f8d7c4 SHA1: 0974fe6cca4d341ba2d427383e352037408ef28c MD5sum: b0e457e09499dabacb4920bd9cc0d2db Description: HTML and LaTeX colored syntax from OCaml source files Caml2html provides a command-line executable which converts a set of OCaml source files into a HTML or LaTeX document with colored syntax. A library is also provided for building web-page generators that would color OCaml code appropriately. Homepage: http://martin.jambon.free.fr/caml2html.html Tag: devel::docsystem, interface::commandline, role::program, scope::utility, use::converting, works-with::software:source Section: ocaml Priority: optional Filename: pool/main/c/caml2html/caml2html_1.4.1-3_all.deb Package: camlidl Version: 1.05-14 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 451 Depends: ocaml-nox-3.12.1 Suggests: camlidl-doc Provides: camlidl-u4qt0 Homepage: http://forge.ocamlcore.org/projects/camlidl/ Priority: optional Section: ocaml Filename: pool/main/c/camlidl/camlidl_1.05-14_armhf.deb Size: 113916 SHA256: ab0cf1f17338edbec0d6ff9e5ad0a8d5ebcabb3735a51150519283753b1f363a SHA1: c0325a9f197095b553a8efc2ea8c082790cd26b0 MD5sum: 41da38a45052cdec581e0e1645a089f5 Description: Stub code generator for Objective Caml CamlIDL generates stub code for interfacing Caml with C from an IDL description of the C functions. Thus, CamlIDL automates the most tedious task in interfacing C libraries with Caml programs. . It can also be used to interface Caml programs with other languages, as long as those languages have a well-defined C interface. . This package contains the CamlIDL executable. Package: camlidl-doc Version: 1.04-4 Installed-Size: 381 Maintainer: Debian OCaml Maintainers Architecture: all Replaces: camlidl (<< 1.04) Size: 257310 SHA256: b2e0be75db09f04e3e2fd26954d130177231ac859271348aecc131858c4524e5 SHA1: 5341a2b9f239e9b6872cd0499f12a2cc20fd7ef6 MD5sum: 5c2e8dae08481e7f1eda875888333a91 Description: Documentation for CamlIDL in PS, PDF and HTML formats CamlIDL generates stub code for interfacing Caml with C from an IDL description of the C functions. Thus, CamlIDL automates the most tedious task in interfacing C libraries with Caml programs. . It can also be used to interface Caml programs with other languages, as long as those languages have a well-defined C interface. . This package contains the CamlIDL documentation in PostScript, PDF and HTML formats. Tag: devel::lang:ocaml, devel::prettyprint, role::documentation Section: doc Priority: optional Filename: pool/main/c/camlidl-doc/camlidl-doc_1.04-4_all.deb Package: camlmix Version: 1.3.0-3 Installed-Size: 176 Maintainer: Debian OCaml Maintainers Architecture: all Depends: ocaml-base-nox-3.12.1 Size: 36958 SHA256: e28fbbbfe8df69e1f9a2b13bce5ea086c8bec2517b6e2f398932670509b72a91 SHA1: 3f5017b4a49b8f5226ee95bfb55378c8a22b8380 MD5sum: 5440962dafc6631638d3c3fe628bf198 Description: preprocessor which converts text with embedded OCaml Camlmix is a generic preprocessor which converts text with embedded OCaml into an OCaml program with embedded text. It produces text documents from one or several templates. OCaml toplevel statements are inserted between '## ... ##', and OCaml string expressions between '##= ... ##'. Homepage: http://martin.jambon.free.fr/camlmix/ Tag: devel::lang:ocaml, role::program, scope::utility, use::converting, works-with::text Section: ocaml Priority: optional Filename: pool/main/c/camlmix/camlmix_1.3.0-3_all.deb Package: camlp4 Source: ocaml Version: 3.12.1-4+deb7u1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 42846 Depends: ocaml-nox-3.12.1 Provides: camlp4-3.12.1 Homepage: http://caml.inria.fr/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml/camlp4_3.12.1-4+deb7u1_armhf.deb Size: 15363968 SHA256: 7b1b8a7c0f35ba11bb5986720bd1221f531a2fa42b2dc651a2905348be18105e SHA1: fea22d8099f9a933896d557aff70fae6a26e0018 MD5sum: 6bcef2b261bcc94d7a036965730d1c8a Description: Pre Processor Pretty Printer for OCaml Objective Caml (OCaml) is an implementation of the ML language, based on the Caml Light dialect extended with a complete class-based object system and a powerful module system in the style of Standard ML. . CamlP4 is a Pre-Processor-Pretty-Printer for Objective Caml. It offers tools for syntax (Stream Parsers and Grammars) and the ability to modify the concrete syntax of the language (Quotations, Syntax Extensions). . This package contains the basic CamlP4 executables and libraries for pre-processing and pretty-printing OCaml sources both interactively and in a batch fashion. Package: camlp4-extra Source: ocaml Version: 3.12.1-4+deb7u1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 41650 Depends: camlp4, ocaml-nox-3.12.1, camlp4-3.12.1 Homepage: http://caml.inria.fr/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml/camlp4-extra_3.12.1-4+deb7u1_armhf.deb Size: 14368794 SHA256: 38530bccc2cf89ae8ef9e36242e18bd0d1b7e078f5cbccf979ed3e96e8fe7602 SHA1: 643cc7748c1f8a6378a35def81df59a5b6db8324 MD5sum: e4fc919ff0a9ba6ca948dad33e201de5 Description: Pre Processor Pretty Printer for OCaml - extras Objective Caml (OCaml) is an implementation of the ML language, based on the Caml Light dialect extended with a complete class-based object system and a powerful module system in the style of Standard ML. . CamlP4 is a Pre-Processor-Pretty-Printer for Objective Caml. It offers tools for syntax (Stream Parsers and Grammars) and the ability to modify the concrete syntax of the language (Quotations, Syntax Extensions). . This package contains pre-linked executables and libraries for pre-processing and pretty-printing OCaml sources out of the box for various common needs. Package: camlp5 Version: 6.06-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 8376 Depends: ocaml-compiler-libs, ocaml-nox-3.12.1 Suggests: ocaml-findlib Breaks: ocaml-nox (<< 3.10.0) Replaces: ocaml-nox (<< 3.10.0) Provides: camlp5-1kaa3 Homepage: http://pauillac.inria.fr/~ddr/camlp5/ Priority: extra Section: ocaml Filename: pool/main/c/camlp5/camlp5_6.06-1_armhf.deb Size: 1621710 SHA256: 0f1046f0dda09a27b76322b1ace7ce0c8baefebacbbe87b55aa5dcdce13dbca9 SHA1: c5781eaa5cd9d853078ca28b96be4396b9a5e249 MD5sum: 3837858eb7dc69f9724d87557714d475 Description: Pre Processor Pretty Printer for OCaml - classical version Objective Caml (OCaml) is an implementation of the ML language, based on the Caml Light dialect extended with a complete class-based object system and a powerful module system in the style of Standard ML. . CamlP4 is a Pre-Processor-Pretty-Printer for Objective Caml. It offers tools for syntax (Stream Parsers and Grammars) and the ability to modify the concrete syntax of the language (Quotations, Syntax Extensions). . This package contains the "classical" version of CamlP4 executables and libraries for pre-processing and pretty-printing OCaml sources both interactively and in a batch fashion. The "classical" version is the implementation of CamlP4 which used to be distributed with OCaml before the release of version 3.10. Package: camorama Version: 0.19-2.2 Architecture: armhf Maintainer: Leo Costela Installed-Size: 1322 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.10.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libv4l-0 (>= 0.5.0), libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2) Homepage: http://camorama.fixedgear.org Priority: optional Section: gnome Filename: pool/main/c/camorama/camorama_0.19-2.2_armhf.deb Size: 217438 SHA256: a4004baa6958c53272a7f904537576275db1bcf845d3c2be8920022a43fdeb5a SHA1: 0b95a30f2748f8a291d15c4328678be2777a2da4 MD5sum: 964cae7f00707b0de5747c4ca8646b3e Description: gnome utility to view and save images from a webcam Camorama is a small utility to view and save images from a webcam or any other Video4Linux device. It can apply a number of image filters and make remote captures. Package: camping Version: 2.1.498-4 Installed-Size: 530 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby | ruby-interpreter, ruby-rack Recommends: ruby-activerecord-2.3, ruby-sqlite3 (>= 1.1.0.1), ruby-mab | ruby-tilt Suggests: thin Size: 110096 SHA256: effaf321eac598be2a000e47044db54ee07b54be62cbb61efa7975aba55b6ffe SHA1: d8f921ec019dc76a37f89730bbcb7b62062341df MD5sum: 794708f4bbda841c2d7c42cf66c64c29 Description: small Ruby web framework for Model-View-Controller type applications Camping is a web framework which consistently stays at less than 4kb of code. The idea here is to store a complete fledgling web application (written in Ruby) in a single file like many small CGIs, but to organize it as a Model-View-Controller application like Rails does. You can then easily move it to Rails once you've got it going. Homepage: http://camping.rubyforge.org/ Ruby-Versions: ruby1.8 ruby1.9.1 Section: web Priority: optional Filename: pool/main/c/camping/camping_2.1.498-4_all.deb Package: canna Version: 3.7p3-11 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 1502 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libcanna1g, adduser (>= 3.34) Suggests: canna-shion Homepage: http://canna.sourceforge.jp/ Priority: optional Section: utils Filename: pool/main/c/canna/canna_3.7p3-11_armhf.deb Size: 954830 SHA256: b47c16908168c94c880973d3d7579152575ab0e38e751298c5de95090e3b1456 SHA1: 77f5c6cc1ecfe6267635e4aff5811477e1c099c1 MD5sum: 0b308676a4c499735b757e71e6a05081 Description: input system for Japanese - server and dictionary Canna provides a unified user interface for Japanese input. It is based on a client-server model and supports automatic kana-to-kanji conversion. . It supports multiple clients (including kinput2 and canuum), and allows them all to work in the same way, sharing customization files, romaji-to-kana conversion rules and conversion dictionaries. . This package provides the Canna server program and dictionary files. Package: canna-shion Version: 0.0.20010204-11 Installed-Size: 4095 Maintainer: Yukiharu YABUKI Architecture: all Replaces: shion Depends: canna (>= 3.5b2-40), canna-utils Conflicts: shion Size: 2821590 SHA256: 622adfd8b90eb2eb5975cb5ba615e86fcd1a1c90affc618b85ea3b638f047396 SHA1: 29532bc6879969a5b4399a48e8751827e817ef27 MD5sum: 383b6deca9d6be009dc44d944a274b28 Description: supporting dictionaries for Canna Shion is a set of dictionaries supporting Canna. It has much more words than original dictionaries of Canna and it makes Canna more intelligent. Tag: culture::japanese, made-of::dictionary, role::app-data Section: utils Priority: optional Filename: pool/main/c/canna-shion/canna-shion_0.0.20010204-11_all.deb Package: canna-utils Source: canna Version: 3.7p3-11 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 195 Depends: libc6 (>= 2.13-28), libcanna1g Breaks: canna (<< 3.7p3-10) Replaces: canna (<< 3.7p3-10) Homepage: http://canna.sourceforge.jp/ Priority: optional Section: utils Filename: pool/main/c/canna/canna-utils_3.7p3-11_armhf.deb Size: 115546 SHA256: 9d460cecbfaefbc26ab89c6702fcc9b0641061f877069e60a7dfee70a52f9915 SHA1: 26a7de86ed20cb331eb47e726395472e101759f8 MD5sum: b5416f0c8ac9085acf3d5b92128230b5 Description: input system for Japanese - utilities Canna provides a unified user interface for Japanese input. It is based on a client-server model and supports automatic kana-to-kanji conversion. . It supports multiple clients (including kinput2 and canuum), and allows them all to work in the same way, sharing customization files, romaji-to-kana conversion rules and conversion dictionaries. . This package provides client programs for Canna. Package: canorus Version: 0.7+dfsg+svn1256-2 Architecture: armhf Maintainer: Tobias Quathamer Installed-Size: 1988 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-help (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.2.2), canorus-data Recommends: lilypond Suggests: timidity | fluidsynth Homepage: http://www.canorus.org/ Priority: optional Section: sound Filename: pool/main/c/canorus/canorus_0.7+dfsg+svn1256-2_armhf.deb Size: 819816 SHA256: 948e92e51b766ec8c3ebb1dfab4d2841a1cac664c437b0264eed2bf2dda59eaf SHA1: c7044fc249b7ebabe2da24e228ef2f9492873dd6 MD5sum: 5bbf7a79dc1902f86a0c49afc70152d0 Description: graphical music score editor Canorus is a graphical music score editor written for the Qt4 toolkit. It is a sequel of the well-known music score editor for Linux, NoteEdit. Canorus uses LilyPond for music engraving to achieve a very high quality in the resulting documents. . Canorus offers a number of import and export filters for LilyPond, MusicXML, Midi, NoteEdit and others. Package: canorus-data Source: canorus Version: 0.7+dfsg+svn1256-2 Installed-Size: 3352 Maintainer: Tobias Quathamer Architecture: all Depends: ttf-freefont Recommends: canorus Size: 766940 SHA256: 3e9e62dafe5f95c182f0b11627820d182337bfa69584b3413386a7cc8c6aa2bf SHA1: 5e28fc4cfcf81c08e8303732072ea9f932c56793 MD5sum: 6bbb0731bfc924c526939dca83634b2c Description: data files for canorus, a graphical music score editor Canorus is a graphical music score editor written for the Qt4 toolkit. It is a sequel of the well-known music score editor for Linux, NoteEdit. Canorus uses LilyPond for music engraving to achieve a very high quality in the resulting documents. . Canorus offers a number of import and export filters for LilyPond, MusicXML, Midi, NoteEdit and others. . This package contains architecture independent data files for canorus. Homepage: http://www.canorus.org/ Tag: role::app-data Section: sound Priority: optional Filename: pool/main/c/canorus/canorus-data_0.7+dfsg+svn1256-2_all.deb Package: canto Version: 0.7.10-4 Architecture: armhf Maintainer: Python Applications Packaging Team Installed-Size: 280 Depends: python (>= 2.6.6-7~), python2.7, libc6 (>= 2.13-28), libncursesw5 (>= 5.6+20070908), libtinfo5, python-chardet, python-feedparser Homepage: http://www.codezen.org/canto/ Priority: optional Section: net Filename: pool/main/c/canto/canto_0.7.10-4_armhf.deb Size: 72194 SHA256: 0df6417568b508f77ced35c89cb9a1fb957a5a51c19e8297b7d7878183e01921 SHA1: 330a111235ce0df7f83a40607a46e20506bc1e00 MD5sum: 091b3b25b91ab0c3845f38d0363d7a05 Description: flexible ncurses Atom/RSS newsreader for the console Canto is an Atom/RSS feed reader for the console that is meant to be quick, concise, and colorful. It provides a minimal, yet information packed interface with almost infinite customization and extensibility. Package: cantor Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1088 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libknewstuff3-4 (>= 4:4.8), libkparts4 (>= 4:4.8), libktexteditor4 (>= 4:4.8), libqt4-xml (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.6.1), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libspectre1 (>= 0.2.3), libstdc++6 (>= 4.4.0) Recommends: cantor-backend-kalgebra, texlive-latex-base, texlive-binaries Suggests: cantor-backend-maxima, cantor-backend-r, cantor-backend-sage, cantor-backend-octave, cantor-backend-qalculate Homepage: http://edu.kde.org/ Priority: optional Section: math Filename: pool/main/c/cantor/cantor_4.8.4-2_armhf.deb Size: 340396 SHA256: da49e5980a121b63c241fcdb3f1a91d3ac71db75a3594048ddcdf49babd54c56 SHA1: 5a473401be22f6d389c87e5664f1bc3c76e31bfe MD5sum: 83fdcb85ef745fd8271a37720de97a0f Description: interface for mathematical applications Cantor is an application to allow you to you use your favorite mathematical applications from within an elegant worksheet interface. It provides dialogs to assist with common tasks and allows you to share your worksheets with others. . Cantor supports various mathematical applications as backends (provided in external packages): * KAlgebra (cantor-backend-kalgebra) * Maxima Computer Algebra System (cantor-backend-maxima) * R Project for Statistical Computing (cantor-backend-r) * Sage Mathematics Software (cantor-backend-sage) * Octave (cantor-backend-octave) * Scilab (cantor-backend-scilab) * Qalculate! (cantor-backend-qalculate) . This package is part of the KDE education module. Package: cantor-backend-kalgebra Source: cantor Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 91 Depends: cantor (= 4:4.8.4-2), libanalitza4abi1 (>= 4:4.8.4), libanalitzagui4 (>= 4:4.7.2), libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), kalgebra Homepage: http://edu.kde.org/ Priority: optional Section: math Filename: pool/main/c/cantor/cantor-backend-kalgebra_4.8.4-2_armhf.deb Size: 18626 SHA256: 7e5b330013dfd9c77d18f032aaccc3abb27177399bfbf8f3026264996d4fe313 SHA1: f005b2f250191400bf705473f65e4c9548861178 MD5sum: 381c2f219bfb5d1d31ced6fba1acc801 Description: KAlgebra backend for Cantor Cantor is an application to allow you to you use your favorite mathematical applications from within an elegant worksheet interface. It provides dialogs to assist with common tasks and allows you to share your worksheets with others. . This package provides the backend for using KAlgebra (http://edu.kde.org/kalgebra) in Cantor. . This package is part of the KDE education module. Package: cantor-backend-maxima Source: cantor Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 244 Depends: cantor (= 4:4.8.4-2), libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), maxima Homepage: http://edu.kde.org/ Priority: optional Section: math Filename: pool/main/c/cantor/cantor-backend-maxima_4.8.4-2_armhf.deb Size: 58836 SHA256: 4eb53b0e3585f5fb1d65511889c94134b7a24938ae7033dbb2b1fd8fdfedc87f SHA1: ed9c887e0991ea070371c83b62c995668acd17b8 MD5sum: 3d9bd60df6528b135abceb8211edf78d Description: Maxima backend for Cantor Cantor is an application to allow you to you use your favorite mathematical applications from within an elegant worksheet interface. It provides dialogs to assist with common tasks and allows you to share your worksheets with others. . This package provides the backend for using the Maxima Computer Algebra System (http://maxima.sourceforge.net) in Cantor. . This package is part of the KDE education module. Package: cantor-backend-octave Source: cantor Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 170 Depends: cantor (= 4:4.8.4-2), libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), octave Homepage: http://edu.kde.org/ Priority: optional Section: math Filename: pool/main/c/cantor/cantor-backend-octave_4.8.4-2_armhf.deb Size: 37172 SHA256: 86a30bd288e9102a0e379f7c6382a8c623f8f36f41767f6d700445c288cbf353 SHA1: 4b058e608e8d41aa6a6588d598891439739a3472 MD5sum: 555b49c4f2fafb135496c4a1bb5b5954 Description: Octave backend for Cantor Cantor is an application to allow you to you use your favorite mathematical applications from within an elegant worksheet interface. It provides dialogs to assist with common tasks and allows you to share your worksheets with others. . This package provides the backend for using the GNU Octave language for numerical computations (http://www.octave.org/) in Cantor. . This package is part of the KDE education module. Package: cantor-backend-qalculate Source: cantor Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 370 Depends: cantor (= 4:4.8.4-2), libc6 (>= 2.13-28), libcln6, libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libqalculate5, libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://edu.kde.org/ Priority: optional Section: math Filename: pool/main/c/cantor/cantor-backend-qalculate_4.8.4-2_armhf.deb Size: 125812 SHA256: cfe13db3260412eabaea81ee06a8323301acbe3da5e83065ab44b9caf7dcfd3a SHA1: cee6a9a19303e971a3d88773bab5bbafcca76043 MD5sum: 11cebc19ad38c8a2e2a00d0130823d2f Description: Qalculate! backend for Cantor Cantor is an application to allow you to you use your favorite mathematical applications from within an elegant worksheet interface. It provides dialogs to assist with common tasks and allows you to share your worksheets with others. . This package provides the backend for using the Qalculate! calculator (http://qalculate.sourceforge.net/) in Cantor. . This package is part of the KDE education module. Package: cantor-backend-r Source: cantor Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 232 Depends: cantor (= 4:4.8.4-2), kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), r-base-core Suggests: r-base-html Homepage: http://edu.kde.org/ Priority: optional Section: math Filename: pool/main/c/cantor/cantor-backend-r_4.8.4-2_armhf.deb Size: 69376 SHA256: 2e5bba7d5723f7ed2ab8f4dd7ecfbe6d60e7699a7fb2df3cfc420a3c76d58159 SHA1: 3f8fd7ce78e5b15e84d2da8268ed0b986ce81e10 MD5sum: e0b8c531e148ef7ee408f633cbbc22e6 Description: R backend for Cantor Cantor is an application to allow you to you use your favorite mathematical applications from within an elegant worksheet interface. It provides dialogs to assist with common tasks and allows you to share your worksheets with others. . This package provides the backend for using the R Project for Statistical Computing (http://www.r-project.org) in Cantor. . This package is part of the KDE education module. Package: cantor-backend-sage Source: cantor Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 167 Depends: cantor (= 4:4.8.4-2), libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libkpty4 (>= 4:4.8), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Suggests: sagemath Homepage: http://edu.kde.org/ Priority: optional Section: math Filename: pool/main/c/cantor/cantor-backend-sage_4.8.4-2_armhf.deb Size: 40758 SHA256: bfe6d6b9b813b26a0d5cc5cae3812d7fcbe6ad54bd320be8b2b782851d82e985 SHA1: 5cb50bfe83bce99e7069147212e42919baf5af7f MD5sum: c9a85439dca1256aac9c940d3fe32c76 Description: Sage backend for Cantor Cantor is an application to allow you to you use your favorite mathematical applications from within an elegant worksheet interface. It provides dialogs to assist with common tasks and allows you to share your worksheets with others. . This package provides the backend for using the Sage Mathematics Software (http://www.sagemath.org) in Cantor. . Please note that sagemath is not available in Debian, so you need to manually install it for this package to work. . This package is part of the KDE education module. Package: cantor-dbg Source: cantor Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 23522 Depends: cantor (= 4:4.8.4-2) Breaks: kdeedu-dbg (<< 4:4.6.80) Replaces: kdeedu-dbg (<< 4:4.6.80) Homepage: http://edu.kde.org/ Priority: extra Section: debug Filename: pool/main/c/cantor/cantor-dbg_4.8.4-2_armhf.deb Size: 9517384 SHA256: 22ce759cd6a5c97284795544fe72add53a22358dbff446e90e26b1d516e7c48f SHA1: 625093d1e06fdb0ef8dc9c53562032fc3f774ea3 MD5sum: 963092524e6f62b2cc2dd5c20b4119b5 Description: debugging symbols for cantor This package contains debugging files used to investigate problems with binaries included in cantor. . This package is part of the KDE education module. Package: capi4hylafax Version: 1:01.03.00.99.svn.300-18 Architecture: armhf Maintainer: Joachim Wiedorn Installed-Size: 560 Depends: libc6 (>= 2.13-28), libcapi20-3, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libtiff4 (>> 3.9.5-3~), capiutils Recommends: hylafax-server Suggests: dialog, isdnactivecards Priority: extra Section: comm Filename: pool/main/c/capi4hylafax/capi4hylafax_01.03.00.99.svn.300-18_armhf.deb Size: 213450 SHA256: c5a56d214bc32e3430bb071c96e0d2314bd854ab8d38c2394827aaea56a27e1a SHA1: 72a2f0b5b4e32bb7b71ac319e40b9d764b416437 MD5sum: ce53ab1b9ffdd16b5f1992ef66d5fe9d Description: Faxing over CAPI 2.0 device If you have working capi20 interface, use this package to send and receive fax over capi. It can be used as a "fax-modem" for a hylafax-server or as stand alone version. Be sure you have a recent kernel with capi4linux compiled in. . Please read /usr/share/doc/capi4hylafax/README.Debian to make things working. Package: capistrano Version: 2.12.0-1 Installed-Size: 392 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby | ruby-interpreter, ruby-net-ssh (>= 2.0.14), ruby-net-sftp (>= 2.0.0), ruby-net-scp (>= 1.0.0), ruby-net-ssh-gateway (>= 1.0.0), ruby-highline Size: 97512 SHA256: fd48df59724f576cc7e83a59128dbda306ba6882ef5541f219f7d0b896cbdedd SHA1: a5641506c912ecf156412fbd078b8a1c1ceb6e6a MD5sum: 28f96c22040b60ba695c890385e97649 Description: Executes commands in parallel on multiple servers Capistrano is great for automating tasks via SSH on remote servers, like software installation, application deployment, configuration management, ad hoc server monitoring, and more. Ideal for system administrators, whether professional or incidental. Easy to customize. Its configuration files use the Ruby programming language syntax, but you don't need to know Ruby to do most things with Capistrano. . Capistrano is easy to extend. It's written in the Ruby programming language, and may be extended easily by writing additional Ruby modules. Homepage: http://www.capify.org Ruby-Versions: ruby1.8 ruby1.9.1 Tag: admin::configuring, implemented-in::ruby, role::program Section: ruby Priority: optional Filename: pool/main/c/capistrano/capistrano_2.12.0-1_all.deb Package: capiutils Source: isdnutils Version: 1:3.25+dfsg1-3.3~deb7u1 Architecture: armhf Maintainer: Rolf Leggewie Installed-Size: 228 Depends: libcapi20-3 (= 1:3.25+dfsg1-3.3~deb7u1), libc6 (>= 2.13-28), lsb-base (>= 1.3-9ubuntu3), makedev Homepage: http://www.isdn4linux.de Priority: extra Section: net Filename: pool/main/i/isdnutils/capiutils_3.25+dfsg1-3.3~deb7u1_armhf.deb Size: 92074 SHA256: 9553c4bb6fa24074c251ba26d2ba2708df53383677cfab8f4ad1af17cef3dd06 SHA1: 1fc590de101ac0aba1dcfcb625b2edbc62a23361 MD5sum: 5dca698ca486b75018a56384d5aed64d Description: ISDN utilities - tools for CAPI-capable cards Some ISDN cards (notably the AVM active cards, and the AVM passive cards when using the proprietary drivers) are accessed via the CAPI interface. The package contains utilities to initialize and get information about these cards. Package: cappuccino Version: 0.5.1-2.1 Installed-Size: 352 Maintainer: Christian Surchi Architecture: all Depends: python, python-gtk2, polygen Size: 140656 SHA256: 72c7cee5d9b8dfabf55dc7d88ca5c4c693efc517afd45b96c9e845e3e443a671 SHA1: d7974ae9fcafb2516fd0b7932bf191f93980c4b9 MD5sum: c60485ba79c0e22b881fc571abdf6ffa Description: an utility to let your boss think that you're working hard Run this software on your computer when you are not motivated to work, and enjoy doing something different. If your boss come in your cubicle, he'll think "Yeah, he's doing something different since his computer is really busy - He's doing something really important". Tag: game::toys, implemented-in::python, interface::x11, role::program, uitoolkit::gtk, use::entertaining, x11::application Section: games Priority: optional Filename: pool/main/c/cappuccino/cappuccino_0.5.1-2.1_all.deb Package: caps Version: 0.4.2-1 Architecture: armhf Maintainer: Mario Lang Installed-Size: 973 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Provides: ladspa-plugin Priority: optional Section: sound Filename: pool/main/c/caps/caps_0.4.2-1_armhf.deb Size: 701916 SHA256: a162a822773e258b34b067310fcb991f36e5f1da4a192d027e9647bd41c05787 SHA1: c75f9ac1d9f7a4c6e9032023bdc5c7022017db8e MD5sum: 7e881e37a6eea8557668e00d299a68d8 Description: C* Audio Plugin Suite caps is a collection of refined LADSPA plugins including instrument amplifier emulation, stomp-box classics, versatile 'virtual analog' oscillators, fractal oscillation, reverb, equalization and others. Package: cardstories Version: 1.0.6-1.2 Installed-Size: 4351 Maintainer: Loic Dachary (OuoU) Architecture: all Replaces: python-cardstories Depends: debconf (>= 0.5) | debconf-2.0, adduser, nginx | httpd, python-twisted-web (>= 10.1), python-twisted-mail, python-twisted-conch, python-lxml, python-openssl, python (>= 2.6.6-7~), python (<< 2.8) Conflicts: python-cardstories Size: 3858922 SHA256: 1c39693d36cfd3234f0758b6dca663de3604e2f972ed144e0fcbcf25f5fab657 SHA1: 0b2237992c9b50871fba6c78af11ef3b85e94cd1 MD5sum: f487c8a796e7dbb415223569cf6eb7c1 Description: multiplayer online card guessing game This package provides a server for a networked guessing game using picture cards. One player (the "author") creates the game by choosing a card, picking a word or a sentence to describe it, and sending out invitations to others to participate. . Each of these players receives seven cards and has to pick one that best matches the author's description. . Once enough players have chosen a card, the author displays all chosen cards and the players try to figure out which one is the author's. . If at least one but not all of them guesses correctly, the author wins, along with the players who guessed correctly. Otherwise, the guessers all win. Homepage: http://cardstori.es/ Tag: role::program, use::gameplaying Section: games Priority: optional Filename: pool/main/c/cardstories/cardstories_1.0.6-1.2_all.deb Package: caret Version: 5.6.4~dfsg.1-3 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 15596 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libgomp1 (>= 4.2.1), libminc2-1, libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libqwt5-qt4, libstdc++6 (>= 4.6), libvtk5.8, zlib1g (>= 1:1.2.3.3) Recommends: qt-assistant-compat Suggests: caret-data (>= 5.6.2~dfsg.1~) Homepage: http://brainvis.wustl.edu/wiki/index.php/Caret:About Priority: optional Section: science Filename: pool/main/c/caret/caret_5.6.4~dfsg.1-3_armhf.deb Size: 6627106 SHA256: feeb6e45db8610281b3eb25b1fcbc560c80c2a70976b8d629d199666a674fb07 SHA1: d6525bfa1cb7e61813a468ffac8ce8efc83e25b7 MD5sum: c251a69a320ce135de845703aa92d80b Description: Computerized Anatomical Reconstruction and Editing Toolkit This software allows for creating, viewing and manipulating surface reconstructions of the cerebral and cerebellar cortex, viewing volumes and for displaying experimental data on the surfaces and volumes. While Caret is primarily a GUI application with 'caret_command' there is also a versatile command line tool, that allows access to a substantial proportion of Caret's functionality. . Caret can download and use stereotaxic atlases (human, monkey, mouse and rat) from an open online database. . Some functionality of Caret is only available when additional data files, provided by the caret-data package, are available. This includes: . - Map volumes to surface via PALS atlas - Multi-resolution morphing - Projection of foci via PALS atlas - Surface-based registration - Surface flattening . Currently the caret-data package is only available from the NeuroDebian repository. Please see http://neuro.debian.net for more information. Package: carettah Version: 0.1.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 28252 Depends: libatk1.0-0 (>= 1.12.4), libbibutils2 (>= 4.12), libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libcwiid1 (>= 0.6.00+svn184), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgmp10, libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.18.0), libpcre3 (>= 8.10), zlib1g (>= 1:1.1.4), fonts-ipafont Homepage: http://carettah.masterq.net/ Priority: extra Section: misc Filename: pool/main/c/carettah/carettah_0.1.2-1_armhf.deb Size: 5537396 SHA256: 35a112585d0f76bb9d63ef8a842e72ee4bb34563d669907a94a299930d212291 SHA1: 6ce2d39e57ab8d93702dac995ae0ed0a7ff9c565 MD5sum: 7fb73a1f84b205a65ef41d7d8a5049e1 Description: Presentation tool written with Haskell. A presentation tool written with Haskell. Carettah make a new presentation with command 'carettah -n markdown.md'. And it's controled by keyboard or Wii Remote. . It's a clone of Rabbit . Package: caribou Version: 0.4.4-1 Installed-Size: 937 Maintainer: Debian GNOME Maintainers Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-gi, gir1.2-caribou-1.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-clutter-1.0, python-dbus, python-pyatspi2, dconf-gsettings-backend | gsettings-backend Size: 67256 SHA256: d386aa8c0552df18411a6cc179977f8ddda151b22f32cb201101aae5a7c891e7 SHA1: 2cc9f0b75bffbad0968e28a2845d0403c96a763c MD5sum: 05361ae9ac72fa94e95cf154f8a74654 Description: Configurable on screen keyboard with scanning mode An input assistive technology intended for switch and pointer users. Section: gnome Priority: optional Filename: pool/main/c/caribou/caribou_0.4.4-1_all.deb Package: caribou-antler Source: caribou Version: 0.4.4-1 Installed-Size: 144 Maintainer: Debian GNOME Maintainers Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), caribou (= 0.4.4-1), python-gi, gir1.2-caribou-1.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-clutter-1.0, python-dbus, dconf-gsettings-backend | gsettings-backend Size: 16018 SHA256: 600af545f7fdc5dcedef7fa73772ccbba1fbcfcb623e371638b95fb38860cdbc SHA1: b88e00bb975aff205ce653e474fe63934275d891 MD5sum: 847a042bdd39190d75079b9b5b9fd0fe Description: Configurable on screen keyboard with scanning mode An input assistive technology intended for switch and pointer users. Tag: accessibility::input, implemented-in::python Section: gnome Priority: extra Filename: pool/main/c/caribou/caribou-antler_0.4.4-1_all.deb Package: carmetal Version: 3.5.2+dfsg-1+deb7u1 Installed-Size: 3954 Maintainer: Yves Combe Architecture: all Depends: default-jre | java6-runtime, openjdk-7-jre | openjdk-6-jre, jarwrapper (>= 0.5) Size: 2520124 SHA256: 9a6aa1ba80705e7f6cf2683ff71b3bf264df0974595199f1261b5b5ba85caad7 SHA1: bf4c28b34aa3abf87a35e2eeb57e5a50367ce968 MD5sum: abbcd8ad976bb3ba4fd45864428ae9a5 Description: dynamic geometry software with highly ergonomic UI Based on the C.a.R. (Compass and Ruler) project, CaRMetal includes all of its functionalities - or almost. It propose a different approach from the graphical interface point of view. . - Ruler and compass constructions can be changed by dragging one of the basic construction points. The construction follows immediately. The student can check the correctness of the construction and gain new insight. - Tracks of points and animated constructions can help to understand geometric relations. Tracks can be used as new objects to explore. - With the macros of C.a.R. very complicated constructions become possible. Macros are also a way to organize the geometric thinking. - Hiding construction details and using colors make constructions clearer to read. In C.a.R. lines and circles can also be reduced to the relevant points. - Arithmetic computations, numerical solutions, curves and functions go beyond classical constructions. It is even possible to construct in 3D using advanced macros. - Other geometries, hyperbolic or elliptic, can be explored. - Scripting (javascript based) of figures. Section: math Priority: extra Filename: pool/main/c/carmetal/carmetal_3.5.2+dfsg-1+deb7u1_all.deb Package: carton Version: 0.9.7-1 Installed-Size: 134 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.10.1), cpanminus (>= 1.5013), libcapture-tiny-perl, libcpan-meta-perl (>= 2.120921), libcpan-meta-requirements-perl, libexception-class-perl (>= 1.32), libjson-perl (>= 2.53), liblocal-lib-perl (>= 1.008000), libmodule-cpanfile-perl, libtry-tiny-perl (>= 0.09) Size: 61508 SHA256: e5284316850cafc4747b877ccdf02d6e37c5f1fc67a33796bcfb5e0c78f3679d SHA1: 586dee952bb6990ce76a439ad2c03f88f0722054 MD5sum: f5112bc558886e81f36f0d7e74817427 Description: Perl module dependency manager (aka Bundler for Perl) carton is a command line tool to track the Perl module dependencies for your Perl application. The required dependencies are managed through a file named cpanfile and tracked through the carton.lock file. It makes deployments easier and allows other developers of your application to have the exact same versions of the modules. Homepage: http://search.cpan.org/dist/carton/ Section: perl Priority: optional Filename: pool/main/c/carton/carton_0.9.7-1_all.deb Package: caspar Version: 20120530-1 Installed-Size: 47 Maintainer: Joost van Baal-Ilić Architecture: all Depends: make Suggests: jade, jadetex, texlive-latex-base, texlive-binaries, w3m, ghostscript, psutils, lpr, man-db, docbook-dsssl, perl, caspar-doc Conflicts: caspar-doc (<< 20091115-1) Size: 32984 SHA256: 27752731e1aca0587cb03c006597a3b5fcfad3b42f84bbeb4af875e6cefb4d36 SHA1: 7931d5684c8fc9183196809a3f27ef229c104a1b MD5sum: bb0fc20d8974ba1e520b07ea7b40bd5f Description: Makefile snippets for centralized configuration management and typesetting Caspar offers Makefile snippets for tasks like installing files you maintain using a version control system, or typesetting documents in some markup language. . If you need to maintain and distribute lots of files (system configuration files for Unix hosts using a version control system, e.g.), caspar is likely useful for you. It is comparable with other tools for Unix system administrators like puppet and cfengine. Main difference: the caspar code consists of less than 100 lines of GNU Make, so if puppet and cfengine are overkill for your needs, you'll probably like the small and simple caspar tool. . If you write documents in a markup language like LaTeX, DocBook XML, DocBook SGML or POD, and want to automate the typesetting, you'll like caspar. Install all suggested packages if you'd like to use this typesetting functionality (or pick the suitable ones from the list of suggested packages). . It's not strictly necessary to know how to write Makefiles when using caspar. Homepage: http://mdcc.cx/caspar Tag: admin::configuring, implemented-in::TODO, role::program, use::typesetting, works-with-format::docbook, works-with::text Section: devel Priority: optional Filename: pool/main/c/caspar/caspar_20120530-1_all.deb Package: caspar-doc Source: caspar Version: 20120530-1 Installed-Size: 121 Maintainer: Joost van Baal-Ilić Architecture: all Suggests: caspar Size: 72572 SHA256: 989c755f9425d5aa2a84495953dfdb3daaf98d634bb23246094258d9371bdb6a SHA1: f6277374a075eebd09d506b3655eb57a8cb82df5 MD5sum: bd37026036af1cbfe37bc5289ffa233c Description: documentation for caspar Caspar offers Makefile snippets for common tasks, like installing (system configuration) files or typesetting documentation. . This package contains the caspar manpages in HTML and PostScript format as well as some other documentation. Homepage: http://mdcc.cx/caspar Tag: made-of::TODO, made-of::man, made-of::postscript, role::documentation Section: doc Priority: optional Filename: pool/main/c/caspar/caspar-doc_20120530-1_all.deb Package: castle-combat Version: 0.8.1.dfsg.1-3 Installed-Size: 2776 Maintainer: John Lightsey Architecture: all Replaces: castle-combat-data (<< 0.8.0) Provides: castle-combat-data Depends: python (>= 2.3), python-central (>= 0.6.11), python-twisted, python-pygame, ttf-dejavu-core, python-numpy Conflicts: castle-combat-data (<< 0.8.0) Size: 1687182 SHA256: 02ce3d08c002295882984406ddfb751a4f6857fbe7f9a994db0a67d7e5dcf485 SHA1: e8cb54b4125e1732baa209615fa6df7f6cd3597b MD5sum: 83c4b1ead7670f630a16c11c70008f63 Description: game where the player builds one castle and destroys others A clone of the old DOS game Rampart. Up to four players (or more in future versions) build castle walls, place cannons inside these walls, and shoot at the walls of their enemy(s). If a player can't complete a wall around any castle, the player loses. The last surviving player wins. Homepage: http://www.linux-games.com/castle-combat/ Python-Version: >= 2.3 Tag: game::strategy, interface::x11, network::client, network::server, role::program, uitoolkit::sdl, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/c/castle-combat/castle-combat_0.8.1.dfsg.1-3_all.deb Package: catcodec Version: 1.0.5-1 Architecture: armhf Maintainer: Matthijs Kooijman Installed-Size: 73 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: http://www.openttd.org/download-catcodec Priority: extra Section: devel Filename: pool/main/c/catcodec/catcodec_1.0.5-1_armhf.deb Size: 17660 SHA256: 7c0f956606f16296a13dde88256aaf91b2f4d27ff0808169c8b7934c72a74fdf SHA1: 2de53604cf6df6682c0efaddac7cd7700d9e7f32 MD5sum: 6f1a80abac927fcde8439e6d9a538ab4 Description: tool to decode/encode the sample catalogue for OpenTTD catcodec decodes and encodes sample catalogues for OpenTTD. These sample catalogues are not much more than some meta-data (description and file name) and raw PCM data. Package: catdoc Version: 0.94.4-1.1+deb7u1 Architecture: armhf Maintainer: Nick Bane Installed-Size: 2430 Depends: libc6 (>= 2.13-28) Suggests: tk | wish Homepage: http://freshmeat.net/projects/catdoc Priority: optional Section: text Filename: pool/main/c/catdoc/catdoc_0.94.4-1.1+deb7u1_armhf.deb Size: 644378 SHA256: 68b2201ac533279b9a734b99e14b2d9d1b008d2a0047c3eae3043f58ec1603c8 SHA1: 793b2ffcdf976da1a75675b811c3efafedf54921 MD5sum: 2c5173f21008af7067e8eec743e12a99 Description: MS-Word to TeX or plain text converter This program extracts text from MS-Word files, trying to preserve as many special printable characters as possible. catdoc supports everything up to Word-97. Also supported are MS Write documents and RTF files. . It doesn't even try to preserve fancy Word formatting, because Word users usually don't care about document structure, and it is this very thing which is important to LaTeX users. . Also provided is xls2csv, which extracts data from Excel spreadsheets and outputs it in comma-separated-value format and catppt, which extracts data from PowerPoint presentations. . This package suggests tk because it also includes wordview, an optional Tk-based GUI for catdoc. The MIME config provided in this package will use wordview if X is running, or catdoc directly if it is not. Package: catdvi Version: 0.14-12.1 Architecture: armhf Maintainer: Bart Martens Installed-Size: 145 Depends: libc6 (>= 2.13-28), libkpathsea6, texlive-base, texlive-base-bin Recommends: texlive-fonts-recommended Homepage: http://catdvi.sourceforge.net/ Priority: extra Section: tex Filename: pool/main/c/catdvi/catdvi_0.14-12.1_armhf.deb Size: 62266 SHA256: a9bb3ccdd8afdf7d132f324e1fa77a34fd9e0ab3e1d32fad443b9de77ff0202d SHA1: 1356021e1a91cd6c04e5a7e1acc1334583369b71 MD5sum: 8d900783f8435ffefe8ce7612333e84c Description: DVI to plain text translator CatDVI translates a TeX Device Independent (DVI) file into a readable plaintext file. It aims to generate high-quality output that reproduces all aspects of the DVI file within the limits of the output medium. CatDVI uses Unicode internally and can output ASCII, ISO Latin 1 and UTF-8 Unicode. . CatDVI can be used for previewing TeX documents on character-cell displays such as traditional terminals and terminal emulators. It is also possible to use it in generation of plain text documents from TeX source, assuming that the document is written with this conversion in mind. . CatDVI is under development and as such yet incomplete. However, it is quite useful even in its current form and already outperforms some of its competition in some areas. . CatDVI requires that the TFM files for all fonts used in its input DVI files are installed or can be generated by kpathsea. Package: catfish Version: 0.3.2-2+deb7u1.1 Installed-Size: 143 Maintainer: Cody A.W. Somerville Architecture: all Depends: python, python-support (>= 0.90.0), python-gtk2 (>= 2.6), python-glade2, python-gobject Recommends: python-xdg Suggests: python-dbus, strigi-daemon, doodle, tracker, beagle Size: 32984 SHA256: cefa47bc756cd4c36a0e87e4331f3ed41c86195cf116245aead06d02f251d3f4 SHA1: 8eaf0c329ec969244264ffa50555791daeeb96dc MD5sum: 3ede7ba44260c4789a816a997ad5416f Description: file search tool that support several different engines A file search tool using different backends which is configurable via the command line. . This program acts as a frontend for different file search engines. The interface is intentionally lightweight and simple. But it takes configuration options from the command line. . Currently find, locate, tracker, strigi, pinot, and beagle are supported as backends. Homepage: http://software.twotoasts.de/index.php?/pages/catfish_summary.html Tag: implemented-in::python, role::program, use::searching Section: utils Priority: optional Filename: pool/main/c/catfish/catfish_0.3.2-2+deb7u1.1_all.deb Package: cavezofphear Version: 0.5.1-1 Architecture: armhf Maintainer: Håkon Nessjøen Installed-Size: 101 Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5 Homepage: http://www.x86.no/cavezofphear/ Priority: extra Section: games Filename: pool/main/c/cavezofphear/cavezofphear_0.5.1-1_armhf.deb Size: 23326 SHA256: 421260db60c42390aa76bd4b19750d71f3fe8bbfe963314eb7e2f6011368eac1 SHA1: 54363128e0f8528fe877994f94a980d58279c579 MD5sum: 1dd7493dc04508e40e8cb41cfe48049c Description: ASCII Boulder Dash clone A Boulder Dash game clone for your favorite terminal. You are trapped in the CAVEZ of PHEAR, your mission is to escape through all the caves and make it out alive. To escape through a cave you will have to find all the diamonds located in it. Once you've found all the diamonds, their powers combined will help you get to the next cave, one step closer to freedom. Package: cb2bib Version: 1.4.8-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 3238 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0), libx11-6 Suggests: libimage-exiftool-perl, konqueror, poppler-utils | xpdf-utils, bibutils, jsmath, texlive-latex-base, texlive-base-bin Homepage: http://www.molspaces.com/cb2bib/ Priority: optional Section: text Filename: pool/main/c/cb2bib/cb2bib_1.4.8-1_armhf.deb Size: 1423568 SHA256: cc2cd9cd3f901ea53fb18889239f068db39bc427618f54d805d5db7d1265e885 SHA1: 5f4557f6a1fea89c97f83486af0682bf21793637 MD5sum: 17f4c6ac648b34b5466073f4079b18b1 Description: extract bibliographic references from various sources cb2bib is a program to extract bibliographic references from unformatted and non standard sources, like: - email alerts; - journal Web pages; - and most interestingly PDF files. . Output references are written to BibTeX-formatted files. Other features include: - article files can be linked and renamed by dragging them onto the cb2Bib window; - editing and browsing BibTeX files; - citing references, searching references and the full contents of the referenced documents; - inserting bibliographic metadata to documents; - writing short notes that interrelate several references. Package: cba Version: 0.3.6-4 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 464 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1) Homepage: http://cbeam.sourceforge.net Priority: extra Section: science Filename: pool/main/c/cba/cba_0.3.6-4_armhf.deb Size: 162068 SHA256: d426ad70d1c6490d4b4671d5bf8554a3ff2ae86010cf6a7669d0136529cc636f SHA1: e36d2927398ecf916e16af1972fefb21f5c5e3e3 MD5sum: ec73c3373a0aae6193aa2544b44dd2ea Description: Continuous Beam Analysis A small engineering tool that calculates member forces and support reactions of a continuous beam. A material and section database is included to set elasticity and moment of inertia. Boundary conditions can be set differently at each support. Package: cbflib-bin Source: cbflib Version: 0.7.9.1-3 Architecture: armhf Maintainer: Morten Kjeldgaard Installed-Size: 172 Depends: libc6 (>= 2.13-28), libcbf0 Homepage: http://www.bernstein-plus-sons.com/software/CBF/ Priority: extra Section: science Filename: pool/main/c/cbflib/cbflib-bin_0.7.9.1-3_armhf.deb Size: 53130 SHA256: e3afca1ccdbe61053b29eafb912757c9f2460b5d6e29a4e762d49b3f087be4d9 SHA1: 6d8b968ff8e3c5c46730eacbca1bf6e971deb2f3 MD5sum: 6fe4db06142fd3b0bb16ceebb8febef5 Description: utilities to manipulate CBF files CBFlib is a library of ANSI-C functions providing a simple mechanism for accessing Crystallographic Binary Files (CBF files) and Image-supporting CIF (imgCIF) files. . This package contains various utility programs. Package: cbflib-doc Source: cbflib Version: 0.7.9.1-3 Installed-Size: 1032 Maintainer: Morten Kjeldgaard Architecture: all Enhances: libcbf-dev Size: 216420 SHA256: a1c11664cf4cad78eb063f86045c74e6698d46b6ce521dca8b2b36d6e6e40c8a SHA1: ef15e1668e47ca9a312877047e67f6e570832c3c MD5sum: e0976dccf6a44edbb8bc81c42e169043 Description: documentation for CBFlib CBFlib is a library of ANSI-C functions providing a simple mechanism for accessing Crystallographic Binary Files (CBF files) and Image-supporting CIF (imgCIF) files. . This package contains the documentation to CBFlib. Homepage: http://www.bernstein-plus-sons.com/software/CBF/ Tag: devel::doc, devel::lang:c, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/c/cbflib/cbflib-doc_0.7.9.1-3_all.deb Package: cbios Version: 0.25-2 Installed-Size: 588 Maintainer: Debian QA Group Architecture: all Recommends: openmsx | msx-emulator Size: 95074 SHA256: 29c0eaacb2429ebcf23ffe2563c7a8b920abab07943e11927d5a4ce1090aadc8 SHA1: 7f746c0ba91c2b6ce9d8b1de0983b0b2bbc98ad9 MD5sum: 844a878cf1bc52270c7ad84d5f419d0f Description: open source MSX BIOS roms C-BIOS is an open source BIOS for MSX computers. C-BIOS can be shipped with MSX emulators so they are usable out-of-the-box. It comes readily configured for the openMSX emulator. Tag: hardware::emulation, role::app-data Section: misc Priority: extra Filename: pool/main/c/cbios/cbios_0.25-2_all.deb Package: cbm Version: 0.1-9 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 74 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.6), libtinfo5 Homepage: http://www.isotton.com/software/unix/cbm/ Priority: optional Section: utils Filename: pool/main/c/cbm/cbm_0.1-9_armhf.deb Size: 19944 SHA256: a792f4aa69b72f785a8daf1f31b43adb578a2854ac9b1c708e0684bcd70ff6c7 SHA1: ca78f57b6bd8a3e99278cf8d3558e1f3509d7c92 MD5sum: cfdeae6035e2b1278b7322adc5b69b3b Description: display the current network traffic in colors The Color Bandwidth Meter displays the current traffic on all network devices in a simple, curses-based GUI. The traffic for all interfaces include values: receive, transfer and total Bytes/s. Package: cbmc Version: 4.1-1.2 Architecture: armhf Maintainer: Michael Tautschnig Installed-Size: 9202 Depends: gcc, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), minisat Homepage: http://www.cprover.org/cbmc/ Priority: extra Section: science Filename: pool/main/c/cbmc/cbmc_4.1-1.2_armhf.deb Size: 4301116 SHA256: 2a269477b34f1004c23bea85a24576de416dfadd538431c5d4bc315e57d8cd3b SHA1: b26b9e2cdf629fd72221fd737ab26f8c0533eb39 MD5sum: 2949fe8af2c3f34362043fc0b326ccea Description: bounded model checker for C and C++ programs CBMC generates traces that demonstrate how an assertion can be violated, or proves that the assertion cannot be violated within a given number of loop iterations. Package: cbrpager Version: 0.9.22-1 Architecture: armhf Maintainer: Roberto Lumbreras Installed-Size: 174 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.10.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, unzip, p7zip-full Suggests: unrar Homepage: http://jcoppens.com/soft/cbrpager/index.en.php Priority: extra Section: graphics Filename: pool/main/c/cbrpager/cbrpager_0.9.22-1_armhf.deb Size: 40056 SHA256: d402fe5036402b20449dae257c6f573d61cb33925e2b651e7bedd01372dc8684 SHA1: 754b90392a5d1f7599a92b3a74ef9b4d007b8094 MD5sum: 32ca14c48574d7c23fcbceaeabf43a26 Description: viewer for CBR, CBZ and CB7 (comic book archive) files cbrPager is a simple to use, small viewer for cbr, cbz and cb7 (comic book archive) files. As it is written in C, the executable is small and fast. It views jpeg, gif and png images, and you can zoom in and out. Package: cc1111 Version: 2.9.0-2 Architecture: armhf Maintainer: Bdale Garbee Installed-Size: 5185 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: sdcc, sdcc-doc, sdcc-libraries, sdcc-ucsim Homepage: http://sdcc.sourceforge.net Priority: optional Section: electronics Filename: pool/main/c/cc1111/cc1111_2.9.0-2_armhf.deb Size: 1578212 SHA256: 5a78b9b08251466862a02155be83047b5c2ffd93176e6034312bde7367e12b9e SHA1: 207e7a3d6af2ca1c91925b65a90377cc5f1c09a2 MD5sum: b2ad0344aa4af02b7746d459b88345f0 Description: C Compiler for TI/Chipcon 8051-based RF SOCs This is a C compiler and related tools for developing firmware for TI/Chipcon RF System on Chip (SOC) parts based on the 8051 processing core. These include at least the CC1110, CC1111, CC2510, and CC2511, CC2530, CC2531, CC2533, CC2543, and CC2544. . This package started as a fork of Debian SDCC 2.9.0-5, motivated both as a workaround for increases in the size of 8051 code generated by later versions of SDCC that cause AltOS to fail to build successfully, and a desire to incorporate support for source-level debugging on real hardware. Package: ccache Version: 3.1.7-1 Architecture: armhf Maintainer: Y Giridhar Appaji Nag Installed-Size: 228 Depends: libc6 (>= 2.4), zlib1g (>= 1:1.2.6) Suggests: distcc Homepage: http://ccache.samba.org Priority: optional Section: devel Filename: pool/main/c/ccache/ccache_3.1.7-1_armhf.deb Size: 90122 SHA256: e1173a0cc6463f916ee2111ddc2ccd14bb54b8ed384aadee1ac259c3017b8023 SHA1: f8d506d6ea457f833127126897fa303480e66c1f MD5sum: 49fb1bb76c3c4dbe85ce296bab090730 Description: Compiler cache for fast recompilation of C/C++ code ccache is a compiler cache. It speeds up recompilation by caching previous compilations and detecting when the same compilation is being done again. Supported languages are C, C++, Objective-C and Objective-C++. Package: ccal Source: cal Version: 4.0-3 Architecture: armhf Maintainer: Javier Fernandez-Sanguino Pen~a Installed-Size: 97 Pre-Depends: dpkg (>= 1.15.7.2) Depends: libc6 (>= 2.4) Homepage: http://unicorn.us.com/cal.html Priority: optional Section: utils Filename: pool/main/c/cal/ccal_4.0-3_armhf.deb Size: 32910 SHA256: 3b108f5d0bde9d99a64983e7eb82d865df218a15e670fcc190ee53dc54731f79 SHA1: 0f424fe07746cf21ed7923c8ac1d52d22297855b MD5sum: 35710973c7309890ba588b6a4ba3e035 Description: Colorised calendar utility CCAL is a drop in replacement for the standard unix calendar program. It includes support for color and daily, weekly, and yearly reminders/appointments. Package: ccbuild Source: ccbuild (2.0.3-1) Version: 2.0.3-1+b1 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 392 Depends: libbobcat3 (>= 3.01.00), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnutls-openssl27, libgomp1 (>= 4.4), libstdc++6 (>= 4.6) Homepage: http://freshmeat.net/projects/ccbuild Priority: optional Section: devel Filename: pool/main/c/ccbuild/ccbuild_2.0.3-1+b1_armhf.deb Size: 169812 SHA256: 54d773aa39b588ee432c17d9a6249eb615a3f7e085fca0ba21ea2aa4b5799256 SHA1: 94b16ff7ae7d3749331407d135ac86523544d78a MD5sum: c064bc782550f3b271446baac36a11e5 Description: source scanning build utility for C++ Scan the source for main functions and includes. When the file contains a main function it will compile all the files next to that file's includes and link them into a program. . This makes it possible to build entire programs with minimal configuration. Linker and compiler options can be added and will be automatically divided over the compiler and linker. Package: cccc Version: 1:3.1.4-4 Architecture: armhf Maintainer: Colin Watson Installed-Size: 584 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://cccc.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/c/cccc/cccc_3.1.4-4_armhf.deb Size: 173748 SHA256: 57f2f94d0e04c5a71618add3cf2da5e19460f3f91dc0818edfa02e5ab7c7b2cd SHA1: c186beb8b1b8c6d1bf4bad4667a73998ae28cb5e MD5sum: 7e62c20ee0f5a8215fece918a6aaf9b5 Description: C and C++ Code Counter, a software metrics tool CCCC analyses and reports measurements of source code in C, C++, and Java. It was developed to augment programmers' instinctive application of numeric rules of thumb about what constitutes high or low quality products with an automated analysis tool. Package: cccd Version: 0.3beta4-6.2 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 103 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Priority: extra Section: sound Filename: pool/main/c/cccd/cccd_0.3beta4-6.2_armhf.deb Size: 34430 SHA256: 6044a8b6cf562c82bc55d5f849d6eae630546109eabcf14eaf5c4e264f03caa5 SHA1: ab4f149b3a6a9e8cdb0c04229746974382b57ca6 MD5sum: 17e70efecbbac8a9d99b58b75f2fa42c Description: A small GTK+ CD player program cccd is a small GTK+ CD player program with many features: * Works with SCSI devices * CDDB lookups (local and remote) and local storing * Uses very little screen space * Handles CD Extras (mixed mode CDs) Package: ccd2iso Version: 0.3-3 Architecture: armhf Maintainer: Asheesh Laroia Installed-Size: 46 Depends: libc6 (>= 2.4) Priority: optional Section: otherosfs Filename: pool/main/c/ccd2iso/ccd2iso_0.3-3_armhf.deb Size: 7056 SHA256: bfd88720fd15c17c32062dcd25fae355d44a26038f62a065718e5774762aca5a SHA1: e516b0772be38bd3916cf234492731bf4c819b8b MD5sum: 7636eb2c4a1d1214e66cb8a99b3ecbc9 Description: Converter from CloneCD disc image format to standard ISO This converts CD backup files created using the non-free CloneCD program to a format understood by most Free Software CD writing programs. . Homepage: http://sourceforge.net/projects/ccd2iso/ Package: cclib Version: 1.0.1-2 Installed-Size: 53 Maintainer: Debichem Team Architecture: all Depends: python, python-cclib (= 1.0.1-2) Suggests: cclib-data Size: 10050 SHA256: 8e499a7f1592f1ff656ce936c0e018524bed1692c14b3c6600398d46ec556526 SHA1: 88f53734c6ce6fcd76b760a88ba2310474fe3dd9 MD5sum: c6ca7ebee29279c2a3f5297aaa72e859 Description: Parsers and algorithms for computational chemistry A Python library that provides parsers for computational chemistry log files. It also provides a platform to implement algorithms in a package-independent manner. . This package contains helper scripts for end users. . If you are looking for the unit tests and data files managed by cclib, they are distributed separately as in non-free package cclib-data. Homepage: http://cclib.sourceforge.net Section: science Priority: optional Filename: pool/main/c/cclib/cclib_1.0.1-2_all.deb Package: cclive Version: 0.7.9-1 Architecture: armhf Maintainer: Alejandro Garrido Mota Installed-Size: 319 Pre-Depends: dpkg (>= 1.15.6) Depends: libboost-date-time1.49.0 (>= 1.49.0-1), libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libpcrecpp0 (>= 7.7), libquvi7 (>= 0.4.0), libstdc++6 (>= 4.6) Homepage: http://cclive.sourceforge.net Priority: optional Section: video Filename: pool/main/c/cclive/cclive_0.7.9-1_armhf.deb Size: 109808 SHA256: f8d49dac631d1cd9cc3c447762a3bfec206c15381269edf79aa3680543d531ee SHA1: 6bfe6932d428f95951dafab3c340d794ffbe19aa MD5sum: 607902872eb39424efe21180d40f744c Description: lightweight command line video extraction tool cclive is a lightweight command line video extraction tool for Youtube and other similar video websites. It is a rewrite of the clive software in C++ with lower system footprint and fewer dependencies. . cclive is primarily a "video download tool" but it can also be used along side with video player software, like "mplayer", for viewing streamed videos instead of the Adobe flash player. Package: ccontrol Version: 1.0-1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 99 Depends: libc6 (>= 2.13-28), gcc, make, binutils, ccache Recommends: python, python-gtk2, python-eggtrayicon Suggests: distcc Homepage: http://ccontrol.ozlabs.org/ Priority: optional Section: devel Filename: pool/main/c/ccontrol/ccontrol_1.0-1_armhf.deb Size: 34668 SHA256: 292989dd7513506e06360f884f939d00fd4bf74569372c46f33430678c559648 SHA1: 1b5a7e7f04fb5b18e27e6dbba7b1d551bc77c133 MD5sum: 1a197d88bc34a0b38bc1881e8a38fcd7 Description: Compilation controller The ccontrol program takes over the roles of the compiler, linker and make, and reads a configuration file to decide what to do before invoking them. This is particularly useful for centralized control over commands and options, such as enabling distcc and ccache. It is also great for controlling parallelism and which compiler versions to use, based on the directory and make targets. Package: cconv Version: 0.6.2-1 Architecture: armhf Maintainer: Vern Sun Installed-Size: 354 Depends: libc6 (>= 2.13-28) Recommends: iconv Homepage: http://code.google.com/p/cconv/ Priority: extra Section: text Filename: pool/main/c/cconv/cconv_0.6.2-1_armhf.deb Size: 128126 SHA256: faba044e4356bc4c94bfc2062f4d265e224f905c92686ece802587bb6926185a SHA1: 0858841425a7eaaa61031b08c3eaf29900978d35 MD5sum: a1cebd4003c1ceafeccadc77dcc99bf1 Description: simplified-traditional chinese conversion tool The Chinese national GB standard defines a basic set of (around 6,000) characters for use with Simplified Chinese writing that does not include many of the characters in the Taiwanese industry standard for Traditional Chinese called Big5 (around 13,000 characters in the basic set). Unicode is however a superset of both with all duplication removed down to the level of detail described above. . This tool is used for converting a UTF-8 string which combining both Simplified Chinese characters and Traditional Chinese charcters directly into one type Chinese chareacters. Package: ccrypt Version: 1.9-4 Architecture: armhf Maintainer: Chris Vanden Berghe Installed-Size: 226 Depends: libc6 (>= 2.4) Priority: optional Section: utils Filename: pool/main/c/ccrypt/ccrypt_1.9-4_armhf.deb Size: 69688 SHA256: d98d11152b16a379431479d77ed635a37759af4262efd9311aeadaff916a566e SHA1: 062a7ac0fd868e2d4318896be102592d2caa8489 MD5sum: 328237fc64e4fa8580bb748d887204cc Description: secure encryption and decryption of files and streams ccrypt is a utility for encrypting and decrypting files and streams. It was designed as a replacement for the standard unix crypt utility, which is notorious for using a very weak encryption algorithm. ccrypt is based on the Rijndael cipher, which is the U.S. government's chosen candidate for the Advanced Encryption Standard (AES, see http://www.nist.gov/aes). This cipher is believed to provide very strong security. Package: ccze Version: 0.2.1-2 Architecture: armhf Maintainer: Stephen Gran Installed-Size: 157 Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libpcre3 (>= 8.10), libtinfo5 Replaces: colorize (<= 0.3.1-2) Priority: extra Section: utils Filename: pool/main/c/ccze/ccze_0.2.1-2_armhf.deb Size: 71642 SHA256: 2620480da783fb4c282e4a253be2c2b36a18fc50a526a48738dd7391f1ff6bc9 SHA1: 341167477624e5b68477976b2a13a712ef83c95e MD5sum: 5aefe53109fb83338e57735b4232acac Description: A robust, modular log coloriser CCZE is a robust and modular log coloriser, with plugins for apm, exim, fetchmail, httpd, postfix, procmail, squid, syslog, ulogd, vsftpd, xferlog and more. Package: cd-circleprint Version: 0.7.0-3 Installed-Size: 236 Maintainer: Colin Tuckley Architecture: all Depends: perl, perl-modules, perl-tk Suggests: imagemagick, gv Size: 84252 SHA256: 929ceabd68b980985e58f6b07bffb2418a700c90ded37c2513eb080128139b05 SHA1: c0490a131757111de71fad1d963884e78aa9afb9 MD5sum: 9d4edebf7bcfb184bdc178a50ad1b20f Description: prints round cd-labels cd-circleprint is a program to create round cd-labels written in perl, using perl-tk to produce a graphical frontend. The labels have four lines of nice curved text and an additional four fields with normal text. Each field has selectable text-size, font and colour. The background colour is also selectable. The label may also have a background image. You can squeeze the whole page if your printer doesn't generate correct circles and you can move the two labels on the sheet to accommodate different types of label sheets. The output is postscript to make it as portable as possible. Homepage: http://sourceforge.net/projects/cd-circle-print/ Tag: hardware::storage, hardware::storage:cd, role::program, use::printing Section: text Priority: optional Filename: pool/main/c/cd-circleprint/cd-circleprint_0.7.0-3_all.deb Package: cd-discid Version: 1.3.1-1 Architecture: armhf Maintainer: Timur Birsh Installed-Size: 49 Depends: libc6 (>= 2.13-28) Homepage: http://linukz.org/cd-discid.shtml Priority: optional Section: sound Filename: pool/main/c/cd-discid/cd-discid_1.3.1-1_armhf.deb Size: 10074 SHA256: e46af12a0d5848a7cb4e3cd93cf3b4beaa96d23d16fe24b7fb17fd80c00164fa SHA1: 51c30eced4b7aa70dd379c3c39480d4a854dacc8 MD5sum: b0007e4f56464559dd3540ca70650728 Description: CDDB DiscID utility In order to do CDDB queries over the Internet, you must know the DiscID of the CD you are querying. cd-discid provides you with that information. It outputs the discid, the number of tracks, the frame offset of all of the tracks, and the total length of the CD in seconds, on one line in a space-delimited format. cd-discid was designed as a backend tool for cdgrab (now abcde) but will work independently of it. Package: cd-hit Version: 4.6-2012-04-25-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 1203 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libstdc++6 (>= 4.4.0), perl, mpi-default-bin Homepage: http://weizhong-lab.ucsd.edu/cd-hit/ Priority: optional Section: science Filename: pool/main/c/cd-hit/cd-hit_4.6-2012-04-25-1_armhf.deb Size: 695758 SHA256: f3a6d4d02e4d81fe47b19e37428ef403065c95962d8af2ec0941e324fa6d5964 SHA1: 3e50e2bc076bb3e3dceddaeea88c92c7e81bac3c MD5sum: 6fd7c99cdd19c1c68f560f742abd24d7 Description: suite of programs designed to quickly group sequences cd-hit contains a number of programs designed to quickly group sequences. cd-hit groups proteins into clusters that meet a user-defined similarity threshold. cd-hit-est is similar to cd-hit, but designed to group nucleotide sequences (without introns). cd-hit-est-2d is similar to cd-hit-2d but designed to compare two nucleotide datasets. A number of other related programs are also in this package. Please see the cd-hit user manual, also part of this package, for further information. Package: cd5 Version: 0.1-3 Architecture: armhf Maintainer: Thorsten Alteholz Installed-Size: 46 Depends: libc6 (>= 2.4), libmhash2 Homepage: http://projects.meuh.org/cd5/ Priority: extra Section: utils Filename: pool/main/c/cd5/cd5_0.1-3_armhf.deb Size: 7008 SHA256: fa6c6f6b5e55285a7a32cc632b5f022e0c8850b6a032138d1ba48b4b2e27fe1a SHA1: aadb4e8deea596935d95d1edf21fde9b4ce03a30 MD5sum: 7a2f31976a8e077fffd1b4104c8cc9ba Description: Compute checksum of individual track on CD-ROMS CD-ROM Digest is a program that checks a multi-track CD-ROM. It reports the MD5 digest and size for each track on a CD-ROM. The digest is done as the track is read, without dumping CD-ROM data on the hard drive. This tool helps users that are burning a multi-track CD-ROM to check the result. Package: cdargs Version: 1.35-9 Architecture: armhf Maintainer: Mike Miller Installed-Size: 161 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.4.0), libtinfo5 Suggests: emacs23 | xemacs21 | emacsen Homepage: http://www.skamphausen.de/cgi-bin/ska/CDargs Priority: optional Section: utils Filename: pool/main/c/cdargs/cdargs_1.35-9_armhf.deb Size: 50120 SHA256: cfd480b063020ceaad69402b2f727061200d394feee213d6523b1d19fb68fffe SHA1: d11a2b799d04384df9b49f4f3d344d4019c3b615 MD5sum: baa687dec47bc39948f82ce83d0932f1 Description: bookmarks and browsing for the cd command CDargs is a tool which enhances the navigation of the common unix file-system inside the shell. It plugs into the shell built-in cd-command (via a shell function or an alias) and adds bookmarks and a browser to it. . It enables you to move to a very distant place in the file-system with just a few keystrokes. Package: cdbackup Version: 0.7.0-5 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 91 Depends: libc6 (>= 2.4), wodim Recommends: cpio | dump Priority: extra Section: admin Filename: pool/main/c/cdbackup/cdbackup_0.7.0-5_armhf.deb Size: 29182 SHA256: a79c27e3e4832114f0310369edaa2cfe086cad0e26067f6636c8a8a80e353477 SHA1: 8e5f14ac7dcc39d8fee4389dd8c7dcf11eb6114e MD5sum: 807a2ce80ee38b085d0b051c870e3fc6 Description: CD-R(W) backup utility cdbackup and cdrestore are a pair of utilities designed to facilitate streaming backup to and from CD-R(W) disks. Specifically, they were designed to work with dump/restore, but tar/cpio/whatever you want should work, so long as it writes to stdout for backups and reads from stdin for restores. Package: cdbs Version: 0.4.115+deb7u1 Installed-Size: 221 Maintainer: CDBS Hackers Architecture: all Recommends: autotools-dev Suggests: devscripts Size: 78086 SHA256: fc33985889dcb56b1154bdc49a20246f0d8dabf7957260c710e2c5000fd34ee7 SHA1: 159b8aff4b7707d7fb5a699846619c0c22504cbd MD5sum: 628ff9d1c0468c5ed7063971c209cb31 Description: common build system for Debian packages This package contains the Common Debian Build System, an abstract build system based on Makefile inheritance which is completely extensible and overridable. In other words, CDBS provides a sane set of default rules upon which packages can build; any or all rules may be overridden as needed. Multi-Arch: foreign Tag: devel::buildtools, devel::debian, devel::packaging, implemented-in::TODO, role::source, suite::debian, works-with::software:source Section: devel Priority: optional Filename: pool/main/c/cdbs/cdbs_0.4.115+deb7u1_all.deb Package: cdcat Version: 1.8-1 Architecture: armhf Maintainer: Eduard Bloch Installed-Size: 1708 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmediainfo0 (>= 0.7.52), libqt4-qt3support (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0), libtar0, zlib1g (>= 1:1.1.4) Priority: optional Section: x11 Filename: pool/main/c/cdcat/cdcat_1.8-1_armhf.deb Size: 406424 SHA256: d3b3de44b6851de4de2188908d7de929f95a598666865af905147f3374db7990 SHA1: 0ee768aa5a2187bc6f860c419ea1d0ce13d2a579 MD5sum: bd2f358391b771e46ca3a3eab38f0887 Description: media catalog program CDCat is a graphical, multiplatform media catalog program which scans the directories/drives you specify and makes a list of the filesystem contents (including the tags of MP3 files) and stores the result in a gzipped XML file. Package: cdcd Version: 0.6.6-13.1 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 124 Depends: libc6 (>= 2.4), libcdaudio1 (>= 0.99.12p2), libreadline6 (>= 6.0), dpkg (>= 1.15.4) | install-info Homepage: http://libcdaudio.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/c/cdcd/cdcd_0.6.6-13.1_armhf.deb Size: 54870 SHA256: 5cf051bb28111b08c67aef67ea6fb4775112d627858e8194b5acba38b45d061d SHA1: c9c0e15d16d88cef3f7e06578a87e6b5ba9098e0 MD5sum: f15421574b1f2e165f80ced7a2de1713 Description: command line or console based CD player cdcd works in two ways, accepting commands directly off the command line or in a query mode similar to other UNIX programs. To pass a command to cdcd, simply run cdcd with the command as the argument (e.g. cdcd play). This is great for using cron and cdcd together to make a CD alarm clock. Or you can run cdcd without arguments and you will be given the cdcd command prompt. Package: cdcd-dbg Source: cdcd Version: 0.6.6-13.1 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 137 Depends: cdcd (= 0.6.6-13.1) Homepage: http://libcdaudio.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/c/cdcd/cdcd-dbg_0.6.6-13.1_armhf.deb Size: 45534 SHA256: 906167a43f4ac722c5a93797eb0034fe920ad129442c8acafc82afe8d2459fc4 SHA1: 99b65aeba9c6e94e63aa11725b02b6f48fee68e1 MD5sum: 1027754a6c37da46ea9465d4f09ead65 Description: command line or console based CD player (debug) cdcd works in two ways, accepting commands directly off the command line or in a query mode similar to other UNIX programs. To pass a command to cdcd, simply run cdcd with the command as the argument (e.g. cdcd play). This is great for using cron and cdcd together to make a CD alarm clock. Or you can run cdcd without arguments and you will be given the cdcd command prompt. . This package contains the debugging symbols. Package: cdck Version: 0.7.0-5 Architecture: armhf Maintainer: gregor herrmann Installed-Size: 133 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Suggests: gnuplot Homepage: http://swaj.net/unix/index.html#cdck Priority: optional Section: utils Filename: pool/main/c/cdck/cdck_0.7.0-5_armhf.deb Size: 47082 SHA256: 7cf85f1843bd60ca281d5f20892d36c4172ceea743a4e1031604e1dd83181d0a SHA1: befe8a6a4414d51e096adc2d90d678ba5e37ee18 MD5sum: 275c8611f83bf515c7ddbbd669be9b22 Description: tool for verifying the quality of written CDs/DVDs cdck (CD/DVD check tool) is a simple console program to verify CD/DVD quality. The known fact is that even if all files on the disc are readable, some sectors having bad timing can easily turn into unreadable ones in the future. . To get an idea about a disc cdck reads it sector by sector, keeping all reading timings and then tells you its verdict. Optionally it can write the timing table into text file usable by gnuplot(1) program, so you can draw some graphs out of it. Package: cdcover Version: 0.9.1-10 Architecture: armhf Maintainer: Georges Khaznadar Installed-Size: 95 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.4.0), texlive-latex-base Priority: optional Section: text Filename: pool/main/c/cdcover/cdcover_0.9.1-10_armhf.deb Size: 23780 SHA256: c0b6a0bb6d5979a273c6d785fa1c2c03714229d06ca8f4de3e6e8ad1615075f7 SHA1: 8677f60f3680cb744f83a1f0096617e6e8477cb9 MD5sum: 169d1f431a23d47f825f3ab1702d9f96 Description: Creating Data-CD Covers cdcover is a little commandline tool which creates user-defined data-cd covers. Package: cdd-doc Source: cdd Version: 0.5.6 Installed-Size: 868 Maintainer: Custom Debian Distribution Team Architecture: all Suggests: www-browser, postscript-viewer Size: 393310 SHA256: abc78d7b642305b33f3d034c86d1b1db53102832134fccd4db36b6e4bf71a776 SHA1: c9e76b71f6333b3a1d7f46bf4348a73c69229256 MD5sum: 50ca4cc04de849b312408355a94ef0d1 Description: Custom Debian Distribution documentation This paper is intended to people who are interested in the philosophy of Custom Debian Distributions and the technique which is used to manage those projects. . It is explained in detail why these are no forks from Debian but reside completely inside the Debian GNU/Linux distribution and which advantages can be gathered by this approach. The concept of metapackages and user role based menus is explained. In short: This document describes why Custom Debian Distributions are important to the vitality and quality of Debian. Tag: made-of::html, made-of::pdf, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/c/cdd/cdd-doc_0.5.6_all.deb Package: cddb Source: xmcd Version: 2.6-23 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 115 Depends: libc6 (>= 2.13-28) Conflicts: suidmanager (<< 0.50) Replaces: xmcd (<= 2.3-1) Homepage: http://www.amb.org/xmcd/ Priority: optional Section: sound Filename: pool/main/x/xmcd/cddb_2.6-23_armhf.deb Size: 61564 SHA256: c36b7828575676d1ac3e853ec11f9955137f764879402995020f88eacbe9bf76 SHA1: 5e8260ae62c2d6c507c9e137e68d054f8aac0727 MD5sum: b68c45c2c18ffcd1f3fe82c56fbe212f Description: CD DataBase support tools This package provides a location for programs to store files from the CDDB in and contains a simple program to query CDDB servers. Package: cdde Version: 0.3.1-1 Architecture: armhf Maintainer: Stanislav Maslovski Installed-Size: 64 Depends: libc6 (>= 2.4), libxml2 (>= 2.7.4) Homepage: http://cdde.sourceforge.net/ Priority: extra Section: utils Filename: pool/main/c/cdde/cdde_0.3.1-1_armhf.deb Size: 14694 SHA256: 4b57694b514b6d065f81af4b11e23f16f9106932a8e4421c000726e8ec273018 SHA1: 3eb29f2b7907221a1dd3fb5cb65e77d855f1683d MD5sum: f5464b7342f2144a3462e4a6c95dc4b7 Description: CD Detect & Execute utility CDDE is a program that detects when a CD/DVD-ROM drive has a disc inserted. When it finds a disc inserted in the drive it will attempt to determine the type of the disc, and execute a specified command. This means a DVD can be inserted and your favorite DVD software will start, or a data CD can be automatically mounted, etc. The commands are defined in a configuration file that has simple XML syntax. Package: cdebconf Version: 0.182 Architecture: armhf Maintainer: Debian Install System Team Installed-Size: 452 Depends: libc6 (>= 2.13-28), libdebian-installer4 (>= 0.85), libnewt0.52, libslang2 (>= 2.2.4), libtextwrap1, debconf, dpkg (>= 1.15.4) Suggests: cdebconf-gtk Provides: debconf-2.0 Priority: extra Section: utils Filename: pool/main/c/cdebconf/cdebconf_0.182_armhf.deb Size: 184902 SHA256: 395c6b1d925573134f10dfcd17addbb15245c9fad991a8832bfe6178f141f963 SHA1: c0b80da88b2b16b6fe9b58ec52426f88041db054 MD5sum: 618af8be457cc05bdcf113da7cdcacec Description: Debian Configuration Management System (C-implementation) Debconf is a configuration management system for Debian packages. It is used by some packages to prompt you for information before they are installed. This is a reimplementation of the original debconf version in C. Package: cdebconf-gtk Source: cdebconf Version: 0.182 Architecture: armhf Maintainer: Debian Install System Team Installed-Size: 150 Depends: cdebconf, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.8.10-3), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24), libpango1.0-0 (>= 1.14.0), debconf (>= 0.5) | debconf-2.0 Replaces: cdebconf (<< 0.144) Priority: extra Section: admin Filename: pool/main/c/cdebconf/cdebconf-gtk_0.182_armhf.deb Size: 69038 SHA256: 307dfb089b731c6213ec714c1cddc9dcd208a831ce52d0c90fb36ff982cd84d5 SHA1: a15315099d96ccfa8f8e94cbb51d15a14c06085a MD5sum: 6546e5dcd1209055cf244259c3f0d511 Description: Gtk+ frontend for Debian Configuration Management System Debconf is a configuration management system for Debian packages. It is used by some packages to prompt you for information before they are installed. This is a reimplementation of the original debconf version in C. . cdebconf-gtk is a minimal Gtk+ frontend cdebconf package. Package: cdebootstrap Version: 0.5.10+rpi1 Architecture: armhf Maintainer: Bastian Blank Installed-Size: 102 Depends: libbz2-1.0, libc6 (>= 2.13-28), libdebian-installer-extra4 (>= 0.87), libdebian-installer4 (>= 0.87), liblzma5 (>= 5.1.1alpha+20120614), zlib1g (>= 1:1.1.4), gpgv, wget, debian-archive-keyring Priority: optional Section: admin Filename: pool/main/c/cdebootstrap/cdebootstrap_0.5.10+rpi1_armhf.deb Size: 30744 SHA256: aad47bddf508a678074d2d64ad94f58f1fa4f401d82daf7a808b8ab3c9aaf746 SHA1: 29558e9d787401c9a60900b19941ee523b8ef1bf MD5sum: c01eda238bda0c715e8581e0d20f2533 Description: Bootstrap a Debian system cdebootstrap generates systems from scratch for Debian and derivates. . This is implementation is different from debootstrap. It features a different package selection. The package selection is done according to the flavour. Package: cdebootstrap-static Source: cdebootstrap Version: 0.5.10+rpi1 Architecture: armhf Maintainer: Bastian Blank Installed-Size: 1117 Depends: gpgv, wget, debian-archive-keyring Priority: optional Section: admin Filename: pool/main/c/cdebootstrap/cdebootstrap-static_0.5.10+rpi1_armhf.deb Size: 724358 SHA256: b4d66ebcd5a49fd719ca40da86f02103cf4761f87490f1177a749e0927f23a0c SHA1: 0a3c8209d3c3b408130819e52bee9446166723ce MD5sum: a7185a473e8b49fa6c2133e419288731 Description: Bootstrap a Debian system - static binary cdebootstrap generates systems from scratch for Debian and derivates. . This package contains a static binary and a standalone tar. The standalone tar can be used on non-Debian systems. Package: cdecl Version: 2.5-11 Architecture: armhf Maintainer: Fredrik Hallenberg Installed-Size: 80 Depends: libc6 (>= 2.4), libreadline6 (>= 6.0) Priority: optional Section: devel Filename: pool/main/c/cdecl/cdecl_2.5-11_armhf.deb Size: 27058 SHA256: e837a969c3085af0b0b192605217a17da2ad1d449fc6f625b2f5a504bd06178b SHA1: 651db14dd2bb6e1c54ffe24b69b29fa7a43586d2 MD5sum: 446912763087b65308373b72d82ba93b Description: Turn English phrases to C or C++ declarations Cdecl is a program which will turn English-like phrases such as "declare foo as array 5 of pointer to function returning int" into C declarations such as "int (*foo[5])()". It can also translate the C into the pseudo- English. And it handles typecasts, too. Plus C++. And in this version it has command line editing and history with the GNU readline library. Package: cdfs-src Version: 2.6.23-4 Installed-Size: 124 Maintainer: Eduard Bloch Architecture: all Depends: module-assistant, debhelper, kernel-package, make, bzip2 Size: 84648 SHA256: f8f38b313f35f4b02d50a826d80b95ab7dad53e1bbac379805b82a44fb54e0f9 SHA1: 146cc2de0fd16262c0d07a3c1918e349347f440e MD5sum: 94cb69285d04d55d28ca4c496e3126f3 Description: shows the tracks on a CD as normal files CDfs is a file system for Linux systems that `exports' all tracks and boot images on a CD as normal files. These files can then be mounted (e.g. for ISO and boot images), copied, played (WAVE audio and VideoCD tracks)... The primary goal for developing this file system was to `unlock' information in old ISO images. Tag: admin::filesystem, admin::kernel, hardware::storage, hardware::storage:cd, role::source Section: kernel Priority: optional Filename: pool/main/c/cdfs-src/cdfs-src_2.6.23-4_all.deb Package: cdlabelgen Version: 4.1.0-2 Installed-Size: 572 Maintainer: Juan Manuel Garcia Molina Architecture: all Depends: perl Recommends: ghostscript Size: 188518 SHA256: ebe04e352e5920031ec906e599c0b7a4bfc86c4b8e451d4e36f1e142a8c890c9 SHA1: 75f1d0be80f4afa5a5ea821dd3d88d716f239843 MD5sum: 6024a0281c5fe6477ed098c2f8b94778 Description: generates front cards and tray cards for CDs and DVDs cdlabelgen was designed to simplify the process of generating labels for CDs and DVDs. It originated as a program to allow auto generation of front cards and tray cards for CDs burned via an automated mechanism (specifically for archiving data), but has now become popular for labelling CD compilations of mp3's, and copies of CDs. Note that cdlabelgen does not actually print anything--it just spits out postscript, which you can then do with as you please. . Homepage: http://www.aczoom.com/tools/cdinsert/ Tag: hardware::storage:cd, implemented-in::perl, interface::commandline, role::program, works-with-format::postscript Section: graphics Priority: optional Filename: pool/main/c/cdlabelgen/cdlabelgen_4.1.0-2_all.deb Package: cdo Version: 1.5.4+dfsg.1-5 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 3047 Depends: libc6 (>= 2.13-28), libcdi0 (= 1.5.4+dfsg.1-5), libhdf5-openmpi-7 (>= 1.8.7), libnetcdfc7, libproj0 Homepage: https://code.zmaw.de/projects/cdo Priority: extra Section: utils Filename: pool/main/c/cdo/cdo_1.5.4+dfsg.1-5_armhf.deb Size: 1552770 SHA256: b02072d6290c932b5a5fa013f804a798016968b7a110bc615308d103a40a41d5 SHA1: 81e77b703f791fa26b41bf7a32e1feb021f20fbd MD5sum: 84511c5a0171c1ee5f7b92410f03ceba Description: Climate Data Operators Climate Data Operators are a collection of command line Operators to manipulate and analyse Climate model Data. Supported data formats are GRIB, netCDF, SERVICE, EXTRA and IEG. There are more than 400 operators available. Package: cdparanoia Version: 3.10.2+debian-10.1 Architecture: armhf Maintainer: Optical Media Tools Team Installed-Size: 114 Depends: libc6 (>= 2.4), libcdparanoia0 (>= 3.10.2+debian) Homepage: http://www.xiph.org/paranoia/ Priority: optional Section: sound Filename: pool/main/c/cdparanoia/cdparanoia_3.10.2+debian-10.1_armhf.deb Size: 42268 SHA256: 7fe72d0fedb508c93dd8f9c22ad47e9ba366fee2f1214253bb97077efecda35c SHA1: ac19c2a8305ae1a70ac7b6e76593f7f8df05a118 MD5sum: 79a6789f157572472fafcdd8f552d8db Description: audio extraction tool for sampling CDs An audio extraction tool for sampling CDs. Unlike similar programs such as cdda2wav, cdparanoia goes to great lengths to try to extract the audio information without any artifacts such as jitter. Package: cdparanoia-dbg Source: cdparanoia Version: 3.10.2+debian-10.1 Architecture: armhf Maintainer: Optical Media Tools Team Installed-Size: 78 Depends: libcdparanoia0 (= 3.10.2+debian-10.1), libcdparanoia-dev (= 3.10.2+debian-10.1) Homepage: http://www.xiph.org/paranoia/ Priority: extra Section: debug Filename: pool/main/c/cdparanoia/cdparanoia-dbg_3.10.2+debian-10.1_armhf.deb Size: 15724 SHA256: bfe33d227fe9405802e445aa43fa2a271dcb342b3b6e58163a6e9c9d487d69ee SHA1: a7d9d1e4bebec8692b9f39d4cf396d39c383122b MD5sum: 283c630cedb9071398bc419202c8c83e Description: audio extraction tool for sampling CDs (debug) An audio extraction tool for sampling CDs. Unlike similar programs such as cdda2wav, cdparanoia goes to great lengths to try to extract the audio information without any artifacts such as jitter. . This package contains the debugging symbols. Package: cdpr Version: 2.4-1 Architecture: armhf Maintainer: Matt Zagrabelny Installed-Size: 72 Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8) Homepage: http://cdpr.sourceforge.net/ Priority: extra Section: net Filename: pool/main/c/cdpr/cdpr_2.4-1_armhf.deb Size: 19100 SHA256: 7228081e1401243db85e170ae0a24b2f62d7cde787959038c26033b539910e21 SHA1: 34cb7da155ad06a54ab8f11aed77b1fd3a327828 MD5sum: 03be0ab422dcd07e7fd19d1469c24bdc Description: Cisco Discovery Protocol Reporter cdpr listens on specified network interfaces for Cisco Discovery Protocol packets. It then decodes those packets and outputs the information, optionally sending the information to a server for processing. Package: cdrdao Version: 1:1.2.3-0.3 Architecture: armhf Maintainer: Christian Hübschi Installed-Size: 894 Depends: libao4 (>= 1.1.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libogg0 (>= 1.0rc3), libstdc++6 (>= 4.6), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2) Homepage: http://cdrdao.sourceforge.net/ Priority: optional Section: otherosfs Filename: pool/main/c/cdrdao/cdrdao_1.2.3-0.3_armhf.deb Size: 381430 SHA256: 2d81e36d2b4af03c481b3a7f4dddafb70f7a9efe42a7070bc5c64f250f8f9008 SHA1: 467e660885ba7e99d7878019dc82d7a05ebabb83 MD5sum: 62a6d40dabc4c8f0bb6aec116fef3bc6 Description: records CDs in Disk-At-Once (DAO) mode cdrdao records audio or data CD-Rs in disk-at-once (DAO) mode based on a textual description of the CD contents. . Recording in disk-at-once mode writes the complete disc, i.e. lead-in, one or more tracks and lead-out, in a single step. The commonly used track-at-once (TAO) mode writes each track independently which requires link blocks between two tracks. You probably want to use this if you're copying a CD with multiple tracks, like most audio CDs. . cdrdao can also handle the bin/cue format commonly used for VCDs or disks with subchannel data. . If you just want to burn a normal data CD, you probably want wodim instead. Package: cdrkit-doc Source: cdrkit Version: 9:1.1.11-2 Installed-Size: 420 Maintainer: Joerg Jaspert Architecture: all Replaces: cdrtools-doc Suggests: wodim, genisoimage, icedax Conflicts: cdrtools-doc Size: 159728 SHA256: 2790cba79a8507c81b6192bf38b04d34df11df8c502a9ed7a03d7eb554593cd7 SHA1: 96d5c17952b11aed2b06df9a4189b9f58e839992 MD5sum: f45edbaea344d30bdf5cebbced730dbd Description: Documentation for the cdrkit package suite This is the documentation for the cdrkit package suite, namely wodim, genisoimage and icedax. Tag: hardware::storage:cd, hardware::storage:dvd, role::documentation Section: doc Priority: optional Filename: pool/main/c/cdrkit/cdrkit-doc_1.1.11-2_all.deb Package: cdrskin Source: libburn Version: 1.2.2-2 Architecture: armhf Maintainer: Debian Libburnia packagers Installed-Size: 222 Depends: libburn4 (>= 1.2.2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Suggests: xorriso, cdck Homepage: http://libburnia-project.org Priority: optional Section: otherosfs Filename: pool/main/libb/libburn/cdrskin_1.2.2-2_armhf.deb Size: 118148 SHA256: d627ab4483e0ec46e9fdcf59994af8465d58a963e358e96c37cf6abe0cb13947 SHA1: 7ef40a58bdcb275a81412d92f499d0802abe9c15 MD5sum: c36e16db97caa7798eb66b9dd4b37fac Description: command line CD/DVD/BD writing tool cdrskin strives to be a second source for the services traditionally provided by cdrecord. Currently it does CD-R and CD-RW this way. Overwritable media DVD-RAM, DVD+RW, DVD-RW, and BD-RE are handled differently than with cdrecord-ProDVD in order to offer TAO-like single track recording. Sequential DVD-R[W], DVD+R, DVD+R DL are handled like CD-R[W] with TAO and multi-session. Additionally cdrskin offers cdrecord-ProDVD-like mode DAO with DVD-R[W]. . This is a burner only application. If you want a burner and image manipulation application, please install xorriso package. Package: cdtool Version: 2.1.8-release-2 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 163 Depends: libc6 (>= 2.7) Priority: optional Section: sound Filename: pool/main/c/cdtool/cdtool_2.1.8-release-2_armhf.deb Size: 63866 SHA256: 77ed46339e49a34aafd3d0fa5228aef43aa10e7930e25095544d2bd818b78534 SHA1: 73c2cc26601319da27074769be8e57c74d600a22 MD5sum: 103da38ea4d961998f293b223a31f2a0 Description: text-based audio CD player and CD-ROM control commands cdtool contains cdplay, cdeject, cdstop, cdpause, and several other programs for playing audio CDs and controlling a CD-ROM drive from the command line and in a quick and scriptable way. . cdown reads track info and queries a CDDB database for info on the current CD. cdctrl is a command line utility for controlling a CD-ROM drive interactively and from scripts. cdir keeps track of the contents of different CDs using a workman-compatible database. Package: cduce Version: 0.5.5-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 7082 Depends: camlp4-3.12.1, libcurl-ocaml-dev-ka7l5, libexpat-ocaml-dev-opnc1, libocamlnet-ocaml-dev-kuut1, libpcre-ocaml-dev-werc3, ocaml-nox-3.12.1, ocaml-ulex-u7se2, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libpcre3 (>= 8.10), libtinfo5 Provides: cduce-s45i0 Homepage: http://www.cduce.org Priority: optional Section: interpreters Filename: pool/main/c/cduce/cduce_0.5.5-1_armhf.deb Size: 2165722 SHA256: bd40e5714e1d405e4f795281ff80fdb77a6079a199d8d65c69addd2fef0e6d95 SHA1: 103e3d6f70e8ca5e7180f07ff343ea428031ef24 MD5sum: a988b51387578bd5710e6a8a2e7584a1 Description: programming language adapted to the manipulation of XML data CDuce is a modern programming language adapted to the manipulation of XML documents. . Some of CDuce's peculiar features: - XML objects can be manipulated as first-class citizen values: elements, sequences, tags, characters and strings, attribute sets; sequences of XML elements can be specified by regular expressions, which also apply to characters strings; - functions themselves are first-class values, they can be manipulated, stored in data structure, returned by a function,...; - a powerful pattern matching operation can perform complex extractions from sequences of XML elements; - a rich type algebra, with recursive types and arbitrary boolean combinations (union, intersection, complement) allows precise definitions of data structures and XML types; general purpose types and types constructors are taken seriously (products, extensible records, arbitrary precision integers with interval constraints, Unicode characters); - polymorphism through a natural notion of subtyping, and overloaded functions with dynamic dispatch; - an highly-effective type-driven compilation schema. . CDuce is fast, functional, type-safe, and conforms to basic standards: Unicode, XML, DTD, Namespaces are fully supported, partial support of XML Schema validation is in alpha testing (and undocumented) while queries are being implemented. Package: cdw Version: 0.7.1-1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 326 Depends: libburn4 (>= 1.2.2), libc6 (>= 2.13-28), libcdio13 (>= 0.83), libgcc1 (>= 1:4.4.0), libiso9660-8 (>= 0.83), libncursesw5 (>= 5.6+20080119), libtinfo5, genisoimage, wodim Conflicts: cdw-common, gcdw Replaces: cdw-common, gcdw Homepage: http://cdw.sourceforge.net/ Priority: optional Section: otherosfs Filename: pool/main/c/cdw/cdw_0.7.1-1_armhf.deb Size: 150120 SHA256: b213b02e3843eece27f768601e5e820aab52cfd59c630bb074cde6eaa42f4a8f SHA1: 94a16d58a6e8bea2d20001023115b26027386e0c MD5sum: bb8bb3e36954ab79a08fca024b6c246c Description: Tool for burning CD's - console version Ncurses-based frontend for wodim and genisoimage. It can handle audio and data CD burning, through a CD image or directly from the files. Package: cec-utils Source: libcec Version: 1.6.2-1.1 Architecture: armhf Maintainer: Andres Mejia Installed-Size: 153 Depends: libcec1 (= 1.6.2-1.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libudev0 (>= 0.140) Homepage: http://libcec.pulse-eight.com/ Priority: optional Section: utils Filename: pool/main/libc/libcec/cec-utils_1.6.2-1.1_armhf.deb Size: 57372 SHA256: 774cdeb5cee0ed876cfb520e28d1b8137ac055099a0e8063b88f8f2b9e5eb121 SHA1: 4c416a8c9dfb29a77f0a4951251d7eff200e3dab MD5sum: 729136306f86a07454e11d0a91d4bea6 Description: USB CEC Adaptor communication Library (utility programs) This library provides support for the Pulse-Eight USB-CEC adapter. . This package provides the CEC utility programs. Package: cecilia Version: 2.0.5-2.2 Installed-Size: 4448 Maintainer: Free Ekanayaka Architecture: all Depends: csound (>= 4.23), tk8.3 | tk8.4 Size: 1648418 SHA256: 260983c7c14db1a9820c2422259bee088b2c0ab4316ceaf027a3aa4368ea5ccb SHA1: b633e3ed1b712415a9610899d609d74520651998 MD5sum: c622cc239be4c56d5f98085fbbc21e27 Description: graphic user interface for CSound Cecilia is a graphic user interface for the sound synthesis and sound processing package CSound. Cecilia enables the user to build very quickly graphic interfaces with sliders and curves to control CSound intruments. It is also an editor to CSound with syntax highlighting and a built-in reference. Cecilia is also a great tool to explore the parameters of a new opcode in an interactive and intuitive way. . Cecilia was designed by and for musicians and sound designers. All the traditional sound processing devices are included such as EQs, compressors and delays adapted for the most simple applications and the wildest imaginable sonic contortions. Tag: field::arts, interface::x11, role::program, scope::application, sound::mixer, uitoolkit::tk, use::editing, works-with::audio, x11::application Section: sound Priority: optional Filename: pool/main/c/cecilia/cecilia_2.0.5-2.2_all.deb Package: cedar-backup2 Version: 2.21.0-2 Installed-Size: 1180 Maintainer: Kenneth J. Pronovici Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: dvd+rw-tools, wodim, genisoimage, eject, ssh, cedar-backup2-doc Suggests: subversion, mysql-client, postgresql-client, grepmail, gnupg Conflicts: cedar-backup Size: 222478 SHA256: b31cb8b23fb299f35143752b568e74d3dd8a013c372359cf9d611294c06596b6 SHA1: 1f5b2a465e720ca73b0fb8c67d0430bf5d018804 MD5sum: 48fc8a41857dc0a61121cc752282b8cc Description: local and remote backups to CD or DVD media Cedar Backup is a software package designed to manage system backups for a pool of local and remote machines. Cedar Backup understands how to back up filesystem data as well as MySQL and PostgreSQL databases and Subversion repositories. It can also be easily extended to support other kinds of data sources. . Cedar Backup is focused around weekly backups to a single CD or DVD disc, with the expectation that the disc will be changed or overwritten at the beginning of each week. If your hardware is new enough, Cedar Backup can write multisession discs, allowing you to add incremental data to a disc on a daily basis. . Besides offering command-line utilities to manage the backup process, Cedar Backup provides a well-organized library of backup-related functionality, written in the Python programming language. . This package provides Cedar Backup command-line utilities as well as the CedarBackup2 Python library. The accompanying documentation package (cedar-backup2-doc) contains all of the end-user and library public interface documentation. You really should install cedar-backup2-doc and read through the end-user documentation before using Cedar Backup for the first time. Homepage: http://cedar-solutions.com/software/cedar-backup/ Tag: admin::backup, admin::recovery, devel::lang:python, hardware::storage:cd, hardware::storage:dvd, implemented-in::python, interface::commandline, role::program, scope::application, works-with-format::tar, works-with::archive Section: admin Priority: optional Filename: pool/main/c/cedar-backup2/cedar-backup2_2.21.0-2_all.deb Package: cedar-backup2-doc Source: cedar-backup2 Version: 2.21.0-2 Installed-Size: 13880 Maintainer: Kenneth J. Pronovici Architecture: all Replaces: cedar-backup2 (<< 2.7.2-2) Size: 1502708 SHA256: 163481846aa9840fbec84eeada9794e81780ae80fb4db1344da470aabafd09de SHA1: 47a6a3575368b3a6874688d8c293e8c6dd843f29 MD5sum: 59138d137c169883106684dcf3709c62 Description: local and remote backups to CD or DVD media (documentation) Cedar Backup is a software package designed to manage system backups for a pool of local and remote machines. Cedar Backup understands how to back up filesystem data as well as MySQL and PostgreSQL databases and Subversion repositories. It can also be easily extended to support other kinds of data sources. . Cedar Backup is focused around weekly backups to a single CD or DVD disc, with the expectation that the disc will be changed or overwritten at the beginning of each week. If your hardware is new enough, Cedar Backup can write multisession discs, allowing you to add incremental data to a disc on a daily basis. . Besides offering command-line utilities to manage the backup process, Cedar Backup provides a well-organized library of backup-related functionality, written in the Python programming language. . This package provides the end-user and library public interface documentation for Cedar Backup, both in HTML form. You really should read through the end-user documentation before using Cedar Backup for the first time. Homepage: http://cedar-solutions.com/software/cedar-backup/ Tag: admin::backup, admin::recovery, devel::doc, hardware::storage:cd, hardware::storage:dvd, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/cedar-backup2/cedar-backup2-doc_2.21.0-2_all.deb Package: ceferino Version: 0.97.8-3.1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 167 Depends: ceferino-data (= 0.97.8-3.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.3.0) Homepage: http://www.losersjuegos.com.ar/juegos/ceferino/ceferino.php Priority: optional Section: games Filename: pool/main/c/ceferino/ceferino_0.97.8-3.1_armhf.deb Size: 56394 SHA256: 7d382cbd168c6f2dd9ada776826cb7a59208329b380b083071c28688aa34dba8 SHA1: 59636e767155053bf2f3f6787b08793a589fef03 MD5sum: 25cdb28729ab0764495a66ad5b9718a2 Description: action game similar to Super Pang A game similar to 'Super Pang'. You are attacked by little green balls which are bouncing around and which you have to destroy with your knife. Your knife however is limited to being thrown upwards, thus you have to get under the balls to destroy them. Even worse, if you destroy a large ball, it doesn't just vanish, but breaks apart into two smaller balls. Levels consist of little platforms connected by ladders, so you can go up and down or find cover if needed. Package: ceferino-data Source: ceferino Version: 0.97.8-3.1 Installed-Size: 2348 Maintainer: Debian Games Team Architecture: all Recommends: ceferino Size: 2039250 SHA256: bda508769cb5a812d4ade536aee39ccec11d5d54c4deaa45f708d19312d6e290 SHA1: afd0151416be84e733ba51159b3075ee704a5d6e MD5sum: 2a35b6e41c7d6055768d322412863641 Description: action game similar to Super Pang A game similar to 'Super Pang'. You are attacked by little green balls which are bouncing around and which you have to destroy with your knife. Your knife however is limited to being thrown upwards, thus you have to get under the balls to destroy them. Even worse, if you destroy a large ball, it doesn't just vanish, but breaks apart into two smaller balls. Levels consist of little platforms connected by ladders, so you can go up and down or find cover if needed. . This package includes the data of the game. Homepage: http://www.losersjuegos.com.ar/juegos/ceferino/ceferino.php Tag: role::app-data Section: games Priority: optional Filename: pool/main/c/ceferino/ceferino-data_0.97.8-3.1_all.deb Package: celestia Version: 1.6.1+dfsg-2 Installed-Size: 64 Maintainer: Guus Sliepen Architecture: all Depends: celestia-gnome | celestia-glut Size: 39734 SHA256: d3049e70c71ce54cf95355f3c0c61d62372ed828a787af12874e0e0642c02500 SHA1: 0e54d567cf1adf9ae33a1126f77c34387a5729a4 MD5sum: 643ca7ae0740a81301062a0432b9bb27 Description: real-time visual space simulation Celestia is a free 3D astronomy program. Based on the Hipparcos Catalogue, it allows users to display objects ranging in scale from artificial satellites to entire galaxies in three dimensions using OpenGL. Unlike most planetarium software, the user is free to travel about the Universe. . This is a dummy package that selects at least one frontend for Celestia. Homepage: http://www.shatters.net/celestia/ Tag: field::astronomy, implemented-in::c++, interface::3d, interface::x11, role::dummy, role::program, scope::application, uitoolkit::glut, use::gameplaying, use::learning, use::viewing, x11::application Section: science Priority: optional Filename: pool/main/c/celestia/celestia_1.6.1+dfsg-2_all.deb Package: celestia-common Source: celestia Version: 1.6.1+dfsg-2 Installed-Size: 55946 Maintainer: Guus Sliepen Architecture: all Replaces: celestia (<< 1.4.1) Suggests: celestia-common-nonfree Conflicts: celestia (<< 1.4.1) Size: 28801274 SHA256: 36830e0cd83771b94359785de003be59d505c4e24d1c790980aba78667e82ed8 SHA1: ed63259eba4ee16ea95285ad7347537e00c73d7d MD5sum: 38a6e8cfc4ec3c0b62c5cac4dd44d838 Description: datafiles for Celestia, a real-time visual space simulation Celestia is a free 3D astronomy program. Based on the Hipparcos Catalogue, it allows users to display objects ranging in scale from artificial satellites to entire galaxies in three dimensions using OpenGL. Unlike most planetarium software, the user is free to travel about the Universe. . This package contains the data files for Celestia. Homepage: http://www.shatters.net/celestia/ Tag: field::astronomy, role::app-data Section: science Priority: optional Filename: pool/main/c/celestia/celestia-common_1.6.1+dfsg-2_all.deb Package: celestia-glut Source: celestia Version: 1.6.1+dfsg-2 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 1564 Depends: celestia-common (>= 1.4.1), freeglut3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libjpeg8 (>= 8c), liblua5.1-0, libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Suggests: stellarium Conflicts: celestia (<< 1.4.1) Replaces: celestia (<< 1.4.1) Homepage: http://www.shatters.net/celestia/ Priority: optional Section: science Filename: pool/main/c/celestia/celestia-glut_1.6.1+dfsg-2_armhf.deb Size: 868160 SHA256: 30af9f097b0a227fcc852a072ccd4bed9f012dc3092a15fc944fb7f05b7a51de SHA1: 2584271d285a7fe9f6cbd8448942091b35ecb4e4 MD5sum: 743af87936b140d601893bd78703994b Description: real-time visual space simulation (GLUT frontend) Celestia is a free 3D astronomy program. Based on the Hipparcos Catalogue, it allows users to display objects ranging in scale from artificial satellites to entire galaxies in three dimensions using OpenGL. Unlike most planetarium software, the user is free to travel about the Universe. . This package contains a minimal frontend for Celestia. There is a separate package that provides a frontend that integrates with GNOME. Package: celestia-gnome Source: celestia Version: 1.6.1+dfsg-2 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 2058 Depends: celestia-common (>= 1.4.1), gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.16.0), libglu1-mesa | libglu1, libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libgtkglext1, libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), liblua5.1-0, libogg0 (>= 1.0rc3), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libpopt0 (>= 1.14), libsm6, libstdc++6 (>= 4.6), libtheora0 (>= 0.0.0.alpha7.dfsg), libx11-6, libxmu6, libxt6, zlib1g (>= 1:1.1.4), gconf2 (>= 2.28.1-2) Suggests: stellarium Conflicts: celestia (<< 1.4.1) Replaces: celestia (<< 1.4.1) Homepage: http://www.shatters.net/celestia/ Priority: optional Section: gnome Filename: pool/main/c/celestia/celestia-gnome_1.6.1+dfsg-2_armhf.deb Size: 1067980 SHA256: 8543b4c9e3ac243c1b4bde14a8d1d437946e6bc564afec00e50e46342419d474 SHA1: 2018679924d699c4dcfc244cc3d00b8abaf12cf1 MD5sum: 83d34b1855d02984ae453460b43e49e2 Description: real-time visual space simulation (GNOME frontend) Celestia is a free 3D astronomy program. Based on the Hipparcos Catalogue, it allows users to display objects ranging in scale from artificial satellites to entire galaxies in three dimensions using OpenGL. Unlike most planetarium software, the user is free to travel about the Universe. . This package contains the GTK+ frontend for Celestia, and integrates with GNOME. Package: cellwriter Version: 1.3.4-1.1 Architecture: armhf Maintainer: Michael Levin Installed-Size: 396 Depends: libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgtk2.0-0 (>= 2.24.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libx11-6, libxext6, libxtst6 Homepage: http://risujin.org/cellwriter Priority: optional Section: gnome Filename: pool/main/c/cellwriter/cellwriter_1.3.4-1.1_armhf.deb Size: 159114 SHA256: 26d66734061d3aaaa58c9cdfb52ad77cce0521a00f5e67eff3efb717b37c7235 SHA1: 79bc74fed6ab6eaa129355a84aa725d0300fecbb MD5sum: c3da420095fd5c5f6b6bd5519483f792 Description: grid-entry handwriting input panel CellWriter is a grid-entry natural handwriting input panel. As you write characters into the cells, your writing is instantly recognized at the character level. When you press 'Enter' on the panel, the input you entered is sent to the currently focused application as if typed on the keyboard. . * Writer-dependent, learns your handwriting for reliable recognition * Correcting preprocessor algorithms account for digitizer noise, differing stroke order, direction, and number of strokes * Unicode support enables you to write in your native language Package: celt Version: 0.7.1-1 Architecture: armhf Maintainer: Ron Lee Installed-Size: 64 Depends: libc6 (>= 2.13-28), libcelt0-0 (>= 0.7.1), libogg0 (>= 1.1.0) Homepage: http://www.celt-codec.org Priority: optional Section: sound Filename: pool/main/c/celt/celt_0.7.1-1_armhf.deb Size: 18672 SHA256: 1e8380fcd9333c2865e6dd0a1059a9756b68a2b59ea27ad0e51dc12a73d71bba SHA1: 4ccc12d3572a88d3bb1068989b0ec031c2a58a69 MD5sum: 99e60a511c21d9a40475eeb829ced7b7 Description: The CELT codec command line tools CELT is an experimental audio codec for use in low-delay communication. . CELT stands for "Code-Excited Lapped Transform". It applies some of the CELP principles, but does everything in the frequency domain, which removes some of the limitations of CELP. CELT is suitable for both speech and music and currently features: . * Ultra-low latency (typically from 3 to 9 ms) * Full audio bandwidth (44.1 kHz and 48 kHz) * Stereo support * Packet loss concealment * Constant bit-rates from 32 kbps to 128 kbps and above * A fixed-point version of the encoder and decoder . The CELT codec is meant to close the gap between Vorbis and Speex for applications where both high quality audio and low delay are desired. . This package provides the celtenc and celtdec command line tools for encoding and decoding. Package: celt-doc Source: celt Version: 0.7.1-1 Installed-Size: 276 Maintainer: Ron Lee Architecture: all Suggests: celt Size: 53450 SHA256: 6f3b6224f81069ed4a7beb22e171838f21d1712398b73ccf390dc5f92666eab6 SHA1: 8ff23b4b788453596daced4056d894f28fe8f355 MD5sum: 98827591b82627663eb5b0dc23f3828b Description: Documentation for the CELT audio codec library CELT is an experimental audio codec for use in low-delay communication. . CELT stands for "Code-Excited Lapped Transform". It applies some of the CELP principles, but does everything in the frequency domain, which removes some of the limitations of CELP. CELT is suitable for both speech and music and currently features: . * Ultra-low latency (typically from 3 to 9 ms) * Full audio bandwidth (44.1 kHz and 48 kHz) * Stereo support * Packet loss concealment * Constant bit-rates from 32 kbps to 128 kbps and above * A fixed-point version of the encoder and decoder . The CELT codec is meant to close the gap between Vorbis and Speex for applications where both high quality audio and low delay are desired. . This package provides the celt documentation Homepage: http://www.celt-codec.org Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/celt/celt-doc_0.7.1-1_all.deb Package: cenon.app Version: 3.93-1.2 Architecture: armhf Maintainer: Gürkan Sengün Installed-Size: 9651 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.6) Conflicts: cenon Replaces: cenon Homepage: http://www.cenon.info/ Priority: optional Section: gnustep Filename: pool/main/c/cenon.app/cenon.app_3.93-1.2_armhf.deb Size: 1736918 SHA256: 0607992b3d6575f1dd29101f81b6b0864bae04c33f30a7278192f6f99a8671dd SHA1: b94644638b3eeb756d13eec576829f70d7911fc9 MD5sum: 0c3db808638a57d7db13e0643650649b Description: Vector graphics tool for GNUstep Cenon is a graphical tool of a special kind. Build upon a modular graphical core, Cenon offers a wide variety of possibilities and applications - not only Desktop Publishing. The best of all, Cenon is free software, available with full source codes, and at home on many computer platforms. . Convert, import and export DXF, PostScript, Adobe Illustrator, DIN formats, HPGL, Gerber and PDF. Package: centerim Version: 4.22.10-2 Architecture: armhf Maintainer: Anibal Avelar Installed-Size: 2328 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0), libgpgme11 (>= 1.2.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.6), libtinfo5, centerim-common Recommends: firefox | www-browser, sox Homepage: http://www.centerim.org/ Priority: optional Section: net Filename: pool/main/c/centerim/centerim_4.22.10-2_armhf.deb Size: 1052044 SHA256: 821e6dc6479b448bdbb85cd90956411d699bcc8bdfaf2596ebb97efc5a92d059 SHA1: cc353a049b98f1fcedc076a1a59119b3d3bd9ecb MD5sum: 38c307888eabafb55b3b2edcc49993c6 Description: A text-mode multi-protocol instant messenger client Centerim is a fork of the centericq instant messaging client . Centerim is a text mode menu- and window-driven IM client program that supports the ICQ2000, Yahoo!, AIM, MSN, IRC and Jabber protocols. Package: centerim-common Source: centerim Version: 4.22.10-2 Installed-Size: 1464 Maintainer: Anibal Avelar Architecture: all Replaces: centericq (<< 4.6.9-5), centericq-common Size: 708428 SHA256: db89cecff3a50116c2601f3f3e242f9de69b6de5c2ba11140bd08132219a0852 SHA1: fb38a6f3fe5b701451179c2bed466d1c1fe39ad4 MD5sum: 66d060a934e1dcf7e75b0bbe4abc7858 Description: A text-mode multi-protocol instant messenger client (data files) Centerim is a fork of the centericq instant messaging client . Centerim is a text mode menu- and window-driven IM client program that supports the ICQ2000, Yahoo!, AIM, MSN, IRC and Jabber protocols. . This package provides the common files for centerim and centerim-fribidi binary packages (manpages, locales and documentation). Homepage: http://www.centerim.org/ Tag: role::documentation Section: net Priority: optional Filename: pool/main/c/centerim/centerim-common_4.22.10-2_all.deb Package: centerim-fribidi Source: centerim Version: 4.22.10-2 Architecture: armhf Maintainer: Anibal Avelar Installed-Size: 2332 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0), libgpgme11 (>= 1.2.0), libncursesw5 (>= 5.6+20070908), libstdc++6 (>= 4.6), libtinfo5, centerim-common Recommends: firefox | www-browser, sox Homepage: http://www.centerim.org/ Priority: optional Section: net Filename: pool/main/c/centerim/centerim-fribidi_4.22.10-2_armhf.deb Size: 1053290 SHA256: 269fd03952617c7f11136ab78c45ff2a1101b5671d8a55e87c119a1dfd83b79f SHA1: 8b000bd2870b6e41cc83a3d999c6e318fc1149f3 MD5sum: 31daaa5036de448865e9f867f92effac Description: A text-mode multi-protocol instant messenger client (Hebrew) Centerim is a fork of the centericq instant messaging client . Centerim is a text mode menu- and window-driven IM client program that supports the ICQ2000, Yahoo!, AIM, MSN, IRC and Jabber protocols. . Binary in this package is compiled with fribidi support. Add support for displaying Hebrew and Arabic texts. Package: centerim-utf8 Source: centerim Version: 4.22.10-2 Architecture: armhf Maintainer: Anibal Avelar Installed-Size: 2332 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0), libgpgme11 (>= 1.2.0), libncursesw5 (>= 5.6+20070908), libstdc++6 (>= 4.6), libtinfo5, centerim-common Recommends: firefox | www-browser, sox Homepage: http://www.centerim.org/ Priority: optional Section: net Filename: pool/main/c/centerim/centerim-utf8_4.22.10-2_armhf.deb Size: 1053268 SHA256: 8c46963dae34e188fe01c7d488e3ba8a047bad9ed1f89cecdc029ad7041b855b SHA1: 9692774e6b9506d6b15df6e060befd319eed16bc MD5sum: 0550c55d9314f96d59c7a46d52fc1bf9 Description: A text-mode multi-protocol instant messenger client Centerim is a fork of the centericq instant messaging client . Centerim is a text mode menu- and window-driven IM client program that supports the ICQ2000, Yahoo!, AIM, MSN, IRC and Jabber protocols. . This is a version of centerim linked with libncursesw5. It may be buggy. Package: ceph Version: 0.43-1+rpi1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 14272 Depends: libaio1 (>= 0.3.93), libc6 (>= 2.13-28), libcrypto++9, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libuuid1 (>= 2.16), hdparm | sdparm, binutils, ceph-common Recommends: ceph-fuse, libcephfs1, librados2, librbd1, btrfs-tools, gceph Homepage: http://ceph.newdream.net/ Priority: optional Section: admin Filename: pool/main/c/ceph/ceph_0.43-1+rpi1_armhf.deb Size: 5777026 SHA256: 492a47ef4f175dfb702efaf3ec2065a80c452e7b62d53ca0c736a6ae02be54ec SHA1: 5c3a3c16636819faf171b516c91963bc1190bb3d MD5sum: 31e13589d850e3be28ecd8dc9ec66a25 Description: distributed storage and file system Ceph is a distributed storage and network file system designed to provide excellent performance, reliability, and scalability. . This package contains all server daemons and management tools for creating, running, and administering a Ceph storage cluster. Package: ceph-common Source: ceph Version: 0.43-1+rpi1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 8725 Depends: libc6 (>= 2.13-28), libcrypto++9, libedit2 (>= 2.11-20080614-1), libgcc1 (>= 1:4.4.0), libkeyutils1, librados2, librbd1 (= 0.43-1+rpi1), libstdc++6 (>= 4.6), libuuid1 (>= 2.16) Suggests: ceph Conflicts: ceph-client-tools Replaces: ceph-client-tools Homepage: http://ceph.newdream.net/ Priority: optional Section: admin Filename: pool/main/c/ceph/ceph-common_0.43-1+rpi1_armhf.deb Size: 3726796 SHA256: f14f8b00cba1609fbf1c998701c7a93402959a726936929e5a3b587483e8f655 SHA1: e667cf91cc822855c3471d4a0cde370cddf87419 MD5sum: 9814fa1e1965f46370269824a4f158cd Description: common utilities to mount and interact with a ceph filesystem Ceph is a distributed network file system designed to provide excellent performance, reliability, and scalability. This is a collection of common tools, including the mount utility, that allows one to mount the ceph fs with the kernel client. Package: ceph-common-dbg Source: ceph Version: 0.43-1+rpi1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 101369 Depends: ceph-common (= 0.43-1+rpi1) Conflicts: ceph-client-tools-dbg Replaces: ceph-client-tools-dbg Homepage: http://ceph.newdream.net/ Priority: extra Section: debug Filename: pool/main/c/ceph/ceph-common-dbg_0.43-1+rpi1_armhf.deb Size: 35176472 SHA256: b842bbec4472ead1d27b923dd1c183a2e2157ae2cab60130b09150a55f320b7c SHA1: f52499dabdb2a31c913e20dc7f8657e9d549fc93 MD5sum: 352d91d9cea11efe97fc6cac7dbcba5f Description: debugging symbols for ceph-common Ceph is a distributed network file system designed to provide excellent performance, reliability, and scalability. This is a collection of common tools, including the mount utility, that allows one to mount the ceph fs with the kernel client. . This package contains the debugging symbols for ceph-common. Package: ceph-dbg Source: ceph Version: 0.43-1+rpi1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 156759 Depends: ceph (= 0.43-1+rpi1) Homepage: http://ceph.newdream.net/ Priority: extra Section: debug Filename: pool/main/c/ceph/ceph-dbg_0.43-1+rpi1_armhf.deb Size: 55291212 SHA256: 7a809fa21c2040c34da3121aaab3c9b5a00027cd4b0985c8eb48ec0089ca6df8 SHA1: b1b009c815c5de810c0df43d1943dcbba33b1a5c MD5sum: a6cb095263d80a46fe023eadc4ae85e0 Description: debugging symbols for ceph Ceph is a distributed storage and network file system designed to provide excellent performance, reliability, and scalability. . This package contains the debugging symbols for ceph. Package: ceph-fuse Source: ceph Version: 0.43-1+rpi1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 2233 Depends: libc6 (>= 2.13-28), libcrypto++9, libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libuuid1 (>= 2.16) Recommends: fuse-utils Suggests: ceph Homepage: http://ceph.newdream.net/ Priority: optional Section: admin Filename: pool/main/c/ceph/ceph-fuse_0.43-1+rpi1_armhf.deb Size: 982932 SHA256: 86801900003ac86af523afa73ae64967c264050aeec17e7ae69327af83ada615 SHA1: d06bf2a2cc4e19e3418d0ae1e7f87f62de2e3db4 MD5sum: 3406f9cb786b5b56809282833bafc83d Description: FUSE-based client for the Ceph distributed file system Ceph is a distributed network file system designed to provide excellent performance, reliability, and scalability. This is a FUSE-based client that allows one to mount a Ceph file system without root privileges. . Because the FUSE-based client has certain inherent performance limitations, it is recommended that the native Linux kernel client be used if possible. If it is not practical to load a kernel module (insufficient privileges, older kernel, etc.), then the FUSE client will do. Package: ceph-fuse-dbg Source: ceph Version: 0.43-1+rpi1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 26869 Depends: ceph-fuse (= 0.43-1+rpi1) Homepage: http://ceph.newdream.net/ Priority: extra Section: debug Filename: pool/main/c/ceph/ceph-fuse-dbg_0.43-1+rpi1_armhf.deb Size: 9554742 SHA256: 47db8a707afae5777f6df28d48f52354a4971d07427ceac401654bc9748d30b4 SHA1: fdd90c0f4636c33a17499b25294b5c1ea3ce197a MD5sum: 811ec8e52053c73438109c1a995eddb6 Description: debugging symbols for ceph-fuse Ceph is a distributed network file system designed to provide excellent performance, reliability, and scalability. This is a FUSE-based client that allows one to mount a Ceph file system without root privileges. . This package contains the debugging symbols for ceph-fuse. Package: ceph-resource-agents Source: ceph Version: 0.43-1+rpi1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 50 Depends: ceph (= 0.43-1+rpi1), resource-agents Recommends: pacemaker Homepage: http://ceph.newdream.net/ Priority: extra Section: admin Filename: pool/main/c/ceph/ceph-resource-agents_0.43-1+rpi1_armhf.deb Size: 6108 SHA256: 129ead0acf862b4f515d040e08eca94135d38c596c8a0a21c8ac625f74faf844 SHA1: 12a1db71e7f9c3a73924ab6eb82ed6d0b7108749 MD5sum: 71aebad6e006ac435912d2666fab1d41 Description: OCF-compliant resource agents for Ceph Ceph is a distributed storage and network file system designed to provide excellent performance, reliability, and scalability. . This package contains the resource agents (RAs) which integrate Ceph with OCF-compliant cluster resource managers, such as Pacemaker. Package: cereal Version: 0.24-1 Installed-Size: 176 Maintainer: Daniel Kahn Gillmor Architecture: all Depends: runit (>= 1.8.0-3), screen, adduser, procmail Size: 22558 SHA256: ae84d770f0867579110a614299db75387242d9670fd48295d7a5d653c577832e SHA1: b6e7c903208704f731d14201faeb84688d10b7a5 MD5sum: 3ce62148505ce6735131a9d8971711aa Description: automated, logged serial terminal management system cereal provides a framework to easily set up and maintain automated, timestamped logs of serial lines, while simultaneously allowing end user access to them. This is probably most useful for providing automated, logged access to remote serial consoles. . cereal can control an arbitrary number of independently monitored and logged lines. Direct access to the monitored lines is allowed only to a specific user (who doesn't necessarily otherwise have access to the direct serial line), but logs can be made available to any group. Logs are rotated automatically and their total space can be limited in size. Homepage: http://cmrg.fifthhorseman.net/wiki/cereal Tag: implemented-in::shell, role::program, scope::utility Section: utils Priority: extra Filename: pool/main/c/cereal/cereal_0.24-1_all.deb Package: cernlib Version: 20061220+dfsg3-2 Installed-Size: 32 Maintainer: Debian Science Maintainers Architecture: all Depends: cernlib-base (= 20061220+dfsg3-2), cernlib-core, cernlib-core-dev, cernlib-montecarlo, geant321-doc, geant321 Size: 1182 SHA256: 5d6de5ca041f31c7f6e34d766d139155efc91373e31d64d5bf0943c8688bb026 SHA1: a57fea6b82b45a4e79c6c4cf6dcb8ef5914de7f0 MD5sum: 19bc8a475f753be11927a00dbe3f5e30 Description: CERNLIB data analysis suite - general use metapackage CERNLIB is a suite of data analysis tools and libraries created for use in physics experiments, but also with applications to other fields such as the biological sciences. . This metapackage provides almost all of the programs and libraries contained in CERNLIB. Most people will likely want only a subset of these. A few extra CERNLIB programs, not of interest to many people, may be obtained via the cernlib-extras metapackage. Homepage: http://cernlib.web.cern.ch/cernlib/ Tag: field::physics, implemented-in::fortran, role::dummy, role::metapackage Section: science Priority: optional Filename: pool/main/c/cernlib/cernlib_20061220+dfsg3-2_all.deb Package: cernlib-base Source: cernlib Version: 20061220+dfsg3-2 Installed-Size: 168 Maintainer: Debian Science Maintainers Architecture: all Suggests: vim-addon-manager Size: 64002 SHA256: 991a7776623302e3cd285a659b81c3687cc47ab79c5d2fcd6b190663fba51fb0 SHA1: 7eb7b0426beb81b017e70feced3751f68ad7e074 MD5sum: 2b16234643ad0ac19fb75f5cb5468278 Description: CERNLIB data analysis suite - common files CERNLIB is a suite of data analysis tools and libraries created for use in physics experiments, but also with applications to other fields such as the biological sciences. . This package includes miscellaneous architecture-independent files useful for CERNLIB libraries and programs, including an example script that can generate a skeleton CERNLIB directory structure and Vim syntax highlighting macros for KUIPC CDF files and PAW "kumac" macro files. Homepage: http://cernlib.web.cern.ch/cernlib/ Tag: devel::code-generator, field::physics, implemented-in::fortran, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:source Section: devel Priority: optional Filename: pool/main/c/cernlib/cernlib-base_20061220+dfsg3-2_all.deb Package: cernlib-base-dev Source: cernlib Version: 20061220+dfsg3-2 Installed-Size: 384 Maintainer: Debian Science Maintainers Architecture: all Replaces: cernlib-base (<< 2005.dfsg-6), libcojets1-dev (<= 2004.11.04.dfsg-0sarge1), libgeant1-dev (<= 2004.11.04.dfsg-0sarge1), libherwig59-dev (<= 2004.11.04.dfsg-0sarge1), libisajet758-dev (<= 2004.11.04.dfsg-0sarge1), libkuipx11-1-dev (<= 2004.11.04.dfsg-0sarge1), libmathlib1-dev (<= 2004.11.04.dfsg-0sarge1), libpaw1-dev (<= 2004.11.04.dfsg-0sarge1), libpdflib804-dev (<= 2004.11.04.dfsg-0sarge1), libphtools1-dev (<= 2004.11.04.dfsg-0sarge1) Depends: cernlib-base (= 20061220+dfsg3-2) Conflicts: blas2-dev, lapack2-dev Breaks: libcojets1-dev (<= 2004.11.04.dfsg-0sarge1), libgeant1-dev (<= 2004.11.04.dfsg-0sarge1), libherwig59-dev (<= 2004.11.04.dfsg-0sarge1), libisajet758-dev (<= 2004.11.04.dfsg-0sarge1), libkuipx11-1-dev (<= 2004.11.04.dfsg-0sarge1), libmathlib1-dev (<= 2004.11.04.dfsg-0sarge1), libpaw1-dev (<= 2004.11.04.dfsg-0sarge1), libpdflib804-dev (<= 2004.11.04.dfsg-0sarge1), libphtools1-dev (<= 2004.11.04.dfsg-0sarge1) Size: 72918 SHA256: 4ee9840751e9ac9a7e4c7b373f533e62f0abe222751e53b7d9ed0f8d9514fa8c SHA1: 01e51aa63489937a7328e4b2d04ce4ec4c1328a9 MD5sum: 6ddc709e55084b5e08352fedc0c583d9 Description: CERNLIB data analysis suite - dependencies checking script CERNLIB is a suite of data analysis tools and libraries created for use in physics experiments, but also with applications to other fields such as the biological sciences. . This package includes the "cernlib" script that lists the command-line options needed for linking against CERNLIB libraries. The script has been rewritten from the upstream version to calculate dependencies recursively. Also included are a contributed set of Autoconf macros to test for CERNLIB libraries, and a set of Imake macros to allow CERNLIB modules to be built out-of-tree. Homepage: http://cernlib.web.cern.ch/cernlib/ Section: devel Priority: extra Filename: pool/main/c/cernlib/cernlib-base-dev_20061220+dfsg3-2_all.deb Package: cernlib-core Source: cernlib Version: 20061220+dfsg3-2 Installed-Size: 20 Maintainer: Debian Science Maintainers Architecture: all Depends: cernlib-base (= 20061220+dfsg3-2), kxterm, paw++, paw, paw-common, paw-demos Size: 1046 SHA256: 38060825c94c8e4fe1ccd404068f59936b196b973be804fcd158f037e9ac9e6f SHA1: 8db19a9ec27e322b9a67331d393b220ba9d144ba MD5sum: 064fc91e6e419ae91fe463a47dfff6d2 Description: CERNLIB data analysis suite - main libraries and programs CERNLIB is a suite of data analysis tools and libraries created for use in physics experiments, but also with applications to other fields such as the biological sciences. . This metapackage provides the libraries and analysis tools (e.g. PAW) likely to be wanted by most users of the CERN libraries who are not interested specifically in high energy physics. It does not provide development libraries or tools; those may be obtained by installing the cernlib-core-dev metapackage or individual lib*-dev packages. Homepage: http://cernlib.web.cern.ch/cernlib/ Tag: field::physics, implemented-in::fortran, role::dummy, role::metapackage Section: science Priority: optional Filename: pool/main/c/cernlib/cernlib-core_20061220+dfsg3-2_all.deb Package: cernlib-core-dev Source: cernlib Version: 20061220+dfsg3-2 Installed-Size: 20 Maintainer: Debian Science Maintainers Architecture: all Depends: cernlib-base (= 20061220+dfsg3-2), cernlib-base-dev, libgraflib1-dev, libgrafx11-1-dev, libkernlib1-dev, libpacklib-lesstif1-dev, libmathlib2-dev, libpacklib1-dev, libpawlib2-dev, libpawlib-lesstif3-dev, kuipc, dzedit, nypatchy Size: 1096 SHA256: f0c90c01dd84107af7b469c981c63da42eaa38b77a2e467ef9f2f9716d240f40 SHA1: 8dd87ae294bc90ce043616a88f6c35bc6dcb9232 MD5sum: 41a0133907b3310a11cff9f5dc7063ed Description: CERNLIB data analysis suite - core development files CERNLIB is a suite of data analysis tools and libraries created for use in physics experiments, but also with applications to other fields such as the biological sciences. . This metapackage provides the header files and static libraries needed by developers using the CERN libraries and not specifically interested in high energy physics. It also provides the CERNLIB development tools: DZedit, KUIPC, and the Nypatchy family of programs. CERNLIB analysis programs may be obtained by installing the cernlib-core metapackage. Homepage: http://cernlib.web.cern.ch/cernlib/ Tag: field::physics, implemented-in::fortran, role::dummy, role::metapackage Section: devel Priority: optional Filename: pool/main/c/cernlib/cernlib-core-dev_20061220+dfsg3-2_all.deb Package: cernlib-extras Source: cernlib Version: 20061220+dfsg3-2 Installed-Size: 20 Maintainer: Debian Science Maintainers Architecture: all Depends: cernlib-base (= 20061220+dfsg3-2), pawserv, zftp Size: 1118 SHA256: f8ba45fe246e9fd13e184d824e651fa226a0b81e72ad0af6a86c487effbdca4c SHA1: 4460dd52ed4006d74d83ebf0429e852e24ef6c0e MD5sum: 0a25c3a2f9f68ce538fd3a45538cb5f3 Description: CERNLIB data analysis suite - extra programs CERNLIB is a suite of data analysis tools and libraries created for use in physics experiments, but also with applications to other fields such as the biological sciences. . This metapackage provides a few additional CERNLIB programs not included in any other CERNLIB package. Very few people are likely to be interested in them; currently they include zftp, pawserv and zserv. The latter two programs run as daemons through inetd and may raise concerns about the system's security. . Installing this package along with the 'cernlib' metapackage will supply a complete set of all CERNLIB programs and libraries, except for those not included in Debian due to licensing reasons. Homepage: http://cernlib.web.cern.ch/cernlib/ Tag: field::physics, implemented-in::fortran, role::dummy, role::metapackage Section: science Priority: optional Filename: pool/main/c/cernlib/cernlib-extras_20061220+dfsg3-2_all.deb Package: cernlib-montecarlo Source: mclibs Version: 20061220+dfsg3-2 Installed-Size: 21 Maintainer: Debian Science Maintainers Architecture: all Depends: cernlib-base (>= 2006.dfsg.2-7), montecarlo-base (= 20061220+dfsg3-2), libcojets2-dev (>= 2006.dfsg.2-4), libeurodec1-dev (>= 2006.dfsg.2-4), libherwig59-2-dev (>= 2006.dfsg.2-4), libpdflib804-2-dev (>= 2006.dfsg.2-4), libphotos202-dev (>= 2006.dfsg.2-4), libphtools2-dev (>= 2006.dfsg.2-4), libisajet758-3-dev (>= 2006.dfsg.2-4), montecarlo-data (>= 2006.dfsg.2) Size: 1230 SHA256: 42477a1ceb44f00c56a0fa639580c1e4bafb81e68c307293df95f9ae64321ae6 SHA1: bae0cc840bbff211e082e8cc0caf6a205364dcd9 MD5sum: 24aae32dda66300f0a0368152bbf9af2 Description: CERNLIB Monte Carlo libraries CERNLIB is a suite of data analysis tools and libraries created for use in physics experiments, but also with applications to other fields such as the biological sciences. . This metapackage provides various Monte Carlo libraries included in CERNLIB (both shared and static). Likely only physicists will be interested in these packages. Note that the GEANT 3.21 framework is not included, but it may be obtained by also installing the geant321 Debian package. . Be aware that the libraries of Ariadne, Fritiof, Jetset, Lepto, and Pythia are not available within Debian due to licensing issues; see the README.Debian file in the montecarlo-base package for information on obtaining them. Homepage: http://wwwasd.web.cern.ch/wwwasd/cernlib/mc.html Tag: field::physics, implemented-in::fortran, role::dummy, role::metapackage Section: science Priority: optional Filename: pool/main/m/mclibs/cernlib-montecarlo_20061220+dfsg3-2_all.deb Package: certmonger Version: 0.57-1 Architecture: armhf Maintainer: Debian FreeIPA Team Installed-Size: 1248 Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libcurl3-nss (>= 7.23.1), libdbus-1-3 (>= 1.1.1), libgcc1 (>= 1:4.4.0), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.5), libssl1.0.0 (>= 1.0.0), libtalloc2 (>= 2.0.4~git20101213), libtevent0 (>= 0.9.9), libuuid1 (>= 2.16), libxmlrpc-core-c3 Homepage: https://fedorahosted.org/certmonger/ Priority: extra Section: utils Filename: pool/main/c/certmonger/certmonger_0.57-1_armhf.deb Size: 316438 SHA256: 72a85496e4c79533325d32dcfca310cc279a4c51fe0d4899d1ba187c07dc8365 SHA1: 0d8389e17ca5ea69d4ed24e55ee91a9e8a182929 MD5sum: 7a0d0eb68c5916fe63b4c964c725c685 Description: D-Bus -based service to simplify interaction with certificate authorities Certmonger is a D-Bus -based service which attempts to simplify interaction with certifying authorities (CAs) on networks which use public-key infrastructure (PKI). . If it knows the location of a certificate, certmonger can track the expiration date and notify you when the certificate is about to expire. . If it has access to the corresponding private key and information about the CA which issued the certificate, certmonger can even attempt to automatically obtain a new certificate. . Supports certificate and key storage in PEM or NSSDB formats. . Can self-sign certificates, or can submit them to either certmaster or development versions of IPA. Package: cervisia Source: kdesdk Version: 4:4.8.4+dfsg-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1480 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkde3support4 (>= 4:4.3.4), libkdecore5 (>= 4:4.4.4-2~), libkdeui5 (>= 4:4.4.0), libkio5 (>= 4:4.3.4), libkparts4 (>= 4:4.5.85), libqt4-dbus (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), perl, cvsservice Suggests: khelpcenter4 Homepage: http://www.kde.org Priority: optional Section: devel Filename: pool/main/k/kdesdk/cervisia_4.8.4+dfsg-1_armhf.deb Size: 474622 SHA256: fa2034b27a4c5ad311eb74f2d992677d2b14a8a6cd61965321fbe8efb100bc7d SHA1: 3c633bad5b58fe802b0d4adc44ba8d4220b4f6fa MD5sum: 300026fcdecc0cb196c0c602a616dbf0 Description: graphical CVS client Cervisia is a front-end for the CVS version control system client. . In addition to basic and advanced CVS operations, it provides a convenient graphical interface for viewing, editing, and manipulating files in a CVS repository or working directory. It includes tools designed to ease the use of CVS, such as a log browser, conflict resolver, and changelog editor that checks for incorrect formatting. . This package is part of the KDE Software Development Kit module. Package: ceve Version: 1.4-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 553 Depends: libbz2-ocaml-1qq81, libcalendar-ocaml-880d5, libdose2-ocaml-utah0, libpcre-ocaml-werc3, libzip-ocaml-tcv11, ocaml-base-nox-3.12.1 Homepage: http://sodiac.gforge.inria.fr/ Priority: optional Section: devel Filename: pool/main/c/ceve/ceve_1.4-2_armhf.deb Size: 112438 SHA256: f953642d9dd21c890345189be00b9778b813f04a73bcd7237bf11f85370963f4 SHA1: 1a49f52cfe02364584451992fa59fcf94ab162cb MD5sum: 7bba53def85fa940061e2f1ff4d19d65 Description: utility to parse package dependencies as set of constraints Ceve is a command line utility used to parse package metadata information (in particular package interrelationships such as dependencies) and convert them to set of constraints that need to be satisfied by a proper package installation. . Supported input formats for package metadata are: * .deb packages * Debian package lists (i.e. as in Packages.gz) * .rpm packages * RPM package lists * EGraph (XML based format, derived from GraphML) . Supported output formats for set of constraints are: * pretty printed format for human consumption * EGraph * Dose base, suitable as input for the Pkglab tool * Oz (a programming language supporting constraint programming) * Graphviz * Tart, suitable as input for the Tart media partitioner Package: cfengine2 Version: 2.2.10-5 Architecture: armhf Maintainer: Antonio Radici Installed-Size: 5752 Depends: libc6 (>= 2.7), libdb5.1, libssl1.0.0 (>= 1.0.0), debconf (>= 0.5) | debconf-2.0, dpkg (>= 1.15.4) | install-info Conflicts: cfengine Replaces: cfengine2-doc Homepage: http://www.cfengine.org/ Priority: optional Section: admin Filename: pool/main/c/cfengine2/cfengine2_2.2.10-5_armhf.deb Size: 2599760 SHA256: 918919f352511718ed16c4da263cc31fc56f226ed5caa0d20fc10e8dd20af951 SHA1: c3c23432ea12174a4de7e4bad6f464bb1c24f849 MD5sum: cbe45b7b04654022a61c1313479a1607 Description: Tool for configuring and maintaining network machines The main purpose of cfengine is to allow the system administrator to create a single central file which will define how every host on a network should be configured. . It takes a while to set up cfengine for a network (especially an already existing network), but once that is done you will wonder how you ever lived without it! Package: cfengine2-dbg Source: cfengine2 Version: 2.2.10-5 Architecture: armhf Maintainer: Antonio Radici Installed-Size: 7777 Depends: cfengine2 (= 2.2.10-5) Homepage: http://www.cfengine.org/ Priority: extra Section: debug Filename: pool/main/c/cfengine2/cfengine2-dbg_2.2.10-5_armhf.deb Size: 3289530 SHA256: dbbf13054ac3a70e427a542def79727b38f1d10a8c3e8b392fc2280636b33d9d SHA1: 61bb424b6ad99eb4cc1a992c74875bf6482c3c65 MD5sum: 3c343e0d7cd601a4b00bbdaad4640d27 Description: debugging symbols for cfengine2 The main purpose of cfengine is to allow the system administrator to create a single central file which will define how every host on a network should be configured. . This package contains the debugging symbols for cfengine2. Package: cfengine3 Version: 3.2.4-2+nmu1 Architecture: armhf Maintainer: Antonio Radici Installed-Size: 8008 Depends: libc6 (>= 2.13-28), libdb5.1, libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libssl1.0.0 (>= 1.0.0) Homepage: http://www.cfengine.org/ Priority: optional Section: admin Filename: pool/main/c/cfengine3/cfengine3_3.2.4-2+nmu1_armhf.deb Size: 2736946 SHA256: 72a0d0af637d398df86b5ce586eda2c5a81858c929826a65d2423ca3da8c49f7 SHA1: f7c4f41707bfbea12867b8c2fc39500b9076436e MD5sum: 1f56fc77918a60a3db993e13ac61b2cf Description: tool for configuring and maintaining network machines Cfengine is a suite of programs for integrated autonomic management of either individual or networked computers. . Cfengine 3 is both a more powerful and much simplified version of cfengine, which has been designed to inter operate with cfengine 2 rather than be backwards compatible with it. . With cfengine 3 you can install, configure and maintain computers using powerful hands-free tools. Package: cfengine3-dbg Source: cfengine3 Version: 3.2.4-2+nmu1 Architecture: armhf Maintainer: Antonio Radici Installed-Size: 11300 Depends: cfengine3 (= 3.2.4-2+nmu1) Homepage: http://www.cfengine.org/ Priority: extra Section: debug Filename: pool/main/c/cfengine3/cfengine3-dbg_3.2.4-2+nmu1_armhf.deb Size: 4782562 SHA256: 23e8363316334f0475b914a5d0756dddbbdc555419f0b72ef8e5fe2789923910 SHA1: 9f9e6fef9ef2f1ce6ec7164fe0c33ce1eeec9d8d MD5sum: 0f296de4cee4cc15ef246ec4f3b94a73 Description: debugging symbols for cfengine3 The main purpose of cfengine is to allow the system administrator to create a single central file which will define how every host on a network should be configured. . This package contains the debugging symbols for cfengine3. Package: cfget Version: 0.18-1 Installed-Size: 108 Maintainer: Enrico Zini Architecture: all Depends: python Size: 13554 SHA256: 6a68decd52d42813eeea9dd45279eac810dd23360d421f272cb1b84a535bf702 SHA1: 962d3fb034d54b064ad69d83fa3b4c91baaf587e MD5sum: a967512c142547e2a91207a57c312fdd Description: featureful tool to read values from config files cfget is a simple yet featureful tool to read values from configuration files. It is useful, for example, to create configurable shellscripts or makefiles. . Besides retrieving values, it can dump the information in several convenient ways, like a set of sh exports commands that can be conveniently passed to eval. It can also use the configuration values to expand template files. . It can also be configured to support virtual configuration values that, if not present in the config file, are automatically computed from the existing values. This makes it convenient, for example, to get a "duration" value from a configuration file that only contains a "start date" and an "end date". . It is also easy to create plugins to provide custom templating systems, export styles, dynamic values and even custom configuration file parsers. Homepage: http://www.enricozini.org/sw/cfget/ Tag: admin::configuring, implemented-in::python, interface::commandline, role::program, scope::utility, use::configuring Section: admin Priority: optional Filename: pool/main/c/cfget/cfget_0.18-1_all.deb Package: cfi-en Source: cfi Version: 3.0-8 Installed-Size: 960 Maintainer: Krzysztof Burghardt Architecture: all Suggests: www-browser Size: 300630 SHA256: a62d25558d30fb2367a0ae0d9fc6774d0dfa822d6484d8808b272d8d5e4c2d8f SHA1: 345a6293b6374a5a3201305dcb64c27320ec60e4 MD5sum: fa3abeba4586cdf60b9cd85a9f06f2bd Description: Copyright does not exist, book about hacker culture Copyright does not exist: Book about hacker culture, folklore and history by Linus Walleij. This is a translation from the original Swedish text. In HTML format. Tag: made-of::html, role::data Section: doc Priority: optional Filename: pool/main/c/cfi/cfi-en_3.0-8_all.deb Package: cfi-sv Source: cfi Version: 3.0-8 Installed-Size: 4568 Maintainer: Krzysztof Burghardt Architecture: all Suggests: www-browser, tkdvi | xgdvi Size: 940370 SHA256: 7db6aa8d8cf95597d324344741e6509d802925f23164e6b965dafa277326db6a SHA1: 4332421b36220cff57ae47048565a5a25e19cdff MD5sum: cd83911553c4afb792a46119c51a19d9 Description: Copyright finns inte, book about hacker culture Copyright finns inte: Book about hacker culture, folklore and history by Linus Walleij. This is the original Swedish text. In HTML and DVI format. Tag: culture::swedish, made-of::html, made-of::tex, role::data Section: doc Priority: optional Filename: pool/main/c/cfi/cfi-sv_3.0-8_all.deb Package: cfingerd Version: 1.4.3-3.1 Architecture: armhf Maintainer: Martin Schulze Installed-Size: 176 Depends: libc6 (>= 2.13-28), update-inetd, netbase (>= 2.00) Conflicts: finger-server Provides: finger-server Homepage: http://www.infodrom.org/projects/cfingerd/ Priority: extra Section: net Filename: pool/main/c/cfingerd/cfingerd_1.4.3-3.1_armhf.deb Size: 75664 SHA256: 8f3f287807380a5c37e55c4e1d8efd23c87fb6487b05e3bd3ee036712622243f SHA1: 54812e2167713c94961a37d22586c312c46b7c93 MD5sum: 34404f14dbad8eb298c760f6121b89de Description: configurable finger daemon This is a free replacement for standard finger daemons such as GNU fingerd and MIT fingerd. Cfingerd can enable/disable finger services to individual users, rather than to all users on a given host. It is able to respond to a finger request to a specified user by running a shell script (e.g., finger doorbell@mysite.mydomain might cause a sound file to be sent) rather than just a plain text file. Package: cflow Version: 1:1.4+dfsg1-2 Architecture: armhf Maintainer: Serafeim Zanikolas Installed-Size: 320 Depends: libc6 (>= 2.13-28) Homepage: http://www.gnu.org/software/cflow/ Priority: optional Section: devel Filename: pool/main/c/cflow/cflow_1.4+dfsg1-2_armhf.deb Size: 95410 SHA256: c35e4535b28b4da9ff549f47c6b4ea8a37e5af93a93007b8c6c625cb6c91b432 SHA1: 74135c6451138f8bb6f1ef2670c4d901f7c9c68d MD5sum: 7de58330fa94b7c7e626e5531559b239 Description: Analyze control flow in C source files GNU cflow analyzes a collection of C source files and prints a graph, charting control flow within the program. . GNU cflow is able to produce both direct and inverted flowgraphs for C sources. Optionally a cross-reference listing can be generated. Two output formats are implemented: POSIX and GNU (extended). . Input files can optionally be preprocessed before analyzing. Package: cfortran Version: 4.4-14 Installed-Size: 892 Maintainer: Debian Science Maintainers Architecture: all Recommends: gcc | c-compiler, gfortran | fortran-compiler Conflicts: libcfitsio-dev (<< 2.440-1) Size: 132198 SHA256: 24ebc5662dfe70349ffcdaa9e5566523935b164fc1e4254f2cb8b0079ad4952c SHA1: 6e999f1dc3fc4e3ca6dc025267f243d9729cedec MD5sum: 7284f5497da03c27ec6e5980e206a34b Description: Header file permitting Fortran routines to be called in C/C++ cfortran.h is an easy-to-use powerful bridge between C and FORTRAN. It provides a completely transparent, machine independent interface between C and FORTRAN routines (= subroutines and/or functions) and global data, i.e. structures and COMMON blocks. Homepage: http://www-zeus.desy.de/~burow/cfortran/ Tag: devel::lang:c, devel::lang:c++, devel::lang:fortran, role::devel-lib, use::converting Section: devel Priority: optional Filename: pool/main/c/cfortran/cfortran_4.4-14_all.deb Package: cfourcc Version: 0.1.2-8 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 58 Depends: libc6 (>= 2.4) Homepage: http://sarovar.org/projects/gfourcc Priority: optional Section: video Filename: pool/main/c/cfourcc/cfourcc_0.1.2-8_armhf.deb Size: 15676 SHA256: 577b6f564260035ccbe43f03b550067bb107f61f6be8c6de0ad21da7fb4bd2cc SHA1: 11b948d629c978ee672483ea75b1225fed2b60b2 MD5sum: 5703d2ba2e588ca95f6c65a6ce6c3ec2 Description: command line tool for changing FourCC in Microsoft RIFF AVI files Identifies the codec used in AVI files (*.avi) and allows the user to change the FourCC description code (like fourcc-changer in Windows). Useful for people working with Microsoft AVI file. A Linux clone of AviC fourcc changer tool. Package: cfv Version: 1.18.3-2 Installed-Size: 154 Maintainer: Stefan Alfredsson Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python-imaging, bittorrent | bittornado Size: 39792 SHA256: cea82da94db060f6e2c59a0a4b134491dfc108baf9d03edd036a628a8472f764 SHA1: 85d64becd49194370010bf7d3dd21b6d09f62011 MD5sum: c36ee2a0fe6e6c25a748d5278016d4a2 Description: versatile file checksum creator and verifier cfv is a utility to test and create a wide range of checksum verification files. It currently supports testing and creating sfv, sfvmd5, csv, csv2, csv4, md5, bsdmd5, torrent and crc files. Test-only support is available for par, par2. Homepage: http://cfv.sourceforge.net/ Tag: admin::backup, implemented-in::python, interface::commandline, role::program, scope::utility, security::integrity, use::checking, works-with::file Section: utils Priority: optional Filename: pool/main/c/cfv/cfv_1.18.3-2_all.deb Package: cgdb Version: 0.6.6-2 Architecture: armhf Maintainer: Robert Lemmen Installed-Size: 275 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libtinfo5, dpkg (>= 1.15.4) | install-info, gdb Homepage: http://cgdb.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/c/cgdb/cgdb_0.6.6-2_armhf.deb Size: 122482 SHA256: 01311ab4a0be4cacae69ff1b9776b8472ed39fcb984b2ebee6da27418e8ea945 SHA1: 77f804f415d48f47953dd78edae61d59092f1754 MD5sum: 750b8beea19194c961d6e6a6c616cd22 Description: curses-based interface to the GNU Debugger (GDB) CGDB is a curses fontend to the GNU Debugger (GDB). The goal of CGDB is to be lightweight and responsive; not encumbered with unnecessary features. . The interface is designed to deliver the familiar GDB text interface, with a split screen showing the source as it executes. The UI is modeled on the classic Unix text editor, vi. Those familiar with vi should feel right at home using CGDB. . Some features offered by CGDB are: * Syntax-highlighted source window * Visual breakpoint setting * Keyboard shortcuts for common functions * Searching source window (using regexp) Package: cgi-mapserver Source: mapserver Version: 6.0.1-3.2+deb7u4 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 2317 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libexpat1 (>= 2.0.1), libfcgi0ldbl, libfreetype6 (>= 2.2.1), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libgd2-xpm (>= 2.0.36~rc1~dfsg), libgdal1 (>= 1.8.0), libgeos-c1 (>= 3.3.3), libgif4 (>= 4.1.4), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libpq5, libproj0, libstdc++6 (>= 4.3.0), libx11-6, libxml2 (>= 2.7.4), libxpm4, zlib1g (>= 1:1.1.4) Suggests: mapserver-bin, mapserver-doc Homepage: http://www.mapserver.org Priority: optional Section: web Filename: pool/main/m/mapserver/cgi-mapserver_6.0.1-3.2+deb7u4_armhf.deb Size: 785582 SHA256: faf409d26dddda0065eddb05cd1463d26c5c9104d49adea02c61f8e71d089f61 SHA1: 66b145be24b9424a84b6ff2549e5ed1bb16eaae0 MD5sum: 4943ec47ef81c0e31a474a9d567912ad Description: CGI executable for MapServer This package contains the mapserv CGI program. It provides the MapServer template language, and can be used to implement Web Map Service applications. . MapServer is a CGI-based framework for Internet map services which supports Open Geospatial Consortium (OGC) standards. Scripting functionality in MapScript is provided by the suggested mapscript library packages. Package: cgiemail Version: 1.6-37+deb7u1 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 106 Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0 Recommends: apache | httpd Homepage: http://web.mit.edu/wwwdev/cgiemail/ Priority: optional Section: web Filename: pool/main/c/cgiemail/cgiemail_1.6-37+deb7u1_armhf.deb Size: 48424 SHA256: 60ddb9a5b7e47a0a730ec6df968b1eb152789b489b45a9fd3d830f401a20cdb5 SHA1: 605f6024a8e41df80c6fa5e1908c6a6ee7bc6148 MD5sum: b12d71ed000e54f2735e069f2a4c537a Description: CGI Form-to-Mail converter cgiemail is a flexible CGI-based tool that will allow non-programmers to create forms to be emailed. Package: cgilib Version: 0.6-1 Architecture: armhf Maintainer: Martin Schulze Installed-Size: 108 Suggests: httpd Conflicts: libcgi-dev Priority: optional Section: web Filename: pool/main/c/cgilib/cgilib_0.6-1_armhf.deb Size: 37724 SHA256: 3456c71e4849ed87a56d934d3ec09fcac8d3f9a571388384c55cb528b2bccf91 SHA1: fc07b6bd9510aae8f0654e6249928361abb4f298 MD5sum: abf3eb1dedf136446ad4385aa33c5e56 Description: Simple CGI Library This library provides a simple programming API to the Common Gateway Interface (CGI). It features HTTP Redirect, provides read access to FORM variables, sets HTTP Cookies and reads them. Package: cgns-convert Source: libcgns Version: 3.1.3.4-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 238 Depends: libc6 (>= 2.13-28), libcgns3.1, libhdf5-7 Homepage: http://cgns.sourceforge.net/ Priority: extra Section: science Filename: pool/main/libc/libcgns/cgns-convert_3.1.3.4-1_armhf.deb Size: 88268 SHA256: 4ef91a67897f6dd8272cf771ab84d825e29ba815968801e079fdb713520ea4f5 SHA1: 2bdc4b8747bf9ef59367e51a12cd7f21deee7571 MD5sum: 73c8b0e50c14b5014af394465fff3194 Description: CFD General Notation System - Conversion tools The CFD General Notation System (CGNS) provides a general, portable, and extensible standard for the storage and retrieval of computational fluid dynamics (CFD) analysis data. It consists of a collection of conventions, and free and open software implementing those conventions. It is self-descriptive, machine-independent, well-documented, and administered by an international steering committee. It is also an American Institute of Aeronautics and Astronautics (AIAA) Recommended Practice. . This package contains the adf2hdf, hdf2adf tools. Package: cgoban Version: 1.9.14-17 Architecture: armhf Maintainer: Martin A. Godisch Installed-Size: 499 Depends: libc6 (>= 2.13-28), libx11-6 Recommends: gnugo Suggests: imagemagick | netpbm Priority: optional Section: games Filename: pool/main/c/cgoban/cgoban_1.9.14-17_armhf.deb Size: 227932 SHA256: bc26feb91dc2040e550f48614118d8406992c5bd03bec21244e1e89a21a8ecdb SHA1: ced09f4269e5286d913926e4cb7f0f3800b25e98 MD5sum: d7a6260cfbbf5e0463e20b09362ec9b0 Description: complete Go board CGoban (Complete Goban) is a computerized board on which you can play the game of Go against another player, view and edit smart-go files, and connect to Go servers on the internet. It can also interface with computer Go programs such as GNU Go that speak the Go modem protocol. Some sort of image converter is needed if you wish to use the utility provided to capture "screen shots" of a CGoban game. The CGoban homepage can be found at http://cgoban1.sourceforge.net/. . Go is an ancient game originated from China, with a definite history of over 3000 years, although there are historians who say that the game was invented more than 4000 years ago. The Chinese call the game Weiqi, other names for Go include Baduk (Korean), Igo (Japanese), and Goe (Taiwanese). . In this game, each player tries to exert more influence on territory than her opponent, using threats of death, capture, or isolation. It is, therefore, a symbolic representation of the relationships between nations. Go is getting increasingly popular around the world, especially in Asian, European and American countries, with many worldwide competitions being held. . The game of Go is played on a board. The Go set is comprised of the board, together with 181 black and 180 white stones. The standard board has 19 lines by 19 lines, but 13x13 and 9x9 boards can also be used. However, the 9x9 and 13x13 boards are usually for beginners; more advanced players would prefer the traditional 19x19 board. . Compared to International Chess and Chinese Chess, Go has far fewer rules. Yet this allowed for all sorts of moves to be played, so Go can be a more intellectually challenging game than the other two types of Chess. Nonetheless, Go is not a difficult game to learn, so have a fun time playing the game with your friends. . (adopted from http://senseis.xmp.net/?WhatIsGo) Package: cgroup-bin Source: libcgroup Version: 0.38-1 Architecture: armhf Maintainer: Jon Bernard Installed-Size: 228 Depends: libc6 (>= 2.13-28), libcgroup1 (>= 0.38) Homepage: http://sourceforge.net/projects/libcg/ Priority: extra Section: admin Filename: pool/main/libc/libcgroup/cgroup-bin_0.38-1_armhf.deb Size: 78352 SHA256: 9da72522e9d33a94041bc208a60c39e58bb6dc72528a64045a0d7963201c2e8e SHA1: 787c810c8c8c4e36cf137c38712aed882580c7f9 MD5sum: 4f181a5c86cad6d51aff1ca5981fc1cf Description: Tools to control and monitor control groups Control Groups provide a mechanism for aggregating/partitioning sets of tasks, and all their future children, into hierarchical groups with specialized behaviour. . These tools help manipulate, control, administrate and monitor control groups and the associated controllers. Package: cgvg Version: 1.6.2-2.1 Installed-Size: 77 Maintainer: Sergio Talens-Oliag Architecture: all Depends: perl | perl5, libperl4-corelibs-perl | perl (<< 5.12.3-7) Suggests: ctags Size: 21128 SHA256: d839076f31c313f91eb664c4a26b9b2825446ee310b383f3444f026974dccd1b SHA1: fac7809c21af45f26b3ca7c94f623f159a795306 MD5sum: aab3f6b0f86fc7f61c50201101d6e44f Description: command-line source browsing tool cgvg is a pair of Perl scripts ("cg" and "vg") which are meant to assist a programmer in doing command-line source browsing. . The idea is you can easily search for keywords in the code, and jump to the file and line where a match is found. Used with ctags(1), this can really help with jumping around and following code. Some features include a human-readable output, coloring, bolding (and alternate bolding), and just sheer convenience for a programmer. . cgvg uses the Perl internal find and does its own searching, rather than being a wrapper for UNIX find(1) and grep(1). There is a ~/.cgvgrc file for per-user configuration, and some nice features like coloring, and multiple log files. Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, use::searching, works-with::software:source Section: devel Priority: optional Filename: pool/main/c/cgvg/cgvg_1.6.2-2.1_all.deb Package: chado-utils Source: libchado-perl Version: 1.22-4 Installed-Size: 432 Maintainer: Debian Med Packaging Team Architecture: all Depends: perl, libchado-perl Recommends: xsltproc Size: 148446 SHA256: 7fca71e7c1df3b73a471fcf14f4edfa2a7652b680f6c7e09cf1e7ff036637808 SHA1: f1dbe71f328f16461624c3abe5825c6542bc1ba2 MD5sum: 0ec62280127accf91007b640d65e658a Description: tools to add/extract data from Chado This package contains several tools that can be used to extract data from Chado database or prepare data before upload. It is a subset above the Chado database schema and libraries. . Chado is a relational database schema that underlies many GMOD installations. It is capable of representing many of the general classes of data frequently encountered in modern biology such as sequence, sequence comparisons, phenotypes, genotypes, ontologies, publications, and phylogeny. Homepage: http://gmod.org/wiki/Chado Section: perl Priority: optional Filename: pool/main/libc/libchado-perl/chado-utils_1.22-4_all.deb Package: chaksem Version: 1.7b-5.1 Installed-Size: 119 Maintainer: Jamie Wilkinson Architecture: all Depends: texlive-base-bin, texlive-latex-base, texlive-latex-recommended, texlive-fonts-recommended, dpkg (>= 1.14.18), tex-common (>= 3) Size: 70472 SHA256: fd2022636f7d92811f5f17388b95a63169f55e12c3e67277ae2162cb19af981b SHA1: f1f93a1c90bcc6994d58d05305c5a1e8dccd99d1 MD5sum: 57e3c50ebf680fc1bff4aa6d048fe2be Description: LaTeX class for presentations chaksem is a LaTeX2e class for slides. Based on seminar, it adds support for running footers as well as itemised and numbered lists, with a layout that fits nicely to the sans serif font used for text. There is support for overlays, which includes the ability to accumulate text and images on the slides for online presentations. Printable lecture notes with collapsed overlays are also able to be generated from this class. Tag: office::presentation, role::plugin, use::viewing, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/c/chaksem/chaksem_1.7b-5.1_all.deb Package: chalow Version: 1.0-2 Installed-Size: 264 Maintainer: Tatsuya Kinoshita Architecture: all Depends: perl, libjcode-pm-perl, libhtml-template-perl Suggests: tdiary-theme Size: 85722 SHA256: d411f2207243f6f4903e2d1814f6d338649419caa3b5d4ba8be4fa12c906a77d SHA1: c9ada550687715fe4191a3083f8d4f3ddb862fb7 MD5sum: 1edbc4f3e696a9bef53f8a427c2e4c11 Description: weblog tool that converts ChangeLog to HTML chalow (CHAngeLog On the Web) is a weblog tool written in Perl. It converts ChangeLog to HTML and RSS. . chalow supports themes of design templates for tDiary weblog system. To use the themes of tDiary, install the tdiary-theme package. Homepage: http://chalow.org/ Tag: admin::logging, implemented-in::perl, role::program, scope::utility, use::converting, works-with-format::html, works-with::text Section: web Priority: optional Filename: pool/main/c/chalow/chalow_1.0-2_all.deb Package: chameleon-cursor-theme Version: 0.5-4 Installed-Size: 6632 Maintainer: Adam Borowski Architecture: all Size: 1416084 SHA256: 53bcb4bce353f2e012bff8dc793aa7ea4114ce8f7cb33f43eaa07cc0bf083c6f SHA1: 62c9313b9134862621342da4872a1e54bec72f36 MD5sum: 8fd39465fca5cb81d50999bc01f6cf70 Description: modern but not gaudy X11 mouse theme Package comes with 15 different mouse themes for X11. 5 colors (anthracite, skyblue, darkskyblue, white, pearl) 3 different sizes (small, regular and large) . Preview: http://www.kde-look.org/content/show.php?content=38459 Homepage: http://gnome-look.org/content/show.php?content=38459 Tag: role::app-data, x11::theme Section: x11 Priority: optional Filename: pool/main/c/chameleon-cursor-theme/chameleon-cursor-theme_0.5-4_all.deb Package: changetrack Version: 4.7-1 Installed-Size: 148 Maintainer: Jens Peter Secher Architecture: all Depends: perl Recommends: cron, ed | rcs Size: 22230 SHA256: 628ee8a83a0ffe89bfd26f51d66858507be4d712301bdf38831d99f16d67286b SHA1: e0c6315773cf96709b0d8d933f226d054a644c0b MD5sum: 45f314ca7325af73bc742c5920c8ebe5 Description: monitor changes to (configuration) files A program to automatically monitor changes to a set of files. If files are modified one day, and the machine stops working correctly some days later, changetrack provides information on which files were modified, and thus helps locate the problem. If you tell changetrack to use either the line editor ed (the default) or The Revision Control System (RCS), you can recover files to any previous stage. If you do not want to install Perl, try out the filetraq or diffmon package. Another similar program is etckeeper. Homepage: http://changetrack.sourceforge.net Tag: devel::rcs, implemented-in::perl, interface::commandline, role::program, scope::utility, use::configuring, use::monitor Section: utils Priority: optional Filename: pool/main/c/changetrack/changetrack_4.7-1_all.deb Package: chaosreader Version: 0.94-3 Installed-Size: 208 Maintainer: Debian Forensics Architecture: all Depends: perl Recommends: tcpdump Suggests: wireshark Size: 50160 SHA256: 2fbc78179d3e458d0f1327359f0a8362b887b62713fff46da629f8723c82eb85 SHA1: 5bbf367479f7fc7526dfde2f35659f69f149cc66 MD5sum: 11be0a58a1b1c7f945eb7d90a993154d Description: trace network sessions and export it to html format Chaosreader traces TCP/UDP/others sessions and fetches application data from snoop or tcpdump logs. This is a type of "any-snarf" program, as it will fetch telnet sessions, FTP files, HTTP transfers (HTML, GIF, JPEG etc) and SMTP emails from the captured data inside network traffic logs. A html index file is created to that links to all the session details, including realtime replay programs for telnet, rlogin, IRC, X11 and VNC sessions. Chaosreader reports such as image reports and HTTP GET/POST content reports. . Chaosreader can also run in standalone mode, where it invokes tcpdump to create the log files and then processes them. . Screenshots: http://www.brendangregg.com/chaosreader.html Homepage: http://chaosreader.sourceforge.net Tag: admin::monitoring, implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, use::monitor, works-with::logfile, works-with::network-traffic Section: net Priority: optional Filename: pool/main/c/chaosreader/chaosreader_0.94-3_all.deb Package: charactermanaj Version: 0.98+svn20120311.r42-1 Installed-Size: 1603 Maintainer: Ying-Chun Liu (PaulLiu) Architecture: all Depends: default-jre | java-runtime | java2-runtime Size: 1177578 SHA256: 8854afafff5bd36cd986eb8401a87d7bbe773a9a05991870f17b422ca2fee97e SHA1: b9ec01ad409e692c439b251c29bb88a0bbd5867a MD5sum: c5ae42e23b13f12cf97df1b30d70a467 Description: avatar editor application Charactermanaj is an application to create a single image by superimposing multiple selected images. It is able to design the avatar by different dresses and different parts by layering them, but not limited to it. In order to run charactermanaj you need to have some images first. Homepage: http://sourceforge.jp/projects/charactermanaj/ Section: graphics Priority: optional Filename: pool/main/c/charactermanaj/charactermanaj_0.98+svn20120311.r42-1_all.deb Package: charmap.app Version: 0.2-11 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 1038 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), gnustep-fslayout-fhs Homepage: http://www.nongnu.org/charmap/ Priority: optional Section: gnustep Filename: pool/main/c/charmap.app/charmap.app_0.2-11_armhf.deb Size: 175342 SHA256: 1e28512eae92ff1212797509756d4ed6e617505837dd915de177ac763420019f SHA1: d30ef6ba4dfa9a392e9586e4f1bcdaed6d05019f MD5sum: 0796b42ea2d65351c1a85f55b3401603 Description: Character map for GNUstep This is a character map. It is developed using the GNUstep development environment (www.gnustep.org) and is meant to contribute to GNUstep's promise towards a desktop environment. . Charmap offers font selection, allowing one to easily see all the glyphs which a particular font offers. Package: charybdis Version: 3.3.0-7.1 Architecture: armhf Maintainer: Antoine Beaupré Installed-Size: 1535 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0), libsqlite3-0 (>= 3.5.9), zlib1g (>= 1:1.1.4), libz1, adduser Conflicts: ircd-ratbox, oftc-hybrid Provides: ircd Homepage: https://www.stack.nl/~jilles/irc/#charybdis Priority: optional Section: net Filename: pool/main/c/charybdis/charybdis_3.3.0-7.1_armhf.deb Size: 637122 SHA256: 5bc817c731ae5572951044698b2cfc94a2e8061d46cad36696bbaa5c5a12d47d SHA1: 5c3c3df52670120faec63bbb1540b7f8bf4015ba MD5sum: 6d9c2aa61495209a55fd185569888001 Description: fast, scalable irc server Charybdis is a fast, scalable IRC server, capable of supporting tens of thousands of connections. It supports SSL and X.509 certificate challenge-response authentication. Package: chase Version: 0.5.2-4 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 58 Depends: libc6 (>= 2.4), libgc1c2 (>= 1:7.1) Priority: optional Section: utils Filename: pool/main/c/chase/chase_0.5.2-4_armhf.deb Size: 15694 SHA256: df707c0b873f708c9889c427f09dd81a1ec67c9cb8eae58e497b2aa6d553b00f SHA1: 89e37646858345e421aab772dcef24bb0b8c93ec MD5sum: 25489117274ece52d1c1338792aae496 Description: Follow a symlink and print out its target file Chase is a small utility for tracking down the actual file that a symbolic link points to - chasing the symlink, if you will. The result of a successful run is guaranteed to be an existing file which is not a symbolic link. Package: chasen Version: 2.4.5-6 Architecture: armhf Maintainer: NOKUBI Takatsugu Installed-Size: 569 Depends: libc6 (>= 2.13-28), libchasen2 (>= 2.4.5), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.1.1), naist-jdic-utf8 (>= 1:0.4.3-3) | naist-jdic (>= 1:0.4.3-3) | ipadic (>= 2.6.3) Homepage: http://chasen-legacy.sourceforge.jp/ Priority: optional Section: misc Filename: pool/main/c/chasen/chasen_2.4.5-6_armhf.deb Size: 462150 SHA256: 08904c09734febc92bbe2c46c1f03ebecaa0727466dd7a0855bdd8e973b9f6ad SHA1: 9bc79d950b5bf7c1c051d46e6510dd14303249b5 MD5sum: 9c4a565842f50cd1e869f0ebfec3d792 Description: Japanese Morphological Analysis System ChaSen is a morphological analysis system. It can segment and tokenize Japanese text string, and can output with many additional information (pronunciation, semantic information, and others). . It will print the result of such an operation to the standard output, so that it can be either written to a file or further processed. Package: chasen-cannadic Source: mecab-cannadic Version: 0.94h-2.1 Architecture: armhf Maintainer: NOKUBI Takatsugu Installed-Size: 19855 Conflicts: ipadic Priority: optional Section: misc Filename: pool/main/m/mecab-cannadic/chasen-cannadic_0.94h-2.1_armhf.deb Size: 4220152 SHA256: 31aa8fb6aa8069dfc3ed390e3f123504d44bceed487bc5f492e113b1c5d445cd SHA1: f29ad35d8a9e91048a257cd430be0baf51b386e0 MD5sum: e9e1339fa78ff9a128a23f3c690213a1 Description: a Japanese dictionary for ChaSen derived from Canna dictionary ChaSen is a Japanese morphological analysys system. It usually use with ipadic dictionary. But it is DFSG-nonfree, so the package provides yet another dictionary on DFSG-free environment. The dictionary is based on cannadic. Package: chasen-dictutils Source: chasen Version: 2.4.5-6 Architecture: armhf Maintainer: NOKUBI Takatsugu Installed-Size: 89 Depends: libc6 (>= 2.13-28), libchasen2 (>= 2.4.5), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Homepage: http://chasen-legacy.sourceforge.jp/ Priority: optional Section: misc Filename: pool/main/c/chasen/chasen-dictutils_2.4.5-6_armhf.deb Size: 26264 SHA256: c9cee4b6e0ef58853b631e2a33d3c6bbdafef0779d89ce0137eeb84eb921f4d0 SHA1: 240c9764728b8f86535df94d1d41228f51376399 MD5sum: 038c9a6c3c2e00eafd348d81aa938b15 Description: Japanese Morphological Analysis System - utilities for dictionary ChaSen is a morphological analysis system. It can segment and tokenize Japanese text string, and can output with many additional information (pronunciation, semantic information, and others). . This package contains dictionary utilities for ChaSen. Package: check Version: 0.9.8-2 Architecture: armhf Maintainer: Robert Lemmen Installed-Size: 235 Depends: dpkg (>= 1.15.4) | install-info Homepage: http://check.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/c/check/check_0.9.8-2_armhf.deb Size: 111572 SHA256: 302243a7944789b7e48399c7fc96dd5ebcb9b72fadf9ff28693cda7ca1689776 SHA1: ca0c57b5e15f782d45a73eb05ffafd7658e505b1 MD5sum: cb4d357bed5605ad7dfafe70aa1cae75 Description: unit test framework for C Check features a simple interface for defining unit tests, putting little in the way of the developer. Tests are run in a separate address space, so Check can catch both assertion failures and code errors that cause segmentation faults or other signals. The output from unit tests can be used within source code editors and IDEs. Package: check-mk-agent Source: check-mk Version: 1.1.12p7-1 Architecture: armhf Maintainer: Debian Nagios Maintainer Group Installed-Size: 130 Depends: libc6 (>= 2.13-28) Recommends: ethtool, smartmontools Suggests: xinetd, python Priority: optional Section: admin Filename: pool/main/c/check-mk/check-mk-agent_1.1.12p7-1_armhf.deb Size: 56168 SHA256: e24f448e75bdead3b39c7bac92574e3564f080097b09e8d2ef0bec8aa9ffb991 SHA1: a811bce82acfdf9dff57fb6a66e6955e2088ed7d MD5sum: f4f2e1b1cd5e1fb44fac0b4bf264acfe Description: general purpose nagios-plugin for retrieving data Check_mk adopts a new a approach for collecting data from operating systems and network components. It obsoletes NRPE, check_by_ssh, NSClient and check_snmp. It has many benefits, the most important of which are: . * Significant reduction of CPU usage on the Nagios host. * Automatic inventory of items to be checked on hosts. . This package contains the agent part of check-mk. Package: check-mk-agent-logwatch Source: check-mk Version: 1.1.12p7-1 Architecture: armhf Maintainer: Debian Nagios Maintainer Group Installed-Size: 105 Depends: check-mk-agent Suggests: xinetd, python Priority: optional Section: admin Filename: pool/main/c/check-mk/check-mk-agent-logwatch_1.1.12p7-1_armhf.deb Size: 50758 SHA256: 43cfd799829f4b02125ba505214658bacac6caef978e1afe888f394be3d3b4fb SHA1: 02dc67a2306eeaff1cc831e44c5363cb43403eef MD5sum: b398318fba270c0804eb4a1ba2592eb5 Description: general purpose nagios-plugin for retrieving data Check_mk adopts a new a approach for collecting data from operating systems and network components. It obsoletes NRPE, check_by_ssh, NSClient and check_snmp. It has many benefits, the most important of which are: . * Significant reduction of CPU usage on the Nagios host. * Automatic inventory of items to be checked on hosts. . This package contains the logwatch plugin for the agent. Package: check-mk-config-icinga Source: check-mk Version: 1.1.12p7-1 Architecture: armhf Maintainer: Debian Nagios Maintainer Group Installed-Size: 134 Pre-Depends: dpkg (>= 1.15.7.2~) Depends: adduser Conflicts: check-mk-config-nagios3 Priority: optional Section: admin Filename: pool/main/c/check-mk/check-mk-config-icinga_1.1.12p7-1_armhf.deb Size: 53968 SHA256: 22b8f60cb948d06726bc525ae14c781aeaf7707cae93c7ed7613bf74a725f05e SHA1: 8517f9c2141f13ec0ecd9a349873e821e730eb5c MD5sum: e87584f3b05905dcf8e57891773cb2fc Description: general purpose nagios-plugin for retrieving data Check_mk adopts a new a approach for collecting data from operating systems and network components. It obsoletes NRPE, check_by_ssh, NSClient and check_snmp. It has many benefits, the most important of which are: . * Significant reduction of CPU usage on the Nagios host. * Automatic inventory of items to be checked on hosts. . This package contains the icinga specific part of check_mk Package: check-mk-config-nagios3 Source: check-mk Version: 1.1.12p7-1 Architecture: armhf Maintainer: Debian Nagios Maintainer Group Installed-Size: 134 Pre-Depends: dpkg (>= 1.15.7.2~) Depends: adduser Conflicts: check-mk-config-icinga Priority: optional Section: admin Filename: pool/main/c/check-mk/check-mk-config-nagios3_1.1.12p7-1_armhf.deb Size: 53964 SHA256: c7c0003f3195b38498cf6f12795a9d4ff061c3dc370998f9a427ce35ff83d7a1 SHA1: 8b03f6155170ebd3077a65d9f9030d85d257d3d3 MD5sum: 22c538ac3573cb8f2966a3e5fa9d33f9 Description: general purpose nagios-plugin for retrieving data Check_mk adopts a new a approach for collecting data from operating systems and network components. It obsoletes NRPE, check_by_ssh, NSClient and check_snmp. It has many benefits, the most important of which are: . * Significant reduction of CPU usage on the Nagios host. * Automatic inventory of items to be checked on hosts. . This package contains the nagios 3 specific part of check_mk Package: check-mk-doc Source: check-mk Version: 1.1.12p7-1 Installed-Size: 1214 Maintainer: Debian Nagios Maintainer Group Architecture: all Suggests: check-mk-livestatus, check-mk-server, check-mk-multisite Size: 1000788 SHA256: e434d09a301a82895849c54bfce0f9066d2a55071ec9de57206b8180c8db2dd0 SHA1: 59735cf0bcd27990a4d9d7000a1ed12cb025b4e5 MD5sum: b0ad6d63cd5be6369663652ddac23ead Description: general purpose nagios-plugin for retrieving data (documentation) Check_mk adopts a new a approach for collecting data from operating systems and network components. It obsoletes NRPE, check_by_ssh, NSClient and check_snmp. It has many benefits, the most important of which are: . * Significant reduction of CPU usage on the Nagios host. * Automatic inventory of items to be checked on hosts. . This package contains the check_mk documentation. Section: doc Priority: optional Filename: pool/main/c/check-mk/check-mk-doc_1.1.12p7-1_all.deb Package: check-mk-livestatus Source: check-mk Version: 1.1.12p7-1 Architecture: armhf Maintainer: Debian Nagios Maintainer Group Installed-Size: 460 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Recommends: check-mk-doc Suggests: xinetd Conflicts: ucspi-unix Priority: optional Section: admin Filename: pool/main/c/check-mk/check-mk-livestatus_1.1.12p7-1_armhf.deb Size: 207618 SHA256: 859b5ee0fa8ff9adf6f98e9eb8894b0342519d4bdc038db564f22a494a78d181 SHA1: 5448d334fac8144d57c3f3a439abcb3ebbc26fb3 MD5sum: 065cba76a8656509751d8d2dbc811e9b Description: general purpose nagios-plugin for retrieving data Check_mk adopts a new a approach for collecting data from operating systems and network components. It obsoletes NRPE, check_by_ssh, NSClient and check_snmp. It has many benefits, the most important of which are: . * Significant reduction of CPU usage on the Nagios host. * Automatic inventory of items to be checked on hosts. . This package contains livestatus Package: check-mk-multisite Source: check-mk Version: 1.1.12p7-1 Architecture: armhf Maintainer: Debian Nagios Maintainer Group Installed-Size: 2013 Depends: libapache2-mod-python, check-mk-config-nagios3 | check-mk-config-icinga Recommends: sudo, check-mk-doc Suggests: check-mk-livestatus Priority: optional Section: admin Filename: pool/main/c/check-mk/check-mk-multisite_1.1.12p7-1_armhf.deb Size: 1096922 SHA256: f785cfcc8b97c26469954eb9d8557f307fde5bcaaa7f9818a94b19e4bb8b392e SHA1: d97323d667476a920d01caa6a038125c62ff6fd1 MD5sum: 5753de60e2396feb34524bdeeae14255 Description: general purpose nagios-plugin for retrieving data Check_mk adopts a new a approach for collecting data from operating systems and network components. It obsoletes NRPE, check_by_ssh, NSClient and check_snmp. It has many benefits, the most important of which are: . * Significant reduction of CPU usage on the Nagios host. * Automatic inventory of items to be checked on hosts. . This package contains the webfrontend of check_mk also known as "MK-Multisite" Package: check-mk-server Source: check-mk Version: 1.1.12p7-1 Architecture: armhf Maintainer: Debian Nagios Maintainer Group Installed-Size: 1645 Depends: adduser, python, check-mk-config-nagios3 | check-mk-config-icinga Recommends: check-mk-doc, snmp Priority: optional Section: admin Filename: pool/main/c/check-mk/check-mk-server_1.1.12p7-1_armhf.deb Size: 377212 SHA256: 8cb85d39565736db8c6be66f59692d79c6e05e96c983ba3a054537c4d84a5dc4 SHA1: a616caf1bf9cbacc3c513139dca8f650198562f2 MD5sum: 999db16349e7cfefc249f13c4590343c Description: general purpose nagios-plugin for retrieving data Check_mk adopts a new a approach for collecting data from operating systems and network components. It obsoletes NRPE, check_by_ssh, NSClient and check_snmp. It has many benefits, the most important of which are: . * Significant reduction of CPU usage on the Nagios host. * Automatic inventory of items to be checked on hosts. . This package contains the server part of check_mk Package: check-postgres Version: 2.19.0-1 Installed-Size: 568 Maintainer: Peter Eisentraut Architecture: all Depends: perl, postgresql-client Enhances: postgresql Size: 171832 SHA256: 0be0b1604d356558efc7cac69f5a6a597140548b436c758d448072327c0b8c73 SHA1: 424b3647a1f0c6b7b47416cccce585ebe2a9be83 MD5sum: 07cc91788a9b8c9a5bf0b4281202bd73 Description: script for monitoring PostgreSQL databases check_postgres is a Perl script that runs many different tests against one or more Postgres databases. It uses the psql program to gather the information, and outputs the results in one of three formats: Nagios, MRTG, or simple. Homepage: http://bucardo.org/wiki/Check_postgres Tag: admin::monitoring, implemented-in::perl, interface::commandline, protocol::db:psql, role::plugin, role::program, scope::utility, suite::postgresql, use::monitor, works-with::db Section: database Priority: optional Filename: pool/main/c/check-postgres/check-postgres_2.19.0-1_all.deb Package: checkbot Version: 1.80-2 Installed-Size: 132 Maintainer: Ralf Treinen Architecture: all Depends: perl, libhtml-parser-perl (>= 3.10), libmailtools-perl, libnet-perl, liburi-perl (>= 1.10), libwww-perl (>= 5.70), libtime-duration-perl, libcrypt-ssleay-perl Size: 47386 SHA256: 4071a342b78e219635d8d81522eef97865262c84484b53f044764a0da0b8aae1 SHA1: 3bda255e1cfeb88dbd8eabec0c8e7cd151431294 MD5sum: c29e6b298c9936dc065d34e15adc8b91 Description: WWW link verifier Checkbot verifies links within a region of the World Wide Web. It checks all pages within an identified region, and all links within that region. After checking all links within the region, it will also check all links which point outside of the region, and then stop. Homepage: http://sourceforge.net/projects/checkbot/ Tag: implemented-in::perl, interface::commandline, network::client, protocol::http, role::program, use::checking, works-with-format::html, works-with::text Section: web Priority: optional Filename: pool/main/c/checkbot/checkbot_1.80-2_all.deb Package: checkgmail Version: 1.13+svn43-3 Installed-Size: 219 Maintainer: Sandro Tosi Architecture: all Depends: perl (>= 5.8), libgtk2-perl, libgtk2-trayicon-perl, libwww-perl, libcrypt-ssleay-perl, libxml-simple-perl, libcrypt-blowfish-perl, libfreezethaw-perl, libio-compress-perl, libgtk2.0-0 (>= 2.18.0) Recommends: libcrypt-simple-perl Size: 69842 SHA256: b72da396956c75f607af73e7a02a7c2c3d7db6e7dfea21e47f566535882b4910 SHA1: 03b9196d09918e4792bc768925f5860f9e32e4d0 MD5sum: b3006cb8ff4b7561ec15d939d17e2378 Description: alternative Gmail Notifier for Linux via Atom feeds CheckGmail is an alternative Gmail Notifier for Linux and other *nix systems. It is fast, secure and uses minimal bandwidth via the use of Atom feeds. . CheckGmail is a system tray application that checks a Gmail account for new mail. When new mail is present the tray icon changes, an optional animated popup is displayed and a tooltip displays the number and details of new messages. Configuration is GUI-based and the application is designed to be simple, elegant and unobtrusive. Homepage: http://checkgmail.sourceforge.net/ Tag: devel::lang:perl, interface::x11, mail::notification, role::plugin, uitoolkit::gtk Section: mail Priority: optional Filename: pool/main/c/checkgmail/checkgmail_1.13+svn43-3_all.deb Package: checkinstall Version: 1.6.2-4 Architecture: armhf Maintainer: Andreas Noteng Installed-Size: 527 Depends: dpkg-dev, file, libc6 (>= 2.13-28) Recommends: make Suggests: gettext Homepage: http://checkinstall.izto.org Priority: optional Section: admin Filename: pool/main/c/checkinstall/checkinstall_1.6.2-4_armhf.deb Size: 134200 SHA256: 0130128e333dd20cff20a86f4e4b354d7aaf46d592e8209a8c8a4e268b3d73bb SHA1: 6b883c24f7441f98cd3215bc7d92b741ae1139de MD5sum: d548764125a84e7612fe73588d50aef9 Description: installation tracker CheckInstall keeps track of all the files created or modified by your installation script ("make install" "make install_modules", "setup", etc), builds a standard binary package and installs it in your system giving you the ability to uninstall it with your distribution's standard package management utilities. Package: checkmp3 Version: 1.98-10 Architecture: armhf Maintainer: Nicholas Breen Installed-Size: 79 Depends: libc6 (>= 2.4) Homepage: http://sourceforge.net/projects/mp3check Priority: optional Section: sound Filename: pool/main/c/checkmp3/checkmp3_1.98-10_armhf.deb Size: 23094 SHA256: 552c754719a70a7eb3662df0d9d4228976c7ca565891781840a3404404a7378a SHA1: 00f87bb4946c980b9b701b63f4091a02dfde8dfa MD5sum: daeb8c3445406bdcae16519e57b15ffe Description: Identify MP3s that do not follow the MP3 format mp3_check helps to identify in explicit detail MP3s that do not correctly follow the MP3 format. It also looks for invalid frame headers, missing frames, etc., and generates useful statistics. This can be useful when building a high-quality mp3 archive... Package: checkpolicy Version: 2.1.8-2 Architecture: armhf Maintainer: Debian SELinux maintainers Installed-Size: 567 Depends: libc6 (>= 2.13-28) Homepage: http://userspace.selinuxproject.org/ Priority: optional Section: utils Filename: pool/main/c/checkpolicy/checkpolicy_2.1.8-2_armhf.deb Size: 260582 SHA256: ebf2320a7f0cd0dbcd721e8012d6c7db46acb17ea4ea1dba38d2d43000731df3 SHA1: 5af7363120c8aec49e3eae3574a30e131f0270c1 MD5sum: 937cbc62fac4b8c9467bc3bdc48ffbd7 Description: SELinux policy compiler Security-enhanced Linux is a patch of the Linux® kernel and a number of utilities with enhanced security functionality designed to add mandatory access controls to Linux. The Security-enhanced Linux kernel contains new architectural components originally developed to improve the security of the Flask operating system. These architectural components provide general support for the enforcement of many kinds of mandatory access control policies, including those based on the concepts of Type Enforcement®, Role-based Access Control, and Multi-level Security. . This package contains checkpolicy, the SELinux policy compiler. Only required for building policies. It uses libsepol to generate the binary policy. checkpolicy uses the static libsepol since it deals with low level details of the policy that have not been encapsulated/abstracted by a proper shared library interface. Package: checkpw Version: 1.02-1+deb7u1 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 100 Depends: libc6 (>= 2.13-28) Priority: optional Section: mail Filename: pool/main/c/checkpw/checkpw_1.02-1+deb7u1_armhf.deb Size: 17764 SHA256: 91a8564ca6f3eb8fe5c3050e2c84159650ed4b3749880846baf4656670a0076e SHA1: b2d857f067934a03fc46928bc8397fb4ecb30abd MD5sum: 8cc4553ec7f6362a1bd9be154c25c307 Description: checks password which is stored in ~/Maildir/.password checkpw is an implementation of the checkpassword interface that checks a password against a ``.password'' file in the user's Maildir. The password file has read and write permissions to the user only. . The code is in the public domain. . The original checkpassword program and interface specification is written by D. J. Bernstein: http://cr.yp.to/checkpwd.html Package: checksecurity Version: 2.0.14 Installed-Size: 184 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Replaces: cron Depends: cron (>= 3.0pl1-74) | anacron | fcron, debconf (>= 0.5) | debconf-2.0, perl (>= 5.8.0), util-linux (>= 2.15~rc1-1) Recommends: tiger, logcheck, tripwire | integrit | aide | samhain | fcheck Suggests: apt-watch | cron-apt, lockfile-progs Conflicts: lockfile-progs (<< 0.1.7) Size: 26040 SHA256: fd40981361d42e9195908498d264eb9de192a5f04b65ade3be945f34c930ffb7 SHA1: 9bab0aa3a1854632633941a2638f213fc07e55b5 MD5sum: 0c005b470addd17a161efbc9f51a457b Description: basic system security checks Checksecurity does some very basic system security checks, such as looking for changes in which programs have setuid permissions, and that remote filesystems are not allowed to have runnable setuid programs. . Note that these are not to be considered in any way complete, and you should not rely on checksecurity to actually provide any useful information concerning the security or vulnerability of your system. . The lockfile-progs package is only a "Suggests" because of the poor way that dselect handles "Recommends", but I do strongly suggest that you install it; it prevents /etc/cron.daily/standard from running multiple times if something gets jammed. . Checksecurity was previously part of the cron package. Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, security::ids, use::scanning Section: admin Priority: optional Filename: pool/main/c/checksecurity/checksecurity_2.0.14_all.deb Package: checkservice Version: 1.1.0-11 Installed-Size: 272 Maintainer: Paul van Tilburg Architecture: all Depends: perl5, libmailtools-perl Suggests: php3 | php4, mail-transport-agent, libwww-perl, libnet-ldap-perl, libnet-telnet-perl, libdbd-mysql-perl, libdbd-pg-perl, smsclient Size: 27292 SHA256: 2b68e3dbdaa38c2d6ee57ad89878f7e314b5e71517d46931152d57fef294970a SHA1: 9a784d37c76a3c95b585aa6247e4fbd81fa6ddfd MD5sum: 4b6c9208002e80da6efa6c244ba8e73e Description: Checks the status of services on (remote) hosts Checkservice is a simple and fast service checking perl script. It is able to show the results in many ways: by keeping logs, showing it on the PHP status page, output that MRTG can use or warning(plugins) if something is wrong. Checkservice features grouping of hosts, very easy configuration and thorough service checking using checkplugins. Tag: implemented-in::perl, interface::commandline, network::scanner, role::program, scope::utility, use::checking, use::monitor Section: admin Priority: optional Filename: pool/main/c/checkservice/checkservice_1.1.0-11_all.deb Package: checkstyle Version: 5.4-2 Installed-Size: 792 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java5-runtime-headless, libcommons-beanutils-java (>= 1.5), antlr, libcommons-cli-java, java-wrappers (>= 0.1.6), libgoogle-collections-java (>= 1.0~rc2), libcommons-logging-java Suggests: junit4, ant-optional Size: 577124 SHA256: 875f5d742ace09934cb618489a410030a8b1d377d2c2813112b50731c5c571a0 SHA1: 185c949417ac1e68953a8f00a3ce676465392481 MD5sum: b05e3d21759f7100e2043ca552f22100 Description: checks Java source against a coding standard Checkstyle is a development tool to help programmers write Java code that adheres to a coding standard. It automates the process of checking Java code to spare humans of this boring (but important) task. This makes it ideal for projects that want to enforce a coding standard. . Checkstyle is highly configurable and can be made to support almost any coding standard. An example configuration file is supplied supporting the Sun Code Conventions. Homepage: http://checkstyle.sourceforge.net/ Tag: devel::lang:java, devel::testing-qa, interface::commandline, role::program, scope::utility, use::checking, works-with::software:source Section: java Priority: optional Filename: pool/main/c/checkstyle/checkstyle_5.4-2_all.deb Package: checkstyle-doc Source: checkstyle Version: 5.4-2 Installed-Size: 12903 Maintainer: Debian Java Maintainers Architecture: all Suggests: default-jdk-doc Size: 794820 SHA256: e4f7ee4611c7a737822106c435149469481e6c9e9e29f41e12f1dbdfbe7df2e0 SHA1: 909ce8ffb85444dd0bb83a2a47c3d6a59a1a7ff8 MD5sum: ebb7ecd93f664030809ed244c32bae70 Description: Documentation for Checkstyle Checkstyle is a development tool to help programmers write Java code that adheres to a coding standard. It automates the process of checking Java code to spare humans of this boring (but important) task. This makes it ideal for projects that want to enforce a coding standard. . Checkstyle is highly configurable and can be made to support almost any coding standard. An example configuration file is supplied supporting the Sun Code Conventions. . This package contains the Javadoc files and some examples to illustrate how use the Checkstyle tool. Homepage: http://checkstyle.sourceforge.net/ Tag: devel::doc, devel::examples, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/c/checkstyle/checkstyle-doc_5.4-2_all.deb Package: cheese Version: 3.4.2-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 329 Depends: libc6 (>= 2.13-28), libcanberra-gtk3-0 (>= 0.25), libcheese-gtk21 (>= 3.4.0), libcheese3 (>= 3.4.0), libclutter-1.0-0 (>= 1.10.0), libclutter-gtk-1.0-0 (>= 0.91.8), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libgnome-desktop-3-2 (>= 3.2.0), libgstreamer0.10-0 (>= 0.10.32), libgtk-3-0 (>= 3.3.18), cheese-common (= 3.4.2-2), gnome-video-effects Recommends: gvfs, gnome-icon-theme, hicolor-icon-theme, nautilus-sendto, yelp Homepage: http://projects.gnome.org/cheese/ Priority: optional Section: gnome Filename: pool/main/c/cheese/cheese_3.4.2-2_armhf.deb Size: 203500 SHA256: b0ce051e35f09c5b7c3965286ea051c6275ea30770a1d5b342df0b9c8ecb0320 SHA1: d724328b86c907c4e28658aebd4f510a1697c336 MD5sum: 307d4d7c165b1a3b00fffafddc2220e5 Description: tool to take pictures and videos from your webcam A webcam application that supports image and video capture. Makes it easy to take photos and videos of you, your friends, pets or whatever you want. Allows you to apply fancy visual effects, fine-control image settings and has features such as Multi-Burst mode, Countdown timer for photos. Package: cheese-common Source: cheese Version: 3.4.2-2 Installed-Size: 5645 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: cheese (<< 2.30.1-1) Depends: dconf-gsettings-backend | gsettings-backend Breaks: cheese (<< 2.30.1-1) Size: 3103704 SHA256: fa3be0cb9464420a933525c42c4c9812080ded3621b4656f6892b001cef7d64c SHA1: 46e96f288e0c6300d3795c3095c7c8ae8c334f67 MD5sum: b7d7de89e18ac5898806b82d53ad2c5b Description: Common files for the Cheese tool to take pictures and videos A webcam application that supports image and video capture. Makes it easy to take photos and videos of you, your friends, pets or whatever you want. Allows you to apply fancy visual effects, fine-control image settings and has features such as Multi-Burst mode, Countdown timer for photos. . This package contains the common files and translations. Multi-Arch: foreign Homepage: http://projects.gnome.org/cheese/ Tag: made-of::icons, role::app-data Section: gnome Priority: optional Filename: pool/main/c/cheese/cheese-common_3.4.2-2_all.deb Package: chef Version: 10.12.0-3 Installed-Size: 1925 Maintainer: Debian Ruby Extras Maintainers Architecture: all Replaces: libchef-ruby (<< 0.10.10-1~), libchef-ruby1.8 (<< 0.10.10-1~) Provides: libchef-ruby, libchef-ruby1.8 Depends: debconf (>= 0.5) | debconf-2.0, ruby | ruby-interpreter, ruby-mixlib-config (>= 1.1.2), ruby-mixlib-cli (>= 1.1.0), ruby-mixlib-log (>= 1.3.0), ruby-mixlib-authentication (>= 1.1.0), ruby-mixlib-shellout (>= 1.0.0), ohai (>= 0.6.0), ruby-rest-client (>= 1.0.4), ruby-rest-client (<< 1.7.0), ruby-json, ruby-yajl (>= 1.0.0), ruby-treetop (>= 1.4.9), ruby-net-ssh (>= 2.2.2), ruby-net-ssh-multi (>= 1.1), ruby-bunny (>= 0.6.0), ruby-highline (>= 1.6.9), erubis, ruby-moneta, ruby-uuidtools, ucf Conflicts: libchef-ruby (<< 0.10.10-1~), libchef-ruby1.8 (<< 0.10.10-1~) Size: 395344 SHA256: 68ca53ac3da23b9dcd363c7e63edac1dca04879ef50419543f2944fc686a3cec SHA1: 4cb0b1ce0d2a47a23a97fcfed760e149d1d94e8b MD5sum: a3b2ba5efe67c47e923c5c0810cfe6c6 Description: A systems integration framework, built to bring the benefits of configuration management to your entire infrastructure. Chef is a systems integration framework and configuration management library written in Ruby. Chef provides a Ruby library and API that can be used to bring the benefits of configuration management to an entire infrastructure. . Chef can be run as a client (chef-client) to a server, or run as a standalone tool (chef-solo). Configuration recipes are written in a pure Ruby DSL. . This package contains the chef-client, chef-solo and knife binaries as well as the chef library. Homepage: http://wiki.opscode.com/display/chef Ruby-Versions: ruby1.8 ruby1.9.1 Tag: admin::automation, admin::configuring, admin::package-management, admin::user-management, implemented-in::ruby, interface::commandline, network::client, role::program, scope::utility, works-with::software:package Section: ruby Priority: extra Filename: pool/main/c/chef/chef_10.12.0-3_all.deb Package: chef-expander Version: 10.12.0-1 Installed-Size: 157 Maintainer: Debian Ruby Extras Maintainers Architecture: all Replaces: chef-indexer Depends: ruby | ruby-interpreter, ruby-mixlib-log (>= 1.3.0), ruby-amqp, ruby-bunny, ruby-em-http-request, ruby-eventmachine, ruby-fast-xs, ruby-highline, ruby-uuidtools, ruby-yajl, chef-solr (>= 10.12.0) Conflicts: chef-indexer Size: 20306 SHA256: d82dbab45aac437c46013fa0499aa5876a34c4e224a6248e225611753c41ad19 SHA1: 3f7bab6c14909841faa027308eb97d1caf4d52d5 MD5sum: b14cfc1521020534264082887eacaec0 Description: systems integration framework - index bridge Chef is a systems integration framework and configuration management library written in Ruby. Chef provides a Ruby library and API that can be used to bring the benefits of configuration management to an entire infrastructure. . Chef can be run as a client (chef-client) to a server, or run as a standalone tool (chef-solo). Configuration recipes are written in a pure Ruby DSL. . This package contains chef-expander, which acts as a bridge between the Chef API server and the Chef Solr service. Homepage: http://wiki.opscode.com/display/chef Ruby-Versions: ruby1.8 ruby1.9.1 Section: ruby Priority: optional Filename: pool/main/c/chef-expander/chef-expander_10.12.0-1_all.deb Package: chef-server-api Version: 10.12.0-1 Installed-Size: 398 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby | ruby-interpreter, merb-core, ruby-merb-haml, ruby-merb-assets, ruby-merb-param-protection, ruby-merb-helpers, ruby-mixlib-authentication (>= 1.1.3), rabbitmq-server (>= 1.6), couchdb (>= 0.9.1), thin, ruby-json (>= 1.4.6), chef (>= 10.12.0), chef-solr (>= 10.12.0), adduser, ucf, ruby-dep-selector, ruby-treetop, ruby-uuidtools Size: 47558 SHA256: 377552dc2a5c07f33fc452ec5a90f03abe37e394b79649f7f467617e7982d4d3 SHA1: 50bd76168eac2be620d95dc874d3724f3efcb41d MD5sum: 6f2f6050a6e834c7b123fdab3ae19805 Description: Chef configuration management system - server API Chef is a systems integration framework and configuration management system written in Ruby. Chef provides a Ruby library and API that can be used to bring the benefits of configuration management to an entire infrastructure. . The Chef Server is a Merb application that provides centralized storage and distribution for recipes stored in "cookbooks," management and authentication of client nodes and node data, and search indexes for that data. . This package provides the API that clients interact with. Homepage: http://wiki.opscode.com/display/chef Ruby-Versions: ruby1.8 ruby1.9.1 Section: ruby Priority: optional Filename: pool/main/c/chef-server-api/chef-server-api_10.12.0-1_all.deb Package: chef-solr Version: 10.12.0+dfsg-2 Installed-Size: 312 Maintainer: Debian Ruby Extras Maintainers Architecture: all Replaces: chef-indexer Depends: debconf (>= 0.5) | debconf-2.0, ruby-interpreter, rabbitmq-server (>= 1.6), default-jre-headless | java6-runtime-headless, ruby-json (>= 1.4.6), chef (>= 0.10.10), solr-jetty (>= 1.4.0), ruby-libxml, adduser, ucf Conflicts: chef-indexer Size: 36146 SHA256: a0da4f0d5ce56236fc21a7137bb50c09c102b2788696e4e31592d61f4da71aa3 SHA1: e125d3edafa280f618259d11c21e74ff7f2ea54f MD5sum: 4d95b3ae940e16f43f4329c772d048eb Description: manager for search indexes of Chef node attributes using Solr The chef indexer listens to a message queue via AMQP for changes to search indexes. It then either creates or deletes entries in the index according to the information it is passed. . This package provides the chef-solr search engine, which runs as a solr-jetty server, along with chef-solr-indexer, which talks to the AMQP message queue (by default, rabbitmq-server). Homepage: http://wiki.opscode.com/display/chef Ruby-Versions: ruby1.8 ruby1.9.1 Section: ruby Priority: optional Filename: pool/main/c/chef-solr/chef-solr_10.12.0+dfsg-2_all.deb Package: chemeq Version: 2.9-1 Architecture: armhf Maintainer: Georges Khaznadar Installed-Size: 125 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: texlive, wims Priority: optional Section: tex Filename: pool/main/c/chemeq/chemeq_2.9-1_armhf.deb Size: 45832 SHA256: 7473a9774bd716b47108d092f840f2acc4146ecd17a23b495e5d5bfa7ecf26c0 SHA1: 514b4b615273d2aa871c033ef8450da9f1190e6b MD5sum: 2399bc51c699c3912d4c095ce47e9734 Description: Parser for chemical formula and equilibria chemeq is a basic standalone filter written in C language, flex and bison. It inputs strings like: 2H2 + O2 ---> 2 H2O then it outputs LaTeX code and messages about the equilibrium of a chemical reaction. . example:~/src$ echo "2H2 + O2 ---> 2 H2O" | chemeq -lc 2\,H_{2}\,+\,O_{2}\,\rightarrow\,2\,H_{2}O OK Package: chemical-mime-data Version: 0.1.94-6 Installed-Size: 374 Maintainer: Debichem Team Architecture: all Replaces: chemicalmime Provides: chemicalmime Depends: shared-mime-info Suggests: gnome-mime-data Conflicts: chemicalmime Size: 60504 SHA256: 4cfa5ac2013827bd6037a9dc899e92a9233b95fc58772b8ce0e0982ad52f9352 SHA1: d583e9db0ae69bb4716c2b969571fedebe784887 MD5sum: 92591f293e5cdc7f05a4ee208969106a Description: chemical MIME and file type support for desktops Chemical MIME type integration for KDE, GNOME and possibly ROX desktops, based on Henry Rzepa's list at http://www.ch.ic.ac.uk/chemime/. Support is granted for KDE 3.x, GNOME 2.x and freedesktop.org systems. Homepage: http://chemical-mime.sourceforge.net Tag: field::biology, field::chemistry, role::app-data, use::organizing Section: misc Priority: optional Filename: pool/main/c/chemical-mime-data/chemical-mime-data_0.1.94-6_all.deb Package: chemical-structures Version: 2.2.dfsg.0-8 Installed-Size: 32373 Maintainer: Georges Khaznadar Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, debconf, python, iceweasel | iceape | firefox | mozilla, apache2, openbabel Recommends: chemical-mime-data Suggests: jmol, jmol-applet Size: 2176654 SHA256: 4180f8827e3667189c1d2908c7ff1fdc40e20b052ccdfdb5e58e53dccfd57198 SHA1: 1cd65cf442b526a64dd908db99ae6d3e8275b267 MD5sum: 8a443ed161690d759bb45a2c21fe529b Description: set of molecular structures in open formats hundreds of molecular structures, in the following classes: alcohols, aldehydes, alkanes, alkenes, amides, amines, amino_acids, aromatics, carboxylic_acids, esters, ethers, fatty_acids, haloalkanes, ketones, nitriles,nucleobases, water. Tag: field::biology, field::chemistry, interface::web, made-of::xml, role::data, science::visualisation, scope::utility, use::comparing, use::converting, use::viewing Section: science Priority: optional Filename: pool/main/c/chemical-structures/chemical-structures_2.2.dfsg.0-8_all.deb Package: chemtool Version: 1.6.13-1 Architecture: armhf Maintainer: Debichem Team Installed-Size: 962 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, transfig Recommends: openbabel Suggests: xfig, fig2sxd Homepage: http://ruby.chemie.uni-freiburg.de/~martin/chemtool/ Priority: optional Section: science Filename: pool/main/c/chemtool/chemtool_1.6.13-1_armhf.deb Size: 298100 SHA256: cfe52b09701b840e5407e77eaa6e0099c1267d3d5a37f2c0e219002babb7085d SHA1: d1f2719d41cb63e2781cf3be72d3cb560370fa5f MD5sum: 6370d8c394ecb49e223267c34992102f Description: chemical structures drawing program Chemtool is a GTK+ based 2D chemical structure editor for X11. It supports many bond styles, most forms of text needed for chemical typesetting and splines/arcs/curved arrows. . Drawings can be exported to MOL and PDB format, SVG or XFig format for further annotation, as a PiCTeX drawing, as a bitmap or as Postscript files (several of these through XFig's companion program transfig). . The package also contains a helper program, cht, to calculate sum formula and (exact) molecular weight from a chemtool drawing file. Cht can either be called directly by Chemtool or on the console. Package: cherrytree Version: 0.25.4-1 Installed-Size: 1586 Maintainer: Vincent Cheng Architecture: all Depends: p7zip-full, python-gtk2 (>= 2.16), python-gtksourceview2, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 433138 SHA256: 17192b591882f342eb489d29838cedac9eea63b573a7ba2477bb7218a3eee1f5 SHA1: ffc934709dc35394464713ad2e13f4791091127e MD5sum: fd3de5bb1fe2e377341021abeb0aa609 Description: hierarchical note taking application CherryTree is a hierarchical note taking application, featuring rich text, syntax highlighting, images handling, hyperlinks, import/export with support for multiple formats, support for multiple languages, and more. Homepage: http://www.giuspen.com/cherrytree/ Tag: implemented-in::python, interface::x11, role::program, uitoolkit::gtk, use::organizing, x11::application Section: editors Priority: optional Filename: pool/main/c/cherrytree/cherrytree_0.25.4-1_all.deb Package: chewmail Version: 1.2-1 Installed-Size: 68 Maintainer: Eric Dorland Architecture: all Depends: perl, libmail-box-perl Size: 8924 SHA256: 92a1e735d4f021e444ed1e646f298d8b6e8e8a9eb7af59f5985706ca97029d11 SHA1: 1e5aa3dce6362d2b6d2799dccf9c52820a52c345 MD5sum: de39719db09b67481623686c50552664 Description: mail archiver for various mailbox formats chewmail is a Perl-based mail archiver. It uses Mail::Box so supports any mailbox format it does. It can filter messages into mbox's based on the message's date and other criteria. Tag: implemented-in::perl, role::program, use::storing, works-with::mail Section: mail Priority: optional Filename: pool/main/c/chewmail/chewmail_1.2-1_all.deb Package: chiark-backup Source: chiark-utils Version: 4.2.0 Installed-Size: 152 Maintainer: Ian Jackson Architecture: all Depends: chiark-rwbuffer, chiark-utils-bin Suggests: chiark-utils-bin (>= 4.1.14) Size: 36240 SHA256: fc04d2c9edf2d9bcf1bc6de9e872e9156c6a4ac73fabbced6a725c3cab0c44ea SHA1: d3153d4d5abe70495187199c90b9ecadfe91f9c2 MD5sum: 07aa904d34ba3eb71f3031c6be6ca732 Description: backup system for small systems and networks These are the backup scripts used by chiark.greenend.org.uk and other systems belonging to the Sinister Greenend Organisation. Features: * Suitable for single systems and small networks. * Reasonably simple; they do what you tell it to. * Hard failures when individual systems fail, to encourage fixing ! If you have a larger site you may wish to look at Amanda. Tag: admin::backup, interface::commandline, role::program, scope::utility Section: utils Priority: extra Filename: pool/main/c/chiark-utils/chiark-backup_4.2.0_all.deb Package: chiark-really Source: chiark-utils Version: 4.2.0 Architecture: armhf Maintainer: Ian Jackson Installed-Size: 58 Depends: libc6 (>= 2.13-28) Priority: extra Section: admin Filename: pool/main/c/chiark-utils/chiark-really_4.2.0_armhf.deb Size: 16882 SHA256: f448467b8fdb0bf37191bd84dd9c28439536c5e58a6d3cc651a4f8ce4c7148f2 SHA1: f112c3e47233d8e08cdb386293e602973ca56492 MD5sum: de04e55ae7bfd1bf7e65843aceaa3d57 Description: really - a tool for gaining privilege (simple, realistic sudo) really is a program that allows certain users to become whatever user they like on request. It is a bit like sudo in that respect. However, really is simpler than sudo, and doesn't give the system administrator any false security promises. So really is less of a general security risk to the system. . Unlike sudo it does not pretend that the called account can be any more secure than the calling account. so there is never a need for a password. If you wanted to restrict which commands and functions the called user can perform, use userv, not really or sudo. . Also unlike sudo, really only works if the calling user is supposed to be equivalent to root. But, really can also be used by root-equivalent users to become any user, not just root; in this way it can be a replacement for certain uses of su. Package: chiark-rwbuffer Source: chiark-utils Version: 4.2.0 Architecture: armhf Maintainer: Ian Jackson Installed-Size: 59 Depends: libc6 (>= 2.13-28) Priority: extra Section: utils Filename: pool/main/c/chiark-utils/chiark-rwbuffer_4.2.0_armhf.deb Size: 15342 SHA256: 85f09fa825ed013229c024d0e8834e92f0f82b50a893b69195f9835b5cc53d86 SHA1: ca51d42d570a780b7ebbef64fed64ae83f3e46be MD5sum: bad3ccfb30ea784de1fd9290554d1528 Description: readbuffer/writebuffer: prevents tape drive seesawing, etc. readbuffer and writebuffer: programs for reading input from devices, and writing output to, which don't like constant stopping and starting, such as tape drives and audio playback devices. Package: chiark-scripts Source: chiark-utils Version: 4.2.0 Installed-Size: 181 Maintainer: Ian Jackson Architecture: all Replaces: chiark-named-conf, sync-accounts Suggests: tcl8.4 Conflicts: chiark-named-conf, sync-accounts Size: 62226 SHA256: a83d2c5ffc4d1d8e80642fd9313f823e7bdaf9c6bbb86a0d59661a3e7db94b7a SHA1: fbe12a266a05c5a8dfc71c5a5fde860a18fab34e MD5sum: d9dd83f0581aa7f51f430fed112510af Description: chiark system administration scripts This package contains a number of small administration scripts used by chiark.greenend.org.uk and other systems belonging to the Sinister Greenend Organisation. Featuring: . chiark-named-conf: a tool for managing nameserver configurations and checking for suspected DNS problems. Its main functions are to check that delegations are appropriate and working, that secondary zones are slaved from the right places, and to generate a configuration for BIND, from its own input file. . sync-accounts: a simple but flexible account info synchroniser. sync-accounts is a tool for copying un*x account data from remote systems and installing it locally. It is flexible and reasonably straightforward, but lacks integration with other distributed databases such as NIS. . cvs-repomove and cvs-adjustroot: tools for moving CVS repositories and adjusting working trees. . palm-datebook-reminders: a program which emails mails you reminders about the appointments in your Palm's Datebook. . cvsweb-list: cgi program to list ucgi (userv-utils) cvsweb repos . expire-iso8601: keep or expire backup trees named after their dates . gnucap2genspic, ngspice2genspic, genspic2gnuplot: convert gnucap files and ngspice output files to genspic and genspic files to gnuplot input so they can be plotted. . hexterm: connects to serial port and allows the user interact in ASCII and hex. Ie, a hex "terminal" program which lets you speak a serial port protocol directly. (Needs tcl8.4 to be installed.) . random-word, remountresizereiserfs, summarise-mailbox-preserving-privacy Tag: admin::user-management, interface::commandline, protocol::dns, role::program, scope::utility, use::checking, use::synchronizing Section: admin Priority: extra Filename: pool/main/c/chiark-utils/chiark-scripts_4.2.0_all.deb Package: chiark-utils-bin Source: chiark-utils Version: 4.2.0 Architecture: armhf Maintainer: Ian Jackson Installed-Size: 116 Depends: libc6 (>= 2.13-28) Recommends: libgmp10, libnettle4 Suggests: libx11-6 Priority: extra Section: utils Filename: pool/main/c/chiark-utils/chiark-utils-bin_4.2.0_armhf.deb Size: 41834 SHA256: fd75b37d716c956f1384e476ab8a32010b4aa89fcbbdcfa89ee65777ca3b71c2 SHA1: 5efdbaba390182400d3821a9212c54c1b998d069 MD5sum: 5228d0173d36718205aad3ecb25f45df Description: chiark system administration utilities This package contains a number of small administration scripts used by chiark.greenend.org.uk and other systems belonging to the Sinister Greenend Organisation. Currently featuring only: . with-lock-ex: a simple tool for acquiring a lockfile before running another program or script. . summer: a tool for reporting complete details about a filesystem tree in a parseable format, including checksums. . xbatmon-simple: a very simple X client for displaying battery charge status. . watershed: a utility for saving on superfluous executions of an idempotent command. (This is the same utility as shipped separately in Ubuntu's udev, but with slightly different defaults and a different install location.) . rcopy-repeatedly: a utility for repeatedly copying a file from one host to another, to keep a copy constantly up to date. . summer and watershed require the installation of the Recommended crypto libraries; xbatmon-simple needs the Suggested X libraries. Package: chicken-bin Source: chicken Version: 4.7.0-1+deb7u2 Architecture: armhf Maintainer: Davide Puricelli (evo) Installed-Size: 3997 Depends: libc6 (>= 2.13-28), libchicken6 (>= 4.7.0), libchicken-dev (= 4.7.0-1+deb7u2) Conflicts: chicken, chicken-dev Replaces: chicken, chicken-dev Homepage: http://www.call-cc.org Priority: optional Section: lisp Filename: pool/main/c/chicken/chicken-bin_4.7.0-1+deb7u2_armhf.deb Size: 1274786 SHA256: 8697f60d9612281b4c3bb6e9022b20bd4fb6738a73ca62d93f3e41037e530af5 SHA1: 682cbf8fe78d65d75368f38fa26452a38ad7b6f0 MD5sum: 073440bf53992938ab256812483edfc2 Description: Practical and portable Scheme system - compiler CHICKEN is a Scheme compiler which compiles a subset of R5RS into C. It uses the ideas presented in Baker's paper "Cheney on the MTA", and has a small core and is easily extendable. . This package contains the compiler. Package: childsplay Version: 1.6-1 Installed-Size: 14419 Maintainer: Sergio Talens-Oliag Architecture: all Replaces: childsplay-plugins, childsplay-plugins-lfc Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-gtk2, python-numpy, python-sqlalchemy, python-pygame (>= 1.7), ttf-dejavu Recommends: childsplay-alphabet-sounds Conflicts: childsplay-alphabet-sounds-ca (<< 0.8.5-1), childsplay-alphabet-sounds-de (<< 0.8.5-1), childsplay-alphabet-sounds-es (<< 0.8.5-1), childsplay-alphabet-sounds-fr (<< 0.8.5-1), childsplay-alphabet-sounds-it (<< 0.8.5-1), childsplay-alphabet-sounds-nl (<< 0.8.5-1), childsplay-alphabet-sounds-pt (<< 0.8.3-1), childsplay-alphabet-sounds-ru (<< 0.8.5-1), childsplay-alphabet-sounds-sv (<< 0.8.3-1), childsplay-plugins, childsplay-plugins-lfc Size: 11926710 SHA256: 1d04977f599d0069403efcd6400d634301d37847c352596817178751ad477204 SHA1: 027b0d1ec7b2cd1a939230230cd0a1b54482c84c MD5sum: 53cd06956aceba5585723dd0efc23a8f Description: Suite of educational games for young children Childsplay provides memory activities that are fun to play and at the same time learn sounds, images, letters and numbers; activities that train the child to use the mouse and keyboard and pure game activities like puzzles, pong, pacman and billiards. Homepage: http://www.schoolsplay.org/ Tag: implemented-in::python, interface::x11, role::program, uitoolkit::sdl, use::learning Section: games Priority: optional Filename: pool/main/c/childsplay/childsplay_1.6-1_all.deb Package: childsplay-alphabet-sounds-bg Version: 0.9.1-2 Installed-Size: 472 Maintainer: Sergio Talens-Oliag Architecture: all Provides: childsplay-alphabet-sounds Depends: childsplay (>= 1.1-1) Size: 231200 SHA256: 3e5cd9a36d3537196a8514dd1e3a9a3bb9910bb7f7fb99d43a90e7587b27fe09 SHA1: 11f9e27957f86cc1a1aaf1809753df52b91c1657 MD5sum: 5ea3b5c0335a1a2d5778ec23f9f009d5 Description: Bulgarian sound files for childsplay Localized sound files for childsplay Homepage: http://www.schoolsplay.org/ Tag: culture::bulgarian, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/c/childsplay-alphabet-sounds-bg/childsplay-alphabet-sounds-bg_0.9.1-2_all.deb Package: childsplay-alphabet-sounds-ca Version: 0.9.1-2 Installed-Size: 1560 Maintainer: Sergio Talens-Oliag Architecture: all Replaces: childsplay-lfc-names-ca Provides: childsplay-alphabet-sounds Depends: childsplay (>= 1.1-1) Conflicts: childsplay-lfc-names-ca Size: 924010 SHA256: 2ec00f013cc4ed00e563c3a79892cf5ea2781ef957fbdca80877a04c099e4820 SHA1: 46dcbff2d4ea813d23a89fa0fdc35b1b0d9a1752 MD5sum: 9691e4dd468fa8a89828bda8bc741f86 Description: Catalan sound files for childsplay Localized sound files for childsplay Homepage: http://www.schoolsplay.org/ Tag: culture::catalan, made-of::audio, role::app-data, use::gameplaying Section: localization Priority: optional Filename: pool/main/c/childsplay-alphabet-sounds-ca/childsplay-alphabet-sounds-ca_0.9.1-2_all.deb Package: childsplay-alphabet-sounds-de Version: 0.9.1-2 Installed-Size: 1584 Maintainer: Sergio Talens-Oliag Architecture: all Provides: childsplay-alphabet-sounds Depends: childsplay (>= 1.1-1) Size: 974876 SHA256: 960a8d390bd444eda7d323c5854e0463c6359631bb3acd870bd93fd3326deb1a SHA1: 7850a06441f03b05004d97fd583800ce5efd10d9 MD5sum: 0a8ed5c1bad75a2b9251688c3195ea80 Description: German sound files for childsplay Localized sound files for childsplay Homepage: http://www.schoolsplay.org/ Tag: culture::german, made-of::audio, role::app-data, use::gameplaying Section: localization Priority: optional Filename: pool/main/c/childsplay-alphabet-sounds-de/childsplay-alphabet-sounds-de_0.9.1-2_all.deb Package: childsplay-alphabet-sounds-el Version: 0.9-2 Installed-Size: 1176 Maintainer: Sergio Talens-Oliag Architecture: all Provides: childsplay-alphabet-sounds Depends: childsplay (>= 1.1-1) Size: 902786 SHA256: 0af17d328a1e14d3fb257a12bdb146188779a69415626962aaf871ecab4c2a6e SHA1: 36866e169882b5b05e5a0d2a3f0c71a70a18aedc MD5sum: 23f7bd583ad6118ee3786b8eb54764f0 Description: Greek sound files for childsplay Localized sound files for childsplay Homepage: http://www.schoolsplay.org/ Tag: culture::greek, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/c/childsplay-alphabet-sounds-el/childsplay-alphabet-sounds-el_0.9-2_all.deb Package: childsplay-alphabet-sounds-en-gb Version: 0.9.1-2 Installed-Size: 464 Maintainer: Sergio Talens-Oliag Architecture: all Provides: childsplay-alphabet-sounds Depends: childsplay (>= 1.1-1) Size: 238158 SHA256: b46afe9717613906471c5cd8fba4e8f535920002bfbaca167850c44f696707c9 SHA1: c5b6321771cc31f6ab51afb212fc90301a7d7961 MD5sum: 34491877e152f54afa3bdc22b210b3b4 Description: British sound files for childsplay Localized sound files for childsplay Homepage: http://www.schoolsplay.org/ Tag: culture::british, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/c/childsplay-alphabet-sounds-en-gb/childsplay-alphabet-sounds-en-gb_0.9.1-2_all.deb Package: childsplay-alphabet-sounds-es Version: 0.9.1-2 Installed-Size: 1508 Maintainer: Sergio Talens-Oliag Architecture: all Provides: childsplay-alphabet-sounds Depends: childsplay (>= 1.1-1) Size: 816996 SHA256: 7b51c165d8be1e995a90245702415ada80ae93427e0d13db54cbcc1b4cc34324 SHA1: 8a490f0eb1935fff67adf06915921c9b22cea40d MD5sum: b1c1f896104b719ed728ecee283b8457 Description: Spanish sound files for childsplay Localized sound files for childsplay Homepage: http://www.schoolsplay.org/ Tag: culture::spanish, made-of::audio, role::app-data, use::gameplaying Section: localization Priority: optional Filename: pool/main/c/childsplay-alphabet-sounds-es/childsplay-alphabet-sounds-es_0.9.1-2_all.deb Package: childsplay-alphabet-sounds-fr Version: 0.9.1-2 Installed-Size: 1872 Maintainer: Sergio Talens-Oliag Architecture: all Replaces: childsplay-lfc-names-fr Provides: childsplay-alphabet-sounds Depends: childsplay (>= 1.1-1) Conflicts: childsplay-lfc-names-fr Size: 1262980 SHA256: ac768e998f9d19149eb9ab033e196be661d2b0bed228a2c8c7ebedefc136bf44 SHA1: a4e5163ebf7e335eb9d6cb5d6a4cdcb89935e7e4 MD5sum: dccd454f490ac8b15b59856391c6f819 Description: French sound files for childsplay Localized sound files for childsplay Homepage: http://www.schoolsplay.org/ Tag: culture::french, made-of::audio, role::app-data, use::gameplaying Section: localization Priority: optional Filename: pool/main/c/childsplay-alphabet-sounds-fr/childsplay-alphabet-sounds-fr_0.9.1-2_all.deb Package: childsplay-alphabet-sounds-it Version: 0.9.1-2 Installed-Size: 1732 Maintainer: Sergio Talens-Oliag Architecture: all Provides: childsplay-alphabet-sounds Depends: childsplay (>= 1.1-1) Size: 1057598 SHA256: acd5768a65675426b42c5669b0afc33f28ffe773800a04be4328c98c9e9034a8 SHA1: d9d2b4655817e8599b0289ec0d6426e360be6fe8 MD5sum: e237986247b2ae18638c256ba37f34a8 Description: Italian sound files for childsplay Localized sound files for childsplay Homepage: http://www.schoolsplay.org/ Tag: culture::italian, made-of::audio, role::app-data, use::gameplaying Section: localization Priority: optional Filename: pool/main/c/childsplay-alphabet-sounds-it/childsplay-alphabet-sounds-it_0.9.1-2_all.deb Package: childsplay-alphabet-sounds-nb Version: 0.9.1-1 Installed-Size: 544 Maintainer: Sergio Talens-Oliag Architecture: all Provides: childsplay-alphabet-sounds Depends: childsplay (>= 1.1-1) Size: 267238 SHA256: bc514d3c6acade2a813cb1aeef831388f7926d6e570092390d8346fed8a8379d SHA1: c3d060ac5ad3ee860ae8c5c8d7e2506246380ab6 MD5sum: 69997bfc7c3fd094714f3f6a7ffc95b5 Description: Bokmål sound files for childsplay Localized sound files for childsplay Homepage: http://www.schoolsplay.org/ Tag: culture::norwegian, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/c/childsplay-alphabet-sounds-nb/childsplay-alphabet-sounds-nb_0.9.1-1_all.deb Package: childsplay-alphabet-sounds-nl Version: 0.9.1-1 Installed-Size: 1484 Maintainer: Sergio Talens-Oliag Architecture: all Replaces: childsplay-lfc-names-nl Provides: childsplay-alphabet-sounds Depends: childsplay (>= 1.1-1) Conflicts: childsplay-lfc-names-nl Size: 812028 SHA256: 66680e4dd7347d657a24a5737b70ad8059b15f9eb2c64894695c5b39f22b7df3 SHA1: 3715007d381ba5382107b1e37f206e111481017b MD5sum: ceca18a3009adcd3dda357e842b84034 Description: Dutch sound files for childsplay Localized sound files for childsplay Homepage: http://www.schoolsplay.org/ Tag: culture::dutch, made-of::audio, role::app-data, use::gameplaying Section: localization Priority: optional Filename: pool/main/c/childsplay-alphabet-sounds-nl/childsplay-alphabet-sounds-nl_0.9.1-1_all.deb Package: childsplay-alphabet-sounds-pt Version: 0.9.1-1 Installed-Size: 480 Maintainer: Sergio Talens-Oliag Architecture: all Provides: childsplay-alphabet-sounds Depends: childsplay (>= 1.1-1) Size: 218908 SHA256: 9c88e460ff56dbe70cfcff0cb3e6ca3881a135a1a357bd43cea2e016a1e60e7c SHA1: 58e0bf250837da2adf34616f495dc4d795e13395 MD5sum: 707cc8d04030552741ee17f2f89c2742 Description: Portugese sound files for childsplay Localized sound files for childsplay Homepage: http://www.schoolsplay.org/ Tag: culture::portuguese, made-of::audio, role::app-data, use::gameplaying Section: localization Priority: optional Filename: pool/main/c/childsplay-alphabet-sounds-pt/childsplay-alphabet-sounds-pt_0.9.1-1_all.deb Package: childsplay-alphabet-sounds-ro Version: 0.9.1-1 Installed-Size: 764 Maintainer: Sergio Talens-Oliag Architecture: all Provides: childsplay-alphabet-sounds Depends: childsplay (>= 1.1-1) Size: 439034 SHA256: 3e53423494ff2182c721eaf4193dc750a5a1198ce615987636aaa8b76c32e859 SHA1: 5465a704068ad81c5775f5649ae07c389273e7ac MD5sum: 4469afef118889b3ab1c29827da4bacc Description: Romanian sound files for childsplay Localized sound files for childsplay Homepage: http://www.schoolsplay.org/ Tag: culture::romanian, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/c/childsplay-alphabet-sounds-ro/childsplay-alphabet-sounds-ro_0.9.1-1_all.deb Package: childsplay-alphabet-sounds-ru Version: 0.9.1-1 Installed-Size: 2060 Maintainer: Sergio Talens-Oliag Architecture: all Provides: childsplay-alphabet-sounds Depends: childsplay (>= 1.1-1) Size: 1165074 SHA256: 3d78bd7ece368b617eae9f2dc0b22b570342f002dd5400c96c2b3a6b4da903ad SHA1: 83b3b5da39cd8fda83c5537168945f64938f7525 MD5sum: 1b4f420da488a091df9e9c5a3f943547 Description: Russian sound files for childsplay Localized sound files for childsplay Homepage: http://www.schoolsplay.org/ Tag: culture::russian, made-of::audio, role::app-data, use::gameplaying Section: localization Priority: optional Filename: pool/main/c/childsplay-alphabet-sounds-ru/childsplay-alphabet-sounds-ru_0.9.1-1_all.deb Package: childsplay-alphabet-sounds-sl Version: 0.9.1-1 Installed-Size: 588 Maintainer: Sergio Talens-Oliag Architecture: all Provides: childsplay-alphabet-sounds Depends: childsplay (>= 1.1-1) Size: 311766 SHA256: 78f3954ca7998ca8152895c6cc261a59167fe301262d6b332fdcd2e98ef44f80 SHA1: 729c609828f03b78ae9ce8950115cfd29ae2f25f MD5sum: e9977e608b72dcb339dc2f8fc4f5e649 Description: Slovenian sound files for childsplay Localized sound files for childsplay Homepage: http://www.schoolsplay.org/ Tag: made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/c/childsplay-alphabet-sounds-sl/childsplay-alphabet-sounds-sl_0.9.1-1_all.deb Package: childsplay-alphabet-sounds-sv Version: 0.9.2-1 Installed-Size: 640 Maintainer: Sergio Talens-Oliag Architecture: all Provides: childsplay-alphabet-sounds Depends: childsplay (>= 1.1-1) Size: 350472 SHA256: 3cf51d96cae71f1efec28d3bca4ee7d4b4f0fe8c51516834e04d9f30ab00dbb1 SHA1: 8ba3928e9623a41df14b40519393379556ad1f66 MD5sum: f70ddcace0b9f7a6724aab806304dade Description: Swedish sound files for childsplay Localized sound files for childsplay Homepage: http://www.schoolsplay.org/ Tag: culture::swedish, made-of::audio, role::app-data, use::gameplaying Section: localization Priority: optional Filename: pool/main/c/childsplay-alphabet-sounds-sv/childsplay-alphabet-sounds-sv_0.9.2-1_all.deb Package: chimera2 Version: 2.0a19-7 Architecture: armhf Maintainer: Mark Baker Installed-Size: 239 Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6, zlib1g (>= 1:1.1.4) Provides: www-browser Priority: optional Section: web Filename: pool/main/c/chimera2/chimera2_2.0a19-7_armhf.deb Size: 108034 SHA256: 53d10402cfd89700d1d334d379f448a1c76a9ea807e3200d2076cb3ee8a85405 SHA1: 195524db5278850695f3d63d9ee1012c31122852 MD5sum: 1f0ee863e9c33a6a763a6cd3d27ce5d8 Description: Web browser for X Simple, fast, free web browser. . This is an alpha-test version; some of the rendering routines are buggy. Package: chipmunk-dev Source: chipmunk Version: 5.3.4-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 564 Depends: libchipmunk0d1 (= 5.3.4-1), freeglut3, libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1 Homepage: https://code.google.com/p/chipmunk-physics/ Priority: optional Section: libdevel Filename: pool/main/c/chipmunk/chipmunk-dev_5.3.4-1_armhf.deb Size: 140866 SHA256: d0d9d5291fa0e7f8c6ac0a16edfb86bd25196dc1842fc7b028a66dffc55a02b8 SHA1: 002074254fdd4c450f8446e0abc9432015e3f4ca MD5sum: b77c38983bbbc4535911823d4a30a9ae Description: Fast and lightweight 2D rigid body physics library - devel Chipmunk is a simple, lightweight, fast and portable 2D rigid body physics library written in C. It's licensed under the unrestrictive, OSI approved MIT license. Its aim is to give 2D developers access the same quality of physics you find in newer 3D games. . This package contains the development libraries and headers. Package: chipw Version: 2.0.6-1.1 Architecture: armhf Maintainer: Luke Faraone Installed-Size: 2211 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1) Homepage: http://www.microstupidity.com/chipw/ Priority: optional Section: games Filename: pool/main/c/chipw/chipw_2.0.6-1.1_armhf.deb Size: 543710 SHA256: 7db3bee6e5bf5b7c9c12d5bee17b4666f425d470b487e2a77d4782d0c855870d SHA1: 7739b35b09c85b42d8b2f7ac4d079b92eba6c29b MD5sum: a7e55801a5da697980bd3a1b90974f24 Description: custom level editor for TileWorld / Chip's Challenge™ Tile World is an emulation of the game "Chip's Challenge". "Chip's Challenge" was originally written for the Atari Lynx by Chuck Sommerville, and was later ported to MS Windows by Microsoft. . This package contains a level editor for Tile World, and supports all tiles used in the game. Levels created using this editor can be played in both Tile World and Chip's Challenge. Package: chirashi Source: sushi Version: 1.4.0+dfsg-1 Installed-Size: 45 Maintainer: Devid Antonio Filoni Architecture: all Replaces: sushi-plugins (<< 1.4.0) Depends: python, python-support (>= 0.90.0) Breaks: sushi-plugins (<< 1.4.0) Size: 6100 SHA256: a26ad250792e0f529def4789d1d1d9fc78a59965a204e904099673261ecb92d0 SHA1: 18e7e900ea599e77bdd87763668cf14a929e3902 MD5sum: ff63f89ae6bf31bd25a6f8b401f6c3b7 Description: D-Bus-based IRC suite (clients plugins) The sushi IRC suite consists of a central daemon and several clients, which communicate via DBus. DBus methods and signals are provided by the daemon to abstract the IRC protocol. Clients can use these methods and signals to easily interact with IRC. . chirashi provides plugins for tekka and nigiri. All plugins are written in Python and use the sushi client plugin API. Homepage: http://redmine.ikkoku.de/projects/sushi/wiki Section: net Priority: extra Filename: pool/main/s/sushi/chirashi_1.4.0+dfsg-1_all.deb Package: chirp Version: 0.1.12-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 690 Depends: python, python-support (>= 0.90.0), python-libxslt1, python-gtk2, python-libxml2, python-serial Homepage: http://chirp.danplanet.com/ Priority: optional Section: hamradio Filename: pool/main/c/chirp/chirp_0.1.12-1_armhf.deb Size: 143898 SHA256: a36110950cf2e990dd38e7cdd7998b5740375187fcc3a4a48cd42b84fc22ee64 SHA1: 2bac0a395e1c03be846a14ab921ee2d45242daf0 MD5sum: 2ad81ca7a7b474d9636d0a55e97084fd Description: Configuration tool for amateur radios Chirp is a tool for saving, restoring, and managing memory and preset data in amateur radios Package: chise-db Source: chise-base Version: 0.3.0-2 Installed-Size: 39348 Maintainer: NIIBE Yutaka Architecture: all Size: 12640384 SHA256: 596e1538f23e17ba4a57e066325882f094c10ee839a9683324c2c0108d8f1e3b SHA1: 23d0ae42fe940dce1c008eb40b36af3e3a580f16 MD5sum: 21f5e4c5d049532851a067884fb54aa8 Description: CHISE db, the character information database The CHISE (CHaracter Information Service Environment) project attempts to collect and organize into a Knowledge-Base information about characters in the scripts of the world. This package provides the database itself. Please use this with libchise, the C language binding of CHISE database. Tag: accessibility::input, role::app-data, works-with::db Section: devel Priority: optional Filename: pool/main/c/chise-base/chise-db_0.3.0-2_all.deb Package: chkconfig Version: 11.4-54.60.1-1 Installed-Size: 58 Maintainer: Peter Eisentraut Architecture: all Depends: perl Recommends: insserv Size: 10344 SHA256: 966b5727924dae35e9876dfab65359ee8827c18db9220551f9127394b4b3e406 SHA1: 07a5e9b5f31df5d9c07be907e2aa5a27a1d6855c MD5sum: 6623dd196b070f9a426cbf36352e93d1 Description: system tool to enable or disable system services Chkconfig is a utility to update and query runlevel information for system services. Chkconfig manipulates the numerous symbolic links in /etc/init.d/, to relieve system administrators of some of the drudgery of manually editing the symbolic links. . In Debian, there are several tools with similar functionality, but users coming from other Linux distributions will find the tools in this package more familiar. Tag: admin::configuring, implemented-in::perl, implemented-in::shell, role::program, scope::utility, use::configuring Section: admin Priority: optional Filename: pool/main/c/chkconfig/chkconfig_11.4-54.60.1-1_all.deb Package: chkrootkit Version: 0.49-4.1+deb7u2 Architecture: armhf Maintainer: Giuseppe Iuculano Installed-Size: 712 Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, binutils, net-tools, debconf, procps Homepage: http://www.chkrootkit.org/ Priority: optional Section: misc Filename: pool/main/c/chkrootkit/chkrootkit_0.49-4.1+deb7u2_armhf.deb Size: 304032 SHA256: 53f279633e2ebb04265e260e549f7ea00e47393aa164a71600a99e64d1eaa313 SHA1: 57fadcd21a5b36ba70c65fff2cd75fcd105bf0ec MD5sum: 7498651f38218bcec335948f46306f7c Description: rootkit detector The chkrootkit security scanner searches the local system for signs that it is infected with a 'rootkit'. Rootkits are set of programs and hacks designed to take control of a target machine by using known security flaws. . Types that chkrootkit can identify are listed on the project's home page. . Please note that where chkrootkit detects no intrusions, this does not guarantee that the system is uncompromised. In addition to running chkrootkit, more specific tests should always be performed. Package: chktex Version: 1.6.4-4 Architecture: armhf Maintainer: Thorsten Alteholz Installed-Size: 228 Depends: libc6 (>= 2.13-28), libtinfo5 Homepage: http://baruch.ev-en.org/proj/chktex/ Priority: optional Section: tex Filename: pool/main/c/chktex/chktex_1.6.4-4_armhf.deb Size: 92514 SHA256: 6bb9febc91b64b1fc66168d94c5c9644eaa777c8e02a40facae881dd76aa0727 SHA1: 65fefc9c94476f3ba7000d3442ae3d276b96fd17 MD5sum: 46424d20c6deb127e1b39b2b26a319ba Description: Finds typographic errors in LaTeX ChkTeX finds typographic errors in LaTeX documents: * Supports over 40 warnings. * Supports ``\input'' command; both TeX and LaTeX version. Actually includes the files. ``TEXINPUTS''-equivalent search path. * Intelligent warning/error handling. The user may promote/mute warnings to suit his preferences. You may also mute warnings in the header of a file; thus killing much unwanted garbage. * Supports both LaTeX 2.09 and LaTeX2e. * Flexible output handling. Has some predefined formats and lets the user specify his own format. Uses a ``printf()'' similar syntax. ``lacheck'' compatible mode included for interfacing with the AUC-TeX Emacs mode. Package: chm2pdf Version: 0.9.1-1.1 Installed-Size: 136 Maintainer: Steve Stalcup Architecture: all Depends: python (>= 2.3), python-support (>= 0.7.1), libchm-bin, python-chm, htmldoc Suggests: python-beautifulsoup Size: 19540 SHA256: 1aa4c43f42cea1c2facf05555d4e3b1ec3b92477783a3c34e962684a4335864c SHA1: a1e1d22538773cdd05b211160e045b5c1d4c849c MD5sum: bccf8392547c9c9d1286675f9c12683e Description: A Python script that converts CHM files into PDF files Convert chm to PDF files. The package supports batch conversion, command line, PDF security options, password protection and compression modes. The program has Explorer-like interface Tag: interface::commandline, role::program, scope::utility, use::converting, works-with-format::chm, works-with-format::pdf Section: text Priority: extra Filename: pool/main/c/chm2pdf/chm2pdf_0.9.1-1.1_all.deb Package: chmsee Version: 1.99.10-1 Architecture: armhf Maintainer: Debian Chinese Team Installed-Size: 373 Depends: libc6 (>= 2.13-28), libchm1 (>= 0.40), libnspr4-0d (>= 1.8.0.10), xulrunner-10.0 Homepage: http://code.google.com/p/chmsee Priority: optional Section: text Filename: pool/main/c/chmsee/chmsee_1.99.10-1_armhf.deb Size: 74986 SHA256: 722733066afa096c056155e7e180ad5cc3273d2a0543c52e32876f9aa1f7ba77 SHA1: 86266384898bf5611ce6e795f07fee4b4fdd5f9a MD5sum: 22eaae49eef5e3fee057390de139865b Description: CHM file viewer ChmSee is a Compiled HTML Help (CHM) file viewer. . Features: * Use gecko rendering engine * Bookmarks * Support to open multiple files at once Package: chntpw Version: 0.99.6-2 Architecture: armhf Maintainer: Javier Fernandez-Sanguino Pen~a Installed-Size: 114 Depends: libc6 (>= 2.7), libgcrypt11 (>= 1.4.5) Homepage: http://pogostick.net/~pnh/ntpasswd/ Priority: optional Section: admin Filename: pool/main/c/chntpw/chntpw_0.99.6-2_armhf.deb Size: 49086 SHA256: 8eedb98dee70015f9873a53fd32b2b97f3a5eccbdacd3e0b488e51ca5f09d681 SHA1: 9be6179b0f53aa86ce2799d4c7a4a075757ccbd4 MD5sum: 293d0215420487aae47e844e05c62f9f Description: NT SAM password recovery utility This little program provides a way to view information and change user passwords in a Windows NT/2000 user database file. Old passwords need not be known since they are overwritten. In addition it also contains a simple registry editor (same size data writes) and an hex-editor which enables you to fiddle around with bits and bytes in the file as you wish. . If you want GNU/Linux bootdisks for offline password recovery you can add this utility to custom image disks or use those provided at the tools homepage. Package: choosewm Version: 0.1.6-3 Architecture: armhf Maintainer: Bernhard R. Link Installed-Size: 103 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.18.0) Recommends: menu Priority: extra Section: x11 Filename: pool/main/c/choosewm/choosewm_0.1.6-3_armhf.deb Size: 18834 SHA256: 6a496b395db6c9e56c625e8d338c4ed8f32fadf72f9819e689122ac884c58b5a SHA1: c0ad103276c4bc77b9ce1f055ce81f56345a6b4f MD5sum: 2695e57a03fac3427dca6248678cb763 Description: fake x-session-manager allowing the user to choose a wm When installed, this package installs a x-session-manager alternative allowing the user to choose the window manager. The list is taken by default from everything installing a window manager option in the Debian menu system, but the administrator or user can add or remove items via config files. Package: choqok Version: 1.3-1 Architecture: armhf Maintainer: Noah Meyerhans Installed-Size: 3442 Depends: kde-runtime, libattica0 (>= 0.1.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.4.95), libkdecore5 (>= 4:4.4.4-2~), libkdeui5 (>= 4:4.5.2), libkemoticons4 (>= 4:4.4.95), libkhtml5 (>= 4:4.3.4), libkidletime4 (>= 4:4.4.95), libkio5 (>= 4:4.3.4), libkjsapi4 (>= 4:4.3.4), libknotifyconfig4 (>= 4:4.3.4), libkparts4 (>= 4:4.3.4), libkprintutils4 (>= 4:4.4.95), libkutils4, libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libphonon4 (>= 4:4.2.0), libqjson0 (>= 0.7.1), libqoauth1, libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta2), libqtgui4 (>= 4:4.5.3), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0), libqca2-plugin-ossl Homepage: http://choqok.gnufolks.org Priority: optional Section: kde Filename: pool/main/c/choqok/choqok_1.3-1_armhf.deb Size: 1437680 SHA256: f0f00defbcaefcd638de48ffaecbecba75f57fd39e5982f5c397f94598d75789 SHA1: c7eeaea5e6ba214248b3e0d618b4aa0d162cd096 MD5sum: fd94f44132f171ab540ca47d58c54eec Description: KDE micro-blogging client Choqok is a fast, efficient and simple to use micro-blogging client for KDE. It currently supports the twitter.com and identi.ca microblogging services. . Other notable features include: * Support for user + friends time-lines. * Support for @Reply time-lines. * Support for sending and receiving direct messages. * Twitpic.com integration. * The ability to use multiple accounts simultaneously. * Support for search APIs for all services. * KWallet integration. * Support for automatic shortening urls with more than 30 characters. * Support for configuring status lists appearance. Package: chora2 Version: 2.1.1+debian0-1 Installed-Size: 3084 Maintainer: Horde Maintainers Architecture: all Depends: horde3 Suggests: subversion, subversion-tools, cvs, rcs, enscript, cvsps Size: 989806 SHA256: 76168f14c95e139eb4f0cc360bc59d8330b1b51e963cf645a57493ba38b2644a SHA1: c63346f8736d042d4c8ad128c379f2cf5fba16c7 MD5sum: 4558d219c1966275f75e99dcc67f09c5 Description: code repository viewing component for horde framework Chora allows the viewing of local (to the server) RCS and CVS repositories as well as local and remote Subversion repositories. It is written completely in PHP and is a component of the Horde project. . Currently, Chora has feature parity with CVS-Web and also includes a new feature, called visual branch viewing, that aims to make the concept of branches and branch histories less confusing. . Homepage http://www.horde.org/chora/ Tag: devel::lang:php, implemented-in::php, interface::web, role::program, web::application, works-with::software:source Section: web Priority: optional Filename: pool/main/c/chora2/chora2_2.1.1+debian0-1_all.deb Package: chordii Version: 4.3+repack-2 Architecture: armhf Maintainer: Malcolm Locke Installed-Size: 128 Depends: libc6 (>= 2.13-28) Homepage: http://chordii.sourceforge.net/ Priority: extra Section: text Filename: pool/main/c/chordii/chordii_4.3+repack-2_armhf.deb Size: 43450 SHA256: e660b67c05035fd763d9dd2120b9448a8ca461d21bfef88cf9b789f63004b95c SHA1: 9cbb060618bd0ce9220d7867cf3af8d5ceb76238 MD5sum: b619463422f596ae8c41b5ae8b1cfdbb Description: Text file (chordpro format) to music sheet converter chordii provides guitar players and other musicians with a tool to produce good looking, self-descriptive music sheets from text files. . chordii reads text files in chordpro format, containing the lyrics of songs, the chords to be played, their descriptions and some other optional data. It produces a PostScript document suitable for viewing and printing. Package: chromium Source: chromium-browser Version: 22.0.1229.94~r161065+dfsg-0.1+rpi1 Architecture: armhf Maintainer: Debian Chromium Maintainers Installed-Size: 74670 Depends: gconf-service, libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libbz2-1.0, libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libcups2 (>= 1.4.0), libdbus-1-3 (>= 1.2.14), libevent-2.0-5 (>= 2.0.10-stable), libexpat1 (>= 2.0.1), libflac8 (>= 1.2.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.3.9), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgnome-keyring0 (>= 2.22.2), libgtk2.0-0 (>= 2.24.0), libjpeg8 (>= 8c), libnspr4 (>= 2:4.9-2~), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.3), libpango1.0-0 (>= 1.22.0), libpng12-0 (>= 1.2.13-4), libpulse0 (>= 0.99.1), libspeex1 (>= 1.2~beta3-1), libstdc++6 (>= 4.6), libudev0 (>= 146), libvpx1 (>= 1.0.0), libx11-6 (>= 2:1.4.99.1), libxext6, libxfixes3, libxml2 (>= 2.7.4), libxrender1, libxslt1.1 (>= 1.1.25), libxss1, xdg-utils, chromium-inspector Suggests: chromium-l10n Conflicts: chromium-browser (<< 10.0.648.82~r75062-1~), chromium-codecs-ffmpeg, chromium-codecs-ffmpeg-extra, chromium-testsuite Replaces: chromium-browser (<< 10.0.648.82~r75062-1~), chromium-codecs-ffmpeg, chromium-codecs-ffmpeg-extra, chromium-testsuite Provides: chromium-testsuite, gnome-www-browser, www-browser Homepage: http://code.google.com/chromium/ Priority: optional Section: web Filename: pool/main/c/chromium-browser/chromium_22.0.1229.94~r161065+dfsg-0.1+rpi1_armhf.deb Size: 30206056 SHA256: 4229ffadc05a1a68190f8368ff9a2ff56c8366a9d1bc75d89fc591c41b48776d SHA1: 79ac6dd1a19f037926b84ac03d37b6f3a806904b MD5sum: 37e3b163a43b4567f6094eb492d47c79 Description: Google's open source chromium web browser Chromium is an open-source browser project that aims to build a safer, faster, and more stable way for all Internet users to experience the web. . Chromium serves as a base for Google Chrome, which is Chromium rebranded (name and logo) with very few additions such as usage tracking and an auto-updater system. . This package contains the Chromium browser. Package: chromium-browser Version: 22.0.1229.94~r161065+dfsg-0.1+rpi1 Architecture: all Maintainer: Debian Chromium Maintainers Installed-Size: 1680 Depends: chromium (>= 10) Breaks: chromium (<< 13.0.782.215~r97094-1) Replaces: chromium (<< 13.0.782.215~r97094-1) Homepage: http://code.google.com/chromium/ Priority: optional Section: oldlibs Filename: pool/main/c/chromium-browser/chromium-browser_22.0.1229.94~r161065+dfsg-0.1+rpi1_all.deb Size: 157668 SHA256: 738d786ffdf1003d992f97e2b86a35ef9102db0fcf09d9fd93551eda9c069e9f SHA1: 573eb2cad02f594d75f9dd09d200602247c54adb MD5sum: 9a05ccf3f1b789ec6aba5375dbeaae18 Description: Chromium browser - transitional dummy package Chromium is an open-source browser project that aims to build a safer, faster, and more stable way for all Internet users to experience the web. . Chromium serves as a base for Google Chrome, which is Chromium rebranded (name and logo) with very few additions such as usage tracking and an auto-updater system. . This package contains the Chromium browser transitional dummy package. Package: chromium-browser-dbg Source: chromium-browser Version: 22.0.1229.94~r161065+dfsg-0.1+rpi1 Architecture: all Maintainer: Debian Chromium Maintainers Installed-Size: 1663 Depends: chromium-dbg, chromium Homepage: http://code.google.com/chromium/ Priority: extra Section: oldlibs Filename: pool/main/c/chromium-browser/chromium-browser-dbg_22.0.1229.94~r161065+dfsg-0.1+rpi1_all.deb Size: 157118 SHA256: 4bffd0a152bb53705420dabe5848dbd7f0aae244f8553ce5684ca6599111cb49 SHA1: 57c0fe077949f49ee2eff4b1bd7871a7e5d53e48 MD5sum: 2cdbff854f43131f52fd5e635b042b1e Description: chromium-browser debug symbols transitional dummy package Debug symbols for the Chromium browser - transitional dummy package . Chromium is an open-source browser project that aims to build a safer, faster, and more stable way for all Internet users to experience the web. Package: chromium-browser-inspector Source: chromium-browser Version: 22.0.1229.94~r161065+dfsg-0.1+rpi1 Architecture: all Maintainer: Debian Chromium Maintainers Installed-Size: 1663 Depends: chromium-inspector Homepage: http://code.google.com/chromium/ Priority: optional Section: oldlibs Filename: pool/main/c/chromium-browser/chromium-browser-inspector_22.0.1229.94~r161065+dfsg-0.1+rpi1_all.deb Size: 157026 SHA256: 803373cc5170ebf8eb5235e11988a2e3a15754e7bf281106b44491e340d20da2 SHA1: 7dcc6882c9a694ab684b5efe5ad100f193baedcb MD5sum: 78e3ab5a757de703b3db838ea56aa107 Description: page inspector for the chromium-browser - transitional dummy package Chromium is an open-source browser project that aims to build a safer, faster, and more stable way for all Internet users to experience the web. . This package contains 'inspector', transitional dummy package. Package: chromium-browser-l10n Source: chromium-browser Version: 22.0.1229.94~r161065+dfsg-0.1+rpi1 Architecture: all Maintainer: Debian Chromium Maintainers Installed-Size: 1663 Depends: chromium-l10n Homepage: http://code.google.com/chromium/ Priority: optional Section: oldlibs Filename: pool/main/c/chromium-browser/chromium-browser-l10n_22.0.1229.94~r161065+dfsg-0.1+rpi1_all.deb Size: 157252 SHA256: de572181c9f285322368809511bd6cf3a6267418e320bc42edbd596abfe46dbb SHA1: 4b7e5deff0d6aa7dfd92e5da1f18574f697dfbd5 MD5sum: 74f602bf5acec6dca6ad29ad560d58d1 Description: chromium-browser language packages - transitional dummy package Chromium is an open-source browser project that aims to build a safer, faster, and more stable way for all Internet users to experience the web. . This package contains language packages for 50 languages (transitional dummy package): am, ar, bg, bn, ca, cs, da, de, el, en-GB, es-419, es, et, fi, fil, fr, gu, he, hi, hr, hu, id, it, ja, kn, ko, lt, lv, ml, mr, nb, nl, pl, pt-BR, pt-PT, ro, ru, sk, sl, sr, sv, sw, ta, te, th, tr, uk, vi, zh-CN, zh-TW Package: chromium-bsu Version: 0.9.15-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 461 Depends: libalut0 (>= 1.0.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglc0 (>= 0.7.1), libglu1-mesa | libglu1, libopenal1, libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.3.0), chromium-bsu-data (>= 0.9.14), ttf-uralic Breaks: chromium (<< 0.9.14) Replaces: chromium (<< 0.9.14) Homepage: http://chromium-bsu.sourceforge.net/ Priority: optional Section: games Filename: pool/main/c/chromium-bsu/chromium-bsu_0.9.15-1_armhf.deb Size: 137434 SHA256: 2f7c9c5c5d7afdd63ce028ad2c945643dd555e213e5ce282d63154fe6e092480 SHA1: b9cfcf23fe7cca00fc95382adee1299fc18bac91 MD5sum: e2ddc21054ae346f896c1dc0801bd2a6 Description: fast paced, arcade-style, scrolling space shooter Chromium is a top down fast paced high action scrolling space shooter. . In this game you are the captain of the cargo ship Chromium B.S.U. and are responsible for delivering supplies to the troops on the front line. Your ship has a small fleet of robotic fighters which you control from the relative safety of the Chromium vessel. Package: chromium-bsu-data Source: chromium-bsu Version: 0.9.15-1 Installed-Size: 1824 Maintainer: Debian Games Team Architecture: all Replaces: chromium-data (<< 0.9.14) Breaks: chromium-data (<< 0.9.14) Size: 1268074 SHA256: 96ada3c39015a7f7f6ae3521b34ef58eb1c7842adfe399848e4ff14a04c2ec7a SHA1: 28519b4e8b9d439af0d82561f4917f84de0106b6 MD5sum: dbf83653934a721b691eef42b58c65bf Description: data pack for the Chromium B.S.U. game This package provides all the sounds, images, translations and documentation required for Chromium B.S.U., a fast paced high action scrolling space shooter. The sounds include menu music, in-game music and various sound effects. The images include ships, weapons and miscellaneous UI elements. The documentation includes a FAQ and a visual introduction to the game. Homepage: http://chromium-bsu.sourceforge.net/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/c/chromium-bsu/chromium-bsu-data_0.9.15-1_all.deb Package: chromium-dbg Source: chromium-browser Version: 22.0.1229.94~r161065+dfsg-0.1+rpi1 Architecture: armhf Maintainer: Debian Chromium Maintainers Installed-Size: 1459495 Depends: chromium (= 22.0.1229.94~r161065+dfsg-0.1+rpi1) Conflicts: chromium-browser-dbg (<< 10.0.648.82~r75062-1~), chromium-testsuite-dbg Replaces: chromium-browser-dbg (<< 10.0.648.82~r75062-1~), chromium-testsuite-dbg Provides: chromium-testsuite-dbg Homepage: http://code.google.com/chromium/ Priority: extra Section: debug Filename: pool/main/c/chromium-browser/chromium-dbg_22.0.1229.94~r161065+dfsg-0.1+rpi1_armhf.deb Size: 369885562 SHA256: 736faf8cec6070ee4edf51f36076a2e8fd47f988d1c305a60c9d7c4583810d04 SHA1: 7b05fcca5dc4b0a0e9cda102d72047911a391ae4 MD5sum: 9453b9214f09dbe4c88bef0d0cab6b92 Description: Debugging symbols for the chromium web browser Debug symbols for the Chromium browser. . Chromium is an open-source browser project that aims to build a safer, faster, and more stable way for all Internet users to experience the web. Package: chromium-inspector Source: chromium-browser Version: 22.0.1229.94~r161065+dfsg-0.1+rpi1 Architecture: all Maintainer: Debian Chromium Maintainers Installed-Size: 4473 Recommends: chromium (>= 10) Conflicts: chromium (<< 22.0.1229.94~r161065+dfsg-0.1+rpi1), chromium-browser-inspector (<< 10.0.648.82~r75062-1~) Replaces: chromium-browser-inspector (<< 10.0.648.82~r75062-1~) Homepage: http://code.google.com/chromium/ Priority: optional Section: web Filename: pool/main/c/chromium-browser/chromium-inspector_22.0.1229.94~r161065+dfsg-0.1+rpi1_all.deb Size: 726988 SHA256: 86fc730dcef4046097ec9bd0a22d85ca4e52d624ccfeef2bfa56d1cf45dbdb5f SHA1: 932fa12fe99cbf9f33b524affdc68c0575ef3357 MD5sum: 4922420a6db71d8d964c8f8a02ba8ef7 Description: page inspector for the chromium browser Chromium is an open-source browser project that aims to build a safer, faster, and more stable way for all Internet users to experience the web. . This package contains 'inspector', allowing web developers to inspect any element of a web page at runtime (HTML, JavaScript, CSS, ..). Package: chromium-l10n Source: chromium-browser Version: 22.0.1229.94~r161065+dfsg-0.1+rpi1 Architecture: all Maintainer: Debian Chromium Maintainers Installed-Size: 12711 Depends: chromium (>= 22.0.1229.94~r161065+dfsg-0.1+rpi1), chromium (<< 22.0.1229.94~r161065+dfsg-0.1+rpi1.1~) Conflicts: chromium-browser-l10n (<< 10.0.648.82~r75062-1~) Replaces: chromium-browser-l10n (<< 10.0.648.82~r75062-1~) Homepage: http://code.google.com/chromium/ Priority: optional Section: web Filename: pool/main/c/chromium-browser/chromium-l10n_22.0.1229.94~r161065+dfsg-0.1+rpi1_all.deb Size: 2287352 SHA256: d2643f58407b6bea838eef40dac6ce034be854731116e82285cf702985864959 SHA1: eb888289bc09e850ff79456ec70df242d406788c MD5sum: 286e1b4ecb99755b9f0f73a2477a74bd Description: chromium-browser language packages Chromium is an open-source browser project that aims to build a safer, faster, and more stable way for all Internet users to experience the web. . This package contains language packages for 50 languages: am, ar, bg, bn, ca, cs, da, de, el, en-GB, es-419, es, et, fi, fil, fr, gu, he, hi, hr, hu, id, it, ja, kn, ko, lt, lv, ml, mr, nb, nl, pl, pt-BR, pt-PT, ro, ru, sk, sl, sr, sv, sw, ta, te, th, tr, uk, vi, zh-CN, zh-TW Package: chronicle Version: 4.6-2 Installed-Size: 628 Maintainer: Kai Wasserbäch Architecture: all Depends: perl, libtimedate-perl, libhtml-template-perl, perl-modules Suggests: memcached, libtext-markdown-perl, libtext-textile-perl, libtext-vimcolor-perl, libsoap-lite-perl Size: 102228 SHA256: 2887654235892215331abced35cbd22c6519d24befd4a2ac4c918e31aaf313b5 SHA1: b21003cb48186c741fecd7fc1b6150a7020816c3 MD5sum: da2b3f9113b2b229391f91c1a77e2a4b Description: HTML & RSS blog compiler This is a tool which will convert a directory of simple text files into a static HTML weblog, (or blog if you prefer). . The system is intentionally simple, but it supports: . * RSS feed creation. * Template based output. * The tagging of entries. * Notification of ping services upon blog rebuild. * User comments upon entries. Homepage: http://www.steve.org.uk/Software/chronicle/ Tag: implemented-in::perl, interface::commandline, role::program, web::blog, works-with-format::html, works-with-format::xml:rss Section: web Priority: extra Filename: pool/main/c/chronicle/chronicle_4.6-2_all.deb Package: chrony Version: 1.24-3.1+deb7u3+rpi1 Architecture: armhf Maintainer: John G. Hasler Installed-Size: 730 Depends: libc6 (>= 2.13-28), ucf, timelimit, dpkg (>= 1.15.4) | install-info Recommends: udev (>= 0.124-1) Conflicts: ntp, ntp-refclock, ntp-simple, time-daemon Replaces: time-daemon Provides: time-daemon Priority: extra Section: admin Filename: pool/main/c/chrony/chrony_1.24-3.1+deb7u3+rpi1_armhf.deb Size: 354782 SHA256: a8fd9ab68dcf48bfd2268e937a06261972d1d36d33aca2e7a8335a0406c16c5e SHA1: 098774baf608928e89d31336809a7ae3c5c9a8ea MD5sum: 0cea2d73fb295a81b6d5407959415f15 Description: Sets your computer's clock from time servers on the Net It consists of a pair of programs : `chronyd'. This is a daemon which runs in background on the system. It obtains measurements (e.g. via the network) of the system's offset relative to other systems, and adjusts the system time accordingly. For isolated systems, the user can periodically enter the correct time by hand (using `chronyc'). In either case, `chronyd' determines the rate at which the computer gains or loses time, and compensates for this. Chronyd implements the NTP protocol and can act as either a client or a server. `chronyc'. This is a command-line driven control and monitoring program. An administrator can use this to fine-tune various parameters within the daemon, add or delete servers etc whilst the daemon is running. Package: chrootuid Version: 1.3-6 Architecture: armhf Maintainer: Javier Fernandez-Sanguino Pen~a Installed-Size: 57 Depends: libc6 (>= 2.4) Homepage: http://ftp.porcupine.org/pub/security/index.html Priority: optional Section: admin Filename: pool/main/c/chrootuid/chrootuid_1.3-6_armhf.deb Size: 10052 SHA256: 53ac68a756d431d5be50508f3f0972537485485847d052da71ce9971a1373e52 SHA1: 7d514e3b24b062a0f568379724168b3970fa1eb5 MD5sum: 4d9bd0c64189a67d64be6c71cd957f8f Description: Run commands in restricted environments Chrootuid makes it easy to run a network service at low privilege level and with restricted file system access. The daemons have access only to their own directory tree, and run under a low-privileged userid. . In the past it has been used to run the gopher and www (world-wide web) network. It can be used nowadays also for proxy servers. The arrangement greatly reduces the impact of possible loopholes in network software. Package: chrpath Version: 0.13-2 Architecture: armhf Maintainer: Tollef Fog Heen Installed-Size: 55 Depends: libc6 (>= 2.4) Priority: optional Section: utils Filename: pool/main/c/chrpath/chrpath_0.13-2_armhf.deb Size: 13306 SHA256: 1f5155b39097895ee8686ec9d6111585bdf40d785e7b8cbde90010e8cc4387e2 SHA1: ccf4fe2f91a2b834e82113737c70660ac73bcdb8 MD5sum: 123fea2fa41afded5c8b3070b825db0e Description: Tool to edit the rpath in ELF binaries chrpath allows you to change the rpath (where the application looks for libraries) in an application. It does not (yet) allow you to add an rpath if there isn't one already. Package: chuck Version: 1.2.0.8.dfsg-1.4 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 5189 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0) Suggests: jackd Priority: optional Section: sound Filename: pool/main/c/chuck/chuck_1.2.0.8.dfsg-1.4_armhf.deb Size: 2013324 SHA256: 44b35bbba322daf79aad542a7348ef7db9c124035d098b5c5b08d951cecc7604 SHA1: 8a978b5d41f16de08811678ae41f46610bb32c0a MD5sum: 57283a564727721e4367e4ff4a8c37a4 Description: Concurrent, On-the-fly Audio Programming Language ChucK is a new audio programming language for real-time synthesis, composition, and performance, which runs on commodity operating systems. . ChucK presents a new time-based concurrent programming model, which supports multiple, simultaneous, dynamic control rates, and the ability to add, remove, and modify code, on-the-fly, while the program is running, without stopping or restarting. Package: cia-clients Version: 20120903 Installed-Size: 216 Maintainer: Christoph Berg Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8) Suggests: tla | bzr (>= 2.0) | cvs | darcs | git-core | subversion | mercurial (>= 0.9.3) Enhances: bzr, cvs, darcs, git-core, mercurial (>= 0.9.3), subversion, tla Size: 28590 SHA256: 3d25b79d9ad9aa873b499f55d8d4547fb990b39c868d095ec0b6cf65b14bf0e1 SHA1: 1ff0534491187504ebcf342db643461fc9279884 MD5sum: 4f85654eb83c507ffa5213bb007cbdd8 Description: clients scripts for CIA commit notification on IRC CIA is a system for tracking open-source projects in real-time. People all over the world are constantly collaborating and creating software, creating a constant flow of new code and new ideas. CIA provides an easy way for people to observe this flow. Commit messages will be posted in #commits on freenode.net, and CIA bots can be asked to join other IRC networks and channels for individual projects. . This collection include CIA client scripts for: * Arch (tla) * Bazaar (bzr) * BitKeeper (bk) * CVS * Darcs * Git * Subversion (svn) (the svnmailer package also includes CIA support) * Mercurial (Hg) . See http://cia.vc/ for more information on the CIA system. Homepage: http://cia.vc/doc/clients/ Tag: devel::rcs, implemented-in::perl, implemented-in::python, implemented-in::shell, role::plugin, role::program, use::monitor, works-with::vcs Section: net Priority: optional Filename: pool/main/c/cia-clients/cia-clients_20120903_all.deb Package: ciderwebmail Version: 1.04-1 Installed-Size: 643 Maintainer: Debian Perl Group Architecture: all Depends: libparent-perl, libmoose-perl, libcatalyst-authentication-credential-http-perl, libyaml-perl, libyaml-libyaml-perl, libcatalyst-view-petal-perl, libpetal-utils-perl, libdatetime-perl, libdatetime-format-mail-perl, libmime-tools-perl, libtry-tiny-perl, libemail-simple-perl, libemail-sender-perl, libemail-valid-perl, libemail-address-perl, libregexp-common-email-address-perl, libregexp-common-perl, libtext-iconv-perl, libtext-autoformat-perl, libio-socket-ssl-perl, libclone-perl, libhtml-scrubber-perl, libhtml-tidy-perl, liburi-perl, liblocale-maketext-gettext-perl, liblist-moreutils-perl, libdata-ical-perl, libdatetime-format-iso8601-perl, libcrypt-util-perl, libcrypt-cbc-perl, libcrypt-rijndael-perl, libcrypt-random-source-perl, libmime-base64-urlsafe-perl, libdbd-sqlite3-perl, libmoosex-role-withoverloading-perl (>= 0.09), libcatalyst-perl (>= 5.80030), libcatalyst-modules-perl (>= 43), libparse-recdescent-perl (>= 1.967009), libmail-imapclient-perl (>= 3.31), perl Recommends: http-icons, libjs-mootools, default-mta | mail-transport-agent Suggests: libfcgi-perl, libfcgi-procmanager-perl, dovecot | imap-server, imapproxy Size: 203886 SHA256: 8d5011c4c465a6c7d44589efe120a7d3e850b60082526345c805b995bcf42e26 SHA1: 0075b95d7eafcdb2dc05f836996208d4352eb312 MD5sum: d0500d41662b8c4ce2e572b26aeeea17 Description: IMAP webmail service CiderWebmail is a modern, user friendly and maintenance free webmail application. It's targeted at mailserver administrators who need to provide web access for their user's mailboxes and individuals wanting to access their mailboxes via an always available web application. . It currently supports all the basic mail handling features one would expect from such an application: . * Listing your emails with selectable sort order and grouping. * Moving emails between folders and deleting using drag & drop. * Displaying text and HTML emails even if their code is completely broken (which happens quite often in reality) * Keyboard bindings for switching through emails, moving, deleting, replying and forwarding. * Reply to and forward existing emails or write new emails, add attachments and have a copy saved in your "Sent" folder. . As an application written deep in the 21st century, CiderWebmail supports only IMAP mail servers. . It is recommended to use CiderWebmail with the Dovecot IMAP server. CiderWebmail deliberately does little internal caching for security reasons, so if used with an IMAP server less powerfull than Dovecot you may benefit from connecting via imapproxy. Homepage: http://ciderwebmail.org/ Section: web Priority: optional Filename: pool/main/c/ciderwebmail/ciderwebmail_1.04-1_all.deb Package: cifs-utils Version: 2:5.5-1 Architecture: armhf Maintainer: Debian Samba Maintainers Installed-Size: 189 Depends: samba-common, libc6 (>= 2.13-28), libkeyutils1, libkrb5-3 (>= 1.10+dfsg~), libtalloc2 (>= 2.0.4~git20101213), libwbclient0 (>= 2:3.2.0) Recommends: keyutils, winbind Suggests: smbclient Replaces: smbfs (<< 2:4.0~rc1-1) Homepage: http://www.samba.org/~jlayton/cifs-utils/ Priority: optional Section: otherosfs Filename: pool/main/c/cifs-utils/cifs-utils_5.5-1_armhf.deb Size: 70054 SHA256: 34943293124e4198fa01810591fcf92350a2a7e4d8fdfb4f0664e4347df48946 SHA1: 1450c8f9f97d0a238a9061fe7d731c72fb6da993 MD5sum: 2a6e25567d626af0fc00c2319248cb61 Description: Common Internet File System utilities The SMB/CIFS protocol provides support for cross-platform file sharing with Microsoft Windows, OS X, and other Unix systems. . This package provides utilities for managing mounts of CIFS network file systems. Package: cil Version: 0.07.00-6 Installed-Size: 177 Maintainer: Gianluca Ciccarelli Architecture: all Depends: perl, libgetopt-mixed-perl, libdigest-perl, libfile-touch-perl, libfile-slurp-perl, libclass-accessor-perl, libdatetime-perl, libemail-simple-perl, libemail-date-perl, libemail-find-perl, libfile-homedir-perl Size: 36324 SHA256: 98fbf7e03ee1b6370a634958c466ef39beec20d6932b216610c0d67e706dd935 SHA1: e2ea6bd9574b5821b5eff70edbed32116ca32284 MD5sum: 73c3fb1ec3109c65e26a0ccc6c82191b Description: command line issue tracker 'cil' allows easy command-line creation of an issue tracker. It saves each issue locally and in plain text. Commands are given such that these issues can be added, edited and listed easily. Homepage: https://github.com/chilts/cil Tag: devel::bugtracker, implemented-in::perl, interface::commandline, role::program, works-with-format::plaintext, works-with::bugs, works-with::text Section: perl Priority: extra Filename: pool/main/c/cil/cil_0.07.00-6_all.deb Package: cimg-dev Source: cimg Version: 1.4.9-2 Installed-Size: 4198 Maintainer: Debian Science Team Architecture: all Depends: libx11-dev, libxrandr-dev Suggests: xmedcon, lapack3-dev, cimg-doc, cimg-examples, libmagick++-dev, libfftw3-dev | fftw3-dev, libgimp2.0-dev, libcv-dev, libhighgui-dev Size: 660182 SHA256: ca27a3675524c8480a1fd4ae4ed3e4ce586bc559bb3255f7c9f5570e5978123f SHA1: 63810a21bf00068755eb75c42f35ac117d1e1ab7 MD5sum: 13c39c0adcd9f0cce844dd83d0ed4942 Description: powerful image processing library The CImg Library is a C++ toolkit providing simple classes and functions to load, save, process and display images in your own C++ code. It consists only of a single header file CImg.h that must be included in your program source. It contains useful image processing algorithms for loading/saving, resizing/rotating, filtering, object drawing (text, lines, faces, ellipses, ...), etc. . Images are instantiated by a class able to represent images up to 4-dimension wide (from 1-D scalar signals to 3-D volumes of vector-valued pixels), with template pixel types. It depends on a minimal number of libraries : you can compile it with only standard C libraries. No need for exotic libraries and complex dependencies. Homepage: http://cimg.sourceforge.net/ Tag: devel::library, implemented-in::c++, role::devel-lib, works-with::image, works-with::image:raster, x11::library Section: math Priority: optional Filename: pool/main/c/cimg/cimg-dev_1.4.9-2_all.deb Package: cimg-doc Source: cimg Version: 1.4.9-2 Installed-Size: 9466 Maintainer: Debian Science Team Architecture: all Enhances: cimg-dev Size: 6445194 SHA256: a8501ae5c1a1a4504123c0945ad9f00445ea25349425518ba41d18af3229dec5 SHA1: 5b32f8bdcd039af57978885b4e189c042f3e6671 MD5sum: 63aff5df6174645dffd0607e1738705c Description: documentation of cimg-dev imaging library The CImg Library is a C++ toolkit providing simple classes and functions to load, save, process and display images in your own C++ code. It consists only of a single header file CImg.h that must be included in your program source. It contains useful image processing algorithms for loading/saving, resizing/rotating, filtering, object drawing (text, lines, faces, ellipses, ...), etc. . Images are instantiated by a class able to represent images up to 4-dimension wide (from 1-D scalar signals to 3-D volumes of vector-valued pixels), with template pixel types. It depends on a minimal number of libraries : you can compile it with only standard C libraries. No need for exotic libraries and complex dependencies. . This package contains the documentation. Homepage: http://cimg.sourceforge.net/ Tag: made-of::html, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/c/cimg/cimg-doc_1.4.9-2_all.deb Package: cimg-examples Source: cimg Version: 1.4.9-2 Installed-Size: 13860 Maintainer: Debian Science Team Architecture: all Recommends: cimg-dev, libmagick++-dev, libfftw3-dev | fftw3-dev, libgimp2.0-dev, libcv-dev, libhighgui-dev Enhances: cimg-dev, cimg-doc Size: 3019090 SHA256: 1bb9c3112b56246aa3404bec3e32dbf2ed43e2a500a336d585b60231956a880e SHA1: 97781e0328b5696cf38c06f2dd7a218bc0fb26b8 MD5sum: cd9e17f51d84de48c0b51f7b7975bc0b Description: examples for cimg-dev imaging library The CImg Library is a C++ toolkit providing simple classes and functions to load, save, process and display images in your own C++ code. It consists only of a single header file CImg.h that must be included in your program source. It contains useful image processing algorithms for loading/saving, resizing/rotating, filtering, object drawing (text, lines, faces, ellipses, ...), etc. . Images are instantiated by a class able to represent images up to 4-dimension wide (from 1-D scalar signals to 3-D volumes of vector-valued pixels), with template pixel types. It depends on a minimal number of libraries : you can compile it with only standard C libraries. No need for exotic libraries and complex dependencies. . This package contains examples for the usage of the library. Homepage: http://cimg.sourceforge.net/ Tag: devel::examples, role::examples Section: doc Priority: optional Filename: pool/main/c/cimg/cimg-examples_1.4.9-2_all.deb Package: cipux-cat-web Version: 3.4.0.3-4.1 Installed-Size: 717 Maintainer: CipUX team Architecture: all Depends: perl, debconf (>= 0.5) | debconf-2.0, libcipux-cat-web-perl, libclass-std-perl, libcipux-perl, libcgi-formbuilder-perl, libdate-manip-perl, libfrontier-rpc-perl, libtemplate-perl, apache2 | lighttpd | httpd-cgi Recommends: cipux-rpcd Size: 297102 SHA256: 1edf76d5f560960a17dca55a1e1c5fd57309971301e163c113bd55eeb5bf3436 SHA1: 7e5ac37759196898e48f4fa904ec9b638847df89 MD5sum: 2b605a8b105bd30467945f2d499fc445 Description: user and resource management framework CipUX - web-based admin tools CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . CAT is CipUX Administration Tools, interacting with the core CipUX framework through XML-RPC. . Two CATs are provided officially with CipUX: - cipux-cat-web: generic web-based CAT - cipux-cat-moodle: CipUX plugin for Moodle These can be extended or replaced with custom tools. . This package contains the generic web-based CAT cipux-cat-web: a set of Perl CGI scripts for web server like Apache to administrate user, groups, machines stored in LDAP using the CipUX framework. The use of Template Toolkit (TT2) makes theming easy, for fancy layout or localization. Homepage: http://www.cipux.org/ Tag: admin::configuring, admin::user-management, interface::web, use::configuring, web::application Section: perl Priority: optional Filename: pool/main/c/cipux-cat-web/cipux-cat-web_3.4.0.3-4.1_all.deb Package: cipux-object-tools Source: cipux-object Version: 3.4.0.5-2 Installed-Size: 72 Maintainer: CipUX team Architecture: all Depends: perl, libcipux-object-perl, libcipux-perl Size: 17230 SHA256: e43c400a59fe2c202d6d2a7fb896c96480227ff0734c41ec63162e1fe280a0ef SHA1: 5368745ffcf55a23bc733a0071237cebe70ef2e3 MD5sum: df296fa67e14eb7e29c74e000766f411 Description: Commandline object-related tools for CipUX CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . This package contains commandline tools to interact with the object layer of CipUX. Homepage: http://www.cipux.org/ Tag: implemented-in::perl, role::program Section: perl Priority: optional Filename: pool/main/c/cipux-object/cipux-object-tools_3.4.0.5-2_all.deb Package: cipux-passwd Version: 3.4.0.3-2 Installed-Size: 72 Maintainer: CipUX team Architecture: all Depends: perl Size: 12618 SHA256: cfcd802b454250233975e1dcce0922fa066e70830818e84a21ab924602178955 SHA1: 460e4048559a235c376fc3e4114f6003598c90c7 MD5sum: c78cb7286d7ce06ecb264efd300173a5 Description: simple XML-RPC client to set your own password CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . This package contains the command-line tool cipuxpasswd to change your password via CipUX XML-RPC protocol. Homepage: http://www.cipux.org/ Section: perl Priority: optional Filename: pool/main/c/cipux-passwd/cipux-passwd_3.4.0.3-2_all.deb Package: cipux-rpc-tools Source: cipux-rpc Version: 3.4.0.9-3 Installed-Size: 91 Maintainer: CipUX team Architecture: all Depends: perl, libfrontier-rpc-perl, libcipux-perl, libreadonly-perl Recommends: cipux-rpcd Size: 27174 SHA256: c530e64d4a1a0ba83eff1dcc3d1c99339ea332213f46d8e98d7cffb8cca2ab16 SHA1: 01cca509ea68558010c5172cb59dea5f26e487d5 MD5sum: ae1c8983db6cedfda458e1b8a4fa535a Description: Commandline helper tools for XML-RPC server CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . CAT is CipUX Administration Tools. Two CATs are provided officially with CipUX: - cipux-cat: generic web-based CAT - cipux-cat-moodle: CipUX plugin for Moodle These can be extended or replaced with custom tools. . This package contains the CipUX XML-RPC daemon, serving the CipUX::Task API for network-based appplications. Any XML-RPC application can access the CipUX::Task API and perform tasks, like creating users and other objects, inside the CipUX framework. . This package contains commandline tools to help setup and test the XML-RPC server for CipUX. Homepage: http://www.cipux.org/ Tag: implemented-in::perl, role::program Section: perl Priority: optional Filename: pool/main/c/cipux-rpc/cipux-rpc-tools_3.4.0.9-3_all.deb Package: cipux-rpcd Source: cipux-rpc Version: 3.4.0.9-3 Installed-Size: 71 Maintainer: CipUX team Architecture: all Depends: perl, libcipux-rpc-perl, libcipux-perl, lsb-base, adduser Recommends: libpam-ldapd | libpam-ldap, libnss-ldapd | libnss-ldap, nscd, stunnel4, ssl-cert Suggests: cipux-rpc-tools Size: 18436 SHA256: ad145efa0ecfa5217d42792f62dfa60f0714658b52432c5caca9eb0438429fa4 SHA1: e474a9c214016999372c7ea819220b39c4cb292d MD5sum: 7322072ae9de17309401961754143bcf Description: XML-RPC server for CipUX CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . CAT is CipUX Administration Tools. Two CATs are provided officially with CipUX: - cipux-cat: generic web-based CAT - cipux-cat-moodle: CipUX plugin for Moodle These can be extended or replaced with custom tools. . This package contains the CipUX XML-RPC daemon, serving the CipUX::Task API for network-based appplications. Any XML-RPC application can access the CipUX::Task API and perform tasks, like creating users and other objects, inside the CipUX framework. Homepage: http://www.cipux.org/ Section: perl Priority: optional Filename: pool/main/c/cipux-rpc/cipux-rpcd_3.4.0.9-3_all.deb Package: cipux-storage-tools Source: cipux-storage Version: 3.4.0.2-6 Installed-Size: 80 Maintainer: CipUX team Architecture: all Depends: perl, libcipux-storage-perl Size: 21196 SHA256: ca80de4cc2b62dd79599a997511492a867d1c913b5177f22bf66fca4801a0e7f SHA1: 753d762c434ef5cd62871429f66e0301f97cca56 MD5sum: cfeb0708a19532931f68d2cd2b33d074 Description: Commandline storage-related tools for CipUX CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . This package contains commandline tools to interact with the storage abstraction layer for CipUX. Homepage: http://www.cipux.org/ Tag: implemented-in::perl, role::program Section: perl Priority: optional Filename: pool/main/c/cipux-storage/cipux-storage-tools_3.4.0.2-6_all.deb Package: cipux-task-tools Source: cipux-task Version: 3.4.0.7-4 Installed-Size: 76 Maintainer: CipUX team Architecture: all Depends: perl, libcipux-task-perl, libcipux-perl Size: 17268 SHA256: d37e74819ae02e5e0cebb6cebbc24bd54dbca8346c7be86632f306d20cbe8438 SHA1: 19934a9a76544a15b78d559dc532f645655cfb70 MD5sum: 489155a139ee5ac7fc54d378a21e86e2 Description: commandline task interface for CipUX CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . This package contains a commandline task tool for CipuX. Homepage: http://www.cipux.org/ Tag: implemented-in::perl, role::program Section: perl Priority: optional Filename: pool/main/c/cipux-task/cipux-task-tools_3.4.0.7-4_all.deb Package: circos Version: 0.61-3 Installed-Size: 7611 Maintainer: Debian Med Packaging Team Architecture: all Depends: perl (>= 5.10), fonts-circos-symbols, fonts-cmu, libregexp-common-perl, libcarp-always-perl, libconfig-general-perl (>= 2.5), libdata-dumper-simple-perl, libgd-gd2-perl, libgetopt-simple-perl, libmath-bezier-perl, libmath-round-perl, libparams-validate-perl, libreadonly-perl, libset-intspan-perl (>= 1.16), liblist-allutils-perl, libfile-basedir-perl, libfile-spec-perl, libmath-bigint-perl, libmath-vec-perl, libio-all-perl, libmath-vecstat-perl, libgraphics-colorobject-perl, libtext-format-perl, libfont-ttf-perl, libclone-perl Pre-Depends: dpkg (>= 1.15.6) Recommends: circos-tools Size: 4512326 SHA256: 8f02c3a32ef81a07447bfa906de97520beca3a62e5989d2e8d2bdef416054323 SHA1: a7bf81d34ad2d4293db8df8abea6a2de1d6be914 MD5sum: 2aac41789c554697d2481d0bcbf0ea21 Description: plotter for visualizing data Circos visualizes data in a circular layout — ideal for exploring relationships between objects or positions, and creating highly informative publication-quality graphics. . This package provides the Circos plotting engine, which is command-line driven (like gnuplot) and fully scriptable. Homepage: http://circos.ca/ Tag: field::biology:bioinformatics, implemented-in::perl, role::program, use::viewing Section: science Priority: optional Filename: pool/main/c/circos/circos_0.61-3_all.deb Package: circos-tools Version: 0.16-2 Installed-Size: 19386 Maintainer: Debian Med Packaging Team Architecture: all Depends: perl, circos Pre-Depends: dpkg (>= 1.15.6) Size: 8210438 SHA256: 43d8fa07f6e907921a9c138a74ae3395b793bada0aef86672b6403e2116dcfca SHA1: fbbb322f7df50fb013f6a14af0573f56405c89ac MD5sum: 5698791a3da9ae428652a965018c5711 Description: Helper tools for Circos Circos visualizes data in a circular layout — this makes Circos ideal for exploring relationships between objects or positions. . This package contains the following helper tools designed to aid you in processing your data: binlinks, bundlelinks, categoryviewer, colorinterpolate filterlinks, maketutorialimages, orderchr, randomlinks, resample, tableviewer. Homepage: http://circos.ca/ Tag: field::biology:bioinformatics, implemented-in::perl, role::program Section: science Priority: optional Filename: pool/main/c/circos-tools/circos-tools_0.16-2_all.deb Package: circuslinux Version: 1.0.3-28 Architecture: armhf Maintainer: Christian T. Steigies Installed-Size: 146 Depends: libc6 (>= 2.13-28), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), debconf (>= 0.5) | debconf-2.0, circuslinux-data Homepage: http://www.newbreedsoftware.com/circus-linux/ Priority: optional Section: games Filename: pool/main/c/circuslinux/circuslinux_1.0.3-28_armhf.deb Size: 47462 SHA256: 900323bb6249f3de5d7ab6860e9c0204f4071f8631c23a9faafe64b9f32a6457 SHA1: d9d8488aa32f4cffdf5bd6e517cfad9e81f22d76 MD5sum: d461b7b93604c070a30ed9f84291e23e Description: Clowns are trying to pop balloons to score points! "Circus Linux!" is based on the Atari 2600 game "Circus Atari" by Atari, released in 1980. Gameplay is similar to "Breakout" and "Arkanoid" - you slide a device left and right to bounce objects into the air which destroy a wall. Package: circuslinux-data Source: circuslinux Version: 1.0.3-28 Installed-Size: 1727 Maintainer: Christian T. Steigies Architecture: all Replaces: circuslinux (<< 1.0.3-9) Size: 1188088 SHA256: 1ff97b4c0b1e6b016ed07bd6d2926c1b39ccb094e5138186aa77fd32a367a567 SHA1: 1370c0466b36be7d183900bf6a4e70c8c2ed5265 MD5sum: 103b459b546de7d06e2169fbddef0d09 Description: data files for circuslinux "Circus Linux!" is based on the Atari 2600 game "Circus Atari" by Atari, released in 1980. Gameplay is similar to "Breakout" and "Arkanoid" - you slide a device left and right to bounce objects into the air which destroy a wall. . This package contains sound and image files for circuslinux. Homepage: http://www.newbreedsoftware.com/circus-linux/ Tag: game::arcade, interface::x11, junior::arcade, role::app-data, uitoolkit::sdl, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/c/circuslinux/circuslinux-data_1.0.3-28_all.deb Package: citadel-client Source: citadel Version: 8.14-2 Architecture: armhf Maintainer: Debian Citadel Team Installed-Size: 302 Depends: libc-ares2 (>= 1.7.0), libc6 (>= 2.13-28), libcitadel3 (>= 8.14), libev4 (>= 1:4.04), libical0 (>= 0.30), libsieve2-1, libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), adduser Recommends: shared-mime-info Homepage: http://www.citadel.org/ Priority: extra Section: mail Filename: pool/main/c/citadel/citadel-client_8.14-2_armhf.deb Size: 101810 SHA256: d2289ad37e64e5bd67763f33977f94c77b939dcf7de0b910703963e2e335f984 SHA1: 7b8d5e93d230f14b5af7365ba7020da71d2c3e59 MD5sum: 5d1c8dc802aacebc4d69201c37e98055 Description: complete and feature-rich groupware server (command line client) This is package contains the command line client for Citadel, a complete and feature-rich open source groupware platform. . See the 'citadel-server' package for more information. Package: citadel-dbg Source: citadel Version: 8.14-2 Architecture: armhf Maintainer: Debian Citadel Team Installed-Size: 3252 Depends: citadel-server (= 8.14-2), citadel-client (= 8.14-2) Suggests: citadel-mta (= 8.14-2) Homepage: http://www.citadel.org/ Priority: extra Section: debug Filename: pool/main/c/citadel/citadel-dbg_8.14-2_armhf.deb Size: 1429520 SHA256: 56eef5c4435a290b3578177f149ca4bc088c4fa1a4481512640aeb3a57f478d6 SHA1: 03627a9b31b2e4c0629b1794a44f79648e802a07 MD5sum: 980079543dec75d338e5f0748c64360f Description: complete and feature-rich groupware server - debugging symbols Citadel is a complete and feature-rich open source groupware platform. * Email, calendaring/scheduling, address books * Bulletin boards, mailing list server, instant messaging * Multiple domain support * An intuitive, attractive AJAX-style web interface . This package provides the debugging symbols for the Citadel server. Package: citadel-doc Source: citadel Version: 8.14-2 Installed-Size: 92 Maintainer: Debian Citadel Team Architecture: all Size: 44190 SHA256: 2624cdb24f3195ba9ba1dd5ef1d18a310d95ca18afe2e3a9e6a6d39f6f21af51 SHA1: 90f4f2afaf6f6bbf472745d18526b4e0edcbff6a MD5sum: 42185ae320053e5d5d784e16bdc0490e Description: complete and feature-rich groupware server (documentation) This package contains documentation for Citadel, a complete and feature-rich open source groupware platform. . See the 'citadel-server' package for more information. Homepage: http://www.citadel.org/ Tag: made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/c/citadel/citadel-doc_8.14-2_all.deb Package: citadel-mta Source: citadel Version: 8.14-2 Architecture: armhf Maintainer: Debian Citadel Team Installed-Size: 50 Depends: citadel-server (>= 7.50) Conflicts: mail-transport-agent Replaces: mail-transport-agent Provides: mail-transport-agent Homepage: http://www.citadel.org/ Priority: extra Section: mail Filename: pool/main/c/citadel/citadel-mta_8.14-2_armhf.deb Size: 12024 SHA256: a4a8dccf19fd205f86be2a62d6e114be080937f7a4d2e99012969fafd20acaa7 SHA1: 8e036dbfcc234f8a8a6317739653be47e04aa065 MD5sum: d5f13ce6eb6ea6eae472de810346a3b9 Description: complete and feature-rich groupware server (mail transport agent) This package contains the mail transport agent component for Citadel, a complete and feature-rich open source groupware platform. . See the 'citadel-server' package for more information. Package: citadel-server Source: citadel Version: 8.14-2 Architecture: armhf Maintainer: Debian Citadel Team Installed-Size: 1444 Depends: libc-ares2 (>= 1.7.3), libc6 (>= 2.13-28), libcitadel3 (>= 8.14), libcurl3 (>= 7.16.3), libdb5.1, libev4 (>= 1:4.04), libexpat1 (>= 2.0.1), libical0 (>= 0.43), libldap-2.4-2 (>= 2.4.7), libpam0g (>= 0.99.7.1), libsieve2-1, libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, adduser, openssl, patch Recommends: db4.6-util, shared-mime-info Suggests: postfix | exim4 | citadel-mta | mail-transport-agent Conflicts: citadel-common, imap-server, pop3-server Replaces: citadel-common, citadel-mta Provides: imap-server, pop3-server Homepage: http://www.citadel.org/ Priority: extra Section: mail Filename: pool/main/c/citadel/citadel-server_8.14-2_armhf.deb Size: 408338 SHA256: 5a28dbe6379f2d51b2d14ae4a6d36cda5e220481d6cd12f7ae2d1acb7498a895 SHA1: ff98334be4579ca44fa8b3aa9297de7ac1fc0eda MD5sum: b408de6fa105d29ccf25e6247dd0bdb5 Description: complete and feature-rich groupware server Citadel is a complete and feature-rich open source groupware platform. * Email, calendaring/scheduling, address books * Bulletin boards, mailing list server, instant messaging * Multiple domain support * An intuitive, attractive AJAX-style web interface . The Citadel system is extremely versatile. It provides numerous front ends to present to users, such as a text-based interface, an AJAX-style web interface, and many popular PIM clients using SMTP/POP/IMAP. All of these can be used simultaneously. . It's also extremely scalable. Not only can a well-equipped Citadel server support a large number of concurrent users, but you can also build a distributed network of Citadel nodes that share rooms and their content. Package: citadel-suite Source: webcit Version: 8.14-dfsg-1 Installed-Size: 34 Maintainer: Debian Citadel Team Architecture: all Depends: citadel-server, citadel-mta, citadel-webcit, citadel-client Size: 8016 SHA256: 3ac67481f8892e3b17d2a610bd513ed740f369f6fa9d4d010e71f0b983471369 SHA1: a12be97fcf53ee44c72735dabf07facba937cbe6 MD5sum: 148afb9fe7c8fb9f109d8314b6d507fb Description: complete and feature-rich groupware server; metapackage for full installation Citadel is a complete and feature-rich open source groupware platform. * Email, calendaring/scheduling, address books * Bulletin boards, mailing list server, instant messaging * Multiple domain support * An intuitive, attractive AJAX-style web interface . The Citadel system is extremely versatile. It provides numerous front ends to present to users, such as a text-based interface, an AJAX-style web interface, and many popular PIM clients using SMTP/POP/IMAP. All of these can be used simultaneously. . It's also extremely scalable. Not only can a well-equipped Citadel server support a large number of concurrent users, but you can also build a distributed network of Citadel nodes that share rooms and their content. Homepage: http://www.citadel.org/ Tag: office::groupware, role::metapackage Section: mail Priority: extra Filename: pool/main/w/webcit/citadel-suite_8.14-dfsg-1_all.deb Package: citadel-webcit Source: webcit Version: 8.14-dfsg-1 Architecture: armhf Maintainer: Debian Citadel Team Installed-Size: 2272 Depends: libc6 (>= 2.13-28), libcitadel3 (>= 8.14), libexpat1 (>= 2.0.1), libical0 (>= 0.43), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, debconf, tinymce, openssl, locales, libjs-prototype, libjs-scriptaculous, adduser Recommends: shared-mime-info Suggests: citadel-server, apache2, localepurge, gnome-icon-theme Homepage: http://www.citadel.org/ Priority: extra Section: web Filename: pool/main/w/webcit/citadel-webcit_8.14-dfsg-1_armhf.deb Size: 813294 SHA256: 1bbe3d23f989067e9dae1a608d8b0b01535368c9518a673aeaf8b9c6ab7147a9 SHA1: 1a30b735a3e04e8b64f83a81dedda565776143ba MD5sum: 8d75734dd513b3e05b029e8d332fcf8f Description: web-based frontend to Citadel groupware server WebCit is a web-based, AJAX-enabled frontend to the Citadel groupware system. It is an attractive web middleware layer that allows user-friendly access. By combining WebCit and Citadel, you can have a versatile online environment with many users concurrently accessing the same system using the user interface of their choice (text, web, or downloaded client software). Package: cjet Version: 0.8.9-3 Installed-Size: 27 Maintainer: Debian Printing Team Architecture: all Depends: printer-driver-cjet Size: 3272 SHA256: c665c0113e0cf138acf41d4c4f3772e0e4be56654930de93d344023ea4a77ccd SHA1: d1be63a7e8403203c7ba79ff5329ea880d294873 MD5sum: 37f942b5d3a954eaf944957dfec8ad1e Description: transitional dummy package for cjet printer driver This is a transitional dummy package to transition to uniformly named printer-driver-cjet. Tag: admin::hardware, hardware::printer, interface::commandline, role::program, scope::utility, use::driver, use::printing Section: text Priority: extra Filename: pool/main/c/cjet/cjet_0.8.9-3_all.deb Package: cjk-latex Source: cjk Version: 4.8.3+git20120621-1 Installed-Size: 21 Maintainer: Debian TeX maintainers Architecture: all Depends: latex-cjk-common (>= 4.8.3+git20120621-1) Suggests: latex-cjk-all (= 4.8.3+git20120621-1) Conflicts: cjk-latex (<= 4.5.1) Size: 870 SHA256: 4a53e5f11f6a3f3d57e03094166f1841f5ac4c294d39397c3c7e6573636caa20 SHA1: fa3d68922e97cf0a500ffc60e2ac70562027cccf MD5sum: 2f172bc55d5366f2186d4d678fa65d84 Description: installs all LaTeX CJK packages This is a dummy package that allows you to smoothly upgrade from the old cjk-latex to the current latex-cjk-common packages. After the installation of the latex-cjk-common packages, you can safely delete this package. Homepage: http://cjk.ffii.org/ Tag: culture::chinese, culture::japanese, culture::korean, culture::taiwanese, implemented-in::TODO, implemented-in::c, role::plugin, use::editing, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/c/cjk/cjk-latex_4.8.3+git20120621-1_all.deb Package: ckeditor Version: 3.6.1-1 Installed-Size: 3416 Maintainer: Frank Habermann Architecture: all Recommends: javascript-common Size: 707196 SHA256: 2131c14bc7821855b742186c48721de5a5a9ebce7858816a72a630b80cccf660 SHA1: 5e9f3cf98d3e237a8bbba78c4e781e3aeacabb71 MD5sum: ef7c715b79972bb8bdafa02d67bb9c1f Description: text editor for internet CKEditor is a text editor to be used inside web pages. It's a WYSIWYG editor, which means that the text being edited on it looks as similar as possible to the results users have when publishing it. It brings to the web common editing features found on desktop editing applications like Microsoft Word and OpenOffice. Homepage: http://ckeditor.com Tag: implemented-in::ecmascript, interface::web, role::program, scope::application, use::editing, works-with::text Section: web Priority: optional Filename: pool/main/c/ckeditor/ckeditor_3.6.1-1_all.deb Package: ckermit Version: 302-3 Architecture: armhf Maintainer: Ian Beckwith Installed-Size: 3338 Pre-Depends: debconf (>= 0.2.17) Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgcc1 (>= 1:4.4.0), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.10+dfsg~), libncurses5 (>= 5.5-5~), libpam0g (>= 0.99.7.1), libssl1.0.0 (>= 1.0.0), libtinfo5 Recommends: openbsd-inetd | inet-superserver, openssh-client | ssh-client Homepage: http://www.kermitproject.org Priority: extra Section: comm Filename: pool/main/c/ckermit/ckermit_302-3_armhf.deb Size: 1894192 SHA256: 90b5ae3171b855ba8f87f7b6ff2e86f0e1ea4a40fe41d25fa6b4249cd405c8fa SHA1: 83af3bf83173b2eff82a7b9e1cd56b426c0c3019 MD5sum: 80108225a7cd8d0cc2efc318551fa7c8 Description: serial and network communications package C-Kermit is a combined serial and network communication software package offering a consistent, medium-independent, secure cross-platform approach to connection establishment, terminal sessions, file transfer, character-set translation, and automation of communication tasks. Package: ckport Version: 0.1~rc0-3 Installed-Size: 55 Maintainer: Patrick Matthäi Architecture: all Depends: perl, ckport-database (>= 0.1~rc0-3), binutils-multiarch | binutils Recommends: manpages-dev Suggests: cppcheck Size: 9014 SHA256: 79c4ada4449f696b321a5b3e7a5a88014a7b20b10c85a9801e444a2a304f1608 SHA1: 6db81d9d9259e77672d0e1b6c48d64b801a47d6e MD5sum: e6a8203ec2b55c5c8544e1497fb02f1a Description: portability analysis and security checking tool ckport is a tool to check already compiled binaries and libraries for porting and security problems. . It uses objdump to read the binaries and analyses calls and jumps to functions. . This package is architecture independent and can be used on non-host architecture binaries if an objdump tool for the target architecture is installed. Homepage: http://software.keep-cool.org/ckport.html Tag: devel::debugger, devel::lang:c, devel::machinecode, devel::testing-qa, implemented-in::perl, interface::commandline, role::program, use::analysing, use::checking, works-with-format::elf, works-with::db, works-with::file, works-with::software:package Section: devel Priority: optional Filename: pool/main/c/ckport/ckport_0.1~rc0-3_all.deb Package: ckport-database Source: ckport Version: 0.1~rc0-3 Installed-Size: 44 Maintainer: Patrick Matthäi Architecture: all Recommends: ckport Size: 5318 SHA256: 1c23d6215bbe8163bc4ecc51f7ad61d616b177dfc0fe7edf3e2ec0d883eac295 SHA1: 880398571bf6b32c4a1423270c314108f103775f MD5sum: 68d01f511a0791b0128d3211d2f43ee3 Description: portability analysis and security checking tool (Database files) ckport is a tool to check already compiled binaries and libraries for porting and security problems. . It uses objdump to read the binaries and analyses calls and jumps to functions. . This package is architecture independent and can be used on non-host architecture binaries if an objdump tool for the target architecture is installed. . This package contains some common databases. Libraries should provide own databases. Homepage: http://software.keep-cool.org/ckport.html Tag: role::app-data Section: devel Priority: optional Filename: pool/main/c/ckport/ckport-database_0.1~rc0-3_all.deb Package: cksfv Version: 1.3.14-2 Architecture: armhf Maintainer: Sebastien NOEL Installed-Size: 67 Depends: libc6 (>= 2.4) Homepage: http://zakalwe.fi/~shd/foss/cksfv/ Priority: optional Section: utils Filename: pool/main/c/cksfv/cksfv_1.3.14-2_armhf.deb Size: 19920 SHA256: e7601957e0d9c2c97adc85aafaa8af40fa28617cdac12ab1e282dcd6b5563cfb SHA1: e74aec3b940dcc5eae8629b2b2225d40fe807039 MD5sum: 20f77ff09ac46ae355b8de4d51541e65 Description: sfv checker and generator SFV, Simple File Verification, uses crc32 checksums to verify that files are intact. cksfv automates the task of generating and checking .sfv sheets. For integrity, md5 checksums are probably a better alternative, but sfv is a widely used method for verification on the USENET binary newsgroups and other places. Package: cl-acl-compat Source: cl-portable-aserve Version: 1.2.42+cvs.2010.02.08-dfsg-1.1 Installed-Size: 273 Maintainer: Debian Common Lisp Team Architecture: all Depends: cl-ppcre, cl-puri Size: 62464 SHA256: 0fc5162a29d9aeaefaf1e09eab643e988f38779d5e069830470b03de9a18d999 SHA1: 6404273079c94f995f677b4507ac022d9cf538c7 MD5sum: 565e8f3ae584aba1e87aaba766890bb6 Description: Compatibility layer for Allegro Common Lisp A thin compatibility-layer that emulates library functions provided by Allegro Common Lisp. It is used to support a number of Franz's open-source packages which depend upon such library functions. Homepage: http://portableaserve.sourceforge.net/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp, use::converting Section: lisp Priority: optional Filename: pool/main/c/cl-portable-aserve/cl-acl-compat_1.2.42+cvs.2010.02.08-dfsg-1.1_all.deb Package: cl-alexandria Version: 0.0.20100217-1 Installed-Size: 252 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 5.11) Size: 37046 SHA256: 2286984898d6d4238794733062c180a31225847bbe32582d73ecaed2d248d92b SHA1: 539dc0aa959471d2bc67386a4aa0633645b7806c MD5sum: ee4b5c5a9456f4d5e1b2e711f83f3911 Description: A collection of portable Common Lisp utilities alexandria is a collection of utilities in the public domain for Common Lisp . It is a library but also a project that aims to reduce duplication of effort and improve portability of Common Lisp code according to its own idiosyncratic and rather conservative aesthetic. . It is used by other projects as a base to build on. Homepage: http://common-lisp.net/project/alexandria/ Section: lisp Priority: optional Filename: pool/main/c/cl-alexandria/cl-alexandria_0.0.20100217-1_all.deb Package: cl-asdf Version: 2:2.22-1 Installed-Size: 1003 Maintainer: Debian Common Lisp Team Architecture: all Replaces: sbcl-common (<= 1:0.9.13.0-2) Depends: dpkg (>= 1.15.4) | install-info Recommends: common-lisp-controller, sbcl | lisp-compiler Conflicts: common-lisp-controller (<< 7.3), sbcl-common (<= 1:0.9.13.0-2) Breaks: common-lisp-controller (<< 7.3) Size: 582154 SHA256: 5e79c2d462470d1a2a8c00ddf380498307a9f22af7f05fe9e5424a1ec929d513 SHA1: 471eda2e2063d42da2eb76e09a439e9ac8c4a642 MD5sum: 9b754968fe36834cd3d71596e87996ec Description: Another System Definition Facility asdf provides a "make" type functions for Common Lisp software. It drives compilation and dynamic loading for complex Lisp systems with multiple modules and files, and is the de facto standard used by free software written in Common Lisp. Homepage: http://common-lisp.net/project/asdf/ Tag: devel::buildtools, devel::lang:lisp, devel::library, implemented-in::lisp, role::app-data Section: lisp Priority: optional Filename: pool/main/c/cl-asdf/cl-asdf_2.22-1_all.deb Package: cl-aserve Source: cl-portable-aserve Version: 1.2.42+cvs.2010.02.08-dfsg-1.1 Installed-Size: 892 Maintainer: Debian Common Lisp Team Architecture: all Depends: cl-acl-compat, cl-htmlgen, cl-ppcre, cl-puri Suggests: cl-webactions Size: 394224 SHA256: f6dc838683b5b5d93571adff1d9dc04faf77626a82dd2e3bc3ada8a64478b66e SHA1: e9aaf22bc0db049c2c5e13c1bfea23a60021cc61 MD5sum: 4e80514b677e3f29ce2724419c92e0d5 Description: Portable Aserve A portable version of AllegroServe which is a web application server for Common Lisp programs. It also includes an HTTP client function for accessing web sites and retrieving data. Homepage: http://portableaserve.sourceforge.net/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp, protocol::http, role::app-data Section: lisp Priority: optional Filename: pool/main/c/cl-portable-aserve/cl-aserve_1.2.42+cvs.2010.02.08-dfsg-1.1_all.deb Package: cl-awk Version: 1-3 Installed-Size: 132 Maintainer: Matthew Danish Architecture: all Depends: common-lisp-controller (>= 3.37), cl-regex Size: 20952 SHA256: 7e3820259d455df5a650995e4261f5051162a3875d45f560b54064ef8f0e4c3b SHA1: 65fda038b35634c3486295933095272e507083a7 MD5sum: 462c2a4af99bc7cd3eb3430f8028c35d Description: Common Lisp package with the features of AWK and more An implementation of the features of the Unix AWK language, within Common Lisp, using macros. In addition, it provides more complicated processing capabilities than that of AWK. Tag: devel::lang:lisp, devel::library, implemented-in::lisp, role::app-data, use::scanning, works-with::text Section: lisp Priority: optional Filename: pool/main/c/cl-awk/cl-awk_1-3_all.deb Package: cl-babel Version: 0.3.0+20091229-1 Installed-Size: 692 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 5.11), cl-trivial-features, cl-alexandria Size: 143986 SHA256: 60c651bf2a9d45c0e31d9d452b65389a507d02a52442efc46719f67bc23efcda SHA1: 3fefafba482e985767e9fd6f93746feaecce9f19 MD5sum: 23823c11732e8edb56cca7c14e4b8cdc Description: A charset encoding/decoding library, not unlike GNU libiconv Written completely in Common Lisp, not using a foreign library. . It strives to achieve decent performance. Most of the encoding/decoding algorithms have been adapted from Clozure CL's source. . Another important goal is reusability. Similarly to SBCL, it defines an interface wherein the algorithms can be reused between a variety of data types so long we're dealing with conversions between octets and unicode code points. . Babel comes with converters between strings and octet vectors but can be easily extended to deal with, e.g., strings and foreign memory, vectors and Closure's runes, etc... Homepage: http://common-lisp.net/project/babel/ Section: lisp Priority: optional Filename: pool/main/c/cl-babel/cl-babel_0.3.0+20091229-1_all.deb Package: cl-base64 Version: 3.3.3-2 Installed-Size: 56 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11), cl-kmrcl Size: 9372 SHA256: aba6e816dcf0d8d99b7e652202bc457980d5ca856c1bb56b3eaa3de4f0415357 SHA1: 48e05d2a954b95c37dab9265227cdf3bb334ee87 MD5sum: c493cfcf373f5843d38783de4bfafe00 Description: Common Lisp package to encode and decode base64 with URI support This package provides highly optimized base64 encoding and decoding. Besides conversion to and from strings, integer conversions are supported. Encoding with Uniform Resource Identifiers is supported by using a modified encoding table that uses only URI-compatible characters. Homepage: http://files.b9.com/cl-base64/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp Section: lisp Priority: optional Filename: pool/main/c/cl-base64/cl-base64_3.3.3-2_all.deb Package: cl-bordeaux-threads Source: bordeaux-threads Version: 0.0.2-1 Installed-Size: 152 Maintainer: Pierre THIERRY Architecture: all Depends: common-lisp-controller (>= 5.11) Size: 13834 SHA256: 98c3e56d35d95c78da17a2cf8339caacac395d54401d3e1584afb01a15ffe5e4 SHA1: 00879bf065b5f4b61d6a848fcf716c1af1d6a673 MD5sum: 8ebbab2390bf9d90a0e8ffc7167ceee0 Description: Portable shared-state concurrency for Common Lisp BORDEAUX-THREADS is a proposed standard for a minimal MP/Threading interface. . It essentially provides a compatibility layer for multi-threading accross multiple CL implementations. . Some parts of it's implementation-specific code can also be implemented in a Lisp that does not support multiple threads, so that thread-safe code can be compiled on both multithread and single-thread implementations without need of conditionals. Section: lisp Priority: optional Filename: pool/main/b/bordeaux-threads/cl-bordeaux-threads_0.0.2-1_all.deb Package: cl-brlapi Source: brltty Version: 4.4-10+deb7u1 Installed-Size: 106 Maintainer: Debian Accessibility Team Architecture: all Depends: cl-cffi, libbrlapi0.5 Size: 63502 SHA256: c7f6626ba3ec64535b552f361746da3e8987b3d97fc0a15753bc25a3ce49b596 SHA1: dc9f67ffd9f40769fdbe7132d7fb7998e090cdf5 MD5sum: c1c35d6e00693ddf9a8487e641a994de Description: Common Lisp bindings for BrlAPI This package provides a Common Lisp package to access a braille display. Homepage: http://mielke.cc/brltty/ Section: lisp Priority: extra Filename: pool/main/b/brltty/cl-brlapi_4.4-10+deb7u1_all.deb Package: cl-cffi Source: cffi Version: 20100219-2 Installed-Size: 4340 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 5.11), cl-alexandria, cl-trivial-features, cl-babel Size: 2198052 SHA256: f7bd69ea17a717d9e10a77d3f5a7220e98aa02f3271f8f9157ab68f316653c23 SHA1: 57d964730d610e3ee2e272fede40aef2a13c0d91 MD5sum: 7a4c279221d493c52f2b16f1bc01eef5 Description: The Common Foreign Function Interface for Common Lisp CFFI, the Common Foreign Function Interface, purports to be a portable foreign function interface for Common Lisp. The CFFI library is composed of a Lisp-implementation-specific backend in the CFFI-SYS package, and a portable frontend in the CFFI package. . The CFFI-SYS backend package defines a low-level interface to the native FFI support in the Lisp implementation. It offers operators for allocating and dereferencing foreign memory, calling foreign functions, and loading shared libraries. The CFFI frontend provides a declarative interface for defining foreign functions, structures, typedefs, enumerated types. It is implemented in portable ANSI CL making use of the low-level operators exported by CFFI-SYS. . A UFFI compatibility layer is also being developed. Homepage: http://common-lisp.net/project/cffi/ Section: lisp Priority: optional Filename: pool/main/c/cffi/cl-cffi_20100219-2_all.deb Package: cl-clg Source: clg Version: 0.94~20090210-1 Installed-Size: 1904 Maintainer: Mario Lang Architecture: all Depends: common-lisp-controller (>= 3.37), libgtk2.0-dev Size: 329458 SHA256: bd55336b825922cbf5fe682e9500ad3ccd925bd563133c6ecd6f71b0cd16e6ab SHA1: 7ef4455d8eb6ce88ed13ff01c6b720c849174625 MD5sum: afb0230ff5432731981a836d68b2558d Description: Common Lisp bindings to GTK+ v2.x CLG provides Common Lisp bindings to GTK+ for CMUCL, SBCL and CLISP. Homepage: http://sourceforge.net/projects/clg/ Tag: uitoolkit::gtk Section: devel Priority: optional Filename: pool/main/c/clg/cl-clg_0.94~20090210-1_all.deb Package: cl-closer-mop Version: 2:0.6-1 Installed-Size: 224 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 5.11), cl-lw-compat Size: 30220 SHA256: 457f841ccde12c476b0b3ee075e9c8eca30dfb4b5ddfb578babc79adeb93ae84 SHA1: 244fd4599af88fb0bed4d9d61efd13b15e5e4f8f MD5sum: 30733f4f086fe7df341f6cda5ec9c61a Description: Cross Implementation AMOP library This library enhances the different MOP implementations so that they support better the AMOP specifications. . The CLOS spec contained two parts, only the basic level went into the Common Lisp standard. The lower level functions of the AMOP were not included so different implementations differ (mostly slightly) in how to implement the AMOP. . With the help of cl-closer-mop you can use the full power of AMOP on all supported implementations, relying on the library to translate your code. . Supported implementations: Allegro Common Lisp, Clisp, cmucl, LispWorks, OpenMCL and SBCL (version restrictions might apply) Homepage: http://common-lisp.net/project/closer/closer-mop.html Tag: devel::lang:lisp, implemented-in::lisp Section: lisp Priority: optional Filename: pool/main/c/cl-closer-mop/cl-closer-mop_0.6-1_all.deb Package: cl-cluck Version: 0.1.3-2 Installed-Size: 44 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11), cl-kmrcl Size: 7096 SHA256: 24227b4ee1e563ff154a45a43aea617dabb8a86df31e3a232c7f9a01c69c116f SHA1: 8a6228b2fefbf87bcf22f801efe670b976067de5 MD5sum: ea69431001b5a5a43eacddc150f29fde Description: Common Lisp Microcontroller Clock Calculator Cluck provides several functions to help select crystal frequencies, clock prescalers, and compare values to configuring timers on microcontroller chips. Homepage: http://files.b9.com/cluck/ Section: lisp Priority: optional Filename: pool/main/c/cl-cluck/cl-cluck_0.1.3-2_all.deb Package: cl-clx-sbcl Version: 0.7.4-5 Architecture: armhf Maintainer: Milan Zamazal Installed-Size: 1592 Depends: common-lisp-controller, cl-asdf (>= 1.86), dpkg (>= 1.15.4) | install-info Priority: optional Section: lisp Filename: pool/main/c/cl-clx-sbcl/cl-clx-sbcl_0.7.4-5_armhf.deb Size: 453992 SHA256: 434e205413111b1804257fe22788ae300e9cded257bbf51fe2d3fb5fd4ffc52b SHA1: 188a0ca8dfa3039d247fd688e10aac4a25b8ec5c MD5sum: 67103f2057c055ceee5ba3462b2515fe Description: X11 Common Lisp client library for SBCL CLX is a low-level X11 client library for Common Lisp. CLX is to Lisp what Xlib is to C. . This version of CLX originated in a CMUCL distribution, was modified in order to make it compile and run under SBCL and was enhanced with some features from other CLX implementations. It currently runs only on SBCL, but it might be ported to other Common Lisp implementations. Package: cl-contextl Version: 1:0.61-1 Installed-Size: 96 Maintainer: Debian Common Lisp Team Architecture: all Depends: cl-closer-mop (>= 0.31-1), cl-lw-compat Size: 21966 SHA256: 4c463466ef9ba7c171db04945d0faa9d8191828a679d9d6218b31b9c89e8be73 SHA1: 1ee9a3affde1adddf5496e2cd8c798eda11b53c4 MD5sum: bcc7c02d78545f8523f9409f0dbd8671 Description: context orientation for Common Lisp ContextL provides the Common Lisp Object System with functionality for context orientation. It allows the definition of context-specific methods or class slots, so that methods act differently depending on the dynamic context they are executed in. . It further allows developers to rebind places (e.g. slots of objects) dynamically using the "dletf" framework that has previously been present in AspectL. Homepage: http://common-lisp.net/project/closer/contextl.html Tag: devel::lang:lisp, implemented-in::lisp Section: lisp Priority: optional Filename: pool/main/c/cl-contextl/cl-contextl_0.61-1_all.deb Package: cl-fftw3 Version: 1.0-1 Installed-Size: 76 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11), libfftw3-dev, cl-kmrcl, cl-cffi Size: 9702 SHA256: 653351e4639202e4c95f66f976b7f736ccf756310f80f6dcb9515e5c2467e17c SHA1: 5b50a3829c8a7fa97604b81f77721849f6f247f1 MD5sum: 928f4384ceba366c1a8eb1433b886e13 Description: Common Lisp package for using the FFTW3 library This package provides an interface for Common Lisp programs to use the FFTW3 Fourier transform library. A number of higher-level interfaces has been added in addition to the native interface to the FFTW3 C-based library. Homepage: http://files.b9.com/cl-fftw3/ Section: lisp Priority: optional Filename: pool/main/c/cl-fftw3/cl-fftw3_1.0-1_all.deb Package: cl-flexi-streams Source: flexi-streams Version: 1.0.7-2 Installed-Size: 380 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 5.11), cl-trivial-gray-streams Size: 58870 SHA256: 7f6affc109eba29c70e4c06ce00ec83932ce6104465c60b5fa3962a40b6d2693 SHA1: 64821dffbbecce7da74e32c070c610e30331b93b MD5sum: 8482a80bfebc04f08866a121ae0a0901 Description: Flexi-streams: Flexible bivalent streams for Common Lisp FLEXI-STREAMS implements "virtual" bivalent streams that can be layered atop real binary or bivalent streams and that can be used to read and write character data in various single- or multi-octet encodings which can be changed on the fly. It also supplies in-memory binary streams which are similar to string streams. . FLEXI-STREAMS is currently not optimized towards performance - it is rather intended to be easy to use and (if possible) to behave correctly. Homepage: http://weitz.de/flexi-streams/ Section: lisp Priority: optional Filename: pool/main/f/flexi-streams/cl-flexi-streams_1.0.7-2_all.deb Package: cl-flexichain Version: 1.5.1.dfsg.1-2 Installed-Size: 112 Maintainer: Milan Zamazal Architecture: all Depends: common-lisp-controller (>= 5.11), cl-asdf (>= 1.86) Size: 10952 SHA256: 4c5c2d55d935a771bede0e1440506721a18cdd2822e4efc78aa6abaeebb2d2d7 SHA1: 1902c9e05c7fe55f723372050628959113012d35 MD5sum: 52180486dc46664fc2a9bd092814aa74 Description: An efficient gap buffer with a well-defined external protocol The flexichain Common Lisp protocol allows client code to dynamically add elements to, and delete elements from a sequence (or chain) of such elements. Homepage: http://common-lisp.net/project/flexichain/ Section: lisp Priority: optional Filename: pool/main/c/cl-flexichain/cl-flexichain_1.5.1.dfsg.1-2_all.deb Package: cl-ftp Version: 1.3.3-2 Installed-Size: 100 Maintainer: Matthew Danish Architecture: all Depends: common-lisp-controller (>= 3.37), cl-acl-compat, cl-split-sequence Size: 12242 SHA256: 361752a09fb4b11555962d602ad7819001665ba20d20818f819b20ca2d5430f2 SHA1: 641e156a2190d173e42020e40d1838d65cac40d3 MD5sum: 13fb491087c29fe26933f87257d64ab0 Description: Common Lisp FTP library Provides basic FTP client functionality to Common Lisp programs as well as macros to assist in writing additional functionality, and a few helpful utility functions. Tag: devel::lang:lisp, devel::library, implemented-in::lisp, protocol::ftp, role::app-data, use::downloading Section: lisp Priority: optional Filename: pool/main/c/cl-ftp/cl-ftp_1.3.3-2_all.deb Package: cl-getopt Version: 1.2.0-3 Installed-Size: 40 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11), cl-ptester Size: 5774 SHA256: f59a6fc28335b6f5e2d4fbf34a3d367824f1006cb3971190d8feabd8766ac390 SHA1: 65b385b779c592c599fff160670a4f6cbe5032c2 MD5sum: 06d738556f26350492552bd714709627 Description: Common Lisp utility for command-line processing This package provides Common Lisp programs processing of command-line arguments. The command-line processing is based on GNU's getopt_long module. Homepage: http://files.b9.com/getopt/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp, interface::commandline Section: lisp Priority: optional Filename: pool/main/c/cl-getopt/cl-getopt_1.2.0-3_all.deb Package: cl-htmlgen Source: cl-portable-aserve Version: 1.2.42+cvs.2010.02.08-dfsg-1.1 Installed-Size: 92 Maintainer: Debian Common Lisp Team Architecture: all Depends: cl-ppcre, cl-puri Size: 21212 SHA256: d4ea67094046fba1b35ebebdbc2d6808a30fb7e02c4883bf99540eeb742d57e3 SHA1: 1dbc9913ed92f85978575828dfbc43e1bcfa8f68 MD5sum: 72f6f40adb2d2d291f997fb5ceaee753 Description: HTML generation library for Common Lisp programs cl-htmlgen is Franz's library for generating HTML from Common Lisp programs. It is limited to generating HTML code, so you may want to use the cl-lml2 Debian package for generating XHTML code. Homepage: http://portableaserve.sourceforge.net/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp, use::converting, works-with-format::html, works-with::software:source, works-with::text Section: lisp Priority: optional Filename: pool/main/c/cl-portable-aserve/cl-htmlgen_1.2.42+cvs.2010.02.08-dfsg-1.1_all.deb Package: cl-hyperobject Version: 2.12.0-1 Installed-Size: 1032 Maintainer: Kevin M. Rosenberg Architecture: all Depends: cl-kmrcl, cl-rt Size: 23892 SHA256: 3a56ff7da1cd53a89c81ad1adad331f545acac5eaa473239f502a4c94eface66 SHA1: 4476593843d89bb82a15a30e57ca255a76e8ebf6 MD5sum: 6b471fb27c3e6d8a839d2adedf3d48ca Description: Common Lisp library for hyperobjects This package contains a library for creating and display hyperobjects. Hyperobjects contain references to subobjects as well as to linked objects. This package includes functions to display hyperobjects in multiple formats: ASCII Text, HTML, XML with optional field labels and hyperlinks. Homepage: http://hyperobject.b9.com/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp, role::app-data, works-with-format::html, works-with-format::xml, works-with::text Section: lisp Priority: optional Filename: pool/main/c/cl-hyperobject/cl-hyperobject_2.12.0-1_all.deb Package: cl-irc Version: 1:0.8.1-dfsg-3.1 Installed-Size: 1024 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 5.11), cl-split-sequence, cl-flexi-streams, cl-usocket Size: 851160 SHA256: 507bb64180d8eb3d6bdba9d3b50a78e9a14cfeb1552f88c5d69c37ac30275059 SHA1: 3d68e53bf48509ae243121a7101f9969193a84dc MD5sum: b4550fe2475a27baf4036269094781a5 Description: Common Lisp Internet Relay Chat Library cl-irc provides a library for Common Lisp programs to interact with IRC servers. This library has been most tested with SBCL. Several example programs are provided. Homepage: http://common-lisp.net/project/cl-irc/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp, protocol::irc, use::chatting Section: lisp Priority: optional Filename: pool/main/c/cl-irc/cl-irc_0.8.1-dfsg-3.1_all.deb Package: cl-irc-logger Version: 0.9.4-3 Installed-Size: 60 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11), cl-irc, cl-ppcre Size: 11282 SHA256: 89c159cc22c865f029421e3ba66aef6efe6dbc44f3ae07bcb2f5d6e626509b17 SHA1: dd919418dbd700c2655a34b405bb5641a7e8da80 MD5sum: 43a0eb095c3a44305a994a4ea6fa9576 Description: Internet Relay Channel Logger cl-irc-logger provides a multi-channel logger for IRC. It is a library written in Common Lisp and requires knowledge of Common Lisp to setup and use this library. Homepage: http://files.b9.com/irc-logger/ Tag: admin::logging, devel::lang:lisp, devel::library, implemented-in::lisp, protocol::irc, use::chatting, works-with::logfile Section: lisp Priority: optional Filename: pool/main/c/cl-irc-logger/cl-irc-logger_0.9.4-3_all.deb Package: cl-kmrcl Version: 1.106-1 Installed-Size: 1488 Maintainer: Kevin M. Rosenberg Architecture: all Depends: cl-rt Size: 57460 SHA256: cb0213ad8fb919232eecdd19f9dd120c5b3683212e1eaca9852777237ebb4add SHA1: 4954ed40304f429cfcd69b44dfff74ec7e537eb4 MD5sum: b02a0440864773451e54526dc86e916a Description: General Utilities for Common Lisp Programs This package includes general purpose utilities for Common Lisp programs. It is packages for Debian primarily to support more complex Common Lisp packages by the upstream author Kevin Rosenberg. Homepage: http://files.b9.com/kmrcl/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp Section: lisp Priority: optional Filename: pool/main/c/cl-kmrcl/cl-kmrcl_1.106-1_all.deb Package: cl-launch Version: 3.018-1 Installed-Size: 160 Maintainer: Francois-Rene Rideau Architecture: all Recommends: common-lisp-controller (>= 7.3), sbcl (>= 1:1.0.40) Suggests: cl-asdf (>= 2:2.015) Breaks: cl-asdf (<< 2:2.015), common-lisp-controller (<= 7.2) Size: 63412 SHA256: aa99868487f4c75921167ee3562b32dc0ca0f6f136f449ec8e889be5f178169e SHA1: bab15c2e0f069ac3451603688a8dc7fd8a71dac4 MD5sum: b69525867631d326fe32e4524a0c9050 Description: uniform frontend to running Common Lisp code from the shell CL-Launch will allow you to invoke Common Lisp source code from the shell command line or from a shell script. It will also allow you to turn your Common Lisp source programs into standalone executables or executable shell scripts (optionally using a dumped image), depending on the features available in your underlying implementation. . CL-Launch will automatically detect a supported Common Lisp implementation and use adequate invocation options. It can also be configured to fit exactly the programmer's desires. Fully supported implementations are: Allegro, CLISP, ClozureCL, CMUCL, ECL, GCL 2.7, SBCL, SCL. Of these, SBCL, ECL and CLISP support creating standalone executables. Partially supported implementations are: LispWorks Professional, ABCL, GCL 2.6, XCL. . CL-Launch also offers Common Lisp programs a simple uniform interface to invocation parameters (command-line arguments and environment variables). It relies on ASDF 2 for system construction. See the ASDF 2 manual for more information on how to configure source and object code location. CL-Launch may dump memory images for fast startup (at the expense of disk space). It can also be used as a quick way to evaluate and print simple Lisp forms or scripts from the command-line without invoking a full-fledged REPL, and to easily compare results between implementations. Homepage: http://www.cliki.net/cl-launch Tag: devel::lang:lisp, implemented-in::lisp, interface::commandline, scope::utility Section: lisp Priority: optional Filename: pool/main/c/cl-launch/cl-launch_3.018-1_all.deb Package: cl-lexer Version: 1-4 Installed-Size: 92 Maintainer: Matthew Danish Architecture: all Depends: common-lisp-controller (>= 3.37), cl-regex Size: 7950 SHA256: b87db2c4b080f49099dd12f9d897abeaec27a95862b942891d94f71bfd46047e SHA1: 3ab95c0087e08b6ce015c381b27f3937335eb74a MD5sum: eba6f4bc104d457a35e5e6a1f304b5f8 Description: Lexical-analyzer-generator package for Common Lisp Implements a lexical-analyzer-generator called DEFLEXER, built on top of REGEX and CLAWK. Tag: devel::code-generator, devel::lang:lisp, devel::library, implemented-in::lisp, role::app-data Section: lisp Priority: optional Filename: pool/main/c/cl-lexer/cl-lexer_1-4_all.deb Package: cl-lml Version: 2.5.7-4 Installed-Size: 100 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11) Size: 17474 SHA256: e71f00440f20d9daf1d38cb413cc5da24386769dbd30c40491d085143c6123de SHA1: 0d85f8e59e0aacb0505c2d08433e7ad4c6777426 MD5sum: ab97df32380994989416641016b7505b Description: Lisp Markup Language LML provides a markup language for generation XHTML web pages. It is a different syntax than cl-lml2 which some people like better. In general, though, cl-lml2 is faster at compiling and generating XHTML than this package. Homepage: http://lml.b9.com/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp, role::app-data, works-with-format::html, works-with::text Section: lisp Priority: optional Filename: pool/main/c/cl-lml/cl-lml_2.5.7-4_all.deb Package: cl-lml2 Version: 1.6.6-4 Installed-Size: 136 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11), cl-kmrcl Size: 22950 SHA256: a7d54df6e7b4f08f657781ca5ee09c226cb4ebd86831b43427976084b2ccd073 SHA1: f6d7717172b16507192250ac70e2b695b42f0e8c MD5sum: e2084ecb2b525f2fa27f53293527c5ef Description: Lisp Markup Language LML2 provides a markup language for generation XHTML web pages. . The usage of LML2 is incompatible with the original LML version. However, the two versions may be used along side each other. Homepage: http://lml2.b9.com/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp, role::app-data, works-with-format::html, works-with::text Section: lisp Priority: optional Filename: pool/main/c/cl-lml2/cl-lml2_1.6.6-4_all.deb Package: cl-lw-compat Version: 0.23-1 Installed-Size: 72 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 5.11) Conflicts: cl-aspectl (<= 0.6.5-1) Size: 4020 SHA256: bb5f54c429fbc2747b4672fae8abfc947f3225285bae4ace97dde60e90838b01 SHA1: 2e6f922dc9e868f7f5adc2b15bfd813389f5cd70 MD5sum: 0b34cbac0a451fbff47ab632fd89fd03 Description: LispWorks Compatibility Library This library a portable implementation of a set of utility functions provided by lwl. It is required by cl-closer-mop. Homepage: http://www.cliki.net/lw-compat Tag: devel::lang:lisp, implemented-in::lisp Section: lisp Priority: optional Filename: pool/main/c/cl-lw-compat/cl-lw-compat_0.23-1_all.deb Package: cl-mcclim Version: 0.9.6.dfsg.cvs20100315-1 Installed-Size: 6408 Maintainer: Milan Zamazal Architecture: all Depends: common-lisp-controller (>= 5.11), cmucl-source | cl-clx-sbcl | libgtk2.0-dev, cmucl-source | cl-clx-sbcl | cl-cffi, cl-spatial-trees (>= 0.1-2), cl-flexichain (>= 1.5.1), cl-swank Suggests: cl-mcclim-doc, cl-mcclim-examples, cmucl-source, cl-clx-sbcl, libgtk2.0-dev, cl-cffi Size: 1188824 SHA256: 4202e43f6babe768c5429fcdeedfe7b7438c2666943d010c1e0a45e1e5109bf9 SHA1: 5661812b66d296234c52050e732c444a8f92c781 MD5sum: 80246c2def76ad2bdb345000f5c5a575 Description: Common Lisp graphic user interface toolkit McCLIM is a free implementation of the CLIM specification. CLIM (Common Lisp Interface Manager) is a cross-platform, cross-implementation graphic user interface toolkit for Common Lisp programs. . This package contains the McCLIM library. . Please note that a CLX implementation compatible with your CL system, i.e. cl-clx-sbcl for sbcl and cmucl-source for cmucl, is required to compile and run cl-mcclim. Homepage: http://common-lisp.net/project/mcclim/ Tag: devel::lang:lisp, devel::library, devel::ui-builder, implemented-in::lisp, interface::x11, x11::library Section: lisp Priority: optional Filename: pool/main/c/cl-mcclim/cl-mcclim_0.9.6.dfsg.cvs20100315-1_all.deb Package: cl-mcclim-doc Source: cl-mcclim Version: 0.9.6.dfsg.cvs20100315-1 Installed-Size: 36 Maintainer: Milan Zamazal Architecture: all Suggests: cl-mcclim Size: 4050 SHA256: cfecc69a43bcc3802be96b2845fe8dc801201e0477e88a70d479f57ce224db4f SHA1: 6b3abf9dfef7feecca9e2f0dcbdab2616585ab7e MD5sum: 2922f09ed0412463a372d146ef466853 Description: Graphic user interface package for Common Lisp programs McCLIM is a free implementation of the CLIM specification. CLIM (Common Lisp Interface Manager) is a cross-platform, cross-implementation graphic user interface toolkit for Common Lisp programs. . This package contains McCLIM documentation. Homepage: http://common-lisp.net/project/mcclim/ Tag: devel::doc, devel::lang:lisp, devel::library, devel::ui-builder, interface::x11, made-of::postscript, role::documentation, x11::library Section: doc Priority: optional Filename: pool/main/c/cl-mcclim/cl-mcclim-doc_0.9.6.dfsg.cvs20100315-1_all.deb Package: cl-mcclim-examples Source: cl-mcclim Version: 0.9.6.dfsg.cvs20100315-1 Installed-Size: 320 Maintainer: Milan Zamazal Architecture: all Depends: common-lisp-controller (>= 5.11) Recommends: cl-mcclim Size: 50698 SHA256: d8d9a47c0f63acad7d97bf5c8de3ae3af545cdffa406af640e96cba5d95c4000 SHA1: df1d529d169147cd241bf3569c2422b667abf6fe MD5sum: 8bad95500fa18bcb3040b6cb3b79f8e0 Description: Common Lisp graphic user interface toolkit McCLIM is a free implementation of the CLIM specification. CLIM (Common Lisp Interface Manager) is a cross-platform, cross-implementation graphic user interface toolkit for Common Lisp programs. . This package contains example files for McCLIM. . Please note that a CLX implementation compatible with your CL system, i.e. cl-clx-sbcl for sbcl and cmucl-source for cmucl, is required to compile and run cl-mcclim and cl-mcclim-examples. Homepage: http://common-lisp.net/project/mcclim/ Tag: devel::examples, devel::lang:lisp, devel::library, devel::ui-builder, implemented-in::lisp, interface::x11, role::documentation, x11::library Section: lisp Priority: optional Filename: pool/main/c/cl-mcclim/cl-mcclim-examples_0.9.6.dfsg.cvs20100315-1_all.deb Package: cl-md5 Version: 1:1.8.5-1 Installed-Size: 96 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 5.11) Size: 12170 SHA256: d2a18b7e80dba1c17256e6455ae7b1ad8a2875e7398543742b3eec6be04960a4 SHA1: 2393bdbbbe2a632ed06231c0f774959f28865bef MD5sum: a006fcea65c3ed063f7b82ea08adbf45 Description: Common Lisp package for MD5 Message Digests This package contains a Common Lisp function to calculate the MD5 message digest of a string, stream, or file. Homepage: http://www.pmsf.de/resources/lisp/MD5.html Tag: devel::lang:lisp, devel::library, implemented-in::lisp, role::app-data, security::integrity Section: lisp Priority: optional Filename: pool/main/c/cl-md5/cl-md5_1.8.5-1_all.deb Package: cl-memstore Version: 1.1.0-1 Installed-Size: 740 Maintainer: Kevin M. Rosenberg Architecture: all Depends: cl-rt, cl-kmrcl Size: 18628 SHA256: bde13ed81cbc05102d6b77ef885ee52b87c1fb1266b6d1e5946d071a91c08da4 SHA1: 3a265137038464b46599041f4e32590b4adb127a MD5sum: 9444a8d131efa14a1da7114760e1b936 Description: Memstore library for Common Lisp Programs This package contains the Common Lisp memstore library. This library provides high-level object serialization, including optional compression as well as an interface to the memcached protocol. Homepage: http://files.b9.com/memstore/ Section: lisp Priority: optional Filename: pool/main/c/cl-memstore/cl-memstore_1.1.0-1_all.deb Package: cl-modlisp Version: 0.6-7 Installed-Size: 56 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11), libapache2-mod-lisp, cl-kmrcl Size: 10472 SHA256: f03ab04420a0f7861f5d35f91b8dfdfa0e6279bf3168d1642b4f1200f0c513ab SHA1: b83c3662c392e1e18702857902f3620dc6a32dc0 MD5sum: d5d3206d4f7365eb870267183a45003c Description: Common Lisp interface to the Apache mod-lisp module cl-modlisp provides a Common Lisp interface to the mod_lisp Apache module. The mod_lisp is a low-level Apache interface. This package provides a higher level layer on top of mod_lisp. The package has support for CMUCL, SBCL, CLISP, AllegroCL, and Lispworks. Tag: devel::lang:lisp, devel::library, implemented-in::lisp, suite::apache Section: lisp Priority: optional Filename: pool/main/c/cl-modlisp/cl-modlisp_0.6-7_all.deb Package: cl-pg Version: 1:20061216-5 Installed-Size: 256 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 5.11) Size: 47178 SHA256: 9d1633963e3fe8add6a8df77e9928ac6ab7eb873f231393f384593cb6400f375 SHA1: 6722c76e4825a1b50425edc2cd163e5c51a098d4 MD5sum: 3e6a89124691ff13345dc799c99d243e Description: Common Lisp library that provides a socket level postgresql interface Pg is a socket-level interface to the PostgreSQL object-relational Database. The Library implements the client part of the frontend/backend protocol, so does not require interfacing with the libpq library. SQL types are converted to the equivalent Common Lisp types where possible. Supports large objects (BLOBs). Homepage: http://common-lisp.net/project/pg/ Tag: devel::lang:lisp, devel::lang:sql, devel::library, implemented-in::lisp, role::app-data, works-with::db Section: lisp Priority: optional Filename: pool/main/c/cl-pg/cl-pg_20061216-5_all.deb Package: cl-photo Version: 0.14-4 Installed-Size: 80 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11), cl-kmrcl Size: 12876 SHA256: 6ac91ebe5f099a28d7774ddd2d43fd9042d7de8cab20add39d313e7f02f20aeb SHA1: 84278918f323f9c673ae1a9c3bf1102e7830fcdc MD5sum: 09fae22a0a4b86f3e53e2def828380df Description: photographic calculator in Common Lisp cl-photo provides multiple photographic formulas for to assist with taking photographs. A significant camera database is included. Field of view and depth of field are well supported. Homepage: http://files.b9.com/cl-photo/ Tag: devel::lang:lisp, implemented-in::lisp Section: lisp Priority: optional Filename: pool/main/c/cl-photo/cl-photo_0.14-4_all.deb Package: cl-pipes Version: 1.2.1-5 Installed-Size: 40 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11) Size: 6492 SHA256: e255a355c40cef5dd63d6176fd276469556784db2b9dfc06bc33dc89e8a5de3b SHA1: 71ff733e48303de1cf4f3c0abc3c1d8ec53a7312 MD5sum: 858e315a31c7b11ec73f4992f43c5497 Description: Common Lisp library for pipes or streams This package has functions for manipulating pipes, also called streams. This package is adapted from an implementation in Peter Norvig's Paradigms of Artificial Intelligence Programming. Homepage: http://files.b9.com/pipes/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp, role::app-data Section: lisp Priority: optional Filename: pool/main/c/cl-pipes/cl-pipes_1.2.1-5_all.deb Package: cl-plplot Version: 0.6.0-3 Installed-Size: 556 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 7.0), cl-cffi, libplplot-dev Size: 223322 SHA256: bcd892c4db3f9c581c706bf75efbf774721835148cc07bd23f25f2675fdd6f1f SHA1: af9bfc3f6d2863cf92fd25f5d938a109311147f2 MD5sum: 40b313af81de2090e2bea31fed4deefe Description: CFFI based interface to the PLplot scientific plotting library Cl-plplot provides a CFFI based interface to the PLplot graphics library. The PLplot graphics library supports the drawing of many different types of 2D and 3D graphs using a large variety of output devices including X11, postscript and png. PLplot can also be used to make scaled drawing, or diagrams. . At present, cl-plplot consists of two packages, one is low-level interface to the PLplot library and the other is high-level plotting interface that attempts to make using PLplot with Lisp easier. Homepage: http://common-lisp.net/project/cl-plplot/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp, role::app-data, role::devel-lib, use::viewing Section: lisp Priority: optional Filename: pool/main/c/cl-plplot/cl-plplot_0.6.0-3_all.deb Package: cl-postoffice Version: 1.8.2.3-4 Installed-Size: 212 Maintainer: Debian Common Lisp Team Architecture: all Depends: cl-acl-compat, common-lisp-controller (>= 3.47) Size: 37948 SHA256: 851cb8788fd6893a2600844e03fd1f2595c794e355a12dc9a2ce79ea995302d8 SHA1: 77e9dbff11b5a941b5a69bc526cb5a9740a06882 MD5sum: 228c0bff495dd25edaeaf8a6882b1566 Description: SMTP, POP, & IMAP interface library for Common Lisp Programs This is a Franz's open-source Postoffice package which includes libraries for SMTP, POP, and IMAP clients. This package uses the ACL-COMPAT module for operation on non-Allegro Common Lisp implementations. Homepage: http://opensource.franz.com/postoffice/index.html Tag: devel::lang:lisp, devel::library, implemented-in::lisp, mail::imap, mail::pop, mail::smtp, protocol::imap, protocol::pop3, protocol::smtp, role::app-data Section: lisp Priority: optional Filename: pool/main/c/cl-postoffice/cl-postoffice_1.8.2.3-4_all.deb Package: cl-ppcre Version: 2.0.3-1 Installed-Size: 443 Maintainer: Debian Common Lisp Team Architecture: all Size: 102340 SHA256: 9cca22c286867a39c189565129a3048e0dd3333b7f3e1ee573aeb978a6d10a22 SHA1: d256b8fe01fa1bf298eaa15e697c72d878e19a6a MD5sum: db84bfd7211edd3ef9925ba93e6a6d0a Description: Portable Regular Express Library for Common Lisp CL-PPCRE is a portable regular expression library for Common Lisp which has the following features: . * It is compatible with Perl. * It is fast. * It is portable. Homepage: http://weitz.de/cl-ppcre/ Tag: devel::lang:lisp, devel::lang:perl, devel::library, implemented-in::lisp, use::searching Section: lisp Priority: optional Filename: pool/main/c/cl-ppcre/cl-ppcre_2.0.3-1_all.deb Package: cl-ptester Version: 2.1.2-6 Installed-Size: 116 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 5.11) Size: 13458 SHA256: f515660c873c9d052c6a286c0ddacfb6418542b387e989b200879fca649f5483 SHA1: e74ce50ec09e7b2483949a54afb2a0b4192d5786 MD5sum: 04ee183d09cb96d50a26defa0d5bfa2c Description: Test suite for Common Lisp programs ptester is portable version of Franz's. opensource testing suite. It is designed for writing regression test suites for Common Lisp packages. Homepage: http://opensource.franz.com/test/test-dist/tester.cl Tag: devel::lang:lisp, devel::library, devel::testing-qa, implemented-in::lisp, role::app-data Section: lisp Priority: optional Filename: pool/main/c/cl-ptester/cl-ptester_2.1.2-6_all.deb Package: cl-pubmed Version: 2.1.3-5 Installed-Size: 44 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11), cl-kmrcl, cl-aserve Size: 7248 SHA256: 08103268db6eddc44aa5b18b0d2987042ed84bdb9de116738d9a38b356ec8992 SHA1: 139279823294a82f9075cf250d3863ae2c3a2663 MD5sum: ac475c3955210f537d0293e342587a13 Description: Common Lisp package to query Pubmed medical literature database This library has functions for querying the PubMed medical literature database and parsing the XML results into Common Lisp objects. This package uses the cl-aserve for HTTP fetches. Homepage: http://files.b9.com/pubmed/ Tag: devel::lang:lisp, devel::library, field::biology, implemented-in::lisp Section: lisp Priority: optional Filename: pool/main/c/cl-pubmed/cl-pubmed_2.1.3-5_all.deb Package: cl-puri Version: 1.5.5-1 Installed-Size: 124 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11) Recommends: cl-ptester Size: 26236 SHA256: b68385f17d43b33dbe720ebf35bf8a8a9557ba7a18063e55cc4a744379cfb966 SHA1: d940429c22767fc6df577400aa39b5faa46d54c3 MD5sum: ffd9ae1b34d6217135ba0ad729de541a Description: Common Lisp Portable URI Library This is portable Universal Resource Identifier (RFC 2396) library for Common Lisp programs. It is based on Franz, Incorporated opensource package and ported to run on other CL implementations. A regression test package is included. Homepage: http://files.b9.com/puri/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp Section: lisp Priority: optional Filename: pool/main/c/cl-puri/cl-puri_1.5.5-1_all.deb Package: cl-quicklisp Source: quicklisp Version: 1.0-1 Installed-Size: 108 Maintainer: Sebastian Tennant Architecture: all Depends: sbcl | lisp-compiler Size: 14366 SHA256: 5faad486055baf703cda1593985420c1adbdc50fa1b4e329204fee2f99a970fa SHA1: 82170bdef6041a9926ea05e10b982cba05adb949 MD5sum: 8e17a99b3b0d6a5f7641195b6333dc4a Description: library manager for Common Lisp Once installed, Quicklisp can download, install, and load over 500 Common Lisp libraries with a few simple Lisp commands. It currently works with ABCL, Allegro CL, Clozure CL, CLISP, CMUCL, ECL, LispWorks, SBCL, and Scieneer CL. Homepage: http://quicklisp.org Section: lisp Priority: optional Filename: pool/main/q/quicklisp/cl-quicklisp_1.0-1_all.deb Package: cl-regex Version: 1-3 Installed-Size: 248 Maintainer: Matthew Danish Architecture: all Depends: common-lisp-controller (>= 3.37) Size: 39536 SHA256: e524f801f020ff68ea19081c0acb9b15be6b501f3738a8849c8548a7e090eb05 SHA1: 3039f2ccd77db67b2994f01a790e386e35d72ae3 MD5sum: 115eb35ea7bfac5a043639b92a8fb816 Description: Common Lisp regular expression compiler/matcher A fully-featured regular expression compiler and matching engine for Common Lisp that claims to be roughly 5x-20x times faster than the GNU regex matcher written in C. Tag: devel::lang:lisp, devel::library, implemented-in::lisp, role::app-data, use::searching Section: lisp Priority: optional Filename: pool/main/c/cl-regex/cl-regex_1-3_all.deb Package: cl-reversi Version: 1.0.14-4 Installed-Size: 476 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11) Size: 96722 SHA256: 02f154a83ade8986e5eab93d28d22ea35a11c372fe665b22aff4239fb80c809b SHA1: 9cf6746395f4818096d6be3b48e3123b689f6f39 MD5sum: 0c250252417d9ab03e3e215ead453948 Description: Reversi game for Common Lisp This package is based on Peter Norvig's reversi program in the book Paradigms of Artificial Intelligence. Compared to the code in the book, this package employs significant optimizations and includes a CLIM-based graphical user interface. Homepage: http://files.b9.com/reversi/ Tag: devel::lang:lisp, devel::library, game::board, implemented-in::lisp, role::app-data, use::gameplaying Section: lisp Priority: optional Filename: pool/main/c/cl-reversi/cl-reversi_1.0.14-4_all.deb Package: cl-rlc Version: 0.1.3-3 Installed-Size: 36 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11), cl-kmrcl, xgraph Size: 4650 SHA256: 3489cbbf87fb81f189dddea53251e0db39d062467b3145959e7c685fc97c5caf SHA1: 8175f08c1fa592c3fcb98dff9b4e0a481b817847 MD5sum: f46e11cf55c0338d7f1087706c38a07d Description: Common Lisp RLC Circuit Simulator cl-rlc provides a simulator for RLC (resistance, inductance, capacitance) circuits. It is written in Common Lisp and uses the xgraph package for plotting. Homepage: http://files.b9.com/rlc/ Tag: devel::lang:lisp, devel::library, field::electronics, hardware::emulation, implemented-in::lisp Section: lisp Priority: optional Filename: pool/main/c/cl-rlc/cl-rlc_0.1.3-3_all.deb Package: cl-rsm-mod Version: 1.4 Installed-Size: 92 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 3.37) Recommends: cl-ptester Size: 8702 SHA256: 296a14e8b56757f4a4dfc044b26a5a7f7f35f49f4d3c15d634b9d8033e2cee26 SHA1: 8c05c381e378aa1aa808debbe2bac64e4d829349 MD5sum: 7b448463a4a82a470bfd158d76e631a4 Description: McIntire's Common Lisp Modular Arithmetic Library This is R. Scott McIntire's Common Lisp modular arithmetic function library. It supports large number arithmetic. Tag: devel::lang:lisp, devel::library, field::mathematics, implemented-in::lisp Section: lisp Priority: optional Filename: pool/main/c/cl-rsm-mod/cl-rsm-mod_1.4_all.deb Package: cl-rss Version: 0.1.1-6 Installed-Size: 28 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11), cl-kmrcl, cl-xmls, cl-aserve, cl-ptester Size: 4972 SHA256: 648c76b8f2898470b27634dc0d6d425505b5853ff0edc50e7a256ae1dbc1f9f1 SHA1: 8d498e5d43be65cbe518ea7df8d4576dea327126 MD5sum: 7ffebda771332c017cfbd6af927750fd Description: Common Lisp RSS processor This package provides a Common Lisp library for fetching and parsing Remote Site Summary data via HTTP. Currently, it supports RSS versions 0.90, 0.91, and 0.92. Homepage: http://files.b9.com/cl-rss/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp, protocol::http, use::downloading, works-with-format::xml, works-with-format::xml:rss Section: lisp Priority: optional Filename: pool/main/c/cl-rss/cl-rss_0.1.1-6_all.deb Package: cl-rt Version: 20040621-4 Installed-Size: 88 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 5.11) Size: 14328 SHA256: 9d4edf41ff0ddea20dd3089e3f34bc3cfd7c0cb964757068a310e800571d7444 SHA1: 0280476d38474a45b0e10be08169dec8ba3bdef8 MD5sum: 1852cfc74fdc9266c6e802159d8c7cbd Description: Common Lisp regression tester from MIT This is MIT's regression tester for Common Lisp programs. It provides a framework for writing regression tests for Common Lisp packages. This package includes Paul Dietz's modifications to the original MIT release. Homepage: http://www-2.cs.cmu.edu/afs/cs/project/ai-repository/ai/lang/lisp/code/testing/rt/ Tag: devel::lang:lisp, devel::library, devel::testing-qa, implemented-in::lisp, role::app-data Section: lisp Priority: optional Filename: pool/main/c/cl-rt/cl-rt_20040621-4_all.deb Package: cl-salza Version: 0.7.4-1 Installed-Size: 144 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 3.37) Size: 18390 SHA256: 51e99d78cb2d61839abf610159cf166a21ac483db7ea4f0ec6fb3360e6333f20 SHA1: afd2d7cc9d362501b204eb06d7b07e535bfd7aa3 MD5sum: aabecabdc1695a446cb829cf1a423fce Description: Common Lisp package to write compressed data Salza is an implementation of the DEFLATE compressed data format, described in RFC 1951, and the ZLIB compressed data format, described in RFC 1950. Currently, only output to a compressed file is supported. Homepage: http://www.cliki.net/Salza Tag: devel::lang:lisp, devel::library, implemented-in::lisp, role::app-data, use::compressing Section: lisp Priority: optional Filename: pool/main/c/cl-salza/cl-salza_0.7.4-1_all.deb Package: cl-spatial-trees Version: 0.2-3 Installed-Size: 140 Maintainer: Milan Zamazal Architecture: all Depends: common-lisp-controller (>= 5.11), cl-asdf (>= 1.86) Size: 18292 SHA256: c05027156ed06633526a4ce34214fffb2188f9c4f0e05ff6edaa1a7373f43015 SHA1: 1c676f940430ebb3faae9e14844680da3d7d4185 MD5sum: 104ebf35ef0acc88b22c3c09c2e6dc75 Description: Spatial trees Common Lisp library spatial-trees provides access to dynamic index structures for spatially-extended data, all exposed through a uniform CLOS interface. Currently it supports the following data structures: R-trees, Greene-trees, R*-trees, X-trees. Homepage: http://www.cliki.net/spatial-trees Section: lisp Priority: optional Filename: pool/main/c/cl-spatial-trees/cl-spatial-trees_0.2-3_all.deb Package: cl-speech-dispatcher Source: speech-dispatcher Version: 0.7.1-6.2 Installed-Size: 78 Maintainer: Boris Dušek Architecture: all Depends: common-lisp-controller, cl-regex Size: 15996 SHA256: 6c510c54ed51ae11c7ae2537e95fb56a1243dde2feb6c101122609d99cb44503 SHA1: 1194569bcdd50c789bcc356f4986bb673c8ec636 MD5sum: 223a5f123f41fb01d93750c748219017 Description: Common Lisp interface to Speech Dispatcher Speech Dispatcher provides a device independent layer for speech synthesis. It supports various software and hardware speech synthesizers as backends and provides a generic layer for synthesizing speech and playing back PCM data via those different backends to applications. . Various high level concepts like enqueueing vs. interrupting speech and application specific user configurations are implemented in a device independent way, therefore freeing the application programmer from having to yet again reinvent the wheel. . This package contains a Common Lisp library for communication with Speech Dispatcher. Homepage: http://devel.freebsoft.org/speechd Tag: accessibility::speech, devel::lang:lisp, devel::library, implemented-in::lisp, works-with::audio Section: lisp Priority: extra Filename: pool/main/s/speech-dispatcher/cl-speech-dispatcher_0.7.1-6.2_all.deb Package: cl-split-sequence Version: 20050802-3 Installed-Size: 80 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 5.11) Size: 4988 SHA256: 08147332316d2a9cf7b625cc0395599996fd142b2d4abfcc80518ce4a77e6aeb SHA1: bbf1776ceae06a787dcd6c694217c895d5042551 MD5sum: 61276e216fb59fe61e9e8de7961b93fa Description: Common Lisp package split a sequence of objects This package contains functions to partition a Common Lisp sequence into multiple result sequences. Homepage: http://www.cliki.net/SPLIT-SEQUENCE Tag: devel::lang:lisp, devel::library, implemented-in::lisp, role::app-data Section: lisp Priority: optional Filename: pool/main/c/cl-split-sequence/cl-split-sequence_20050802-3_all.deb Package: cl-sql Version: 6.2.0-1 Installed-Size: 1793 Maintainer: Kevin M. Rosenberg Architecture: all Recommends: cl-sql-backend Size: 604978 SHA256: 7c5a5e35e3e4b7b20b2ca35067bb08b9a941b0486aad31056a3cf08643392b49 SHA1: dd67509d0248a38057a9084626af67a10588c117 MD5sum: 43171d838966726ea4f5df466a4149b0 Description: SQL Interface for Common Lisp CLSQL is a Common Lisp interface for multiple SQL databases on multiple Common Lisp implementations. It uses the UFFI foreign language interface. Homepage: http://clsql.b9.com/ Tag: devel::lang:lisp, devel::lang:sql, devel::library, implemented-in::lisp, role::app-data, works-with::db Section: lisp Priority: extra Filename: pool/main/c/cl-sql/cl-sql_6.2.0-1_all.deb Package: cl-sql-aodbc Source: cl-sql Version: 6.2.0-1 Installed-Size: 19 Maintainer: Kevin M. Rosenberg Architecture: all Provides: cl-sql-backend Depends: cl-sql (= 6.2.0-1), cl-sql-mysql, cl-sql-postgresql Suggests: acl-pro-installer Size: 12772 SHA256: d056090c6b5859258708bbb1377f6bfb1664636c036e228fcec48dfc16705bf7 SHA1: ff321a742ee9a77130bd442e360c532370da3f28 MD5sum: 8253fec01d1e269de0d0f86391b17c0a Description: CLSQL database backend, AODBC This package enables you to use the CLSQL data access package with AllegroCL's AODBC databases. CLSQL is a Common Lisp interface to SQL databases. Homepage: http://clsql.b9.com/ Tag: devel::lang:lisp, devel::lang:sql, devel::library, implemented-in::lisp, role::app-data, works-with::db Section: lisp Priority: extra Filename: pool/main/c/cl-sql/cl-sql-aodbc_6.2.0-1_all.deb Package: cl-sql-mysql Source: cl-sql Version: 6.2.0-1 Architecture: armhf Maintainer: Kevin M. Rosenberg Installed-Size: 130 Depends: cl-sql (= 6.2.0-1), cl-sql-uffi (= 6.2.0-1), libc6 (>= 2.13-28), libmysqlclient16 (>= 5.1.50-1), zlib1g (>= 1:1.1.4), libmysqlclient-dev Provides: cl-sql-backend Homepage: http://clsql.b9.com/ Priority: extra Section: lisp Filename: pool/main/c/cl-sql/cl-sql-mysql_6.2.0-1_armhf.deb Size: 26762 SHA256: 8183e96195c9567bbbcc8ce590f753f774a82cdfcdace686d66f870bf70bce5b SHA1: 24f2ba7ac0a711425446c101d10f915b619eda31 MD5sum: aa14d7bc8c07692896b8e7a05c14554a Description: CLSQL database backend, MySQL This package enables you to use the CLSQL data access package with MySQL databases. CLSQL is a Common Lisp interface to SQL databases. Package: cl-sql-odbc Source: cl-sql Version: 6.2.0-1 Installed-Size: 151 Maintainer: Kevin M. Rosenberg Architecture: all Provides: cl-sql-backend Depends: cl-sql (= 6.2.0-1), unixodbc-dev, cl-sql-mysql, cl-sql-postgresql Suggests: acl-pro-installer Size: 40156 SHA256: 6933d79dbc705d22d25f907bc83a93dff5bf2c2a3f7d1c342425528b50c8db31 SHA1: a178807209b96db3de067196986c4d86825f44d0 MD5sum: 2fd313f2546ded204beb8f09449b6823 Description: CLSQL database backend, ODBC This package enables you to use the CLSQL data access package with ODBC databases. CLSQL is a Common Lisp interface to SQL databases. Homepage: http://clsql.b9.com/ Tag: devel::lang:lisp, devel::lang:sql, devel::library, implemented-in::lisp, role::app-data, works-with::db Section: lisp Priority: extra Filename: pool/main/c/cl-sql/cl-sql-odbc_6.2.0-1_all.deb Package: cl-sql-postgresql Source: cl-sql Version: 6.2.0-1 Installed-Size: 44 Maintainer: Kevin M. Rosenberg Architecture: all Provides: cl-sql-backend Depends: cl-sql (= 6.2.0-1), libpq-dev, cl-sql-uffi (>= 6.2.0-1) Size: 17746 SHA256: ab90d60747ea9867332fe416d282f7fbce9c5de154bca1b0705b38f67e2cd7d9 SHA1: 5b2707fd245d14ef275f354c344d873c4fc82378 MD5sum: 0e6a02aa09a2beb8d47ac5d904934e71 Description: CLSQL database backend, PostgreSQL via library This package enables you to use the CLSQL data access package with PostgreSQL databases using the PostgreSQL client library. CLSQL is a Common Lisp interface to SQL databases. Homepage: http://clsql.b9.com/ Tag: devel::lang:lisp, devel::lang:sql, devel::library, implemented-in::lisp, role::app-data, works-with::db Section: lisp Priority: extra Filename: pool/main/c/cl-sql/cl-sql-postgresql_6.2.0-1_all.deb Package: cl-sql-postgresql-socket Source: cl-sql Version: 6.2.0-1 Installed-Size: 65 Maintainer: Kevin M. Rosenberg Architecture: all Provides: cl-sql-backend Depends: cl-sql (= 6.2.0-1), cl-md5, cl-sql-uffi (>= 6.2.0-1), libc6-dev Size: 22472 SHA256: 799d4595eb2a6ad93d60bd7f93aa65e2e6324e0c0d2e1e43ba0a508d8d8a4528 SHA1: 871c460abb28e77af69a1151ab4807078c3f5eb2 MD5sum: bbc70a7e1b70edac6871fa70b41c410e Description: CLSQL database backend, PostgreSQL via sockets This package enables you to use the CLSQL data access package with PostgreSQL databases via a socket interface to the PostgreSQL server. CLSQL is a Common Lisp interface to SQL databases. Homepage: http://clsql.b9.com/ Tag: devel::lang:lisp, devel::lang:sql, devel::library, implemented-in::lisp, role::app-data, works-with::db Section: lisp Priority: extra Filename: pool/main/c/cl-sql/cl-sql-postgresql-socket_6.2.0-1_all.deb Package: cl-sql-sqlite Source: cl-sql Version: 6.2.0-1 Installed-Size: 40 Maintainer: Kevin M. Rosenberg Architecture: all Provides: cl-sql-backend Depends: cl-sql (= 6.2.0-1), libsqlite0-dev, cl-sql-uffi (>= 6.2.0-1) Size: 17180 SHA256: 5063afc6e88a857d8174e2ff18d249484caa6c5c04b871db302fd4bd18e0bbe0 SHA1: 1b23eadcaa0c21ee5487a71caf66fb20426617f2 MD5sum: e5e85ea7d9065f3a67565c4c8ebebc8b Description: CLSQL database backend, SQLite This package enables you to use the CLSQL data access package with SQLite databases. CLSQL is a Common Lisp interface to SQL databases. Homepage: http://clsql.b9.com/ Tag: devel::lang:lisp, devel::lang:sql, devel::library, implemented-in::lisp, role::app-data, works-with::db Section: lisp Priority: extra Filename: pool/main/c/cl-sql/cl-sql-sqlite_6.2.0-1_all.deb Package: cl-sql-sqlite3 Source: cl-sql Version: 6.2.0-1 Installed-Size: 43 Maintainer: Kevin M. Rosenberg Architecture: all Provides: cl-sql-backend Depends: cl-sql (= 6.2.0-1), libsqlite3-dev, cl-sql-uffi (>= 6.2.0-1) Size: 18096 SHA256: 0c51ecea772b6c1f07682ef9f8e37523038f6e78e04b94ebe3aa0e9fe7526055 SHA1: fef68e67c7e04406e8d91a99c313d7a2a9b11a25 MD5sum: 3f44b72311a39e817b70ffddb906e9d3 Description: CLSQL database backend, SQLite3 This package enables you to use the CLSQL data access package with SQLite3 databases. CLSQL is a Common Lisp interface to SQL databases. Homepage: http://clsql.b9.com/ Tag: devel::lang:lisp, devel::lang:sql, implemented-in::lisp, works-with::db Section: lisp Priority: extra Filename: pool/main/c/cl-sql/cl-sql-sqlite3_6.2.0-1_all.deb Package: cl-sql-tests Source: cl-sql Version: 6.2.0-1 Installed-Size: 204 Maintainer: Kevin M. Rosenberg Architecture: all Depends: cl-sql, cl-sql-postgresql, cl-sql-postgresql-socket, cl-sql-mysql, cl-sql-sqlite, cl-sql-odbc, cl-rt Suggests: acl-installer, libmyodbc, unixodbc, cl-sql-aodbc Size: 49586 SHA256: b37e9e266380bdb976b706acd7eaa57d53301f7514b79d60aa7547861bef5382 SHA1: d1e076aee6f2e77992f4258237af85418564a7e1 MD5sum: f8d3863a7334f5f9bbc65fb446550349 Description: Testing suite for CLSQL This package contains a test suite for CLSQL. It requires manual configuration of MySQL and PostgreSQL databases to execute. A configured, licensed version of AllegroCL with ODBC setup is required to test the clsql-aodbc interface. See the /usr/share/doc/cl-sql-tests/README file if you want to try running these regression tests. Homepage: http://clsql.b9.com/ Tag: devel::lang:lisp, devel::lang:sql, devel::library, devel::testing-qa, implemented-in::lisp, works-with::db Section: lisp Priority: extra Filename: pool/main/c/cl-sql/cl-sql-tests_6.2.0-1_all.deb Package: cl-sql-uffi Source: cl-sql Version: 6.2.0-1 Architecture: armhf Maintainer: Kevin M. Rosenberg Installed-Size: 76 Depends: cl-uffi (>= 1.5.11), cl-sql (= 6.2.0-1), libc6 (>= 2.13-28) Recommends: cl-sql-backend Homepage: http://clsql.b9.com/ Priority: extra Section: lisp Filename: pool/main/c/cl-sql/cl-sql-uffi_6.2.0-1_armhf.deb Size: 16358 SHA256: 0b4006de8544b3c750665be4fc9a5e4737792b11fbcb28fe0c37e9a0e906e77a SHA1: 2b4303cb0558fd18e359224a4faa51031aefa186 MD5sum: e16cd9f82970424c10e0653d166d5287 Description: Common UFFI functions for CLSQL database backends This package provides an interface to several UFFI functions used by multiple CLSQL database backends. CLSQL is a Common Lisp interface to SQL databases. Package: cl-swank Source: slime Version: 1:20120525-1 Installed-Size: 1869 Maintainer: Debian Common Lisp Team Architecture: all Replaces: slime (<< 1:20050929-1) Depends: cl-asdf (>= 2:1.627) Suggests: slime Conflicts: slime (<< 1:20050929-1) Size: 546776 SHA256: b23e61944607612b5ba91a5ccc081b24af7d96939e0e53a8757da9c54d181a36 SHA1: f084564bef71863b654460ef0e2989d89fedc430 MD5sum: 19f8d3bdb7a8321d277784ca93298676 Description: Superior LISP Interaction Mode for Emacs (Lisp-side server) SLIME is the Superior Lisp Interaction Mode for Emacs. . This is an ILISP-like development environment intended for maximum integration with CMUCL (also works with SBCL, OpenMCL and work is ongoing on Lispworks and current CVS version of CLISP and CLISP 2.32 on Linux). . This package contains the Lisp-side server, needed by the Emacs client (see package slime). Homepage: http://common-lisp.net/project/slime/ Tag: devel::lang:lisp, implemented-in::lisp, suite::emacs Section: lisp Priority: optional Filename: pool/main/s/slime/cl-swank_20120525-1_all.deb Package: cl-trivial-features Source: trivial-features Version: 0.6-1 Installed-Size: 144 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 5.11) Size: 8516 SHA256: c6c1dc6ef5506b3c001935e8e0a8eb489b0f577988d0e45304564149289471c3 SHA1: b0f9cd2c1be5a502ea4cb2a823e417b489ab62b2 MD5sum: 4d369be95f4549eb817fbb9e1a92a6e1 Description: An abstraction layer for the *features* that implementations use trivial-features ensures consistent *FEATURES* across multiple Common Lisp implementations. Homepage: http://www.cliki.net/trivial-features Section: lisp Priority: optional Filename: pool/main/t/trivial-features/cl-trivial-features_0.6-1_all.deb Package: cl-trivial-gray-streams Source: trivial-gray-streams Version: 20091021-1 Installed-Size: 80 Maintainer: Debian Common Lisp Team Architecture: all Depends: common-lisp-controller (>= 5.11) Size: 5958 SHA256: 234edc8d8ddc86306a191aa7d2fb27a7a229cbb511211b19521ba57e0262288f SHA1: 15593aa86da7c3dcc2ece49785966ba0f72ef6ff MD5sum: ebdd160977f9bd98990f0aa83726b30a Description: thin compatibility layer for gray streams This system provides an extremely thin compatibility layer for gray streams. It is nearly *too* trivial for a complete package, except that the author has copy&pasted this code into enough projects now that the author decided to factor it out once again now, and then *never* have to touch it again. Homepage: http://common-lisp.net/project/cl-plus-ssl/ Section: lisp Priority: optional Filename: pool/main/t/trivial-gray-streams/cl-trivial-gray-streams_20091021-1_all.deb Package: cl-uffi Version: 2.1.2-1 Installed-Size: 556 Maintainer: Kevin M. Rosenberg Architecture: all Recommends: cl-uffi-tests Size: 142482 SHA256: 98ef8a178f48762207d749076550253194b52d5a8370abe8a5de1677bb2b5e1d SHA1: 2e3d825fb3cf2295638b1fba34323076a641d1a6 MD5sum: 6fedb11749247430b2c9f484a2d78137 Description: Universal Foreign Function Library for Common Lisp UFFI provides a universal foreign function interface (FFI) for Common Lisp. UFFI supports AllegroCL, CMUCL, Lispworks, MCL, OpenMCL, SBCL, and SCL. Homepage: http://uffi.b9.com/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp Section: lisp Priority: optional Filename: pool/main/c/cl-uffi/cl-uffi_2.1.2-1_all.deb Package: cl-uffi-tests Source: cl-uffi Version: 2.1.2-1 Architecture: armhf Maintainer: Kevin M. Rosenberg Installed-Size: 115 Depends: libc6 (>= 2.4), cl-uffi, zlib1g-dev Homepage: http://uffi.b9.com/ Priority: optional Section: lisp Filename: pool/main/c/cl-uffi/cl-uffi-tests_2.1.2-1_armhf.deb Size: 27640 SHA256: 06ca9b0c69ad6d366d121b56f0dd5232fc28202efbe2a8c313e3bdf5bddd6e77 SHA1: 0b02609b8d0c1481760791a22593c80ff01a5a2d MD5sum: bb235db04cbb97fe64ecd902ecaa3c3f Description: Regression tests for UFFI Common Lisp Library This is a test of regression tests for Debian cl-uffi package. UFFI is a universal foreign function interface for Common Lisp implementations. Besides providing testing for UFFI, the tests serve as an example of UFFI usage. Package: cl-usocket Version: 0.5.5-1 Installed-Size: 251 Maintainer: Debian Common Lisp Team Architecture: all Depends: cl-split-sequence Recommends: cl-rt Size: 65010 SHA256: fe153806e97bfbd81464dc7b70ccde634516fc3b937fbd8867bf2f690daa454b SHA1: 05c713ee5427da6aef6bd933875cb564a03cc2b1 MD5sum: 1a5a2dad4f605c3d4b36967efbeead1a Description: Common Lisp socket library cl-usocket provides a library for Common Lisp programs to use TCP sockets. It is the successor to trivial-sockets. . To run the test suite you need the recommended cl-rt package. Homepage: http://common-lisp.net/project/usocket/ Section: lisp Priority: optional Filename: pool/main/c/cl-usocket/cl-usocket_0.5.5-1_all.deb Package: cl-webactions Source: cl-portable-aserve Version: 1.2.42+cvs.2010.02.08-dfsg-1.1 Installed-Size: 112 Maintainer: Debian Common Lisp Team Architecture: all Depends: cl-aserve, cl-ppcre, cl-puri Size: 24458 SHA256: 91593779c61137b9f4302182cd2b19bdf9cd3a8840ffb0f1d58875218e344494 SHA1: 19242d7516531793eb0d214f3c818b17049614f3 MD5sum: 95caef2e0b18994c7ff70e80523aada4 Description: HTTP dispatch library for cl-aserve Webactions is Franz's library for dispatching HTTP requests as well as processing HTML templates. It uses the cl-aserve package. Homepage: http://portableaserve.sourceforge.net/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp, protocol::http, works-with-format::html, works-with::text Section: lisp Priority: optional Filename: pool/main/c/cl-portable-aserve/cl-webactions_1.2.42+cvs.2010.02.08-dfsg-1.1_all.deb Package: cl-xlunit Version: 0.6.3-2 Installed-Size: 76 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11) Size: 10774 SHA256: bb9c3cfbbe522426a56d39e1cd2ac70ec46f62e040aefd73b2836889a7edb635 SHA1: f55bcb0a7a77a7e8978e58b236b44aba8618b639 MD5sum: 0705597a8e3161353905e45bc573e907 Description: Common Lisp eXtreme Lisp Unit Testing Package XLUnit provides a unit testing package for Common Lisp. It is based on the XPTest package by OnShore development, but is rewritten to be closer in usage to the JUnit package. Also, it is designed to be used with significantly less overhead on the part of the test author compared to XPTest. Homepage: http://files.b9.com/xlunit/ Tag: devel::lang:lisp, devel::library, devel::testing-qa, implemented-in::lisp, role::app-data Section: lisp Priority: optional Filename: pool/main/c/cl-xlunit/cl-xlunit_0.6.3-2_all.deb Package: cl-xmls Version: 1.4.1-1 Installed-Size: 60 Maintainer: Debian Common Lisp Team Architecture: all Size: 14916 SHA256: 2e6e09a45faa85122896bb6bcc03edc6066daceb79797c775123621c49d08c4b SHA1: 22ded4791febbe3705b607fb53fb57559e658755 MD5sum: 3e1920ad21dd96ca8534d109bfbf4e59 Description: XML Simple Parser for Common Lisp XMLS provides a simple parser of XML that covers a very useful subset of XML. Homepage: http://common-lisp.net/project/xmls/ Tag: devel::lang:lisp, devel::library, implemented-in::lisp, role::app-data, works-with-format::xml Section: lisp Priority: optional Filename: pool/main/c/cl-xmls/cl-xmls_1.4.1-1_all.deb Package: cl-xptest Version: 1.2.4-3 Installed-Size: 44 Maintainer: Kevin M. Rosenberg Architecture: all Depends: common-lisp-controller (>= 5.11) Size: 8306 SHA256: b2687df30306f96a90841552fc1539af860929ecef78047c3d62fdac74bf5c0c SHA1: c9913302885be2c86a414b71edaea7a5f1e40c2d MD5sum: 6a043bdbbf7f0f19d92475f3988f21d0 Description: Extreme programming test suite for Common Lisp package xptest is the test suite written by onShore Development. It is toolkit for building test suites, very much inspired by the test frameworks that the Extreme Programming crew made available for Smalltalk and other languages. Tag: devel::lang:lisp, devel::library, devel::testing-qa, implemented-in::lisp, role::app-data Section: lisp Priority: optional Filename: pool/main/c/cl-xptest/cl-xptest_1.2.4-3_all.deb Package: cl-zpb-ttf Source: zpb-ttf Version: 0.7-2 Installed-Size: 244 Maintainer: Pierre THIERRY Architecture: all Depends: common-lisp-controller (>= 5.11) Size: 48862 SHA256: f02e4c8cfc8456ace2d7ebfbbb90bf0500d94be0e3414d1a0bb2fc29b2a17760 SHA1: 9e74d51795cc36726c434d57ea1dc38d53d6971e MD5sum: 9eadf919016120b7b0e4abd1c5dde2ac Description: TTF parser ZPB-TTF is a TrueType file parser that provides an interface for reading typographic metrics, glyph outlines, and other information from a TTF file. Tag: works-with::font Section: lisp Priority: optional Filename: pool/main/z/zpb-ttf/cl-zpb-ttf_0.7-2_all.deb Package: clam-chordata Version: 1.0.0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 257 Depends: libc6 (>= 2.13-28), libclam-qtmonitors1.4, libclam1.4, libgcc1 (>= 1:4.4.0), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6) Homepage: http://clam-project.org/ Priority: extra Section: sound Filename: pool/main/c/clam-chordata/clam-chordata_1.0.0-2_armhf.deb Size: 111694 SHA256: 6ad3b808a3df3afe03ce4c1fdf8c7841ac82fd48e636eba24a808d3e91d0d43f SHA1: 53661c99f48ff1ddbff188d762c332968dcdb2e0 MD5sum: b19fad0dd437235d5b54c7e8cf6d5160 Description: CLAM Chordata, chord detection tool CLAM Chordata is a chord detection tool that can be used to browse the chords of your favourite mp3/ogg/wav music. You can freely move arround the song, listening and getting insight of its tonal features by using several available views: Chord segments, Chord ranking, Tonnetz, Keyspace, Chromatic peaks, PCPgram... . This is an example application of the CLAM framework. Package: clam-networkeditor Version: 1.4.0-3.1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 5709 Depends: libc6 (>= 2.13-28), libclam-qtmonitors1.4, libclam1.4, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1 | fglrx-glx, libglu1-mesa | libglu1, libqt4-designer (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.6.1), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.6) Recommends: qt4-designer, faust Homepage: http://clam-project.org/ Priority: extra Section: sound Filename: pool/main/c/clam-networkeditor/clam-networkeditor_1.4.0-3.1_armhf.deb Size: 2227134 SHA256: 7ffd0b7ad26d94c698562155b482b04b30ff8bb2fc47bd0dea98851a7cc3b4eb SHA1: be4743faa43d3c669bc13fe4322824e0d198c6e2 MD5sum: a191fe13f55e64e9112206fcfc831c9c Description: CLAM Network Editor, prototyping tool for CLAM The CLAM Network Editor is a tool for editing CLAM processing networks. Those processing networks can become the processing core of an audio application by using the CLAM::NetworkPlayer class in such program, or by using the CLAM Prototyper to link the network to a Qt Designer interface. . This package provides both the Network Editor and the Prototyper. It also provides a plugin for Qt Designer which adds widgets to display several kinds of CLAM audio objects from a running network. Package: clam-networkeditor-examples Source: clam-networkeditor Version: 1.4.0-3.1 Installed-Size: 1638 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: clam-networkeditor (>= 1.4.0-3.1) Size: 1105900 SHA256: 7a823401713098aa1b10bc3fb08a2d5c8f2b82a0ee5c491c996e225972c7b450 SHA1: 12528b869040274529c24e5a57aee6a19c797a3f MD5sum: 51aacf9aa95fa4d60c9335c15255135a Description: CLAM Network Editor, examples The CLAM Network Editor is a tool for editing CLAM processing networks. Those processing networks can become the processing core of an audio application by using the CLAM::NetworkPlayer class in such program, or by using the CLAM Prototyper to link the network to a Qt Designer interface. . This package provides examples of networks, some of them with their user interface to be executed also by the Prototyper. Those examples include, among others, some sinusoidal plus residual modelling (SMS) transformations and tonal (chords, notes) analysis. Homepage: http://clam-project.org/ Tag: role::app-data, role::examples Section: sound Priority: extra Filename: pool/main/c/clam-networkeditor/clam-networkeditor-examples_1.4.0-3.1_all.deb Package: clamassassin Version: 1.2.4-1 Installed-Size: 40 Maintainer: Robert S. Edmonds Architecture: all Depends: clamav, procmail Size: 14590 SHA256: ab6d16adbffef0f21bfa94d881b49e9b34c2dc2b31194fbfea9e29d72df7535b SHA1: b3169fb26a08e571e07ecc0406e69393ff5a5b8b MD5sum: 320226f5ccea436f056961424d9baeb3 Description: email virus filter wrapper for ClamAV clamassassin is a simple virus filter wrapper for ClamAV for use in procmail filters and similar applications. clamassassin's interface is similar to that of spamassassin, making it easy to implement for those familiar with that tool. clamassassin is designed with an emphasis on security, robustness and simplicity. Tag: implemented-in::shell, interface::commandline, mail::filters, role::program, scope::utility, security::antivirus, use::filtering, works-with::mail Section: mail Priority: optional Filename: pool/main/c/clamassassin/clamassassin_1.2.4-1_all.deb Package: clamav Version: 0.99.4+dfsg-1+deb7u1 Architecture: armhf Maintainer: ClamAV Team Installed-Size: 738 Depends: clamav-freshclam (>= 0.99.4+dfsg) | clamav-data, libc6 (>= 2.13-28), libclamav7 (>= 0.99.4+dfsg-1+deb7u1), libcurl3 (>= 7.16.2), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.2.3.3) Recommends: clamav-base Suggests: clamav-docs Multi-Arch: foreign Homepage: https://www.clamav.net/ Priority: optional Section: utils Filename: pool/main/c/clamav/clamav_0.99.4+dfsg-1+deb7u1_armhf.deb Size: 432808 SHA256: 6494143b0fc339a89d479456e0f5d7b75618aeb08801e79bcc9761ee41e4aebc SHA1: 2fa4de87fc79699be155664c5997d2fec743c240 MD5sum: 2be8b3b933e9082832000b8feb9f5686 Description: anti-virus utility for Unix - command-line interface Clam AntiVirus is an anti-virus toolkit for Unix. The main purpose of this software is the integration with mail servers (attachment scanning). The package provides a flexible and scalable multi-threaded daemon in the clamav-daemon package, a command-line scanner in the clamav package, and a tool for automatic updating via the Internet in the clamav-freshclam package. The programs are based on libclamav, which can be used by other software. . This package contains the command line interface. Features: - built-in support for various archive formats, including Zip, Tar, Gzip, Bzip2, OLE2, Cabinet, CHM, BinHex, SIS and others; - built-in support for almost all mail file formats; - built-in support for ELF executables and Portable Executable files compressed with UPX, FSG, Petite, NsPack, wwpack32, MEW, Upack and obfuscated with SUE, Y0da Cryptor and others; - built-in support for popular document formats including Microsoft Office and Mac Office files, HTML, RTF and PDF. . For scanning to work, a virus database is needed. There are two options for getting it: - clamav-freshclam: updates the database from Internet. This is recommended with Internet access. - clamav-data: for users without Internet access. The package is not updated once installed. The clamav-getfiles package allows creating custom packages from an Internet-connected computer. Package: clamav-base Source: clamav Version: 0.99.4+dfsg-1+deb7u1 Installed-Size: 387 Maintainer: ClamAV Team Architecture: all Depends: adduser, logrotate, ucf, debconf (>= 0.5) | debconf-2.0 Size: 294092 SHA256: 4cb67cc7f5a32038f155c8d82ab1a80f3ab2ebc3d8d817743f163754941c2f27 SHA1: ca9e9f5cc159ab2fd9e827024d4124e6513940b4 MD5sum: 8e2b4e430b7b3382a02feb4953e25c90 Description: anti-virus utility for Unix - base package Clam AntiVirus is an anti-virus toolkit for Unix. The main purpose of this software is the integration with mail servers (attachment scanning). The package provides a flexible and scalable multi-threaded daemon in the clamav-daemon package, a command-line scanner in the clamav package, and a tool for automatic updating via the Internet in the clamav-freshclam package. The programs are based on libclamav, which can be used by other software. . This package mainly manages the clamav system account. It is not really useful without the clamav or clamav-daemon package. Homepage: https://www.clamav.net/ Recommends: clamav Section: utils Priority: optional Filename: pool/main/c/clamav/clamav-base_0.99.4+dfsg-1+deb7u1_all.deb Package: clamav-daemon Source: clamav Version: 0.99.4+dfsg-1+deb7u1 Architecture: armhf Maintainer: ClamAV Team Installed-Size: 1076 Depends: adduser, clamav-base (= 0.99.4+dfsg-1+deb7u1), clamav-freshclam (>= 0.99.4+dfsg) | clamav-data, dpkg (>= 1.16.1), lsb-base (>= 3.2-13), procps (>= 1:3.3.2), ucf, debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libclamav7 (>= 0.99.4+dfsg-1+deb7u1), libncurses5 (>= 5.5-5~), libssl1.0.0 (>= 1.0.0), libtinfo5, zlib1g (>= 1:1.2.0.2) Suggests: apparmor, clamav-docs, daemon Breaks: clamav-base (<< 0.98.1+dfsg-6) Replaces: clamav-base (<< 0.98.1+dfsg-6) Homepage: https://www.clamav.net/ Priority: optional Section: utils Filename: pool/main/c/clamav/clamav-daemon_0.99.4+dfsg-1+deb7u1_armhf.deb Size: 570834 SHA256: c7938a67addb9ab60a3d6afb29228c3febb37a0ae0d2ef9691aaf48c6cf3b6ba SHA1: c4f9c623128803591b09bdecd741acf21d7cea70 MD5sum: 7132fbb76ee0e68308f04224e0a2498c Description: anti-virus utility for Unix - scanner daemon Clam AntiVirus is an anti-virus toolkit for Unix. The main purpose of this software is the integration with mail servers (attachment scanning). The package provides a flexible and scalable multi-threaded daemon in the clamav-daemon package, a command-line scanner in the clamav package, and a tool for automatic updating via the Internet in the clamav-freshclam package. The programs are based on libclamav, which can be used by other software. . This package contains the daemon featuring: - fast, multi-threaded daemon; - easy integration with MTA's; - support for on-access scanning; - remote scanning; - able to be run supervised by daemon. Package: clamav-dbg Source: clamav Version: 0.99.4+dfsg-1+deb7u1 Architecture: armhf Maintainer: ClamAV Team Installed-Size: 7435 Depends: clamav (= 0.99.4+dfsg-1+deb7u1), libclamav7 Homepage: https://www.clamav.net/ Priority: extra Section: debug Filename: pool/main/c/clamav/clamav-dbg_0.99.4+dfsg-1+deb7u1_armhf.deb Size: 3065758 SHA256: b04d37e1f4d36f234f2fd0377a9d6bff012551d0e50c940b78e8dcbdd1848da2 SHA1: ca8b0d923923f4365287a9c0972059cd991e2cbb MD5sum: 3c008f56c563145dbe3f76ccbefc0364 Description: debug symbols for ClamAV Clam AntiVirus is an anti-virus toolkit for Unix. The main purpose of this software is the integration with mail servers (attachment scanning). The package provides a flexible and scalable multi-threaded daemon in the clamav-daemon package, a command-line scanner in the clamav package, and a tool for automatic updating via the Internet in the clamav-freshclam package. The programs are based on libclamav, which can be used by other software. . This package contains the stripped debugging symbols for the ClamAV suite. Package: clamav-docs Source: clamav Version: 0.99.4+dfsg-1+deb7u1 Installed-Size: 1500 Maintainer: ClamAV Team Architecture: all Size: 1270046 SHA256: 6ae371bb786ed4fcb9013a5a793b3b58672828270b5de4cf5a328dddaa5b16bb SHA1: 7156700a33d856667faeafefa57a3178b2f13374 MD5sum: e166c71ee43b17636864ce7e63ecc5b5 Description: anti-virus utility for Unix - documentation Clam AntiVirus is an anti-virus toolkit for Unix. The main purpose of this software is the integration with mail servers (attachment scanning). The package provides a flexible and scalable multi-threaded daemon in the clamav-daemon package, a command-line scanner in the clamav package, and a tool for automatic updating via the Internet in the clamav-freshclam package. The programs are based on libclamav, which can be used by other software. . This package contains the documentation for the ClamAV suite. Homepage: https://www.clamav.net/ Section: utils Priority: optional Filename: pool/main/c/clamav/clamav-docs_0.99.4+dfsg-1+deb7u1_all.deb Package: clamav-freshclam Source: clamav Version: 0.99.4+dfsg-1+deb7u1 Architecture: armhf Maintainer: ClamAV Team Installed-Size: 572 Depends: clamav-base (>= 0.99.4+dfsg-1+deb7u1), dpkg (>= 1.16.1), logrotate, lsb-base (>= 3.2-13), procps (>= 1:3.3.2), ucf, debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libclamav7 (>= 0.99.4+dfsg-1+deb7u1), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.2.3.3) Suggests: apparmor, clamav-docs Conflicts: clamav-data, libclamav2, libclamav3 Provides: clamav-data Homepage: https://www.clamav.net/ Priority: optional Section: utils Filename: pool/main/c/clamav/clamav-freshclam_0.99.4+dfsg-1+deb7u1_armhf.deb Size: 367664 SHA256: 286a45113d44530da0b375010a4fec923292b0a9405816311b73698222ce22de SHA1: 2db8165ae9270532ae7f20171823bd0e0d0e78fe MD5sum: fd484be3d8b50cb8b55790c948829191 Description: anti-virus utility for Unix - virus database update utility Clam AntiVirus is an anti-virus toolkit for Unix. The main purpose of this software is the integration with mail servers (attachment scanning). The package provides a flexible and scalable multi-threaded daemon in the clamav-daemon package, a command-line scanner in the clamav package, and a tool for automatic updating via the Internet in the clamav-freshclam package. The programs are based on libclamav, which can be used by other software. . This package contains the freshclam program and scripts to automate virus database updating. It relies on an Internet connection, but can be run in a variety of ways to compensate for intermittent connections. Package: clamav-milter Source: clamav Version: 0.99.4+dfsg-1+deb7u1 Architecture: armhf Maintainer: ClamAV Team Installed-Size: 657 Depends: adduser, clamav-base (>= 0.99.4+dfsg-1+deb7u1), clamav-freshclam (>= 0.99.4+dfsg) | clamav-data, dpkg (>= 1.16.1), logrotate, lsb-base (>= 3.2-13), procps (>= 1:3.3.2), ucf, debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libmilter1.0.1, libssl1.0.0 (>= 1.0.0) Recommends: clamav-daemon Suggests: clamav-docs, daemon Homepage: https://www.clamav.net/ Priority: extra Section: utils Filename: pool/main/c/clamav/clamav-milter_0.99.4+dfsg-1+deb7u1_armhf.deb Size: 402326 SHA256: e4cd4f076a0ede853649740151dc010d43118a8f130accdafdb15481d4d79e84 SHA1: 635b7db7071a3522081524e1bca3134eb652ed21 MD5sum: 683695de37b08893daae98e072ef1e1c Description: anti-virus utility for Unix - sendmail integration Clam AntiVirus is an anti-virus toolkit for Unix. The main purpose of this software is the integration with mail servers (attachment scanning). The package provides a flexible and scalable multi-threaded daemon in the clamav-daemon package, a command-line scanner in the clamav package, and a tool for automatic updating via the Internet in the clamav-freshclam package. The programs are based on libclamav, which can be used by other software. . This package contains the ClamAV milter for use with sendmail. It can be configured to be run either standalone, or using clamav-daemon. Package: clamav-testfiles Source: clamav Version: 0.99.4+dfsg-1+deb7u1 Installed-Size: 6765 Maintainer: ClamAV Team Architecture: all Size: 5298482 SHA256: b9f9a1fb1027fe8b51737592e9aa5b97f99cc1a75b642eba5a6734fe59d01b59 SHA1: cb92a4d97ce910ab77e119bb9e8120fbc61f646b MD5sum: ab9a1992f5d26e31518cd06325bdd0a6 Description: anti-virus utility for Unix - test files Clam AntiVirus is an anti-virus toolkit for Unix. The main purpose of this software is the integration with mail servers (attachment scanning). The package provides a flexible and scalable multi-threaded daemon in the clamav-daemon package, a command-line scanner in the clamav package, and a tool for automatic updating via the Internet in the clamav-freshclam package. The programs are based on libclamav, which can be used by other software. . This package contains files 'infected' with a test signature. The test signature (ClamAV-Test-Signature) should be detectable by all anti-virus programs. Homepage: https://www.clamav.net/ Section: utils Priority: optional Filename: pool/main/c/clamav/clamav-testfiles_0.99.4+dfsg-1+deb7u1_all.deb Package: clamav-unofficial-sigs Version: 3.7.1-3 Installed-Size: 227 Maintainer: ClamAV Team Architecture: all Depends: bind9-host | host, clamav, curl, dnsutils, gnupg, rsync Suggests: clamav-daemon (>= 0.94.dfsg.1) Size: 44710 SHA256: 38e52c8f7b1a087aeb24f1c7e8ee88e7fa9774e66c4e0a462821682c19340509 SHA1: fd65bd11eed8ae825f904d584e99550aefc2a791 MD5sum: ca6bbf78abfc970e0adcb30a9692335f Description: update script for 3rd-party clamav signatures This package provides a script for updating the following sources of 3rd-party clamav signatures until freshclamav gains support for such signatures. . The SaneSecurity/OITC signatures provide detection of phishing, spear phishing, fake lottery, ecard malware, casino, fake jobs, fake loans, 419s, fake diplomas, porn, emailed malware and other general spam. . MSRBL signatures provide detection of image spam and general spam. . SecuriteInfo signatures provide various badware signatures, securiteinfo.com honeypot signatures, honeynet.cz signatures and French anti-spam signatures . MalwarePatrol provides detection of mail containing URLs to malware. Homepage: http://www.inetmsg.com/pub/ Tag: implemented-in::shell, interface::commandline, mail::filters, role::program, scope::utility, security::antivirus, use::downloading, works-with::mail Section: utils Priority: extra Filename: pool/main/c/clamav-unofficial-sigs/clamav-unofficial-sigs_3.7.1-3_all.deb Package: clamfs Version: 1.0.1-1.1 Architecture: armhf Maintainer: Krzysztof Burghardt Installed-Size: 185 Depends: libc6 (>= 2.13-28), libccgnu2-1.8-0, libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libpocofoundation9, libpoconet9, librlog5, libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Recommends: clamav-daemon Homepage: http://clamfs.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/c/clamfs/clamfs_1.0.1-1.1_armhf.deb Size: 68106 SHA256: d2169e3761afd9f1f5759cfd68de85f70fe2971e073b40e78080eafa07c8b06e SHA1: 964893f7ca99ab97c44ee175d10c160879d6780b MD5sum: d76d465552addd03fc1266f491a5fd04 Description: user-space anti-virus protected file system ClamFS is a FUSE-based user-space file system for Linux with on-access anti-virus file scanning through clamd daemon. Clamd (clamav-daemon) is a file scanning service developed by ClamAV project. Package: clamsmtp Version: 1.10-10 Architecture: armhf Maintainer: ClamAV Team Installed-Size: 175 Depends: dpkg (>= 1.10.11), clamav-daemon (>= 0.75.1), adduser, libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0 Recommends: postfix | mail-transport-agent Homepage: http://memberwebs.com/nielsen/software/clamsmtp Priority: optional Section: mail Filename: pool/main/c/clamsmtp/clamsmtp_1.10-10_armhf.deb Size: 58456 SHA256: 29cd500646b8aa276e5fe24b7da94d11cfd80a457518e121a3637cb629111c05 SHA1: ee5f4df54a3b11acfcfb3ad75f72e2c0a9c7d5d2 MD5sum: 89fa76d56b24b5dbb1120c9102172097 Description: virus-scanning SMTP proxy ClamSMTP is an SMTP proxy daemon that checks for viruses using the ClamAV anti-virus software. It can act as a traditional SMTP proxy with publicly accessible ports or as a transparent proxy, where SMTP traffic is redirected to the ClamSMTP by your router. . ClamSMTP aims to be lightweight, reliable, and simple rather than have a myriad of options. It is written in C without major dependencies. ClamSMTP does not do general spam filtering, it only scans for viruses. . You do not need a local mail-transport-agent installed, since this server can forward to a remote one. Package: clamtk Version: 4.41-1 Installed-Size: 1377 Maintainer: David Paleino Architecture: all Depends: perl, clamav (>= 0.95), clamav-freshclam (>= 0.95) | clamav-data, libgtk2-perl (>= 1.102), libdate-calc-perl, libwww-perl, liblocale-gettext-perl, zenity Recommends: udev Suggests: cabextract Size: 304102 SHA256: 2a86cb51aee1fae8668569e88ebc4a816e33cb7a549c5108cd505f256112ca56 SHA1: 3a46ebb9e12c89a455f19e88e4dcdf1f09b55d06 MD5sum: 55131718080d50069c25c91dc3f1b840 Description: graphical front-end for ClamAV ClamTk is a GUI front-end for ClamAV using perl-Gtk2. Homepage: http://clamtk.sourceforge.net Tag: implemented-in::perl, interface::x11, role::program, scope::utility, security::antivirus, uitoolkit::gtk, use::checking, x11::application Section: utils Priority: optional Filename: pool/main/c/clamtk/clamtk_4.41-1_all.deb Package: clamz Version: 0.5-1 Architecture: armhf Maintainer: Vincent Bernat Installed-Size: 85 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libexpat1 (>= 2.0.1), libgcrypt11 (>= 1.4.5) Homepage: http://code.google.com/p/clamz/ Priority: extra Section: utils Filename: pool/main/c/clamz/clamz_0.5-1_armhf.deb Size: 24248 SHA256: 3eb9705d53f87a6c80d6d8dbcebbcec54c425e7d9c44358ab2e2252e577937f5 SHA1: 22cf29ff42decaa0b1620b96df40efae43a8b51f MD5sum: f0432e0b75c91fecdee24fd0c802f809 Description: command-line program to download MP3's from Amazon Clamz is intended to serve as a substitute for Amazon's official MP3 Downloader, which is not free software. Clamz can be used to download either individual songs or complete albums that you have purchased from Amazon. Package: clang Version: 1:3.0-6.2 Architecture: armhf Maintainer: LLVM Packaging Team Installed-Size: 10486 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libllvm3.0, libstdc++6 (>= 4.6), libstdc++6-4.6-dev, libclang-common-dev Recommends: llvm-3.0-dev, python Homepage: http://www.llvm.org/ Priority: optional Section: devel Filename: pool/main/c/clang/clang_3.0-6.2_armhf.deb Size: 4510414 SHA256: 5ab3a994110e8809b28a4f4d7b40128498e9c52f108f32ba5b5dde8297549c41 SHA1: 26c9a49ba99b57405c1f38f6756a7f5d9a0b70e9 MD5sum: dd4a5ecd8b4a9fb261fbdf0bd484e6eb Description: Low-Level Virtual Machine (LLVM), C language family frontend The Low-Level Virtual Machine (LLVM) is a collection of libraries and tools that make it easy to build compilers, optimizers, Just-In-Time code generators, and many other compiler-related programs. LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . Clang project is a C, C++, Objective C and Objective C++ front-end for the LLVM compiler. Some of its goals include the following: . End-User Features: . * Fast compiles and low memory use * Expressive diagnostics (examples) * GCC compatibility . Utility and Applications: . * Modular library based architecture * Support diverse clients (refactoring, static analysis, code generation, etc) * Allow tight integration with IDEs * Use the LLVM 'BSD' License . Internal Design and Implementation: . * A real-world, production quality compiler * A simple and hackable code base * A single unified parser for C, Objective C, C++, and Objective C++ * Conformance with C/C++/ObjC and their variants Package: clanlib-doc Source: clanlib Version: 1.0~svn3827-3 Installed-Size: 24748 Maintainer: Debian Games Team Architecture: all Replaces: clanlib-examples (<< 0.8.0-1) Conflicts: clanlib-examples (<< 0.8.0-1), clanlib0-docs Size: 5086546 SHA256: ab31513fdbd69fcef3521d14172b77b5ee92afa4cd874e34ca1421ec7aa483c0 SHA1: 1c7121a4dbe2a9694507a93f0f6af5abe5880cfd MD5sum: f817a13f1ba73877c5efb6d6582bc493 Description: Reference documentation and tutorials for ClanLib ClanLib delivers a platform independent interface to write games with. If a game is written with ClanLib, it should be possible to compile the game under any platform (supported by ClanLib, that is) without changing the application source code. . But ClanLib is not just a wrapper library, providing a common interface to low-level libraries such as DirectFB, DirectX, OpenGL, X11, etc. While platform independence is ClanLib's primary goal, it also tries to be a service-minded game SDK. In other words, great effort has been put into designing the API, to ensure ClanLib's ease of use - while maintaining its power. . This package contains html documentation for the ClanLib API and some tutorials to ease the learning curve for programming with it. Homepage: http://www.clanlib.org/ Tag: devel::doc, game::arcade, made-of::html, role::documentation, works-with::software:source Section: doc Priority: optional Filename: pool/main/c/clanlib/clanlib-doc_1.0~svn3827-3_all.deb Package: clasp Version: 2.0.6-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 667 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Recommends: gringo Suggests: potassco-guide Homepage: http://www.cs.uni-potsdam.de/clasp/ Priority: extra Section: interpreters Filename: pool/main/c/clasp/clasp_2.0.6-2_armhf.deb Size: 329782 SHA256: c13e039a619e01ba6019d5eab3104e9b8f2448aa7125282769a488170b256a50 SHA1: 571b2d04fa7a3f0644a5a3743a28d2fe4382a2d7 MD5sum: 9579d8bd75f9a0f802c00fbcb4be6c5e Description: conflict-driven nogood learning answer set solver clasp is an answer set solver for (extended) normal logic programs. It combines the high-level modeling capacities of answer set programming (ASP) with state-of-the-art techniques from the area of Boolean constraint solving. The primary clasp algorithm relies on conflict-driven nogood learning, a technique that proved very successful for satisfiability checking (SAT). Unlike other learning ASP solvers, clasp does not rely on legacy software, such as a SAT solver or any other existing ASP solver. Rather, clasp has been genuinely developed for answer set solving based on conflict-driven nogood learning. clasp can be applied as an ASP solver (on LPARSE output format), as a SAT solver (on simplified DIMACS/CNF format), or as a PB solver (on OPB format). Package: claws-mail Version: 3.8.1-2+deb7u1 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 3223 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcompfaceg1, libdbus-glib-1-2 (>= 0.78), libenchant1c2a (>= 1.6), libetpan15 (>= 1.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libldap-2.4-2 (>= 2.4.7), libpango1.0-0 (>= 1.14.0), libpisock9, libsm6, xdg-utils Recommends: claws-mail-i18n, xfonts-100dpi | xfonts-75dpi | xfonts-100dpi-transcoded | xfonts-75dpi-transcoded, aspell-en | aspell-dictionary Suggests: claws-mail-doc (= 3.8.1-2+deb7u1), www-browser, gedit | kwrite | mousepad | nedit, claws-mail-tools Provides: imap-client, mail-reader, news-reader Homepage: http://www.claws-mail.org Priority: optional Section: mail Filename: pool/main/c/claws-mail/claws-mail_3.8.1-2+deb7u1_armhf.deb Size: 1577196 SHA256: 3e1b6621800d1f6dd6556cf4108fe5006aad8752fd6d7ef393609625806f2c9c SHA1: 3b592d51a4bc3faea73d96bc836b44b4905b72df MD5sum: d53c0176b5cac53f7c2155750ba4aae7 Description: Fast, lightweight and user-friendly GTK2 based email client Claws Mail is a powerful and full-featured mail client formerly called Sylpheed-Claws. It is also extensible using loadable plugins, which can provide support for additional features, like other storage formats, feed reader, calendar management, mail filtering, etc. Package: claws-mail-acpi-notifier Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 286 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-acpi-notifier_3.8.1-2_armhf.deb Size: 33404 SHA256: 47249464167aa3f7c290d71d61e71a1d235e19185ba27c00580dc4af81e664ba SHA1: 2667cc5248e809902bfcb5ce3bb54821eece2b45 MD5sum: a20b76872cfe884afea6899c6f40e4c5 Description: Laptop's Mail LED control for Claws Mail This plugin for the Claws Mail mailer enables notification of new mail using the mail LED available on some portable computer models from Acer, ASUS, Fujitsu and IBM makers. Package: claws-mail-address-keeper Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 188 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-address-keeper_3.8.1-2_armhf.deb Size: 21712 SHA256: ac5192737b8f42370ff270f27c4419944de3637c777b36e8000daa927fab4cac SHA1: f7a9e5cdcf03b668024afda004c14afcbd7d8b02 MD5sum: 604be0935517a72b831fd4a2c192f47d Description: Address keeper plugin for Claws Mail This plugin allows saving outgoing addresses to a designated folder in the address book. Addresses are saved only if not found in the address book to avoid unwanted duplicates. . Selecting which headers are scanned for keeping addresses is also supported, one or several of 'To', 'Cc' and/or 'Bcc'. Package: claws-mail-archiver-plugin Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 403 Depends: libarchive12, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Enhances: claws-mail-vcalendar-plugin Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-archiver-plugin_3.8.1-2_armhf.deb Size: 70474 SHA256: 57b8cc3b0bffc06cb6ec485c61f7e8b41d03c116325ae50c9bb29ea9858a2aa0 SHA1: fea19335d68db11daba18804afe0e95974bb9f2f MD5sum: 963d9ff6e8eb8d7025bc0e407688c7de Description: Archiver plugin for Claws Mail This plugin for Claws Mail allows archiving of account's folders (IMAP, POP or local) as well as vcalendar folders. . Several formats are supported (TAR, PAX, SHAR and CPIO) with optional compression methods (GZIP, BZIP2). Package: claws-mail-attach-remover Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 75 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-attach-remover_3.8.1-2_armhf.deb Size: 18834 SHA256: c2d36745a908f196657cedc494103f2ad1925bed76c3c19a97a41b6d33737740 SHA1: a2ef5d2c14833f4cca2d15d4858fdf984b611671 MD5sum: 396631afb296ae3c01bae7ab8747d96d Description: Mail attachment remover for Claws Mail This plugin for the Claws Mail mailer provides a way to remove unwanted attachments from received mails. . All attachments can be removed or only the selected ones. All attachments are removed from the selected mails, there is no way to preserve an attachment while removing others. Package: claws-mail-attach-warner Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 257 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-attach-warner_3.8.1-2_armhf.deb Size: 30668 SHA256: aa993860f8f9dbc15079c6a28dc3a71f82040b5a4fa9c3d0059ad6d782d16121 SHA1: aece19c74aac57fa0de48c5b14bf3014455fa4a9 MD5sum: 298c699841ad5fabc9efdcf84ad6e5b9 Description: Missing attachment warnings for Claws Mail This plugin for Claws Mail warns the user with a popup window if some reference to an attachment is found in the composed mail text but no file is attached. Package: claws-mail-bogofilter Source: claws-mail Version: 3.8.1-2+deb7u1 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 123 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libdb5.1, libetpan15 (>= 1.0), libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnutls26 (>= 2.12.17-0), libgtk2.0-0 (>= 2.12.0), liblockfile1 (>= 1.0), libpango1.0-0 (>= 1.14.0), libsasl2-2 (>= 2.1.24), claws-mail (= 3.8.1-2+deb7u1), bogofilter Homepage: http://www.claws-mail.org Priority: optional Section: mail Filename: pool/main/c/claws-mail/claws-mail-bogofilter_3.8.1-2+deb7u1_armhf.deb Size: 106538 SHA256: 85d9c980661dcfb1a23252d03cd07bba880600255f1fd080c18d1b0037228994 SHA1: c851478359ee6dc3e27ddecf0252e8bc619b714b MD5sum: 837ef6c75e83bd102fce390add0fe5fa Description: Bogofilter plugin for Claws Mail This plugin for Claws Mail will scan received mail using Bogofilter to decide if it is spam or not. Users can also teach Bogofilter to recognise spam or ham using the corresponding menu entries. Package: claws-mail-bsfilter-plugin Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 258 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~), bsfilter Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-bsfilter-plugin_3.8.1-2_armhf.deb Size: 38058 SHA256: d7486dc9a8bc53b40b9fb1844b94153e8a1df15b3bf6a3ce186df266dc5b9f4e SHA1: d7061dd17de201e6caaca09fa66079b2c5f5b84e MD5sum: a9c0498aaccd0cbebcd31b9fb625b33a Description: Spam filtering using bsfilter for Claws Mail This plugin for Claws Mail allows filtering of spam messages using the Bayesian filtering program bsfilter. . The bsfilter can be trained with spam and ham messages to improve its spam detection capabilities. Package: claws-mail-clamd-plugin Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 246 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Recommends: clamav Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-clamd-plugin_3.8.1-2_armhf.deb Size: 42058 SHA256: 185c3532cda45d5de1b4a3000922ca3081c23846ca5ab47a414e9923cc6656d9 SHA1: 827701f4f657acd93d0136239ce6d013433a8a72 MD5sum: bc35f7e0a166170315abd4575e8024c8 Description: ClamAV socket-based plugin for Claws Mail This plugin uses Clam AntiVirus to scan all messages that are received from an IMAP, POP or local account. . When a message attachment is found to contain a virus it can be deleted or saved in a specially designated folder. . Due to licensing issues this plugin does not link against any libraries from ClamAV. The plugin therefore requieres a ClamAV daemon running, either on localhost or somewhere else, for the plugin to access it via a Unix or TCP socket. Package: claws-mail-dbg Source: claws-mail Version: 3.8.1-2+deb7u1 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 8106 Depends: claws-mail (= 3.8.1-2+deb7u1) Homepage: http://www.claws-mail.org Priority: extra Section: debug Filename: pool/main/c/claws-mail/claws-mail-dbg_3.8.1-2+deb7u1_armhf.deb Size: 3759676 SHA256: b2f85b6378a2c08ff574380dbbdaea65f07325408f6bb9b27ee65abebd371b31 SHA1: 61513700f89f32a0b2950ab788ee3e95eca03ea4 MD5sum: 524aab7d14bfd295ffb02fe7df743cf8 Description: Debug symbols for Claws Mail mailer This package contains debug symbols useful for debugging the claws-mail package with gdb or any compatible debugger. . It is also required if you intend to provide detailed backtraces for helping the maintainer and/or upstream to fix some bug. Package: claws-mail-doc Source: claws-mail Version: 3.8.1-2+deb7u1 Installed-Size: 2411 Maintainer: Ricardo Mones Architecture: all Suggests: claws-mail (= 3.8.1-2+deb7u1) Size: 1999814 SHA256: 4bcc7694fbb8f054a4ad02f77b63eef4c3581bc6c5440bdd031c8f8029e1f9fb SHA1: 5c6d2de27139f96b201b4bc2ae3963288df4d483 MD5sum: 06041f5cef11da109dedc29a371623ce Description: User documentation for Claws Mail mailer This package provides the Claws Mail user's manual. The document is available in text and HTML formats for screen reading, and also PostScript and PDF formats for printing. Homepage: http://www.claws-mail.org Section: doc Priority: optional Filename: pool/main/c/claws-mail/claws-mail-doc_3.8.1-2+deb7u1_all.deb Package: claws-mail-extra-plugins Version: 3.8.1-2 Installed-Size: 45 Maintainer: Ricardo Mones Architecture: all Depends: claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~), claws-mail-vcalendar-plugin (>= 3.8.1-2), claws-mail-perl-filter (>= 3.8.1-2), claws-mail-feeds-reader (>= 3.8.1-2), claws-mail-mailmbox-plugin (>= 3.8.1-2), claws-mail-html2-viewer (>= 3.8.1-2), claws-mail-acpi-notifier (>= 3.8.1-2), claws-mail-attach-remover (>= 3.8.1-2), claws-mail-fetchinfo-plugin (>= 3.8.1-2), claws-mail-newmail-plugin (>= 3.8.1-2), claws-mail-multi-notifier (>= 3.8.1-2), claws-mail-attach-warner (>= 3.8.1-2), claws-mail-spam-report (>= 3.8.1-2), claws-mail-tnef-parser (>= 3.8.1-2), claws-mail-archiver-plugin (>= 3.8.1-2), claws-mail-bsfilter-plugin (>= 3.8.1-2), claws-mail-fancy-plugin (>= 3.8.1-2), claws-mail-python-plugin (>= 3.8.1-2), claws-mail-clamd-plugin (>= 3.8.1-2), claws-mail-address-keeper (>= 3.8.1-2), claws-mail-gdata-plugin (>= 3.8.1-2) Size: 13246 SHA256: 0aa1c66c8648c5f443762b8bc9c9ffb0809c874e419ff55c5361a90ccb935c07 SHA1: dfea96cb85db3e5a1c34686a9be7d4b8be0c50b0 MD5sum: 2303c33d83db1023c15bfc713e017cf4 Description: Extra plugins collection for Claws Mail This is a metapackage for installing all extra plugins packages available from the upstream site for the Claws Mail mailer. . See each individual package description for further details. Homepage: http://www.claws-mail.org/plugins.php Tag: role::metapackage, role::plugin, works-with::mail Section: metapackages Priority: optional Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-extra-plugins_3.8.1-2_all.deb Package: claws-mail-extra-plugins-dbg Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 5300 Depends: claws-mail-vcalendar-plugin (= 3.8.1-2) | claws-mail-perl-filter (= 3.8.1-2) | claws-mail-feeds-reader (= 3.8.1-2) | claws-mail-mailmbox-plugin (= 3.8.1-2) | claws-mail-html2-viewer (= 3.8.1-2) | claws-mail-acpi-notifier (= 3.8.1-2) | claws-mail-attach-remover (= 3.8.1-2) | claws-mail-fetchinfo-plugin (= 3.8.1-2) | claws-mail-newmail-plugin (= 3.8.1-2) | claws-mail-multi-notifier (= 3.8.1-2) | claws-mail-attach-warner (= 3.8.1-2) | claws-mail-spam-report (= 3.8.1-2) | claws-mail-tnef-parser (= 3.8.1-2) | claws-mail-archiver-plugin (= 3.8.1-2) | claws-mail-bsfilter-plugin (= 3.8.1-2) | claws-mail-fancy-plugin (= 3.8.1-2) | claws-mail-python-plugin (= 3.8.1-2) | claws-mail-clamd-plugin (= 3.8.1-2) | claws-mail-address-keeper (= 3.8.1-2) Homepage: http://www.claws-mail.org/plugins.php Priority: extra Section: debug Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-extra-plugins-dbg_3.8.1-2_armhf.deb Size: 2353898 SHA256: 7381923c4f52d2174d33e70418040ca448ffb88181a31a7a09f250d783029723 SHA1: 580bee0f8d33c7c221391fe6670f3d35bfde9080 MD5sum: 5f41b26dc7ff1c7ab6b91363e1650d38 Description: Debug symbols for Claws Mail Extra Plugins packages This package contains debug symbols useful for debugging the plugins within the claws-mail-extra-plugins package with gdb or any compatible debugger. Package: claws-mail-fancy-plugin Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 245 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.0), libjavascriptcoregtk-1.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libwebkitgtk-1.0-0 (>= 1.3.10), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Suggests: html2ps Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-fancy-plugin_3.8.1-2_armhf.deb Size: 36216 SHA256: bda5d5d8618f94fe4d3c2ff8a8d828b3e8c8334a3ad19d33694c8baf77f46c29 SHA1: f838109fce9eecc5435e5245d0044c15ef9a65ec MD5sum: 31681616055ddd8ea8490b636f3560b0 Description: HTML mail viewer using GTK+ WebKit This plugin for Claws Mail allows rendering of HTML email messages in the message window. . It uses the GTK+ port of the webkit library to render HTML. . Supports printing HTML mails when html2ps package is installed. Package: claws-mail-feeds-reader Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 477 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-feeds-reader_3.8.1-2_armhf.deb Size: 105912 SHA256: 52261c25f5b5f07d573e6f9070c7f19505dbf102adbc14bfc9b24cf118d8d5f5 SHA1: 39e29bc122cd0c2a32e8193d939799e53f2e4792 MD5sum: c42dfc2711ece11651ab011e3d5fb018 Description: Feeds (RSS/Atom) reader plugin for Claws Mail The RSSyl plugin provides feeds reading capability for Claws Mail mailer. . Supported formats are RSS (1.0, 2.0 and probably 0.9x versions) and Atom feeds. . It integrates also with dillo viewer plugin to allow online browsing of entries, and has per-feed customization features, transforming your Claws Mail into a powerful lightweight feeds reader. Package: claws-mail-fetchinfo-plugin Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 68 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-fetchinfo-plugin_3.8.1-2_armhf.deb Size: 16924 SHA256: 92c403b4a61e2a64fdb43e47673f20b9d392e7cb86f3eafb764947ac19eedaf5 SHA1: aa875e1577d5dba818af9c176e23bf485df9e210 MD5sum: a753e95b5f7f8a18fa953435ab7e881f Description: Add X-FETCH headers plugin for Claws Mail This plugin for the Claws Mail mailer enables adding useful extra headers to the reveived mails with information like the receiving account or the server the mail was downloaded from. These extra headers can be used for filtering and/or processing messages more appropriately. Package: claws-mail-gdata-plugin Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 164 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdata13 (>= 0.10.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.6.27), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-gdata-plugin_3.8.1-2_armhf.deb Size: 25346 SHA256: e8417c9334841ac5f049f44b6ca03e0f4291127cd5fbf0e45250b6ff1a0d6691 SHA1: cc3fec6a054ff4d61d2da6f7eb12a5f8e074f0ea MD5sum: e793f6dff4e81d4abcb11fa8e9a51f14 Description: Access to GData (Google services) for Claws Mail This plugin provides access to the Google services using the GData library. . Current implemented feature is to make your Google contacts available for automatic completion of address headers when composing a mail message. Package: claws-mail-html2-viewer Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 593 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Recommends: html2ps Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-html2-viewer_3.8.1-2_armhf.deb Size: 181670 SHA256: 6c785abbddcc79aae1cd2c7a3dd8882e4e6f311f27c5c2776e31e1e84780bbe9 SHA1: cb821fb991be4d9766b8b59a916801c7a8ff12bf MD5sum: aa174be16c7b717f7a8d33bb2596e92a Description: HTML mail or attachment viewer for Claws Mail This plugin enables viewing HTML mails and mail attachments within the Claws Mail message window. . The plugin uses a self maintainted improved version of the gtkhtml2 HTML rendering widget. Package: claws-mail-i18n Source: claws-mail Version: 3.8.1-2+deb7u1 Installed-Size: 6024 Maintainer: Ricardo Mones Architecture: all Depends: claws-mail (>= 3.8.1-2+deb7u1) Size: 2107758 SHA256: cbe317977f7d31daa4ded0a6fa58ae2a4d18a8a2462bdbb54c09892cea5cb697 SHA1: 8146aab93a6efca0e793bec3929faeb34996a29b MD5sum: 222905ee0c4239213ffc098d478592bb Description: Locale data for Claws Mail (i18n support) This package provides support for additional languages to the Claws Mail mailer. Currently available locales are: bg (Bulgarian) ca (Catalan) de (German) en_GB (British English) es (Spanish) fi (Finnish) fr (French) hu (Hungarian) it (Italian) nl (Dutch) pl (Polish) pt_BR (Brazilian Portuguese) ru (Russian) sk (Slovak) sr (Serbian) sv (Swedish) zh_CN (Chinese) Homepage: http://www.claws-mail.org Section: mail Priority: optional Filename: pool/main/c/claws-mail/claws-mail-i18n_3.8.1-2+deb7u1_all.deb Package: claws-mail-mailmbox-plugin Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 162 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-mailmbox-plugin_3.8.1-2_armhf.deb Size: 57180 SHA256: e2352ef8cd801c27f2d09465bed0073d6890a5931c745d0e323d385f477c52d8 SHA1: 622b34e6d225b36c4f060c209193f0719790e3a4 MD5sum: 2860828c83b53c2b7cce4cdf75a6ac16 Description: mbox format mailboxes handler for Claws Mail The mailMBOX plugin for Claws Mail provides the ability of handling existing mbox format files using the libetpan library. . Once added, these files appear like mailer's native mail folders. Package: claws-mail-multi-notifier Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 516 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcanberra-gtk0 (>= 0.2), libcanberra0 (>= 0.2), libdbusmenu-glib4 (>= 0.4.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libindicate5 (>= 0.4.90), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Recommends: notification-daemon Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-multi-notifier_3.8.1-2_armhf.deb Size: 121092 SHA256: 638350d4bfa14cae67a06ef8b0eda7636157cb1efd84fa282454947c300d5c86 SHA1: f1429f7760e086eb464a43f8c120cdb50780e2b4 MD5sum: e128898a2e8fb92cd55a14f42d381ead Description: Various new mail notifiers for Claws Mail This plugin for Claws Mail mailer collects several ways of notification of new (and possibly unread) mail to the user. Currently, a popup window and a mail banner are implemented. Package: claws-mail-newmail-plugin Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 62 Depends: libc6 (>= 2.13-28), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-newmail-plugin_3.8.1-2_armhf.deb Size: 13222 SHA256: 4d9762127713d5fde0dda7d02d6d30692abf2144ff1f51fb5895a9ca74628cb0 SHA1: 83f7eabc5c70180ce4ad2d500e0a7ae65441d8b0 MD5sum: 3f0b5c8d91f2a4e14aabf72ed6672a5f Description: New mail logger plugin for Claws Mail This plugin for the Claws Mail mailer writes a summary of new messages' headers to a log file. . This summary is written on arrival of new mail and after sorting it. Package: claws-mail-perl-filter Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 136 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libperl5.14 (>= 5.14.2), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-perl-filter_3.8.1-2_armhf.deb Size: 47470 SHA256: 99bdd55baa21e0682724e7b6274e9be856e968ae4e9e5efded9b8bc46fe5f90c SHA1: 2e5134a7c1e67dc14b82f3d022265bd1ab8d7bdf MD5sum: c6491e28da3a123c0e13fe8c4a5170d5 Description: Message filtering plugin using perl for Claws Mail This plugin enables filtering of Claws Mail messages using perl regular expresions and, in fact, giving all the perl features and power to the filtering engine. . A tool for converting the current filter set of the user into a equivalent perl script suitable for the plugin is also provided. Package: claws-mail-pgpinline Source: claws-mail Version: 3.8.1-2+deb7u1 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 115 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libdb5.1, libetpan15 (>= 1.0), libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnutls26 (>= 2.12.17-0), libgpg-error0 (>= 1.10), libgpgme11 (>= 1.1.2), libgtk2.0-0 (>= 2.8.0), liblockfile1 (>= 1.0), libpango1.0-0 (>= 1.14.0), libsasl2-2 (>= 2.1.24), claws-mail (= 3.8.1-2+deb7u1), claws-mail-pgpcore Homepage: http://www.claws-mail.org Priority: optional Section: mail Filename: pool/main/c/claws-mail/claws-mail-pgpinline_3.8.1-2+deb7u1_armhf.deb Size: 102648 SHA256: b9400f70fdcd8b1aa5ce240d3b115c2954aada4e37ad04aa5379747324b08e54 SHA1: 500638debd1e9196a223e7f52246e758c5b44409 MD5sum: 6dff3f4aff70e761d96771ec74811484 Description: PGP/inline plugin for Claws Mail This plugin for Claws Mail verifies signatures of digitally signed messages, and decrypts encrypted messages. It's also required to send signed and encrypted messages using inline method (deprecated). Package: claws-mail-pgpmime Source: claws-mail Version: 3.8.1-2+deb7u1 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 173 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libdb5.1, libenchant1c2a (>= 1.6), libetpan15 (>= 1.0), libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnutls26 (>= 2.12.17-0), libgpg-error0 (>= 1.10), libgpgme11 (>= 1.2.0), libgtk2.0-0 (>= 2.14.0), liblockfile1 (>= 1.0), libpango1.0-0 (>= 1.14.0), libsasl2-2 (>= 2.1.24), claws-mail (= 3.8.1-2+deb7u1) Provides: claws-mail-pgpcore Homepage: http://www.claws-mail.org Priority: optional Section: mail Filename: pool/main/c/claws-mail/claws-mail-pgpmime_3.8.1-2+deb7u1_armhf.deb Size: 127590 SHA256: 54ef050e732273e7bf7971aabbdbf826f0edef092b4fb4e129e5c06986e78590 SHA1: fd32cb19e4c74cfc8b5a6c5bf393f692bb9da10e MD5sum: 56ff893d8d58906e41e5edd9d4f08825 Description: PGP/MIME plugin for Claws Mail This plugin for Claws Mail verifies signatures and decrypts messages. It does also provide the PGP/core plugin for use with other privacy plugins, required also for signing and encrypting mails. Package: claws-mail-plugins Source: claws-mail Version: 3.8.1-2+deb7u1 Installed-Size: 112 Maintainer: Ricardo Mones Architecture: all Depends: claws-mail-spamassassin, claws-mail-trayicon, claws-mail-pgpmime, claws-mail-pgpinline, claws-mail-bogofilter, claws-mail-smime-plugin Suggests: claws-mail-extra-plugins Size: 90358 SHA256: 5c7680bef11e73ce31e3226ce27274aa81b9983c926df1c448dc72560b0f2360 SHA1: a6a6792a139fcd140f1a7cff1ae0bd5f8548e5a9 MD5sum: b848645479fa93b2afa13cbcd79d2505 Description: Installs plugins for the Claws Mail mailer This is a metapackage to install all plugin packages provided within the Claws Mail sources. . It does not install third party plugins available in the archive. . See the claws-mail-extra-plugins package for more plugins. Homepage: http://www.claws-mail.org Enhances: claws-mail Section: mail Priority: optional Filename: pool/main/c/claws-mail/claws-mail-plugins_3.8.1-2+deb7u1_all.deb Package: claws-mail-python-plugin Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 243 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpython2.7 (>= 2.7), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-python-plugin_3.8.1-2_armhf.deb Size: 41634 SHA256: d6bdec202272d28830b7cb2eec7644b00a7a70bea1aa882a0310c553a0302d70 SHA1: 8f94397f0051ad0f970ac194d1828b9ec7545e27 MD5sum: 142a30d4827d3630add763a518f28bc4 Description: Python plugin and console for Claws Mail This plugin offers a Python scripting access to Claws Mail. . It features a console for testing and scripts can be saved for later usage as new menu items. . Currently only interface with menu options is implemented. Package: claws-mail-smime-plugin Source: claws-mail Version: 3.8.1-2+deb7u1 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 115 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libdb5.1, libenchant1c2a (>= 1.6), libetpan15 (>= 1.0), libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnutls26 (>= 2.12.17-0), libgpg-error0 (>= 1.10), libgpgme11 (>= 1.1.6), libgtk2.0-0 (>= 2.8.0), liblockfile1 (>= 1.0), libpango1.0-0 (>= 1.14.0), libsasl2-2 (>= 2.1.24), claws-mail (= 3.8.1-2+deb7u1), claws-mail-pgpcore Homepage: http://www.claws-mail.org Priority: optional Section: mail Filename: pool/main/c/claws-mail/claws-mail-smime-plugin_3.8.1-2+deb7u1_armhf.deb Size: 103000 SHA256: 2f7a49f738305b20d572de294368767281cfd34019cc4b3b47157e2bd45cda5e SHA1: 349d75ee9d68f389fe5afd0c5713847bc6edee7c MD5sum: ab73e29bf1e4b798fad91625ee58c1c8 Description: S/MIME signature/encryption handling for Claws Mail This plugin handles S/MIME signed and/or encrypted mails in Claws Mail. You can decrypt mails, verify signatures or sign and encrypt your own mails. . S/MIME is also known as PKCS#7 and uses the application/pkcs7-signature or application/x-pkcs7-signature MIME subtype within messages. . Receiving an attachment called smime.p7m is also sign of this kind of signature usage. Package: claws-mail-spam-report Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 219 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-spam-report_3.8.1-2_armhf.deb Size: 25778 SHA256: 2999b707df250ffbf867d1f0bd4001e2521abd4019394552efda51da0206f56d SHA1: 20f965b98bbb42a0b3a13953be0396807d2a3b5c MD5sum: 3b4c709054c2eb13c28b77466c6387e4 Description: Spam reporting plugin for Claws Mail This plugin for Claws Mail allows sending reports about spam messages received to spam harvesting sites online. . Currently are supported the signal-spam.fr and spamcop.net sites and also the Debian lists spam nomination system. Package: claws-mail-spamassassin Source: claws-mail Version: 3.8.1-2+deb7u1 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 136 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libdb5.1, libetpan15 (>= 1.0), libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnutls26 (>= 2.12.17-0), libgtk2.0-0 (>= 2.12.0), liblockfile1 (>= 1.0), libpango1.0-0 (>= 1.14.0), libsasl2-2 (>= 2.1.24), claws-mail (= 3.8.1-2+deb7u1), spamassassin Homepage: http://www.claws-mail.org Priority: optional Section: mail Filename: pool/main/c/claws-mail/claws-mail-spamassassin_3.8.1-2+deb7u1_armhf.deb Size: 112652 SHA256: 62cf921ce9b098396cc05f5927484bddb93d6dc90b15b7cc8ff09220c30dc532 SHA1: 09d258754df7470e150dd0feb74a5af593e08447 MD5sum: cb17ee26bc922886c7785da129fb925f Description: SpamAssassin plugin for Claws Mail This plugin for Claws Mail will filter all received email through a SpamAssassin daemon to decide if it is spam or not and process them accordingly. Package: claws-mail-themes Version: 20120129.dfsg-1 Installed-Size: 5460 Maintainer: Ricardo Mones Architecture: all Recommends: claws-mail Enhances: claws-mail Size: 2460298 SHA256: 742e0453c74eab10ccb08a3a57fdf8179d9d88f3e13acedd22a58e438e1fbe8f SHA1: 76a8ad6f83a82d4a4af824d2c6993a46e5dcb3a4 MD5sum: e7769e6d670ff7618264940f72e6d712 Description: Pixmap icon themes for the Claws Mail mailer These are the user contributed icon themes for the Claws Mail mailer. Currently includes 39 icon themes from various authors: . achileus-noname A_Ducks_Claw black Blaue_Klaue blue_anarchy clawsola Coons-Blue-0.3 Crystal elementary Everaldo_Kids Fugue Gnomaws-0.6a Gnome gnome-brave Gnomeria Gorillaws Graphitte-0.9.7 hydroxygen Korillaws Kovico-claws Logos-1.0.1 mongrel mongrel2 mozilla Navigator New_Session Old_Dark_Theme orbit-claws Phoenity Plain_and_Bluish Skypilot_Clawssic stw Sylpholution SylZilla Tango_v1.2.1 tml02c tom_2.1.1 UltimateClawsMail0.5.1 XeNtish Homepage: http://www.claws-mail.org/themes.php Tag: made-of::icons, role::app-data, x11::theme Section: mail Priority: extra Filename: pool/main/c/claws-mail-themes/claws-mail-themes_20120129.dfsg-1_all.deb Package: claws-mail-tnef-parser Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 290 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-tnef-parser_3.8.1-2_armhf.deb Size: 44510 SHA256: 83b673a7b8bf8fa79539949edda1216df8c94053de96aad51e19344d0619edd6 SHA1: 9d624ce34ab31a25bc1b328fd2a6a08c6b70610b MD5sum: 41be8ba774b7530321212a8a3259548f Description: TNEF attachment handler for Claws Mail This plugin for Claws Mail allows reading of application/ms-tnef attachments. These attachments usually come from Microsoft mailers with the name 'winmail.dat'. Package: claws-mail-tools Source: claws-mail Version: 3.8.1-2+deb7u1 Installed-Size: 287 Maintainer: Ricardo Mones Architecture: all Depends: claws-mail (>= 3.8.1-2+deb7u1), perl, python Size: 233758 SHA256: 9d16cd0b92f7bf50370e6b2c137574b319d0349fa377525d7b2c1b4916956efc SHA1: 523621c55573d4a64bb292604a92551f72606ceb MD5sum: 622a93c7491653290d0f29fc42646c6f Description: Helper and utility scripts for Claws Mail mailer Several scripts in a variety of languages which provide ready to use solutions to common problems found by Claws Mail users. E.g.: mailboxes migration, addressbooks conversion, etc. . See README files for further details about included scripts. Homepage: http://www.claws-mail.org Section: mail Priority: optional Filename: pool/main/c/claws-mail/claws-mail-tools_3.8.1-2+deb7u1_all.deb Package: claws-mail-trayicon Source: claws-mail Version: 3.8.1-2+deb7u1 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 111 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libdb5.1, libetpan15 (>= 1.0), libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnutls26 (>= 2.12.17-0), libgtk2.0-0 (>= 2.18.0), liblockfile1 (>= 1.0), libpango1.0-0 (>= 1.14.0), libsasl2-2 (>= 2.1.24), libx11-6, claws-mail (= 3.8.1-2+deb7u1) Homepage: http://www.claws-mail.org Priority: optional Section: mail Filename: pool/main/c/claws-mail/claws-mail-trayicon_3.8.1-2+deb7u1_armhf.deb Size: 100494 SHA256: d9f2b41f6ac9e4daec5d880f6abe6cf3b9584623ed06c4977ad487e59443a6af SHA1: 57d85a3fa58d5c721f6cbb40564bf7e91275750e MD5sum: 33cb20eea3626ef0bb465feba89d3fc9 Description: Notification area plugin for Claws Mail This plugin for Claws Mail will display an icon on the desktop's notification area with stats about read and unread emails. . It also provides a contextual menu with some frequently used entries. Package: claws-mail-vcalendar-plugin Source: claws-mail-extra-plugins Version: 3.8.1-2 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 993 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), claws-mail (>= 3.8.1), claws-mail (<= 3.8.2~) Homepage: http://www.claws-mail.org/plugins.php Priority: optional Section: mail Filename: pool/main/c/claws-mail-extra-plugins/claws-mail-vcalendar-plugin_3.8.1-2_armhf.deb Size: 285098 SHA256: 0faa0767cdebcba01b62116f99f7ec776c18fbd43f24ab602f147f64a5d2288f SHA1: 4d32d1ca3158708998608d99df4343189cc7bd9d MD5sum: 3a2fa5c6ae02c2f588a13a8c33f15267 Description: vCalendar message handling plugin for Claws Mail The vCalendar plugin for Claws Mail provides vCalendar message handling (the meeting subset of the vCalendar format), displaying such mails in a nice format, letting you create and send meetings, and creating a virtual folder with the meetings you sent or received. The functionality is similar to the provided by Evolution for that mails. Package: clawsker Version: 0.7.8-1 Installed-Size: 312 Maintainer: Ricardo Mones Architecture: all Depends: perl, libgtk2-perl (>= 1.140), liblocale-gettext-perl (>= 1.05) Recommends: claws-mail Enhances: claws-mail Size: 64930 SHA256: fb24e8f234e3f1e978254620735f075c5423105694a416da2bca609fb547a209 SHA1: ddb78e909debeece8e0db001d2046118ddc03a13 MD5sum: 4bb40fffffde2e983929706a43938e6c Description: Configuration tweaker for Claws Mail Clawsker is an applet to edit the so called Claws Mail hidden preferences. . Claws Mail is a fast, lightweight and feature-rich MUA with a high number of configurable options. To keep the binary small and fast some of these preferences which not widely used are not provided with a graphical interface for inspection and/or modification. . Users wanting to edit such preferences had to face raw edition of their configuration files, now you can do it with a convenient GTK2 interface using Clawsker. Homepage: http://www.claws-mail.org/clawsker.php Tag: implemented-in::perl, role::program, uitoolkit::gtk, use::configuring Section: mail Priority: extra Filename: pool/main/c/clawsker/clawsker_0.7.8-1_all.deb Package: clc-intercal Version: 1:1.0~4pre1.-94.-2-2 Installed-Size: 1940 Maintainer: Mark Brown Architecture: all Depends: libnet-netmask-perl, perl (>= 5.6.0-16) Recommends: libgtk2-perl Suggests: intercal Size: 347152 SHA256: 0f34a2e4266eba34599f9faaa3c7f13ed06be74e7f8aa312d42f49c8d6e8467a SHA1: be4fd86c34069d6f4510ff8d7d3babc7dfe35efb MD5sum: 4c29058f6c430b1de011f16cc34eea05 Description: Compiler for the INTERCAL language This package provides a Perl-based compiler for the INTERCAL programming language, usable either from the command line or as a Perl module. . CLC-INTERCAL is designed to be almost compatible with the original (Princeton 1972) compiler. It also implements several extensions to the original language, including support for object orientation, operator overloading and quantum computing. Tag: devel::compiler, devel::interpreter, game::toys, interface::commandline, role::program, scope::utility, works-with::software:source Section: devel Priority: extra Filename: pool/main/c/clc-intercal/clc-intercal_1.0~4pre1.-94.-2-2_all.deb Package: cldump Version: 0.11~dfsg-1 Architecture: armhf Maintainer: Julien BLACHE Installed-Size: 72 Depends: libc6 (>= 2.4) Priority: extra Section: misc Filename: pool/main/c/cldump/cldump_0.11~dfsg-1_armhf.deb Size: 19376 SHA256: 1a3f892c3622a3c54618fad7c6bdf637fc15c22c8422ed8ecbac293c8bdb2bfa SHA1: 2a432949f02976dc41e397cea838ff67cc82dcd1 MD5sum: 41c02d882bc18eb5a8dc429947d4ab38 Description: Clarion database files extractor The cldump utility allows you to extract the content of a Clarion database; Clarion is a Windows IDE similar to Delphi and others, and has its own (simple) database format. . cldump can export the content of the database to CSV or SQL, plus its own "format" which dumps all the meta data along with the data contained in the database. When using the SQL output, you'll get a nearly ready-to-go dump of the database that will create the table and the indexes and insert the data into the table. . Note that cldump doesn't support all the datatypes yet; patches welcome. . You may be interested in the dbview package too, which can dump dBase files. Package: clearlooks-phenix-theme Version: 2.0.5-1 Installed-Size: 470 Maintainer: Andrew O. Shadura Architecture: all Depends: libgtk-3-0 (>= 3.4.0), gnome-themes-standard, gtk3-engines-unico Size: 230240 SHA256: 76915c1b370b931a4af982ecbd5c2bfa84958904b0f3a2a08bd02c3c96622b7b SHA1: 0ad2f6195d7799b85c95de47ca4ce85c92fb6bfb MD5sum: f9f2b96ca30591129935648ebc32f690 Description: GTK3 port of Clearlooks theme Clearlooks-Phenix is a GTK3 theme which is a port of Clearlooks, the default theme for GNOME 2. Files for GTK3 correspond to significant changes of Adwaita, the default GNOME 3 theme. Files for GTK2 come from the unmodified Clearlooks theme. Homepage: http://www.jpfleury.net/en/software/clearlooks-phenix.php Section: gnome Priority: optional Filename: pool/main/c/clearlooks-phenix-theme/clearlooks-phenix-theme_2.0.5-1_all.deb Package: clearsilver-dev Source: clearsilver Version: 0.10.5-1.3 Architecture: armhf Maintainer: Jesus Climent Installed-Size: 645 Depends: libc6-dev Conflicts: libcgi-dev Homepage: http://www.clearsilver.net/ Priority: optional Section: python Filename: pool/main/c/clearsilver/clearsilver-dev_0.10.5-1.3_armhf.deb Size: 279798 SHA256: 77fbc14becba4b1640d4c87d452c1a0355a9b290967fbd3dada3b43ef50edb25 SHA1: e7dcb7ab3f80570df4e81ec785b06f23092e0606 MD5sum: 468536115cb2f84f2634e9ea7ea16a5c Description: headers and static library for clearsilver Clearsilver is a fast, powerful, and language-neutral HTML template system. In both static content sites and dynamic HTML applications, it provides a separation between presentation code and application logic which makes working with your project easier. Package: clementine Source: clementine (1.0.1+dfsg-2) Version: 1.0.1+dfsg-2+b1 Architecture: armhf Maintainer: Thomas Pierson Installed-Size: 11223 Depends: libc6 (>= 2.13-28), libcdio13 (>= 0.83), libchromaprint0 (>= 0.2), libechonest1.2 (>= 1.2.0), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.22.0), libgpod4 (>= 0.7.92), libgstreamer-plugins-base0.10-0 (>= 0.10.23), libgstreamer0.10-0 (>= 0.10.16), libimobiledevice2 (>= 0.9.7), libindicate-qt1 (>= 0.2.5), liblastfm0 (>= 0.4.0~git20090710), libmtp9 (>= 1.1.0), libplist1 (>= 0.13), libprojectm2, libqjson0 (>= 0.7.1), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.6.1), libqt4-opengl (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqxt-gui0, libstdc++6 (>= 4.6), libtag1c2a (>= 1.7), libusb-1.0-0 (>= 2:1.0.8), libusbmuxd1 (>= 1.0.0), libx11-6, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4), gstreamer0.10-plugins-base, gstreamer0.10-plugins-good, gstreamer0.10-plugins-ugly, libqt4-sql-sqlite, projectm-data (>= 2.0.1+dfsg-6) Recommends: gstreamer0.10-alsa | gstreamer0.10-pulseaudio Homepage: http://www.clementine-player.org/ Priority: optional Section: sound Filename: pool/main/c/clementine/clementine_1.0.1+dfsg-2+b1_armhf.deb Size: 4292362 SHA256: a82ff1038e807f9f9e667f785fd2a21ad62c81c50bd0457e39db7f73d10f0c5b SHA1: 845152abf0172ef32b057a36e632fd3940595897 MD5sum: e0b4ece70b228388e878eed62415e5a7 Description: modern music player and library organizer Clementine is a multiplatform music player focusing on a fast and easy-to-use interface for searching and playing your music. . Summary of included features : - Search and play your local music library. - Listen to internet radio from Last.fm, SomaFM and Magnatune. - Tabbed playlists, import and export M3U, XSPF, PLS and ASX. - Visualisations from projectM. - Transcode music into MP3, Ogg Vorbis, Ogg Speex, FLAC or AAC - Edit tags on MP3 and OGG files, organise your music. - Download missing album cover art from Last.fm. - Native desktop notifications using libnotify. - Supports MPRIS, or remote control using the command-line. - Remote control using a Wii Remote, MPRIS or the command-line. - Copy music to your iPod, iPhone, MTP or mass-storage USB player. - Queue manager. . It is largely a port of Amarok 1.4, with some features rewritten to take advantage of Qt4. Package: clex Version: 3.15-1 Architecture: armhf Maintainer: Gabriel Puliatti Installed-Size: 219 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5 Priority: optional Section: misc Filename: pool/main/c/clex/clex_3.15-1_armhf.deb Size: 82616 SHA256: 8c332dec72214cbefdc990353aa67c066127c7eeb88f733e55d96fadb5e490de SHA1: 9cd77fd7ebfb3048ab03b7af22434481a24fcac3 MD5sum: 54e9ef32b9383dd514c35be6b7fcb045 Description: command line file manager which uses the ncurses library Clex is a fully functional textual file-manager. It displays things like permissions, date of creation, filesize and others when browsing a directory. Clex also has the ability to accept commands with the default shell, and showing the output. Package: clfswm Version: 20111015.git51b0a02-2 Installed-Size: 1080 Maintainer: Debian Common Lisp Team Architecture: all Provides: x-window-manager Depends: clisp-module-clx | cl-clx-sbcl | cmucl-source Size: 248018 SHA256: 1dc25a18fc5b76e215c3b0b61945aa9aa22e932a33a91c2854379f4fdba0c085 SHA1: 26494edeb491dbde939e03b6b152ceaeaff9a775 MD5sum: 4ffa33d0fcc7e456b9d304283e169a06 Description: A(nother) Common Lisp FullScreen Window Manager CLFSWM is a 100% Common Lisp X11 window manager (based on Tinywm and Stumpwm. Many thanks to them). It can be driven only with the keyboard or with the mouse. . A display contains a root frame and its children. A child can be a window or another frame. The root frame or its children can be the current root. The current root is fullscreen maximized (no decorations, no buttons, no menus: nothing, just the application fullscreen!). . CLFSWM is highly dynamic. By default there is only one frame (the root frame). Other frames are created/deleted on the fly. A window can be in more than one frame, so it can have multiple views of the same windows. . Using CLFSWM is like walking through a tree of frames and windows. Enter in a child to make it the current root and make it fullscreen maximized. Leave it to make its parent the current root. Homepage: http://common-lisp.net/project/clfswm/ Tag: role::program, x11::window-manager Section: x11 Priority: optional Filename: pool/main/c/clfswm/clfswm_20111015.git51b0a02-2_all.deb Package: clhep-doc Source: clhep Version: 2.1.2.3-1 Installed-Size: 74046 Maintainer: Debian Science Maintainers Architecture: all Depends: libjs-jquery Size: 7178540 SHA256: e0e72ac73b3b1ec96f5804138e3730c511efd4483fdf24e3619713e0b77b6bf5 SHA1: f03037f89c3d9910d0845b2e9acecbd503c85cbc MD5sum: 85d64df46a2bc7e853ea9f7be211a999 Description: Documentation of CLHEP CLHEP provides a set of HEP-specific foundation and utility classes such as random generators, physics vectors, geometry and linear algebra. . This package provides HTML documentation of CLHEP. Homepage: http://proj-clhep.web.cern.ch/proj-clhep/ Section: doc Priority: optional Filename: pool/main/c/clhep/clhep-doc_2.1.2.3-1_all.deb Package: cli-common Version: 0.8.2 Installed-Size: 240 Maintainer: Debian CLI Common Team Architecture: all Replaces: cli-common-dev (<< 0.5.1) Depends: perl-modules Size: 177564 SHA256: 93268c4b8314df4a8af71fc516c671c0904a6b7249496ef09b696407a01e6b3c SHA1: fa4f833582fbc16f0aa4827521f5cbf3953f206d MD5sum: b4f1eb453d3f23df812d6e476d129373 Description: common files between all CLI packages This package must be installed if a CLI (Common Language Infrastructure) runtime environment is desired. . It covers useful integration and information for CLI implementations in Debian GNU/Linux, including: * The CLI policy describes how CLI packages should behave and integrate. * A FAQ for package maintainers of CLI/.NET applications. * Integration for CLRs (Common Language Runtime): + Installing libraries into existing GACs (Global Assembly Cache) Homepage: http://alioth.debian.org/projects/pkg-cli-common/ Tag: implemented-in::perl, role::app-data, role::documentation, suite::debian Section: cli-mono Priority: optional Filename: pool/main/c/cli-common/cli-common_0.8.2_all.deb Package: cli-common-dev Source: cli-common Version: 0.8.2 Installed-Size: 79 Maintainer: Debian CLI Common Team Architecture: all Replaces: cli-common (<< 0.4.0) Depends: debhelper (>= 7.0.8), perl-modules, mono-utils (>= 2.10.1) | cil-disassembler, mono-devel (>= 2.10.1) | strong-name-tool, libxml-dom-perl Size: 44604 SHA256: 189a6c0fb9054291cc67104ed734c6aebcff926a2f82d57cac39ebad07fdfe60 SHA1: b6430d193e3da239a8c9a6f494fab5959af96160 MD5sum: 95b4d0dc2f7d55b7df43f131ede6aadc Description: common files for building CLI packages This package must be installed if a CLI (Common Language Infrastructure) packaging environment is desired. . It includes debhelper scripts for managing automatic dependency tracking between native libraries, CLI libraries and CLI applications: * dh_clideps to generate cli:Depends information for debian/control * dh_makeclilibs to create clilibs files that are needed/used by dh_clideps * dh_installcligac to register assemblies to be late installed into a GAC * dh_cligacpolicy to create and install the policy files into a GAC * dh_clifixperms to fix permissions of files in CLI package build directories * dh_clistrip to strip CLI debug symbols from package build directories Homepage: http://alioth.debian.org/projects/pkg-cli-common/ Tag: devel::ecma-cli, devel::packaging, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:package, works-with::software:source Section: cli-mono Priority: optional Filename: pool/main/c/cli-common/cli-common-dev_0.8.2_all.deb Package: clif Version: 0.93-9 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 1359 Depends: libc6 (>= 2.13-28), libelfg0 (>= 0.8.12), libx11-6 Priority: optional Section: interpreters Filename: pool/main/c/clif/clif_0.93-9_armhf.deb Size: 1197406 SHA256: 43740553a9121cde2432a848b6c74e82b5215d58f122225aba4232f75c08b39c SHA1: 30e38668bd911180178d336ef68dca014509646f MD5sum: 578e0f96543b3b1d57b31a98a89bc4de Description: C language interpreter Clif, a C-like Interpreter Framework, is and open-ended system for fast development of programs with C syntax. The program is compiled and if syntactically correct, code is immediately generated. The code is generated for a virtual machine. The virtual machine is a part of the framework. Package: clinica Version: 0.2.1~dfsg-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 62 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libclinica0 (>= 0.2.1~dfsg), libffi5 (>= 3.0.9), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libgirepository-1.0-1 (>= 0.9.2), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libpeas-1.0-0 (>= 1.0.0), libsqlite3-0 (>= 3.5.9), gir1.2-clinica-0.2, clinica-common Homepage: http://launchpad.net/clinica-project Priority: optional Section: science Filename: pool/main/c/clinica/clinica_0.2.1~dfsg-1_armhf.deb Size: 10196 SHA256: cc74b662302a90086875673fd3a4c05350afac31635ca416d27fc00fc11deb02 SHA1: bf130909720f419504068b4d7c9a5e1761b84643 MD5sum: 900e415505f3fd84205a482a7bd48745 Description: Simple medical records manager Simple tool for the desktop to mantain medical records. It is thought to be easy to use and it's mainly addressed to a single doctor. . It features: * Patient management * Doctor management (with associated patients) * Visit creation/editing * Medicine search online (via plugins) * Calendar for events and visits * Extensibility via plugins Package: clinica-common Source: clinica Version: 0.2.1~dfsg-1 Installed-Size: 754 Maintainer: Debian Med Packaging Team Architecture: all Depends: dconf-gsettings-backend | gsettings-backend Size: 182818 SHA256: 35d18280a18aef00346a9b3a996f7424344b4ea640be923b2e153ec1c72d04b4 SHA1: ef9b7c5226c760872645ca75198a188406667de6 MD5sum: 3d4ba18048555f2993882614564dcf8f Description: Simple medical records manager (architecture independent files) Simple tool for the desktop to mantain medical records. It is thought to be easy to use and it's mainly addressed to a single doctor. . It features: * Patient management * Doctor management (with associated patients) * Visit creation/editing * Medicine search online (via plugins) * Calendar for events and visits * Extensibility via plugins . This package contains the architecture independent files. Homepage: http://launchpad.net/clinica-project Section: science Priority: optional Filename: pool/main/c/clinica/clinica-common_0.2.1~dfsg-1_all.deb Package: clinica-dev Source: clinica Version: 0.2.1~dfsg-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 158 Depends: clinica, libclinica0 (= 0.2.1~dfsg-1) Homepage: http://launchpad.net/clinica-project Priority: optional Section: science Filename: pool/main/c/clinica/clinica-dev_0.2.1~dfsg-1_armhf.deb Size: 17066 SHA256: cb85f93ee593051947599b3d1c702fc0aa6119c07978968ac1460dde2c07a43e SHA1: ad5439b705afedd52f9ad781b702ec675ca2ca14 MD5sum: ed3dc1e5be4436400dc4f2d8ba5b58f5 Description: Simple medical records manager (development files) Simple tool for the desktop to mantain medical records. It is thought to be easy to use and it's mainly addressed to a single doctor. . It features: * Patient management * Doctor management (with associated patients) * Visit creation/editing * Medicine search online (via plugins) * Calendar for events and visits * Extensibility via plugins . This package contains the headers for the C routines of libclinica. Package: clinica-plugins Source: clinica Version: 0.2.1~dfsg-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 58 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libgirepository-1.0-1 (>= 0.9.2), libglib2.0-0 (>= 2.24.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libpeas-1.0-0 (>= 1.0.0), clinica, gir1.2-clinica-0.2, gir1.2-gee-1.0, gir1.2-gtk-3.0, gir1.2-peas-1.0, clinica-dev Homepage: http://launchpad.net/clinica-project Priority: optional Section: science Filename: pool/main/c/clinica/clinica-plugins_0.2.1~dfsg-1_armhf.deb Size: 10818 SHA256: 77260db0a9aef8ddb24cbcc863b54b996c7303190f591af07bf25fc8abe2537a SHA1: f0185b82d9119a9b0ee378f8dde1a6df75afa58b MD5sum: d0fd970e266d9d96c6edd63c67cbf6de Description: Simple medical records manager (plugins) Simple tool for the desktop to mantain medical records. It is thought to be easy to use and it's mainly addressed to a single doctor. . It features: * Patient management * Doctor management (with associated patients) * Visit creation/editing * Medicine search online (via plugins) * Calendar for events and visits * Extensibility via plugins . This package contains the plugins that are shipped with the tarball of the software. Package: cliofetion Version: 2.2.0-1 Architecture: armhf Maintainer: Aron Xu Installed-Size: 50 Depends: libc6 (>= 2.13-28), libofetion1 Homepage: http://code.google.com/p/ofetion Priority: optional Section: net Filename: pool/main/c/cliofetion/cliofetion_2.2.0-1_armhf.deb Size: 8390 SHA256: 9cf32a41126754b94828a70fff3fd298c4d5e95e42b2590ba9bacb50a4ab632a SHA1: 4d663ed9f88114200b6987a1d9f92bff025c200d MD5sum: b0c0acc67ab781056f13c5f5afe25aa0 Description: open source command line implementation of Fetion protocol Fetion is an IM provided by China Mobile, with features like, text chat, voice call, file sharing, etc. Openfetion is an open source client implementation of the protocol. . It only supports the core Internet SMS service. Package: clipf Version: 0.4-1 Installed-Size: 116 Maintainer: Gaetano Paolone (bigpaul) Architecture: all Depends: python Size: 15168 SHA256: c785b2ed9eec8197293536f5151609ba49bc5d4094d9e6023d17ada7f905e141 SHA1: 03d5145417aa552826a685192e0db8a484d15648 MD5sum: d37d548b85cb99f3926f72fa53859ff7 Description: command line minimalistic personal finance manager 'clipf' is a minimalistic personal finance manager with command line interface, written on Python. . hierarchical list of income/expense items. Item defined by segmented item code like food.drink.beer. Tab-completion make it easy to enter even long item codes. Reporting by item groups on any level of hierarchy. . Track turnover and remains by several independed accounts. . Export reports to text files also features aliases for frequently used commands. Homepage: http://code.google.com/p/clipf/ Tag: field::finance, implemented-in::python, interface::commandline, role::program Section: misc Priority: optional Filename: pool/main/c/clipf/clipf_0.4-1_all.deb Package: clipit Version: 1.4.1-1 Architecture: armhf Maintainer: Cristian Henzel Installed-Size: 359 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libx11-6 Recommends: xdotool Homepage: http://clipit.rspwn.com/ Priority: optional Section: misc Filename: pool/main/c/clipit/clipit_1.4.1-1_armhf.deb Size: 60020 SHA256: 4c3b627fa22dd0e798104017e9b0b3cb02ba06df9c173428e8abf4a0ff5d9483 SHA1: f5cddbc2f0349d1cb9e1488e5a06745acb18af9d MD5sum: 8591b3b52156caa739a29dc26dcf5e8d Description: lightweight GTK+ clipboard manager Clipboard manager with features such as: * Save history of your last copied items * Search through the history * Global hotkeys for most used functions * Execute actions with clipboard items * Exclude specific items from history . ClipIt was forked from Parcellite and adds many bugfixes and features to the project. For a full list of changes see either the ChangeLog in the package or http://clipit.rspwn.com/changelog/ Package: clips Version: 6.24-3 Architecture: armhf Maintainer: Javier Fernandez-Sanguino Pen~a Installed-Size: 77 Depends: clips-common, libc6 (>= 2.13-28), libclips (>= 6.21-1), libncurses5 (>= 5.5-5~), libtinfo5 Suggests: clips-doc Homepage: http://clipsrules.sourceforge.net Priority: optional Section: interpreters Filename: pool/main/c/clips/clips_6.24-3_armhf.deb Size: 22338 SHA256: 40d9c426f5a3f98ec0079be334e18ad71bf6010d6da4431babeea180fcabeec8 SHA1: cefdacb1c346d1940b4720c5dbd8dd5141e7c4c5 MD5sum: 2da18f2416693f8e88b388a34c00c176 Description: "C" Language Integrated Production System CLIPS 6.0 is an OPS-like forward chaining production system written in ANSI C by NASA. The CLIPS inference engine includes truth maintenance, dynamic rule addition, and customizable conflict resolution strategies. . CLIPS, including the runtime version, is easily embeddable in other applications. CLIPS includes an object-oriented language called COOL (CLIPS Object-Oriented Language) which is directly integrated with the inference engine. Package: clips-common Source: clips Version: 6.24-3 Installed-Size: 504 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Recommends: clips Size: 138512 SHA256: 1f72e5e5fbeba0bc22e430f2936a878f771ddbcaf79935ed671119d5fb051db0 SHA1: bad132330867cd029385ce7c0701b8d443c5e583 MD5sum: 4e1efbac88a5cdef17bb68a5642a5dc0 Description: CLIPS common files and examples CLIPS 6.0 is an OPS-like forward chaining production system written in ANSI C by NASA. The CLIPS inference engine includes truth maintenance, dynamic rule addition, and customizable conflict resolution strategies. . CLIPS, including the runtime version, is easily embeddable in other applications. CLIPS includes an object-oriented language called COOL (CLIPS Object-Oriented Language) which is directly integrated with the inference engine. . This package installs the common files shared by both the text and graphics interface, currently it includes both the help file and examples of CLIPS programs that can be used to learn the language and test the interfaces. Homepage: http://clipsrules.sourceforge.net Tag: devel::doc, devel::examples, devel::interpreter, devel::lang:c, implemented-in::c, made-of::html, role::app-data Section: interpreters Priority: optional Filename: pool/main/c/clips/clips-common_6.24-3_all.deb Package: clips-doc Version: 6.24-2 Installed-Size: 7876 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Recommends: clips, gv | pdf-viewer Size: 7963996 SHA256: f800747d4c0a048b9133a795387dabb8f1ecb46a4720b9c29bd14136ed26fc44 SHA1: 610f6442e5e654efbb448894b0d4c504f3262856 MD5sum: 43bbe6b12358465cf9f7d9ec2af26dab Description: "C" Language Integrated Production System Documentation This package contains the documentation (users guide, interfaces guide...) of CLIPS, as well as some programming examples that might be useful to new programmers. . CLIPS is an OPS-like forward chaining production system written in ANSI C by NASA. The CLIPS inference engine includes truth maintenance, dynamic rule addition, and customizable conflict resolution strategies. Homepage: http://clipsrules.sourceforge.net/ Tag: devel::doc, devel::examples, devel::interpreter, devel::lang:c, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/c/clips-doc/clips-doc_6.24-2_all.deb Package: cliquer Version: 1.21-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 101 Depends: libc6 (>= 2.4), libcliquer1 Homepage: http://www.tkk.fi/~pat/cliquer.html Priority: optional Section: math Filename: pool/main/c/cliquer/cliquer_1.21-1_armhf.deb Size: 54630 SHA256: 875947cbfa4bf618a15cffa32ab2f3e667bad8bfb1a2ba6f1ba4f5ac57dde755 SHA1: dc692cb65c4dd2f856e2025d4f6c131f317096df MD5sum: 41920e979b450b86cd1d20bee25ec3e8 Description: clique searching program Cliquer search for cliques in arbitrary weighted and unweighted graphs. It can search for maximal cliques, maximal-weighted cliques or cliques within a given size range. Package: clirr Version: 0.6-3 Installed-Size: 156 Maintainer: Debian Java Maintainers Architecture: all Depends: libbcel-java, libcommons-cli-java, libcommons-lang-java Recommends: ant Size: 66560 SHA256: 2204bc35624e0fcf2317d90b52e3671251394527735219a9d88b02323f38db21 SHA1: 0a55f3e2c882b477fae8c0874f0c6d08beaf48d3 MD5sum: 263a2155989ede35c0715aff148d232f Description: Checks Java libraries for compatibility with older releases Clirr is a tool that checks Java libraries for binary and source compatibility with older releases. The Clirr Ant task can break the build if it detects incompatible API changes, making it easy to prevent accidental introduction of compatibility issues. Homepage: http://clirr.sourceforge.net/ Tag: devel::lang:java, devel::testing-qa, implemented-in::java, role::program Section: java Priority: optional Filename: pool/main/c/clirr/clirr_0.6-3_all.deb Package: clisp Version: 1:2.49-8.1+rpi1 Architecture: armhf Maintainer: Debian Common Lisp Team Installed-Size: 7136 Depends: libc6 (>= 2.13-28), libffcall1 (>= 1.10+2.41), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libreadline5 (>= 5.2), libsigsegv2, libtinfo5 Suggests: gdb, clisp-doc, clisp-dev, slime Conflicts: clisp-dev (<< 1:2.49-2) Breaks: cl-asdf (<< 2:1.704-1), common-lisp-controller (<< 6.19) Provides: clisp-fasl-loader-20080430, lisp-compiler Homepage: http://www.clisp.org/ Priority: optional Section: lisp Filename: pool/main/c/clisp/clisp_2.49-8.1+rpi1_armhf.deb Size: 2862296 SHA256: 3fd21245b97c66350ec19d8c3208a069a0a0c7ce91e46bb5cd8aa3ca2198e6d6 SHA1: 75af07b42cffe044a4f1e52d94f55698c2cef909 MD5sum: fb9de6fe20a6d12a7cf60021bfec1a01 Description: GNU CLISP, a Common Lisp implementation ANSI Common Lisp is a high-level, general-purpose programming language. GNU CLISP is a Common Lisp implementation by Bruno Haible of Karlsruhe University and Michael Stoll of Munich University, both in Germany. It mostly supports the Lisp described in the ANSI Common Lisp standard. It runs on most Unix workstations (GNU/Linux, FreeBSD, NetBSD, OpenBSD, Solaris, Tru64, HP-UX, BeOS, NeXTstep, IRIX, AIX and others) and on other systems (Windows NT/2000/XP, Windows 95/98/ME) and needs only 4 MB of RAM. . It is Free Software and may be distributed under the terms of GNU GPL, while it is possible to distribute commercial proprietary applications compiled with GNU CLISP. . The user interface comes in English, German, French, Spanish, Dutch, Russian and Danish, and can be changed at run time. GNU CLISP includes an interpreter, a compiler, a debugger, CLOS, MOP, a foreign language interface, sockets, i18n, fast bignums and more. An X11 interface is available through CLX, Garnet, CLUE/CLIO. GNU CLISP runs Maxima, ACL2 and many other Common Lisp packages. Package: clisp-dev Source: clisp Version: 1:2.49-8.1+rpi1 Architecture: armhf Maintainer: Debian Common Lisp Team Installed-Size: 2961 Depends: clisp Homepage: http://www.clisp.org/ Priority: optional Section: lisp Filename: pool/main/c/clisp/clisp-dev_2.49-8.1+rpi1_armhf.deb Size: 1504648 SHA256: ef8c3dc240520b60cee44aefa2009c83dffd997caf40f1a62962d90a378b7bf9 SHA1: 3061a0f7f6026bad3a3d6c0ccbde5d28c1980a41 MD5sum: 56c29f07958bd3c38b28ab0888e1415c Description: GNU CLISP, a Common Lisp implementation (development files) This is the link kit of clisp, it can be used to add external modules (written for example in C) to the implementation. The module can define new variables, symbols and functions for use in clisp. Examples include database interfaces or widget libraries. Normal users do not need this. . See also http://clisp.cons.org/impnotes.html#modules . ANSI Common Lisp is a high-level, general-purpose programming language. GNU CLISP is a Common Lisp implementation by Bruno Haible of Karlsruhe University and Michael Stoll of Munich University, both in Germany. It mostly supports the Lisp described in the ANSI Common Lisp standard. It runs on most Unix workstations (GNU/Linux, FreeBSD, NetBSD, OpenBSD, Solaris, Tru64, HP-UX, BeOS, NeXTstep, IRIX, AIX and others) and on other systems (Windows NT/2000/XP, Windows 95/98/ME) and needs only 4 MB of RAM. Package: clisp-doc Source: clisp Version: 1:2.49-8.1+rpi1 Architecture: all Maintainer: Debian Common Lisp Team Installed-Size: 4426 Homepage: http://www.clisp.org/ Priority: optional Section: doc Filename: pool/main/c/clisp/clisp-doc_2.49-8.1+rpi1_all.deb Size: 1243612 SHA256: 66d15dfeb5cadb39fe8b890fe6c00916799b7777bc24a9ed16c464e57832ea5e SHA1: 62e82df4096fc6a6979fec06684229178781177c MD5sum: 354dd6a1e799acfc783c9e90c1a585a7 Description: GNU CLISP, a Common Lisp implementation (documentation) This is the documentation for clisp. . ANSI Common Lisp is a high-level, general-purpose programming language. GNU CLISP is a Common Lisp implementation by Bruno Haible of Karlsruhe University and Michael Stoll of Munich University, both in Germany. It mostly supports the Lisp described in the ANSI Common Lisp standard. It runs on most Unix workstations (GNU/Linux, FreeBSD, NetBSD, OpenBSD, Solaris, Tru64, HP-UX, BeOS, NeXTstep, IRIX, AIX and others) and on other systems (Windows NT/2000/XP, Windows 95/98/ME) and needs only 4 MB of RAM. Package: clisp-module-berkeley-db Source: clisp Version: 1:2.49-8.1+rpi1 Architecture: armhf Maintainer: Debian Common Lisp Team Installed-Size: 1098 Depends: libc6 (>= 2.13-28), libdb5.1, clisp Homepage: http://www.clisp.org/ Priority: optional Section: lisp Filename: pool/main/c/clisp/clisp-module-berkeley-db_2.49-8.1+rpi1_armhf.deb Size: 715926 SHA256: d821f6d5f302e291d809a89069d24a9263aaf5e78e1a47a4e9f8e33c7366348b SHA1: 83384085137d77ca212d756187b2625c877a7256 MD5sum: 3f34db3f1a30f43b89fc493b56c2aed1 Description: clisp module that adds an interface to Berkeley DB This adds a module to clisp that implements an interface to the Berkeley DB. Package: clisp-module-clx Source: clisp Version: 1:2.49-8.1+rpi1 Architecture: armhf Maintainer: Debian Common Lisp Team Installed-Size: 2041 Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxpm4, clisp Homepage: http://www.clisp.org/ Priority: optional Section: lisp Filename: pool/main/c/clisp/clisp-module-clx_2.49-8.1+rpi1_armhf.deb Size: 946642 SHA256: f521379cb60fbc10a40f1291ae63b34c1a02be3d74761d526510ea9736cb66c2 SHA1: 76a6fd783cce4f34e6686b5a5b081fa7bc9603a0 MD5sum: deeb888260d61266b202aad8b6365f54 Description: clisp module that adds X11 bindings This adds a module to clisp that implements an interface to the X11 called CLX. Package: clisp-module-gdbm Source: clisp Version: 1:2.49-8.1+rpi1 Architecture: armhf Maintainer: Debian Common Lisp Team Installed-Size: 709 Depends: libc6 (>= 2.13-28), libgdbm3 (>= 1.8.3), clisp Homepage: http://www.clisp.org/ Priority: optional Section: lisp Filename: pool/main/c/clisp/clisp-module-gdbm_2.49-8.1+rpi1_armhf.deb Size: 627508 SHA256: 97f889dbb6bc9d745d9165e9576a3978d0cf4fe8b7da2d71ddb7e5b937937e6f SHA1: 1d9c1a2f202bd9efabbc93f852cf2c8080f5ec07 MD5sum: ced8ed3cb3ccc6ebd2421de50422dc32 Description: clisp module that adds an interface to GNU DBM This adds a module to clisp that implements an interface to GNU DBM. Package: clisp-module-pcre Source: clisp Version: 1:2.49-8.1+rpi1 Architecture: armhf Maintainer: Debian Common Lisp Team Installed-Size: 694 Depends: libc6 (>= 2.13-28), libpcre3 (>= 8.10), clisp Homepage: http://www.clisp.org/ Priority: optional Section: lisp Filename: pool/main/c/clisp/clisp-module-pcre_2.49-8.1+rpi1_armhf.deb Size: 628236 SHA256: 7ace175ef5afe6e890f7af00112de660534e1e3b849fc150b22694b4e46d6177 SHA1: 2eec033a66814f2bbb74046014e8709065ea883c MD5sum: aa57f9feb7886a43a16d685c23f92f6e Description: clisp module that adds libpcre support This adds a module to clisp that implements an interface to the libpcre which implements Perl-compatible regular expressions. Package: clisp-module-rawsock Source: clisp Version: 1:2.49-8.1+rpi1 Architecture: armhf Maintainer: Debian Common Lisp Team Installed-Size: 837 Depends: libc6 (>= 2.13-28), clisp Homepage: http://www.clisp.org/ Priority: optional Section: lisp Filename: pool/main/c/clisp/clisp-module-rawsock_2.49-8.1+rpi1_armhf.deb Size: 663454 SHA256: 9ad206cc82bfb02d88bcdea0a26c2324c162b02f246beddda8d95b7496ce62f2 SHA1: 8f73c4c8ed788a882a526940b496d8f6555c95ea MD5sum: 556b74f960fa9a649b017ee501072abc Description: clisp module that adds a low level socket interface This adds a module to clisp that implements an interface to the socket interfaces. Package: clive Version: 2.3.3-2 Installed-Size: 35 Maintainer: Debian Perl Group Architecture: all Depends: perl, curl, libgetopt-argvfile-perl, libjson-xs-perl (>= 2.300), quvi (>= 0.4) Pre-Depends: dpkg (>= 1.15.6) Suggests: ffmpeg Size: 26286 SHA256: 82087d51b2f4bad36741fa0203bce2712a7979618d67956977cca8ffed610549 SHA1: 139c8a3aa84bb1cd12cb09a8d017c5d7c6a30d37 MD5sum: 0a43458f0744dd36742c5e9f68fac78a Description: video extraction utility for YouTube, Google Video and others clive is a command line utility for extracting videos from Youtube and other video sharing Web sites. It was originally written to bypass the Adobe Flash requirement needed to view the hosted videos. It is non-interactive, meaning it can work in the background while the user is not logged on. This allows the user to start an extraction and disconnect from the system, letting clive finish the work. Homepage: http://clive.sourceforge.net/ Tag: implemented-in::perl, interface::commandline, network::client, protocol::http, role::program, scope::utility, use::downloading, works-with::video Section: utils Priority: optional Filename: pool/main/c/clive/clive_2.3.3-2_all.deb Package: cloc Version: 1.56-1 Installed-Size: 373 Maintainer: Jari Aalto Architecture: all Depends: perl, libregexp-common-perl, libalgorithm-diff-perl Size: 91758 SHA256: 1b834b1f1431091e77ab97b0f223dd3d9a0fbffc3317725eccf7d03593217d82 SHA1: c56a7aa702fc19ee6e8f408324168b55ceea4fad MD5sum: f1850c6e79a0c3b0ad3a7297bedc0388 Description: statistics utility to count lines of code Count physical lines of source code in the given files (may be archives such as compressed tarballs or zip files) and/or recursively below the given directories. . Counts blank lines, comment lines, and physical lines of source code in many programming languages. It is written entirely in Perl, using only modules from the standard distribution. Homepage: http://freshmeat.net/projects/cloc Tag: implemented-in::perl, role::program, scope::utility, use::analysing, works-with::software:source Section: devel Priority: optional Filename: pool/main/c/cloc/cloc_1.56-1_all.deb Package: clojure-contrib Version: 1.2.0-2 Installed-Size: 312 Maintainer: Debian Java Maintainers Architecture: all Depends: clojure1.2 Size: 229946 SHA256: 3940386d78daf3417fea663d2962636175467bdaf33a4c9848b0fa9cebabda8a SHA1: 5135bccf674dc45597de4cf1dc1a237e03598dcd MD5sum: f59ba2a055e9550e91a26553d77a9baf Description: user contributed set of libraries for clojure clojure-contrib is a collection of namespaces which implement useful features for clojure users. The package includes namespaces for math utilities, string manipulation, sequence manipulation, json read and write and many more. Homepage: http://clojure.org Section: devel Priority: extra Filename: pool/main/c/clojure-contrib/clojure-contrib_1.2.0-2_all.deb Package: clojure1.2 Version: 1.2.1+dfsg-4 Installed-Size: 3188 Maintainer: Debian Java Maintainers Architecture: all Depends: openjdk-6-jre | java2-runtime, libasm3-java Recommends: rlwrap Conflicts: clojure (<= 1.1) Size: 2926378 SHA256: 29fbc33099c1c1cf1261dabe80ea64a38e5e667165ce523bc700459c499f8402 SHA1: 8f3673462faa1dcec6e6667ec2d7ea9ab861a6f4 MD5sum: e2815ccaf6a27d3216439466daf9fe92 Description: Lisp dialect for the JVM Clojure is a dynamic programming language that targets the Java Virtual Machine. It is designed to be a general-purpose language, combining the approachability and interactive development of a scripting language with an efficient and robust infrastructure for multithreaded programming. Clojure is a compiled language - it compiles directly to JVM bytecode, yet remains completely dynamic. Every feature supported by Clojure is supported at runtime. Clojure provides easy access to the Java frameworks, with optional type hints and type inference, to ensure that calls to Java can avoid reflection. . Clojure is a dialect of Lisp, and shares with Lisp the code-as-data philosophy and a powerful macro system. Clojure is predominantly a functional programming language, and features a rich set of immutable, persistent data structures. When mutable state is needed, Clojure offers a software transactional memory system and reactive Agent system that ensure clean, correct, multithreaded designs. Homepage: http://clojure.org Section: devel Priority: optional Filename: pool/main/c/clojure1.2/clojure1.2_1.2.1+dfsg-4_all.deb Package: clojure1.3 Version: 1.3.0+dfsg-2 Installed-Size: 3336 Maintainer: Debian Java Maintainers Architecture: all Depends: openjdk-6-jre | java2-runtime, libasm3-java Recommends: rlwrap Conflicts: clojure (<= 1.1) Size: 2967756 SHA256: 769fe37ddaedb84044fc7e8db811f1b608fc604cfeea367fb52cf1f3ebb6448d SHA1: 30b8fe488e1a4ada0f772f5fc8b7349ea4a625d3 MD5sum: 9b2968d155dffe4853af836029a5d180 Description: Lisp dialect for the JVM Clojure is a dynamic programming language that targets the Java Virtual Machine. It is designed to be a general-purpose language, combining the approachability and interactive development of a scripting language with an efficient and robust infrastructure for multithreaded programming. Clojure is a compiled language - it compiles directly to JVM bytecode, yet remains completely dynamic. Every feature supported by Clojure is supported at runtime. Clojure provides easy access to the Java frameworks, with optional type hints and type inference, to ensure that calls to Java can avoid reflection. . Clojure is a dialect of Lisp, and shares with Lisp the code-as-data philosophy and a powerful macro system. Clojure is predominantly a functional programming language, and features a rich set of immutable, persistent data structures. When mutable state is needed, Clojure offers a software transactional memory system and reactive Agent system that ensure clean, correct, multithreaded designs. Homepage: http://clojure.org Section: devel Priority: optional Filename: pool/main/c/clojure1.3/clojure1.3_1.3.0+dfsg-2_all.deb Package: clojure1.4 Version: 1.4.0+dfsg-2 Installed-Size: 3380 Maintainer: Debian Java Maintainers Architecture: all Depends: openjdk-6-jre | java2-runtime, libasm3-java Recommends: rlwrap Conflicts: clojure (<= 1.1) Size: 2991252 SHA256: 1330dbda97b3a0a2ccc718212d22e16f72e0793471cf11bb04b564bbe7dc3a63 SHA1: 03ce3f77bf90506b76e482a872b6d0a094203b5b MD5sum: a84643369196b002077a94cf098341a3 Description: Lisp dialect for the JVM Clojure is a dynamic programming language that targets the Java Virtual Machine. It is designed to be a general-purpose language, combining the approachability and interactive development of a scripting language with an efficient and robust infrastructure for multithreaded programming. Clojure is a compiled language - it compiles directly to JVM bytecode, yet remains completely dynamic. Every feature supported by Clojure is supported at runtime. Clojure provides easy access to the Java frameworks, with optional type hints and type inference, to ensure that calls to Java can avoid reflection. . Clojure is a dialect of Lisp, and shares with Lisp the code-as-data philosophy and a powerful macro system. Clojure is predominantly a functional programming language, and features a rich set of immutable, persistent data structures. When mutable state is needed, Clojure offers a software transactional memory system and reactive Agent system that ensure clean, correct, multithreaded designs. Homepage: http://clojure.org Section: devel Priority: optional Filename: pool/main/c/clojure1.4/clojure1.4_1.4.0+dfsg-2_all.deb Package: clonalframe Version: 1.2-3 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 128 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgsl0ldbl (>= 1.9), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://www.xavierdidelot.xtreemhost.com/clonalframe.htm Priority: optional Section: science Filename: pool/main/c/clonalframe/clonalframe_1.2-3_armhf.deb Size: 51194 SHA256: bf0b628c903999cf14cef991bfe408ab16e24bb536dba69290b4d59f2b7eab71 SHA1: 5798516d097dd0d518d71c39c522632e5e9cd851 MD5sum: d8f92806418c7165347d83f31cb1e71c Description: inference of bacterial microevolution using multilocus sequence data ClonalFrame identifies the clonal relationships between the members of a sample, while also estimating the chromosomal position of homologous recombination events that have disrupted the clonal inheritance. . ClonalFrame can be applied to any kind of sequence data, from a single fragment of DNA to whole genomes. It is well suited for the analysis of MLST data, where 7 gene fragments have been sequenced, but becomes progressively more powerful as the sequenced regions increase in length and number up to whole genomes. However, it requires the sequences to be aligned. If you have genomic data that is not aligned, we recommend using Mauve which produces alignment of whole bacterial genomes in exactly the format required for analysis with ClonalFrame. Package: cloog-isl Source: cloog Version: 0.17.0-3 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 48 Depends: libcloog-isl3 (= 0.17.0-3), libc6 (>= 2.13-28), libgmp10 Conflicts: cloog-ppl (<< 0.15.11-4), libcloog-ppl-dev (<< 0.15.11-1) Homepage: http://www.CLooG.org Priority: optional Section: libs Filename: pool/main/c/cloog/cloog-isl_0.17.0-3_armhf.deb Size: 7892 SHA256: d55bbb762fbf376e7509a828c28a9b8c95ce79659e9f8d0ea6a8bdb179fd6898 SHA1: a2ea858eb567a61b1891a5cd197ef27726b20977 MD5sum: 30fee3a0b7c182cb3afec755939ad52c Description: Chunky Loop Generator (runtime library) CLooG is a software which generates loops for scanning Z-polyhedra. . This package contains the cloog-isl binary. Package: cloog-ppl Version: 0.15.11-4 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 51 Depends: libcloog-ppl0 (= 0.15.11-4), libc6 (>= 2.13-28), libgmp10, libgmpxx4ldbl, libppl-c4, libppl9, libstdc++6 (>= 4.1.1) Replaces: libcloog-ppl-dev (<< 0.15.9-4) Homepage: http://www.CLooG.org Priority: optional Section: devel Filename: pool/main/c/cloog-ppl/cloog-ppl_0.15.11-4_armhf.deb Size: 8084 SHA256: 650a95de521972cce0917955fccc89d3ee8750289053495aec8620db0ddf99ac SHA1: b2dd99cf27efbda747a0c265179e99d62e4ab580 MD5sum: 3bf115dcea2dcfd14b55d6877a660289 Description: Chunky Loop Generator CLooG is a software which generates loops for scanning Z-polyhedra. . This package contains the cloog binary. Package: cloop-src Source: cloop Version: 2.6.39.2-1 Installed-Size: 70 Maintainer: Eduard Bloch Architecture: all Depends: module-assistant, debhelper (>= 5.0.37), bzip2 Size: 41750 SHA256: d5c0cb275858374104e266514cc3ac95d9cc06f284b94083baf6ac7ae89d2ca3 SHA1: 934a2ced965a92e7dd40a315991162db2f326a46 MD5sum: a5440bebd71060ac6486240efd21d23d Description: Source of the compressed loopback device module This package contains a source package for building the cloop module. You can use module-assistant or make-kpkg to build a modules package for specific kernel. Tag: admin::kernel, role::source, use::compressing Section: kernel Priority: optional Filename: pool/main/c/cloop/cloop-src_2.6.39.2-1_all.deb Package: cloop-utils Source: cloop Version: 2.6.39.2-1 Architecture: armhf Maintainer: Eduard Bloch Installed-Size: 201 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Priority: optional Section: misc Filename: pool/main/c/cloop/cloop-utils_2.6.39.2-1_armhf.deb Size: 72264 SHA256: 44f7d82ffc8b267cf5269bad1207e483f7f0b57979d1040accc66c3beaff21f0 SHA1: 549e9283305ede24a3fb3f9a37c90ad26c20e594 MD5sum: 940ab696152ce5f88c87954b42a1863f Description: Tools for handling with cloop compressed volumes Utilities for creating and unpacking compressed loopback files for cloop. Package: clustalo Version: 1.1.0-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 548 Depends: libargtable2-0 (>= 7), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libstdc++6 (>= 4.4.0) Homepage: http://www.clustal.org/omega/ Priority: optional Section: science Filename: pool/main/c/clustalo/clustalo_1.1.0-1_armhf.deb Size: 271588 SHA256: 77a5d552a5cc96ba09c20c5e0d4cd1b4ff6185f04293672de46ded747626e6d4 SHA1: 27fdb32f06ec5e9ace6274b7e43c7109cabbc162 MD5sum: 2939ddf9d4135c4b9ed87fc8695d65ec Description: General purpose multiple sequence alignment program for proteins Clustal-Omega is a general purpose multiple sequence alignment (MSA) program for proteins. It produces high quality MSAs and is capable of handling data-sets of hundreds of thousands of sequences in reasonable time. Package: clustalw Version: 2.1+lgpl-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 705 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: clustalx, seaview Enhances: bioperl-run, emboss, t-coffee Homepage: http://www.clustal.org/ Priority: optional Section: science Filename: pool/main/c/clustalw/clustalw_2.1+lgpl-2_armhf.deb Size: 328924 SHA256: 90be2f8ba6564cfacda9534f35ca8a0d56cb08b1ec8d0f489a9308cfc05bb66e SHA1: bb39bfedda60ab09f6008985cc7de6948362db79 MD5sum: 0f6b963411babe938fcd52a48dcfb6f5 Description: global multiple nucleotide or peptide sequence alignment This program performs an alignment of multiple nucleotide or amino acid sequences. It recognizes the format of input sequences and whether the sequences are nucleic acid (DNA/RNA) or amino acid (proteins). The output format may be selected from in various formats for multiple alignments such as Phylip or FASTA. Clustal W is very well accepted. . The output of Clustal W can be edited manually but preferably with an alignment editor like SeaView or within its companion Clustal X. When building a model from your alignment, this can be applied for improved database searches. The Debian package hmmer creates such in form of an HMM. Package: clustalx Version: 2.1+lgpl-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 1175 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.6.1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6) Suggests: clustalw, texshade | texlive-latex-extra, boxshade Homepage: http://www.clustal.org/clustal2/ Priority: optional Section: science Filename: pool/main/c/clustalx/clustalx_2.1+lgpl-2_armhf.deb Size: 516878 SHA256: 8b5fdd1525b716cc46e8be810a3bc0fe92d24e8dfcdf3f72ffc70ff52cb76fb9 SHA1: 0112219dcc142c72afa784aad32ac93a01ff3376 MD5sum: a9048f384e6afb978e045ab66f2444b6 Description: Multiple alignment of nucleic acid and protein sequences (graphical interface) This package offers a GUI interface for the Clustal multiple sequence alignment program. It provides an integrated environment for performing multiple sequence- and profile-alignments to analyse the results. The sequence alignment is displayed in a window on the screen. A versatile coloring scheme has been incorporated to highlight conserved features in the alignment. For professional presentations, one should use the texshade LaTeX package or boxshade. . The pull-down menus at the top of the window allow you to select all the options required for traditional multiple sequence and profile alignment. You can cut-and-paste sequences to change the order of the alignment; you can select a subset of sequences to be aligned; you can select a sub-range of the alignment to be realigned and inserted back into the original alignment. . An alignment quality analysis can be performed and low-scoring segments or exceptional residues can be highlighted. Package: cluster-agents Version: 1:1.0.3-4 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 992 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libnet1 (>= 1.1.2.1), libplumb2 (>= 1.0.9+hg2665), libplumbgpl2 (>= 1.0.9+hg2665), cluster-glue, python Conflicts: heartbeat (<= 2.99.2+sles11r9-5), heartbeat-common (<= 2.99.2+sles11r9-5), ldirectord (<< 1.0.2~rc2a+hg20100127-0test1), libheartbeat2 (<= 2.99.2+sles11r9-5) Replaces: heartbeat (<= 2.99.2+sles11r9-5), heartbeat-common (<= 2.99.2+sles11r9-5), ldirectord (<< 1.0.2~rc2a+hg20100127-0test1), libheartbeat2 (<= 2.99.2+sles11r9-5) Homepage: http://hg.linux-ha.org/agents/ Priority: optional Section: admin Filename: pool/main/c/cluster-agents/cluster-agents_1.0.3-4_armhf.deb Size: 332854 SHA256: 548f7fd8925e96721a7da735b978f570f8af29f29e2082ed5aa845340124e3e1 SHA1: 9ec81f3e4d2888a252b7a9f286185864a5ec43e6 MD5sum: 7de387e68797c9915659260e5a539f45 Description: The reusable cluster components for Linux HA This package contains various reusable cluster components from the Linux HA project. Originally belonging to Heartbeat, they can and sometimes must be used with OpenAIS driven clusters as well. Package: cluster-glue Version: 1.0.9+hg2665-1 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 1103 Depends: libbz2-1.0, libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.84), libglib2.0-0 (>= 2.24.0), liblrm2 (= 1.0.9+hg2665-1), libltdl7 (>= 2.4.2), libopenhpi2, libopenipmi0, libpils2 (= 1.0.9+hg2665-1), libplumb2 (= 1.0.9+hg2665-1), libplumbgpl2 (= 1.0.9+hg2665-1), libsnmp15 (>= 5.4.3~dfsg), libssl1.0.0 (>= 1.0.0), libstonith1 (= 1.0.9+hg2665-1), libuuid1 (>= 2.16), libxml2 (>= 2.7.4), perl, python, libtimedate-perl Conflicts: heartbeat (<= 2.99.2+sles11r9-5), heartbeat-common (<= 2.99.2+sles11r9-5), libheartbeat2 (<= 2.99.2+sles11r9-5) Replaces: heartbeat (<= 2.99.2+sles11r9-5), heartbeat-common (<= 2.99.2+sles11r9-5), libheartbeat2 (<= 2.99.2+sles11r9-5) Homepage: http://hg.linux-ha.org/glue/ Priority: optional Section: admin Filename: pool/main/c/cluster-glue/cluster-glue_1.0.9+hg2665-1_armhf.deb Size: 340328 SHA256: 69254c5f3a59f56044ca38472d188e3ced9e3b9e17ed6ed3b02ebf269100e74e SHA1: 21e5eea4e104608196212e512ba67c0b17460f57 MD5sum: 59a14d93f4f588ec412e096580f03e19 Description: The reusable cluster components for Linux HA This package contains node fencing plugins, an error reporting utility, and other reusable cluster components from the Linux HA project. Package: cluster-glue-dev Source: cluster-glue Version: 1.0.9+hg2665-1 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 5457 Depends: liblrm2-dev (= 1.0.9+hg2665-1), libpils2-dev (= 1.0.9+hg2665-1), libplumb2-dev (= 1.0.9+hg2665-1), libplumbgpl2-dev (= 1.0.9+hg2665-1), libstonith1-dev (= 1.0.9+hg2665-1) Conflicts: libcluster-glue-dev (<= 1.0.6-1) Replaces: libcluster-glue-dev (<= 1.0.6-1) Homepage: http://hg.linux-ha.org/glue/ Priority: optional Section: admin Filename: pool/main/c/cluster-glue/cluster-glue-dev_1.0.9+hg2665-1_armhf.deb Size: 1798084 SHA256: 24fbd9241286ea65e9a0b4cef6d82477defc2fd197bf1b280e3237b4b6ef97b8 SHA1: 3395dce0ec3447de25a7f0d724f4d4f3e4b404ef MD5sum: b2cf48d8295129c6ce5c8129e97098a6 Description: Development files for the cluster glue components This package includes headers and static libraries for the glue cluster components. Package: clustershell Version: 1.6-1 Installed-Size: 652 Maintainer: Python Applications Packaging Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: vim-addon-manager Size: 137662 SHA256: b96548f9eb5cc15ed33f7b1032ff10478e2a50774f7a0c16ee54ab814cfa006a SHA1: 15ead46d2ce75ea25b9bd297f06c6f80cc577738 MD5sum: d972af37cad9fa464dc7b9aa85c0e9c1 Description: Distributed shell that provides an efficient python interface Event-based Python library to execute commands on local or distant cluster nodes in parallel depending on the selected engine and worker mechanisms. . The library provides also advanced nodeset handling methods. Its goal is to improve the administration of cluster by providing a lightweight but scalable API for developers. Homepage: http://cea-hpc.github.com/clustershell/ Section: net Priority: optional Filename: pool/main/c/clustershell/clustershell_1.6-1_all.deb Package: clusterssh Version: 4.01.01-4 Installed-Size: 278 Maintainer: tony mancill Architecture: all Depends: perl-tk, openssh-client, xterm, libx11-protocol-perl, libtry-tiny-perl, libexception-class-perl, perl Size: 107008 SHA256: 8416db2a9732066a6a937060e7fa38de0894158f5251b9fb99636dc26aaa7048 SHA1: 23d4dd7b660919d6a22cc83f79a9197de1c03ec0 MD5sum: 45bffbae2f99bddaf0e2e6038df0d658 Description: administer multiple ssh or rsh shells simultaneously ClusterSSH (cssh, crsh, ctel) allows you to control multiple ssh, rsh or telnet sessions from a single input window. You can also configure clusters of machines for easy invocation and interact with individual terminal windows during a session. Homepage: http://clusterssh.sourceforge.net/ Tag: admin::cluster, implemented-in::perl, interface::x11, network::client, protocol::ssh, role::program, uitoolkit::tk, use::login, x11::application Section: admin Priority: optional Filename: pool/main/c/clusterssh/clusterssh_4.01.01-4_all.deb Package: clutter-1.0-tests Source: clutter-1.0 Version: 1.10.8-2+rpi1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 517 Depends: libclutter-1.0-0 (= 1.10.8-2+rpi1), libc6 (>= 2.13-28), libcairo2 (>= 1.10), libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.7.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.19), libpango1.0-0 (>= 1.28.3-5), libx11-6 Homepage: http://www.clutter-project.org/ Priority: extra Section: misc Filename: pool/main/c/clutter-1.0/clutter-1.0-tests_1.10.8-2+rpi1_armhf.deb Size: 323202 SHA256: d2357c92e852758047e493a58ceb3a3efaca9149d63b3b9d5c5444988dc5cfd2 SHA1: 62a12c9df9d12566c1c1c542346ace094eded22e MD5sum: f77eea8f7bc03b303d8ab7c2da5052db Description: Open GL based interactive canvas library (test programs) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. . This package contains test programs. Package: clvm Source: lvm2 Version: 2.02.95-8+rpi1 Architecture: armhf Maintainer: Debian LVM Team Installed-Size: 711 Depends: libc6 (>= 2.13-28), libcman3 (>= 3.0.12), libconfdb4 (>= 1.4.2), libcpg4 (>= 1.4.2), libdevmapper-event1.02.1 (>= 2:1.02.74), libdevmapper1.02.1 (>= 2:1.02.74), libdlm3 (>= 3.0.12), libgcc1 (>= 1:4.4.0), libquorum4 (>= 1.4.2), libsalck3 (>= 1.1.4), libudev0 (>= 0.140), lvm2 (= 2.02.95-8+rpi1), lsb-base Recommends: corosync | openais | cman Multi-Arch: foreign Homepage: http://sources.redhat.com/lvm2/ Priority: extra Section: admin Filename: pool/main/l/lvm2/clvm_2.02.95-8+rpi1_armhf.deb Size: 358820 SHA256: bfdcd68ba9aae9f78ac1d30075389fed097e8de434b31df3d7eb3557e751cefc SHA1: fbdb8301f6a3e5b70134ea31ab0ba674fcc0fe5b MD5sum: 9d442d50dd1bde84b91dae0289e737ac Description: Cluster LVM Daemon for lvm2 This package provides the clustering interface for lvm2, when used with Red Hat's "cman" or corosync based (eg Pacemaker) cluster infrastructure. It allows logical volumes to be created on shared storage devices (eg Fibre Channel, or iSCSI). Package: clzip Version: 1.3-2 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 114 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.nongnu.org/lzip/clzip.html Priority: optional Section: utils Filename: pool/main/c/clzip/clzip_1.3-2_armhf.deb Size: 39500 SHA256: 1288881159a23890594e1ebd60292509a906d368efe1e811004cede652e9d2b3 SHA1: ef89ba719a350e8bc244314ae86aeb42c602d048 MD5sum: 1862a909d3faa39e485bcebcbe95294a Description: C, lossless data compressor based on the LZMA algorithm Lzip is a lossless data compressor based on the LZMA algorithm, with very safe integrity checking and a user interface similar to the one of gzip or bzip2. Lzip decompresses almost as fast as gzip and compresses better than bzip2, which makes it well suited for software distribution and data archiving. . Clzip is a C language version of lzip using the lzip file format; the files produced by clzip are fully compatible with lzip. . Clzip is intended for embedded devices or systems lacking a C++ compiler. Package: clzip-dbg Source: clzip Version: 1.3-2 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 117 Depends: clzip (= 1.3-2) Homepage: http://www.nongnu.org/lzip/clzip.html Priority: extra Section: debug Filename: pool/main/c/clzip/clzip-dbg_1.3-2_armhf.deb Size: 71338 SHA256: 281131ffb7436a5fbfd240cdfa3d2a6646dc8a769cdd4133679a35549ba2dac3 SHA1: cdc375fa6ae3467127b3c333fc30ad0bc189eac0 MD5sum: 3feab8ae38bb4b685b0d883673469a8f Description: C, lossless data compressor based on the LZMA algorithm (debug) Lzip is a lossless data compressor based on the LZMA algorithm, with very safe integrity checking and a user interface similar to the one of gzip or bzip2. Lzip decompresses almost as fast as gzip and compresses better than bzip2, which makes it well suited for software distribution and data archiving. . Clzip is a C language version of lzip using the lzip file format; the files produced by clzip are fully compatible with lzip. . This package contains the debugging symbols. Package: cm-super Version: 0.3.4-7.1 Installed-Size: 52719 Maintainer: Debian TeX maintainers Architecture: all Replaces: pts-tetex-cm-super Depends: dpkg (>= 1.14.18), tex-common (>= 3), texlive-latex-recommended, cm-super-minimal (= 0.3.4-7.1), pfb2t1c2pfb Conflicts: pts-tetex-cm-super Size: 25633292 SHA256: 44ab8c8a22073f3084f440633d986c75f2b83a4fcefb737902dc4ddc6e038944 SHA1: 35af82d8fa8bbdf5e11081231d769ebaef4f4398 MD5sum: 3f49efd80d01707aa9dfb778d074c19f Description: TeX font package (full version) with CM (EC) in Type1 in T1, T2*, TS1, X2 enc This package ships the full set of cm-super fonts, for a minimal variant install cm-super-minimal. . The CM-Super package contains Type 1 fonts converted from METAFONT fonts and covers entire EC/TC, EC Concrete, EC Bright and LH fonts (Computer Modern font families). All European and Cyrillic writings are covered. Each Type 1 font program contains ALL glyphs from the following standard LaTeX font encodings: T1, TS1, T2A, T2B, T2C, X2, and also Adobe StandardEncoding (585 glyphs per non-SC font and 468 glyphs per SC font), and could be reencoded to any of these encodings using standard dvips or pdftex facilities (the corresponding support files are also included). Tag: made-of::font, made-of::tex, role::app-data, use::typesetting Section: fonts Priority: optional Filename: pool/main/c/cm-super/cm-super_0.3.4-7.1_all.deb Package: cm-super-minimal Source: cm-super Version: 0.3.4-7.1 Installed-Size: 5870 Maintainer: Debian TeX maintainers Architecture: all Replaces: cm-super (<< 0.3.3-6) Depends: dpkg (>= 1.14.18), tex-common (>= 3), texlive-latex-recommended Size: 5834054 SHA256: a66d75f16aa4ddd5a0a4eac09803edace24adeebdf40a40605e0db2dbb629cc8 SHA1: 24f62ece8312afd8337a0e5846c0ceed03ef48a2 MD5sum: f9669d4e3c205b4222fecdc1bf8997e6 Description: TeX font package (minimal version) with CM/EC in Type1 in T1, T2*, TS1, X2 enc This package ships the 10pt version of the various fonts. For the full set please install cm-super. . The CM-Super package contains Type 1 fonts converted from METAFONT fonts and covers entire EC/TC, EC Concrete, EC Bright and LH fonts (Computer Modern font families). All European and Cyrillic writings are covered. Each Type 1 font program contains ALL glyphs from the following standard LaTeX font encodings: T1, TS1, T2A, T2B, T2C, X2, and also Adobe StandardEncoding (585 glyphs per non-SC font and 468 glyphs per SC font), and could be reencoded to any of these encodings using standard dvips or pdftex facilities (the corresponding support files are also included). Tag: made-of::font, role::app-data, use::typesetting Section: fonts Priority: optional Filename: pool/main/c/cm-super/cm-super-minimal_0.3.4-7.1_all.deb Package: cm-super-x11 Source: cm-super Version: 0.3.4-7.1 Installed-Size: 5104 Maintainer: Debian TeX maintainers Architecture: all Depends: cm-super-minimal (= 0.3.4-7.1), xfonts-utils Size: 795652 SHA256: 82857d7586454eae89aede6eb79e478e43babc1c5cdd76b7ff271b7de0141d17 SHA1: 5137669ba0d099b693b71ca2923ab8fbf206a787 MD5sum: b6b632f4c851e1c95bd2d088717fc7a8 Description: Make the cm-super fonts available to X11 This package makes the cm-super fonts available to X11. This package does not contain any fonts itself but allows one to reuse the cm-super fonts as X11 screen fonts. Tag: made-of::tex, x11::font Section: fonts Priority: optional Filename: pool/main/c/cm-super/cm-super-x11_0.3.4-7.1_all.deb Package: cmake Version: 2.8.9-1 Architecture: armhf Maintainer: Modestas Vainius Installed-Size: 9630 Depends: libarchive12, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libxmlrpc-core-c3, zlib1g (>= 1:1.2.3.3), cmake-data (= 2.8.9-1), procps Suggests: gcc, make Multi-Arch: foreign Homepage: http://cmake.org/ Priority: optional Section: devel Filename: pool/main/c/cmake/cmake_2.8.9-1_armhf.deb Size: 4239506 SHA256: f71e4a66a3795b0544993bdda30806c0fe73de39f3336ceadcec032cbc822980 SHA1: e8048697f7335523baefd3d93cd5ffd1b2dc9949 MD5sum: dfdaf5409fdd674c41812b4c4c9b05ac Description: cross-platform, open-source make system CMake is used to control the software compilation process using simple platform and compiler independent configuration files. CMake generates native makefiles and workspaces that can be used in the compiler environment of your choice. CMake is quite sophisticated: it is possible to support complex environments requiring system configuration, pre-processor generation, code generation, and template instantiation. . CMake was developed by Kitware as part of the NLM Insight Segmentation and Registration Toolkit project. The ASCI VIEWS project also provided support in the context of their parallel computation environment. Other sponsors include the Insight, VTK, and VXL open source software communities. Package: cmake-curses-gui Source: cmake Version: 2.8.9-1 Architecture: armhf Maintainer: Modestas Vainius Installed-Size: 2863 Depends: libarchive12, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.6), libtinfo5, zlib1g (>= 1:1.2.3.3), cmake-data, cmake (= 2.8.9-1) Breaks: cmake (<= 2.6.2-1) Replaces: cmake (<= 2.6.2-1) Homepage: http://cmake.org/ Priority: optional Section: devel Filename: pool/main/c/cmake/cmake-curses-gui_2.8.9-1_armhf.deb Size: 1232992 SHA256: ddf9a93f74b02a24efaeb1de8857d11acf78d546c54c140c18622c293a8035cd SHA1: 613131114aa0b067c5f4fa12e0a30a2bd1b46724 MD5sum: 70d671006084c0a062ead4c33c0adf7f Description: curses based user interface for CMake (ccmake) CMake is used to control the software compilation process using simple platform and compiler independent configuration files. CMake generates native makefiles and workspaces that can be used in the compiler environment of your choice. . This package provides the CMake curses interface. Project configuration settings may be specified interactively through this GUI. Brief instructions are provided at the bottom of the terminal when the program is running. The main executable file for this GUI is "ccmake". Package: cmake-data Source: cmake Version: 2.8.9-1 Installed-Size: 3154 Maintainer: Modestas Vainius Architecture: all Replaces: cmake (<= 2.6.2-1) Depends: emacsen-common Breaks: cmake (<= 2.6.2-1) Size: 1359336 SHA256: 2422c72b61d427db80fd4f09e0b276b90718f3623c3f22c7e141df4c196a5542 SHA1: 85f33c5f0589377e1b32a90018490f209399ba72 MD5sum: 2c2b938aa24ec57dcb79133497fabbe6 Description: CMake data files (modules, templates and documentation) This package provides CMake architecture independent data files (modules, templates, documentation etc.). Unless you have cmake installed, you probably do not need this package. Homepage: http://cmake.org/ Tag: devel::buildtools, made-of::html, made-of::man, role::app-data, role::documentation Section: devel Priority: optional Filename: pool/main/c/cmake/cmake-data_2.8.9-1_all.deb Package: cmake-dbg Source: cmake Version: 2.8.9-1 Architecture: armhf Maintainer: Modestas Vainius Installed-Size: 68250 Depends: cmake-data, cmake (= 2.8.9-1) Homepage: http://cmake.org/ Priority: extra Section: debug Filename: pool/main/c/cmake/cmake-dbg_2.8.9-1_armhf.deb Size: 66956806 SHA256: f60e697c73654929609c7490a4f0e8fe43cb4ab9e7defee6a4a461f87bdcbdbc SHA1: 3e91f209f730ba409fcbeab2e90df9fed4e46968 MD5sum: 762576f214fc1f4156e92dfb5b61ff37 Description: debugging symbols for CMake CMake is used to control the software compilation process using simple platform and compiler independent configuration files. CMake generates native makefiles and workspaces that can be used in the compiler environment of your choice. . This package provides CMake debugging symbols. Package: cmake-doc Source: cmake Version: 2.8.9-1 Installed-Size: 4277 Maintainer: Modestas Vainius Architecture: all Replaces: cmake (<= 2.8.2-1), cmake-curses-gui (<= 2.8.2-1), cmake-data (<= 2.8.2-1), cmake-qt-gui (<= 2.8.2-1) Depends: cmake-data Recommends: doc-base Suggests: cmake Breaks: cmake (<= 2.8.2-1), cmake-curses-gui (<= 2.8.2-1), cmake-data (<= 2.8.2-1), cmake-qt-gui (<= 2.8.2-1) Size: 1842670 SHA256: 6b8a2aa0122f2ea43a233dbde30e640355c0063ecbd1859a0b0b69194129b8ed SHA1: 7fe5ea57eb4ba82ec82d6f6abd61770d9c52f63e MD5sum: 3163fea175a73f116a57c12ca610e85a Description: extended documentation in various formats for CMake CMake is used to control the software compilation process using simple platform and compiler independent configuration files. CMake generates native makefiles and workspaces that can be used in the compiler environment of your choice. . This package provides additional documentation in various formats like HTML or plain text. Homepage: http://cmake.org/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/cmake/cmake-doc_2.8.9-1_all.deb Package: cmake-qt-gui Source: cmake Version: 2.8.9-1 Architecture: armhf Maintainer: Modestas Vainius Installed-Size: 3071 Depends: libarchive12, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.2.3.3), cmake-data, cmake (= 2.8.9-1) Provides: cmake-gui Homepage: http://cmake.org/ Priority: optional Section: devel Filename: pool/main/c/cmake/cmake-qt-gui_2.8.9-1_armhf.deb Size: 1297438 SHA256: 815ff86b464515b50849a2efca071b019f0b58a0eb91d6e34145718ff7970dc6 SHA1: 595d75215de273646bacedd395cbc80199cc5b56 MD5sum: 8fe5df1c5b08ae678b0c55ea41f86aee Description: Qt4 based user interface for CMake (cmake-gui) CMake is used to control the software compilation process using simple platform and compiler independent configuration files. CMake generates native makefiles and workspaces that can be used in the compiler environment of your choice. . This package provides the CMake Qt4 based GUI. Project configuration settings may be specified interactively. Brief instructions are provided at the bottom of the window when the program is running. The main executable file for this GUI is "cmake-gui". Package: cman Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 1372 Pre-Depends: debconf | debconf-2.0 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libccs3 (>= 3.0.12), libcman3 (>= 3.0.12), libconfdb4 (>= 1.4.2), libcpg4 (>= 1.4.2), libdlm3 (>= 3.0.12), libdlmcontrol3 (>= 3.0.12), libfence4 (>= 3.0.12), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), liblogthread3 (>= 3.0.12), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libsackpt3 (>= 1.1.4), libvirt0 (>= 0.1.1), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), corosync (>= 1.2.1), openais (>= 1.1.1), python, openipmi, sg3-utils, python-pexpect, libnet-snmp-perl, libnet-telnet-perl, snmp, telnet | telnet-client, openssh-client, python-openssl, libxml2-utils Conflicts: fence-agents, libdlm-dev (<= 2.20090112-0ubuntu3) Priority: optional Section: admin Filename: pool/main/r/redhat-cluster/cman_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 595568 SHA256: c8743241e7e42ba7acc31d480bb5b9328d3b36e771c0c5b4ab9002d86e88ca65 SHA1: 2206b90901f52f7d8ff6571596ec21f30b2d2dfd MD5sum: f389eb9153cb49227dec90cbcebc5446 Description: Red Hat cluster suite - cluster manager This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . CMAN is a symmetric general-purpose cluster manager. It provides a service manager that handles service groups, plus a connection manager that handles cluster membership management, messaging among the cluster nodes, event notification, quorum and state transitions. . This package contains only tools for controlling the cluster manager itself. Package: cmap-adobe-cns1 Version: 0+20090930-2 Installed-Size: 1572 Maintainer: Kenshi Muto Architecture: all Size: 463934 SHA256: a4ac43ae161487c44a81e74764f95e961958686cc38d6a452d7481592b42a914 SHA1: 5e9822f88de357c7a476fec8f599c1b37d96c4a0 MD5sum: f3617f3cc4b33485c2d8be20f6cce2b0 Description: CMaps for Adobe-CNS1 CMap is a sort of a mapping table used for converting a certain charset/encoding-specific charcode to CID code. . This package contains CMap files for Adobe-CNS1 character collection, which stands for Adobe-defined one, and including Traditional-Chinese charsets. . It is used by gs-cjk (ghostscript with CJK-TrueType extension) to handle Traditional-Chinese TrueType fonts. Homepage: http://opensource.adobe.com/wiki/display/cmap/CMap+Resources Tag: culture::chinese, role::app-data Section: fonts Priority: optional Filename: pool/main/c/cmap-adobe-cns1/cmap-adobe-cns1_0+20090930-2_all.deb Package: cmap-adobe-gb1 Version: 0+20090930-2 Installed-Size: 1552 Maintainer: Kenshi Muto Architecture: all Size: 503210 SHA256: 4a99edfad35eb0a6fab69e42af9eeedfb7a29022347a5dbb2c738a122812089a SHA1: d0e9b888b7abc55a3e90b60e95d716fb0d826811 MD5sum: a649834c7a7d0910779b6e4a62492ee2 Description: CMaps for Adobe-GB1 CMap is a sort of a mapping table used for converting a certain charset/encoding-specific charcode to CID code. . This package contains CMap files for Adobe-GB character collection, which stands for Adobe-defined one, and including standard Simplified-Chinese charsets. . It is used by gs-cjk (ghostscript with CJK-TrueType extension) to handle Simplified-Chinese TrueType fonts. Homepage: http://opensource.adobe.com/wiki/display/cmap/CMap+Resources Tag: culture::chinese, role::app-data Section: fonts Priority: optional Filename: pool/main/c/cmap-adobe-gb1/cmap-adobe-gb1_0+20090930-2_all.deb Package: cmap-adobe-japan1 Version: 0+20090930-2 Installed-Size: 2428 Maintainer: Kenshi Muto Architecture: all Size: 730602 SHA256: 0c4d33004cbdd92407f32875435d8e16a016804fc2656ac3a5f679cf20306467 SHA1: 8183582ae491c4ea61576778d6cb713cdea60095 MD5sum: c29174b8330e2de717064220bc8057dc Description: CMaps for Adobe-Japan1 CMap is a sort of a mapping table used for converting a certain charset/encoding-specific charcode to CID code. . This package contains CMap files for Adobe-Japan1 character collection, which stands for Adobe-defined one, and including standard Japanese charsets. . It is used by gs-cjk (ghostscript with CJK-TrueType extension) to handle Japanese TrueType fonts. Homepage: http://opensource.adobe.com/wiki/display/cmap/CMap+Resources Tag: culture::japanese, role::app-data Section: fonts Priority: optional Filename: pool/main/c/cmap-adobe-japan1/cmap-adobe-japan1_0+20090930-2_all.deb Package: cmap-adobe-japan2 Version: 0+20090930-2 Installed-Size: 416 Maintainer: Kenshi Muto Architecture: all Size: 120212 SHA256: a00d626b2e06d96397ed5c52bca7997b3a4ecaf23b4175c48beb493a85c72158 SHA1: 5596a47f4ba7c549de19abdd45ef0778cdcc00e9 MD5sum: f6ed9389df013ebafc46e38f85570d52 Description: CMaps for Adobe-Japan2 CMap is a sort of a mapping table used for converting a certain charset-specific charcode to CID code. . This package contains CMap files for Adobe-Japan2 character collection, which stands for Adobe-defined one, and including additional Japanese charsets. . It is used by gs-cjk (ghostscript with CJK-TrueType extension) to handle Japanese TrueType fonts. Homepage: http://opensource.adobe.com/wiki/display/cmap/CMap+Resources Tag: culture::japanese, role::app-data Section: fonts Priority: optional Filename: pool/main/c/cmap-adobe-japan2/cmap-adobe-japan2_0+20090930-2_all.deb Package: cmap-adobe-korea1 Version: 0+20090930-2 Installed-Size: 872 Maintainer: Kenshi Muto Architecture: all Size: 271248 SHA256: 9ada38ed65b6b59825f9bf0b9aa4be5fac1756d78bc75bb920c956d70e86a3a8 SHA1: 64fa5fa8f3a565aa3300679f5816d6ebfedaa015 MD5sum: e6af02d445b623ae910182b4f8fd8323 Description: CMaps for Adobe-Korea1 CMap is a sort of a mapping table used for converting a certain charset-specific charcode to CID code. . This package contains CMap files for Adobe-Korea1 character collection, which stands for Adobe-defined one, and including standard Korean charsets. . It is used by gs-cjk (ghostscript with CJK-TrueType extension) to handle Korean TrueType fonts. Homepage: http://opensource.adobe.com/wiki/display/cmap/CMap+Resources Tag: culture::korean, role::app-data Section: fonts Priority: optional Filename: pool/main/c/cmap-adobe-korea1/cmap-adobe-korea1_0+20090930-2_all.deb Package: cmatrix Version: 1.2a-4 Architecture: armhf Maintainer: Diego Fernández Durán Installed-Size: 75 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Recommends: kbd Suggests: cmatrix-xfont Homepage: http://www.asty.org/cmatrix/ Priority: optional Section: misc Filename: pool/main/c/cmatrix/cmatrix_1.2a-4_armhf.deb Size: 18182 SHA256: 6a7b1467fd278157fc3729c5202f1911c0a0a7b330c2c24499812b4f0f68d2d2 SHA1: 75f27326944107c153b5985b9c6cc958fea9bd8a MD5sum: 502c7dd2013486e7ce0ea7932e8ddf5e Description: simulates the display from "The Matrix" It is based on the screensaver from the movie's website. It works with terminal settings up to 132x300 and can scroll lines all at the same rate. Package: cmatrix-xfont Source: cmatrix Version: 1.2a-4 Installed-Size: 64 Maintainer: Diego Fernández Durán Architecture: all Depends: xfonts-utils Recommends: cmatrix Size: 9152 SHA256: e5a641a06ef65240690b5580b78d98eba82fe358dcefbafcc3c90842d07cf84d SHA1: 4c9a732f7d666af77a796f108f0bb15bb06bcd8a MD5sum: 861c7cbc2b114b15fae4b084e2ebc46e Description: X11 font for cmatrix Console Matrix simulates the display from "The Matrix", this package provides the font to run cmatrix in an xterm. Homepage: http://www.asty.org/cmatrix/ Tag: game::toys, made-of::font, role::app-data, x11::font Section: x11 Priority: optional Filename: pool/main/c/cmatrix/cmatrix-xfont_1.2a-4_all.deb Package: cmdtest Version: 0.3-1 Installed-Size: 76 Maintainer: Lars Wirzenius Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-cliapp, python-ttystatus Size: 8358 SHA256: e79ffaadcb8507c9e8e082ea92d9d78e9c58356d2f691d9f6806836c66a159be SHA1: fe717e139ddbfd33b82322eb20ef143ff7ac081b MD5sum: 76845c0e15e5d1b26a4cb98163e8ba3b Description: blackbox testing of Unix command line programs cmdtest black box tests Unix command line tools. Roughly, it is given a a script, its input files, and its expected output files. cmdtest runs the script, and checks the output is as expected. . cmdtest is aimed specifically at testing non-interactive Unix command line programs, and tries to make that as easy as possible. Homepage: http://liw.fi/cmdtest/ Section: python Priority: optional Filename: pool/main/c/cmdtest/cmdtest_0.3-1_all.deb Package: cmigemo Version: 20110227-7 Architecture: armhf Maintainer: Youhei SASAKI Installed-Size: 53 Depends: libc6 (>= 2.13-28), libmigemo1 (= 20110227-7), cmigemo-common Suggests: vim-migemo Homepage: http://code.google.com/p/cmigemo/ Priority: optional Section: utils Filename: pool/main/c/cmigemo/cmigemo_20110227-7_armhf.deb Size: 9134 SHA256: e95b88a1a434991cec5b53267da99d1b914f97470013fa5b1b42dc37c79b09e1 SHA1: f7db4c30bafff4a0d47f83835960a49586298394 MD5sum: 8ec7e8c9c791f6c95779aa68ff150e5a Description: Japanese incremental search tool written in C - binary Migemo is a tool that supports Japanese incremental search with Romaji. Originally migemo is written in Ruby. C/Migemo provides search tool and library written in C. . This package provides binary. Package: cmigemo-common Source: cmigemo Version: 20110227-7 Installed-Size: 94 Maintainer: Youhei SASAKI Architecture: all Depends: nkf Pre-Depends: skkdic Size: 26240 SHA256: 256d7180215a71326a5c01515af352836fe7db266ff2be931f05dd0d9acbc71f SHA1: 8b721991876e052dc54ba0053de252f8508d4574 MD5sum: 2dc9952061478d3e5b137cff57226593 Description: Japanese incremental search tool written in C - common files Migemo is a tool that supports Japanese incremental search with Romaji. Originally migemo is written in Ruby. C/Migemo provides search tool and library written in C. . This package provides translation table from Romaji to Hiragana or Katakana and vise versa, and dictionary maintenance tools. Homepage: http://code.google.com/p/cmigemo/ Tag: role::app-data Section: utils Priority: optional Filename: pool/main/c/cmigemo/cmigemo-common_20110227-7_all.deb Package: cmigrep Version: 1.5-9 Installed-Size: 918 Maintainer: Debian OCaml Maintainers Architecture: all Replaces: ocaml-tools Depends: ocaml-base-nox-3.12.1, libpcre-ocaml (>= 6.2.2-1) Conflicts: ocaml-tools (<= 2007.02.04-2) Size: 195698 SHA256: 408776bd27d780f4b11c67fe55862b8eeef1a04f66a89c1bbb02b2e7225d54fe SHA1: b9491fdc63a58d43e28a108bcbb78f4474594e39 MD5sum: 6ce4ff40a9bca6c19d6b01c50a5b843e Description: search in OCaml compiled interface files This program allows you to search for items (like for instance types, constructors, or exceptions) in compiled interface files generated by the Objective Caml compiler. Homepage: http://homepage.mac.com/letaris/ Tag: implemented-in::ocaml, interface::commandline, role::program, scope::utility Section: ocaml Priority: extra Filename: pool/main/c/cmigrep/cmigrep_1.5-9_all.deb Package: cminpack-doc Source: cminpack Version: 1.2.2-1 Installed-Size: 90 Maintainer: Debian Science Team Architecture: all Size: 17948 SHA256: 6dda42ce30e0d46e3156d8b183db3797b9998fbbf04aa0256c03a37758ca21b8 SHA1: 733f60e2d455ecd6b8e8d92adc97ae7772872ee1 MD5sum: c50b3d748885a4c308adce790ba4ca69 Description: Nonlinear equations and nonlinear least squares problems - runtime Minpack includes software for solving nonlinear equations and nonlinear least squares problems. Five algorithmic paths each include a core subroutine and an easy-to-use driver. The algorithms proceed either from an analytic specification of the Jacobian matrix or directly from the problem functions. The paths include facilities for systems of equations with a banded Jacobian matrix, for least squares problems with a large amount of data, and for checking the consistency of the Jacobian matrix with the functions. . This is the C re-write of the original fortran minpack implementation. . This package contains documentation files for cminpack. Homepage: http://devernay.free.fr/hacks/cminpack/index.html Section: doc Priority: optional Filename: pool/main/c/cminpack/cminpack-doc_1.2.2-1_all.deb Package: cmip5-cmor-tables Version: 1.3.12-1 Installed-Size: 5696 Maintainer: Alastair McKinstry Architecture: all Recommends: libcmor2 (>= 2.5.9-1) Size: 1065816 SHA256: 723f98e15676e96a4c6e88b7a0585b37a0a61a1e3fffd034bfd613b8d488dfe4 SHA1: 2e520c4c10d3713981ce299aa3f59f80570e61fe MD5sum: 2167a0c8483e89aa53bb9d5ba1fca2f1 Description: CMIP5 tables for the Climate Model Output Rewriter library This package contains tables for use with CMOR that describe the variables and format of data needed for the CMIP5 "Coupled Model Intercomparisoni Project". . CMOR software uses these tables to verify that output is valid. Homepage: http://www2-pcmdi.llnl.gov/cmor Section: utils Priority: optional Filename: pool/main/c/cmip5-cmor-tables/cmip5-cmor-tables_1.3.12-1_all.deb Package: cmis-client Source: libcmis Version: 0.1.0-1 Architecture: armhf Maintainer: Rene Engelhard Installed-Size: 130 Depends: libboost-program-options1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcmis-0.2-0, libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libxml2 (>= 2.6.27) Priority: optional Section: utils Filename: pool/main/libc/libcmis/cmis-client_0.1.0-1_armhf.deb Size: 35242 SHA256: 13a4b87c72d40b991addecb68a20e59e69aabb333353deffbc7e5813c1592f77 SHA1: 246d0c800552216b2f3559e338d1ac85f326d3aa MD5sum: 56c35edc91e0c7db8375e0c038bde94f Description: client for the CMIS protocol LibCMIS is a C++ client library for the CMIS interface. This allows C++ applications to connect to any CMIS-enabled repositories. . This package contains the cmis-client standalone application. Package: cmt Version: 1.16-1 Architecture: armhf Maintainer: Felipe Sateler Installed-Size: 186 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Provides: ladspa-plugin Homepage: http://www.ladspa.org/cmt/ Priority: optional Section: sound Filename: pool/main/c/cmt/cmt_1.16-1_armhf.deb Size: 65316 SHA256: a3969d509408af8e0c4c812b2a242b3d039bbb3c132134f4fc9acb1889c81cd5 SHA1: c1d89fb52388f500e6a9495b30750a839df30efb MD5sum: 994040e36523283d00d86a8b620af91c Description: a collection of LADSPA plugins Computer Music Toolkit is a collection of LADSPA compatible plugins that any conforming program may take advantage of. . Plugins available are: low/high pass filters, echo/feedback delay filters with configurable delays from 0.01 to 60 seconds, amplifies, white and ping noise generators, compressors, expanders, limiters, b/fmh encoders, drum synthesizers, lofi (low fidelity), phase modulator (phasemod) and many more. . These plugins are only usable in host applications, of which glame, sweep and others can be found in Debian. Package: cmtk Version: 2.2.2-2 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 20446 Depends: libbz2-1.0, libc6 (>= 2.13-28), libcharls1, libdcmtk2 (>= 3.6.0), libfftw3-3, libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libmxml1, libpng12-0 (>= 1.2.13-4), libqtcore4 (>= 4:4.6.1), libqtgui4 (>= 4:4.5.3), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), zlib1g (>= 1:1.1.4) Recommends: sri24-atlas Suggests: numdiff Homepage: http://www.nitrc.org/projects/cmtk/ Priority: extra Section: science Filename: pool/main/c/cmtk/cmtk_2.2.2-2_armhf.deb Size: 5476880 SHA256: ad1b778331ae0743fbfdc143e0afb540ecab239c2386f4225de0e56b4c033a1e SHA1: a53adb7c12fd32208164471fb405ad6e1a3bfef5 MD5sum: 360cd01edc747d6905b95d570b3f5fec Description: Computational Morphometry Toolkit A software toolkit for computational morphometry of biomedical images, CMTK comprises a set of command line tools and a back-end general-purpose library for processing and I/O. . The command line tools primarily provide the following functionality: registration (affine and nonrigid; single and multi-channel; pairwise and groupwise), image correction (MR bias field estimation; interleaved image artifact correction), processing (filters; combination of segmentations via voting and STAPLE; shape-based averaging), statistics (t-tests; general linear regression). Package: cmucl-docs Source: cmucl Version: 20c-2 Installed-Size: 4051 Maintainer: Debian Common Lisp Team Architecture: all Size: 2594564 SHA256: 818eed352e1bdc178e4b6e296dc6fda3c1fdf508a4d5a3f39c32967c9ce05d76 SHA1: 3c8ea33b6f7f29ab23e69906b01611fbc773937c MD5sum: 207cb372913e5058c6371c1882714fc4 Description: CMUCL documentation This is the documentation of the CMUCL main package. The clm, clx and hemlock documentation is still in their own packages. Homepage: http://www.cons.org/cmucl/ Tag: devel::compiler, devel::doc, made-of::html, made-of::pdf, made-of::postscript, role::documentation Section: lisp Priority: optional Filename: pool/main/c/cmucl/cmucl-docs_20c-2_all.deb Package: cmucl-source Source: cmucl Version: 20c-2 Installed-Size: 22847 Maintainer: Debian Common Lisp Team Architecture: all Replaces: cmucl-clx, cmucl-defsystem, cmucl-graystream, cmucl-hemlock Depends: cl-asdf Conflicts: cmucl (<< 18e) Size: 5843584 SHA256: 879f3d825e5a4ff05382e0c778b1a170b67a0130d23c70c2d38914ebb960342f SHA1: ab5f78a74093a817b7d5cf0bf872f42a5003f349 MD5sum: 006d9513f97a680f99fcca22176b25e0 Description: CMUCL lisp sources These are the CMUCL sources, provided so that the debugger can show useful source information at appropriate times. . This version includes common-lisp-controller compatible replacements for defsystem, graystream, clx and hemlock. . defsystem provides a "make" type system for Lisp. This is a patched version from clocc. . Gray streams are the usual Lisp streams, but re-implemented as a Object hierarchy. Users can subclass existing classes and adapt them for their own needs. . cmucl-clx is the clx library adapted for CMUCL. clx is an interface to X11, just like xlib. . Hemlock is an emacs clone for CMUCL. . Note that this version only works in X, the tty interface is broken: the system can't parse /etc/termcap. . To open the editor, type "(ed)". . Note that to recompile CMUCL you need a working CMUCL system :-( Homepage: http://www.cons.org/cmucl/ Tag: devel::compiler, devel::lang:lisp, role::source Section: lisp Priority: optional Filename: pool/main/c/cmucl/cmucl-source_20c-2_all.deb Package: cmus Version: 2.4.3-2+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 442 Depends: libao4 (>= 1.1.0), libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfaad2 (>= 2.7), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libmad0 (>= 0.15.1b-3), libmodplug1, libmpcdec6 (>= 1:0.1~r435), libncursesw5 (>= 5.6+20070908), libogg0 (>= 1.0rc3), libtinfo5, libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libwavpack1 (>= 4.40.0) Recommends: cmus-plugin-ffmpeg, libpulse0 (>= 0.99.1) Homepage: http://cmus.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/c/cmus/cmus_2.4.3-2+deb7u1_armhf.deb Size: 193298 SHA256: a3072edcefd324157b3c05cbb4c52365c4b9460d112f56f729e66d465125ce73 SHA1: 1d8da9938e2023ac83ef88620b661dcecd3a0e7d MD5sum: e5375645ce086650b4d910394fba6115 Description: lightweight ncurses audio player C* Music Player is a modular and very configurable ncurses-based audio player. It has some interesting features like configurable colorscheme, mp3 and ogg streaming, it can be controlled with an UNIX socket, filters, album/artists sorting and a vi-like configuration interface. . It currently supports different input formats: - Ogg Vorbis - MP3 (with libmad) - FLAC - Wav - Modules (with libmodplug) - Musepack - AAC - Windows Media Audio Package: cmus-plugin-ffmpeg Source: cmus Version: 2.4.3-2+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 53 Depends: libavformat53 (>= 6:0.8.3-1~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Suggests: cmus Homepage: http://cmus.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/c/cmus/cmus-plugin-ffmpeg_2.4.3-2+deb7u1_armhf.deb Size: 12194 SHA256: f86e3aa9e9d41dd704591359faccd6014e43456f02b25a6128ca989c443f221c SHA1: fa9cad8b1bc26cf434bec86a103cf44c3006eed8 MD5sum: 87c325e3a286f2e763ee3f614f1169cb Description: lightweight ncurses audio player (FFmpeg plugin) C* Music Player is a modular and very configurable ncurses-based audio player. It has some interesting features like configurable colorscheme, mp3 and ogg streaming, it can be controlled with an UNIX socket, filters, album/artists sorting and a vi-like configuration interface. . This package adds FFmpeg support to C* Music Player. Package: cmuscheme48-el Source: scheme48 Version: 1.8+dfsg-1+deb7u1 Installed-Size: 101 Maintainer: Daniel Moerner Architecture: all Depends: emacsen-common, emacs22 | emacsen, scheme48 Size: 13434 SHA256: 6d0ab500b14f220c931535f0768543cc1a6e48bbde84f006e18b0374859a5c3e SHA1: b59aeffa43d502a04a45437cb3bfd568bf30e773 MD5sum: bb1ca81ea19e159e5e8dac3301eb0fc1 Description: Emacs mode specialized for Scheme48 Scheme48 associates loaded code with specific files. This extension to the normal scheme-mode of Emacs uses this facility to associated data sent to a inferior Scheme process with the correct file. Scheme 48 will automatically evaluate the code in the correct package for the file. Homepage: http://s48.org/ Tag: devel::lang:scheme, implemented-in::lisp, suite::emacs Section: lisp Priority: optional Filename: pool/main/s/scheme48/cmuscheme48-el_1.8+dfsg-1+deb7u1_all.deb Package: cnee Source: xnee Version: 3.13-1 Architecture: armhf Maintainer: Vincent Bernat Installed-Size: 121 Depends: libc6 (>= 2.13-28), libx11-6, libxnee0, libxtst6 Suggests: xnee-doc Homepage: http://www.sandklef.com/xnee/ Priority: optional Section: x11 Filename: pool/main/x/xnee/cnee_3.13-1_armhf.deb Size: 53064 SHA256: 6b2b236c239c5739d02a54e8025623964b558435fd369c7fcf72a2e4226b483c SHA1: c1bd4935a745209d9eb0b0fc2445926e85f846a6 MD5sum: 5a8b57eec61b25229287d5254e4bf0c3 Description: X event recorder/replayer - command-line flavor GNU Xnee is a suite of programs that can record, replay and distribute user actions under the X11 environment. Think of it as a robot that can imitate the job you just did. . Xnee can be used to - automate tests - demonstrate programs - distribute actions - record and replay 'macro' - retype a file . This package contains the command-line flavor of Xnee. Package: cntlm Version: 0.92.3-1 Architecture: armhf Maintainer: David Watson Installed-Size: 162 Depends: adduser, libc6 (>= 2.13-28) Replaces: ntlmaps Homepage: http://cntlm.sourceforge.net/ Priority: optional Section: net Filename: pool/main/c/cntlm/cntlm_0.92.3-1_armhf.deb Size: 63372 SHA256: 2659b92c7a95ba4140e7922c86894da3c003212f57d3d373dce938b8b25a9fbb SHA1: b83e11e475056d368cce98174169cdb60dec8cbd MD5sum: 66b44c440df6a4c3e306ed0119f53d46 Description: Fast NTLM authentication proxy with tunneling Cntlm is a fast and efficient NTLM proxy, with support for TCP/IP tunneling, authenticated connection caching, ACLs, proper daemon logging and behaviour and much more. It has up to ten times faster responses than similar NTLM proxies, while using by orders or magnitude less RAM and CPU. Manual page contains detailed information. Package: coala Version: 1.0.1-5 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 614 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), python Recommends: gringo, clasp Homepage: http://www.cs.uni-potsdam.de/wv/coala/ Priority: extra Section: interpreters Filename: pool/main/c/coala/coala_1.0.1-5_armhf.deb Size: 252162 SHA256: 72cae28d1509dcf38250ca90a54694f88689beff74fc000f73c6dc76d8d793a0 SHA1: 12da1c3b4a0fd638b78f94b84121f35d62a1b5bb MD5sum: 2803f3cef86400bcd4a12983cefe87c3 Description: translates action languages into answer set programs The coala tool translates an action language into a logic program under the answer set semantics. After being grounded by lparse or gringo, the logic program can be solved by an answer set solver such as clasp. At the moment coala is able to translate the action language AL, B, C, a subset of C+ and the action language CTAID. The type of input language can be specified with a command line option. Package: cobertura Version: 1.9.4.1+dfsg-3 Installed-Size: 95 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java5-runtime-headless, libcobertura-java (= 1.9.4.1+dfsg-3) Recommends: junit Suggests: libcobertura-java-doc (= 1.9.4.1+dfsg-3) Size: 25784 SHA256: 54416b875b827b0c95a724cd3c7fdd6536d21ad147feb47c2e41d3aebb63e322 SHA1: fb53cabe9a413d4d633f3a4f126b083e30ddfde6 MD5sum: 803ea9159843555d3c52bd7ba540f49e Description: java tool that calculates the percentage of code accessed by tests Tool that measures test coverage by instrumenting a Java code base and watching which lines of code are and are not executed as the test suite runs. In addition to identifying untested code and locating bugs, Cobertura can optimize code by flagging dead, unreachable code and can provide insights into how an API operates in practice. It is based on jcoverage. Homepage: http://cobertura.sourceforge.net/ Section: java Priority: optional Filename: pool/main/c/cobertura/cobertura_1.9.4.1+dfsg-3_all.deb Package: coccinella Version: 0.96.20-6 Installed-Size: 8171 Maintainer: Mike Gabriel Architecture: all Depends: tcl8.5, tk8.5, tkpng, tktreectrl Recommends: tcl-tls, libudp-tcl, iaxclient-tcl, aspell | ispell Size: 2878390 SHA256: 101c1e272b86364a151c8cf47511f2d7d678405f81bccf5d691a2939edf866df SHA1: 1f3e3648c6301b77721dca765070e362b5054a3f MD5sum: 6aeaf0e11804f5589280011e22cc2bf3 Description: Communication tool (XMPP/Jabber) with a built-in whiteboard Coccinella is a cross-platform communication tool with a built-in whiteboard for improved collaboration with other people. . The whiteboard is a shared desktop which supports text, drawings, images, and multimedia in a number of formats, such as MP3 and video. . The protocol being used by coccinella for chat and whiteboard communication is the XMPP protocol (Jabber). Homepage: http://thecoccinella.org/ Section: net Priority: extra Filename: pool/main/c/coccinella/coccinella_0.96.20-6_all.deb Package: coccinelle Version: 1.0.0~rc12.deb-5 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 3704 Depends: libpcre-ocaml-werc3, libpycaml-ocaml-q5gq5, ocaml-base-nox-3.12.1, python (>= 2.6.6-7~), python-gobject, python-gtk2, python-glade2, ocaml-findlib, libpycaml-ocaml Suggests: vim-addon-manager, coccinelle-doc Homepage: http://coccinelle.lip6.fr Priority: optional Section: devel Filename: pool/main/c/coccinelle/coccinelle_1.0.0~rc12.deb-5_armhf.deb Size: 506922 SHA256: 1727fa0727e0ef33ba1c8acbe90408f15874c60f8df1eff06f1788cd6e9bf797 SHA1: 6fef75f8714aa8d55dae5f3c359b924f6a6b3e68 MD5sum: f185cfd672bf4130cf5a21558ca48390 Description: semantic patching tool for C Cocinelle is a program matching and transformation tool for C. The programmer describes the code to match and the transformation to perform as a semantic patch, which looks like a standard patch, but can transform multiple files at any number of code sites. Package: coccinelle-doc Source: coccinelle Version: 1.0.0~rc12.deb-5 Installed-Size: 1337 Maintainer: Debian OCaml Maintainers Architecture: all Replaces: coccinelle (<< 1.0.0~rc7.deb-4) Breaks: coccinelle (<< 1.0.0~rc7.deb-4) Size: 772468 SHA256: b4400114f7c615a407728f01bfef8703d7d8f2f7f796db7f8faac209ad424044 SHA1: 8f540ee8acbdb5b1dc988fcb13720b709cf925d8 MD5sum: 2e1fd18f4ec529543c179119f42e9eb4 Description: documentation for coccinelle Cocinelle is a program matching and transformation tool for C. The programmer describes the code to match and the transformation to perform as a semantic patch, which looks like a standard patch, but can transform multiple files at any number of code sites. . This package contains examples files and additional documentation in PDF format. Homepage: http://coccinelle.lip6.fr Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/c/coccinelle/coccinelle-doc_1.0.0~rc12.deb-5_all.deb Package: coco-cpp Version: 20120102-1 Architecture: armhf Maintainer: Markus Loeberbauer Installed-Size: 229 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: http://www.ssw.uni-linz.ac.at/Research/Projects/Coco/ Priority: optional Section: devel Filename: pool/main/c/coco-cpp/coco-cpp_20120102-1_armhf.deb Size: 54966 SHA256: cb0806d9fcd6c1ebeb29b801753d047d1604b57871cfb3ea81c3919ee115094b SHA1: 5116b6454a249ca5ddf9f4e716d034e88e637259 MD5sum: da83f8323b6cb1d433e9869edcfe21c5 Description: Coco/R Compiler Generator (C++ Version) Coco/R is a compiler generator, which takes an attributed grammar of a source language and generates a scanner and a parser for this language. The scanner works as a deterministic finite automaton. The parser uses recursive descent. LL(1) conflicts can be resolved by a multi-symbol lookahead or by semantic checks. Thus the class of accepted grammars is LL(k) for an arbitrary k. . To start Coco call cococpp, which is a shell script in /usr/bin. Package: coco-cs Version: 20110419-5 Installed-Size: 141 Maintainer: Markus Loeberbauer Architecture: all Depends: mono-runtime (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1) Size: 42702 SHA256: 2d11554941b2b73328f06ba3dcf48cec0bba07d7d5d43e1b70d36e811f8ba301 SHA1: f3f18573457c5ca16e2dd968df4d24537860a30e MD5sum: 2d05eb2e8dd00437da8615b26f2c9571 Description: Coco/R Compiler Generator (C-Sharp Version) Coco/R is a compiler generator, which takes an attributed grammar of a source language and generates a scanner and a parser for this language. The scanner works as a deterministic finite automaton. The parser uses recursive descent. LL(1) conflicts can be resolved by a multi-symbol lookahead or by semantic checks. Thus the class of accepted grammars is LL(k) for an arbitrary k. . To start Coco call cococs, which is a shell script in /usr/bin. Homepage: http://www.ssw.uni-linz.ac.at/Research/Projects/Coco/ Tag: devel::code-generator, implemented-in::c-sharp, interface::commandline, role::program, scope::utility Section: devel Priority: optional Filename: pool/main/c/coco-cs/coco-cs_20110419-5_all.deb Package: coco-doc Version: 20060919-2 Installed-Size: 868 Maintainer: Loeberbauer Markus Architecture: all Size: 700640 SHA256: bef2d0173fa125dcda6d69fec6a72b5616bff845b83a991691aec00c27b48b47 SHA1: 1790e059ffc73b0093e68d882ca81448117368d5 MD5sum: c17bd28710e74ed2bfebd5d7f56012a2 Description: Documentation for the Coco/R Compiler Generator Coco/R is a compiler generator, which takes an attributed grammar of a source language and generates a scanner and a parser for this language. The scanner works as a deterministic finite automaton. The parser uses recursive descent. LL(1) conflicts can be resolved by a multi-symbol lookahead or by semantic checks. Thus the class of accepted grammars is LL(k) for an arbitrary k. . See /usr/share/doc/cocosourcesdoc. Tag: devel::code-generator, devel::doc, devel::lang:java, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/c/coco-doc/coco-doc_20060919-2_all.deb Package: coco-java Version: 20110419-3 Installed-Size: 122 Maintainer: Markus Loeberbauer Architecture: all Size: 69396 SHA256: ccab880b6cc6a548e46dff2c1e23a662ececc0b1562d96005114ffd49c980520 SHA1: 8b8a1856a9848a50dfeed04d7199670708942779 MD5sum: aaf08495054ba7973cdec6329a93c710 Description: Coco/R Compiler Generator (Java Version) Coco/R is a compiler generator, which takes an attributed grammar of a source language and generates a scanner and a parser for this language. The scanner works as a deterministic finite automaton. The parser uses recursive descent. LL(1) conflicts can be resolved by a multi-symbol lookahead or by semantic checks. Thus the class of accepted grammars is LL(k) for an arbitrary k. . To start Coco call cocoj, which is a shell script in /usr/bin. Homepage: http://www.ssw.uni-linz.ac.at/Research/Projects/Coco/ Tag: devel::code-generator, implemented-in::java, interface::commandline, role::program, scope::utility Section: java Priority: optional Filename: pool/main/c/coco-java/coco-java_20110419-3_all.deb Package: code-aster Source: aster Version: 10.6.0-1-4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 27 Depends: code-aster-gui (>= 1.8.1-2), code-aster-run (>= 1.8.1-2), code-aster-engine (= 10.6.0-1-4) Suggests: code-aster-mpi-engine (= 10.6.0-1-4), eficas, gmsh, grace Homepage: http://www.code-aster.org/ Priority: extra Section: science Filename: pool/main/a/aster/code-aster_10.6.0-1-4_armhf.deb Size: 3092 SHA256: 343626e03d02c4d7af2cd7e0eb65d2d305d4a284e30812638aa38ba358b3da24 SHA1: b70b9df9405270b25fc2af49521e350c2a053088 MD5sum: 70b9745590550956ccb584718f5e1ab0 Description: Code_Aster finite element program - metapackage Code_Aster is a finite element solver for partial differential equations. It is particularly suited to problems involving heat transfer, mechanics, fluids, and fluid-structure interactions. It is the product of Electricité de France (EDF) and is ISO 9001-certified. . This is a metapackage to install the packages for a working Code Aster installation with the sequential solver; install also the suggested package for a more complete setup with the parallel solver and other useful programs. Package: code-aster-dev Source: aster Version: 10.6.0-1-4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 104864 Depends: code-aster-engine Homepage: http://www.code-aster.org/ Priority: extra Section: devel Filename: pool/main/a/aster/code-aster-dev_10.6.0-1-4_armhf.deb Size: 30191262 SHA256: 0858eff39caed576d9ad5498f534fe5a0ec15854114736e19bd32d092a816221 SHA1: 34bcadd8ddfd9a3fe20e32f88c659970c551d9d3 MD5sum: 692e8a998150188c23e756e29d18ea28 Description: Code_Aster finite element program - development files for sequantial version Code_Aster is a finite element solver for partial differential equations. It is particularly suited to problems involving heat transfer, mechanics, fluids, and fluid-structure interactions. It is the product of Electricité de France (EDF) and is ISO 9001-certified. . This package contains development information. Package: code-aster-engine Source: aster Version: 10.6.0-1-4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 69865 Depends: libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libgomp1 (>= 4.2.1), libhdf5-openmpi-7 (>= 1.8.7), liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libmed1 (>= 3.0.3), libmumps-seq-4.10.0, libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libscotch-5.1, libstdc++6 (>= 4.1.1), zlib1g (>= 1:1.1.4), omniidl Suggests: eficas, gmsh, grace, metis-edf Homepage: http://www.code-aster.org/ Priority: extra Section: science Filename: pool/main/a/aster/code-aster-engine_10.6.0-1-4_armhf.deb Size: 22555590 SHA256: dae8ce72d13521c4caf0583039b5ab79dd908ebdddfaee12dfa41b31d36d605c SHA1: 95ddc73540a8524714cf21fa1ea185563e719298 MD5sum: d0aec08a6fd2389ea9d8c26238d08fa7 Description: Code_Aster finite element program - sequential binary Code_Aster is a finite element solver for partial differential equations. It is particularly suited to problems involving heat transfer, mechanics, fluids, and fluid-structure interactions. It is the product of Electricité de France (EDF) and is ISO 9001-certified. . This package contains the sequential solver. Package: code-aster-engine-dbg Source: aster Version: 10.6.0-1-4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 218964 Depends: code-aster-engine (= 10.6.0-1-4), libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libgomp1 (>= 4.2.1), libhdf5-openmpi-7 (>= 1.8.7), liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libmed1 (>= 3.0.3), libmumps-seq-4.10.0, libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libscotch-5.1, libstdc++6 (>= 4.1.1), zlib1g (>= 1:1.1.4) Suggests: eficas, gmsh, grace Homepage: http://www.code-aster.org/ Priority: extra Section: debug Filename: pool/main/a/aster/code-aster-engine-dbg_10.6.0-1-4_armhf.deb Size: 69143934 SHA256: 88246d4f3d4b53891aaf6a001c5757c9fb2dd650005dd48c64fb89bf082c3e7f SHA1: 46cc4aa6b75944387326ae69eb2e8c77f3923857 MD5sum: 08dd393892ec161c79153d76c95d3e38 Description: Code_Aster finite element program - sequantial binary with debugging symbols Code_Aster is a finite element solver for partial differential equations. It is particularly suited to problems involving heat transfer, mechanics, fluids, and fluid-structure interactions. It is the product of Electricité de France (EDF) and is ISO 9001-certified. . This package contains debugging information. Package: code-aster-gui Source: astk Version: 1.8.4-5 Installed-Size: 1545 Maintainer: Debian Science Team Architecture: all Replaces: astk, astk-server Depends: tk8.5 | wish, tcl8.5 | tclsh, debconf (>= 1.5.30), dpkg-dev Recommends: code-aster-run Suggests: eficas, gmsh, grace, nedit, ddd Conflicts: astk, astk-server Size: 368406 SHA256: 4ae1d1cc517eba50634d6159afe120eee0a1d301840bab21f27167d3b76c1182 SHA1: 34d07647661c98f21312bbc13753a22b19e23c98 MD5sum: 98510f585e6109b230144846fd7e1703 Description: Graphical user interface for Code_Aster - client Code_Aster is the finite element PDE solver used by the French nuclear industry. code-aster-gui provides a Tcl front end to its Python build and control systems. . This package contains the code-aster-gui client, which needs to connect to a locally or remotely installed server (in the package "code-aster-run"). Homepage: http://www.code-aster.org/ Section: science Priority: extra Filename: pool/main/a/astk/code-aster-gui_1.8.4-5_all.deb Package: code-aster-mpi-engine Source: aster Version: 10.6.0-1-4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 71111 Depends: libblacs-mpi1, libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libhdf5-openmpi-7 (>= 1.8.7), liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libmed1 (>= 3.0.3), libmumps-ptscotch-4.10.0, libopenmpi1.3, libptscotch-5.1, libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libscalapack-mpi1 (>= 1.8.0), libscotch-5.1, libstdc++6 (>= 4.1.1), zlib1g (>= 1:1.1.4), omniidl Suggests: eficas, gmsh, grace, metis-edf Homepage: http://www.code-aster.org/ Priority: extra Section: science Filename: pool/main/a/aster/code-aster-mpi-engine_10.6.0-1-4_armhf.deb Size: 23292852 SHA256: 4232469b6ab7ed762576f17a40101877710b4b595a015d4ac390012d7f86eae3 SHA1: d99804a2735d6d806b79a01ac6954504559bd046 MD5sum: 12d70327682d774ddfcd90daf43e286f Description: Code_Aster finite element program - parallel binary Code_Aster is a finite element solver for partial differential equations. It is particularly suited to problems involving heat transfer, mechanics, fluids, and fluid-structure interactions. It is the product of Electricité de France (EDF) and is ISO 9001-certified. . This package contains the parallel MPI solver. Package: code-aster-mpi-engine-dbg Source: aster Version: 10.6.0-1-4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1684 Depends: code-aster-mpi-engine (= 10.6.0-1-4) Suggests: eficas, gmsh, grace Homepage: http://www.code-aster.org/ Priority: extra Section: debug Filename: pool/main/a/aster/code-aster-mpi-engine-dbg_10.6.0-1-4_armhf.deb Size: 499274 SHA256: 4536190336a39ce5d6a28b8ea246b51d2d9ebbabed5aa2137209b6c71246665d SHA1: bbd260541461c8ecc9871e9a2dd885c6d0e643fc MD5sum: d58069e10cd5d591a2c42f736dde0dd5 Description: Code_Aster finite element program - parallel binary with debugging symbols Code_Aster is a finite element solver for partial differential equations. It is particularly suited to problems involving heat transfer, mechanics, fluids, and fluid-structure interactions. It is the product of Electricité de France (EDF) and is ISO 9001-certified. . This package contains debugging information for MPI aster version. Package: code-aster-mpi-engine-dev Source: aster Version: 10.6.0-1-4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 241058 Depends: code-aster-mpi-engine Homepage: http://www.code-aster.org/ Priority: extra Section: devel Filename: pool/main/a/aster/code-aster-mpi-engine-dev_10.6.0-1-4_armhf.deb Size: 72026364 SHA256: fbb91f0635fa4baba2a6d12a962cee6163d9f0337102f70cf572dbda33bff6e1 SHA1: 1d2499a802f7570a089ec16acb4b6da0eb51d348 MD5sum: 3043c45457326e36b2278d356bd54e75 Description: Code_Aster finite element program - development files for parallel version Code_Aster is a finite element solver for partial differential equations. It is particularly suited to problems involving heat transfer, mechanics, fluids, and fluid-structure interactions. It is the product of Electricité de France (EDF) and is ISO 9001-certified. . This package contains files needed for aster MPI development. Package: code-aster-run Source: astk Version: 1.8.4-5 Installed-Size: 1005 Maintainer: Debian Science Team Architecture: all Replaces: astk, astk-server Depends: python (>= 2.5), python-support (>= 0.90.0), python-numpy, debconf (>= 1.5.30) Suggests: emacs, gdb, ddd Conflicts: astk, astk-server Size: 229646 SHA256: a376c45240a0a08b94bd353a5d0bfc2c1dfa51bb3b7d5f67c3889d8dbbe4dd07 SHA1: 00f6f0a59304dc25ce70ddec2640d5f7a1460ce5 MD5sum: 7a259c43bb963dc9c47dc7d5cd4b76ef Description: Graphical user interface for Code_Aster - server Code_Aster is the finite element PDE solver used by the French nuclear industry. code-aster-run provides a Tcl front end to its Python build and control systems. . This package contains the code-aster-run server, which runs Aster code. Homepage: http://www.code-aster.org/ Section: science Priority: extra Filename: pool/main/a/astk/code-aster-run_1.8.4-5_all.deb Package: code-aster-test Source: aster Version: 10.6.0-1-4 Installed-Size: 474142 Maintainer: Debian Science Team Architecture: all Depends: code-aster-engine | code-aster-mpi-engine Size: 91810530 SHA256: 65d88614f6ed6cf7d909e25f9ed4f274c2cc3534b2b692a5828692845de0a23b SHA1: 00053717c93595116fb13f6a893b060725a8b942 MD5sum: e2691f76e2caf8f923742b949f9734c8 Description: Code_Aster finite element program - test files and examples Code_Aster is a finite element solver for partial differential equations. It is particularly suited to problems involving heat transfer, mechanics, fluids, and fluid-structure interactions. It is the product of Electricité de France (EDF) and is ISO 9001-certified. . This package contains the test files shipped in the directory astest. Homepage: http://www.code-aster.org/ Section: science Priority: extra Filename: pool/main/a/aster/code-aster-test_10.6.0-1-4_all.deb Package: code-saturne Version: 2.1.7-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 136 Depends: code-saturne-bin (= 2.1.7-1), code-saturne-data (= 2.1.7-1), code-saturne-include (= 2.1.7-1), python-qt4 Recommends: syrthes, bash-completion, paraview, pdf-viewer, code-saturne-doc Homepage: http://www.code-saturne.org/ Priority: optional Section: science Filename: pool/main/c/code-saturne/code-saturne_2.1.7-1_armhf.deb Size: 113880 SHA256: 6afd7d5ea91054c87d214bc4b335a69aad25acfc88d70b5fad0b9cbba2a8ed9b SHA1: 11de37fabce32b21a093627568f4ed0811c4f125 MD5sum: fb5d98ccfae94ddd3324ec061b333045 Description: General purpose Computational Fluid Dynamics (CFD) software The basic capabilities of Code_Saturne enable the handling of either incompressible or expandxable flows with or without heat transfer and turbulence. Dedicated modules are available for specific physics such as radiative heat transfer, combustion (gas, coal, heavy fuel oil, ...), magneto-hydrodynamics, compressible flows, two-phase flows (Euler-Lagrange approach with two-way coupling), extensions to specific applications (e.g. Mercure_Saturne for atmospheric environment). . It runs in parallel with MPI on distributed memory machines. Developed since 1997 at EDF R&D, it is based on a co-located Finite Volume approach that accepts meshes with any type of cell (tetrahedral, hexahedral, prismatic, pyramidal, polyhedral...) and any type of grid structure (unstructured, block structured, hybrid, conforming or with hanging nodes, ...). Package: code-saturne-bin Source: code-saturne Version: 2.1.7-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 5623 Depends: libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libcgns3.1, libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), libhdf5-openmpi-7 (>= 1.8.7), libmedc1 (>= 3.0.3), libopenmpi1.3, libscotch-5.1, libxml2 (>= 2.6.27), zlib1g (>= 1:1.2.3.3), python, libxml2-dev, libblas-dev Recommends: code-saturne Conflicts: libbft-dev, libbft1, libfvm-dev, libfvm0, libmei-dev, libmei0 Replaces: libbft-dev, libbft1, libfvm-dev, libfvm0, libmei-dev, libmei0 Homepage: http://www.code-saturne.org/ Priority: optional Section: science Filename: pool/main/c/code-saturne/code-saturne-bin_2.1.7-1_armhf.deb Size: 2309106 SHA256: a2d2d4f09ad68896ce38eeee3ce5538acf57620bcf14a8ffa4df0c1f346aabd2 SHA1: f577b791b61fe8d2a846a71bc3b31a9c120f2f43 MD5sum: 59bb84fbc71cacdf98d000e4cc9d5ff7 Description: General purpose Computational Fluid Dynamics (CFD) software - binaries The basic capabilities of Code_Saturne enable the handling of either incompressible or expandable flows with or without heat transfer and turbulence. Dedicated modules are available for specific physics such as radiative heat transfer, combustion (gas, coal, heavy fuel oil, ...), magneto-hydrodynamics, compressible flows, two-phase flows (Euler-Lagrange approach with two-way coupling), extensions to specific applications (e.g. Mercure_Saturne for atmospheric environment). . It runs in parallel with MPI on distributed memory machines. Developed since 1997 at EDF R&D, it is based on a co-located Finite Volume approach that accepts meshes with any type of cell (tetrahedral, hexahedral, prismatic, pyramidal, polyhedral...) and any type of grid structure (unstructured, block structured, hybrid, conforming or with hanging nodes, ...). . This package contains the binary files. Package: code-saturne-data Source: code-saturne Version: 2.1.7-1 Installed-Size: 5681 Maintainer: Debian Science Team Architecture: all Replaces: python-mei Depends: python Recommends: code-saturne Conflicts: python-mei Size: 1093682 SHA256: 9f612713af0a5417ea266c7263da99841a4ed6727691a3f414dab31ae18b2fe7 SHA1: 74bdd275726aff49a184db51c51580bd596ae671 MD5sum: 06ed89dcee5c7ef144b1c4a1148b3768 Description: General purpose Computational Fluid Dynamics (CFD) software - data The basic capabilities of Code_Saturne enable the handling of either incompressible or expandable flows with or without heat transfer and turbulence. Dedicated modules are available for specific physics such as radiative heat transfer, combustion (gas, coal, heavy fuel oil, ...), magneto-hydrodynamics, compressible flows, two-phase flows (Euler-Lagrange approach with two-way coupling), extensions to specific applications (e.g. Mercure_Saturne for atmospheric environment). . It runs in parallel with MPI on distributed memory machines. Developed since 1997 at EDF R&D, it is based on a co-located Finite Volume approach that accepts meshes with any type of cell (tetrahedral, hexahedral, prismatic, pyramidal, polyhedral...) and any type of grid structure (unstructured, block structured, hybrid, conforming or with hanging nodes, ...). . This package contains the data. Homepage: http://www.code-saturne.org/ Tag: role::app-data Section: science Priority: optional Filename: pool/main/c/code-saturne/code-saturne-data_2.1.7-1_all.deb Package: code-saturne-doc Source: code-saturne Version: 2.1.7-1 Installed-Size: 14481 Maintainer: Debian Science Team Architecture: all Size: 12618638 SHA256: 336a75b436eb62390da07fa9662468e9fb7dc08dbbf55bc54ef72c4f1a377fa2 SHA1: a73923164ea8948d3a54717e646307bf1d7224aa MD5sum: 794a695fd5b68c94ec00f5172362c933 Description: General purpose Computational Fluid Dynamics (CFD) software - Documentation The basic capabilities of Code_Saturne enable the handling of either incompressible or expandable flows with or without heat transfer and turbulence. Dedicated modules are available for specific physics such as radiative heat transfer, combustion (gas, coal, heavy fuel oil, ...), magneto-hydrodynamics, compressible flows, two-phase flows (Euler-Lagrange approach with two-way coupling), extensions to specific applications (e.g. Mercure_Saturne for atmospheric environment). . It runs in parallel with MPI on distributed memory machines. Developed since 1997 at EDF R&D, it is based on a co-located Finite Volume approach that accepts meshes with any type of cell (tetrahedral, hexahedral, prismatic, pyramidal, polyhedral...) and any type of grid structure (unstructured, block structured, hybrid, conforming or with hanging nodes, ...). . This package contains the documentation. Homepage: http://www.code-saturne.org/ Tag: made-of::pdf, role::documentation Section: science Priority: optional Filename: pool/main/c/code-saturne/code-saturne-doc_2.1.7-1_all.deb Package: code-saturne-include Source: code-saturne Version: 2.1.7-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1825 Depends: libcgns-dev, libmedc-dev, libhdf5-dev, zlib1g-dev, mpi-default-dev, libxml2-dev Homepage: http://www.code-saturne.org/ Priority: optional Section: science Filename: pool/main/c/code-saturne/code-saturne-include_2.1.7-1_armhf.deb Size: 348558 SHA256: 5ea28c477626eb226bdf8bb4c89917f6ede0effaf6925347cb6085a4eb757bfd SHA1: c93ba3d64c67c75c00da74004b4467e09ee9ffcf MD5sum: 16e2dbdfc3488673eba20408a2af01c9 Description: General purpose Computational Fluid Dynamics (CFD) software - includes The basic capabilities of Code_Saturne enable the handling of either incompressible or expandable flows with or without heat transfer and turbulence. Dedicated modules are available for specific physics such as radiative heat transfer, combustion (gas, coal, heavy fuel oil, ...), magneto-hydrodynamics, compressible flows, two-phase flows (Euler-Lagrange approach with two-way coupling), extensions to specific applications (e.g. Mercure_Saturne for atmospheric environment). . It runs in parallel with MPI on distributed memory machines. Developed since 1997 at EDF R&D, it is based on a co-located Finite Volume approach that accepts meshes with any type of cell (tetrahedral, hexahedral, prismatic, pyramidal, polyhedral...) and any type of grid structure (unstructured, block structured, hybrid, conforming or with hanging nodes, ...). . This package contains the include files. Package: code2html Version: 0.9.1-4 Installed-Size: 220 Maintainer: Peter Palfrader Architecture: all Size: 42838 SHA256: d7787fc928b7690c6f0af80dca60216281f927ff44ada30cbd96e67b9bfebf17 SHA1: a84f2af88a0d3b36afb900141b02c5f597a9ac53 MD5sum: d70e1a0db96afb1dcfc1c66e21ed6909 Description: Syntax highlighter Code2html is a perl script which converts a program source code to syntax highlighted HTML, or any other target for which rules are defined. . It may be used as a simple console program, converting a single source code file to a single output file, it can patch HTML files including special command sequences to insert syntax highlighted snippets of code, or it can be used as a CGI script. Tag: devel::prettyprint, implemented-in::perl, interface::commandline, interface::web, role::program, scope::utility, use::printing, web::cgi, works-with-format::html, works-with::software:source, works-with::text Section: devel Priority: optional Filename: pool/main/c/code2html/code2html_0.9.1-4_all.deb Package: codeblocks Version: 10.05-2.1 Architecture: armhf Maintainer: David Paleino Installed-Size: 4178 Depends: libc6 (>= 2.13-28), libcodeblocks0, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), codeblocks-common (= 10.05-2.1) Recommends: gcc | g++, gdb, xterm Suggests: libwxgtk2.8-dev, wx-common, codeblocks-contrib Homepage: http://codeblocks.org Priority: optional Section: devel Filename: pool/main/c/codeblocks/codeblocks_10.05-2.1_armhf.deb Size: 1744278 SHA256: 39aa73dfa96cc7a300425d51a39d456309faa8af57c97d9391ed798ceb5ed880 SHA1: b735e95b5b75d43f6acb0905ca5afcfcde19a620 MD5sum: bff2700afb50fc45b0d1accdf4856c87 Description: Code::Blocks integrated development environment (IDE) Code::Blocks is a cross-platform Integrated Development Environment (IDE). It is based on a self-developed plugin framework allowing unlimited extensibility. Most of its functionality is already provided by plugins. Plugins included in the base package are: * Compiler frontend to many free compilers * Debugger frontend for GDB (and CDB for windows platforms) * Source formatter (based on AStyle) * Wizard to create new C++ classes * Code-completion / symbols-browser (work in progress) * Default MIME handler * Wizard to create new Code::Blocks plugins * To-do list * Extensible wizard based on scripts * Autosave (saves your work in the unfortunate case of a crash) Package: codeblocks-common Source: codeblocks Version: 10.05-2.1 Installed-Size: 5221 Maintainer: David Paleino Architecture: all Replaces: codeblocks (<< 10.05) Breaks: codeblocks (<< 10.05) Size: 2943022 SHA256: d92df99ccbeb5976652058f0877ef3cbe37c1d188c0ad998ad0beb454855646b SHA1: 2cd893b1c2a0d0b123286090b3d84ad446120b34 MD5sum: c5b3fe8e916b5569827f88ced31a8966 Description: common files for Code::Blocks IDE Code::Blocks is a cross-platform Integrated Development Environment (IDE). It is based on a self-developed plugin framework allowing unlimited extensibility. Most of its functionality is already provided by plugins. . This package contains the architecture-independent files of Code::Blocks. Homepage: http://codeblocks.org Tag: role::app-data Section: x11 Priority: optional Filename: pool/main/c/codeblocks/codeblocks-common_10.05-2.1_all.deb Package: codeblocks-contrib Source: codeblocks Version: 10.05-2.1 Architecture: armhf Maintainer: David Paleino Installed-Size: 8471 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcodeblocks0, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libx11-6, codeblocks (= 10.05-2.1), libwxsmithlib0 (= 10.05-2.1) Recommends: valgrind Homepage: http://codeblocks.org Priority: optional Section: x11 Filename: pool/main/c/codeblocks/codeblocks-contrib_10.05-2.1_armhf.deb Size: 3402020 SHA256: 96f71b3814ea27dbfc23494949af1c909f523b934f7afda8cb9dfe6034fdd694 SHA1: 98d4dfcef5fe1c0b6e453e8087571ed1fc01720b MD5sum: 4790991b8bd3b0c75e686f4c67a57570 Description: contrib plugins for Code::Blocks IDE This package extends Code::Blocks functionality a great deal with the included plugins. These are: * Code profiler (based on gprof) and code statistics (SLOCs etc) * Copy strings * DragScroll (enhances mouse operations) * Setting of environment variables * Source exporter to PDF/HTML/ODT/RTF * Header fixup * Help * Keyboard shortcuts configuration * RAD gui-builder for wxWidgets (wxSmith) * wxSmith AUI and wxSmith contrib items * Selection of small games for relaxing between coding sessions! (BYOGames) * Autoversioning * ThreadSearch * BrowseTracker * Valgrind * HexEditor * IncrementalSearch * Codesnippets * Library finder * Regular expression testbed * Symbol table plugin * CB koders * MouseSap * Cccc * CppCheck Package: codeblocks-contrib-dbg Source: codeblocks Version: 10.05-2.1 Architecture: armhf Maintainer: David Paleino Installed-Size: 3015 Depends: codeblocks-contrib (= 10.05-2.1), codeblocks-dbg (= 10.05-2.1) Homepage: http://codeblocks.org Priority: extra Section: debug Filename: pool/main/c/codeblocks/codeblocks-contrib-dbg_10.05-2.1_armhf.deb Size: 956490 SHA256: 8f3f7f14c993646317b904bcbbe310e9097eeeeaf33e59d96fa40536ebf2d17e SHA1: 1b5e5587f25fb30d1ebc59e17c832979b394cb34 MD5sum: cc4f7327fda7734d3f5a45e822a63d1c Description: Debugging libraries for the Code::Blocks contrib plugins This package extends Code::Blocks functionality a great deal with the included plugins. These are: * Code profiler (based on gprof) and code statistics (SLOCs etc) * Copy strings * DragScroll (enhances mouse operations) * Setting of environment variables * Source exporter to PDF/HTML/ODT/RTF * Header fixup * Help * Keyboard shortcuts configuration * RAD gui-builder for wxWidgets (wxSmith) * wxSmith AUI and wxSmith contrib items * Selection of small games for relaxing between coding sessions! (BYOGames) * Autoversioning * ThreadSearch * BrowseTracker * Valgrind * HexEditor * IncrementalSearch * Codesnippets * Library finder * Regular expression testbed * Symbol table plugin * CB koders * MouseSap * Cccc * CppCheck . This package contains the debugging libraries for 'codeblocks-contrib' and 'libwxsmithlib0' packages. Package: codeblocks-dbg Source: codeblocks Version: 10.05-2.1 Architecture: armhf Maintainer: David Paleino Installed-Size: 2087 Depends: codeblocks (= 10.05-2.1) Homepage: http://codeblocks.org Priority: extra Section: debug Filename: pool/main/c/codeblocks/codeblocks-dbg_10.05-2.1_armhf.deb Size: 743246 SHA256: 80ded0d2cafd58cb4f7323fa6453c32695562e17e3be600014965edc46cad832 SHA1: 674f864d68027714c54381ee9ea09ea16bbc8583 MD5sum: 3e430b936d49b8291b51fcbeb0c4e08e Description: Code::Blocks debugging libraries Code::Blocks is a cross-platform Integrated Development Environment (IDE). It is based on a self-developed plugin framework allowing unlimited extensibility. Most of its functionality is already provided by plugins. Plugins included in the base package are: * Compiler frontend to many free compilers * Debugger frontend for GDB (and CDB for windows platforms) * Source formatter (based on AStyle) * Wizard to create new C++ classes * Code-completion / symbols-browser (work in progress) * Default MIME handler * Wizard to create new Code::Blocks plugins * To-do list * Extensible wizard based on scripts * Autosave (saves your work in the unfortunate case of a crash) . This package contains the debugging libraries for the 'codeblocks' package. Package: codeblocks-dev Source: codeblocks Version: 10.05-2.1 Architecture: armhf Maintainer: David Paleino Installed-Size: 1237 Depends: libcodeblocks0 (= 10.05-2.1) Homepage: http://codeblocks.org Priority: optional Section: libdevel Filename: pool/main/c/codeblocks/codeblocks-dev_10.05-2.1_armhf.deb Size: 456860 SHA256: 940f8fab15fed3a361e8a04a97699b23f58c25046154c0e8272889526b22db6f SHA1: 54dde09f400771e86169f9f1298074a6b18bfdde MD5sum: 7d45c019c502f9afbff22c1287ff9069 Description: Code::Blocks development files (SDK) Code::Blocks is a cross-platform Integrated Development Environment (IDE). It is based on a self-developed plugin framework allowing unlimited extensibility. Most of its functionality is already provided by plugins. Plugins included in the base package are: * Compiler frontend to many free compilers * Debugger frontend for GDB (and CDB for windows platforms) * Source formatter (based on AStyle) * Wizard to create new C++ classes * Code-completion / symbols-browser (work in progress) * Default MIME handler * Wizard to create new Code::Blocks plugins * To-do list * Extensible wizard based on scripts * Autosave (saves your work in the unfortunate case of a crash) . This package contains the development files (headers and libraries) for creating Code::Blocks plugins. Package: codecgraph Version: 20120114-1 Installed-Size: 221 Maintainer: Keng-Yu Lin Architecture: all Depends: python, python-support (>= 0.90.0), graphviz Size: 163612 SHA256: 5690e0d6587eeec471045ea8ba0d5b35137c77a53bd51cdcd65bc4fce3f8ab10 SHA1: d9a7e3391b0f275f1021f135b8abbd378d1e99de MD5sum: 548d233eaf17ac9d823ae858c4c606ea Description: Generates graphviz graphs from HDA-Intel codec information Codecgraph is a tool to generate a graph based on the ALSA description of a High Definition Audio codec. The generated graph depicts the HDA codec layout and node connections, helping driver troubleshooting and maintenance. Codecgraph's parser reads the codec description from /proc/asound/card*/codec#0 and parsed data is sent to Graphviz for actual graph generation. Homepage: http://helllabs.org/codecgraph/ Tag: implemented-in::python, role::program, use::analysing Section: sound Priority: extra Filename: pool/main/c/codecgraph/codecgraph_20120114-1_all.deb Package: codegroup Version: 19981025-6 Architecture: armhf Maintainer: Vince Mulhollon Installed-Size: 95 Depends: libc6 (>= 2.4) Homepage: http://www.fourmilab.ch/codegroup/ Priority: optional Section: text Filename: pool/main/c/codegroup/codegroup_19981025-6_armhf.deb Size: 50334 SHA256: b7dcca91016b6b4da61701fd71a5d285990b55606e521c391d14ac3ad00f286f SHA1: e2aa968df4dc192ca8ec653cfac43b3263fda69e MD5sum: f8c4a8873e0c76f9fe762f94eea7ea15 Description: Convert any file, including binary, into 5 letter code Codegroup converts any file, of any format including raw binary, into a set of five letter uppercase codegroups. The codegroup format includes a 16 bit CRC and file length to verify message integrity. Codegroup does NO CRYPTO. It's purely a file format converter much like base64 or uuencoding. Unlike other traditional file encoding algorithms such as base64 or uuencoding, codegroup exclusively uses the 26 letter alphabet. Codegroup is ideal for transferring short binary files over a voice or morse code channel. Package: coderay Version: 1.0.6-2 Installed-Size: 50 Maintainer: Joshua Timberman Architecture: all Depends: ruby | ruby-interpreter, ruby-coderay Size: 10370 SHA256: d455954193f5330e0a1116067aaf2eb624cf00a282c90442d85a73ab2f08b9f0 SHA1: 8d8963344f5177785b0787b98dfed8867854230e MD5sum: d60b2d38bd87af76e249f5a2d243ceb2 Description: Helper programs for CodeRay Ruby lib for syntax highlighting CodeRay is a Ruby library that can scan an input file or text in a web page and encode it as syntax highlighted HTML output. . CodeRay supports many input languages including: C, C++, CSS, Delphi, diff, Groovy, HTML, RHTML (Erb+HTML), Nitro-XHTML, Java, JavaScript, JSON, PHP, Python, Ruby, SQL and YAML. . CodeRay can output to HTML, JSON, XML, Yaml. . The coderay binary scans a file and outputs in HTML. Homepage: http://coderay.rubychan.de Section: ruby Priority: extra Filename: pool/main/c/coderay/coderay_1.0.6-2_all.deb Package: codeville Version: 0.8.0-2 Installed-Size: 744 Maintainer: Michael Janssen Architecture: all Depends: python (>= 2.3), python-support (>= 0.90.0) Recommends: python-psyco Size: 135312 SHA256: 92fcdf93b9174e24523fd862c6d05d870dfe1fbb1eb3af8f3317b1cf3c3d4d49 SHA1: 5f4e7403a77194145c44fc431486a2880db4b8d5 MD5sum: a68d8a5f4d5f4ab8e86f4b1c2e96dd2b Description: a distributed version control system Codeville is a python-based distributed version control system that began with a novel idea for a merge algorithm and has grown from there. It is designed to be easy to use and scale from small personal projects to very large distributed ones. Python-Version: 2.5, 2.6 Tag: devel::rcs, implemented-in::python, role::program Section: vcs Priority: optional Filename: pool/main/c/codeville/codeville_0.8.0-2_all.deb Package: codfis Version: 0.4.7-2 Architecture: armhf Maintainer: David Paleino Installed-Size: 691 Depends: libc6 (>= 2.13-28), libfltk1.3 (>= 1.3.0), libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxpm4 Homepage: http://www.digitazero.org/?p=16 Priority: extra Section: utils Filename: pool/main/c/codfis/codfis_0.4.7-2_armhf.deb Size: 397748 SHA256: 0545fd39a932f0d205e3d590fb1850e11c5c976cd4dc16f807f942f372625050 SHA1: e72e63975e8f0a3917ed40dade99980002cf8dbe MD5sum: ba54cfedecaf863b6072049cb4597c7e Description: tool to generate Italian fiscal codes (codice fiscale) CodFis is a tool to generate Italian fiscal codes (codice fiscale) given name, surname, gender, date and place of birth. . Note that the official fiscal codes are only those assigned by Agenzia delle Entrate (which may be different from those generated by this tool in some special cases). Package: coinor-csdp Version: 6.1.1-1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 206 Depends: libatlas3gf-base, libc6 (>= 2.13-28), libgomp1 (>= 4.2.1) Homepage: https://projects.coin-or.org/Csdp Priority: extra Section: science Filename: pool/main/c/coinor-csdp/coinor-csdp_6.1.1-1_armhf.deb Size: 86214 SHA256: f3c64117671d756e09e9131d63b1745ad75236a02c25d88a379894e5ab4a4fba SHA1: 0b3ef9479f82e2eb1682b236e1bdfc964fa640bd MD5sum: 99df7e60d3d5a500bef35d4d1824340b Description: A software package for semidefinite programming CSDP is a library of routines that implements a predictor corrector variant of the semidefinite programming algorithm of Helmberg, Rendl, Vanderbei, and Wolkowicz. The code runs in parallel on shared memory multi-processor systems, and it makes effective use of sparsity in the constraint matrices. . CSDP is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . This package contains the binaries. Package: coinor-csdp-dbg Source: coinor-csdp Version: 6.1.1-1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 92 Depends: coinor-csdp (= 6.1.1-1) Homepage: https://projects.coin-or.org/Csdp Priority: extra Section: debug Filename: pool/main/c/coinor-csdp/coinor-csdp-dbg_6.1.1-1_armhf.deb Size: 16940 SHA256: 306e3b98e24c76bf21c15879de61da3938eaa3eb4b62dd60e62a27fceafb4b65 SHA1: 605e016c6f74274ae753f44fb63993184ea76419 MD5sum: 1ac2c95999d12b60eb37d36940391f8d Description: A software package for semidefinite programming CSDP is a library of routines that implements a predictor corrector variant of the semidefinite programming algorithm of Helmberg, Rendl, Vanderbei, and Wolkowicz. The code runs in parallel on shared memory multi-processor systems, and it makes effective use of sparsity in the constraint matrices. . CSDP is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . This package contains the debug symbols. Package: coinor-csdp-doc Source: coinor-csdp Version: 6.1.1-1 Installed-Size: 392 Maintainer: Soeren Sonnenburg Architecture: all Recommends: coinor-csdp Size: 325860 SHA256: 6f7561f59d80a478d1c498e12814fe79d02ef4fd30e701f403e5bc96bd066514 SHA1: 5d642a3e7c0687a1893a226af3ab25bd3d6d5b03 MD5sum: b04c9d59adf111546eafe3b95d77494a Description: A software package for semidefinite programming CSDP is a library of routines that implements a predictor corrector variant of the semidefinite programming algorithm of Helmberg, Rendl, Vanderbei, and Wolkowicz. The code runs in parallel on shared memory multi-processor systems, and it makes effective use of sparsity in the constraint matrices. . CSDP is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . This package contains the documentation and examples. Homepage: https://projects.coin-or.org/Csdp Tag: made-of::pdf, role::documentation Section: doc Priority: extra Filename: pool/main/c/coinor-csdp/coinor-csdp-doc_6.1.1-1_all.deb Package: coinor-libcbc-dev Source: coinor-cbc Version: 2.5.0-3 Architecture: armhf Maintainer: Etienne Millon Installed-Size: 3152 Depends: coinor-libcbc0 (= 2.5.0-3) Homepage: https://projects.coin-or.org/Cbc Priority: extra Section: libdevel Filename: pool/main/c/coinor-cbc/coinor-libcbc-dev_2.5.0-3_armhf.deb Size: 1010892 SHA256: 7b349577606fdd26c1e4a5bae694e1dfe980d878afdb8110f5826a08723d28e9 SHA1: c178755a2a699f89f56112883ec469723c9838e3 MD5sum: 3f8b535c2dd654bd5404e9d53d8ee14a Description: Coin-or branch-and-cut mixed integer programming solver - development files Cbc (Coin-or branch and cut) is an open-source mixed integer programming solver written in C++. It is primarily meant to be used as a callable library, but a basic, stand-alone executable version is also available. . Mixed integer programming (MIP) is a generalization of linear programming (LP) and allows one to find the minimum solution of objective functions depending linearly on variables, which are linearly constrained and additionally may have integrality constraints. . Cbc is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research) and depends on the COIN-OR Clp linear programming solver for solving subproblems. . Cbc works well as independent solver (reading files in the MPS format) and as a solver backend for AMPL. . This package contains the header files for developers. Package: coinor-libcbc-doc Source: coinor-cbc Version: 2.5.0-3 Installed-Size: 22646 Maintainer: Etienne Millon Architecture: all Recommends: coinor-libcbc-dev Size: 2736358 SHA256: 97b5dff3ad32cf704ed8e688d6cd09f64a6b0227ba0454a56f02b43315a39da1 SHA1: e391dc6bff169d295986659c3d19951c4bd3a0e8 MD5sum: 5eafe11e7be9a94d36015a481002a44d Description: Coin-or branch-and-cut mixed integer programming solver - documentation Cbc (Coin-or branch and cut) is an open-source mixed integer programming solver written in C++. It is primarily meant to be used as a callable library, but a basic, stand-alone executable version is also available. . Mixed integer programming (MIP) is a generalization of linear programming (LP) and allows one to find the minimum solution of objective functions depending linearly on variables, which are linearly constrained and additionally may have integrality constraints. . Cbc is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research) and depends on the COIN-OR Clp linear programming solver for solving subproblems. . Cbc works well as independent solver (reading files in the MPS format) and as a solver backend for AMPL. . This package contains the documentation and examples. Homepage: https://projects.coin-or.org/Cbc Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/c/coinor-cbc/coinor-libcbc-doc_2.5.0-3_all.deb Package: coinor-libcbc0 Source: coinor-cbc Version: 2.5.0-3 Architecture: armhf Maintainer: Etienne Millon Installed-Size: 1597 Depends: coinor-libcgl0, coinor-libclp0, coinor-libcoinutils0, coinor-libosi0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: https://projects.coin-or.org/Cbc Priority: extra Section: libs Filename: pool/main/c/coinor-cbc/coinor-libcbc0_2.5.0-3_armhf.deb Size: 687774 SHA256: 56413be20fdbfdd56bae0310a0f92aaec678dc9d2db36032a7540d0db9e02a30 SHA1: ce1c994b79026295f4d061e3f936dcaa78256756 MD5sum: 89ef06003e751c1624395557d3ed3fdc Description: Coin-or branch-and-cut mixed integer programming solver Cbc (Coin-or branch and cut) is an open-source mixed integer programming solver written in C++. It is primarily meant to be used as a callable library, but a basic, stand-alone executable version is also available. . Mixed integer programming (MIP) is a generalization of linear programming (LP) and allows one to find the minimum solution of objective functions depending linearly on variables, which are linearly constrained and additionally may have integrality constraints. . Cbc is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research) and depends on the COIN-OR Clp linear programming solver for solving subproblems. . Cbc works well as independent solver (reading files in the MPS format) and as a solver backend for AMPL. . This package contains the binaries and libraries. Package: coinor-libcbc0-dbg Source: coinor-cbc Version: 2.5.0-3 Architecture: armhf Maintainer: Etienne Millon Installed-Size: 9366 Depends: coinor-libcbc0 (= 2.5.0-3) Homepage: https://projects.coin-or.org/Cbc Priority: extra Section: debug Filename: pool/main/c/coinor-cbc/coinor-libcbc0-dbg_2.5.0-3_armhf.deb Size: 3799812 SHA256: 5dace50eb008f3ab0bdcd710eee7807f4bf94e0c33d003abff1ef624ebea3f9a SHA1: ad7e018e83bfd4d12a3db420bec1ec76c48c4d4a MD5sum: b2cf40f5dcd7049c848862a03bdc67fa Description: Coin-or branch-and-cut mixed integer programming solver - debugging symbols Cbc (Coin-or branch and cut) is an open-source mixed integer programming solver written in C++. It is primarily meant to be used as a callable library, but a basic, stand-alone executable version is also available. . Mixed integer programming (MIP) is a generalization of linear programming (LP) and allows one to find the minimum solution of objective functions depending linearly on variables, which are linearly constrained and additionally may have integrality constraints. . Cbc is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research) and depends on the COIN-OR Clp linear programming solver for solving subproblems. . Cbc works well as independent solver (reading files in the MPS format) and as a solver backend for AMPL. . This package contains the debug symbols. Package: coinor-libcgl-dev Source: coinor-cgl Version: 0.55.0-1.1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 1725 Depends: coinor-libcgl0 (= 0.55.0-1.1) Homepage: https://projects.coin-or.org/Cgl Priority: extra Section: libdevel Filename: pool/main/c/coinor-cgl/coinor-libcgl-dev_0.55.0-1.1_armhf.deb Size: 624120 SHA256: bcbd7cb9914000d4d638060b15d4a26bd9a65154ffc861d419838561fa2be834 SHA1: e2ac5bda762d72a47353a6840f4c61a29f58e827 MD5sum: 5ec15f31f218f29f15fd3f300bcf75b9 Description: Cut Generator Library, a library of cutting-plane generators The Cut Generation Library (Cgl) is an open collection of cutting plane implementations ("cut generators") for use in teaching, research, and applications. . Cgl is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research) and can be used with other COIN-OR packages that make use of cuts, such as the mixed-integer linear programming solver Cbc. . This package contains the header files for developers. Package: coinor-libcgl-doc Source: coinor-cgl Version: 0.55.0-1.1 Installed-Size: 6110 Maintainer: Soeren Sonnenburg Architecture: all Recommends: coinor-libcgl-dev Size: 2146540 SHA256: 18f548cdb533fe312c631b4cd9902343e5d5452f1817f17c0431d8ac3507cfc4 SHA1: 3047eac2203ebfc8693379bee80504394c8c4350 MD5sum: 916e53ef21b71b3b3f73c08a0549c68e Description: Cut Generator Library, a library of cutting-plane generators The Cut Generation Library (Cgl) is an open collection of cutting plane implementations ("cut generators") for use in teaching, research, and applications. . Cgl is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research) and can be used with other COIN-OR packages that make use of cuts, such as the mixed-integer linear programming solver Cbc. . This package contains the documentation and examples. Homepage: https://projects.coin-or.org/Cgl Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/c/coinor-cgl/coinor-libcgl-doc_0.55.0-1.1_all.deb Package: coinor-libcgl0 Source: coinor-cgl Version: 0.55.0-1.1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 844 Depends: coinor-libclp0, coinor-libcoinutils0, coinor-libosi0, coinor-libvol0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: https://projects.coin-or.org/Cgl Priority: extra Section: science Filename: pool/main/c/coinor-cgl/coinor-libcgl0_0.55.0-1.1_armhf.deb Size: 398590 SHA256: 3f9c797ab877888c7673fa5a38686e74adf91e973bc1e3258447ff04415f7fc4 SHA1: 15667ab447da2a13e9c254dd679bdf0b99c0eb1f MD5sum: bad4f64da3389c12aaf52db8543f9a72 Description: Cut Generator Library, a library of cutting-plane generators The Cut Generation Library (Cgl) is an open collection of cutting plane implementations ("cut generators") for use in teaching, research, and applications. . Cgl is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research) and can be used with other COIN-OR packages that make use of cuts, such as the mixed-integer linear programming solver Cbc. . This package contains the binaries and libraries. Package: coinor-libcgl0-dbg Source: coinor-cgl Version: 0.55.0-1.1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 4189 Depends: coinor-libcgl0 (= 0.55.0-1.1) Homepage: https://projects.coin-or.org/Cgl Priority: extra Section: debug Filename: pool/main/c/coinor-cgl/coinor-libcgl0-dbg_0.55.0-1.1_armhf.deb Size: 1561132 SHA256: 5c8a4f8cdba613a01bf71ff73b9737c125dcffeb113528f5437e87734ac76c80 SHA1: 3bf9be3ed959ed468d6e030e3c831783b1c24a6d MD5sum: 97359129f9e3239a457c4f7dff1253d5 Description: Cut Generator Library, a library of cutting-plane generators The Cut Generation Library (Cgl) is an open collection of cutting plane implementations ("cut generators") for use in teaching, research, and applications. . Cgl is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research) and can be used with other COIN-OR packages that make use of cuts, such as the mixed-integer linear programming solver Cbc. . This package contains the debug symbols. Package: coinor-libclp-dev Source: clp Version: 1.12.0-2.1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 2608 Depends: coinor-libclp0 (= 1.12.0-2.1), coinor-libcoinutils-dev (>= 2.6.0-2) Conflicts: libclp-dev Replaces: libclp-dev Homepage: https://projects.coin-or.org/Clp Priority: extra Section: libdevel Filename: pool/main/c/clp/coinor-libclp-dev_1.12.0-2.1_armhf.deb Size: 972646 SHA256: ba634e18b2e0a6c07ffb05d3b5289baf4d74f5f3a959e6004c1d282b8bfea48f SHA1: 31c120b031cf95f713c34bd6859e75c1bd1c8664 MD5sum: b5fde97c690fa673d5f232f3baa2ec3f Description: Coin-or linear programming solver Clp (Coin-or linear programming) is an open-source linear programming solver written in C++. It is primarily meant to be used as a callable library, but a basic, stand-alone executable version is also available. It is designed to find solutions of constrained linear mathematical optimization problems. . This package contains the header files for developers. Package: coinor-libclp-doc Source: clp Version: 1.12.0-2.1 Installed-Size: 16216 Maintainer: Soeren Sonnenburg Architecture: all Replaces: libclp-doc Recommends: coinor-libclp-dev Conflicts: libclp-doc Size: 7726244 SHA256: 6747b674481710f6c813bb46563452c6acad5038778e6ddce00deb39f8112235 SHA1: 9944e936eb4a890c0e9fc56e3d685929107d2a8a MD5sum: 0d36cd251d055d0f0c684522520ab896 Description: Coin-or linear programming solver Clp (Coin-or linear programming) is an open-source linear programming solver written in C++. It is primarily meant to be used as a callable library, but a basic, stand-alone executable version is also available. It is designed to find solutions of constrained linear mathematical optimization problems. . This package contains the documentation and examples. Homepage: https://projects.coin-or.org/Clp Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/c/clp/coinor-libclp-doc_1.12.0-2.1_all.deb Package: coinor-libclp0 Source: clp Version: 1.12.0-2.1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 1648 Depends: coinor-libcoinutils0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: libclp0 Replaces: libclp0 Homepage: https://projects.coin-or.org/Clp Priority: extra Section: science Filename: pool/main/c/clp/coinor-libclp0_1.12.0-2.1_armhf.deb Size: 801944 SHA256: 055d4893fa1274ea01174ab2e636d8ea60628cc07e7c52304e36f14ca0d47ee8 SHA1: 9f5ac08b3a2ad1695a46e17b42b3eca06aa0a672 MD5sum: 60db22f5de0020eb056d3b59ba4ae900 Description: Coin-or linear programming solver Clp (Coin-or linear programming) is an open-source linear programming solver written in C++. It is primarily meant to be used as a callable library, but a basic, stand-alone executable version is also available. It is designed to find solutions of constrained linear mathematical optimization problems. . This package contains the binaries and libraries. Package: coinor-libclp0-dbg Source: clp Version: 1.12.0-2.1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 8097 Depends: coinor-libclp0 (= 1.12.0-2.1) Conflicts: libclp0-dbg Replaces: libclp0-dbg Homepage: https://projects.coin-or.org/Clp Priority: extra Section: debug Filename: pool/main/c/clp/coinor-libclp0-dbg_1.12.0-2.1_armhf.deb Size: 3158954 SHA256: 7db93c17276804cf77c6b2472eb52c7376ed2deb2a6a0b4e4ecc81ea3c62a86c SHA1: 32f382e86ddc2836b674f2aa35e5b1f00431dcb5 MD5sum: 58b11b1137a55e996a7cf34f55632916 Description: Coin-or linear programming solver Clp (Coin-or linear programming) is an open-source linear programming solver written in C++. It is primarily meant to be used as a callable library, but a basic, stand-alone executable version is also available. It is designed to find solutions of constrained linear mathematical optimization problems. . This package contains the debug symbols. Package: coinor-libcoinutils-dev Source: coinutils Version: 2.6.4-3 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 2548 Depends: coinor-libcoinutils0 (= 2.6.4-3) Conflicts: libcoinutils-dev Replaces: libcoinutils-dev Provides: libcoinutils-dev Homepage: https://projects.coin-or.org/CoinUtils Priority: extra Section: libdevel Filename: pool/main/c/coinutils/coinor-libcoinutils-dev_2.6.4-3_armhf.deb Size: 843848 SHA256: 82678c94f9d785c6edb09ccb243d9a17a539db82386c85cd1c412a1f0438c426 SHA1: 883f8f833effe9de3ec7f605272a69e1c8ae1477 MD5sum: 2ad1ce2aaa767b5ad4f3a9c530757969 Description: Coin-or collection of utility classes CoinUtils (Coin-or Utilities) is a collection of classes that are generally useful to more than one COIN-OR project. These include vector, matrix, mps file reading classes. . COIN-OR (COmputational INfrastructure for Operations Research project) is an initiative to spur the development of open source software in operational research - mathematical optimization algorithms. . This package contains the header files for developers. Package: coinor-libcoinutils-doc Source: coinutils Version: 2.6.4-3 Installed-Size: 17968 Maintainer: Soeren Sonnenburg Architecture: all Replaces: libcoinutils-doc Provides: libcoinutils-doc Recommends: coinor-libcoinutils-dev Conflicts: libcoinutils-doc Size: 7105254 SHA256: d0155b10c9783848b28b33fea9629f920362ab0cefe36fe49fbf3cc2554ac15e SHA1: 5a688764974c4a8a0512902f2ae474b747156802 MD5sum: 66b495c52280107c3a7b9f61ba7836a6 Description: Coin-or collection of utility classes CoinUtils (Coin-or Utilities) is a collection of classes that are generally useful to more than one COIN-OR project. These include vector, matrix, mps file reading classes. . COIN-OR (COmputational INfrastructure for Operations Research project) is an initiative to spur the development of open source software in operational research - mathematical optimization algorithms. . This package contains the documentation. Homepage: https://projects.coin-or.org/CoinUtils Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/c/coinutils/coinor-libcoinutils-doc_2.6.4-3_all.deb Package: coinor-libcoinutils0 Source: coinutils Version: 2.6.4-3 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 1077 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Conflicts: libcoinutils0 Replaces: libcoinutils0 Provides: libcoinutils0 Homepage: https://projects.coin-or.org/CoinUtils Priority: extra Section: science Filename: pool/main/c/coinutils/coinor-libcoinutils0_2.6.4-3_armhf.deb Size: 508600 SHA256: 9e2b449186339f5b702bf5e6e685c593a0be5cecdae4fa0a5f8559568a5d7a9d SHA1: 67b1dcefab883aa1785355def8cabf6771c4ff22 MD5sum: 378911abd90f53596c4c9d60863680a0 Description: Coin-or collection of utility classes CoinUtils (Coin-or Utilities) is a collection of classes that are generally useful to more than one COIN-OR project. These include vector, matrix, mps file reading classes. . COIN-OR (COmputational INfrastructure for Operations Research project) is an initiative to spur the development of open source software in operational research - mathematical optimization algorithms. . This package contains the binaries and libraries. Package: coinor-libcoinutils0-dbg Source: coinutils Version: 2.6.4-3 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 4927 Depends: coinor-libcoinutils0 (= 2.6.4-3) Conflicts: libcoinutils0-dbg Replaces: libcoinutils0-dbg Provides: libcoinutils0-dbg Homepage: https://projects.coin-or.org/CoinUtils Priority: extra Section: debug Filename: pool/main/c/coinutils/coinor-libcoinutils0-dbg_2.6.4-3_armhf.deb Size: 1809186 SHA256: fea7d140508c0d3fb45279f2864e3cd073b7770e31e2e6e44d9931ff33f8532e SHA1: abeb0ac2686cd758b3585b01f537790b5827ad2d MD5sum: dc29263fd4c96226dfc37c8cf9b5c94b Description: Coin-or collection of utility classes CoinUtils (Coin-or Utilities) is a collection of classes that are generally useful to more than one COIN-OR project. These include vector, matrix, mps file reading classes. . COIN-OR (COmputational INfrastructure for Operations Research project) is an initiative to spur the development of open source software in operational research - mathematical optimization algorithms. . This package contains the debug symbols. Package: coinor-libdylp-dev Source: coinor-dylp Version: 1.6.0-1.1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 718 Depends: coinor-libdylp0 (= 1.6.0-1.1) Homepage: https://projects.coin-or.org/DyLP Priority: extra Section: libdevel Filename: pool/main/c/coinor-dylp/coinor-libdylp-dev_1.6.0-1.1_armhf.deb Size: 291412 SHA256: 1f20ae6699a6ac5579d52e9ef5b0b4df3fe76a492843b012f6074b641e86894d SHA1: 743422def1e265e3b75b466128c79b8cc6d2cd01 MD5sum: c1946071620b5276bbfcf6282971eaf2 Description: Linear programming solver using of the dynamic simplex algorithm DyLp is designed to find solutions of constrained linear mathematical optimization problems. To this end, it is using a full implementation of the so called dynamic simplex algorithm for linear programming. . DyLP is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research) and integrates well in the COIN Open Solver Interface (OSI), OsiDylp, which takes advantage of capabilities provided by COIN (e.g., enhanced input/output and constraint system preprocessing) and is recommended if you're working in a C++ environment. . This package contains the header files for developers. Package: coinor-libdylp-doc Source: coinor-dylp Version: 1.6.0-1.1 Installed-Size: 3769 Maintainer: Soeren Sonnenburg Architecture: all Recommends: coinor-libdylp-dev Size: 1519936 SHA256: 0ec115e50f720c98f8d9ec449e2ad857eaea3817200689e64269b1550cf692aa SHA1: 9cf62e20e8d7959ded3c719fc10fa7b566c2a6ec MD5sum: 5f1ebd5c79ea35448edc50089ccd4201 Description: Linear programming solver using of the dynamic simplex algorithm DyLp is designed to find solutions of constrained linear mathematical optimization problems. To this end, it is using a full implementation of the so called dynamic simplex algorithm for linear programming. . DyLP is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research) and integrates well in the COIN Open Solver Interface (OSI), OsiDylp, which takes advantage of capabilities provided by COIN (e.g., enhanced input/output and constraint system preprocessing) and is recommended if you're working in a C++ environment. . This package contains the documentation and examples. Homepage: https://projects.coin-or.org/DyLP Tag: devel::doc, devel::examples, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/c/coinor-dylp/coinor-libdylp-doc_1.6.0-1.1_all.deb Package: coinor-libdylp0 Source: coinor-dylp Version: 1.6.0-1.1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 460 Depends: libc6 (>= 2.13-28) Homepage: https://projects.coin-or.org/DyLP Priority: extra Section: science Filename: pool/main/c/coinor-dylp/coinor-libdylp0_1.6.0-1.1_armhf.deb Size: 223614 SHA256: db7f0bdf1454d3566c9cf7aeb498f74ade59b3b0f0dff0e0644f0c8f097851ed SHA1: d19ea429e8c4036ab92c77a09378979cb1c1daf3 MD5sum: 6ed0d7d6a64519e3bbbb8d9459d06a64 Description: Linear programming solver using the dynamic simplex algorithm DyLp is designed to find solutions of constrained linear mathematical optimization problems. To this end, it is using a full implementation of the so called dynamic simplex algorithm for linear programming. . DyLP is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research) and integrates well in the COIN Open Solver Interface (OSI), OsiDylp, which takes advantage of capabilities provided by COIN (e.g., enhanced input/output and constraint system preprocessing) and is recommended if you're working in a C++ environment. . This package contains the binaries and libraries. Package: coinor-libdylp0-dbg Source: coinor-dylp Version: 1.6.0-1.1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 701 Depends: coinor-libdylp0 (= 1.6.0-1.1) Homepage: https://projects.coin-or.org/DyLP Priority: extra Section: debug Filename: pool/main/c/coinor-dylp/coinor-libdylp0-dbg_1.6.0-1.1_armhf.deb Size: 256536 SHA256: 68cb6f43eb351f4bde893cc1c964c8ba23114ea10ca6182b479b2412f74d8253 SHA1: 1baeeb0d57ed3611d148ba2a8846c726c0bdf029 MD5sum: f7f9486d014eb7a25f9085fcee25c871 Description: Linear programming solver using of the dynamic simplex algorithm DyLp is designed to find solutions of constrained linear mathematical optimization problems. To this end, it is using a full implementation of the so called dynamic simplex algorithm for linear programming. . DyLP is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research) and integrates well in the COIN Open Solver Interface (OSI), OsiDylp, which takes advantage of capabilities provided by COIN (e.g., enhanced input/output and constraint system preprocessing) and is recommended if you're working in a C++ environment. . This package contains the debug symbols. Package: coinor-libflopc++-dev Source: coinor-flopc++ Version: 1.0.6-3.1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 590 Depends: coinor-libflopc++0 (= 1.0.6-3.1) Homepage: https://projects.coin-or.org/Clp Priority: extra Section: libdevel Filename: pool/main/c/coinor-flopc++/coinor-libflopc++-dev_1.0.6-3.1_armhf.deb Size: 130592 SHA256: 2fada2a9735f212800ae0a05557864acd631b119a23f8743ded59d6981f0d2b2 SHA1: 20258f17ebc15dafd54f0b9c82112a900f9e791e MD5sum: 2a3af0e204e557492a6a88e7d544e12b Description: Formulation of Linear Optimization Problems in C++ An open source algebraic modelling language implemented as a C++ class library. Using FLOPC++, linear optimization models can be specified in a declarative style, similar to algebraic modelling languages such as GAMS and AMPL, within a C++ program. As a result the traditional strengths of algebraic modelling languages are preserved, while embedding linear optimization models in software applications is facilitated. . Coinor-flopc++ is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . This package contains the header files for developers. Package: coinor-libflopc++-doc Source: coinor-flopc++ Version: 1.0.6-3.1 Installed-Size: 4784 Maintainer: Soeren Sonnenburg Architecture: all Recommends: coinor-libflopc++-dev Size: 555922 SHA256: 78c776420973324ed7989d7ca6abe58291e5e49eb175f848a0d37c398cc1c0f5 SHA1: a96493fcc07bb9692b0cff345ca69da6c73fffb6 MD5sum: 01360ede4e29a396c4adb05776a8911d Description: Formulation of Linear Optimization Problems in C++ An open source algebraic modelling language implemented as a C++ class library. Using FLOPC++, linear optimization models can be specified in a declarative style, similar to algebraic modelling languages such as GAMS and AMPL, within a C++ program. As a result the traditional strengths of algebraic modelling languages are preserved, while embedding linear optimization models in software applications is facilitated. . Coinor-flopc++ is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . This package contains the documentation and examples. Homepage: https://projects.coin-or.org/Clp Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/c/coinor-flopc++/coinor-libflopc++-doc_1.0.6-3.1_all.deb Package: coinor-libflopc++0 Source: coinor-flopc++ Version: 1.0.6-3.1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 220 Depends: coinor-libcoinutils0, coinor-libosi0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: https://projects.coin-or.org/Clp Priority: extra Section: science Filename: pool/main/c/coinor-flopc++/coinor-libflopc++0_1.0.6-3.1_armhf.deb Size: 64842 SHA256: a743811e8fae5602bcec5fc18ab00401106f3e0d5aa5a658aa3f1f9ee3a3e8de SHA1: 7b7fe2e09f5a77c8297cc5cd1d17961738e3e5d6 MD5sum: 44a9fa1b1e00f59c427fe676537ea446 Description: Formulation of Linear Optimization Problems in C++ An open source algebraic modelling language implemented as a C++ class library. Using FLOPC++, linear optimization models can be specified in a declarative style, similar to algebraic modelling languages such as GAMS and AMPL, within a C++ program. As a result the traditional strengths of algebraic modelling languages are preserved, while embedding linear optimization models in software applications is facilitated. . Coinor-flopc++ is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . This package contains the binaries and libraries. Package: coinor-libflopc++0-dbg Source: coinor-flopc++ Version: 1.0.6-3.1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 1312 Depends: coinor-libflopc++0 (= 1.0.6-3.1) Homepage: https://projects.coin-or.org/Clp Priority: extra Section: debug Filename: pool/main/c/coinor-flopc++/coinor-libflopc++0-dbg_1.0.6-3.1_armhf.deb Size: 466644 SHA256: d817916a3b14d5838e70f19c1d820944325e3a5268826ac55557b95f1349ac11 SHA1: ac91fe6a1fd27d68c44b8e21c4360394bb44475c MD5sum: d1835766db5c97765ee95282d06b6f36 Description: Formulation of Linear Optimization Problems in C++ An open source algebraic modelling language implemented as a C++ class library. Using FLOPC++, linear optimization models can be specified in a declarative style, similar to algebraic modelling languages such as GAMS and AMPL, within a C++ program. As a result the traditional strengths of algebraic modelling languages are preserved, while embedding linear optimization models in software applications is facilitated. . Coinor-flopc++ is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . This package contains the debug symbols. Package: coinor-libipopt-dev Source: coinor-ipopt Version: 3.10.2-1.1 Architecture: armhf Maintainer: Roberto C. Sanchez Installed-Size: 5876 Depends: coinor-libipopt1 (= 3.10.2-1.1) Homepage: https://projects.coin-or.org/Ipopt Priority: extra Section: libdevel Filename: pool/main/c/coinor-ipopt/coinor-libipopt-dev_3.10.2-1.1_armhf.deb Size: 1512958 SHA256: 9e65bbcb80686f5560f7b0b1a055b96a78747db3a4af29ca1a495283cd511adb SHA1: 302056f8f9451e139b7360b45f37dcabf6fb957d MD5sum: 8c8d71447338541b39ab25ef70f4f2cc Description: Interior-Point Optimizer - header files Ipopt is an open-source solver for large-scale nonlinear continuous optimization. It can be used from modeling environments, such as AMPL, GAMS, or Matlab, and it is also available as a callable library with interfaces to C++, C, and Fortran. Ipopt uses an interior point method, together with a filter linear search procedure. Ipopt is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . The Debian package is compiled against MUMPS (as it is the only one of the available solvers that is Free Software and included in Debian). . This package contains the header files for developers. Package: coinor-libipopt-doc Source: coinor-ipopt Version: 3.10.2-1.1 Installed-Size: 32096 Maintainer: Roberto C. Sanchez Architecture: all Size: 4325170 SHA256: ba57a4f6dfa2f3e7bc9016ae172a321469ff3d90ee56ca2e27279a1d9cff23c2 SHA1: 9080e229b20361d4594a8becdb97c84735c2b41f MD5sum: 665a52ff3e8330aa4e39ba6dab695e3c Description: Interior-Point Optimizer - documentation Ipopt is an open-source solver for large-scale nonlinear continuous optimization. It can be used from modeling environments, such as AMPL, GAMS, or Matlab, and it is also available as a callable library with interfaces to C++, C, and Fortran. Ipopt uses an interior point method, together with a filter linear search procedure. Ipopt is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . The Debian package is compiled against MUMPS (as it is the only one of the available solvers that is Free Software and included in Debian). . This package contains the documentation. Homepage: https://projects.coin-or.org/Ipopt Tag: devel::doc, devel::examples, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/c/coinor-ipopt/coinor-libipopt-doc_3.10.2-1.1_all.deb Package: coinor-libipopt1 Source: coinor-ipopt Version: 3.10.2-1.1 Architecture: armhf Maintainer: Roberto C. Sanchez Installed-Size: 2081 Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblapack3 | liblapack.so.3 | libatlas3-base, libmumps-4.10.0, libopenmpi1.3, libstdc++6 (>= 4.6) Homepage: https://projects.coin-or.org/Ipopt Priority: extra Section: libs Filename: pool/main/c/coinor-ipopt/coinor-libipopt1_3.10.2-1.1_armhf.deb Size: 741814 SHA256: 36e1f56ac81d5bf26662be280d9214b737fce47df94b833fbf80525909eebec0 SHA1: 69007234eab48217e59710e277f41e26d6ce34ee MD5sum: 94f8f3b827451112a24f1f1c1e72de1a Description: Interior-Point Optimizer, for large-scale nonlinear optimization Ipopt is an open-source solver for large-scale nonlinear continuous optimization. It can be used from modeling environments, such as AMPL, GAMS, or Matlab, and it is also available as a callable library with interfaces to C++, C, and Fortran. Ipopt uses an interior point method, together with a filter linear search procedure. Ipopt is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . The Debian package is compiled against MUMPS (as it is the only one of the available solvers that is Free Software and included in Debian). . This package contains the library. Package: coinor-libipopt1-dbg Source: coinor-ipopt Version: 3.10.2-1.1 Architecture: armhf Maintainer: Roberto C. Sanchez Installed-Size: 21942 Depends: coinor-libipopt1 (= 3.10.2-1.1) Homepage: https://projects.coin-or.org/Ipopt Priority: extra Section: debug Filename: pool/main/c/coinor-ipopt/coinor-libipopt1-dbg_3.10.2-1.1_armhf.deb Size: 7856196 SHA256: 0c30f3791d6ca7198f8916be45d0ae1c3a5fe025e6546fdb35a7b566e93bfc2d SHA1: 04f7934d46cc2dc0bcce72dcc9c8210ec68ad0fb MD5sum: ae6396c442efd229dcb7dc602a1d836c Description: Interior-Point Optimizer - debugging symbols Ipopt is an open-source solver for large-scale nonlinear continuous optimization. It can be used from modeling environments, such as AMPL, GAMS, or Matlab, and it is also available as a callable library with interfaces to C++, C, and Fortran. Ipopt uses an interior point method, together with a filter linear search procedure. Ipopt is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . The Debian package is compiled against MUMPS (as it is the only one of the available solvers that is Free Software and included in Debian). . This package contains the debugging symbols. Package: coinor-libosi-dev Source: coinor-osi Version: 0.103.0-1 Architecture: armhf Maintainer: Aramian Wasielak Installed-Size: 1554 Depends: coinor-libosi0 (= 0.103.0-1) Homepage: https://projects.coin-or.org/Osi Priority: extra Section: libdevel Filename: pool/main/c/coinor-osi/coinor-libosi-dev_0.103.0-1_armhf.deb Size: 476742 SHA256: 665ace1793acc1bdc3efa89b88dda71e0788ada9d7f7c5b8cc63124b13b576ec SHA1: 8d9ead2354349df04a16991cb4dbc1c558cc63d1 MD5sum: 7a3ff4af2b3fc2f1165b24769d1910e7 Description: COIN-OR Open Solver Interface The COIN-OR Open Solver Interface is a uniform API for interacting with callable solver libraries. It supports linear programming solvers as well as the ability to "finish off" a mixed-integer problem calling the solver library's MIP solver. . Supported solvers: * COIN-OR LP solver (OsiClp) * DyLP (OsiDylp) * the Volume Algorithm (OsiVol) . Osi is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . This package contains the header files for developers. Package: coinor-libosi-doc Source: coinor-osi Version: 0.103.0-1 Installed-Size: 12696 Maintainer: Aramian Wasielak Architecture: all Recommends: coinor-libosi-dev, coinor-libcoinutils-dev Size: 3517632 SHA256: 09eb8a27498a390f623eb4a4886f57e902993e4ad75e7430328a430aba638649 SHA1: 3d973964edf1e1019a252f5790f20ff5ad33eedf MD5sum: 13a22bd281e3804330d70d38434ebabf Description: COIN-OR Open Solver Interface The COIN-OR Open Solver Interface is a uniform API for interacting with callable solver libraries. It supports linear programming solvers as well as the ability to "finish off" a mixed-integer problem calling the solver library's MIP solver. . Supported solvers: * COIN-OR LP solver (OsiClp) * DyLP (OsiDylp) * the Volume Algorithm (OsiVol) . Osi is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . This package contains the documentation and examples. Homepage: https://projects.coin-or.org/Osi Tag: devel::doc, devel::examples, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/c/coinor-osi/coinor-libosi-doc_0.103.0-1_all.deb Package: coinor-libosi0 Source: coinor-osi Version: 0.103.0-1 Architecture: armhf Maintainer: Aramian Wasielak Installed-Size: 845 Depends: coinor-libclp0, coinor-libcoinutils0, coinor-libdylp0, coinor-libvol0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: https://projects.coin-or.org/Osi Priority: extra Section: science Filename: pool/main/c/coinor-osi/coinor-libosi0_0.103.0-1_armhf.deb Size: 338386 SHA256: 94dc82b6fb5e9885127d46df95767c4654195e47270d82541088ff8eb58cf242 SHA1: 74c041456e536b3ddd3581768a086f50b3b137ad MD5sum: 868a5b102f0b1dcc394089623bf5e5eb Description: COIN-OR Open Solver Interface The COIN-OR Open Solver Interface is a uniform API for interacting with callable solver libraries. It supports linear programming solvers as well as the ability to "finish off" a mixed-integer problem calling the solver library's MIP solver. . Supported solvers: * COIN-OR LP solver (OsiClp) * DyLP (OsiDylp) * the Volume Algorithm (OsiVol) . Osi is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . This package contains the binaries and libraries. Package: coinor-libosi0-dbg Source: coinor-osi Version: 0.103.0-1 Architecture: armhf Maintainer: Aramian Wasielak Installed-Size: 2976 Depends: coinor-libosi0 (= 0.103.0-1) Homepage: https://projects.coin-or.org/Osi Priority: extra Section: debug Filename: pool/main/c/coinor-osi/coinor-libosi0-dbg_0.103.0-1_armhf.deb Size: 1086312 SHA256: f449c0f5abe04f319f101b84260b5fc167dd656190e28b56f91b06d7e1468e14 SHA1: 024eab1d0ceb79fbd70a9fcd4d5893e66cef54a3 MD5sum: dbab4a0eedb3f939eee8c611247ae900 Description: COIN-OR Open Solver Interface The COIN-OR Open Solver Interface is a uniform API for interacting with callable solver libraries. It supports linear programming solvers as well as the ability to "finish off" a mixed-integer problem calling the solver library's MIP solver. . Supported solvers: * COIN-OR LP solver (OsiClp) * DyLP (OsiDylp) * the Volume Algorithm (OsiVol) . Osi is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . This package contains the debug symbols. Package: coinor-libsymphony-dev Source: coinor-symphony Version: 5.2.4-1.2 Architecture: armhf Maintainer: Aramian Wasielak Installed-Size: 705 Depends: coinor-libsymphony0 (= 5.2.4-1.2) Homepage: https://projects.coin-or.org/SYMPHONY Priority: extra Section: libdevel Filename: pool/main/c/coinor-symphony/coinor-libsymphony-dev_5.2.4-1.2_armhf.deb Size: 267824 SHA256: 67790791324e92d64e55ff80bbc252c2a2242d6b70f3f2b8efb8adc22650db08 SHA1: fc23022813f9a3d3e620630b4f3b3061134067a8 MD5sum: 32929b94bb1bfa83e31f9e64045a0190 Description: COIN-OR solver for mixed-integer linear programs SYMPHONY is an open-source generic mixed-integer linear programs (MILP) solver, callable library, and extensible framework for implementing customized solvers SYMPHONY has a number of advanced capabilities, including the ability to solve multi-objective MILPs, the ability to warm start its solution procedure, and the ability to perform basic sensitivity analyses. . SYMPHONY is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . This package contains the header files for developers. Package: coinor-libsymphony-doc Source: coinor-symphony Version: 5.2.4-1.2 Installed-Size: 747 Maintainer: Aramian Wasielak Architecture: all Recommends: coinor-libsymphony-dev Size: 531792 SHA256: 58a53a33b7f61ae797d741f54d9bc32319f1d230b7b78eca543a13ce9a853005 SHA1: 06f867f2628660e657800ca215985dcdfc465fc5 MD5sum: 0965a6a540be159bad5dfe6c3039e991 Description: COIN-OR solver for mixed-integer linear programs SYMPHONY is an open-source generic mixed-integer linear programs (MILP) solver, callable library, and extensible framework for implementing customized solvers SYMPHONY has a number of advanced capabilities, including the ability to solve multi-objective MILPs, the ability to warm start its solution procedure, and the ability to perform basic sensitivity analyses. . SYMPHONY is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . This package contains the documentation and examples. Homepage: https://projects.coin-or.org/SYMPHONY Tag: devel::doc, devel::examples, devel::lang:c, made-of::pdf, role::documentation Section: doc Priority: extra Filename: pool/main/c/coinor-symphony/coinor-libsymphony-doc_5.2.4-1.2_all.deb Package: coinor-libsymphony0 Source: coinor-symphony Version: 5.2.4-1.2 Architecture: armhf Maintainer: Aramian Wasielak Installed-Size: 555 Depends: coinor-libcgl0, coinor-libclp0, coinor-libcoinutils0, coinor-libosi0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: https://projects.coin-or.org/SYMPHONY Priority: extra Section: science Filename: pool/main/c/coinor-symphony/coinor-libsymphony0_5.2.4-1.2_armhf.deb Size: 238990 SHA256: 6380c6475edb64c042b8e084c2cf92fc1c362086677af1db3ce71eaf79f6b387 SHA1: 214099fd78312ddd1267cf1f17c2662d1da6bca4 MD5sum: 14e4f077a9c89912de594af839a4191b Description: COIN-OR solver for mixed-integer linear programs SYMPHONY is an open-source generic mixed-integer linear programs (MILP) solver, callable library, and extensible framework for implementing customized solvers SYMPHONY has a number of advanced capabilities, including the ability to solve multi-objective MILPs, the ability to warm start its solution procedure, and the ability to perform basic sensitivity analyses. . SYMPHONY is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . This package contains the binaries and libraries. Package: coinor-libsymphony0-dbg Source: coinor-symphony Version: 5.2.4-1.2 Architecture: armhf Maintainer: Aramian Wasielak Installed-Size: 1873 Depends: coinor-libsymphony0 (= 5.2.4-1.2) Homepage: https://projects.coin-or.org/SYMPHONY Priority: extra Section: debug Filename: pool/main/c/coinor-symphony/coinor-libsymphony0-dbg_5.2.4-1.2_armhf.deb Size: 802208 SHA256: 5748b8a5db9815ac442826f49482129c980d2b228e465817b82af7961fcbcb69 SHA1: c4b2bd298223c2bee805658102e6cdd18cdf813a MD5sum: 362cf549cf9390b5b1caf547a45acff1 Description: COIN-OR solver for mixed-integer linear programs SYMPHONY is an open-source generic mixed-integer linear programs (MILP) solver, callable library, and extensible framework for implementing customized solvers SYMPHONY has a number of advanced capabilities, including the ability to solve multi-objective MILPs, the ability to warm start its solution procedure, and the ability to perform basic sensitivity analyses. . SYMPHONY is part of the larger COIN-OR initiative (Computational Infrastructure for Operations Research). . This package contains the debug symbols. Package: coinor-libvol-dev Source: coinor-vol Version: 1.1.7-1 Architecture: armhf Maintainer: Aramian Wasielak Installed-Size: 90 Depends: coinor-libvol0 (= 1.1.7-1) Homepage: https://projects.coin-or.org/Vol Priority: extra Section: libdevel Filename: pool/main/c/coinor-vol/coinor-libvol-dev_1.1.7-1_armhf.deb Size: 21426 SHA256: f8523643fbed10f911bd2bef066db2636afe6036e0883f8fad3c41cdb7ec4a9a SHA1: 3c2ef3611bfb148191b90dd910765a5bfb438268 MD5sum: 26ee97c7bfdfdeef5535ae87a1e9ed09 Description: Coin-or linear programming solver Vol (Volume Algorithm) is a linear programming solver based on the subgradient method. . Vol is part of COIN-OR (COmputational INfrastructure for Operations Research) project which is an initiative to spur the development of open-source software in operational research - mathematical optimization algorithms. . This package contains the header files for developers. Package: coinor-libvol-doc Source: coinor-vol Version: 1.1.7-1 Installed-Size: 1672 Maintainer: Aramian Wasielak Architecture: all Recommends: coinor-libvol-dev, coinor-libcoinutils-dev Size: 555158 SHA256: 2cc23e0d0dc4ddec7962c648bd2843f65e79ee78c73d433bc3d7df2aa0ddc554 SHA1: f6254ff0ce3971e4a175c581d639866557e50d6b MD5sum: 2db954b75dee7d48d23cd137113f1920 Description: Coin-or linear programming solver Vol (Volume Algorithm) is a linear programming solver based on the subgradient method. . Vol is part of COIN-OR (COmputational INfrastructure for Operations Research) project which is an initiative to spur the development of open-source software in operational research - mathematical optimization algorithms. . This package contains the documentation and examples. Homepage: https://projects.coin-or.org/Vol Tag: devel::doc, devel::lang:c++, made-of::html, made-of::pdf, made-of::postscript, role::documentation Section: doc Priority: extra Filename: pool/main/c/coinor-vol/coinor-libvol-doc_1.1.7-1_all.deb Package: coinor-libvol0 Source: coinor-vol Version: 1.1.7-1 Architecture: armhf Maintainer: Aramian Wasielak Installed-Size: 75 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: https://projects.coin-or.org/Vol Priority: extra Section: science Filename: pool/main/c/coinor-vol/coinor-libvol0_1.1.7-1_armhf.deb Size: 17284 SHA256: 1f55a3b34a7b5ce5e4459643d01c8ba1cab15f9cfa049f966a0bd7d030625c56 SHA1: 6c583bccd26cd7524339ace765bbdae03cf06e2a MD5sum: d23420888a32463d53c5e17f60012720 Description: Coin-or linear programming solver Vol (Volume Algorithm) is a linear programming solver based on the subgradient method. . Vol is part of COIN-OR (COmputational INfrastructure for Operations Research) project which is an initiative to spur the development of open-source software in operational research - mathematical optimization algorithms. . This package contains the binaries and libraries. Package: coinor-libvol0-dbg Source: coinor-vol Version: 1.1.7-1 Architecture: armhf Maintainer: Aramian Wasielak Installed-Size: 155 Depends: coinor-libvol0 (= 1.1.7-1) Homepage: https://projects.coin-or.org/Vol Priority: extra Section: debug Filename: pool/main/c/coinor-vol/coinor-libvol0-dbg_1.1.7-1_armhf.deb Size: 43604 SHA256: c4a34cee9260612be44ccdace12a57aedffac042ef985e761ba490706d271cf0 SHA1: ab3189081f117d1a2887a2e42454e6d9a369b7b5 MD5sum: ac60572527ff2ea27f863f33085ceda9 Description: Coin-or linear programming solver Vol (Volume Algorithm) is a linear programming solver based on the subgradient method. . Vol is part of COIN-OR (COmputational INfrastructure for Operations Research) project which is an initiative to spur the development of open-source software in operational research - mathematical optimization algorithms. . This package contains the debug symbols. Package: coinst Version: 1.01-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 293 Depends: ocaml-base-nox-3.12.1 Recommends: coinst-viewer, dot Homepage: http://coinst.irill.org Priority: extra Section: devel Filename: pool/main/c/coinst/coinst_1.01-2_armhf.deb Size: 60486 SHA256: 3a17d963dc243c4c4d75425f9b60299ef596c776325fe9a199ea8ce608d9e190 SHA1: 5a80a3cdaf1ec25726adf61a9d737f96ade3a16b MD5sum: 6cf80f2d7803f4ddf5c0480bf4a4ed0c Description: computes the co-installability kernel of a deb or RPM repository This package is useful when you are interested in analyzing co-installability of packages in a large package repository. A set of packages is called co-installable if it can be extended to a set that satisfies all inter-package relations (dependencies, conflicts, etc.). . This tool reduces a repository to a much smaller one, its so-called co-installability kernel, that behaves exactly the same as far as co-installability of package is concerned. This is achieved by - dropping all relations that are not relevant for this purpose - identifying all packages that behave the same. The kernel is typically orders of magnitude smaller than the original repository. Package: coinst-viewer Source: coinst Version: 1.01-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 626 Depends: libcairo-ocaml-6v7a2, liblablgtk2-ocaml-yeug0, ocaml-base-nox-3.12.1 Recommends: coinst Homepage: http://coinst.irill.org Priority: extra Section: devel Filename: pool/main/c/coinst/coinst-viewer_1.01-2_armhf.deb Size: 127750 SHA256: 81490255cf986ec4c64fbd734ff39ea8eb800337a46ea0fdce7559dde9778eb4 SHA1: f919a260f6bf6a1b8af1f48e8624a33e289e2526 MD5sum: e9ad0512cb66ded32aec87e582b12fee Description: viewer for co-installability kernels generated by coinst This package is useful when you are interested in analyzing co-installability of packages in a large package repository. It contains a custom viewer for co-installability kernels generated by the coinst tool. Package: coldfire Version: 0.2.2-2.3 Architecture: armhf Maintainer: Claudio Matsuoka Installed-Size: 179 Depends: libc6 (>= 2.7), libreadline6 (>= 6.0) Suggests: telnet Priority: optional Section: otherosfs Filename: pool/main/c/coldfire/coldfire_0.2.2-2.3_armhf.deb Size: 61952 SHA256: 2f3b06c49f599c6f7e0108ff4a834919700af83d1d2ad05e50797d20c48f832d SHA1: 14cbbbe50b86ccb287e11d8429b808620e6c13f2 MD5sum: 481ea52e666b187362144e9d7cca8bef Description: Freescale Coldfire 5206 emulator Coldfire is a Freescale Coldfire 5206 Emulator. It currently features all but 5 assembly instructions, a full dBug with extra functionality, both serial ports, the parallel port, interrupts (through telnet sessions), full exception handling, timers and timer interrupts, and full tracing capability. . Homepage: URL: http://www.slicer.ca/coldfire/ Package: collabtive Version: 0.7.6-1 Installed-Size: 7107 Maintainer: Gunnar Wolf Architecture: all Depends: php5, php5-mysql | php5-pgsql, apache2 | httpd, wwwconfig-common, smarty3, libphp-phpmailer, tinymce, libjs-prototype, libphp-pclzip, php5-mcrypt Suggests: wget Size: 3573866 SHA256: 76732936fc7043d5385a27036281be7fa0ff6e8bc254eeb57172a225437d0a2b SHA1: c96ffb7e23b540e1b514edf9cf327eda16b33577 MD5sum: 4caca17873c63a6ddf5099809543022f Description: Web-based project management software This package is intended for small to medium-sized businesses and freelancers. . All major browsers like Internet Explorer (7/8), Firefox, Opera, Safari, and Chrome are supported. Homepage: http://collabtive.o-dyn.de/ Tag: implemented-in::php, interface::web, office::project-management, protocol::http, role::program, web::application Section: web Priority: optional Filename: pool/main/c/collabtive/collabtive_0.7.6-1_all.deb Package: collatinus Version: 10.0-3 Architecture: armhf Maintainer: Georges Khaznadar Installed-Size: 6165 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6) Recommends: felix-latin-data Conflicts: collatinus (<< 9.4), collatinus-doc (<< 9.4), collatinus7, collatinus8, collatinus9 (<< 9.4) Priority: optional Section: text Filename: pool/main/c/collatinus/collatinus_10.0-3_armhf.deb Size: 2396158 SHA256: 444870f2c0518f0415a877212a6a41d71f755ea8c9a4141e463ea2a95b1712d0 SHA1: dd83b03814950703a009707d5f762883884d6bc6 MD5sum: 6ea85b1fdfefdd9c5ede3264a246d573 Description: lemmatisation of latin text Collatinus can be used to lemmatise latin texts, i.e. extract words and make a lexicon which indicates for each word its canonic form, and how the form actually found in the text was derived from it, for instance by declining it. Example : rosam gives : rosa-rosae -- acc. sing. Collatinus provides a nice graphic front-end to each operation. . Collatinus-nouus (stands for Collatinus, new generation) replaces every previous version of Collatinus. . This package provides a documentation in HTML format. Package: collectd Version: 5.1.0-3+deb7u3 Architecture: armhf Maintainer: Sebastian Harl Installed-Size: 108 Depends: collectd-core, iptables, libc6 (>= 2.13-28), librrd4 (>= 1.3.0), libxtables7 Recommends: libcurl3-gnutls (>= 7.16.2), libdbi1 (>= 0.8.4), libdbus-1-3 (>= 1.0.2), libesmtp6, libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libhal1 (>= 0.5.8.1), libmemcached10, libmodbus5 (>= 3.0.2), libmysqlclient18 (>= 5.5.24+dfsg-1), libnotify4 (>= 0.7.0), libopenipmi0, liboping0 (>= 0.3.3), libpcap0.8 (>= 0.9.8), libperl5.14 (>= 5.14.2), libpq5, libprotobuf-c0 (>= 0.10), libpython2.7 (>= 2.7), librabbitmq0 (>= 0.0.1.hg216), librrd4 (>= 1.4~rc2), libsensors4 (>= 1:3.0.0), libsnmp15 (>= 5.4.3~dfsg), libssl1.0.0 (>= 1.0.0), libtokyotyrant3, libupsclient1 (>= 2.4.1), libvarnishapi1 (>= 3.0.0), libvirt0 (>= 0.3.2), libxml2 (>= 2.7.4), libyajl2 (>= 2.0.4), zlib1g (>= 1:1.1.4) Homepage: http://collectd.org/ Priority: optional Section: utils Filename: pool/main/c/collectd/collectd_5.1.0-3+deb7u3_armhf.deb Size: 76582 SHA256: 128378ecb0832c5ea43f9ba3017defd7256bcdf7d6e433a63d469625f490b1ea SHA1: 642a9fa2503839cf5c427ff2a18ed20a3d48476c MD5sum: 5abf625ff5fb6eaad61fd2ba6c67be58 Description: statistics collection and monitoring daemon collectd is a small daemon which collects system information periodically and provides mechanisms to monitor and store the values in a variety of ways. Since the daemon doesn't need to startup every time it wants to update the values it's very fast and easy on the system. Also, the statistics are very fine grained since the files are updated every 10 seconds by default. . The collected information can be used to find current performance bottlenecks (performance analysis) and predict future system load (capacity planning). . This package provides a full installation of the daemon, including the configuration. For the core system, see the "collectd-core" package, which allows sites to, e.g., provide customizations (like a custom default configuration) on top of it without having to modify the "collectd" package. Package: collectd-core Source: collectd Version: 5.1.0-3+deb7u3 Architecture: armhf Maintainer: Sebastian Harl Installed-Size: 2328 Depends: iptables, libc6 (>= 2.13-28), libltdl7 (>= 2.4.2), libxtables7, debconf (>= 0.5) | debconf-2.0 Recommends: perl, rrdtool Suggests: collectd-dev, librrds-perl, liburi-perl, libhtml-parser-perl, libregexp-common-perl, libconfig-general-perl, httpd-cgi, apache2, apcupsd, bind9, hddtemp, ipvsadm, lm-sensors, mbmon, memcached, mysql-server, nginx, notification-daemon, nut, openvpn, olsrd, pdns-server, postgresql, time-daemon, libcurl3-gnutls (>= 7.16.2), libdbi1 (>= 0.8.4), libdbus-1-3 (>= 1.0.2), libesmtp6, libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libhal1 (>= 0.5.8.1), libmemcached10, libmodbus5 (>= 3.0.2), libmysqlclient18 (>= 5.5.24+dfsg-1), libnotify4 (>= 0.7.0), libopenipmi0, liboping0 (>= 0.3.3), libpcap0.8 (>= 0.9.8), libperl5.14 (>= 5.14.2), libpq5, libprotobuf-c0 (>= 0.10), libpython2.7 (>= 2.7), librabbitmq0 (>= 0.0.1.hg216), librrd4 (>= 1.4~rc2), libsensors4 (>= 1:3.0.0), libsnmp15 (>= 5.4.3~dfsg), libssl1.0.0 (>= 1.0.0), libtokyotyrant3, libupsclient1 (>= 2.4.1), libvarnishapi1 (>= 3.0.0), libvirt0 (>= 0.3.2), libxml2 (>= 2.7.4), libyajl2 (>= 2.0.4), zlib1g (>= 1:1.1.4) Replaces: collectd (<< 4.8.2-1~) Homepage: http://collectd.org/ Priority: optional Section: utils Filename: pool/main/c/collectd/collectd-core_5.1.0-3+deb7u3_armhf.deb Size: 882034 SHA256: e9488b147c87da4e177b0e832152e54393de65751d7baa0af195d9c6096ca321 SHA1: f9433e3ecc809eab7f4e1240775f77fc2363e7a1 MD5sum: c167518f0f42bf8e7f7f80beba35decc Description: statistics collection and monitoring daemon (core system) collectd is a small daemon which collects system information periodically and provides mechanisms to monitor and store the values in a variety of ways. Since the daemon doesn't need to startup every time it wants to update the values it's very fast and easy on the system. Also, the statistics are very fine grained since the files are updated every 10 seconds by default. . The collected information can be used to find current performance bottlenecks (performance analysis) and predict future system load (capacity planning). . This package contains the main program file and the plugins listed below (some of those plugins require additional libraries - for more details see /usr/share/doc/collectd-core/README.Debian.plugins) but no configuration. For a full installation (including configuration), see the "collectd" package. This package allows sites to, e.g., provide customizations (like a custom default configuration) on top of it without having to modify the "collectd" package. . * AMQP output plugin: amqp * Apache and lighttpd statistics provided by mod_status: apache * APC UPS's charge, load, input/output/battery voltage, etc.: apcups * Ascent server statistics: ascent * battery status: battery * bind9 name-server and zone statistics: bind * connection tracking table size: conntrack * number of context switches done by the operating system: contextswitch * CPU utilization: cpu * CPU frequency: cpufreq * output to comma separated values (CSV) files: csv * parse statistics from websites: curl * parse JSON files: curl_json * parse XML data: curl_xml * query data from a relational database: dbi * disk space usage: df * disk and partition throughput: disk * DNS traffic information: dns * E-Mail statistics (count, traffic, spam scores and checks): email * amount of available entropy: entropy * execution of external programs: exec * count the number of files in directories: filecount * Linux file-system based caching framework statistics: fscache * query data from Java processes using JMX: GenericJMX (Java based plugin) * Receive and interpret Ganglia multicast traffic: gmond * harddisk temperature: hddtemp * network traffic: interface * IPMI sensors information: ipmi * iptables statistics: iptables * IPVS connection statistics: ipvs * IRQ counters: irq * embedded Java Virtual Machine: java * CPU, disk, network statistics of guest systems: libvirt * system load averages: load * logging to files, STDOUT and STDERR: logfile * Atheros wireless LAN chipset statistics: madwifi * motherboard monitor: mbmon * Query and parse data from a memcache daemon: memcachec * statistics of the memcached distributed caching system: memcached * memory usage: memory * read values from Modbus/TCP enabled devices: modbus * statistics from mon.itor.us: Monitorus (Perl based plugin) * multimeter statistics: multimeter * MySQL statistics provided by MySQL's "show status" command: mysql * detailed Linux network interface and routing statistics: netlink * IO via the network: network * NFS utilization: nfs * Nginx (a HTTP and E-Mail server/proxy) statistics: nginx * send desktop notifications to a notification daemon: notify_desktop * send notification E-mails: notify_email * NTP daemon's local clock drift, offset to peers, etc.: ntpd * UPS information: nut * Optimized Link State Routing daemon statistics: olsrd * OpenVPN traffic and compression statistics: openvpn * OpenVZ statistics: OpenVZ (Perl based plugin) * embedded Perl interpreter: perl * timing values from Pinba: pinba * network latency statistics: ping * PostgreSQL database statistics: postgresql * PowerDNS name server statistics: powerdns * number of processes: processes * information about network protocols: protocols * embedded Python interpreter: python * write data via the RRD accelerator daemon: rrdcached * output to RRD files: rrdtool * lm_sensors information (e.g. CPU temperature, fan speeds): sensors * serial port traffic: serial * values from SNMP enabled network devices: snmp * swap usage: swap * logging to syslog: syslog * parse table-like structured files: table * incremental parsing of logfiles: tail * number of TCP connections to specific ports: tcpconns * TeamSpeak2 server statistics: teamspeak2 * power consumption measurements from "The Energy Detective" (TED): ted * Linux ACPI thermal zone information: thermal * Check thresholds and for missing values: threshold * Tokyo Tyrant server statistics: tokyotyrant * external runtime interface: unixsock * system uptime: uptime * number of users logged into the system: users * set the hostname to an unique identifier: uuid * Varnish HTTP accelerator daemon statistics: varnish * detailed virtual memory statistics: vmem * system resources used by Linux-VServers: vserver * wireless network stats: wireless * send collected values to a web-server: write_http Package: collectd-dbg Source: collectd Version: 5.1.0-3+deb7u3 Architecture: armhf Maintainer: Sebastian Harl Installed-Size: 2456 Depends: collectd-core (= 5.1.0-3+deb7u3) Recommends: collectd-utils (= 5.1.0-3+deb7u3), libcollectdclient0 (= 5.1.0-3+deb7u3) Homepage: http://collectd.org/ Priority: extra Section: debug Filename: pool/main/c/collectd/collectd-dbg_5.1.0-3+deb7u3_armhf.deb Size: 987914 SHA256: 1b25b97a3cb9a2c02a953a4ecfe8a9c86d81220a25007d47e7923742931f90df SHA1: f3392a3d788828084f858595db305b315eec13ec MD5sum: 957aeccb88973982626a7e4e2bc5a79d Description: statistics collection and monitoring daemon (debugging symbols) collectd is a small daemon which collects system information periodically and provides mechanisms to monitor and store the values in a variety of ways. Since the daemon doesn't need to startup every time it wants to update the values it's very fast and easy on the system. Also, the statistics are very fine grained since the files are updated every 10 seconds by default. . This package contains the debugging symbols. Package: collectd-dev Source: collectd Version: 5.1.0-3+deb7u3 Installed-Size: 300 Maintainer: Sebastian Harl Architecture: all Depends: collectd-core (>= 5.1.0-3+deb7u3), collectd-core (<< 5.2~) Size: 112812 SHA256: f9a9270679539eea70382d0711bb50e991ec271acdb003716b4cd9c479462897 SHA1: 91659bef3dabdfcf311206e55e17ba493bf16bed MD5sum: 4c0e955cef13fb3e0b71a7e77c9c2cef Description: statistics collection and monitoring daemon (development files) collectd is a small daemon which collects system information periodically and provides mechanisms to monitor and store the values in a variety of ways. Since the daemon doesn't need to startup every time it wants to update the values it's very fast and easy on the system. Also, the statistics are very fine grained since the files are updated every 10 seconds by default. . This package contains the development files needed to create your own plugins. Homepage: http://collectd.org/ Section: utils Priority: optional Filename: pool/main/c/collectd/collectd-dev_5.1.0-3+deb7u3_all.deb Package: collectd-utils Source: collectd Version: 5.1.0-3+deb7u3 Architecture: armhf Maintainer: Sebastian Harl Installed-Size: 122 Depends: iptables, libc6 (>= 2.13-28), libcollectdclient0 (>= 5.1.0), libxtables7 Recommends: collectd Suggests: nagios3 | nagios2 Replaces: collectd (<< 4.6.1-1~) Homepage: http://collectd.org/ Priority: optional Section: utils Filename: pool/main/c/collectd/collectd-utils_5.1.0-3+deb7u3_armhf.deb Size: 87882 SHA256: 07add84021232d26389ea7197f56b1b82d7366f828427acd7dc57d9c98e25d20 SHA1: 8a3532d446dec1f3e09a8eddf60f7bcad36350c5 MD5sum: 857251ff17ea746752162a3ff89710ed Description: statistics collection and monitoring daemon (utilities) collectd is a small daemon which collects system information periodically and provides mechanisms to monitor and store the values in a variety of ways. Since the daemon doesn't need to startup every time it wants to update the values it's very fast and easy on the system. Also, the statistics are very fine grained since the files are updated every 10 seconds by default. . This package contains the following utility: . * collectdctl: Control interface for collectd * collectd-nagios: Nagios plugin for querying collectd Package: collectl Version: 3.6.3-1 Installed-Size: 1766 Maintainer: Troy Heber Architecture: all Depends: pciutils, libtime-hires-perl, libio-compress-perl Recommends: ethtool Size: 625298 SHA256: 4cf24705cad51815e9a9ecb910ee3d3cb3a6100655f401fcd51c62fcf097f8c3 SHA1: 5356c28cf0ebf495da1cf00e6eebdd31d8486b9a MD5sum: 2a77c0780ac532243606c757619e8f05 Description: Utility to collect Linux performance data Collectl is a performance monitoring and benchmark tool that tries to do it all. You can choose to monitor any of a broad set of subsystems which currently include buddyinfo, cpu, disk, inodes, infiniband, lustre, memory, network, nfs, processes, quadrics, slabs, sockets and tcp. . Output can also be saved in a rolling set of logs for later playback or displayed interactively in a variety of formats. If all that isn't enough there are additional mechanisms for supplying data to external tools by generating output as s-expressions, a format of choice for some tools such as supermon or in another format called list-expressions. This output can be written to a file or sent over a socket. You can even create files in space-separated format for plotting with external packages like gnuplot. Homepage: http://collectl.sourceforge.net Tag: admin::benchmarking, role::program Section: admin Priority: optional Filename: pool/main/c/collectl/collectl_3.6.3-1_all.deb Package: collectl-utils Version: 3.2.1-1 Installed-Size: 1507 Maintainer: Troy Heber Architecture: all Depends: collectl, perl, perl-tk, libio-socket-ip-perl, libgetopt-simple-perl, gnuplot (>= 4.4) Suggests: apache2 Size: 262470 SHA256: 7075f591fdfd4b71fb21cda7cd1885a7747f10092c1a2ccb397aa4ed3ece06c3 SHA1: a6b07f7d76a89b8b94433d3b78ae2e011f287396 MD5sum: e0659491b4b5a1abd215a23e86e997f4 Description: Utilities to analyze and plot performance data from collectl collectl-utils is a collection of utilities to assist in analyzing data from the performance monitoring and benchmark tool collectl. . This package is a collection of collectl plotting tools and utilities and currently consists of three main utilities: . colplot: provides web or X based interactive plotting tool colmux: multiplexes output from multiple machines into a single stream colgui: displays real-time plots directly from collecl output Homepage: http://collectl-utils.sourceforge.net Section: admin Priority: optional Filename: pool/main/c/collectl-utils/collectl-utils_3.2.1-1_all.deb Package: colorcode Version: 0.7.2-1 Architecture: armhf Maintainer: Filippo Rusconi Installed-Size: 664 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0) Homepage: http://colorcode.laebisch.com/ Priority: extra Section: games Filename: pool/main/c/colorcode/colorcode_0.7.2-1_armhf.deb Size: 292788 SHA256: fc83388e5543d1c6fc8423bd8f87180dd682e5a1c98550a3065e6b0f240edc84 SHA1: d6eaf245cb9d5b574a629c2a50b5722d5d6f1129 MD5sum: 9d7c51fef5e214919b7942106a9072a9 Description: advanced clone of the MasterMind code-breaking game ColorCode is an advanced MasterMind code-breaking game clone. The program accepts setting the number of slots (from 2 to 5), the number of colors (from 2 to 10) and setting if colors might be repeated in the secret code (doubles allowed or not). The game has 5 built-in levels, from level 1 (beginner: 2 slots and 2 colors, with doubles allowed) to level 5 (hard: 5 slots and 10 colors, with doubles allowed). ColorCode can make guesses in place of the user. Package: colord Version: 0.1.21-1 Architecture: armhf Maintainer: Christopher James Halse Rogers Installed-Size: 1181 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcolord1 (>= 0.1.20), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgudev-1.0-0 (>= 146), libgusb2 (>= 0.1.3), liblcms2-2, libpolkit-gobject-1-0 (>= 0.99), libsane (>= 1.0.11-3), libsqlite3-0 (>= 3.5.9), libusb-1.0-0 (>= 2:1.0.8), adduser, acl, policykit-1 (>= 0.103) Multi-Arch: foreign Homepage: http://www.freedesktop.org/software/colord/ Priority: optional Section: graphics Filename: pool/main/c/colord/colord_0.1.21-1_armhf.deb Size: 228572 SHA256: f3d6548ca70b6f2a0a0cba2bee59f13bd1b3990b78f052d1c1ea7b4012648fc0 SHA1: 1f94b8db7275a29aecc8ce261c2be3bbcd66069a MD5sum: 73b8f64a3959b48d3155e60b75efbc78 Description: system service to manage device colour profiles -- system daemon colord is a system service that makes it easy to manage, install and generate colour profiles to accurately colour manage input and output devices. . It provides a D-Bus API for system frameworks to query, a persistent data store, and a mechanism for session applications to set system policy. . This package contains the dbus-activated colord system daemon. Package: colordiff Version: 1.0.10-1 Installed-Size: 73 Maintainer: Dave Ewart Architecture: all Depends: perl Size: 14478 SHA256: 4948a45ccf5f69f16c9d76e97b77fbd0c61bf75d97e705c37c5f177f00f11de3 SHA1: fc1463090548d6c91196687af2b918818c10ecbb MD5sum: ebec146ba15a1be1740b553a5893d506 Description: tool to colorize 'diff' output ColorDiff is a wrapper for the 'diff' command. It produces the same output as diff, but with colored highlighting to improve readability. The color schemes can be customized. . The output is similar to the syntax-highlighting mode of Vim or Emacs when editing a patch created by diff. Homepage: http://colordiff.sourceforge.net/ Tag: devel::prettyprint, implemented-in::perl, interface::commandline, role::program, scope::utility, use::comparing, use::synchronizing, works-with-format::TODO, works-with-format::diff, works-with::file, works-with::software:source, works-with::text Section: text Priority: optional Filename: pool/main/c/colordiff/colordiff_1.0.10-1_all.deb Package: colorgcc Version: 1.3.2.0-10 Installed-Size: 92 Maintainer: Ryan Niebur Architecture: all Depends: perl Size: 11766 SHA256: 77da79985a1e36c6dd7e26bb1d2cd2d6d4b2eb4d8de0c246fc247a3a6d25b241 SHA1: 61ac553791acdfc1ccb6af3a453e20ca3f81ecc7 MD5sum: fa64496c78ad63ca3bb467797554d90a Description: Colorizer for GCC warning/error messages A Perl wrapper to colorize the output of compilers with warning / error messages matching the gcc output format. Tag: devel::prettyprint, implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, works-with::logfile Section: devel Priority: extra Filename: pool/main/c/colorgcc/colorgcc_1.3.2.0-10_all.deb Package: colorhug-client Version: 0.1.10-1 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 1006 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libcolord1 (>= 0.1.20), libcolorhug1, libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.0.0), libgusb2 (>= 0.1.3), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.33.92), libusb-1.0-0 (>= 2:1.0.8), dconf-gsettings-backend | gsettings-backend Suggests: gnome-color-manager, argyll Homepage: http://www.hughski.com/downloads.html Priority: extra Section: graphics Filename: pool/main/c/colorhug-client/colorhug-client_0.1.10-1_armhf.deb Size: 246274 SHA256: bab49c1b56826ce8e607baecfa54751be9c6f6e631159fe07481d326aa49ac0f SHA1: 8e59545022e186a4c0f2a6606c739801c4805eb0 MD5sum: e15c90843c1d28308eb68363585926de Description: Tools for the Hughski Colorimeter The Hughski ColorHug colorimeter is a low cost open-source hardware sensor used to calibrate screens. . This package includes the client tools which allows the user to upgrade the firmware on the sensor, set the color calibration matrix or to access the sensor. . Please note that this package does not provide calibration, you should install gnome-color-manager or argyll for this purpose. Package: colormake Version: 0.9-1 Installed-Size: 53 Maintainer: Ludovic Rousseau Architecture: all Depends: perl, less, make Size: 10424 SHA256: 08a479ff4e6b89ffd369f3b855e3b6b778be163372d2f5479de3d939355c3e94 SHA1: 79433af7367e4267166d85bcfe3d425b783ea06f MD5sum: cc1dea4841782e102e967efcea1d0a39 Description: simple wrapper around make to colorize output This package contains colormake.pl which parses the output of make to colorize it to make it easier read. In addition, there are two wrapper scripts included, colormake and clmake, which can be invoked instead of make with colorized output on-the-fly. Homepage: http://bre.klaki.net/programs/colormake/ Tag: devel::buildtools, devel::prettyprint, implemented-in::perl, interface::commandline, role::program, scope::utility Section: devel Priority: optional Filename: pool/main/c/colormake/colormake_0.9-1_all.deb Package: colorname Version: 0.4+dfsg.1-3 Installed-Size: 110 Maintainer: David Paleino Architecture: all Depends: python, python-gtk2 (>= 2.10) Recommends: python-gnome2 (>= 2.18) Size: 22694 SHA256: e16448b6cdc64fdc09cb4ba7d46f4aaa267df1a48381a46ba5f93c3a6c5f0196 SHA1: 08e953ebad2665840370731ef4d5917e2cf8703f MD5sum: d1e8508fd273a397f78c2dbceba35d31 Description: utility to associate a name to a color colorname tries to assign a name to a color using external color definitions and a little math. . For this it calculates the Euclidean distance between the currently selected color and all predefined colors, either in the YIQ, RGB or HSV color space. Homepage: http://code.foosel.org/colorname Tag: implemented-in::python, role::program Section: utils Priority: optional Filename: pool/main/c/colorname/colorname_0.4+dfsg.1-3_all.deb Package: colortail Version: 0.3.3-1 Architecture: armhf Maintainer: Elías Alejandro Año Mendoza Installed-Size: 97 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://joakimandersson.se/projects/colortail/ Priority: optional Section: utils Filename: pool/main/c/colortail/colortail_0.3.3-1_armhf.deb Size: 24776 SHA256: 0b5a95b2d74a13986fd9b2dff6bd071f1f8ed6df2caf46837e35ae81624c6fe0 SHA1: cd7c5a2fb22726eb583d05384e5b46d676088d47 MD5sum: 5ec96fffa54c8fd0bf930f6388284c07 Description: log colorizer that makes log checking easier colortail works like tail but can optionally read one or more config files where it's specified which patterns results in which colors. Colortail uses regular expressions (see regex(7)) to determine which lines and parts of lines to print in which colors. Package: colortest Version: 20110624-1 Installed-Size: 80 Maintainer: Jari Aalto Architecture: all Depends: perl (>= 5), bash (>= 3) Size: 12814 SHA256: dd285db08d315638528a7c8c1f07bf17baa90aee3d5fbb174322425665cb029c SHA1: 77fa46b3b0a2e671790df278b6f0d388e9bfe5b9 MD5sum: 3a93f579f61d805813672ffa1b65c909 Description: utilities to test color capabilities of terminal Most terminals are capable of displaying 16 colors; 8 colors and 2 different brightness values, some (like xterm) can display more, even 256 colors. . The colortest package includes set of utilities to help test how many colors a terminal can show. These color settings depend on the $TERM environment variable and termcap entry and by adjusting or selecting correct values, higher colors may be available. The following numbers of colors can be tested for: 8, 16 and 256 colors. Homepage: http://www.frexx.de/xterm-256-notes/ Tag: implemented-in::perl, implemented-in::shell, interface::commandline, role::program, scope::utility Section: utils Priority: optional Filename: pool/main/c/colortest/colortest_20110624-1_all.deb Package: colortest-python Version: 1.4-2 Installed-Size: 55 Maintainer: Jari Aalto Architecture: all Depends: python Suggests: colortest Size: 9052 SHA256: 984053e265b54405d2f53c25cba1d6b8683612464336832f9e5feb0425c88940 SHA1: c2a45e3be914e344be347ce8d32d779f49182990 MD5sum: acab94816e252e14d8fc49d5a62faf9c Description: utility to test color capabilities of terminal Most terminals are capable of displaying 16 colors; 8 colors and 2 different brightness values, some (like xterm) can display more, even 256 colors. . The program automatically detects 8, 16, 88, 256 color capabilities (via ncurses) and displays the appropriate color charts. Program can display the colors as blocks or 2d cubes optionally with color values overlaid in int or hex values, it can show the full rgb text string and show the display with a vertical (default) or horizontal orientation. . In addition to the color charts it can also convert between 256 and 88 color values. This can be useful when converting various terminals like between urxvt and xterm-256. . The goal of this program was to provide the functionality of all the various Perl and sh scripts in one place with some bells and whistles. Homepage: http://freshmeat.net/projects/terminal_colors Tag: implemented-in::python, interface::commandline, role::program, scope::utility Section: utils Priority: optional Filename: pool/main/c/colortest-python/colortest-python_1.4-2_all.deb Package: colrconv Version: 0.99.3-4 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 70 Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5 Priority: optional Section: hamradio Filename: pool/main/c/colrconv/colrconv_0.99.3-4_armhf.deb Size: 14706 SHA256: 4827d929974670d2f67c032274f6e69235fd6497176e3fc28fbe19f84376c4da SHA1: bd6b661e08cc16df41c8b4933fecfa79bbdc1777 MD5sum: 0e9463f8eb782f01d21baa8af1f48c21 Description: Convers client with curses color support Colrconv is a modified version of VA3DP's ttylink client. In addition to the basic split screen session it gives you color and sound support plus some line editing capabilities, a scroll buffer and a status line. Also the default port is changed to 3600 (convers). Package: comerr-dev Source: e2fsprogs (1.42.5-1.1+deb7u1) Version: 2.1-1.42.5-1.1+deb7u1 Architecture: armhf Maintainer: Theodore Y. Ts'o Installed-Size: 192 Depends: libc6-dev | libc-dev, libcomerr2 (= 1.42.5-1.1+deb7u1), dpkg (>= 1.15.4) | install-info Suggests: doc-base Replaces: e2fslibs-dev (<< 1.33-2), libkrb5-dev (<< 1.3) Homepage: http://e2fsprogs.sourceforge.net Priority: extra Section: libdevel Filename: pool/main/e/e2fsprogs/comerr-dev_2.1-1.42.5-1.1+deb7u1_armhf.deb Size: 42698 SHA256: 1c41ad63b65adc7011caa6306e0d93ff28462c08b95e3cd827894e06f523cffd SHA1: d45bc8775b0b6e6f8cc38659190fd045e7bd542e MD5sum: 3445000fd73f6707d71f57b5130ae607 Description: common error description library - headers and static libraries libcom_err is an attempt to present a common error-handling mechanism to manipulate the most common form of error code in a fashion that does not have the problems identified with mechanisms commonly in use. . This package contains the development environment for the com_err library. Package: comgt Version: 0.32-2 Architecture: armhf Maintainer: Andreas "Jimmy" Gredler Installed-Size: 124 Depends: libc6 (>= 2.7) Conflicts: gcom (<< 0.32-1) Replaces: gcom (<< 0.32-1) Homepage: http://www.pharscape.org/ Priority: optional Section: net Filename: pool/main/c/comgt/comgt_0.32-2_armhf.deb Size: 42664 SHA256: f68ff3d491da5b661eafc73785f99e6e9cd29723f610eeae8362369b7ecde386 SHA1: e74773e38339600d24b2681b29bf424bd6a24ec9 MD5sum: 7e40812f42a4b2e137797866c020756b Description: Option GlobeTrotter and Vodafone datacard control tool Comgt is a scripting language interpreter useful for establishing communications on serial lines and through PCMCIA modems as well as GPRS and 3G datacards. Works with Option GlobeTrotter GPRS/EDGE/3G/HSDPA and Vodafone 3G/GPRS datacards. Package: comix Version: 4.0.4-1 Installed-Size: 1379 Maintainer: Emfox Zhou Architecture: all Depends: gconf2 (>= 2.10.1-2), python (>= 2.4), python-gtk2 (>= 2.12), python-imaging (>= 1.1.5) Suggests: unrar, python (>= 2.5) | python-sqllite2 Size: 328198 SHA256: df44511148833f8db88c7e1ac132e77776ac4def979136bcdfddf48a0651f6bd SHA1: 81887ac92d5d6c38afbc91e6c6ed20365a30bf48 MD5sum: 5c25cbcfd04c308539e0f4c2e6b37f0c Description: GTK Comic Book Viewer Comix is a comic book viewer. It reads zip, rar, tar, tar.gz and tar.bz2 archives (often called .cbz, .cbr and .cbt) as well as normal image files. It is written in Python and has a simple user interface using PyGTK. . Main Features: . * Fullscreen mode. * Double page mode. * Fit-to-screen mode. * Zooming and scrolling. * Rotation and mirroring. * Magnification lens. * Changeable image scaling quality. * Image enhancement. * Can read right-to-left to fit manga etc. * Caching for faster page flipping. * Bookmarks support. * Customizable GUI. * Archive comments support. * Archive converter. * Thumbnail browser. * Standards compliant. * Translated to English, Swedish, Simplified Chinese, Spanish, Brazilian Portuguese and German. * Reads the JPEG, PNG, TIFF, GIF, BMP, ICO, XPM and XBM image formats. * Reads ZIP and tar archives natively, and RAR archives through the unrar program. * Runs on Linux, FreeBSD, NetBSD and virtually any other UNIX-like OS. * More! Tag: implemented-in::python, interface::x11, role::program, scope::application, uitoolkit::gtk, use::browsing, use::entertaining, works-with-format::gif, works-with-format::jpg, works-with-format::png, works-with-format::tar, works-with-format::tiff, works-with-format::zip, works-with::archive, works-with::file, works-with::image, works-with::image:raster Section: x11 Priority: optional Filename: pool/main/c/comix/comix_4.0.4-1_all.deb Package: comixcursors Version: 0.7.2-2 Installed-Size: 36 Maintainer: Ben Finney Architecture: all Depends: comixcursors-righthanded Size: 5712 SHA256: fa95097ec87f4e897c6f60a24b5d3cfc1213fe746a3ae4f665304f18d05f3fb9 SHA1: e2dfd949b880c3ec5d01903d5613ff09e812d0e0 MD5sum: 040be6d913c38a9f1526bf84ece7d0fb Description: transitional dummy package ComixCursors is a set of mouse pointer themes for X11 in the style of comic-book art. . This package is transitional to install the right-handed, translucent cursor set, which is now in the ‘comixcursors-righthanded’ package. Homepage: http://opendesktop.org/content/show.php/ComixCursors?content=32627 Tag: role::app-data, role::dummy, x11::theme Section: oldlibs Priority: extra Filename: pool/main/c/comixcursors/comixcursors_0.7.2-2_all.deb Package: comixcursors-lefthanded Source: comixcursors Version: 0.7.2-2 Installed-Size: 48144 Maintainer: Ben Finney Architecture: all Suggests: comixcursors-righthanded, comixcursors-righthanded-opaque, comixcursors-lefthanded-opaque Breaks: comixcursors (<< 0.7) Size: 3598554 SHA256: 9862f08f9dde8c75efe4f652630c650b560d2c9cb1c6b8298faf0008f8369715 SHA1: 5b315c58e6e0511dcebd455278a99df40b01ade4 MD5sum: 80d8f001350f028b4e17a12a4530f661 Description: X11 mouse pointer themes with a comic art feeling (LH, translucent) ComixCursors is a set of mouse pointer themes for X11 in the style of comic-book art. . The package includes cursor themes in a wide combination of variants: * 6 different colors (black, blue, green, orange, red, white) * 4 different sizes (small, regular, large, huge) * 2 different weights (slim, normal) . This package installs the left-handed, translucent ComixCursors themes. Homepage: http://opendesktop.org/content/show.php/ComixCursors?content=32627 Tag: role::app-data, x11::theme Section: x11 Priority: optional Filename: pool/main/c/comixcursors/comixcursors-lefthanded_0.7.2-2_all.deb Package: comixcursors-lefthanded-opaque Source: comixcursors Version: 0.7.2-2 Installed-Size: 48144 Maintainer: Ben Finney Architecture: all Suggests: comixcursors-righthanded, comixcursors-lefthanded, comixcursors-righthanded-opaque Breaks: comixcursors (<< 0.7) Size: 3236964 SHA256: be109c1a8755445696d217b63fdc9aff6b2ecfcac5ee4abd4511a9434feaee96 SHA1: fd26ae9673b12fca62d7cdd329b1ff9cec98c483 MD5sum: 5bef3609e2e563080c73deab87f2e25c Description: X11 mouse pointer themes with a comic art feeling (LH, opaque) ComixCursors is a set of mouse pointer themes for X11 in the style of comic-book art. . The package includes cursor themes in a wide combination of variants: * 6 different colors (black, blue, green, orange, red, white) * 4 different sizes (small, regular, large, huge) * 2 different weights (slim, normal) . This package installs the left-handed, opaque ComixCursors themes. Homepage: http://opendesktop.org/content/show.php/ComixCursors?content=32627 Tag: role::app-data, x11::theme Section: x11 Priority: optional Filename: pool/main/c/comixcursors/comixcursors-lefthanded-opaque_0.7.2-2_all.deb Package: comixcursors-righthanded Source: comixcursors Version: 0.7.2-2 Installed-Size: 48144 Maintainer: Ben Finney Architecture: all Replaces: comixcursors (<< 0.7) Provides: comixcursors Suggests: comixcursors-lefthanded, comixcursors-righthanded-opaque, comixcursors-lefthanded-opaque Breaks: comixcursors (<< 0.7) Size: 3607130 SHA256: ad5bb8ae095ae96b99b5f0fd4319b6deda328cab699c935332a1ef64e31bafb9 SHA1: 9971c763e3f2c4e3a93079d8c057dcbbe1a6d729 MD5sum: 2c62f2ac60dfca9d8515a8b3b6472279 Description: X11 mouse pointer themes with a comic art feeling (RH, translucent) ComixCursors is a set of mouse pointer themes for X11 in the style of comic-book art. . The package includes cursor themes in a wide combination of variants: * 6 different colors (black, blue, green, orange, red, white) * 4 different sizes (small, regular, large, huge) * 2 different weights (slim, normal) . This package installs the “original” (right-handed, translucent) ComixCursors themes, and some additional “bonus” variants. Homepage: http://opendesktop.org/content/show.php/ComixCursors?content=32627 Tag: role::app-data, x11::theme Section: x11 Priority: optional Filename: pool/main/c/comixcursors/comixcursors-righthanded_0.7.2-2_all.deb Package: comixcursors-righthanded-opaque Source: comixcursors Version: 0.7.2-2 Installed-Size: 48144 Maintainer: Ben Finney Architecture: all Suggests: comixcursors-righthanded, comixcursors-lefthanded, comixcursors-lefthanded-opaque Breaks: comixcursors (<< 0.7) Size: 3229510 SHA256: bb62b4cd89ed0c469646ef1c0c643354f65c90a4807424488924327d94ad49d6 SHA1: b108ffcae2c0d9f8dff2fad8a5aed4666660edcc MD5sum: 7d87ef335f87e81e7daea763ce1eba70 Description: X11 mouse pointer themes with a comic art feeling (RH, opaque) ComixCursors is a set of mouse pointer themes for X11 in the style of comic-book art. . The package includes cursor themes in a wide combination of variants: * 6 different colors (black, blue, green, orange, red, white) * 4 different sizes (small, regular, large, huge) * 2 different weights (slim, normal) . This package installs the right-handed, opaque ComixCursors themes. Homepage: http://opendesktop.org/content/show.php/ComixCursors?content=32627 Tag: role::app-data, x11::theme Section: x11 Priority: optional Filename: pool/main/c/comixcursors/comixcursors-righthanded-opaque_0.7.2-2_all.deb Package: command-not-found Version: 0.2.38-1 Installed-Size: 144 Maintainer: Julian Andres Klode Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), apt-file, python-gdbm, lsb-release Size: 12956 SHA256: e27847311bcc021a8dcc506c15c700a480a1c412fee340a3a56e3ce80fc8f014 SHA1: 931d948f92ed173649b783e6c2033ef3fb3110f0 MD5sum: 718fec0f8c4a2312e30deb83b06066a5 Description: Suggest installation of packages in interactive bash sessions This package will install handler for command_not_found that looks up programs not currently installed but available from the repositories. Tag: implemented-in::python, interface::shell, role::program, scope::utility Section: admin Priority: optional Filename: pool/main/c/command-not-found/command-not-found_0.2.38-1_all.deb Package: command-runner-applet Version: 0.2-2 Installed-Size: 67 Maintainer: Marcin Owsiany Architecture: all Depends: python, python-gobject (>= 2.28), gir1.2-gtk-3.0, gir1.2-gdkpixbuf-2.0, gir1.2-gconf-2.0, gir1.2-panelapplet-4.0 Size: 8460 SHA256: 360af727b15d7c3441eb2c7c0f4003048e47a31bea57444ee4d47ca71a790d28 SHA1: f55f84f213876cdd4f89fa046a0c3141edb592fb MD5sum: baedadeb85dbc142e5d93558bbb85f7c Description: panel applet which periodically displays a command output This applet periodically runs a configured shell command and displays its output in a text label on the GNOME panel. . It is useful for keeping track of something, for which having a terminal window constantly open would be inconvenient. Tag: implemented-in::python, interface::x11, role::plugin, scope::utility, suite::gnome, uitoolkit::gtk, use::monitor, works-with::software:running, x11::applet Section: gnome Priority: extra Filename: pool/main/c/command-runner-applet/command-runner-applet_0.2-2_all.deb Package: commit-patch Version: 2.4-1 Installed-Size: 168 Maintainer: David Caldwell Architecture: all Depends: perl, libipc-run-perl, patch, patchutils Suggests: darcs | git | mercurial | bzr | subversion | cvs Size: 18622 SHA256: 61097ece3fd63a001a0ba8ae7b8d620cce31c1ea7c84d9cbd9704ff2f7262423 SHA1: 48e915070f3fe3bdc39430fe0dcdf192b3b0e3c9 MD5sum: 455673ae4ac1fdb089fec039e239608b Description: utility to commit fine grained patches to source code control repositories Normally version control systems don't allow fine grained commits. commit-patch allows the user to control exactly what gets committed by letting the user supply a patch to be committed rather than using the files in the current working directory. . commit-patch supports Darcs, Git, Mercurial, Bazaar, Subversion, or CVS repositories. . Also included is an Emacs interface to commit-patch. It allows you to just hit C-c C-c in any patch buffer to apply and commit only the changes indicated by the patch, regardless of the changes in your working directory. Homepage: http://porkrind.org/commit-patch/ Tag: works-with-format::diff Section: vcs Priority: optional Filename: pool/main/c/commit-patch/commit-patch_2.4-1_all.deb Package: common-lisp-controller Version: 7.10 Installed-Size: 61 Maintainer: Debian Common Lisp Team Architecture: all Replaces: cmucl (<< 3.1.0) Depends: debconf (>= 1.0) | debconf-2.0, bash (>= 2.04-9), debianutils (>= 1.7), cl-asdf (>= 2:2.009-1), realpath, perl, adduser Suggests: sbcl, darcs Breaks: cl-asdf (<< 1.501), clisp (<< 1:2.48-1), cmucl (<< 3.1.0), sbcl (<< 1:1.0.29.0) Size: 36490 SHA256: 46bab979c59dec0d1520d4e3c84f163a95a785b09592988f049d94ac009af778 SHA1: 5ccb269c56eadfdbea701b0265bce5641f1be653 MD5sum: 08fdce7e54bc6e2ae345ef56d34c5332 Description: Common Lisp source and compiler manager This package helps installing Common Lisp sources and compilers. . It creates a user-specific cache of compiled objects. When a library or an implementation is upgraded, all compiled objects in the cache are flushed. It also provides tools to recompile all libraries and to generate Debian packages from asdf-install packages. . Also includes clc-clbuild, a wrapper for clbuild. Please see http://common-lisp.net/project/clbuild/ for more information. . This package also contains a wrapper to invoke emacs with a clbuild provided slime environment. Homepage: http://pkg-common-lisp.alioth.debian.org Tag: devel::compiler, devel::debian, devel::lang:lisp, devel::packaging, interface::commandline, role::program, scope::utility, suite::debian, use::organizing, works-with::software:package, works-with::software:source Section: lisp Priority: optional Filename: pool/main/c/common-lisp-controller/common-lisp-controller_7.10_all.deb Package: comparepdf Version: 1.0.1-1 Architecture: armhf Maintainer: David Paleino Installed-Size: 111 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpoppler-qt4-3 (>= 0.16), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://www.qtrac.eu/comparepdf.html Priority: optional Section: utils Filename: pool/main/c/comparepdf/comparepdf_1.0.1-1_armhf.deb Size: 35102 SHA256: 9e32c0f20f9df1f9b984ae1ca3ec65cfa8df05337eee5dac6868dbf15a255af6 SHA1: c0496d48035c1980a0655d2ccd6fdd9944137565 MD5sum: 2ddf57f5d9fcc45c442f46e07568db15 Description: command line tool for comparing two PDF files comparepdf is a command line tool for comparing two PDF files. . By default it compares their texts but it can also compare them visually (e.g., to detect changes in diagrams, images, fonts, and layout). . It should prove useful for automated testing. Package: compartment Version: 1.1.0-4 Architecture: armhf Maintainer: Javier Fernandez-Sanguino Pen~a Installed-Size: 59 Depends: libc6 (>= 2.4) Priority: optional Section: admin Filename: pool/main/c/compartment/compartment_1.1.0-4_armhf.deb Size: 17262 SHA256: 54e740a9a6a2e43f1f6e0be9f10d77a4be2723a0e878689a1b3ec2d2589ed7bc SHA1: 36cc6814de53fb7e2402ed37809fa6e69f88fee1 MD5sum: 7a60dc285e61f7ccdf151de1fe63d408 Description: Confine services in a limited environment Compartment was designed to allow safe execution of privileged and/or untrusted executables and services. It has got all possible features included, which can be used to minimize the risk of a trojanized or vulnerable program/service. Package: compass-fancy-buttons-plugin Version: 1.1.1~20120313-1 Installed-Size: 85 Maintainer: Jonas Smedegaard Architecture: all Depends: ruby-compass | ruby-sass Recommends: ruby-compass Size: 14750 SHA256: 943795266e293fafd27a8c5845d35e4f4b9933950c79f39c37862d0f3d405484 SHA1: aba643afc27636e4d4bc94709c9b07f2a7dc4b40 MD5sum: 5894c4e315831bb2ea1f9806bf81a068 Description: Compass plugin implementing fancy CSS3 buttons Compass is a framework for compiling CSS from similar yet more flexible Sass (either .sass or .scss) source files. . Fancy Buttons helps you easily style buttons with beautiful CSS3 features like gradients, rounded corners, etc. Don't worry the buttons also degrade nicely for browsers not supporting CSS3. Homepage: https://github.com/imathis/fancy-buttons Tag: role::plugin Section: ruby Priority: optional Filename: pool/main/c/compass-fancy-buttons-plugin/compass-fancy-buttons-plugin_1.1.1~20120313-1_all.deb Package: compass-h5bp-plugin Version: 0.0.5-1 Installed-Size: 59 Maintainer: Jonas Smedegaard Architecture: all Depends: ruby-compass | ruby-sass Recommends: ruby-compass Size: 9114 SHA256: 4fc802174cdba5f3c3b7741d9cf82d7239df11cd968c2a6538969481ab46cf47 SHA1: 0775ffd820b88251975f58da42f677d2ce813597 MD5sum: dceeb0b352b2955e6fa93ea4d51bbfab Description: Compass extension for Html5 Boilerplate Compass is a framework for compiling CSS from similar yet more flexible Sass (either .sass or .scss) source files. . This package contains a Compass extension of Html5 mixins extracted from Html5 Boilerplate by Paul Irish and Divya Manian. Homepage: https://github.com/sporkd/compass-h5bp Section: ruby Priority: optional Filename: pool/main/c/compass-h5bp-plugin/compass-h5bp-plugin_0.0.5-1_all.deb Package: compass-layoutgala-plugin Version: 0.2-1 Installed-Size: 111 Maintainer: Jonas Smedegaard Architecture: all Depends: ruby-compass | ruby-sass Recommends: ruby-compass Size: 32574 SHA256: 6e56427367a4a4e95a74bd97bfbc49e700cd59059da0f4924f6ef766baa95226 SHA1: 6650baf3d731e791594dbbda2ad5c82b072d686a MD5sum: fd27925824ef95722b17c6c993339695 Description: Compass plugin implementing the Layout-gala CSS styles Compass is a framework for compiling CSS from similar yet more flexible Sass (either .sass or .scss) source files. . Layout-gala is a collection of 40 CSS layouts styling a single HTML markup, without hacks nor workarounds and a good cross-browser compatibility. The layouts uses techniques like negative margins, any order columns and opposite floats: . . This package contains an implementation of Layout-gala for Compass. Homepage: http://anonscm.debian.org/gitweb/?p=collab-maint/compass-layoutgala-plugin.git;a=blob;f=README.mkdn Tag: role::plugin Section: ruby Priority: optional Filename: pool/main/c/compass-layoutgala-plugin/compass-layoutgala-plugin_0.2-1_all.deb Package: compass-slickmap-plugin Version: 0.5.1.1-2 Installed-Size: 64 Maintainer: Jonas Smedegaard Architecture: all Depends: ruby-compass | ruby-sass Recommends: ruby-compass Size: 9368 SHA256: bee896fa1af0fa9b56f2de1386495d2e3a079c8d5afaec7e2d24bd95ede3c126 SHA1: 9cd8743ad54bb35c940e11e7ff21c3daf5e6502b MD5sum: f59cad37d4eead9499bd5d788b44e70e Description: Compass plugin implementing SlickMapCSS sitemap Compass is a framework for compiling CSS from similar yet more flexible Sass (either .sass or .scss) source files. . SlickMapCSS is a technique to visualize a sitemap using only unnumbered lists and clever crafted CSS. More info: http://astuteo.com/slickmap/ . This package contains an implementation of SlickmapCSS for Compass. Homepage: https://github.com/tdreyno/compass-slickmap Tag: role::plugin Section: ruby Priority: optional Filename: pool/main/c/compass-slickmap-plugin/compass-slickmap-plugin_0.5.1.1-2_all.deb Package: compass-susy-plugin Version: 0.9-2 Installed-Size: 75 Maintainer: Jonas Smedegaard Architecture: all Replaces: compass-susy-plugin1.8 Depends: ruby-compass | ruby-sass Recommends: ruby-compass Conflicts: compass-susy-plugin1.8 Size: 20058 SHA256: 19ad50a0efca4646bd4428d5ed5980f69c013bb10f1820265d0cc46bdfab9279 SHA1: f8798759e117e20a5128481bb32d2cefe73e421e MD5sum: 48b862fcbbb6aff7fc372f518555b2ef Description: Compass plugin providing an elastic grid system Susy is a semantic CSS framework, entirely native to Compass. Susy is an elastic grid system that will never activate the side-scroll bar. With Susy you can build quick, custom grids that respond to the needs of the user without giving up design integrity. Susy sets your width on the outer element (container), adds a max-width of 100% and builds the rest of your grid in percentages. The philosophy and technique are based on Natalie Downe's "CSS Systems" - which introduces difficult math in the service of beautiful structure. . Using simple mixins, columns can be created, suffixed, prefixed, and nested easily - and always in flexible percentages. Homepage: http://susy.oddbird.net/ Tag: role::plugin Section: ruby Priority: optional Filename: pool/main/c/compass-susy-plugin/compass-susy-plugin_0.9-2_all.deb Package: compass-yui-plugin Version: 0~20100724-2 Installed-Size: 108 Maintainer: Jonas Smedegaard Architecture: all Depends: ruby-compass | ruby-sass Recommends: ruby-compass Size: 9580 SHA256: 6db40176d90ebdfeb137baefa8c53a08478aefde28cffd1e819145a4229b33dc SHA1: 76a786440428308859e7259667350f7a3c82718e MD5sum: ee1914fc23fb84e035c0591c989e0468 Description: Compass plugin implementing the YUI CSS Foundation Compass is a framework for compiling CSS from similar yet more flexible Sass (either .sass or .scss) source files. . This package contains an implementation of the YUI CSS foundation, including CSS Reset, CSS Fonts, and CSS Grids resources, for Compass. Homepage: https://github.com/chriseppstein/yui-compass-plugin Tag: role::plugin Section: ruby Priority: optional Filename: pool/main/c/compass-yui-plugin/compass-yui-plugin_0~20100724-2_all.deb Package: compface Source: libcompface Version: 1:1.5.2-5 Architecture: armhf Maintainer: Hakan Ardo Installed-Size: 59 Depends: libc6 (>= 2.4), libcompfaceg1 Priority: optional Section: mail Filename: pool/main/libc/libcompface/compface_1.5.2-5_armhf.deb Size: 12634 SHA256: b76b1c640905a458980ccf12b0c6eac0d2b2d1bcbc896ace0b414398201a1c3b SHA1: 4e1fd8cac213d134c2c05f4a01472506165b3f4c MD5sum: 3e0d6122afce1514315148c8c5f74ce4 Description: Compress/decompress images for mailheaders, user tools Converts 48x48 .xbm format (X bitmap) files to a compressed format that can be placed in your X-Face: mail header. Some mailreaders, like exmh will then display this image when the user is reading your mail. Package: compiz Version: 0.8.4-5.2 Installed-Size: 55 Maintainer: Debian X Strike Force Architecture: all Depends: compiz-core (>= 0.8.4-5.2), compiz-plugins (>= 0.8.4-5.2), compiz-gtk (>= 0.8.4-5.2), libcompizconfig0 Suggests: compizconfig-settings-manager Size: 29930 SHA256: b5ce2e2829268fd2aaa507ddc58bdf284bd9aa54365016699fc634cc4b3a59ff SHA1: a6dccb394fb83bc9ab45be21e77cf8e1c124e28f MD5sum: cbf3532e5088233ac63660db604519f1 Description: OpenGL window and compositing manager Compiz brings to life a variety of visual effects that make the Linux desktop easier to use, more powerful and intuitive, and more accessible for users with special needs. . This metapackage provides the components necessary for running compiz. It provides the compiz core, a set of standard plugins, a window decorator using the Gtk toolkit and the files necessary to integrate compiz with the GNOME desktop environment. Tag: accessibility::screen-magnify, implemented-in::c, interface::3d, interface::x11, role::metapackage, role::program, scope::application, suite::gnome, uitoolkit::gtk, uitoolkit::xlib, x11::window-manager Section: x11 Priority: optional Filename: pool/main/c/compiz/compiz_0.8.4-5.2_all.deb Package: compiz-core Source: compiz Version: 0.8.4-5.2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 4543 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libice6 (>= 1:1.0.0), libsm6, libstartup-notification0 (>= 0.7), libx11-6, libxcomposite1 (>= 1:0.3-1), libxcursor1 (>> 1.1.2), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxinerama1, libxml2 (>= 2.7.4), libxrandr2 (>= 4.3), libxslt1.1 (>= 1.1.25), mesa-utils Recommends: compiz-plugins (= 0.8.4-5.2) Suggests: nvidia-glx (>= 1.0.9625-1) Breaks: libcompizconfig0 (<< 0.8.0) Provides: compiz-core-abiversion-20090619 Priority: optional Section: x11 Filename: pool/main/c/compiz/compiz-core_0.8.4-5.2_armhf.deb Size: 1090784 SHA256: a4b506bd12d5b1f5c71bbe1eef7b88822588eae4df1bebc048c94e14263082d1 SHA1: 41ac8e523a590708d02c9deb6b6b83ae507297cb MD5sum: fa4158e035d4bae68de7ebf367dd4831 Description: OpenGL window and compositing manager Compiz brings to life a variety of visual effects that make the Linux desktop easier to use, more powerful and intuitive, and more accessible for users with special needs. . Compiz combines together a window manager and a composite manager using OpenGL for rendering. A "window manager" allows the manipulation of the multiple applications and dialog windows that are presented on the screen. A "composite manager" allows windows and other graphics to be combined together to create composite images. Compiz achieves its stunning effects by doing both of these functions. Package: compiz-dev Source: compiz Version: 0.8.4-5.2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 177 Depends: compiz-core (= 0.8.4-5.2), libgl1-mesa-dev | libgl-dev, libpng-dev, libxcomposite-dev (>= 1:0.3-2), libxfixes-dev (>= 1:4.0.1), libxdamage-dev (>= 1:1.0.3), libxinerama-dev, libxrandr-dev (>= 2:1.1.0.2), libxcursor-dev, libice-dev (>= 1:1.0.1), libsm-dev (>= 1:1.0.1), libstartup-notification0-dev (>= 0.7), libxslt1-dev, libxml2-dev Priority: optional Section: x11 Filename: pool/main/c/compiz/compiz-dev_0.8.4-5.2_armhf.deb Size: 59830 SHA256: c1ee604b52227264837d37473cbe6d0f0ec2baae6eb762e5dd878a4924b92ee8 SHA1: 4f7aff0898ba2a1f2d6a47a2dad9d69b927fd92e MD5sum: 8f003f394ed3fa3c2402e139a6706410 Description: OpenGL window and compositing manager - development files Compiz brings to life a variety of visual effects that make the Linux desktop easier to use, more powerful and intuitive, and more accessible for users with special needs. . This package contains the headers and libraries needed to compile compiz plugins. Package: compiz-fusion-bcop Version: 0.8.4-1 Installed-Size: 120 Maintainer: Sean Finney Architecture: all Depends: pkg-config, xsltproc Size: 9124 SHA256: be7b7cc0a5c2ae43e6a88734e743611bea4e02f93e011db61306faee0bddaea1 SHA1: df924b25e30e0058b0b37ac555e6e3cbd0103fea MD5sum: 290edbcd6925f22263570d9f795cb636 Description: Compiz Fusion option code generator Compiz Fusion is the result of the re-unification of the Beryl-project and the community around the Compiz Window Manager. It seeks to provide an easy and fun-to-use windowing environment, allowing use of the graphics hardware to provide impressive effects, amazing speed and unrivalled usefulness . This tool is used to generate code for use with compiz-fusion plugins. Tag: implemented-in::python, interface::3d, interface::x11, role::program, suite::gnome, uitoolkit::gtk, x11::application, x11::window-manager Section: x11 Priority: extra Filename: pool/main/c/compiz-fusion-bcop/compiz-fusion-bcop_0.8.4-1_all.deb Package: compiz-fusion-plugins-extra Version: 0.8.4-2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 7214 Depends: libc6 (>= 2.13-28), libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libsm6, libstartup-notification0 (>= 0.2), libx11-6, libxcomposite1 (>= 1:0.3-1), libxcursor1 (>> 1.1.2), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxinerama1, libxml2 (>= 2.6.27), libxrandr2, libxslt1.1 (>= 1.1.25), compiz-core-abiversion-20090619 Priority: extra Section: x11 Filename: pool/main/c/compiz-fusion-plugins-extra/compiz-fusion-plugins-extra_0.8.4-2_armhf.deb Size: 3157362 SHA256: 81184f11b9345ded11efabe0144bf61f0135db88013e0eafd8acab106f585715 SHA1: c924fe800dde3a2b4df075a1ec8fa335699c7274 MD5sum: c353a1f9abe976191b1275875f3236ad Description: Compiz Fusion plugins - extra collection Compiz Fusion is the result of the re-unification of the Beryl-project and the community around the Compiz Window Manager. It seeks to provide an easy and fun-to-use windowing environment, allowing use of the graphics hardware to provide impressive effects, amazing speed and unrivalled usefulness . This package provides plugins which are not as well tested and supported as the "main" plugins from the compiz-fusion project. Package: compiz-fusion-plugins-main Version: 0.8.4-2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 6432 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.12.0), libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libjpeg62 (>= 6b1), libpango1.0-0 (>= 1.14.0), libsm6, libstartup-notification0 (>= 0.2), libx11-6, libxcomposite1 (>= 1:0.3-1), libxcursor1 (>> 1.1.2), libxdamage1 (>= 1:1.1), libxext6, libxfixes3 (>= 1:4.0.1), libxinerama1, libxml2 (>= 2.7.4), libxrandr2, libxrender1, libxslt1.1 (>= 1.1.25), compiz-core-abiversion-20090619 Priority: extra Section: x11 Filename: pool/main/c/compiz-fusion-plugins-main/compiz-fusion-plugins-main_0.8.4-2_armhf.deb Size: 1898710 SHA256: a872189e74e367be495fc9d7a5d184fbacb632f8bbbb4b484fd4606329a6e857 SHA1: 5dae57c0bb5a98bb9e86b79100a7d52f9fe201f4 MD5sum: 37881eadfc2d817fdb4cfc8b986e83ec Description: Compiz Fusion plugins - main collection Compiz Fusion is the result of the re-unification of the Beryl-project and the community around the Compiz Window Manager. It seeks to provide an easy and fun-to-use windowing environment, allowing use of the graphics hardware to provide impressive effects, amazing speed and unrivalled usefulness. . This package provides the primary and most well tested set of plugins from the compiz-fusion project. Package: compiz-fusion-plugins-unsupported Version: 0.8.4-3 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 4412 Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libstartup-notification0 (>= 0.2), libx11-6, libxcomposite1 (>= 1:0.3-1), libxcursor1 (>> 1.1.2), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxinerama1, libxml2 (>= 2.6.27), libxrandr2, libxslt1.1 (>= 1.1.25), compiz-core-abiversion-20090619 Priority: extra Section: x11 Filename: pool/main/c/compiz-fusion-plugins-unsupported/compiz-fusion-plugins-unsupported_0.8.4-3_armhf.deb Size: 1477450 SHA256: a1be9bd3e9efb4c8a83d5a54adca4d9f5dbb1173563ee25d1698e8c81b6c71f6 SHA1: 6cb0fbd083a955c9501e390f728823c4b404037d MD5sum: 3cb01f45ff9751254044519533340e64 Description: Compiz Fusion plugins - "unsupported" collection Compiz Fusion is the result of the re-unification of the Beryl-project and the community around the Compiz Window Manager. It seeks to provide an easy and fun-to-use windowing environment, allowing use of the graphics hardware to provide impressive effects, amazing speed and unrivalled usefulness . This package provides an extended collection of plugins, which have received the least amount of review and are the most likely to be problematic on your system. Package: compiz-gtk Source: compiz Version: 0.8.4-5.2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 232 Depends: compiz-core (= 0.8.4-5.2), gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdecoration0 (>= 0.8.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libmetacity-private0a (>= 1:2.34.0), libpango1.0-0 (>= 1.14.0), libwnck22 (>= 2.30.0-3), libx11-6, libxcursor1 (>> 1.1.2), libxrender1, gconf2 (>= 2.28.1-2) Suggests: gnome-themes Replaces: compiz-plugins (<< 0.7.6-2) Priority: optional Section: x11 Filename: pool/main/c/compiz/compiz-gtk_0.8.4-5.2_armhf.deb Size: 87412 SHA256: 75a50747446817e30b8ca49b79f3830ec315cc2a3058a9223a7e4d605466d741 SHA1: 01c35bc5177c5c960426636f4c377e36acb4ef4f MD5sum: 5953ab3718d120596673ff637b8fd7ed Description: OpenGL window and compositing manager - Gtk window decorator Compiz brings to life a variety of visual effects that make the Linux desktop easier to use, more powerful and intuitive, and more accessible for users with special needs. . This package contains a window decorator that uses Gtk to provide a look and feel similar to that of the metacity window manager. Package: compiz-kde Source: compiz Version: 0.8.4-5.2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 196 Depends: compiz-core (= 0.8.4-5.2), kde-runtime, libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdecoration0 (>= 0.8.4), libgcc1 (>= 1:4.4.0), libkdecorations4 (>= 4:4.6.1), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libplasma3 (>= 4:4.4.4-2~), libqt4-dbus (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.3.0), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3 Priority: optional Section: x11 Filename: pool/main/c/compiz/compiz-kde_0.8.4-5.2_armhf.deb Size: 94010 SHA256: 59a641c67b8203a7a71c5102e56fbd62057a75942a6fa591fc69a65b111bf2ce SHA1: 7ac5e979309fced606e815de8ee8458cee0802b9 MD5sum: 6b53c37e23d129f67694a4f098eb51d0 Description: OpenGL window and compositing manager - KDE window decorator Compiz brings to life a variety of visual effects that make the Linux desktop easier to use, more powerful and intuitive, and more accessible for users with special needs. . This package contains a window decorator that uses KDE to provide a look and feel similar to that of the default KDE window manager. Package: compiz-plugins Source: compiz Version: 0.8.4-5.2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 2430 Depends: compiz-core (= 0.8.4-5.2), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdecoration0 (>= 0.8.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libglu1-mesa | libglu1, libpng12-0 (>= 1.2.13-4), librsvg2-2 (>= 2.14.4), libx11-6, libxml2 (>= 2.7.4), libxrender1, gconf2 (>= 2.28.1-2) Priority: optional Section: x11 Filename: pool/main/c/compiz/compiz-plugins_0.8.4-5.2_armhf.deb Size: 497868 SHA256: d94c21686e59c11d92459090828e97c537db402211ff335fd93c833e6148d8aa SHA1: 75b226321d090524972223db33565b5406440f77 MD5sum: 899a87b0e2da2122f96da26162c0c0db Description: OpenGL window and compositing manager - plugins Compiz brings to life a variety of visual effects that make the Linux desktop easier to use, more powerful and intuitive, and more accessible for users with special needs. . This package contains the standard plugins that come with compiz. Compiz without these plugins is not very useful. Package: compizconfig-backend-gconf Version: 0.8.4-1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 74 Depends: gconf-service, libc6 (>= 2.13-28), libcompizconfig0, libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.12.0), libice6 (>= 1:1.0.0), libsm6, libstartup-notification0 (>= 0.2), libx11-6, libxcomposite1 (>= 1:0.3-1), libxcursor1 (>> 1.1.2), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxinerama1, libxml2 (>= 2.6.27), libxrandr2, libxslt1.1 (>= 1.1.25) Priority: extra Section: x11 Filename: pool/main/c/compizconfig-backend-gconf/compizconfig-backend-gconf_0.8.4-1_armhf.deb Size: 14970 SHA256: 943ff8ca472e63a1398fd7d27dcb5c64eda780222f560cb045fbd0834cd1c4ca SHA1: 101abc81976b03d79e8a002a4f748db51720fcc2 MD5sum: 4590d98d1264f3f9a4b10b8cae669838 Description: Compiz Fusion configuration system - gconf backend Compiz Fusion is the result of the re-unification of the Beryl-project and the community around the Compiz Window Manager. It seeks to provide an easy and fun-to-use windowing environment, allowing use of the graphics hardware to provide impressive effects, amazing speed and unrivalled usefulness . This package provides the gconf-based configuration backend for the compiz-fusion plugin system Package: compizconfig-backend-kconfig Version: 0.8.4-2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 108 Depends: libc6 (>= 2.13-28), libcompizconfig0, libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6 Priority: extra Section: x11 Filename: pool/main/c/compizconfig-backend-kconfig/compizconfig-backend-kconfig_0.8.4-2_armhf.deb Size: 27922 SHA256: 310c3ac4aea6a04a970f42c2dd83ba5865c0aa29cfef537818ab269afc079c0b SHA1: 937ddec6d8d7c25f5a49a0888b2c056208eae36b MD5sum: 1830e133e9aa2ed948aaa26f030fde54 Description: Compiz Fusion configuration system - kconfig backend Compiz Fusion is the result of the re-unification of the Beryl-project and the community around the Compiz Window Manager. It seeks to provide an easy and fun-to-use windowing environment, allowing use of the graphics hardware to provide impressive effects, amazing speed and unrivalled usefulness . This package provides the kconfig-based (kde) configuration backend for the compiz-fusion plugin system Package: compizconfig-settings-manager Source: ccsm Version: 0.8.4-2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 3756 Depends: python (>= 2.4), python-support (>= 0.90.0), librsvg2-common, python-compizconfig (>= 0.8.4-2), python-gtk2 Homepage: http://wiki.compiz.org/CCSM Priority: extra Section: x11 Filename: pool/main/c/ccsm/compizconfig-settings-manager_0.8.4-2_armhf.deb Size: 641986 SHA256: 5b4f6dc779b673d6b02c7a58f84a232d6bc4b2e98d16462bdd01d935df85e856 SHA1: 4a795d6ac8ad7cc69dcea9388e7270a46b39ad79 MD5sum: d8dc7db41350541abcea9fc382afdb88 Description: Compizconfig Settings Manager Compiz Fusion is the result of the re-unification of the Beryl-project and the community around the Compiz Window Manager. It seeks to provide an easy and fun-to-use windowing environment, allowing use of the graphics hardware to provide impressive effects, amazing speed and unrivalled usefulness . This package provides python-based "settings manager", a graphical interface used to administer the various options for the compiz-fusion system. Package: complearn-tools Source: libcomplearn Version: 1.1.7-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 65 Depends: libc6 (>= 2.4), libcomplearn-gomp1, libglib2.0-0 (>= 2.12.0), libgomp1 (>= 4.2.1) Homepage: http://complearn.org/ Priority: optional Section: science Filename: pool/main/libc/libcomplearn/complearn-tools_1.1.7-2_armhf.deb Size: 17212 SHA256: 58ce921e56d9ec275fe5e519981aa2f242639e865bed1b03aad35acac6eb6d1c SHA1: f98283071fae59f49ee852922288667a80822b5c MD5sum: c39eef9bc172b383c6b78ab32db791c0 Description: complearn machine-learning command-line utilities complearn makes artificial intelligence easy. By using the powerful statistical analysis already built within data compression programs, this library delivers high-quality results with very low effort. This package includes the popular ncd and any(de)compress commands as well as many other convenient utilities Package: composite Version: 0.006.2+dfsg0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 2524 Depends: composite-data (>= 0.006.2+dfsg0-2), jackd, libc6 (>= 2.13-28), libflac++6 (>= 1.2.1), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblrdf0, libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.6), libtar0, zlib1g (>= 1:1.1.4) Provides: lv2-plugin Homepage: http://gabe.is-a-geek.org/composite/ Priority: optional Section: sound Filename: pool/main/c/composite/composite_0.006.2+dfsg0-2_armhf.deb Size: 974270 SHA256: 3a6abdacfe4b5ee8a22f4e2f9fae938fc9b966a63c8757b05fc2dd99d94c38cd SHA1: 6951e4bcb4742731dcbbe0bd5bda65283df6cc6e MD5sum: 870ae3bcc26f71b60b1cbeea20cf7fda Description: Live performance sequencer Composite is a software application/system for real-time, in-performance sequencing, sampling, and looping. It has a strong emphasis on the needs of live performance improvisation. It is built around the Tritium audio engine, which also includes LV2 plugins. . This package contains the Composite Sampler Plugin (LV2) and the main GUI (composite-gui). Package: composite-data Source: composite Version: 0.006.2+dfsg0-2 Installed-Size: 7181 Maintainer: Debian Multimedia Maintainers Architecture: all Suggests: composite Size: 3050412 SHA256: 46cf1578f0476078238a18610bc4e61b3ec2681b12ef2f060e62cac62552878c SHA1: c58dd87116d9a57c80dbadd9c788a85f00c52369 MD5sum: 279a4b18b9815203c01ee88e84711bc0 Description: Live performance sequencer (data files) Composite is a software application/system for real-time, in-performance sequencing, sampling, and looping. It has a strong emphasis on the needs of live performance improvisation. It is built around the Tritium audio engine, which also includes LV2 plugins. . This package contains the data files for Composite. Homepage: http://gabe.is-a-geek.org/composite/ Tag: role::app-data Section: sound Priority: optional Filename: pool/main/c/composite/composite-data_0.006.2+dfsg0-2_all.deb Package: composite-dbg Source: composite Version: 0.006.2+dfsg0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 42481 Depends: composite (= 0.006.2+dfsg0-2) Homepage: http://gabe.is-a-geek.org/composite/ Priority: extra Section: debug Filename: pool/main/c/composite/composite-dbg_0.006.2+dfsg0-2_armhf.deb Size: 17780688 SHA256: d0cbf08c5f266a4bb9331c57ebbe7c7627a1ec655dd4621d62fce9aca6df5905 SHA1: 244f5df59fde0d44e2a62f89860066d0a3c8ae71 MD5sum: 2c239d4c80aac5ac67aae73cca706e4b Description: Live performance sequencer (debugging symbols) Composite is a software application/system for real-time, in-performance sequencing, sampling, and looping. It has a strong emphasis on the needs of live performance improvisation. It is built around the Tritium audio engine, which also includes LV2 plugins. . This package contains the debugging symbols. Package: comprez Version: 2.6.1-2 Installed-Size: 54 Maintainer: Jari Aalto Architecture: all Size: 9512 SHA256: 0871283b918ed5fafa9e3b918d06f968b3512cfe42d30fdbf34b93439b8dc0cc SHA1: db5a6d9df825c01b20898270102b95814635da72 MD5sum: 94ea9ff830b6d17260da138234e23177 Description: frontend to many compression programs Comprez, aka 'z', is a simple, safe and convenient front-end for the compress(1), uncompress(1), gzip(1), bzip2(1), tar(1), zip(1) and unzip(1) utilities for compressing and uncompressing files and directories. . The basic idea is that you can just run "comprez SOMETHING" where SOMETHING is any file, directory, compressed file or compressed archive, and program will do the right thing in a standard way. Simple for novices and convenient for experts. Homepage: http://www.cs.indiana.edu/~kinzler/z Section: utils Priority: extra Filename: pool/main/c/comprez/comprez_2.6.1-2_all.deb Package: concalc Version: 0.9.2-2 Architecture: armhf Maintainer: Varun Hiremath Installed-Size: 152 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: http://extcalc-linux.sourceforge.net/ Priority: optional Section: math Filename: pool/main/c/concalc/concalc_0.9.2-2_armhf.deb Size: 57836 SHA256: 33f452b14accaf6bafb85e1afe946729dae17af2fa525bc2c5a4c2795c0f1a11 SHA1: b410327c4647477fb94abb2b978c58bc161c0d05 MD5sum: a3e9edef777073e4f248d85a28c28e5b Description: console calculator concalc is a calculator for the Linux console. It is just the parser-algorithm of extcalc packed into a simple console program. You can use it if you need a calculator in your shell. concalc is also able to run scripts written in a C-like programming language. Package: concordance Version: 0.24-1.1 Architecture: armhf Maintainer: Mathieu Trudel-Lapierre Installed-Size: 80 Depends: libc6 (>= 2.13-28), libconcord2 Homepage: http://sourceforge.net/projects/concordance Priority: optional Section: utils Filename: pool/main/c/concordance/concordance_0.24-1.1_armhf.deb Size: 25182 SHA256: 7759df57fb1db5ca88382bd48f66fb9339d9f8248f03e342e3ef5a82d2436f27 SHA1: 6cb0f249e24412bc9a21dbe27c555cd7e4c40495 MD5sum: ac8124e20982f57abd1a2b807bbee0ea Description: Harmony remote configuration tool The concordance software suite allows you to program your Logitech Harmony remote using a configuration object retreived from the harmony website. The Logitech Harmony is a highly configuration universal remote than can control most multimedia devices. . This package contains the binary utility to control and configure the Harmony remote. Package: condor Version: 7.8.2~dfsg.1-1+deb7u3 Architecture: armhf Maintainer: Condor Developers Installed-Size: 13142 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libclassad3, libcomerr2 (>= 1.01), libcurl3 (>= 7.16.2), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libglobus-callout0 (>= 2), libglobus-common0 (>= 14), libglobus-ftp-control1 (>= 4), libglobus-gass-transfer2 (>= 7), libglobus-gram-client3 (>= 12), libglobus-gram-protocol3 (>= 11), libglobus-gsi-callback0 (>= 4), libglobus-gsi-cert-utils0 (>= 8), libglobus-gsi-credential1 (>= 5), libglobus-gsi-openssl-error0 (>= 2), libglobus-gsi-proxy-core0 (>= 6), libglobus-gsi-proxy-ssl1 (>= 4), libglobus-gsi-sysconfig1 (>= 5), libglobus-gss-assist3 (>= 8), libglobus-gssapi-error2 (>= 4), libglobus-gssapi-gsi4 (>= 10), libglobus-io3 (>= 9), libglobus-openssl-module0 (>= 3), libglobus-rsl2 (>= 9), libglobus-xio0 (>= 3), libgsoap2, libgssapi-krb5-2 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.10+dfsg~), libkrb5support0 (>= 1.7dfsg~beta2), libldap-2.4-2 (>= 2.4.7), libpcre3 (>= 8.10), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libuuid1 (>= 2.16), libvirt0 (>= 0.5.0), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4), python, perl, adduser, libdate-manip-perl Recommends: dmtcp Suggests: coop-computing-tools Homepage: http://research.cs.wisc.edu/condor Priority: extra Section: science Filename: pool/main/c/condor/condor_7.8.2~dfsg.1-1+deb7u3_armhf.deb Size: 4361678 SHA256: 36ddc7e9dc913b0bf4b4a52f44858377e8c85c9579cfa7b0d8f421ff4ca3ff7a SHA1: fbc9857756be529984c21956193ee540c6d2c19b MD5sum: c0ac34ff75096619a9134cf5371af439 Description: distributed workload management system Like other full-featured batch systems, Condor provides a job queueing mechanism, scheduling policy, priority scheme, resource monitoring, and resource management. Users submit their serial or parallel jobs to Condor; Condor places them into a queue. It chooses when and where to run the jobs based upon a policy, carefully monitors their progress, and ultimately informs the user upon completion. . Unlike more traditional batch queueing systems, Condor can also effectively harness wasted CPU power from otherwise idle desktop workstations. Condor does not require a shared file system across machines - if no shared file system is available, Condor can transfer the job's data files on behalf of the user. . This package can set up an appropriate initial configuration at install time for a machine intended either as a member of an existing Condor pool or as a "Personal" (single machine) Condor pool. Package: condor-dbg Source: condor Version: 7.8.2~dfsg.1-1+deb7u3 Architecture: armhf Maintainer: Condor Developers Installed-Size: 31603 Depends: condor (= 7.8.2~dfsg.1-1+deb7u3) Homepage: http://research.cs.wisc.edu/condor Priority: extra Section: debug Filename: pool/main/c/condor/condor-dbg_7.8.2~dfsg.1-1+deb7u3_armhf.deb Size: 11663580 SHA256: ded13cfcf8bbe32ef94327cf63dea407b38138a495b186efb9a4d6d231ac3059 SHA1: 96baba744a428ea48e6d40355ed0831b29589ef8 MD5sum: 9ef453076f5a441bf7bedd3f105d410b Description: distributed workload management system - debugging symbols Like other full-featured batch systems, Condor provides a job queueing mechanism, scheduling policy, priority scheme, resource monitoring, and resource management. Users submit their serial or parallel jobs to Condor; Condor places them into a queue. It chooses when and where to run the jobs based upon a policy, carefully monitors their progress, and ultimately informs the user upon completion. . Unlike more traditional batch queueing systems, Condor can also effectively harness wasted CPU power from otherwise idle desktop workstations. Condor does not require a shared file system across machines - if no shared file system is available, Condor can transfer the job's data files on behalf of the user. . This package provides the debugging symbols for Condor. Package: condor-dev Source: condor Version: 7.8.2~dfsg.1-1+deb7u3 Architecture: armhf Maintainer: Condor Developers Installed-Size: 1856 Homepage: http://research.cs.wisc.edu/condor Priority: extra Section: devel Filename: pool/main/c/condor/condor-dev_7.8.2~dfsg.1-1+deb7u3_armhf.deb Size: 464092 SHA256: ade1f8e50638e4160f4d0cbc9621934bd16e0fadfe6626ab0cbeeb2c0e859942 SHA1: b5ce0d51d14672cd0d5e3edc58babf862e7893f9 MD5sum: 9eafa45922a1847c6a86158aafc6d366 Description: distributed workload management system - development files Like other full-featured batch systems, Condor provides a job queueing mechanism, scheduling policy, priority scheme, resource monitoring, and resource management. Users submit their serial or parallel jobs to Condor; Condor places them into a queue. It chooses when and where to run the jobs based upon a policy, carefully monitors their progress, and ultimately informs the user upon completion. . Unlike more traditional batch queueing systems, Condor can also effectively harness wasted CPU power from otherwise idle desktop workstations. Condor does not require a shared file system across machines - if no shared file system is available, Condor can transfer the job's data files on behalf of the user. . This package provides headers and libraries for development of Condor add-ons. Package: condor-doc Source: condor Version: 7.8.2~dfsg.1-1+deb7u3 Installed-Size: 6139 Maintainer: Condor Developers Architecture: all Size: 1480910 SHA256: 68e825ce627f8320ddc40c14f3f9aff391c53704a76dddf9e826cf8c3d048655 SHA1: c44f368d1e9a971632b8b6ad29eb0d24d39946ee MD5sum: 705240ec48c233c11c6f1db590640d99 Description: distributed workload management system - documentation Like other full-featured batch systems, Condor provides a job queueing mechanism, scheduling policy, priority scheme, resource monitoring, and resource management. Users submit their serial or parallel jobs to Condor; Condor places them into a queue. It chooses when and where to run the jobs based upon a policy, carefully monitors their progress, and ultimately informs the user upon completion. . Unlike more traditional batch queueing systems, Condor can also effectively harness wasted CPU power from otherwise idle desktop workstations. Condor does not require a shared file system across machines - if no shared file system is available, Condor can transfer the job's data files on behalf of the user. . This package provides Condor's documentation in HTML and PDF format, as well as configuration and other examples. Homepage: http://research.cs.wisc.edu/condor Section: doc Priority: extra Filename: pool/main/c/condor/condor-doc_7.8.2~dfsg.1-1+deb7u3_all.deb Package: conduit Version: 0.3.17-1.1 Installed-Size: 2660 Maintainer: Jose Carlos Garcia Sogo Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-gtk2, python-gobject (>= 2.15.3), python-dbus (>= 0.80.0), python-pygoocanvas (>= 0.9), python-cairo, python-dateutil, python-vobject, python-gst0.10, python-gconf, python-feedparser, scrollkeeper, python-webkit Recommends: python-simplejson, python-gpod, python-gdata, python-flickrapi (>= 1.2), python-evolution, python (>= 2.6) | python-json Size: 810892 SHA256: 64a9a0999ecbabaa051ec701c4a3d30cfae5c01cff5bae370e3a5d3a6c60354b SHA1: 9b709d0eb644de4c4505badaf2e7b736e43c4b40 MD5sum: 340129e081b68152112a088355af860b Description: synchronization tool for GNOME A syncronization tool for GNOME which allows the user to take their emails, files, bookmarks, and any other type of personal information and synchronize that data with another computer, an online service, or even another electronic device. . Conduit manages the synchronization and conversion of data into other formats. For example, conduit allows you to; * Synchronize your tomboy notes to a file on a remote computer * Synchronize your emails to your mobile phone * Synchronize your bookmarks to delicious, gmail, or even your own webserver * and many more.. Tag: interface::x11, role::program, suite::gnome, uitoolkit::gtk, use::synchronizing, works-with::file, works-with::mail, works-with::pim, x11::application Section: gnome Priority: optional Filename: pool/main/c/conduit/conduit_0.3.17-1.1_all.deb Package: cone Version: 0.89-1 Architecture: armhf Maintainer: Varun Hiremath Installed-Size: 6407 Depends: libc6 (>= 2.13-28), libfam0, libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libncursesw5 (>= 5.6+20070908), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libtinfo5, libxml2 (>= 2.7.4) Provides: mail-reader Homepage: http://www.courier-mta.org/cone/ Priority: optional Section: mail Filename: pool/main/c/cone/cone_0.89-1_armhf.deb Size: 2460390 SHA256: b1cd61d410ab8df5a53da748834831cac7d62013357d51850e4ca9731a8cc9ae SHA1: 4a43de0dcf03d08ca91c045b5cbe23a12bde23a8 MD5sum: 6a91fab2f35d81066a35e34e734f925a Description: COnsole Newsreader and Emailer Cone is a text-based mail client. Cone seamlessly handles multiple POP3, IMAP accounts, and local mail folders. Cone is also a simple newsreader. Cone's interface is foolproof enough to be used by inexperienced users, but it also offers advanced features for power users. . Beyond others cone has support for PGP, GPG, UTF-8, IMAP, POP3, incoming HTML mails, external viewers, NNTP, SOCKS 5, SSL/TLS, SASL, LDAP and IMAP based address books, remote storable configuration, multiple accounts, tagging mails with several different flags, and SMAP (Simple Mail Access Protocol, a new IMAP and POP3 replacement developed by the Courier guys and supported by the Courier development versions). Package: confclerk Version: 0.5.5-1 Architecture: armhf Maintainer: gregor herrmann Installed-Size: 521 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libqt4-sql-sqlite (>= 4:4.8.1) Homepage: http://www.toastfreeware.priv.at/confclerk Priority: optional Section: utils Filename: pool/main/c/confclerk/confclerk_0.5.5-1_armhf.deb Size: 254372 SHA256: 22d7ab9a26c51f0ed39331f3ddf70a5bc80b9a4dcac2660fbf50328e2a150af2 SHA1: 19243e029511669e9e282c29c3a0ff00d7186b20 MD5sum: 1f67d3eb4fdb0f9d69ed01dad7b6732d Description: offline conference schedule application ConfClerk is an application written in Qt, which makes conference schedules available offline. It displays the conference schedule from various views, support searches on various items (speaker, speech topic, location, etc.) and enables you to select favorite events and create your own schedule. . At the moment ConfClerk is able to import schedules in XML format created by the PentaBarf conference management system (or frab) used by e.g. FOSDEM, DebConf, FrOSCon, Grazer LinuxTage, and the CCC congresses. . ConfClerk is targeted at mobile devices but works on any sytem running Qt. Package: confget Version: 1.03-1 Architecture: armhf Maintainer: Peter Pentchev Installed-Size: 68 Depends: libc6 (>= 2.4), libpcre3 (>= 8.10) Multi-Arch: foreign Homepage: http://devel.ringlet.net/textproc/confget/ Priority: optional Section: text Filename: pool/main/c/confget/confget_1.03-1_armhf.deb Size: 16992 SHA256: ece90dcbb86cbbe4f92f7943119924f2fafe0202e0dcc59cacf65f06b0233970 SHA1: fa45db892ffe9f53200b6d8fa94ea59be4f3cc3a MD5sum: c1bff0b2880bf5bf7f7b4e4d53271173 Description: read variables from INI-style configuration files The confget utility examines a INI-style configuration file and retrieves the value of the specified variables from the specified section. Its intended use is to let shell scripts use the same INI-style configuration files as other programs, to avoid duplication of data. . The confget utility may retrieve the values of one or more variables, list all the variables in a specified section, list only those whose names or values match a specified pattern (shell glob or regular expression), or check if a variable is present in the file at all. It has a "shell-quoting" output mode that quotes the variable values in a way suitable for passing them directly to a Bourne-style shell. Package: config-manager Version: 0.4-2.1 Architecture: armhf Maintainer: Robert Collins Installed-Size: 263 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgetopt++1, libstdc++6 (>= 4.4.0), python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), bzr (>= 0.6) Priority: extra Section: devel Filename: pool/main/c/config-manager/config-manager_0.4-2.1_armhf.deb Size: 75672 SHA256: afcf22775acf1274bd6565faebf71f4097199605ec188ef6e75f303bb1aa89aa SHA1: 1706bf6e86050e5710121dcccdb113ca86183c84 MD5sum: b0dd8aad370356a67f278de7c929780b Description: manage directories with Arch, Bazaar, CVS, HTTP, FTP and/or Subversion config-manager is designed to manage directory trees. The components of a directory may be specified by a location from any of: . - Arch - Bazaar (bzr) - CVS - FTP - HTTP - Subversion (svn) . These directory trees are described by recipes (also known as configs) and are typically used to build software although nothing within config-manager prevents their use in, for example, web sites. Python-Version: 2.7 Package: config-package-dev Version: 4.13 Installed-Size: 139 Maintainer: Tim Abbott Architecture: all Depends: cdbs Size: 19840 SHA256: 0c4e7246932b3f832db2db23744d12a94887baf7575ec4170d6943ca06bac3e3 SHA1: d2f5b15af4511a1bf0aacf72c4ed90f3506bf426 MD5sum: 74cda4e733fe14d974c3d8eb91f791a6 Description: CDBS modules for building configuration packages This package contains a system of CDBS modules for creating Debian configuration packages: packages that configure an existing Debian system by using dpkg-divert on configuration files. These modules attempt to make the process of creating configuration packages efficient by checking the md5sums of upstream configuration files that are to be modified and automatically generating the relevant postinst code to perform the diversions using the standard debhelper mechanism. . The config-package-dev modules are optimized for site defaults (i.e. configuration for a university or a company), though they are useful for other applications as well. Homepage: http://debathena.mit.edu/config-package-dev Tag: devel::buildtools, devel::packaging, suite::debian, works-with::software:source Section: devel Priority: extra Filename: pool/main/c/config-package-dev/config-package-dev_4.13_all.deb Package: configfile-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-configfile-doc Size: 4070 SHA256: 3be766c07a09991dc06d45c37d4fa16e564f84abcdefa5d1a9de1bf8085d21a2 SHA1: cdc8f1ed397523da1a391f65e6f0b0ef56a4b14c MD5sum: 462265acace57be36ca60976c24150ad Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/configfile-doc_8_all.deb Package: configure-debian Version: 1.0.2-0.1 Installed-Size: 76 Maintainer: David Nusinow Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, liblocale-gettext-perl, perl Size: 20592 SHA256: b8754594fb98f7ce56587b23ca4dc3bdf18989eba6b3d110bb0ffc602b516258 SHA1: 5741c5822926a250e752a21a6711081a92e99049 MD5sum: 9727bb45fa95c1890566bdeb9aa2a2ac Description: central configuration program for packages using debconf configure-debian is a program which presents a list of packages which use Debconf, Debian's configuration management system. . Debconf provides first-time installation wizards that run when a package is installed. You may reconfigure these packages at a later time, if you wish, and configure-debian makes that very easy. . configure-debian splits the package lists into the subsections which make up the Debian archive, such as x11, base, gnome, or kde. Tag: admin::configuring, implemented-in::perl, interface::text-mode, role::program, scope::utility, suite::debian, use::configuring Section: admin Priority: optional Filename: pool/main/c/configure-debian/configure-debian_1.0.2-0.1_all.deb Package: confluence Version: 0.10.6-7 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 2571 Depends: ocaml-base-nox-3.12.1 Recommends: vim-addon-manager Homepage: http://www.confluent.org Priority: optional Section: electronics Filename: pool/main/c/confluence/confluence_0.10.6-7_armhf.deb Size: 245636 SHA256: 1e82c3528f14e4e1971b4acfe3db71086be37fb1c709215ca11b0a9c0d8714c7 SHA1: a6291759cbdf05bb6620e1c35e5977ddbcaba194 MD5sum: e318d46cb1d3ed60e64454d252b9b2da Description: language for synchronous reactive hardware system design From the upstream website: . A Confluence program can generate digital logic for an FPGA or ASIC platform, or C code for hard real-time software. . Confluence combines the component-based methodologies of Verilog and VHDL with the expressiveness of higher order functional programming. . In comparison to Verilog, VHDL, and C, systems designed in Confluence result in 2X to 10X code reduction, making the source easier to manage and reuse. And because Confluence relies on a correct-by-construction compiler, bugs are reduced--some are prevented altogether--thus reducing the overall verification effort. Package: conglomerate Version: 0.9.1-3.3 Architecture: armhf Maintainer: Geert Stappers Installed-Size: 574 Depends: conglomerate-common (>= 0.9.1), gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.24.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeprint2.2-0 (>= 2.17.0), libgnomeprintui2.2-0 (>= 2.17.0), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), zlib1g (>= 1:1.1.4) Recommends: xml-core Suggests: docbook-xml, docbook-xsl Homepage: http://www.conglomerate.org Priority: optional Section: editors Filename: pool/main/c/conglomerate/conglomerate_0.9.1-3.3_armhf.deb Size: 219978 SHA256: 95f3586bb6178b407db9024355ea52bc07a4bb33de7f5088b6bc0fccdfadb314 SHA1: 7191b641d1aef688b3ee32572af80daa29e532e9 MD5sum: d0ba258365151320ca5b6a86056db07a Description: user-friendly XML editor Conglomerate is a free, as in GPL, user-friendly XML-file editor, for DocBook and other document types. It aims to hide the complexity and jargon of XML behind a friendly GUI. Package: conglomerate-common Source: conglomerate Version: 0.9.1-3.3 Installed-Size: 3073 Maintainer: Geert Stappers Architecture: all Depends: gconf2 (>= 2.28.1-2) Conflicts: conglomerate (<< 0.7.14-4) Size: 1345224 SHA256: e429bf9283b89a568891c4483da9089560b1df4714d8274aa571f54ba4e705b3 SHA1: af0b6fa74556faddcf73d7c61c44f0bbdb655157 MD5sum: 42035732c94889680d3ed7698a787714 Description: common files for the user-friendly XML editor Conglomerate is a free, as in GPL, user-friendly XML-file editor, for DocBook and other document types. In this package documentation, PO files, examples and other files that are architecture independent. Homepage: http://www.conglomerate.org Tag: devel::examples, interface::x11, role::app-data, uitoolkit::gtk, use::editing, works-with-format::xml, x11::application Section: editors Priority: optional Filename: pool/main/c/conglomerate/conglomerate-common_0.9.1-3.3_all.deb Package: congruity Version: 15-1 Installed-Size: 308 Maintainer: Mathieu Trudel-Lapierre Architecture: all Depends: python, python (>= 2.5) | python-ctypes, python-libconcord, python-wxgtk2.8, shared-mime-info Size: 147382 SHA256: 8447f3a5cafbdcebacc0d4ca73a64450de428669e69e1a84b2e993eb76a48ca6 SHA1: 75574e58930c9e52b6c416182753173290b96c6b MD5sum: c0e57bafad0053eddf43e39f2bf94ebd Description: graphical utility to configure Logitech Harmony remotes Congruity is a graphical utility written in Python that handles writing the configuration of a Harmony Remote, using the concordance framework. . It provides a concise way to quickly configure a Logitech Harmony in a clean graphical interface, through the loading of EZHex files. Homepage: http://sourceforge.net/projects/congruity/ Tag: implemented-in::python, interface::x11, role::program, uitoolkit::wxwidgets, use::configuring Section: utils Priority: optional Filename: pool/main/c/congruity/congruity_15-1_all.deb Package: conkeror Version: 1.0~~pre+git120527-1+deb7u1 Installed-Size: 1127 Maintainer: Axel Beckert Architecture: all Provides: www-browser Depends: xulrunner-24.0 | xulrunner-17.0 | xulrunner-10.0 | xulrunner-13.0 | xulrunner-12.0 | xulrunner-11.0 | xulrunner-9.0 | xulrunner-8.0 | xulrunner-7.0 | xulrunner-6.0 | xulrunner-5.0 | xulrunner-2.0 | xulrunner-1.9.2 | xulrunner-1.9.1 | firefox (>= 3.5) Recommends: conkeror-spawn-process-helper, xdg-utils Suggests: emacs | emacsen Breaks: conkeror-spawn-process-helper (<< 0.9~git080901-1) Size: 256346 SHA256: 48da21350de338915fff99a478dcd370e496724cfc5995777ec238a70f8c6613 SHA1: 85cbccc5d9629fc74f24e5a4a90881b27f763aac MD5sum: db68a5b0c79c50e3af6ade5bc5105fa8 Description: keyboard focused web browser with Emacs look and feel Conkeror is a highly-programmable web browser based on Mozilla XULRunner which is the base of all Mozilla products including Firefox. Conkeror has a sophisticated keyboard system for running commands and interacting with web page content, modelled after Emacs and Lynx. It is self-documenting and extensible with JavaScript. . It comes with builtin support for several Web 2.0 sites like several Google services (Search, Gmail, Maps, Reader, etc.), Del.icio.us, Reddit, Last.fm and YouTube. For easier editing of form fields, it can spawn external editors. For this feature the recommended conkeror-spawn-process-helper package needs to be installed. . Despite its very similar sounding name, Conkeror is not related to the KDE web browser and file manager Konqueror in any way. Homepage: http://conkeror.org/ Xul-Appid: {a79fe89b-6662-4ff4-8e88-09950ad4dfde} Tag: hardware::input:keyboard, implemented-in::ecmascript, interface::x11, network::client, protocol::ftp, protocol::http, role::program, scope::application, suite::emacs, uitoolkit::TODO, use::browsing, web::browser, works-with-format::html, works-with::text, x11::application Section: web Priority: extra Filename: pool/main/c/conkeror/conkeror_1.0~~pre+git120527-1+deb7u1_all.deb Package: conkeror-spawn-process-helper Source: conkeror (1.0~~pre+git120527-1+deb7u1) Version: 1.0~~pre+git120527-1+deb7u1+b1 Architecture: armhf Maintainer: Axel Beckert Installed-Size: 64 Depends: libc6 (>= 2.13-28) Suggests: conkeror Breaks: conkeror (<< 0.9~git080901-1) Homepage: http://conkeror.org/ Priority: extra Section: web Filename: pool/main/c/conkeror/conkeror-spawn-process-helper_1.0~~pre+git120527-1+deb7u1+b1_armhf.deb Size: 23390 SHA256: 8078f6b0c8deac566bb6ace3dfda1ab3a044bf453d69be9f36cd034cd61e8fa6 SHA1: 53131246d700bcc8726e36fc55eae23db2621f61 MD5sum: beca28c9931d59f7e646d1064df63ff0 Description: spawn external processes in Conkeror This helper tool named conkeror-spawn-helper is necessary to let the Conkeror web browser spawn external process, e.g. to edit form fields in an external program. It was previously named spawn-process-helper. . Maybe helpful for other XULRunner based applications, too. Package: conky Version: 1.9.0-2+deb7u1 Installed-Size: 36 Maintainer: Vincent Cheng Architecture: all Depends: conky-std | conky-cli | conky-all Size: 34218 SHA256: bb6612398c24291c27d71e0f75a1bb0a8a86d9b87c575256233d99882ce32098 SHA1: 1fff2a3385c12441919feebd956affa563a318f1 MD5sum: 19fab8e4ebf6c3a21ae6c136360b8cd8 Description: highly configurable system monitor (transitional package) Conky is a system monitor that can display just about anything, either on your root desktop or in its own window. Conky has many built-in objects, as well as the ability to execute external programs or scripts (either external or through built-in lua support). . This is a dummy package to ease transition to the new packaging scheme. It may be safely removed after upgrade/installation. Homepage: http://conky.sourceforge.net/ Tag: role::dummy Section: oldlibs Priority: extra Filename: pool/main/c/conky/conky_1.9.0-2+deb7u1_all.deb Package: conky-cli Source: conky Version: 1.9.0-2+deb7u1 Architecture: armhf Maintainer: Vincent Cheng Installed-Size: 615 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5 Suggests: apcupsd, moc, mpd Conflicts: conky-all, conky-std Breaks: conky (<< 1.8.0-1) Replaces: conky (<< 1.8.0-1), conky-all, conky-std Homepage: http://conky.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/c/conky/conky-cli_1.9.0-2+deb7u1_armhf.deb Size: 250286 SHA256: 1ab1394f8e702946b0698b146fa2bc713aabfc3c935903c6b51caeca9a1319b7 SHA1: 54967f423b23c12b69d3df3614710368b762b0fe MD5sum: 09bf2a4aebefa16234452999e66de782 Description: highly configurable system monitor (basic version) Conky is a system monitor that can display just about anything, either on your root desktop or in its own window. Conky has many built-in objects, as well as the ability to execute external programs or scripts (either external or through built-in lua support). . This is a basic package that can be useful in servers or piped with dzen2. It includes the following support: . MPD, MOC, math, apcupsd, ncurses and I/O stats. Package: conky-std Source: conky Version: 1.9.0-2+deb7u1 Architecture: armhf Maintainer: Vincent Cheng Installed-Size: 998 Depends: libaudclient2 (>= 2.3), libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libcurl3-gnutls (>= 7.16.2), libdbus-glib-1-2 (>= 0.78), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libimlib2, libiw30 (>= 30~pre1), liblua5.1-0, libncurses5 (>= 5.5-5~), libtinfo5, libx11-6, libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxft2 (>> 2.1.1), libxml2 (>= 2.7.4), libxmmsclient6 (>= 0.7DrNo) Suggests: apcupsd, audacious, moc, mpd, xmms2 Conflicts: conky-all, conky-cli Breaks: conky (<< 1.8.0-1) Replaces: conky (<< 1.8.0-1), conky-all, conky-cli Homepage: http://conky.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/c/conky/conky-std_1.9.0-2+deb7u1_armhf.deb Size: 381906 SHA256: 58533f7ea46e32d1a48bd4bd3c14181e703248725e11c60a83c7a85f64034fe7 SHA1: ad9467a0ff81a527afdc269da5a16554bc42caa5 MD5sum: 5fec7b87efc6adab2a5fdaf93442bfea Description: highly configurable system monitor (default version) Conky is a system monitor that can display just about anything, either on your root desktop or in its own window. Conky has many built-in objects, as well as the ability to execute external programs or scripts (either external or through built-in lua support). . This package should be a good compromise for most users that do not need special features. It includes the following support: . X11, XDamage, XDBE, Xft, MPD, MOC, math, hddtemp, portmon, RSS, Weather, wireless, Imlib2, ALSA mixer, apcupsd, I/O stats, argb, Lua and the cairo and imlib2 lua bindings, Audacious, and XMMS2. Package: connect-proxy Version: 1.101-1 Architecture: armhf Maintainer: Philippe Coval Installed-Size: 78 Depends: libc6 (>= 2.4) Homepage: http://bitbucket.org/gotoh/connect/ Priority: optional Section: net Filename: pool/main/c/connect-proxy/connect-proxy_1.101-1_armhf.deb Size: 20330 SHA256: 93c0d78f0fe9914a39220b100993714ac791929f444be3c4669e8be9c16940f9 SHA1: d38359881e6212e0a23116549927570e04217976 MD5sum: 5b2b3f82a0f27dcf3aec7353b74ef361 Description: Establish TCP connection using SOCKS4/5 or HTTP tunnel connect-proxy is a simple relaying command to make tunnel TCP connection via SOCKS or HTTPS proxies. It is mainly intended to be used as proxy command of OpenSSH. Package: connectagram Version: 1.0.1-1 Architecture: armhf Maintainer: tang ke Installed-Size: 812 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://gottcode.org/connectagram/ Priority: optional Section: games Filename: pool/main/c/connectagram/connectagram_1.0.1-1_armhf.deb Size: 264332 SHA256: df265ad514f418f0383aeaa001065da1e32f74961f141bbf49985743533ad174 SHA1: a1d554a5e9305566f7a0b5f39b8ced3b57acef93 MD5sum: e652b8e0aac8a873c44998b7e50126ab Description: word unscrambling game The board consists of several scrambled words that are joined together. You can choose the length of the words, the amount of words, and the pattern that the words are arranged in. The game provides a hint option for times when you are stuck. Package: connectomeviewer Version: 2.1.0-1 Installed-Size: 1578 Maintainer: Stephan Gerhard Architecture: all Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python-cfflib (>= 2.0.5), python-networkx (>= 1.4), python-nibabel, python-numpy (>= 1.3.0), python-scipy, python-chaco, mayavi2 (>= 4.0.0), ipython Recommends: python-nipype, python-dipy, python-matplotlib, python-qscintilla2 Suggests: nipy-suite Size: 1355952 SHA256: cb573ea930bd86f5a4c1e05033752f2b2c5cff1fbba51e7a41ca63077d8deb74 SHA1: 0bd60dd82da231e8fbb87b2a382bcd1caa17e478 MD5sum: dfdf9d4265858ff2a7c17dbbd5ff379e Description: Interactive Analysis and Visualization for MR Connectomics The Connectome Viewer is a extensible, scriptable, pythonic research environment for visualization and (network) analysis in neuroimaging and connectomics. . Employing the Connectome File Format, diverse data types such as networks, surfaces, volumes, tracks and metadata are handled and integrated. The Connectome Viewer is part of the MR Connectome Toolkit. Homepage: http://www.connectomeviewer.org Section: python Priority: extra Filename: pool/main/c/connectomeviewer/connectomeviewer_2.1.0-1_all.deb Package: connman Version: 1.0-1.1+wheezy2 Architecture: armhf Maintainer: Alexander Sack Installed-Size: 552 Depends: iptables, libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), libglib2.0-0 (>= 2.28.0), libgnutls26 (>= 2.12.17-0), libxtables7, dbus, lsb-base Recommends: bluez, wpasupplicant Homepage: http://www.connman.net Priority: optional Section: net Filename: pool/main/c/connman/connman_1.0-1.1+wheezy2_armhf.deb Size: 253452 SHA256: cf287529dd1c2e962922b525dc641a6b2fa5cc75a560c1a60cb9eadaaa78d82f SHA1: b7b2320076acdb7691bf77fb5d258752ecaa4798 MD5sum: b655cc88e40f4408b0046e528a1ba6a2 Description: Intel Connection Manager daemon The Linux Connection Manager project provides a daemon for managing Internet connections within embedded devices running the Linux operating system. The Connection Manager is designed to be slim and to use as few resources as possible, so it can be easily integrated in other Moblin-based embedded systems. It is fully modular system that can be extended through plug-ins, to support all kinds of wired or wireless technologies. Also, configuration methods like DHCP and domain name resolving are implemented using plug-ins. The plug-in approach allows for easy adaption and modification for various use cases. . This package contains the connman daemon and its plugins. Package: connman-dev Source: connman Version: 1.0-1.1+wheezy2 Architecture: armhf Maintainer: Alexander Sack Installed-Size: 49 Depends: libglib2.0-dev, libdbus-1-dev Homepage: http://www.connman.net Priority: optional Section: devel Filename: pool/main/c/connman/connman-dev_1.0-1.1+wheezy2_armhf.deb Size: 20390 SHA256: ec901dba0c4df6213eb4c8e893a7e4f9911ad8f5f75453f6339aaf455239e21a SHA1: cf31ca66a3144c0b382cdd2232436291b11540a5 MD5sum: 39043801bd6d9c4ce1bab250de460287 Description: Development files for connman The Linux Connection Manager project provides a daemon for managing Internet connections within embedded devices running the Linux operating system. The Connection Manager is designed to be slim and to use as few resources as possible, so it can be easily integrated in other Moblin-based embedded systems. It is fully modular system that can be extended through plug-ins, to support all kinds of wired or wireless technologies. Also, configuration methods like DHCP and domain name resolving are implemented using plug-ins. The plug-in approach allows for easy adaption and modification for various use cases. . This package contains headers, pkg-config support mainly useful for developing connman plugins. Package: connman-doc Source: connman Version: 1.0-1.1+wheezy2 Installed-Size: 73 Maintainer: Alexander Sack Architecture: all Suggests: connman-dev Size: 40396 SHA256: 078ff18685143c7e9ed934924555333efe6ad9412522c8d85a1f18a933b9d331 SHA1: e2d156287ca653221c5f57b97334f77818ebd951 MD5sum: ccee3f68691983de1262752ea43420ac Description: ConnMan documentation The Linux Connection Manager project provides a daemon for managing Internet connections within embedded devices running the Linux operating system. . This package contains the connman API documentation. . See the connman description for more information regarding connman. Homepage: http://www.connman.net Section: doc Priority: optional Filename: pool/main/c/connman/connman-doc_1.0-1.1+wheezy2_all.deb Package: conntrack Version: 1:1.2.1-1+deb7u1 Architecture: armhf Maintainer: Alexander Wirt Installed-Size: 57 Depends: libc6 (>= 2.13-28), libnetfilter-conntrack3, libnfnetlink0 (>= 1.0.0) Homepage: http://conntrack-tools.netfilter.org/ Priority: optional Section: net Filename: pool/main/c/conntrack/conntrack_1.2.1-1+deb7u1_armhf.deb Size: 25756 SHA256: 227398acdbb9d27de9713551bb44be4e94bb5a399c578f52b7ffcdbcde3d01bc SHA1: 96524304191797f8ce2bf838fa7fbf6658f150d8 MD5sum: d218809b4b558d025a2549e2ce25fa7e Description: Program to modify the conntrack tables conntrack is a userspace command line program targeted at system administrators. It enables them to view and manage the in-kernel connection tracking state table. Package: conntrackd Source: conntrack Version: 1:1.2.1-1+deb7u1 Architecture: armhf Maintainer: Alexander Wirt Installed-Size: 221 Depends: libc6 (>= 2.13-28), libnetfilter-conntrack3, libnfnetlink0 (>= 1.0.0) Homepage: http://conntrack-tools.netfilter.org/ Priority: optional Section: net Filename: pool/main/c/conntrack/conntrackd_1.2.1-1+deb7u1_armhf.deb Size: 116734 SHA256: 2b02fa97754dee9ebe9628d7f6da463a7ac1e70f3813f64e1bbfb732f3a786b6 SHA1: 07560a7a88797db4c4c0854c1305b6ee0c29595a MD5sum: 608437b566f33435d72186b9ae47a077 Description: Connection tracking daemon Conntrackd can replicate the status of the connections that are currently being processed by your stateful firewall based on Linux. Conntrackd can also run as statistics daemon. Package: cons Version: 2.3.0.1+2.2.0-1 Installed-Size: 344 Maintainer: Hwei Sheng Teoh Architecture: all Depends: perl, libdigest-md5-perl Size: 105336 SHA256: dffb8137893d9bc866507a4cb06774207ef21e282c7855c8414ecd881e656c53 SHA1: 047691fc74b3c29a5b3f6aeda9e3ebf2c1126149 MD5sum: 3f5f7e9eccf5cbe38dd76e925c445a5b Description: a Perl replacement for Make Excerpted from the README file: . Cons is a system for constructing, primarily, software, but is quite different from previous software construction systems. Cons was designed from the ground up to deal easily with the construction of software spread over multiple source directories. Cons makes it easy to create build scripts that are simple, understandable and maintainable. Cons ensures that complex software is easily and accurately reproducible. . Cons uses a number of techniques to accomplish all of this. Construction scripts are just Perl scripts, making them both easy to comprehend and very flexible. Global scoping of variables is replaced with an import/export mechanism for sharing information between scripts, significantly improving the readability and maintainability of each script. Construction environments are introduced: these are Perl objects that capture the information required for controlling the build process. Multiple environments are used when different semantics are required for generating products in the build tree. Cons implements automatic dependency analysis and uses this to globally sequence the entire build. Variant builds are easily produced from a single source tree. Intelligent build subsetting is possible, when working on localized changes. Overrides can be setup to easily override build instructions without modifying any scripts. MD5 cryptographic signatures are associated with derived files, and are used to accurately determine whether a given file needs to be rebuilt. Tag: devel::buildtools, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::gnu Section: devel Priority: optional Filename: pool/main/c/cons/cons_2.3.0.1+2.2.0-1_all.deb Package: console-braille Version: 1.3 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 264 Depends: libc6 (>= 2.13-28) Multi-Arch: foreign Homepage: http://brl.thefreecat.org/ Priority: extra Section: utils Filename: pool/main/c/console-braille/console-braille_1.3_armhf.deb Size: 28468 SHA256: d312fa739836b858ca5b87da5936aa3ad9585fe39a4366c91da660fe5b5ea58a SHA1: f8d0760e020dff9ebfbcaac0d1178122b7f25821 MD5sum: 76f5326891ea6c3a74be5b2f2944bfbf Description: Fonts and keymaps for reading/typing unicode braille This package includes . - fonts with various sizes to render braille on the Linux console - keymaps to type braille as unicode characters on the Linux console. Package: console-common Version: 0.7.87 Installed-Size: 792 Maintainer: Alastair McKinstry Architecture: all Replaces: console-data (<< 1999.08.29-18), console-tools (<< 1:0.2.3-18), kbd (<< 0.99-12) Depends: debconf (>= 0.5) | debconf-2.0, debianutils (>= 1.13), console-data, kbd | console-tools (>= 1:0.2.3dbs-54), lsb-base (>= 3.0) Pre-Depends: debconf | debconf-2.0 Conflicts: console-data (<< 1999.08.29-20) Size: 128384 SHA256: 3ef6519fd25299578ba258e4d0c4a1e8e3daafac05b84f0c0fb668008fb2834c SHA1: 9d1ce6d8fce361345d30c7b1e4b531a568f9ea4b MD5sum: 4e5490a6e75dc3d5f472c886df7504f2 Description: basic infrastructure for text console configuration This package contains the install-keymap(8) utility, which is the recommended tool to specify a boot-time keymap to the system, as well as tools for internal use of keymap-providing packages. Tag: admin::configuring, hardware::input, role::app-data, use::configuring Section: utils Priority: optional Filename: pool/main/c/console-common/console-common_0.7.87_all.deb Package: console-cyrillic Version: 0.9-16.2 Installed-Size: 2410 Maintainer: Anton Zinoviev Architecture: all Replaces: console-tools-cyrillic Depends: perl-base (>= 5.8.3-2), debconf | debconf-2.0, kbd | console-tools, console-terminus Suggests: libunicode-string-perl, perl Conflicts: console-tools-cyrillic Size: 300412 SHA256: 504fd16a4992d352cccf90c82f532ef9af8b055e6e77e362133b092a336516de SHA1: 4e19f1233d8e35e47cc54444d1470a0dd530cd7d MD5sum: 05e471260365e3d4889669751be3410e Description: Better Cyrillic support for Linux console This package provides Cyrillic support on the Linux console with great number of customizations: . 1) Various supported encodings: utf-8, cp1251, ibm866, iso-8859-5, koi8-r, koi8-u, mac-cyrillic, mik, pt154 and rk1048. . 2) Belarusian, two Bulgarian, two Kazakh, Macedonian, Mongolian, two Russian, Serbian and two Ukrainian keyboard mappings. . 3) Many screen fonts. . 4) Utilities: cyr, displayfont, dumppsf, makeacm, mkvgafont, raw2psf. . 5) Two fonts for Dosemu. . 6) Uses DebConf. Tag: admin::configuring, culture::bulgarian, culture::mongolian, culture::russian, culture::serbian, culture::slovak, culture::ukrainian, hardware::input, made-of::font, role::app-data, use::configuring Section: misc Priority: optional Filename: pool/main/c/console-cyrillic/console-cyrillic_0.9-16.2_all.deb Package: console-data Version: 2:1.12-2 Installed-Size: 2422 Maintainer: Alastair McKinstry Architecture: all Replaces: console-tools-data Provides: console-keymaps, console-tools-data Depends: debconf (>= 0.5) | debconf-2.0 Recommends: kbd (>= 0.99-12) | console-tools (>= 1:0.2.3-16), console-common (>= 0.7.62) Suggests: unicode-data Conflicts: console-tools-data, kbd (<= 0.96a-1), kbd-data Size: 1192006 SHA256: b2391e5287ee4c34f5a2cd7eadfeb8d2721b095e4b19acda3ace3afa8136427c SHA1: bdaab796bb64c01c66f6a468512ac39c3c2395f0 MD5sum: 2c092b79c6495f11f45dc36aa7788ea6 Description: keymaps, fonts, charset maps, fallback tables for console-tools This package provides the standard data files for the Linux console tools. . This includes keyboard definitions (keymaps), console fonts for various encodings, maps defining the standard charsets for use by text applications, and fallback tables allowing to approximate an unavailable character's glyph with the glyph of another character in the current font. Tag: admin::configuring, hardware::input:keyboard, made-of::font, role::app-data, use::configuring Section: utils Priority: optional Filename: pool/main/c/console-data/console-data_1.12-2_all.deb Package: console-log Version: 1.1-2 Installed-Size: 96 Maintainer: Marc Haber Architecture: all Depends: less, daemon, kbd, passwd (>= 1:4.0.3-23), adduser (>> 3.51) Size: 15150 SHA256: ccac93b0aab6700aeb63999764c1f5217118dda826d1e21fda89f973d542edda SHA1: 1b2a722ff245f3fe43086eb58e191353b8de4a14 MD5sum: a08a401647759699e36a5354ab7d2969 Description: Puts a logfile pager on virtual consoles console-log keeps logfile pagers open on virtual consoles. The default configuration puts exim's mainlog on tty8, and the syslog on tty9, in a less process. It can also make sure that this console is visible automatically after system boot so that a crashed system at least leaves the syslog readable on the console before reset. Using a pager makes searching, tagging and highlighting possible. . This package has its upstream sources maintained in the Debian project, so there is no upstream URL. Tag: interface::daemon, network::server, role::program, security::forensics, suite::debian, use::viewing, works-with::logfile Section: admin Priority: optional Filename: pool/main/c/console-log/console-log_1.1-2_all.deb Package: console-setup Version: 1.88 Installed-Size: 461 Maintainer: Debian Install System Team Architecture: all Depends: console-setup-linux | console-setup-freebsd, xkb-data (>= 0.9), keyboard-configuration (= 1.88) Pre-Depends: debconf (>= 1.5.34) Suggests: lsb-base (>= 3.0-6), locales Conflicts: console-setup-mini Breaks: lsb (<< 2.0-6), lsb-base (<< 3.0-6), lsb-core (<< 2.0-6) Size: 121912 SHA256: dc835d3b0b75f1cc7e6488492d801a08e2c31457d0c282c4ae006e7302aed451 SHA1: 7fe19ff0c10acd83182112b15fc3b928316de47d MD5sum: d3508ecf5fdaf922946aaf4c2a294a2c Description: console font and keymap setup program This package provides the console with the same keyboard configuration scheme as the X Window System. As a result, there is no need to duplicate or change the keyboard files just to make simple customizations such as the use of dead keys, the key functioning as AltGr or Compose key, the key(s) to switch between Latin and non-Latin mode, etc. . The package also installs console fonts supporting many of the world's languages. It provides an unified set of font faces - the classic VGA, the simplistic Fixed, and the cleaned Terminus, TerminusBold and TerminusBoldVGA. Tag: admin::configuring, hardware::input:keyboard, implemented-in::shell, interface::commandline, role::program, scope::utility, use::configuring, works-with::font Section: utils Priority: optional Filename: pool/main/c/console-setup/console-setup_1.88_all.deb Package: console-setup-freebsd Source: console-setup Version: 1.88 Installed-Size: 719 Maintainer: Debian Install System Team Architecture: all Replaces: console-setup (<< 1.71) Depends: vidcontrol, kbdcontrol, keyboard-configuration (= 1.88) Suggests: console-setup Breaks: console-setup (<< 1.71) Size: 170946 SHA256: 5f63dcf682cddb1882e3867b585b4864bc53a854e10307bf09a9bd3cb24dc6ab SHA1: 0bcd3cff572de892691d4ab901afd5c44647a04a MD5sum: 38529ba5a8286ddc7e70f1b624bc846c Description: FreeBSD specific part of console-setup This package includes raw, uuencoded fonts and various screen maps. Section: utils Priority: optional Filename: pool/main/c/console-setup/console-setup-freebsd_1.88_all.deb Package: console-setup-linux Source: console-setup Version: 1.88 Installed-Size: 1042 Maintainer: Debian Install System Team Architecture: all Replaces: console-setup (<< 1.71), console-terminus Provides: console-terminus Depends: kbd (>= 0.99-12) | console-tools (>= 1:0.2.3-16), keyboard-configuration (= 1.88) Suggests: console-setup Breaks: console-cyrillic (<= 0.9-11), console-setup (<< 1.71), console-terminus Size: 994550 SHA256: 7d680a0504b800dd2cf7e14eda277829e7e02968bc37af3a8d20033b9a3ef140 SHA1: 9585f9294805c8520033cc7cf801054d3fc9032b MD5sum: 53f9e433afab127c6cd9ac7bec6787c0 Description: Linux specific part of console-setup This package includes fonts in psf format and definitions of various 8-bit charmaps. Tag: made-of::font, role::app-data, use::configuring, works-with::font Section: utils Priority: optional Filename: pool/main/c/console-setup/console-setup-linux_1.88_all.deb Package: console-setup-mini Source: console-setup Version: 1.88 Installed-Size: 129 Maintainer: Debian Install System Team Architecture: all Depends: console-setup-linux | console-setup-freebsd, debconf (>= 0.5) | debconf-2.0, keyboard-configuration (= 1.88) Recommends: kbd | console-tools Suggests: lsb-base (>= 3.0-6) Conflicts: console-setup Breaks: lsb (<< 2.0-6), lsb-base (<< 3.0-6), lsb-core (<< 2.0-6) Size: 26182 SHA256: d4b456d43e734dee382bb2237f04b01191dc54a4682190d3a28a30ee4e8f7346 SHA1: 906216510c9266356da166244f5c30a6f41c4ce0 MD5sum: 3281725a8dc33ed0b9d78c7f2972acb1 Description: console font and keymap setup program - reduced version for Linux This package provides the console with the same keyboard configuration scheme as the X Window System. As a result, there is no need to duplicate or change the keyboard files just to make simple customizations such as the use of dead keys, the key functioning as AltGr or Compose key, the key(s) to switch between Latin and non-Latin mode, etc. . This package can be useful for handhelds or other devices with small storage space. Tag: hardware::input:keyboard, implemented-in::perl, implemented-in::shell, role::program, use::configuring Section: utils Priority: extra Filename: pool/main/c/console-setup/console-setup-mini_1.88_all.deb Package: console-tools Version: 1:0.2.3dbs-70 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 606 Depends: libc6 (>= 2.13-28), libconsole (= 1:0.2.3dbs-70), debconf | debconf-2.0, lsb-base (>= 3.0-10) Recommends: console-setup | console-data (>= 2002.12.04dbs-1), console-setup | console-common Suggests: kbd-compat Conflicts: console-common (<< 0.7.25), console-utilities, kbd (<< 0.95-11), open Replaces: open, util-linux (<< 2.11) Provides: console-utilities, open Priority: extra Section: utils Filename: pool/main/c/console-tools/console-tools_0.2.3dbs-70_armhf.deb Size: 303566 SHA256: fb6c3ecddd000aa3cadd44f7b73e9ac741afa9c33d304b44f690bbe75231eb5f SHA1: 5b10a58792cc43473c61df3dbfa2c96ffe8464fd MD5sum: 31711c56f2f2baa3dd69a0f23743bd48 Description: Linux console and font utilities This package allows you to set-up and manipulate the Linux console (ie. screen and keyboard), and manipulate console-font files. . `console-tools' was developed from version 0.94 of the standard `kbd' package, and integrates many fixes and enhancements, including new kbd features up to 0.99. . You will probably want to install a set of data files, such as the one in the `console-data' package. . For command-line compatibility with kbd, you may want to install the kbd-compat package. Package: console-tools-dev Source: console-tools Version: 1:0.2.3dbs-70 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 221 Depends: libconsole (= 1:0.2.3dbs-70) Priority: optional Section: devel Filename: pool/main/c/console-tools/console-tools-dev_0.2.3dbs-70_armhf.deb Size: 88210 SHA256: 3990d130125fb99339325bf283f82706b9b71ade4a005b9046e10e065b0680b0 SHA1: 01d577d5ce7cd0e4a2aac8aeacaef089c2743770 MD5sum: 2e058fa78c513b4c82b9d146c3af13c0 Description: Development files for Linux console and font manipulation This package includes the libconsole and libcfont headers and static libraries. . WARNING: These libs' API are still in ALPHA stage; the interface is still subject from time to time to incompatible changes. Package: consolekit Version: 0.4.5-3.1 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 467 Depends: libc6 (>= 2.13-28), libck-connector0 (= 0.4.5-3.1), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libglib2.0-0 (>= 2.31.8), libpolkit-gobject-1-0 (>= 0.94), libx11-6, zlib1g (>= 1:1.1.4), dbus (>= 1.1.2) Recommends: libpam-ck-connector Breaks: udev (<< 147) Homepage: http://www.freedesktop.org/wiki/Software/ConsoleKit Priority: optional Section: admin Filename: pool/main/c/consolekit/consolekit_0.4.5-3.1_armhf.deb Size: 147370 SHA256: f362d4d163d565d2bd2de35844b1b8c406ee512adf93c6b60aa5600f8ae61441 SHA1: cc31191eaea3da4c6c1f5c1996f16451e31a007a MD5sum: 9ddaba858b4bf62960f902e931a3743b Description: framework for defining and tracking users, sessions and seats ConsoleKit is a system daemon for tracking what users are logged into the system and how they interact with the computer (e.g. which keyboard and mouse they use). . It provides asynchronous notification via the system message bus. . This package provides the system daemon and tools to interact with it. Package: conspy Version: 1.8-2 Architecture: armhf Maintainer: Russell Stuart Installed-Size: 62 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Homepage: http://www.stuart.id.au/russell/files/conspy/ Priority: optional Section: admin Filename: pool/main/c/conspy/conspy_1.8-2_armhf.deb Size: 15488 SHA256: 0094eddfd49c5ecbca26299e00ce8b5fc2e8041a4cc494508b616e58b839ca47 SHA1: c12cad6c2485ccb2add7ff914cb36924c53cf457 MD5sum: de0f9d752db4e3b6eb844dabdbea54e0 Description: Remote control of Linux virtual consoles Conspy allows a (possibly remote) user to see what is displayed on a Linux virtual console, and send keystrokes to it. It is rather like VNC, but where VNC takes control of a GUI conspy takes control of a text mode virtual console. Unlike VNC, conspy does not require a server to be installed prior to being used. Package: contacts Source: contacts (0.9-2) Version: 0.9-2+b1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 428 Depends: gconf-service, libc6 (>= 2.13-28), libdbus-glib-1-2 (>= 0.88), libebook-1.2-13 (>= 3.4.3), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.14.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), gconf2 (>= 2.28.1-2), evolution-data-server Priority: optional Section: gnome Filename: pool/main/c/contacts/contacts_0.9-2+b1_armhf.deb Size: 85480 SHA256: 00fe64dc5e20d5f1d37492c39f226c44e82c56c0778bb78b82cdd6660df0fa4e SHA1: 8f0f8f4cabf5f0e7288220cf5352894bfe7b8fd8 MD5sum: deee597ac59eb0bea56a3a6bfa50d036 Description: lightweight addressbook Contacts is a small, lightweight addressbook that features advanced vCard field type handling and is designed for use on hand-held devices, such as the Nokia 770/N800 or the Sharp Zaurus series of PDAs. Package: context Version: 2012.05.30.20120611-1 Installed-Size: 45855 Maintainer: Debian TeX maintainers Architecture: all Replaces: texlive-context Provides: texlive-context Depends: ruby, texlive-binaries, texlive-base (>= 2011), texlive-metapost (>= 2009), lmodern (>= 2), tex-gyre, dpkg (>= 1.14.18), tex-common (>= 3), luatex (>= 0.70.1.20120524) Recommends: fonts-gfs-artemisia | ttf-gfs-artemisia, fonts-gfs-baskerville | ttf-gfs-baskerville, fonts-gfs-bodoni-classic | ttf-gfs-bodoni-classic, fonts-gfs-didot-classic | ttf-gfs-didot-classic, fonts-gfs-didot | ttf-gfs-didot, fonts-gfs-olga | ttf-gfs-olga, fonts-gfs-porson | ttf-gfs-porson, fonts-gfs-gazis | ttf-gfs-gazis, fonts-gfs-neohellenic | ttf-gfs-neohellenic, fonts-gfs-solomos | ttf-gfs-solomos, fonts-gfs-theokritos | ttf-gfs-theokritos, fonts-freefont | ttf-freefont, fonts-sil-gentium | ttf-sil-gentium, context-modules Suggests: perl-tk, libxml-parser-perl, fontforge, context-nonfree, context-doc-nonfree Conflicts: tetex-bin (<< 2007), texlive-context, texlive-xetex (<< 2009) Size: 18745152 SHA256: f94c0d294ac512a4fb5eddbab0959b00f0d9ba6ca13783f96d6c2ca0963f4c77 SHA1: 0ee67b134a63675f4072eb7eca50c06ef667ee2b MD5sum: 8cd5687fc776c6f2232d4d8ab0eee79f Description: powerful TeX format ConTeXt is a document-production system based, like LaTeX, on the TeX typesetting system. Whereas LaTeX insulates the writer from typographical details, ConTeXt takes a complementary approach by providing structured interfaces for handling typography, including extensive support for colors, backgrounds, hyperlinks, presentations, figure-text integration, and conditional compilation. It gives the user extensive control over formatting while making it easy to create new layouts and styles without learning the TeX macro language. ConTeXt's unified design averts the package clashes that can happen with LaTeX. . ConTeXt also integrates MetaFun, a superset of MetaPost and a powerful system for vector graphics. MetaFun can be used as a stand-alone system to produce figures, but its strength lies in enhancing ConTeXt documents with accurate graphic elements. . ConTeXt allows the users to specify formatting commands in English, Dutch, German, French, or Italian, and to use different typesetting engines (PDFTeX, XeTeX, Aleph, and soon LuaTeX) without changing the user interface. ConTeXt is developed rapidly, often in response to requests from the friendly user community. Tag: made-of::tex, use::editing, works-with-format::tex Section: tex Priority: optional Filename: pool/main/c/context/context_2012.05.30.20120611-1_all.deb Package: context-modules Version: 20120611-1 Installed-Size: 12639 Maintainer: Debian TeX maintainers Architecture: all Replaces: context (<< 2011.05.18.20110626-1) Depends: context (>> 2011), dpkg (>= 1.14.18), tex-common (>= 3) Size: 11738622 SHA256: d59f22f46d7add5853d32c060194c53ac497c188f67537d4443883f25859825f SHA1: 8474c0a386d6c827d8aac51548aeb3ffd892a050 MD5sum: 48d62195abe0b4f2e59b547772d5b018 Description: additional ConTeXt modules ConTeXt is a document-production system based, like LaTeX, on the TeX typesetting system. Whereas LaTeX insulates the writer from typographical details, ConTeXt takes a complementary approach by providing structured interfaces for handling typography, including extensive support for colors, backgrounds, hyperlinks, presentations, figure-text integration, and conditional compilation. It gives the user extensive control over formatting while making it easy to create new layouts and styles without learning the TeX macro language. ConTeXt's unified design averts the package clashes that can happen with LaTeX. . This package includes the following additional ConTeXt modules: account, algorithmic, bnf, chromato, construction-plan, degrade, filter, fixme, french, fullpage, games, letter, lettrine, lilypond, mathsets, rst, ruby, simplefonts, simpleslides, typearea, typescripts, vim. Section: tex Priority: optional Filename: pool/main/c/context-modules/context-modules_20120611-1_all.deb Package: contextfree Version: 2.2+dfsg1-2.1 Architecture: armhf Maintainer: Bram Senders Installed-Size: 374 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0) Homepage: http://contextfreeart.org/ Priority: extra Section: graphics Filename: pool/main/c/contextfree/contextfree_2.2+dfsg1-2.1_armhf.deb Size: 149220 SHA256: 850eab4a57b93b8db8b68b63b0a29ee5e8046cce70e70eb721eabd81d6116806 SHA1: 06577e90260afd6186ba8886c7c47cadd94b16a6 MD5sum: 4580a32a60e8cdd5a209955d3509bded Description: image generator based on context-free grammars Context Free is a program that produces images based on context-free grammars. These grammars are sets of non-deterministic rules that determine what an image should look like. The resulting images often resemble a mix of spirograph drawings, fractals and abstract art. . Context Free can generate images with millions of shapes, in PNG (up to 100 megapixels) or SVG format. It can also produce animations. Many example images are included. Package: controlaula Version: 1.8.0-3 Installed-Size: 2014 Maintainer: José L. Redrejo Rodríguez Architecture: all Depends: python, python-support (>= 0.90.0), python-avahi, python-gtk2, python-gobject, python-notify, python-openssl, python-simplejson, python-twisted-web, python-xlib, avahi-daemon, xvnc4viewer | xtightvncviewer, vlc (>= 1.0.2), libdvdplay0 | libdvdnav4, x11vnc (>= 0.8.2), ifupdown, iptables, libjs-jquery (>= 1.4.2) Recommends: cups-client, ethtool Suggests: libdvdcss2 Conflicts: ltsp-controlaula Size: 693002 SHA256: ee7f2195479a7e8d5d575c33b1ef4d548b83fa31877aa8e8596e2643465b5028 SHA1: c56b8ed0e79b25756068a506d27401c3d745dbd0 MD5sum: 68726ebe3365340e18ed1bf353d2fc5b Description: classroom management tool ControlAula assists teachers in the management of a classroom with computers on the students' desktops. It also gives the students tools to share files, work in groups, and send messages to the teacher or to classmates. . This version is designed to be set up on the teacher's PC and the students' workstations (compare the package ltsp-controlaula). Homepage: http://controlaula.sourceforge.net Tag: role::program Section: admin Priority: optional Filename: pool/main/c/controlaula/controlaula_1.8.0-3_all.deb Package: convert-pgn Source: gmchess Version: 0.29.6-2 Architecture: armhf Maintainer: Debian Chinese Team Installed-Size: 120 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Replaces: gmchess (<< 0.20.3-2) Homepage: http://code.google.com/p/gmchess/ Priority: optional Section: utils Filename: pool/main/g/gmchess/convert-pgn_0.29.6-2_armhf.deb Size: 45216 SHA256: 4567ad4db43a9f8d34c358271899b3547aec6e0f316438182361939b1be6e36e SHA1: b61c40036dfc115d9b61b284277f5ecd7ea143ab MD5sum: 66fc513e9fc16cd7020cc92045a130c1 Description: chess book format converter Convert format of given chess book from one format to PGN, the Portable Game Notation format. . PGN is an XML-like computer-processible format for recording chess games (both the moves and related data). . Supported source chess book formats: ccm, che, chn, mxq, xqf Package: convertall Version: 0.4.2-1 Installed-Size: 300 Maintainer: William Grant Architecture: all Depends: python, python-support (>= 0.7.1), python-qt4 Size: 53084 SHA256: 73e156d93233987dc33f083ae698f4ef3faed4ac8aa8e9f4f10d97067c2c1ad9 SHA1: ec720de9b67480e59bf9769dd0b1c79c4e5752ad MD5sum: 3f6c707805b0370031a7d8acbf534f5a Description: very flexible unit converter With ConvertAll, you can convert any unit in the large database to any other compatible unit. If you want to convert from inches per decade, that's fine. Or from meter-pounds. Or from cubic nautical miles. The units don't have to make sense to anyone else. Homepage: http://convertall.bellz.org/ Tag: implemented-in::python, role::program, use::converting Section: x11 Priority: optional Filename: pool/main/c/convertall/convertall_0.4.2-1_all.deb Package: convlit Version: 1.8-1 Architecture: armhf Maintainer: Joe Nahmias Installed-Size: 120 Depends: libc6 (>= 2.13-28), libtommath0 Homepage: http://www.convertlit.com/ Priority: extra Section: utils Filename: pool/main/c/convlit/convlit_1.8-1_armhf.deb Size: 47674 SHA256: d91ea4821d7c095b7a25ed76ce80c439e9ca29f640707545f2b5f0a1b4c26225 SHA1: 1f59dfa06e38ab72d34553e8e54145846285ebfc MD5sum: f2f0f36daa0b50d9ddcc96ed16d428bb Description: convert Microsoft Reader .LIT files to HTML This program will extract "DRM1" format .LIT files into their original XML/HTML. If you have the appropriate "keys.txt" file with your private EBook keys, you can also convert "DRM5" files to "DRM1". Package: convmv Version: 1.12-2 Installed-Size: 88 Maintainer: Raphael Zimmerer Architecture: all Depends: perl Size: 20118 SHA256: 61a9cc131c87eca77d8c6c281fd02ce63ba672e70eb4066aac902eebda31a230 SHA1: 3b654220c5829c5ca86330e3804e9b69ec67ddc1 MD5sum: c0c229c0de0193fd5619d8694e450bba Description: filename encoding conversion tool convmv can convert a single filename, a directory tree or all files on a filesystem to a different encoding. It only converts the encoding of filenames, not files contents. A special feature of convmv is that it also takes care of symlinks: the encoding of the symlink's target will be converted if the symlink itself is being converted. . It is also possible to convert directories to UTF-8 which are already partially UTF-8 encoded. . Keywords: rename, move Tag: devel::i18n, implemented-in::perl, interface::commandline, role::program, scope::utility, works-with::file Section: utils Priority: optional Filename: pool/main/c/convmv/convmv_1.12-2_all.deb Package: cook Version: 2.33-1 Architecture: armhf Maintainer: Walter Franzini Installed-Size: 836 Depends: libc6 (>= 2.13-28) Suggests: cook-doc Homepage: http://miller.emu.id.au/pmiller/software/cook/ Priority: extra Section: devel Filename: pool/main/c/cook/cook_2.33-1_armhf.deb Size: 411526 SHA256: 4d86e31840ce0a206742d02f91e5bb84fd68bb830794f016984cd981267c2d40 SHA1: 804485e763a56d60e6fbe64027f0c8791ccb3374 MD5sum: 51ca9f1108ce42b8abae74b0dc60fcba Description: Powerful make replacement Cook is a very powerful and very easy to use replacement for make. Through the use of Cook's powerful description language, and its many built in functions, sophisticated build can be easily accomplished. . Cook supports file fingerprints to speed build times, and also supports parallel builds over a network without requiring contorted build rules. . See cook-doc for documentation and cook-rsh for remote execution scripts Package: cook-doc Source: cook Version: 2.33-1 Installed-Size: 728 Maintainer: Walter Franzini Architecture: all Recommends: doc-base Suggests: cook Size: 653716 SHA256: a570827a6ffe178544707d63dd006826ec480cb5d9bff97392ae929c2f995e06 SHA1: c020c1ed4a2c634a17940c72c6f1529db027ebce MD5sum: e733df724d6e2fa7bb6a00a202c2ee0c Description: Documentation for cook Cook is a very powerful and very easy to use replacement for make. Through the use of Cook's powerful description language, and its many built in functions, sophisticated build can be easily accomplished. . Cook supports file fingerprints to speed build times, and also supports parallel builds over a network without requiring contorted build rules. Homepage: http://miller.emu.id.au/pmiller/software/cook/ Tag: devel::buildtools, interface::commandline, made-of::postscript, made-of::tex, role::documentation Section: doc Priority: extra Filename: pool/main/c/cook/cook-doc_2.33-1_all.deb Package: cook-rsh Source: cook Version: 2.33-1 Architecture: armhf Maintainer: Walter Franzini Installed-Size: 60 Depends: cook, ssh | rsh-client, rstat-client Suggests: rstatd Homepage: http://miller.emu.id.au/pmiller/software/cook/ Priority: extra Section: devel Filename: pool/main/c/cook/cook-rsh_2.33-1_armhf.deb Size: 12008 SHA256: 17d8fa24d27f8cf28694baf725a0cf489cb787575169f55434086ba2a01bd0d3 SHA1: 8c02a353a6296aed09461e24f0e38bd79adb69bd MD5sum: 36939eca5c448769e9351a5c283700cf Description: Remote execution scripts for cook Cook is a very powerful and very easy to use replacement for make. Through the use of Cook's powerful description language, and its many built in functions, sophisticated build can be easily accomplished. . Cook supports file fingerprints to speed build times, and also supports parallel builds over a network without requiring contorted build rules. Package: cookietool Version: 2.5-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 96 Depends: libc6 (>= 2.4) Homepage: ftp://ftp.aminet.net/util/misc/cookietool.readme Priority: optional Section: games Filename: pool/main/c/cookietool/cookietool_2.5-5_armhf.deb Size: 30332 SHA256: ac2c24daef917f6da70684ae9ff7ae107a8181f8d2298b76cb238f466176c601 SHA1: 0a1ab243435257623ab6bb5769b86c3a935d8beb MD5sum: 9b1edd4dd8e459f1b61e3434a79ccd22 Description: suite of programs to help maintain a fortune database The ''cookietool'' itself eliminates duplicate entries, sorts cookies alphabetically or by size if you wish. The ''cdbsplit'' tool extracts parts of the database to a separate file, by keyword, by size, by number, or as groups of 'similar' cookies. Package: coolkey Version: 1.1.0-12 Architecture: armhf Maintainer: A. Maitland Bottoms Installed-Size: 334 Depends: libckyapplet1 (= 1.1.0-12), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4), libpcsclite1 Recommends: libnss3-tools, epiphany-extensions Homepage: http://directory.fedoraproject.org/wiki/CoolKey Priority: optional Section: admin Filename: pool/main/c/coolkey/coolkey_1.1.0-12_armhf.deb Size: 113788 SHA256: 18039fd7472709061d314b3149394e84fcf1d449180d43e723dafbde2a3c26e7 SHA1: 4c5a5696eb7d399d69ca5913b8b4a311319d5a93 MD5sum: 0266c28d659ef968c62be382ec683140 Description: Smart Card PKCS #11 cryptographic module Linux Driver support for the CoolKey and Common Access Card (CAC) smart card security keys used in a Public Key Infrastructure (PKI). The libpkcs11 module allows use of Smart Cards in applications that use mozilla Network Security Services (NSS). Package: coolmail Version: 1.3-11 Architecture: armhf Maintainer: Fredrik Hallenberg Installed-Size: 81 Depends: libc6 (>= 2.13-28), libx11-6, libxt6 Priority: optional Section: mail Filename: pool/main/c/coolmail/coolmail_1.3-11_armhf.deb Size: 20864 SHA256: b82318e24f465078b7d59c2258b1b6faf8c1377d149cd6581dd4ed47b600def5 SHA1: 1190d211faec3e6e4ce0a703e88f8e2766bdaa03 MD5sum: 516a5ce589fe6091cb1e6f4e6cebc01a Description: Mail notifier with 3d graphics Coolmail is like xbiff -- it watches your inbox mail file and lets you know when you have mail. But unlike xbiff, it can launch your favorite mail utility when you click on it, and it has cool animated 3D graphics. Package: coop-computing-tools Source: cctools Version: 3.5.1-2 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 3809 Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libglobus-common0 (>= 14), libglobus-gss-assist3 (>= 8), libglobus-gssapi-gsi4 (>= 10), libkrb5-3 (>= 1.6.dfsg.2), libncurses5 (>= 5.5-5~), libopenmpi1.3, libreadline6 (>= 6.0), libtinfo5, python Suggests: coop-computing-tools-doc, condor, gridengine-client Homepage: http://nd.edu/~ccl/software/ Priority: extra Section: utils Filename: pool/main/c/cctools/coop-computing-tools_3.5.1-2_armhf.deb Size: 1237708 SHA256: e7be51313cbb3d079edf906867f2cbb8417a4be5b2b0d76c5e2b8a2fed5b2e7f SHA1: 28ba43f722201818aa847263630cef77f84ea360 MD5sum: 8e681051690e7286421bd0675701f6a8 Description: cooperative computing tools This is a collection of software that help users to share resources in a complex, heterogeneous, and unreliable computing environment. This includes: . * Chirp: A personal filesystem and I/O protocol that allows unprivileged users to share space securely, efficiently, and conveniently. When combined with Parrot, Chirp allows users to create custom wide-area distributed filesystems. * Parrot: A transparent user-level virtual filesystem that allows any ordinary program to be attached to a remote storage device such as an FTP server or a Chirp server. * Makeflow: A workflow system for parallel and distributed computing that uses a language very similar to Make. * Work Queue: A system and API for building master-worker style programs that scale up to thousands of processors. * All Pairs: A computational abstraction for running very large Cartesian products. * Wavefront: A computational asbtraction for running very large dynamic programming problems. * The Fault Tolerant Shell: A high-level programming language that allows users to combine the ease of shell scripting, the power of distributed programming, and the precision of compiled languages. Basically, parallel programming and exception handling for scripts. Package: coop-computing-tools-dev Source: cctools Version: 3.5.1-2 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 879 Homepage: http://nd.edu/~ccl/software/ Priority: extra Section: libs Filename: pool/main/c/cctools/coop-computing-tools-dev_3.5.1-2_armhf.deb Size: 226222 SHA256: 4b74de1de0054c90aa7407810c736cdfc875b3546168de33c4c6c9e28cf2c30d SHA1: 919d7e863ce6091766ab0bb1888bd79212ad38fa MD5sum: 3e7fdbe1bf9a72cc712c380f15a04672 Description: libraries and header files for coop-computing-tools These tools are a collection of software that help users to share resources in a complex, heterogeneous, and unreliable computing environment. . This package provides static libraries and header files for development. Package: coop-computing-tools-doc Source: cctools Version: 3.5.1-2 Installed-Size: 2553 Maintainer: NeuroDebian Team Architecture: all Depends: libjs-jquery Size: 331278 SHA256: b2c6fbced9377169da9d43b799765e838510614e939f22cb8d56ddc0e33ba89e SHA1: b3b257ec483eb537ce447d5882be955afa853e0a MD5sum: 155bd356ac5104d6018330e51a7ec18b Description: documentation for coop-computing-tools These tools are a collection of software that help users to share resources in a complex, heterogeneous, and unreliable computing environment. . This package provides the documentation (manual and API reference) in HTML format. Homepage: http://nd.edu/~ccl/software/ Tag: devel::doc, role::documentation Section: doc Priority: extra Filename: pool/main/c/cctools/coop-computing-tools-doc_3.5.1-2_all.deb Package: copyfs Version: 1.0.1-4 Architecture: armhf Maintainer: Anuradha Weeraman (anu) Installed-Size: 79 Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), attr, perl Homepage: http://n0x.org/copyfs/ Priority: optional Section: utils Filename: pool/main/c/copyfs/copyfs_1.0.1-4_armhf.deb Size: 21872 SHA256: 5155f2ec2f350de749ddcfcad28786bcb2f09d4118a12c9cd72694ef72ce24ab SHA1: a16f0969ae4d863f11e11cac4308b1dc24c2eedb MD5sum: 5aa4de2bddbb095b0be291cd0a038ef2 Description: Versioning filesystem for FUSE CopyFS keeps versioned copies of all changes done to files under its control and allows the user to revert back to any previous revision. Package: copyright-update Version: 2010.0307+git23ecad8-2 Installed-Size: 84 Maintainer: Jari Aalto Architecture: all Depends: perl Size: 14536 SHA256: 592750db96e1e28269072eb79d56db111f7df6631239214de7ffd4357a08d3c0 SHA1: 05e5532801ef8c5ad9cb7a3bef46f53137159eb0 MD5sum: 9a6e21f2bdc38527bd31aac4fd1ae407 Description: update copyright information in files Update the copyright information in set of files, possibly recursively, matching content criteria. The updating affects copyright year, GPL address information etc. Homepage: http://freshmeat.net/projects/copyright-update Section: devel Priority: optional Filename: pool/main/c/copyright-update/copyright-update_2010.0307+git23ecad8-2_all.deb Package: coq Version: 8.3.pl4+dfsg-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 8235 Depends: coq-theories (= 8.3.pl4+dfsg-2), emacsen-common, libcoq-ocaml-x6al0, ocaml-base-nox-3.12.1 Recommends: coqide | proofgeneral Suggests: ocaml-nox, proofgeneral, ledit | readline-editor, libcoq-ocaml-dev, why (>= 2.19), coq-doc Breaks: coq-libs (<< 8.2.pl1) Replaces: coq-libs (<< 8.2.pl1) Provides: coq-8.3pl4+3.12.1 Homepage: http://coq.inria.fr/ Priority: optional Section: math Filename: pool/main/c/coq/coq_8.3.pl4+dfsg-2_armhf.deb Size: 1813420 SHA256: e0a26c5f0d1deb3c7e6a00ec818cdde32644c0d959e99d1deb787ba06d239c2d SHA1: 8bb3a1d2b90b1a638ef423f8713ad9148ca13aed MD5sum: 94725a12ec14ddcb1a40dc01c030516d Description: proof assistant for higher-order logic (toplevel and compiler) Coq is a proof assistant for higher-order logic, which allows the development of computer programs consistent with their formal specification. It is developed using Objective Caml and Camlp5. . This package provides coqtop, a command line interface to Coq. . A graphical interface for Coq is provided in the coqide package. Coq can also be used with ProofGeneral, which allows proofs to be edited using emacs and xemacs. This requires the proofgeneral package to be installed. Package: coq-theories Source: coq Version: 8.3.pl4+dfsg-2 Installed-Size: 189318 Maintainer: Debian OCaml Maintainers Architecture: all Replaces: coq-libs (<< 8.2.pl1) Depends: coq-8.3pl4+3.12.1 Recommends: coq (>= 8.0) Breaks: coq-doc (<= 8.0pl1.0-2), coq-libs (<< 8.2.pl1) Size: 54416126 SHA256: 11f7a3cd6fcf3cb5e36145e670b966842ed862572cf4e5020bf9e909776b72eb SHA1: 2edb480e6913d4ca200b5698a9d2ed7ce7825e35 MD5sum: 93f8f59ec4634d7bf5be094cd2e59555 Description: proof assistant for higher-order logic (theories) Coq is a proof assistant for higher-order logic, which allows the development of computer programs consistent with their formal specification. It is developed using Objective Caml and Camlp5. . This package provides existing theories that new proofs can be based upon, including theories of arithmetic and Boolean values. Homepage: http://coq.inria.fr/ Tag: devel::library, field::mathematics, role::app-data Section: math Priority: optional Filename: pool/main/c/coq/coq-theories_8.3.pl4+dfsg-2_all.deb Package: coqide Source: coq Version: 8.3.pl4+dfsg-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 9418 Depends: coq (= 8.3.pl4+dfsg-2), libcoq-ocaml-x6al0, liblablgtk2-ocaml-yeug0, ocaml-base-nox-3.12.1 Homepage: http://coq.inria.fr/ Priority: optional Section: math Filename: pool/main/c/coq/coqide_8.3.pl4+dfsg-2_armhf.deb Size: 2538778 SHA256: 06ed0adf868b6082d70e93b507c5f3c708d404b75c7999b732cfdd71a54e5dfe SHA1: f33338a3b0ebd3b7922128a3dff4f609f6e283e4 MD5sum: 756799da4c7c3c1cde22a1afce01eb01 Description: proof assistant for higher-order logic (gtk interface) Coq is a proof assistant for higher-order logic, which allows the development of computer programs consistent with their formal specification. It is developed using Objective Caml and Camlp5. . This package provides CoqIde, a graphical user interface for developing proofs. Package: coreutils Version: 8.13-3.5 Architecture: armhf Essential: yes Maintainer: Michael Stone Installed-Size: 13400 Pre-Depends: libacl1 (>= 2.2.51-8), libattr1 (>= 1:2.4.46-8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libselinux1 (>= 1.32) Depends: dpkg (>= 1.15.4) | install-info Conflicts: timeout Replaces: mktemp, timeout Multi-Arch: foreign Homepage: http://gnu.org/software/coreutils Priority: required Section: utils Filename: pool/main/c/coreutils/coreutils_8.13-3.5_armhf.deb Size: 5244298 SHA256: c9b3a5f62f2d96a7b0ca0339f92cf9ed6adf499b7e527fe387509df5a6eef9f5 SHA1: ae9c48736763d8a689530b6cae475c8e74d74f1d MD5sum: 1ca191ed075aa9422bd97f581452a75a Description: GNU core utilities This package contains the basic file, shell and text manipulation utilities which are expected to exist on every operating system. . Specifically, this package includes: arch base64 basename cat chcon chgrp chmod chown chroot cksum comm cp csplit cut date dd df dir dircolors dirname du echo env expand expr factor false flock fmt fold groups head hostid id install join link ln logname ls md5sum mkdir mkfifo mknod mktemp mv nice nl nohup nproc od paste pathchk pinky pr printenv printf ptx pwd readlink rm rmdir runcon sha*sum seq shred sleep sort split stat stty sum sync tac tail tee test timeout touch tr true truncate tsort tty uname unexpand uniq unlink users vdir wc who whoami yes Package: coriander Version: 2.0.1-1 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 331 Depends: ftplib3 (>= 3.1), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libdc1394-22, libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomeui-0 (>= 2.22.0), libgtk2.0-0 (>= 2.8.0), libraw1394-11, libsdl1.2debian (>= 1.2.11), libx11-6, libxv1 Homepage: http://sourceforge.net/projects/coriander/ Priority: extra Section: gnome Filename: pool/main/c/coriander/coriander_2.0.1-1_armhf.deb Size: 141990 SHA256: 1767686d9011d1334c0c2964c390727075409ad82bea2eb77d5fc513386ec7a4 SHA1: 01100e34de2ad301ab1a47b60c87c9adfa7fba32 MD5sum: 1db42c28f4be98d626c06eb6f2375db1 Description: control IEEE1394 digital camera Coriander is a GUI that lets you view camera images and control all the features of an IEEE-1394 Digital Camera complying with the DC Specifications v1.04 or later (see http://www.1394ta.org). Package: corkscrew Version: 2.0-9 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 54 Depends: libc6 (>= 2.7) Homepage: http://freshmeat.net/projects/corkscrew Priority: optional Section: net Filename: pool/main/c/corkscrew/corkscrew_2.0-9_armhf.deb Size: 11830 SHA256: 65508938bc597114efc23da1b9ada7ebe5b5f48dd11b15df62893db4a1915d26 SHA1: 75231894b83351416cfcec302077ae27e3d47c88 MD5sum: 45a3f8eadbf8a642a4531acccbe17fa2 Description: tunnel TCP connections through HTTP proxies corkscrew is a simple tool to tunnel TCP connections through an HTTP proxy supporting the CONNECT method. It reads stdin and writes to stdout during the connection, just like netcat. . It can be used for instance to connect to an SSH server running on a remote 443 port through a strict HTTPS proxy. Package: corosync Version: 1.4.2-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 978 Depends: libc6 (>= 2.13-28), libcfg4 (= 1.4.2-3), libconfdb4 (= 1.4.2-3), libcoroipcc4 (= 1.4.2-3), libcoroipcs4 (= 1.4.2-3), libcpg4 (= 1.4.2-3), libgcc1 (>= 1:4.4.0), liblogsys4 (= 1.4.2-3), libpload4 (= 1.4.2-3), libquorum4 (= 1.4.2-3), libtotem-pg4 (= 1.4.2-3), libvotequorum4 (= 1.4.2-3), lsb-base (>= 3.0-6), libevs4 (= 1.4.2-3), libsam4 (= 1.4.2-3), adduser Conflicts: openais (<< 0.85), openais-legacy (<< 1.0.0) Priority: optional Section: admin Filename: pool/main/c/corosync/corosync_1.4.2-3_armhf.deb Size: 423008 SHA256: cec9e9e79730a8f72c224d4bf9523fec4f316700f5eb576fd23590c8b2939bdb SHA1: fc6273596d31d8de5654397553436569c9e81683 MD5sum: 6c7f26ff6a186f07eb3b19552a273a5a Description: Standards-based cluster framework (daemon and modules) The corosync project is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package contains the corosync daemon and modules. Package: corosync-dbg Source: corosync Version: 1.4.2-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 7028 Depends: libcfg4 (= 1.4.2-3), libconfdb4 (= 1.4.2-3), libcoroipcc4 (= 1.4.2-3), libcoroipcs4 (= 1.4.2-3), libcpg4 (= 1.4.2-3), libevs4 (= 1.4.2-3), liblogsys4 (= 1.4.2-3), libpload4 (= 1.4.2-3), libquorum4 (= 1.4.2-3), libsam4 (= 1.4.2-3), libtotem-pg4 (= 1.4.2-3), libvotequorum4 (= 1.4.2-3) Priority: extra Section: debug Filename: pool/main/c/corosync/corosync-dbg_1.4.2-3_armhf.deb Size: 2466978 SHA256: 5f74afdcb21b978c90b2d8834782d0a13753f6188d1fc80383c98bc60be4fb40 SHA1: eb084012b550e619921104ef8c24ea4a6597fb1e MD5sum: 6988fdf9c2e60ae3d4d5b5f5b5a39ccc Description: Standards-based cluster framework (debugging symbols) The corosync project is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package contains the debug information. Package: corosync-dev Source: corosync Version: 1.4.2-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 375 Depends: libcfg-dev (= 1.4.2-3), libconfdb-dev (= 1.4.2-3), libcoroipcc-dev (= 1.4.2-3), libcoroipcs-dev (= 1.4.2-3), libcpg-dev (= 1.4.2-3), libevs-dev (= 1.4.2-3), liblogsys-dev (= 1.4.2-3), libpload-dev (= 1.4.2-3), libquorum-dev (= 1.4.2-3), libsam-dev (= 1.4.2-3), libtotem-pg-dev (= 1.4.2-3), libvotequorum-dev (= 1.4.2-3) Conflicts: libopenais-dev (<< 0.85), libopenais-legacy-dev (<< 1.0.0) Priority: optional Section: libdevel Filename: pool/main/c/corosync/corosync-dev_1.4.2-3_armhf.deb Size: 263720 SHA256: 924167d7fdc898cf3a444aa202735a774d269106667fe655d0b156c53e429754 SHA1: 35cf32839dae7fc01944db50df44f91e5edeaa21 MD5sum: fd623c599c7807d52923dbbccd610763 Description: Standards-based cluster framework (generic devel files) The corosync project is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package contains Corosync development files that do not belong to one of the other corosync packages. It also contains the manpages for the numerous functions provided by the Corosync libraries. Package: cortado Version: 0.6.0-1 Installed-Size: 88 Maintainer: Debian Java Maintainers Architecture: all Depends: apache2-mpm-worker | apache2 | httpd, ucf, libcortado-java (= 0.6.0-1) Size: 25934 SHA256: ea125914fa2d533b98c06658a35613777361704aaeca2d9152a460a4d1bdb34b SHA1: e794a39bccd3020d731bef3b953c3b65d57d9ac6 MD5sum: c146a9a2c497a4142b642f19050975de Description: streaming applet for Ogg formats By embedding the cortado applet in your website, you can give viewers access to streams from either the Flumotion streaming server or any HTTP server providing multimedia files without the need for a locally installed media player supporting the correct formats on the visitor's computer. Cortado currently include Java decoders for Ogg Theora, Ogg Vorbis (the JOrbis library), Mulaw audio, MJPEG and Flumotion's Smoke codec. . The package will automatically be configured for apache2 but can be used with any other HTTP server. Some HTML files are included as examples of how to use the applet. Homepage: http://theora.org/cortado/ Tag: implemented-in::java, role::program, works-with-format::oggtheora, works-with-format::oggvorbis Section: utils Priority: optional Filename: pool/main/c/cortado/cortado_0.6.0-1_all.deb Package: cortina Version: 0.7.3-1 Architecture: armhf Maintainer: Wences Arana Installed-Size: 3676 Depends: gconf-service, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.12.0), libqt4-network (>= 4:4.6), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6), libstdc++6 (>= 4.4.0), gconf2 (>= 2.28) Homepage: https://launchpad.net/cortina Priority: optional Section: gnome Filename: pool/main/c/cortina/cortina_0.7.3-1_armhf.deb Size: 1531112 SHA256: 4c51c4602ef11ddce55af0e9becf123f7bb507a669297e94155990592db97525 SHA1: 5facba025c56865df5bc862da22365bb91165c6f MD5sum: 775b22a0f2235c981ba5797bafc5c814 Description: Wallpaper changer for gnome Cortina can rotate wallpapers by time and works as an tray application with an configuration interface. Is a simple wallpaper changer for GNOME desktop, lightweight and perform all its task quicky and swiftly. Package: couchdb Version: 1.2.0-5+deb7u1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 2595 Depends: adduser, erlang-base (>= 1:15.b.1-dfsg) | erlang-base-hipe (>= 1:15.b.1-dfsg), libjs-jquery (>= 1.4.2), libjs-jquery-form (>= 2.36), lsb-base, procps, erlang-crypto (>= 1:15.b.1-dfsg), erlang-inets (>= 1:15.b.1-dfsg), erlang-xmerl (>= 1:15.b.1-dfsg), erlang-eunit (>= 1:15.b.1-dfsg), erlang-os-mon (>= 1:15.b.1-dfsg), erlang-ssl (>= 1:15.b.1-dfsg), erlang-syntax-tools (>= 1:15.b.1-dfsg), erlang-tools (>= 1:15.b.1-dfsg), libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libmozjs185-1.0 (>= 1.8.5-1.0.0+dfsg), libstdc++6 (>= 4.4.0) Homepage: http://couchdb.apache.org/ Priority: optional Section: misc Filename: pool/main/c/couchdb/couchdb_1.2.0-5+deb7u1_armhf.deb Size: 1019332 SHA256: 95b5764fee5f3dd6e1da7bebbdb24ff88069b85664f79c323d680cd6c37965bd SHA1: 68f69363a401a8685cb343cacb41ee778c73d569 MD5sum: d2e6c9750d62f8bbc335eeb2896ec3d2 Description: RESTful document oriented database Apache CouchDB is a distributed, fault-tolerant and schema-free document-oriented database accessible via a RESTful HTTP/JSON API. Among other features, it provides robust, incremental replication with bi-directional conflict detection and resolution, and is queryable and indexable using a table-oriented view engine with JavaScript acting as the default view definition language. . CouchDB is written in Erlang, but can be easily accessed from any environment that provides means to make HTTP requests. There are a multitude of third-party client libraries that make this even easier for a variety of programming languages and environments. Package: courier-authdaemon Source: courier-authlib Version: 0.63.0-6 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 60 Depends: courier-authlib (>= 0.63.0), lsb-base (>= 3.0-10) Priority: optional Section: mail Filename: pool/main/c/courier-authlib/courier-authdaemon_0.63.0-6_armhf.deb Size: 8706 SHA256: 33b7b9e4eb4308b1743332bf63a6074c7fb578e5bc0164ebde1f9fddb3e22f35 SHA1: 6dc3ab5df89a24e30a7eb7b7d33c4fed225ebfa7 MD5sum: ec07021825662b4467f689367fd1ddce Description: Courier authentication daemon This package contains the authentication daemon for the Courier applications. Package: courier-authlib Version: 0.63.0-6 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 246 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdbm3 (>= 1.8.3), libltdl7 (>= 2.4.2), libpam0g (>= 0.99.7.1), expect Conflicts: courier-authdaemon (<< 0.58), courier-authmysql, courier-authpostgresql, courier-base (<< 0.48) Priority: optional Section: mail Filename: pool/main/c/courier-authlib/courier-authlib_0.63.0-6_armhf.deb Size: 81500 SHA256: 05b4202dd75bd3cd6032fedd5d5b32c39ed40258989bab34855795a47f63d464 SHA1: 1f05148258f1d2a2228a0232fda96fa69bbf5216 MD5sum: 3cb748efad5e8916893049776514f368 Description: Courier authentication library The Courier authentication library provides authentication services for other Courier applications. Package: courier-authlib-dev Source: courier-authlib Version: 0.63.0-6 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 352 Depends: libc6 (>= 2.13-28), courier-authlib (>= 0.63.0) Conflicts: courier-base (<< 0.48) Priority: optional Section: mail Filename: pool/main/c/courier-authlib/courier-authlib-dev_0.63.0-6_armhf.deb Size: 111490 SHA256: 02207da2cce8458d0422e29afe73527d7c497d9622a614680bf505c3a2ccb41c SHA1: 4f9b2bc4c0ea8bd469e569d6e671380d6893d355 MD5sum: 8d6c6d382169e06349b8ee7db02f09de Description: Development libraries for the Courier authentication library This package contains the development libraries and files needed to compile Courier packages that use the Courier authentication library. Package: courier-authlib-ldap Source: courier-authlib Version: 0.63.0-6 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 90 Depends: courier-authlib (>= 0.63.0), libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7) Priority: optional Section: mail Filename: pool/main/c/courier-authlib/courier-authlib-ldap_0.63.0-6_armhf.deb Size: 23560 SHA256: dd73c1a7ff96637255e2ed9bf31da58a81ac820948d886f2fd3f3e961c273b98 SHA1: bce2b032b8b1964fbb9b6278642bbe82b434c929 MD5sum: 87e1c5f3053a712850a526aa98e91c00 Description: LDAP support for the Courier authentication library This package contains the LDAP support for the Courier authentication library. Package: courier-authlib-mysql Source: courier-authlib Version: 0.63.0-6 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 91 Depends: courier-authlib (>= 0.63.0), libc6 (>= 2.13-28), libmysqlclient16 (>= 5.1.50-1) Conflicts: courier-authmysql Replaces: courier-authmysql Priority: optional Section: mail Filename: pool/main/c/courier-authlib/courier-authlib-mysql_0.63.0-6_armhf.deb Size: 20884 SHA256: 2489c2e535ba7da4c57192ef1b083a98f7db27ba85c9d82a29852a775d95699f SHA1: 995c1b98dbd38c205d3899cc19324d9f096a27aa MD5sum: 122e9f996f0df823a9eec4410f462451 Description: MySQL support for the Courier authentication library This package contains the MySQL support for the Courier authentication library. Package: courier-authlib-pipe Source: courier-authlib Version: 0.63.0-6 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 46 Depends: courier-authlib (>= 0.63.0), libc6 (>= 2.13-28) Priority: optional Section: mail Filename: pool/main/c/courier-authlib/courier-authlib-pipe_0.63.0-6_armhf.deb Size: 9408 SHA256: 42007aaba1a536db830f00314ef384d39b38b0eac6257e1a243eed75915e3005 SHA1: c199218a441442a470f266dbc552105d0d68c6c8 MD5sum: 0596c178a9072af46ed9faa28dbda6b0 Description: External authentication support for the Courier authentication library This package contains external authentication support via pipes for the Courier authentication library. The authpipe module is a generic plugin that enables authentication requests to be serviced by an external program, then communicates through messages on stdin and stdout. Package: courier-authlib-postgresql Source: courier-authlib Version: 0.63.0-6 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 91 Depends: courier-authlib (>= 0.63.0), libc6 (>= 2.13-28), libpq5 Conflicts: courier-authpostgresql Replaces: courier-authpostgresql Priority: optional Section: mail Filename: pool/main/c/courier-authlib/courier-authlib-postgresql_0.63.0-6_armhf.deb Size: 20592 SHA256: 1f7e59469bb5df147c0ab2ba2c08c6d147a9d8768e164df4218c2c9f87e9f284 SHA1: a80189d5926673c31951ee78c529eeaef1a21917 MD5sum: 47685cd545da6cf1a8607d57a9254a76 Description: PostgreSQL support for the Courier authentication library This package contains the PostgreSQL support for the Courier authentication library. Package: courier-authlib-userdb Source: courier-authlib Version: 0.63.0-6 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 103 Depends: courier-authlib (>= 0.63.0), libc6 (>= 2.13-28), libgdbm3 (>= 1.8.3) Conflicts: courier-base (<< 0.48) Priority: optional Section: mail Filename: pool/main/c/courier-authlib/courier-authlib-userdb_0.63.0-6_armhf.deb Size: 34984 SHA256: ff14330262f1fdc17fe9ddd6d14a1cb66b1f48568a997990e83b0796ace013a5 SHA1: c461fb763f3740a40ce361f30fae0897abdfb56f MD5sum: 14d4ef4313b4354b301df52e216aab6b Description: userdb support for the Courier authentication library This package contains the userdb support for the Courier authentication library. Userdb is a simple way to manage virtual mail accounts using a GDBM-based database file. Package: courier-base Source: courier Version: 0.68.2-1 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 472 Depends: debconf (>= 0.5.00) | debconf-2.0, libc6 (>= 2.13-28), libfam0, libgcc1 (>= 1:4.4.0), libgdbm3 (>= 1.8.3), perl, courier-authlib (>= 0.63.0), courier-authlib-userdb, courier-authdaemon, lsb-base (>= 3.1-23) Conflicts: courier-debug (<< 0.44.2), courier-imap (<= 1.3.3), sqwebmail (<< 0.45.6) Replaces: courier-debug (<< 0.44.2) Homepage: http://www.courier-mta.org/ Priority: optional Section: mail Filename: pool/main/c/courier/courier-base_0.68.2-1_armhf.deb Size: 254060 SHA256: 0441588cf3dec602cd9eda06c3043179ba0aeb32a0b30d7c3fc932de5ed07653 SHA1: 52b8e5b1395e0320839dc0a99b120b5a9f29d6c4 MD5sum: 588f7e485d945b13ca215e23144ed620 Description: Courier mail server - base system The Courier mail transfer agent (MTA) is an integrated mail/groupware server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP, SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list services within a single, consistent, framework. . This package provides the functionality needed by all courier packages such as some configuration files, helper programs and the Courier TCP server daemon. Package: courier-doc Source: courier Version: 0.68.2-1 Installed-Size: 1380 Maintainer: Stefan Hornburg (Racke) Architecture: all Size: 372336 SHA256: dc804fc1e6f8464c8bb388b0ae040eaf2f65f2a39b9ccf43e55e704287b1f2e1 SHA1: e34270eec92c3a5d78cfba097af2a4f2776afb5e MD5sum: 8be5e6b7675796cbb93da2e27dbb3376 Description: Courier mail server - additional documentation The Courier mail transfer agent (MTA) is an integrated mail/groupware server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP, SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list services within a single, consistent, framework. . This package contains additional documentation for Courier. Homepage: http://www.courier-mta.org/ Tag: interface::daemon, made-of::html, mail::transport-agent, role::documentation, works-with::mail Section: doc Priority: optional Filename: pool/main/c/courier/courier-doc_0.68.2-1_all.deb Package: courier-faxmail Source: courier Version: 0.68.2-1 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 139 Depends: courier-mta (>= 0.68.2), groff, ghostscript, mgetty-fax Recommends: netpbm Suggests: courier-doc Conflicts: courier-mta (<= 0.42.2-6) Homepage: http://www.courier-mta.org/ Priority: extra Section: mail Filename: pool/main/c/courier/courier-faxmail_0.68.2-1_armhf.deb Size: 37858 SHA256: 46b34e27707d1487b10665bc4f7e3c12253e84439cf5aa24626cd9ea220d6590 SHA1: a6137c945bb665841e63adcc10ca121615aeae26 MD5sum: 22eadf464702164ba02891d549104cbe Description: Courier mail server - Fax<->mail gateway The Courier mail transfer agent (MTA) is an integrated mail/groupware server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP, SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list services within a single, consistent, framework. . The courierfax module implements a faxmail gateway, which faxes a printed copy of an email message to the phone number specified in the email address (e.g. 5558888@fax). Package: courier-filter-perl Version: 0.200+ds-1 Installed-Size: 342 Maintainer: Debian Perl Group Architecture: all Depends: perl, courier-mta, liberror-perl Recommends: libarchive-zip-perl, libclamav-client-perl, libio-stringy-perl, libmail-spamassassin-perl, libmail-spf-perl, libmime-tools-perl, libnet-rblclient-perl Suggests: libnet-address-ip-local-perl Size: 138684 SHA256: b12786f39be2f72952239ca48179339dd2cc443df0e0d6348b7a11474c489266 SHA1: 0aeb2be17f2dd64c5ac2a5c52fdb60383d5e4943 MD5sum: 013ab617d27ba7a1fe3a332cdd29f6d1 Description: purely Perl-based mail filter framework for the Courier MTA Courier::Filter implements the Courier MTA's courierfilter interface as a framework for mail filter modules. Authors of filter modules can concentrate on writing the actual filter logic without having to care about the usual low-level details of the courierfilter interface. Logging to various facilities is also supported. . Courier::Filter allows multiple filter modules to be installed in stacked and hierarchically grouped configurations. Also, modules' polarity can be reversed, so some modules can be used for explicitly accepting messages while others are used in the traditional way for rejecting messages. . The following filter modules are included: * BlankBody: matching of blank bodies (a stupid spammer symptom) * DNSBL: matching of calling MTA's IP address against DNS black-lists * SPF: authentication of sender addresses in inbound messages * SPFout: authentication of sender addresses in outbound messages * Envelope: matching of RFC 2821 message envelope fields * Header: matching of RFC 2822 message header fields * FakeDate: detection of implausible and malformed date header fields * ClamAVd: malware detection using the ClamAV scanner * SpamAssassin: spam detection using SpamAssassin * Parts: matching of MIME parts and ZIP archive contents * SendCopy: sending message copies to additional recipients Homepage: http://search.cpan.org/dist/Courier-Filter/ Tag: implemented-in::perl, interface::daemon, mail::filters, network::server, role::program, security::antivirus, use::scanning, works-with::mail Section: mail Priority: optional Filename: pool/main/c/courier-filter-perl/courier-filter-perl_0.200+ds-1_all.deb Package: courier-imap Source: courier (0.68.2-1) Version: 4.10.0-20120615-1 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 561 Depends: courier-authlib (>= 0.63.0), libc6 (>= 2.13-28), libfam0, libgcc1 (>= 1:4.4.0), libgdbm3 (>= 1.8.3), default-mta | mail-transport-agent, courier-base (>= 0.68.2), debconf Suggests: courier-doc, imap-client, courier-imap-ssl Conflicts: imap-server Replaces: imap-server Provides: imap-server Homepage: http://www.courier-mta.org/ Priority: extra Section: mail Filename: pool/main/c/courier/courier-imap_4.10.0-20120615-1_armhf.deb Size: 255706 SHA256: 3b0e0a06b111008b81e5dfb17fab666fd0854722d47186f2bfb756267b26d1d5 SHA1: 0010633ee71f011b577f39cb4a106fbc9175459e MD5sum: d87d8ad63ff76aa343397e8d9033ff8c Description: Courier mail server - IMAP server The Courier mail transfer agent (MTA) is an integrated mail/groupware server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP, SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list services within a single, consistent, framework. . This package provides an IMAP server that accesses email stored in Maildirs format mailboxes. This server has an extremely small footprint and provides shared and virtual shared folders. Package: courier-imap-ssl Source: courier (0.68.2-1) Version: 4.10.0-20120615-1 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 116 Depends: courier-imap (>= 1.3.7-3), courier-ssl (>= 0.68.2), openssl, debconf Suggests: courier-doc, imap-client Homepage: http://www.courier-mta.org/ Priority: extra Section: mail Filename: pool/main/c/courier/courier-imap-ssl_4.10.0-20120615-1_armhf.deb Size: 31864 SHA256: 7002739779541bf0a615160de8a105150e95e04c9299b68ce6af2d18d5f4f151 SHA1: a4d424df03226df04ec84dc0dacc692e48e50e9a MD5sum: 628538a946809e3123ba63187eaf4111 Description: Courier mail server - IMAP over SSL The Courier mail transfer agent (MTA) is an integrated mail/groupware server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP, SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list services within a single, consistent, framework. . IMAP over SSL is handled by the regular IMAP daemon from courier-imap in conjunction with the SSL/TLS wrapper supplied by courier-ssl. Package: courier-ldap Source: courier Version: 0.68.2-1 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 130 Depends: courier-authlib (>= 0.63.0), libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7), courier-base (>= 0.68.2), courier-authlib-ldap, debconf Suggests: courier-doc Conflicts: courier-imap-authldap Replaces: courier-imap-authldap Homepage: http://www.courier-mta.org/ Priority: optional Section: mail Filename: pool/main/c/courier/courier-ldap_0.68.2-1_armhf.deb Size: 42380 SHA256: d85a3c35b7e1de99236cd398e273d6bcdae1a8af8f81d57c495c03b72259c5e0 SHA1: 0829c7fac581afe40b6931249939ff8b44e15c93 MD5sum: 10c5167899c33fab8c67344cbb81de49 Description: Courier mail server - LDAP support The Courier mail transfer agent (MTA) is an integrated mail/groupware server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP, SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list services within a single, consistent, framework. . This package contains support for LDAP authentication and LDAP-based mail aliasing. Package: courier-maildrop Source: courier Version: 0.68.2-1 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 562 Depends: courier-authlib (>= 0.63.0), libc6 (>= 2.13-28), libfam0, libgcc1 (>= 1:4.4.0), libgdbm3 (>= 1.8.3), libpcre3 (>= 8.10), libstdc++6 (>= 4.4.0), courier-base (>= 0.68.2), default-mta | mail-transport-agent Suggests: courier-doc Conflicts: maildrop Replaces: maildrop Homepage: http://www.courier-mta.org/ Priority: optional Section: mail Filename: pool/main/c/courier/courier-maildrop_0.68.2-1_armhf.deb Size: 272454 SHA256: 88d0fcb888bc1f45c0a10b191a45494c44d54c3f8214a8ffc6ace8699cb53398 SHA1: 4ae4139aa6463e315e1ae09fc7803807d1c6e6d2 MD5sum: 4fa1a9091cc8ff760a911fd55b03c5e4 Description: Courier mail server - mail delivery agent The Courier mail transfer agent (MTA) is an integrated mail/groupware server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP, SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list services within a single, consistent, framework. . The maildrop program is a local mail delivery agent. it reads a mail message from standard input, then delivers the message to the mailbox. It is able to deliver mail to mbox-style mailboxes and Maildirs. . It will optionally read instructions from a file, which describes how to filter incoming mail, using a structured filtering language. . This package is intended for use along with sqwebmail. Otherwise, use the standalone maildrop package. Package: courier-mlm Source: courier Version: 0.68.2-1 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 866 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdbm3 (>= 1.8.3), libstdc++6 (>= 4.6), courier-base (>= 0.68.2), courier-maildrop (>= 0.68.2) Suggests: courier-doc Homepage: http://www.courier-mta.org/ Priority: optional Section: mail Filename: pool/main/c/courier/courier-mlm_0.68.2-1_armhf.deb Size: 351282 SHA256: c8e8613cd54601bc50ee03e301891b0ba561637f6073fbb0d9ed1a96e6f15fbe SHA1: 5171dbd863e26b2259ee6ac8fcff849fc9674d3d MD5sum: 5e0727dbfcba9aa735e30f30deca6539 Description: Courier mail server - mailing list manager The Courier mail transfer agent (MTA) is an integrated mail/groupware server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP, SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list services within a single, consistent, framework. . The couriermlm command sets up, maintains, and runs a mailing list. It automatically handles subscribe and unsubscribe requests, and removes undeliverable addresses from the subscription rolls. Mailing lists managed by couriermlm require zero human administrative oversight. It supports digests, write-only posting aliases, and moderated mailing lists. Package: courier-mta Source: courier Version: 0.68.2-1 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 1678 Depends: debconf (>= 0.5) | debconf-2.0, courier-authlib (>= 0.63.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdbm3 (>= 1.8.3), libperl5.14 (>= 5.14.2), libstdc++6 (>= 4.6), courier-base (>= 0.68.2), debconf Suggests: mail-reader, courier-doc, courier-filter-perl, couriergrey Conflicts: courier-faxmail (<= 0.42.2-6), mail-transport-agent Replaces: mail-transport-agent Provides: mail-transport-agent Homepage: http://www.courier-mta.org/ Priority: extra Section: mail Filename: pool/main/c/courier/courier-mta_0.68.2-1_armhf.deb Size: 766434 SHA256: 97d6028eed0069db4bf5bb27d2ee179d10f03f6dc2600d48d4099a25e73a0d83 SHA1: 799b47d60ccc25e147fdad8e4ba847fcf7db5b25 MD5sum: 8d3246db93c2321ed426955f1e844c30 Description: Courier mail server - ESMTP daemon The Courier mail transfer agent (MTA) is an integrated mail/groupware server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP, SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list services within a single, consistent, framework. . This package provides an ESMTP daemon which uses the Maildir format as native storage format, supports IPv6, implements SMTP extensions for mailing list management and features integrated mail filtering. It can function as an intermediate mail relay, relaying mail between an internal LAN and the Internet, or perform final delivery to mailboxes. Package: courier-mta-ssl Source: courier Version: 0.68.2-1 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 92 Depends: courier-mta, courier-ssl (>= 0.68.2), debconf Suggests: courier-doc Homepage: http://www.courier-mta.org/ Priority: extra Section: mail Filename: pool/main/c/courier/courier-mta-ssl_0.68.2-1_armhf.deb Size: 29096 SHA256: 395711a9a2c4acf2448ca30474e6a256d2e10ab7f2452d75c442c0a5734e736f SHA1: dc7181c504a02871e9e1e79fb8d82f364c713805 MD5sum: b2c4e3d890e30fb6432cfdf7b91012cd Description: Courier mail server - ESMTP over SSL The Courier mail transfer agent (MTA) is an integrated mail/groupware server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP, SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list services within a single, consistent, framework. . ESMTP over SSL is handled by the regular ESMTP daemon from courier-mta in conjunction with the SSL/TLS wrapper supplied by courier-ssl. Package: courier-pcp Source: courier Version: 0.68.2-1 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 167 Depends: courier-authlib (>= 0.63.0), libc6 (>= 2.13-28), sqwebmail Suggests: courier-doc Homepage: http://www.courier-mta.org/ Priority: optional Section: mail Filename: pool/main/c/courier/courier-pcp_0.68.2-1_armhf.deb Size: 69580 SHA256: 7844749e07c8a4040540b45a80a2c920911a5006ae48a037ddfcf2157779ea97 SHA1: 9940f5cef4308c73502c9d236f50a420eb984212 MD5sum: c26f506b88facdaecb5d7e842caaff89 Description: Courier mail server - PCP server The Courier mail transfer agent (MTA) is an integrated mail/groupware server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP, SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list services within a single, consistent, framework. . This package contains the PCP (Personal Calendaring Protocol) server needed for the groupware calendaring mode of SqWebMail. Package: courier-pop Source: courier Version: 0.68.2-1 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 190 Depends: courier-authlib (>= 0.63.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), default-mta | mail-transport-agent, courier-base (>= 0.68.2), debconf Suggests: courier-doc, mail-reader, courier-pop-ssl Conflicts: pop3-server Replaces: pop3-server Provides: pop3-server Homepage: http://www.courier-mta.org/ Priority: extra Section: mail Filename: pool/main/c/courier/courier-pop_0.68.2-1_armhf.deb Size: 63122 SHA256: f472e25507aa65754165cc41b414c57b6d0ac16750c911f8f05f2eecaf1ae555 SHA1: cf2e0218e859ca5d742f747c4199a7dcb0bcdf6f MD5sum: 397e765336465003c1b06825d29c5859 Description: Courier mail server - POP3 server The Courier mail transfer agent (MTA) is an integrated mail/groupware server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP, SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list services within a single, consistent, framework. . This package provide the POP3 daemon for Courier. It only supports email stored in the Maildir format. Package: courier-pop-ssl Source: courier Version: 0.68.2-1 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 116 Depends: courier-pop, courier-ssl (>= 0.68.2), debconf Suggests: courier-doc Homepage: http://www.courier-mta.org/ Priority: extra Section: mail Filename: pool/main/c/courier/courier-pop-ssl_0.68.2-1_armhf.deb Size: 31756 SHA256: 946805279bf6d2129f0235cc4a48e9c8ba4d905d2e29d87d97273bd74fdcfc36 SHA1: 95d66d619211ad289bc65f6607df5868ae3ad8ed MD5sum: 0c2e7a68439ef68472db8aa2a8508092 Description: Courier mail server - POP3 over SSL The Courier mail transfer agent (MTA) is an integrated mail/groupware server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP, SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list services within a single, consistent, framework. . POP3 over SSL is handled by the regular POP3 daemon from courier-pop in conjunction with the SSL/TLS wrapper supplied by courier-ssl. Package: courier-ssl Source: courier Version: 0.68.2-1 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 142 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), courier-base (>= 0.68.2), openssl, debconf Homepage: http://www.courier-mta.org/ Priority: optional Section: mail Filename: pool/main/c/courier/courier-ssl_0.68.2-1_armhf.deb Size: 65340 SHA256: 1e479e3a43a3acf09b4134fdd78dfd5f528ed419477e90a873cd869a2c34eca7 SHA1: 045fa07c971f4d602afc31290b30fc4d1d2f63bb MD5sum: d9c5125b8d2307a226674eb696bd515b Description: Courier mail server - SSL/TLS Support The Courier mail transfer agent (MTA) is an integrated mail/groupware server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP, SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list services within a single, consistent, framework. . Courier uses the a SSL/TLS wrapper application named couriertls instead of embedding SSL/TLS support in the different applications. Additionally, this package contains a default set of trusted X.509 root CA certs. Package: courier-webadmin Source: courier Version: 0.68.2-1 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 187 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), courier-base (>= 0.68.2), apache2 | httpd, debconf Suggests: courier-doc Homepage: http://www.courier-mta.org/ Priority: optional Section: mail Filename: pool/main/c/courier/courier-webadmin_0.68.2-1_armhf.deb Size: 49488 SHA256: 9d3a78847a67e7bd14b9ca965901d9d0efe23117ba68610d7fb43de2cb870b5e SHA1: 767a191ba910d9639da18289320c92f88f530fa1 MD5sum: 562e1874e597d324727476c1992b7307 Description: Courier mail server - web-based administration frontend The Courier mail transfer agent (MTA) is an integrated mail/groupware server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP, SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list services within a single, consistent, framework. . The web-based administration and configuration tool for the Courier mail server is capable of changing the settings of the MTA, IMAP, POP and Webmail servers and the LDAP, MySQL and PostgreSQL authentication modules. Only the installed parts of the Courier mail server show up in the administration frontend. Package: couriergraph Version: 0.25-4.3 Installed-Size: 107 Maintainer: Jose Luis Tallon Architecture: all Depends: libfile-tail-perl, librrds-perl (>= 1.2) Pre-Depends: debconf (>= 1.1) | debconf-2.0 Recommends: apache2 | httpd, courier-pop, courier-imap Size: 21176 SHA256: 63662f450cfdc14f52ffb6209f96eed16fc1d5d98277cfce87a683b978ebc6a7 SHA1: 8a1f8929cf9441527fa11233787f21341d321398 MD5sum: b4fd0c520f45a8b63b29b627af3881c3 Description: Mail statistics RRDtool frontend for Courier-{POP,IMAP} Couriergraph is a very simple mail statistics RRDtool frontend for Courier-POP & Courier-IMAP that produces daily, weekly, monthly and yearly graphs of mail server's activity (logins, traffic, etc). Tag: admin::monitoring, implemented-in::perl, interface::daemon, mail::imap, mail::pop, role::program, scope::utility, use::monitor, works-with::db, works-with::logfile, works-with::mail Section: admin Priority: extra Filename: pool/main/c/couriergraph/couriergraph_0.25-4.3_all.deb Package: couriergrey Version: 0.3.2-1 Architecture: armhf Maintainer: Marco Balmer Installed-Size: 133 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdbm3 (>= 1.8.3), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libpopt0 (>= 1.14), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), courier-mta Homepage: http://couriergrey.com/ Priority: extra Section: net Filename: pool/main/c/couriergrey/couriergrey_0.3.2-1_armhf.deb Size: 29876 SHA256: 94e7ba94ca523f4dae010721bab853fb8a7ac61eb04b691fcdc1f275f14f832c SHA1: 70c083b347d229b270d6c3e7172d81e686cdba6d MD5sum: bdb38486cc92688045cee4620198f6cb Description: Mail filter interface of Courier-MTA to support greylisting Couriergrey implements the mail filter interface of Courier MTA to support the greylist filtering method. . The software supports the IPv6 protocol, and is easy to use. Package: courierpassd Version: 1.1.2-2 Architecture: armhf Maintainer: Sven Hoexter Installed-Size: 65 Depends: courier-authlib (>= 0.63.0-3), libc6 (>= 2.13-28) Suggests: xinetd Homepage: http://www.arda.homeunix.net/store/ Priority: optional Section: mail Filename: pool/main/c/courierpassd/courierpassd_1.1.2-2_armhf.deb Size: 14424 SHA256: f2e9c983c51d973f66d460f40f53f98c9b96626dec2e41b187d5b9c631833e0d SHA1: 74814ddcee19b22672f988c9f79f0e6c907166d5 MD5sum: 318edd4c9a0cd828318216430c610b9e Description: Change courier user passwords using poppassd interface Courierpassd works with the Courier mail server user authentication mechanism to allow changing a user's password from across a network. It uses the same protocol as poppassd to obtain user IDs and passwords. It can be used, for example, to allow users to change their passwords from within various webmail programs. Package: covered Version: 0.7.10-1 Architecture: armhf Maintainer: Debian Electronics Team Installed-Size: 2083 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), zlib1g (>= 1:1.1.4), tklib Recommends: iverilog | verilog | gplcver (>= 2.12a-1.1) Homepage: http://covered.sourceforge.net/ Priority: optional Section: electronics Filename: pool/main/c/covered/covered_0.7.10-1_armhf.deb Size: 812368 SHA256: 2eac5f99e689af6315ef103c4ffc7f71943378c2367906e6ceacc6be6c758364 SHA1: 14e34002e513cd2ad4f044b57afca6012626c5dc MD5sum: eb30f878c744aed023cbd38ef0f6d7dc Description: Verilog code coverage analysis tool Covered is a Verilog code coverage utility that reads in a Verilog design and a generated VCD/LXT dumpfile from that design and generates a coverage file that can be merged with other coverage files or used to create a coverage report. Covered also contains the GUI coverage report utility that reads in a coverage file to allow interactive coverage discovery. Areas of coverage measured by Covered are: line, toggle, memory, combinational logic, FSM state/state-transition and assertion coverage. Package: covered-doc Source: covered Version: 0.7.10-1 Installed-Size: 2652 Maintainer: Debian Electronics Team Architecture: all Size: 1829640 SHA256: fe29960285ede86f8b0ecfd17dfafc8d46419cd1be0d894ff13e581c32064ea3 SHA1: 199d60946fa7d50f4f6b81a7742f463d2fa4f3bb MD5sum: 3642fd6fd80b1b122a841c00998008e3 Description: Verilog code coverage analysis tool - documentation Covered is a Verilog code coverage utility that reads in a Verilog design and a generated VCD/LXT dumpfile from that design and generates a coverage file that can be merged with other coverage files or used to create a coverage report. Covered also contains the GUI coverage report utility that reads in a coverage file to allow interactive coverage discovery. Areas of coverage measured by Covered are: line, toggle, memory, combinational logic, FSM state/state-transition and assertion coverage. . This package contains the documentation. Homepage: http://covered.sourceforge.net/ Tag: role::documentation Section: doc Priority: optional Filename: pool/main/c/covered/covered-doc_0.7.10-1_all.deb Package: cowbell Version: 0.2.7.1-7 Architecture: armhf Maintainer: Debian CLI Applications Team Installed-Size: 485 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.1.1), libtag1c2a (>= 1.5), mono-runtime (>= 2.10.1), libglade2.0-cil (>= 2.12.10-1ubuntu1), libglib2.0-0 (>= 2.32.0), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-web-services4.0-cil (>= 1.0), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), libtagc0 Homepage: http://more-cowbell.org/ Priority: optional Section: sound Filename: pool/main/c/cowbell/cowbell_0.2.7.1-7_armhf.deb Size: 98164 SHA256: 9339c73c4480c90db75567093f8bd0a5432651a22aefc27582765822bb757dae SHA1: e34cbea2bafdd1530997c84ff52f635166909344 MD5sum: a83e0e7ac9ddf440ba2c2804f0c5d340 Description: An easy-to-use tag editor for your music files Cowbell is an elegant music organizer intended to make keeping your collection tidy both fun and easy. It allows viewing and editing of the tags, guessing of tag information with the help of Amazon Web Services and has an easy-to-use interface. Package: cowbuilder Source: cowdancer Version: 0.70 Architecture: armhf Maintainer: Junichi Uekawa Installed-Size: 87 Depends: libc6 (>= 2.13-28), pbuilder, cowdancer Replaces: cowdancer (<= 0.49) Priority: optional Section: utils Filename: pool/main/c/cowdancer/cowbuilder_0.70_armhf.deb Size: 31806 SHA256: c6c6351ed078f9aa55717b011f3c451b0cbc8c4a22a41aa3fe335121a671ecbc SHA1: 7b06c8ce00a24407aabef34a4ec6c35900444777 MD5sum: 2f51706bca987cdf61a0094449b7bd66 Description: pbuilder running on cowdancer 'cowbuilder' command is a wrapper for pbuilder which allows using pbuilder-like interface over cowdancer environment. . pbuilder is a tool for building and testing Debian package inside a clean chroot, and cowbuilder allows chroot to be recreated using hard-linked copies with copy-on-write, which makes creation and destruction of chroots fast. Package: cowdancer Version: 0.70 Architecture: armhf Maintainer: Junichi Uekawa Installed-Size: 110 Depends: libc6 (>= 2.13-28) Homepage: http://www.netfort.gr.jp/~dancer/software/cowdancer.html Priority: optional Section: utils Filename: pool/main/c/cowdancer/cowdancer_0.70_armhf.deb Size: 35216 SHA256: 8b39f6a01ce7e2ba94e3bfee02f1cc75097aac8612d95cc6039d618f05526e51 SHA1: ce34083102c1ccf90f2a8d39c0e73387c646768f MD5sum: b28f9cdbff549610052935e810950fc5 Description: Copy-on-write directory tree utility. Tries to make copy-on-write semantics upon hard-link copied directory trees generated with 'cp -la' . 'cow-shell' command invokes a shell session. Within that session, under the directory cow-shell was invoked, cowdancer will create a new file when existing i-nodes are opened for write. Useful for quick scratch workspace and experimentation. . For a useful Debian tool, try cowbuilder. Package: cowsay Version: 3.03+dfsg1-4 Installed-Size: 89 Maintainer: Francois Marier Architecture: all Depends: perl Suggests: filters Size: 21850 SHA256: db58abec6da06b0114f8798ce77d6ff6ce7e7deb3c8cb8216c86740d1bbc0217 SHA1: 21de074e7e203d283020eb29ceb2840ab459cb46 MD5sum: c6346d681711471184bfa28dfd9754b1 Description: configurable talking cow Cowsay (or cowthink) will turn text into happy ASCII cows, with speech (or thought) balloons. If you don't like cows, ASCII art is available to replace it with some other creatures (Tux, the BSD daemon, dragons, and a plethora of animals, from a turkey to an elephant in a snake). Homepage: http://www.nog.net/~tony/warez/cowsay.shtml Tag: game::toys, implemented-in::perl, interface::commandline, role::program, use::entertaining, works-with::text Section: games Priority: optional Filename: pool/main/c/cowsay/cowsay_3.03+dfsg1-4_all.deb Package: cp2k Version: 2.2.426-8 Architecture: armhf Maintainer: Debichem Team Installed-Size: 47269 Depends: libblacs-mpi1, libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libint1, liblapack3 | liblapack.so.3 | libatlas3-base, libopenmpi1.3, libscalapack-mpi1 (>= 1.8.0), cp2k-data (= 2.2.426-8) Homepage: http://www.cp2k.org Priority: optional Section: science Filename: pool/main/c/cp2k/cp2k_2.2.426-8_armhf.deb Size: 11232816 SHA256: 2d77922ca9fcb9fe6ff21e36ca0b7f3b9187a6d4653a865a9684e40a577454bb SHA1: 86e147cd95e041e790610c3e7a946b77b6428361 MD5sum: 98b52249b5ec95dc25bc3bad967bbe60 Description: Ab Initio Molecular Dynamics CP2K is a program to perform simulations of solid state, liquid, molecular and biological systems. It is especially aimed at massively parallel and linear scaling electronic structure methods and state-of-the-art ab-inito molecular dynamics (AIMD) simulations. Features include: . Ab-initio Electronic Structure Theory Methods using the QUICKSTEP module: . * Density-Functional Theory (DFT) Calculations with various Exchange- Correlation (XC) functionals * Hartree-Fock (HF) Calculations * Gas phase or Periodic boundary conditions (PBC) * Basis sets include various standard Gaussian-Type Orbitals (GTOs), Pseudo- potential plane-waves (PW), augmented plane waves (APW) and a mixed Gaussian and (augmented) plane wave approach (GPW / GAPW) * Pseudo-Potentials (PP) including the norm-conserving, seperable Goedecker-Teter-Hutter (GTH) PP * Local Density Approximation (LDA) XC functionals including SVWN3, SVWN5, PW92 and PADE * Gradient-corrected (GGA) XC functionals including BLYP, BP86, PW91, PBE and HCTH120 as well as the meta-GGA XC functional TPSS * Hybrid XC functionals with exact Hartree-Fock Exchange (HFX) including B3LYP, PBE0 and MCY3 * Dispersion corrections via DFT-D2 and DFT-D3 pair-potential models * Density-Fitting for DFT via Bloechl or Density Derived Atomic Point Charges (DDAPC) charges and for HFX via Auxiliary Density Matrix Methods (ADMM) * Sparse matrix and prescreening techniques for linear-scaling Kohn-Sham (KS) matrix computation * Orbital Transformation (OT) or Direct Inversion of the iterative subspace (DIIS) self-consistent field (SCF) minimizer * Excited states via time-dependent DFT (TDDFT) . Ab-initio Molecular Dynamics: . * Born-Oppenheimer Molecular Dynamics (BOMD) * Ehrenfest Molecular Dynamics (EMD) * PS extrapolation of initial wavefunction * Time-reversible Always Stable Predictor-Corrector (ASPC) integrator * Approximate Car-Parinello like Langevin Born-Oppenheimer Molecular Dynamics . Mixed quantum-classical (QM/MM) simulations: . * Real-space multigrid approach for the evaluation of the Coulomb interactions between the QM and the MM part * Linear-scaling electrostatic coupling treating of periodic boundary conditions . Further Features include: . * Single-point energies, geometry optimizations and frequency calculations * Several nudged-elastic band (NEB) algorithms (B-NEB, IT-NEB, CI-NEB, D-NEB) for minimum energy path (MEP) calculations * Semi-Empirical calculations including the AM1, RM1, PM3, MNDO, MNDO-d, PNNL and PM6 parametrizations and density-functional tight-binding (DFTB), with or without periodic boundary conditions * Classical Molecular Dynamics (MD) simulations in microcanonical ensemble (NVE) or canonical ensmble (NVT) with Nose-Hover and canonical sampling through velocity rescaling (CSVR) thermostats * Metadynamics including well-tempered Metadynamics for Free Energy calculations * Classical Force-Field (MM) simulations * Monte-Carlo (MC) KS-DFT simulations * HFX module for linear-scaling MD simulations using hybrid functionals * Static (e.g. spectra) and dynamical (e.g. diffusion) properties . CP2K does not implement Car-Parinello Molecular Dynamics (CPMD). Package: cp2k-data Source: cp2k Version: 2.2.426-8 Installed-Size: 25125 Maintainer: Debichem Team Architecture: all Size: 9357972 SHA256: 31275c7791beaf1f3875cb06cc330e9bed7aea1e8312db9df4fbef2146e9365f SHA1: 4df1a56ae8e11a1a22961a272705591ebd475c61 MD5sum: 1803a98385e77066d38da73091635e7b Description: Ab Initio Molecular Dynamics (data files) CP2K is a program to perform simulations of solid state, liquid, molecular and biological systems. It is especially aimed at massively parallel and linear scaling electronic structure methods and state-of-the-art ab-inito molecular dynamics (AIMD) simulations. . This package contains basis sets, pseudo-potentials and force-field parameters. Homepage: http://www.cp2k.org Section: science Priority: optional Filename: pool/main/c/cp2k/cp2k-data_2.2.426-8_all.deb Package: cpan-listchanges Version: 0.05-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl, libalgorithm-diff-perl, libcpan-distnameinfo-perl, libmodule-metadata-perl, libtry-tiny-perl, libwww-perl, libyaml-perl Size: 8946 SHA256: 0a194bab48d7adb508d4e1a87d8282749bbf7fcac877030db68d18588b306949 SHA1: 898444d5d9bb73a0eaca346d4e064d17f62a28a1 MD5sum: baa5bebc21b7356d110b1eefda401c60 Description: package change history notification tool cpan-listchanges is a command-line application that compares the Changes file between arbitrary versions of a package. It is similar to apt-listchanges, and by default, it compares the currently installed version with the latest one available on CPAN. Homepage: http://search.cpan.org/dist/cpan-listchanges/ Section: perl Priority: optional Filename: pool/main/c/cpan-listchanges/cpan-listchanges_0.05-1_all.deb Package: cpanminus Version: 1.5015-1 Installed-Size: 155 Maintainer: Debian Perl Group Architecture: all Depends: perl, curl | wget | perl (>= 5.14) | libhttp-tiny-perl, libcpan-distnameinfo-perl, liblocal-lib-perl, libtry-tiny-perl, perl (>= 5.10.1) | libextutils-install-perl, perl (>= 5.10.1) | libparse-cpan-meta-perl, perl (>= 5.14) | libjson-pp-perl, perl (>= 5.14) | libmodule-metadata-perl Size: 50248 SHA256: 2323b0ce73f222699acad7fde7c3c6010523f13184c9c6a1a832749193ab5070 SHA1: 87c0385f95d03da8009b2addf05a5e9b8eac4e3d MD5sum: d69bfdf2b9b86644cc6a841f436ee937 Description: script to get, unpack, build and install modules from CPAN cpanminus provides a command-line (non interactive) interface to automatically download, build and install Perl modules from CPAN. . It requires zero configuration, and stands alone. It also has a very low memory footprint compared to similar software: when running, it requires only 10MB of RAM. . It installs to wherever ExtUtils::MakeMaker and Module::Build are configured to. So if you're using local::lib, then it installs to your local perl5 directory. Otherwise it installs to the siteperl directory. . cpanminus at a boot time checks whether you have configured local::lib, or have the permission to install modules to the sitelib directory. If neither, it automatically sets up local::lib compatible installation path in a perl5 directory under your home directory. Homepage: http://search.cpan.org/dist/App-cpanminus/ Tag: admin::install, implemented-in::perl, interface::commandline, role::program, use::downloading Section: perl Priority: optional Filename: pool/main/c/cpanminus/cpanminus_1.5015-1_all.deb Package: cpio Version: 2.11+dfsg-0.1+deb7u2 Architecture: armhf Maintainer: Ruben Molina Installed-Size: 610 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), dpkg (>= 1.15.4) | install-info Suggests: libarchive1 Conflicts: cpio-mt, mt-st (<< 0.6) Replaces: cpio-mt Homepage: http://www.gnu.org/software/cpio/ Priority: important Section: utils Filename: pool/main/c/cpio/cpio_2.11+dfsg-0.1+deb7u2_armhf.deb Size: 259036 SHA256: 206bc62c78aab89cabd2effd9692f9bdf61db7d03c9c67f081addbe11c457d4b SHA1: fd0802d2e9121cbcb483f2a6e974209331f2fb62 MD5sum: 00ab90469d9fb837a5f7569e25a82e26 Description: GNU cpio -- a program to manage archives of files GNU cpio is a tool for creating and extracting archives, or copying files from one place to another. It handles a number of cpio formats as well as reading and writing tar files. Package: cpio-win32 Source: cpio Version: 2.11+dfsg-0.1+deb7u2 Installed-Size: 186 Maintainer: Ruben Molina Architecture: all Suggests: wine Size: 74330 SHA256: 6df7f2bb85c62a92861cc8d19eeccc102afa71dbbc1c04bfe08401721fd7cb78 SHA1: 5c4baeb31003c354492bb0ae70cb5d100155f4af MD5sum: 4c11cf15cd3df1386856591f57d8402a Description: GNU cpio -- a program to manage archives of files (win32 build) GNU cpio is a tool for creating and extracting archives, or copying files from one place to another. It handles a number of cpio formats as well as reading and writing tar files. . This is a win32 version of cpio. It's meant to be used by the win32-loader component of Debian-Installer. Homepage: http://www.gnu.org/software/cpio/ Section: utils Priority: extra Filename: pool/main/c/cpio/cpio-win32_2.11+dfsg-0.1+deb7u2_all.deb Package: cpipe Version: 3.0.1-1 Architecture: armhf Maintainer: Eduard Bloch Installed-Size: 55 Depends: libc6 (>= 2.13-28) Priority: optional Section: utils Filename: pool/main/c/cpipe/cpipe_3.0.1-1_armhf.deb Size: 12856 SHA256: 23eef9c0ea5fa9ecc3dacfd3b8ff47d467afa3e735d2f94d8a25232353fe4773 SHA1: b84652794caf6c1cc1730af4132da84758099493 MD5sum: 679bb88cd74080758f463b5a58e0b31c Description: counting pipe Cpipe copies its standard input to its standard output while measuring the time it takes to read an input buffer and write an output buffer. Statistics of average throughput and the total amount of bytes copied are printed to the standard error output. Package: cplay Version: 1.49-10 Installed-Size: 232 Maintainer: Peter Samuelson Architecture: all Depends: python Recommends: vorbis-tools, python-pyvorbis Suggests: mpg321 | madplay | splay | mpg123, speex, mikmod, xmp, sox, python-id3 (>= 1.2-1) Conflicts: madplay (<< 0.15) Size: 40878 SHA256: 282354f25d96ed51a1eb66650bdfe4de5d3557e2f61ca12ceebedddd50b62e69 SHA1: 0c1d782ab779b316160a31e6b8a925268a8c4232 MD5sum: 50a3bc3a1e80e322a439ece0aca6bc6a Description: A front-end for various audio players cplay provides a user-friendly interface to play various types of sound files. It offers a simple file list with which you can navigate around looking for audio files and a playlist to which you can add the files you want to play. cplay can play the songs in your playlist in repeat or random mode, and offers the option to store the playlist. . Currently, the following audio formats are supported: MP3 (through madplay, mpg321 or splay), Ogg Vorbis (through ogg123), MOD and other module formats (through mikmod or xmp), WAV (through sox) and Speex (through speex). Tag: implemented-in::python, interface::text-mode, role::program, scope::application, sound::player, works-with-format::mp3, works-with-format::oggvorbis, works-with-format::wav, works-with::audio Section: sound Priority: optional Filename: pool/main/c/cplay/cplay_1.49-10_all.deb Package: cpm Version: 0.26-1 Architecture: armhf Maintainer: Kacper Wysocki (Redpill-Linpro) Installed-Size: 245 Depends: libc6 (>= 2.13-28), libcdk5, libcrack2 (>= 2.8.12), libdotconf1.0, libgpg-error0 (>= 1.10), libgpgme11 (>= 1.2.0), libncursesw5 (>= 5.6+20070908), libtinfo5, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), libxml2-utils Homepage: http://github.com/comotion/cpm Priority: extra Section: utils Filename: pool/main/c/cpm/cpm_0.26-1_armhf.deb Size: 95974 SHA256: da73d8e0e6fab4fe2909c7615737cf20a49f8d3568ab524686671a440250dfb2 SHA1: 66fe32f6074fc2318e7529c63d8d7b16a8dc80da MD5sum: b69a228211860f27e35480e604d26426 Description: Curses based password manager using PGP-encryption This program is a ncurses based console tool to manage passwords and store them public key encrypted in a file - even for more than one person. The encryption is handled via GnuPG so the programs data can be accessed via gpg as well, in case you want to have a look inside. The data is stored as zlib compressed XML so it's even possible to reuse the data for some other purpose. . The software uses CDK (ncurses) to handle the user interface, libxml2 to store the information, the zlib library to compress the data and the library GpgMe to encrypt and decrypt the data securely. Package: cpmtools Version: 2.13-1 Architecture: armhf Maintainer: Bdale Garbee Installed-Size: 321 Depends: libc6 (>= 2.7), libncurses5 (>= 5.5-5~), libtinfo5 Priority: optional Section: otherosfs Filename: pool/main/c/cpmtools/cpmtools_2.13-1_armhf.deb Size: 109452 SHA256: 0ea99414eea23e97829e8b7f1b5d2bbc0bbf3596e5b2d7d4c1bd0a9d5e488a0f SHA1: a1c5afc8c7d85f38d6471cf31e5e73a267a21dd1 MD5sum: 6f7ba0b2364a8cbcadd4e640410307ff Description: Tools to access CP/M file systems This package allows access to CP/M file systems similar to the well-known mtools package, which accesses MSDOS file systems. . All CP/M file system features are supported. Package: cpp Source: gcc-defaults (1.120) Version: 4:4.6.3-8 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 63 Depends: cpp-4.6 (>= 4.4.7-1~) Suggests: cpp-doc Conflicts: cpp-doc (<< 1:2.95.3) Multi-Arch: allowed Priority: optional Section: interpreters Filename: pool/main/g/gcc-defaults/cpp_4.6.3-8_armhf.deb Size: 16638 SHA256: ae0e99cc3e166ea25acc72d74365344ccd70774ddcbbf031cacd70cc1b05d720 SHA1: f0775e73455aa3a9a4a7e03d8d5ce5c4a1ff890b MD5sum: 8275760a1df3c80ab89b237b13a35ff1 Description: GNU C preprocessor (cpp) The GNU C preprocessor is a macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor but not the compiler. . This is a dependency package providing the default GNU C preprocessor. Package: cpp-4.4 Source: gcc-4.4 Version: 4.4.7-3+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 8695 Depends: gcc-4.4-base (= 4.4.7-3+rpi1), libc6 (>= 2.13-28), libgmp10, libmpfr4 (>= 3.1.0) Suggests: gcc-4.4-locales (>= 4.4.6-15) Homepage: http://gcc.gnu.org/ Priority: optional Section: interpreters Filename: pool/main/g/gcc-4.4/cpp-4.4_4.4.7-3+rpi1_armhf.deb Size: 3930896 SHA256: 901963052cf86dadd81b3ea96d44e794a2c1ed9a6ef14762d4a8d897b5e98649 SHA1: c999196588707be8bdc81e75fa1a1a1e013eff5e MD5sum: 6de84876ee6ce6873ca4c5235c575855 Description: GNU C preprocessor A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor but not the compiler. Package: cpp-4.5 Source: gcc-4.5 Version: 4.5.3-12+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 9132 Depends: gcc-4.5-base (= 4.5.3-12+rpi1), libc6 (>= 2.13-28), libelfg0 (>= 0.8.12), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.5-locales (>= 4.5.3-2~) Homepage: http://gcc.gnu.org/ Priority: optional Section: interpreters Filename: pool/main/g/gcc-4.5/cpp-4.5_4.5.3-12+rpi1_armhf.deb Size: 3910222 SHA256: 3684fd9bce2c0a6946973590fbf8e47ce64fdf31dd73c1e943c95f193bd45091 SHA1: 78b1c03f6b48bfd058df2ab5d31eab564f15a8fb MD5sum: 5838edfe82fc41b6a7b8adf1e916d052 Description: GNU C preprocessor A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor but not the compiler. Package: cpp-4.6 Source: gcc-4.6 Version: 4.6.3-14+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 9635 Depends: gcc-4.6-base (= 4.6.3-14+rpi1), libc6 (>= 2.13-28), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.6-locales (>= 4.6.3-1) Replaces: gcc-4.6 (<< 4.6.1-9) Homepage: http://gcc.gnu.org/ Priority: optional Section: interpreters Filename: pool/main/g/gcc-4.6/cpp-4.6_4.6.3-14+rpi1_armhf.deb Size: 4251556 SHA256: 415e409005638c37f5201ba3b59c916c4cadbbf0ed9333803f39a19b43491f55 SHA1: 92b4034604419f907f0dd46c2bec80e6e582a012 MD5sum: f1138b41e0af782f972a17efdf4649e2 Description: GNU C preprocessor A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor but not the compiler. Package: cpp-4.7 Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 10454 Depends: gcc-4.7-base (= 4.7.2-5+rpi1), libc6 (>= 2.13-28), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.7-locales (>= 4.7.2) Replaces: gcc-4.6 (<< 4.6.1-9) Homepage: http://gcc.gnu.org/ Priority: optional Section: interpreters Filename: pool/main/g/gcc-4.7/cpp-4.7_4.7.2-5+rpi1_armhf.deb Size: 4761734 SHA256: 43f55b1b14ff2e84e2c0a51e4ab0775eb456e355d8bf1f9a8520aa9a456cb766 SHA1: afa22aec8e984fd8e6572a4b7148aa69daf2bd55 MD5sum: f90769f3ade1dc73332d52cb0a3b7a74 Description: GNU C preprocessor A macro processor that is used automatically by the GNU C compiler to transform programs before actual compilation. . This package has been separated from gcc for the benefit of those who require the preprocessor but not the compiler. Package: cppcheck Version: 1.54-1 Architecture: armhf Maintainer: Reijo Tomperi Installed-Size: 1503 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libstdc++6 (>= 4.6), libtinyxml2.6.2 Homepage: http://cppcheck.wiki.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/c/cppcheck/cppcheck_1.54-1_armhf.deb Size: 835282 SHA256: d2b160dc691fd45bc77e466537e5291db640b92a714bc8133b6f993d18c8453b SHA1: 3299f82bd8eaf798bf4e8450f563a8e843b52af6 MD5sum: 104faa68854504daddac101ba1ab7680 Description: tool for static C/C++ code analysis Cppcheck is a command-line tool that tries to detect bugs that your C/C++ compiler doesn't see. It is versatile, and can check non-standard code including various compiler extensions, inline assembly code, etc. Its internal preprocessor can handle includes, macros, and several preprocessor commands. While Cppcheck is highly configurable, you can start using it just by giving it a path to the source code. . It includes checks for: * pointers to out-of-scope auto variables; * assignment of auto variables to an effective parameter of a function; * out-of-bounds errors in arrays and STL; * missing class constructors; * variables not initialized by a constructor; * use of memset, memcpy, etcetera on a class; * non-virtual destructors for base classes; * operator= not returning a constant reference to itself; * use of deprecated functions (mktemp, gets, scanf); * exceptions thrown in destructors; * memory leaks in class or function variables; * C-style pointer cast in C++ code; * redundant if; * misuse of the strtol or sprintf functions; * unsigned division or division by zero; * unused functions and struct members; * passing parameters by value; * misuse of signed char variables; * unusual pointer arithmetic (such as "abc" + 'd'); * dereferenced null pointers; * incomplete statements; * misuse of iterators when iterating through a container; * dereferencing of erased iterators; * use of invalidated vector iterators/pointers; Package: cpphs Version: 1.13.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4716 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: ghc | hugs Homepage: http://hackage.haskell.org/package/cpphs Priority: extra Section: haskell Filename: pool/main/c/cpphs/cpphs_1.13.3-2_armhf.deb Size: 1124274 SHA256: fb18431ef2d112199a271f612d12767d7b0bd616a4e74ac135cbea8d8f252934 SHA1: 68521af83d4bb7d5ec943ada53529d3a9bf145ce MD5sum: d4db3255b69925b2a7b2b9fb84a788b1 Description: Simplified cpp-a-like preprocessor for Haskell The C pre-processor has been widely used in Haskell source code. It enables conditional compilation for different compilers, different versions of the same compiler, and different OS platforms. It is also occasionally used for its macro language, which can enable certain forms of platform-specific detail-filling, such as the tedious boilerplate generation of instance definitions and FFI declarations. . However, gcc's cpp has recently been evolving to more strictly adhere to the C standard. This has had the effect of making it increasingly incompatible with Haskell's syntax. This is a cpp-a-like designed with Haskell's syntax in mind. Package: cppo Version: 0.9.2-1 Installed-Size: 277 Maintainer: Debian OCaml Maintainers Architecture: all Depends: ocaml-base-nox-3.12.1 Size: 53446 SHA256: b89f0fe40e583601a82dbe6a7a4098030a4d175c050dc0255cb68c2e3545774c SHA1: 5b1a09f599eef5ef668732f90318d53e6de1f1e5 MD5sum: fb324905f7fe72d0a0729231d7d774eb Description: cpp for OCaml Cppo is an OCaml-friendly implementation of cpp, the C preprocessor. It can replace camlp4 for preprocessing OCaml files, using cpp style and syntax. It allows defining simple macros and file inclusion. Homepage: http://martin.jambon.free.fr/cppo.html Section: ocaml Priority: optional Filename: pool/main/c/cppo/cppo_0.9.2-1_all.deb Package: cpputest Version: 3.1-2 Installed-Size: 258 Maintainer: Raphaël Hertzog Architecture: all Depends: libcpputest-dev Suggests: ruby | ruby-interpreter Size: 35330 SHA256: fb28be22f59c24f11fe833b454749fd4670671bc7f6bfaa0a5048f57b7a22c02 SHA1: d87de6b2e5c13d9f68417eb9bd988bedcffafac9 MD5sum: 857fa9537ccc96aa55f28d0ac3bf6aa9 Description: C/C++ based unit test framework — main package CppUTest is a C/C++ based unit xUnit test framework for unit testing and for test-driving your code. It is written in C++ but is used in C and C++ projects and frequently used in embedded systems. . CppUTest has a couple design principles: * Simple to use and small * Portable to old and new platforms . CppUTest also has support for building mocks and can be used by practitioners of Test Driven Development. . This is the main package. It contains some examples, support scripts and depends on libcpputest-dev which provides the test framework itself. Multi-Arch: foreign Homepage: http://www.cpputest.org Section: devel Priority: optional Filename: pool/main/c/cpputest/cpputest_3.1-2_all.deb Package: cpqarrayd Version: 2.3-1.3 Architecture: armhf Maintainer: Matt Taggart Installed-Size: 70 Depends: libc6 (>= 2.13-28) Priority: extra Section: admin Filename: pool/main/c/cpqarrayd/cpqarrayd_2.3-1.3_armhf.deb Size: 15622 SHA256: 2e815090239a94fbd689e353a7572799b5d628333adb15fb7f6fe2207dafa104 SHA1: bf801a23a22464ae40cbda56851076e83eef9672 MD5sum: aed622d33114709e9f4a7fe3f40bb644 Description: monitoring tool for HP (Compaq) SmartArray controllers cpqarrayd is a userspace monitoring daemon for HP (Compaq) SmartArray hardware RAID controllers. These controllers usually come built-in to ProLiant, or as a PCI card for ProLiant or Integrity systems. This daemon reports status changes in the disk array to syslog and, optionally, to a snmp trap host. . The default is to only log to the syslog. You can specify traphosts with the -t parameter at the commandline. Multiple traphosts are allowed. . This tool works with the SmartArray drivers found in Linux kernels 2.4 and 2.6 (cciss and ida drivers). Package: cproto Version: 4.7j-5 Architecture: armhf Maintainer: Kenneth J. Pronovici Installed-Size: 119 Depends: libc6 (>= 2.7), gcc Homepage: http://cproto.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/c/cproto/cproto_4.7j-5_armhf.deb Size: 49610 SHA256: 9a7982a23249b62d2553ba742e865412b94ec33aafb15110e75e1de3e12a99f3 SHA1: 1bef21a726fc71118c6af086d83aca1cca911294 MD5sum: e46c7f69b3246a92923f90fbe23929b5 Description: utilities to generate C function prototypes from C source code Cproto is a program that generates function prototypes and variable declarations from C source code. It can also convert function definitions between the old style and the ANSI C style. This conversion overwrites the original files, so make a backup copy of your files in case something goes wrong. Package: cpu Version: 1.4.3-11.3 Architecture: armhf Maintainer: Guido Trotter Installed-Size: 360 Depends: libc6 (>= 2.13-28), libcrack2 (>= 2.8.12), libldap-2.4-2 (>= 2.4.7), debconf (>= 0.5) | debconf-2.0, ucf (>= 0.28) Priority: optional Section: admin Filename: pool/main/c/cpu/cpu_1.4.3-11.3_armhf.deb Size: 152676 SHA256: 6388ed60a4a680b275388c3b7efc191ce7b7866718f9f1115b6116672be1bab6 SHA1: 9b2099c8b4634e3f4c40cb5c636a0788aae0883b MD5sum: e4c7e91b215a06dab1a7da30d0c6e6c9 Description: a console based LDAP user management tool CPU is a suitable replacement for the useradd/usermod/userdel utilities for administrators using an LDAP backend and wishing to have a suite of command line tools for doing the administration. Package: cpufreqd Version: 2.4.2-2 Architecture: armhf Maintainer: Mattia Dongili Installed-Size: 246 Depends: libc6 (>= 2.13-28), libcpufreq0 (>= 001), libgcc1 (>= 1:4.4.0), libsensors4 (>= 1:3.0.0), libsysfs2, lsb-base (>= 3.0) Suggests: cpufrequtils Conflicts: cpudyn, powernowd Homepage: http://sourceforge.net/projects/cpufreqd Priority: optional Section: admin Filename: pool/main/c/cpufreqd/cpufreqd_2.4.2-2_armhf.deb Size: 84274 SHA256: bf804caaff71a2ed1d0fe106628333ecc160f3ee3e3feaf66e0653d102e8d5ef SHA1: 437e162e4060db04035e84e608c560a1d5a7c869 MD5sum: a31d95ee917ed91f496410747e71579e Description: fully configurable daemon for dynamic frequency and voltage scaling cpufreqd is meant to be a replacement of the speedstep applet you can find on some other OS, it monitors the system status and selects the most appropriate CPU level. It is fully configurable and easily extensible through the many available plug-ins (more to come). Despite its name it can be used to control also the NForce2-Atxp1 voltage regulator and the core and memory clock for NVidia cards (see README.Debian). . You need a CPUFreq driver and either APM, ACPI (a recent version) or PMU enabled in your kernel in order for this daemon to work. Package: cpufrequtils Version: 008-1 Architecture: armhf Maintainer: Mattia Dongili Installed-Size: 218 Depends: libc6 (>= 2.13-28), libcpufreq0 (>= 006), debconf (>= 0.5) | debconf-2.0, lsb-base (>= 3.0) Homepage: http://kernel.org/pub/linux/utils/kernel/cpufreq/cpufrequtils.html Priority: optional Section: admin Filename: pool/main/c/cpufrequtils/cpufrequtils_008-1_armhf.deb Size: 40594 SHA256: a5803b4ec48d647852ca36b6b7c0b5cd4b979544300a342013001dff70ee0e37 SHA1: 75a308a14da809491f4d9d372fb6ae1d3b756420 MD5sum: 24fb0966ddb8b41bb3d3dfd2c03e0de6 Description: utilities to deal with the cpufreq Linux kernel feature This package contains two utilities for inspecting and setting the CPU frequency through both the sysfs and procfs CPUFreq kernel interfaces. . By default, it also enables CPUFreq at boot time if the correct CPU driver is found. Package: cpulimit Version: 1.7-1 Architecture: armhf Maintainer: gregor herrmann Installed-Size: 60 Depends: libc6 (>= 2.13-28) Homepage: http://limitcpu.sourceforge.net/ Priority: optional Section: admin Filename: pool/main/c/cpulimit/cpulimit_1.7-1_armhf.deb Size: 15644 SHA256: 86b0568e047e32685961657793e550c0bfbd1f31b0f9632c87287deb7102a203 SHA1: 33b629a5d115b79f8847f179be378edd336c5c03 MD5sum: 347ce2dadc7d57100194103b285b8325 Description: tool for limiting the CPU usage of a process cpulimit is a simple program that attempts to limit the CPU usage of a process (expressed in percentage, not in CPU time). This is useful to control batch jobs, when you don't want them to eat too much CPU. It does not act on the nice value or other priority stuff, but on the real CPU usage. Besides it is able to adapt itself to the overall system load, dynamically and quickly. Package: cpuset Version: 1.5.6-2 Installed-Size: 351 Maintainer: Roberto C. Sanchez Architecture: all Depends: python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0) Size: 104852 SHA256: fc386e471d080d2e6b09ade0a4be88831a0ca101994e47a985f5e143968ad976 SHA1: ee723e9a3d7c8f54eb8883827ba3221fe6836bb0 MD5sum: 1c189ac10b02095a9b68acc156cd8b36 Description: Allows manipluation of cpusets and provides higher level fun Cpuset is a Python application to make using the cpusets facilities in the Linux kernel easier. The actual included command is called cset and it allows manipulation of cpusets on the system and provides higher level functions such as implementation and control of a basic CPU shielding setup. Python-Version: 2.7 Section: python Priority: optional Filename: pool/main/c/cpuset/cpuset_1.5.6-2_all.deb Package: crack Version: 5.0a-9.3 Architecture: armhf Maintainer: Pawel Wiecek Installed-Size: 133 Depends: crack-common, libc6 (>= 2.13-28) Conflicts: crack-md5 Priority: optional Section: admin Filename: pool/main/c/crack/crack_5.0a-9.3_armhf.deb Size: 26704 SHA256: 632ed643db51582863ec01726e78faeec1f576f8400b84f20a8f84320609c59d SHA1: 014c4a1dce61a6c838db43a3bdf9f30310fcc4c2 MD5sum: 10f0ed4fb3eaf7a71fc72001e4ae3304 Description: Password guessing program Crack is program designed to quickly locate vulnerabilities in Unix (or other) password files by scanning the contents of a password file, looking for users who have misguidedly chosen a weak login password. . This package provides the runtime files for the crypt() version. Package: crack-attack Version: 1.1.14-9.1 Architecture: armhf Maintainer: Chris Boyle Installed-Size: 4338 Depends: freeglut3, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.16.0), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libsm6, libstdc++6 (>= 4.4.0), libx11-6, libxi6, libxmu6 Homepage: http://www.nongnu.org/crack-attack/ Priority: optional Section: games Filename: pool/main/c/crack-attack/crack-attack_1.1.14-9.1_armhf.deb Size: 784774 SHA256: 633e8ecf0f286fb48da521e9b7d9c0069f1019a724cc199b0164c096acc33567 SHA1: 253af1aefcf8c342bbcaa535ac89b1638654b641 MD5sum: 074d36f42dfeb247ab3010487b61ed9b Description: multiplayer OpenGL puzzle game like "Tetris Attack" Crack Attack is an OpenGL puzzle game similar to the Super Nintendo game "Tetris Attack". Slowly, your stack of colored blocks grows from the bottom, and you've got to make sure it never reaches the top. If it does, you lose. To eliminate blocks from the stack, line up at least three of one color, horizontally or vertically. Once you do, those blocks disappear, and put off slightly your inevitable demise. . Crack Attack is very slow without hardware acceleration. For Xorg users, this means you want DRI. . More information can be found at http://www.nongnu.org/crack-attack/ Package: crack-common Source: crack Version: 5.0a-9.3 Installed-Size: 7374 Maintainer: Pawel Wiecek Architecture: all Replaces: crack (<< 5.0a-9.2) Depends: make Recommends: wordlist Breaks: crack (<< 5.0a-9.2) Size: 2868810 SHA256: 30cc9cd6f18855262d046b117556b332583c51d12ae665a1a9a9358d2139cf78 SHA1: 50770d77e949051c65fb764298db5d532f759ddc MD5sum: b21dedc83f0a21037214dd308dc2bf98 Description: Password guessing program Crack is program designed to quickly locate vulnerabilities in Unix (or other) password files by scanning the contents of a password file, looking for users who have misguidedly chosen a weak login password. . This package provides the common files for the crypt() and MD5 versions. Tag: role::app-data, security::authentication, use::checking, works-with::dictionary Section: admin Priority: optional Filename: pool/main/c/crack/crack-common_5.0a-9.3_all.deb Package: crack-md5 Source: crack Version: 5.0a-9.3 Architecture: armhf Maintainer: Pawel Wiecek Installed-Size: 133 Depends: crack-common, libc6 (>= 2.13-28) Conflicts: crack Provides: crack Priority: optional Section: admin Filename: pool/main/c/crack/crack-md5_5.0a-9.3_armhf.deb Size: 26684 SHA256: 8e563e1c5fe7bcbfc3901a8b100ea3569e7c3196778df8f4f2462643861d1589 SHA1: e614cdd8541550b51fe330f47918ae3ce5def2aa MD5sum: efe6ee6c161c9dba29605e7df6221bae Description: Password guessing program Crack is program designed to quickly locate vulnerabilities in Unix (or other) password files by scanning the contents of a password file, looking for users who have misguidedly chosen a weak login password. . This package provides the runtime files for the MD5 version. Package: cracklib-runtime Source: cracklib2 Version: 2.8.19-3+deb7u1 Architecture: armhf Maintainer: Jan Dittberner Installed-Size: 535 Depends: libc6 (>= 2.13-28), libcrack2 (>= 2.8.19-3+deb7u1), zlib1g (>= 1:1.1.4), file Recommends: wamerican | wordlist Homepage: http://sourceforge.net/projects/cracklib Priority: optional Section: admin Filename: pool/main/c/cracklib2/cracklib-runtime_2.8.19-3+deb7u1_armhf.deb Size: 183570 SHA256: 5924381ae953ba7e7056b65228a7385abd0df45827e1df765534fb10d6a7ac78 SHA1: 836e5934d8df8ac1292c6a2a3f0ddf421f5c3bfc MD5sum: 5aeca8aa263d21bcc5980ec995de40d7 Description: runtime support for password checker library cracklib2 Run-time support programs which use the shared library in libcrack2 including programs to build the password dictionary databases used by the functions in the shared library. Package: cramfsprogs Source: cramfs Version: 1.1-6 Architecture: armhf Maintainer: Debian kernel team Installed-Size: 70 Depends: libc6 (>= 2.4), zlib1g (>= 1:1.1.4) Conflicts: mkcramfs Replaces: mkcramfs Provides: mkcramfs Priority: optional Section: utils Filename: pool/main/c/cramfs/cramfsprogs_1.1-6_armhf.deb Size: 20908 SHA256: 7853753bc11e076cf7ddc40123f27968c656acf3d0c9725801089c1fde90fc2c SHA1: 4d81f8202ff4320aa7bce0617d457c9071665d87 MD5sum: fd8581cfd2861660ea29d43e0e24ac89 Description: Tools for CramFs (Compressed ROM File System) This package contains tools that let you construct a CramFs (Compressed ROM File System) image from the contents of a given directory, as well as checking a constructed CramFs image and extracting its contents. . Cram file systems are used for Debian INITRD images. Package: cramfsswap Version: 1.4.1 Architecture: armhf Maintainer: Michael Holzt Installed-Size: 50 Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Priority: extra Section: utils Filename: pool/main/c/cramfsswap/cramfsswap_1.4.1_armhf.deb Size: 6872 SHA256: d8ff525e30150e1cc1a9868936f2c3abb1439cd1e90e69c2972532864ac72006 SHA1: 0b06d477844d0e895159285cd2f4d48c55e80c52 MD5sum: b2030b2c911bcd69dd7bd2fb98c9a1e5 Description: swap endianess of a cram filesystem (cramfs) cramfs is a highly compressed and size optimized linux filesystem which is mainly used for embedded applications. the problem with cramfs is that it is endianess sensitive, meaning you can't mount a cramfs for a big endian target on a little endian machine and vice versa. this is often especially a problem in the development phase. . cramfsswap solves that problem by allowing you to swap to endianess of a cramfs filesystem. Package: crashmail Version: 0.71-4 Architecture: armhf Maintainer: Peter Krefting Installed-Size: 450 Depends: libc6 (>= 2.4) Suggests: zip, unzip, lha, goldedplus | jamnntpd Homepage: https://alioth.debian.org/projects/crashmail/ Priority: extra Section: mail Filename: pool/main/c/crashmail/crashmail_0.71-4_armhf.deb Size: 153768 SHA256: 878920641bc585ffe45b7316a99a2b8e6cfe256dee9a9e8d53cf05aa4510a996 SHA1: 81d9d2def67a1e9425dec0c49c9e33fcccae699f MD5sum: 65b0e0b50ebc303036df20238c82280d Description: JAM and *.MSG capable Fidonet tosser CrashMail II is basically a more portable version of CrashMail, a tosser for Amiga computers. Users of the old Amiga version will probably find some things familiar while some features are gone such as the ARexx port (for obvious reasons!) and the GUI configuration editor. The only feature that CrashMail II has and the old CrashMail hasn't is support for JAM messagebases. Package: crashme Version: 2.4-9 Architecture: armhf Maintainer: Aurélien GÉRÔME Installed-Size: 64 Depends: libc6 (>= 2.7) Priority: optional Section: devel Filename: pool/main/c/crashme/crashme_2.4-9_armhf.deb Size: 16918 SHA256: 3bb49f45d215d0b18bbd8e1bf4d6c247852309b13f7aba617aabf7b72f3d07c1 SHA1: a80c6764fa9eac7171a2ab4b390cbb8dca04a310 MD5sum: 44e910e35b384129d5ce3cc3088a2836 Description: Stress tests operating system stability crashme generates strings of random bytes and then attempts to execute them. Used to test kernel stability. . **WARNING** While Linux has been known to survive days and weeks of crashme, IT IS NOT GUARANTEED THAT YOUR SYSTEM WILL SURVIVE! DO NOT USE THIS PROGRAM UNLESS YOU REALLY WANT TO CRASH YOUR COMPUTER Package: crasm Version: 1.5-1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 147 Depends: libc6 (>= 2.4) Homepage: http://crasm.sourceforge.net/crasm.html Priority: optional Section: devel Filename: pool/main/c/crasm/crasm_1.5-1_armhf.deb Size: 60994 SHA256: fa5d9002316e59f6232b701f070ec6352db7468defa5b7a7c60fd1361ce66381 SHA1: a1fe517511729519cf1eeec8d046ca3ecc155f17 MD5sum: 3fe4d88467bea319981af09f22021a6a Description: Cross assembler for 6800/6801/6803/6502/65C02/Z80 Assemble a microprocessor program and produce output file in Intel HEX or Motorola S Code from source for 6800/6801/6803/6502/65C02/Z80 processors. A program listing and a symbol table are also produced on the standard output. Package: crawl Version: 2:0.10.3-3 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 7099 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblua5.1-0, libncursesw5 (>= 5.6+20080119), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.6), libtinfo5, zlib1g (>= 1:1.1.4), crawl-common (= 2:0.10.3-3) Homepage: http://crawl.develz.org/ Priority: optional Section: games Filename: pool/main/c/crawl/crawl_0.10.3-3_armhf.deb Size: 3323590 SHA256: 0b70215c138915ec27da546cf6597ee024166faf17475a117f70e0cc5a4c6315 SHA1: e6b1338e32eddcb6b405463a23479ab78b07c495 MD5sum: 41e513da1e03b9d7c277f618632bc970 Description: Dungeon Crawl, a text-based roguelike game Crawl is a fun game in the grand tradition of games like Rogue, Hack, and Moria. Your objective is to travel deep into a subterranean cave complex and retrieve the Orb of Zot, which is guarded by many horrible and hideous creatures. . This is the console version, for tiles, use crawl-tiles instead. . This is the Stone Soup version of Dungeon Crawl. Package: crawl-common Source: crawl Version: 2:0.10.3-3 Installed-Size: 3694 Maintainer: Guus Sliepen Architecture: all Recommends: crawl | crawl-tiles Size: 1200230 SHA256: d331b1cae73baf667f6bb785a9e2063048e0cac8a52219e792be09a7ccdccf94 SHA1: 0aff643fde490292d3a6f0cb393de092ff6ea095 MD5sum: 4f8f134a3417702f4cc776de701607a0 Description: Dungeon Crawl, a text-based roguelike game (data files) Crawl is a fun game in the grand tradition of games like Rogue, Hack, and Moria. Your objective is to travel deep into a subterranean cave complex and retrieve the Orb of Zot, which is guarded by many horrible and hideous creatures. . These are the data and support files. . This is the Stone Soup version of Dungeon Crawl. Homepage: http://crawl.develz.org/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/c/crawl/crawl-common_0.10.3-3_all.deb Package: crawl-tiles Source: crawl Version: 2:0.10.3-3 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 9810 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, liblua5.1-0, libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4), crawl-common (= 2:0.10.3-3), ttf-dejavu Homepage: http://crawl.develz.org/ Priority: optional Section: games Filename: pool/main/c/crawl/crawl-tiles_0.10.3-3_armhf.deb Size: 5685504 SHA256: 87d5e322cfaf964906669b18fb244881b6bf65b0ac4b9868cad1771a09ea22f7 SHA1: 05c8e5645920c0b6436cb195c3136b073d9092be MD5sum: e98e79d47222f08f4563dd1f20c281b6 Description: Dungeon Crawl, a roguelike game, tiles version Crawl is a fun game in the grand tradition of games like Rogue, Hack, and Moria. Your objective is to travel deep into a subterranean cave complex and retrieve the Orb of Zot, which is guarded by many horrible and hideous creatures. . This is the graphical (tiles) version. . This is the Stone Soup version of Dungeon Crawl. Package: crda Version: 1.1.2-1 Architecture: armhf Maintainer: Ben Hutchings Installed-Size: 87 Depends: libc6 (>= 2.13-28), libnl-3-200 (>= 3.2.7), libnl-genl-3-200 (>= 3.2.7), libssl1.0.0 (>= 1.0.0), wireless-regdb Recommends: iw Multi-Arch: foreign Homepage: http://wireless.kernel.org/en/developers/Regulatory/#CRDA Priority: optional Section: net Filename: pool/main/c/crda/crda_1.1.2-1_armhf.deb Size: 13716 SHA256: fcfaed09a4137fa94b1cf335a0d5831f3a9791f8d3a59d62e8ba79d24ee5e661 SHA1: 5e99e6299e7d169d42049bd60fa79ae16995f1c2 MD5sum: 7d61151557e5614d9d85f6fec7dc2daa Description: wireless Central Regulatory Domain Agent This package provides a Central Regulatory Domain Agent (CRDA) to be used by the Linux kernel cf80211 wireless subsystem to query and apply the regulatory domain settings wireless devices may operate within for a given location. . CRDA queries operational frequency regulations stored within the regulatory database provided by the wireless-regdb package. Package: cream Version: 0.43-3 Installed-Size: 2156 Maintainer: Jeroen Schot Architecture: all Depends: ucf, gvim Size: 760980 SHA256: f25fa5041d886428760da14f5eedfe3435fdfee3c33a709af8b36d99b72183be SHA1: a452c4d22942a7632521a17bae94580d4802f8f0 MD5sum: 469c041332726b277cd375a5a0d03c9b Description: VIM macros that make the VIM easier to use for beginners Cream's motto is "Cream makes the powerful Vim text editor easy!". It brings a completely different look and feel to the VIM for those who are used to more intuitive editors while still preserving the more powerful features of VIM. It features pull-down menus, color themes, bookmarking, auto spellcheck and more. It leaves the default VIM untouched and is started by running 'cream'. Homepage: http://cream.sf.net Tag: devel::editor, implemented-in::TODO, interface::text-mode, role::plugin, use::editing, works-with::text Section: editors Priority: optional Filename: pool/main/c/cream/cream_0.43-3_all.deb Package: create-resources Version: 0.1.3-4 Installed-Size: 10300 Maintainer: Debian Multimedia Maintainers Architecture: all Size: 3427414 SHA256: 76f0761324ce046c9ca0acd39a1a3391922f48fb7f641e676fb95b43f1a5853c SHA1: 872487ea948ec6f60c2cba6ed93228a8cbd8587a MD5sum: ddba6cb225d8b2522efd4f66ee092a5d Description: shared resources for use by creative applications The Create Project provides shared resources for use by creative applications such as Blender, CinePaint, the GIMP, Inkscape, and Scribus. . The package includes brushes, color swatches files, gradients, etc. , so that the user doesn't need to install all of these resources separately for each and every application that can read such data. Homepage: http://create.freedesktop.org/ Tag: field::arts, role::app-data Section: graphics Priority: extra Filename: pool/main/c/create-resources/create-resources_0.1.3-4_all.deb Package: createfp Source: libexttextcat (3.2.0-2) Version: 3.2.0-2+b1 Architecture: armhf Maintainer: Rene Engelhard Installed-Size: 43 Depends: libc6 (>= 2.13-28), libexttextcat0 (>= 2.2) Homepage: http://software.wise-guys.nl/libtextcat/ Priority: optional Section: utils Filename: pool/main/libe/libexttextcat/createfp_3.2.0-2+b1_armhf.deb Size: 10014 SHA256: def44e5857bc75d9d678485ff0f80f016df516346fcd012bdf2e67247064a44f SHA1: 28b98cee99f6d3252a528a1740bfd60b8f349a4d MD5sum: d0fb5a7127c2c070719cf52075967539 Description: Language detection library - fingerprint generation utility Libtextcat is a library with functions that implement the classification technic described in Cavnar & Trenkle, "N-Gram-Based Text Categorization". It was primarily developed for language guessing, a task on which it is known to perform with near-perfect accuracy. . This package contains 'createfp' for generating fingerprints Package: createrepo Version: 0.4.11-1 Installed-Size: 164 Maintainer: Adam Cécile (Le_Vert) Architecture: all Depends: python (>= 2.3), python-libxml2, python-rpm, python-sqlitecachec, python-support (>= 0.7.1), rpm (>= 4.1.1) Size: 26774 SHA256: 56f3fefccf95693ea556419dff593eb37c1eadfe759f82b733508a6c3807ba40 SHA1: 34e949ee2f23ff6785a7a2d96c72e7cd1f395114 MD5sum: ad4363469ed497e3d6f49b3d264a1830 Description: generates the metadata necessary for a RPM package repository This tool will generates all XML metadata required by a RPM (Redhat Package Manager) package repository. . This repository format is supported by apt, red-carpet(zen), smart, up2date, Yast and yum. Homepage: http://linux.duke.edu/projects/metadata/ Tag: admin::package-management, implemented-in::python, interface::commandline, role::program, scope::utility, works-with::software:package Section: admin Priority: optional Filename: pool/main/c/createrepo/createrepo_0.4.11-1_all.deb Package: creepy Version: 0.1.94-1 Installed-Size: 400 Maintainer: Daniel Echeverry Architecture: all Depends: python (>= 2.6.6-7~), python-simplejson, python-flickrapi, python-osmgpsmap (>= 0.7), python-beautifulsoup, python-pyexiv2, python-configobj, python-tweepy Size: 211930 SHA256: 3a5d9e3f01aa93a869aac7c55d5a081a22c36e490e9e0e487512cdb233ec74c0 SHA1: 1a7681bf1a9e64090a4450e5eed2be775de4985d MD5sum: 890376df2257ebb3b3b3634d9c66a8a3 Description: geolocation information aggregator creepy is an application that allows you to gather geolocation related information about users from social networking platforms and image hosting services. The information is presented in a map embedded in the application where all the retrieved data is shown accompanied with relevant information (i.e. what was posted from that specific location) to provide context to the presentation. Homepage: http://ilektrojohn.github.com/creepy Tag: role::program Section: python Priority: extra Filename: pool/main/c/creepy/creepy_0.1.94-1_all.deb Package: cricket Version: 1.0.5-19 Architecture: armhf Maintainer: Christian Marillat Installed-Size: 1225 Depends: libc6 (>= 2.4), perl, libtimedate-perl, libsnmp-session-perl, librrds-perl (>= 1.0.10), libdigest-md5-perl, cron Recommends: logrotate, apache2 | httpd Suggests: libwww-perl, libtime-hires-perl, libsnmp-perl Priority: extra Section: net Filename: pool/main/c/cricket/cricket_1.0.5-19_armhf.deb Size: 395068 SHA256: 61062d8178bf7f32c50f612e1fb930bd14c8b1501c2968ca8cad39b23eedad27 SHA1: 251263a29dd982ce421427a73e051aba37f1aff0 MD5sum: e1605f7feca8c0a6a390d2b7884b7780 Description: Program for collection and display of time-series data This is Cricket. It is a configuration, polling, and data-display engine wrapped around the RRD tool by Tobias Oetiker. There are three user-visible pieces to Cricket: the collector, the grapher, and the config tree. The collector runs from cron and fetches data from a number of devices according to the info it finds in the config tree. The grapher is a CGI application that allows users to traverse the config tree from a web browser and see the data that the collector recorded. Package: crimson Version: 0.5.2-1 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 2557 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Homepage: http://crimson.seul.org/ Priority: optional Section: games Filename: pool/main/c/crimson/crimson_0.5.2-1_armhf.deb Size: 853404 SHA256: b8876a0292445200d6847a1a38b4eafc9049736ace5f7917afd9de3dceec6c54 SHA1: c14665abd44804a5b8140ad776c7a264ccfddc4b MD5sum: 9d8a188473270a0c4fcf4135f887a5f1 Description: A hex-based tactical game Crimson Fields is a hex-based tactical war game in the tradition of Battle Isle (tm). Two players command their units on a map of hexagons, trying to accomplish mission objectives ranging from defending important locations to simply destroying all enemy forces. The game can either be played in 'hot seat' mode, via email, or against the computer. Tools are available to create custom maps and campaigns. You can also play the original Battle Isle maps if you have a copy of the game. Package: crip Version: 3.9-1 Installed-Size: 172 Maintainer: Ryan Niebur Architecture: all Depends: perl, cdparanoia, libcddb-get-perl, vorbis-tools, vorbisgain, flac (>= 1.1.1), sox Size: 45812 SHA256: 6d3a91b596d50acea82e08c8190928bb6ca8a1454cc08a4ad13ef9defdc926f0 SHA1: 4eddc6ec8ae7b6f59171a293d3e92010c3cf5087 MD5sum: 61ba95ea4601b7b4e757990751ac2d6d Description: terminal-based ripper/encoder/tagger tool crip creates Ogg Vorbis/FLAC/MP3 files under UNIX/Linux. It is well-suited for anyone (especially the perfectionist) who seeks to make a lot of files from CDs and have them all properly labeled and professional-quality with a minimum of hassle and yet still have flexibility and full control over everything. Current versions of crip only support Ogg Vorbis and FLAC. . This script is special because it is capable of doing group vorbisgain/replaygain and/or normalization (adjust the volume to be as loud as possible without clipping/distortion) and group labelling/tagging, which makes it easy to allow a group of tracks to be treated as one piece. It can also trim off the silence at the beginning and end of these tracks/groups. Homepage: http://bach.dynet.com/crip/ Tag: hardware::storage, hardware::storage:cd, implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, use::storing, works-with-format::iso9660, works-with-format::oggvorbis, works-with::archive, works-with::audio Section: sound Priority: optional Filename: pool/main/c/crip/crip_3.9-1_all.deb Package: criticalmass Version: 1:1.0.0-1.5 Architecture: armhf Maintainer: Daniel Burrows Installed-Size: 586 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libpng12-0 (>= 1.2.13-4), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4), criticalmass-data (= 1:1.0.0-1.5) Homepage: http://freshmeat.net/projects/criticalmass Priority: optional Section: games Filename: pool/main/c/criticalmass/criticalmass_1.0.0-1.5_armhf.deb Size: 248296 SHA256: 3a66064548afeff71734be659684bcb7d7d4541d0168f5854713ee345778ceea SHA1: a53aacd1d1b6d03269eaea6c270bd11df7865c2c MD5sum: 5865c262e4c818010efef2457b45832a Description: Shoot-em-up a la galaxian criticalmass, aka critter, is a shoot-'em-up in the style of Galaxian with very colorful and smooth graphics (provided that you have 3d acceleration) . Note: criticalmass uses OpenGL, and will probably not run well if you do not have a 3d accelerator which is supported by X. Package: criticalmass-data Source: criticalmass Version: 1:1.0.0-1.5 Installed-Size: 3413 Maintainer: Daniel Burrows Architecture: all Replaces: criticalmass (<< 0.98-2) Suggests: criticalmass (>= 1:1.0.0-1.5) Size: 2794414 SHA256: 9bcefb7a524fb24c0b99432f24592bf97f4cd73274f2f68291edda03c7571ee5 SHA1: 2c23cb47fa94fe65be1150be8e9408aca6a61ae7 MD5sum: 5a70345da52ca92be5ff172453929867 Description: Shoot-em-up a la galaxian (data files) criticalmass, aka critter, is a shoot-'em-up in the style of Galaxian with very colorful and smooth graphics (provided that you have 3d acceleration) . This package provides architecture-independent data files for criticalmass. Homepage: http://freshmeat.net/projects/criticalmass Tag: game::arcade, interface::3d, role::app-data, uitoolkit::sdl, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/c/criticalmass/criticalmass-data_1.0.0-1.5_all.deb Package: critterding Version: 1.0-beta12.1-1.2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 2397 Depends: libc6 (>= 2.13-28), libftgl2 (>= 2.1.3~rc5), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libgomp1 (>= 4.4), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Homepage: http://critterding.sourceforge.net/ Priority: extra Section: science Filename: pool/main/c/critterding/critterding_1.0-beta12.1-1.2_armhf.deb Size: 814284 SHA256: 60b543174f66ebd5ebcf480e5a842b977cffd1f43ec57f200e3aa1efa6ba3e03 SHA1: c1ecca1ed5c375b88091476538f9ad0105293868 MD5sum: 1b6f9df56b9b4552a8348bbb1ae7c87e Description: Evolving Artificial Life Critterding is a "Petri dish" universe in 3D that demonstrates evolving artificial life. Critters start out with completely random brains and bodies, but will automatically start evolving into something with much better survival skills. Package: crm114 Version: 20100106-3 Architecture: armhf Maintainer: Milan Zamazal Installed-Size: 1017 Pre-Depends: debconf Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libtre5, debconf (>= 0.5) | debconf-2.0 Recommends: metamail | mew-bin Homepage: http://crm114.sourceforge.net Priority: optional Section: mail Filename: pool/main/c/crm114/crm114_20100106-3_armhf.deb Size: 583028 SHA256: 5071515d71eee1e19b24c455ecb20a888302f8034e38a7ede830d96f71fe4274 SHA1: 1a7f12363a5768bb269f25c4c9f5ebefad352650 MD5sum: 81fb75f8cf7185d51af8ae0751b10948 Description: versatile classifier for e-mail and other data CRM114, the Controllable Regex Mutilator, is a system to examine incoming e-mail, system log streams, data files, or other data streams, and to sort, filter, or alter the incoming files or data streams however the user desires. Criteria for categorization of data can be by satisfaction of regular expressions, by sparse binary polynomial matching with a Bayesian Chain Rule evaluator, or by other means. . CRM114 is not just another drop-in spam-filtering system; its Sparse Binary Polynomial Hashing methods give it the power to develop highly accurate Bayesian filters on very little training. . CRM114 is compatible with SpamAssassin or other spam-flagging software; it can also be pipelined in front of or behind procmail. CRM114 is also useful as a syslog or firewall log filter, to flag up important events but ignore the ones that aren't meaningful. . For mail filtering, installing metamail or mew-bin packages is recommended in order to have tools to decode MIME attachments. Package: cron Version: 3.0pl1-124 Architecture: armhf Maintainer: Javier Fernández-Sanguino Peña Installed-Size: 290 Pre-Depends: dpkg (>= 1.15.7.2) Depends: libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1), libselinux1 (>= 1.32), debianutils (>= 1.7), adduser, lsb-base (>= 3.0-10), libpam-runtime (>= 1.0.1-11) Recommends: exim4 | postfix | mail-transport-agent Suggests: anacron (>= 2.0-1), logrotate, checksecurity Breaks: dpkg (<< 1.15.4) Provides: cron-daemon Homepage: http://ftp.isc.org/isc/cron/ Priority: important Section: admin Filename: pool/main/c/cron/cron_3.0pl1-124_armhf.deb Size: 103782 SHA256: c950f335d686687b538edeea15e0b593bf99e41b1bf1bee4af6bf9b6ac37e6ff SHA1: 267dc47b0bad72c070f9059fb736146c9a59a3dd MD5sum: 05dbdc1906b6bc810138ceb323ce0cd7 Description: process scheduling daemon The cron daemon is a background process that runs particular programs at particular times (for example, every minute, day, week, or month), as specified in a crontab. By default, users may also create crontabs of their own so that processes are run on their behalf. . Output from the commands is usually mailed to the system administrator (or to the user in question); you should probably install a mail system as well so that you can receive these messages. . This cron package does not provide any system maintenance tasks. Basic periodic maintenance tasks are provided by other packages, such as checksecurity. Package: cron-apt Version: 0.9.1 Installed-Size: 96 Maintainer: Ola Lundqvist Architecture: all Depends: apt Recommends: liblockfile1, mailx, cron Size: 26696 SHA256: 43cecbbf6038b9203b53fc0833f48e642523719e572c6fe6f5c37cf3e16d3187 SHA1: cfe9f8c1cd2bfc20ed799f6a2a77a57f47a64c1a MD5sum: f0052c8a16742ea9ed23827dfc8abe56 Description: automatic update of packages using apt-get Contains a tool that is run by a cron job at regular intervals. By default it just updates the package list and downloads new packages without installing. You can instruct it to run anything that you can do with apt-get (or aptitude). . It can optionally send mail to the system administrator on errors, log to syslog or a separate log file. . Observe that this tool may be a security risk, so you should not set it to do more than necessary. Automatic upgrade of all packages is NOT recommended unless you are in full control of the package repository. Tag: admin::automation, admin::package-management, interface::daemon, role::program, scope::utility, suite::debian, use::downloading, works-with::software:package Section: admin Priority: optional Filename: pool/main/c/cron-apt/cron-apt_0.9.1_all.deb Package: cron-deja-vu Version: 0.4-5 Installed-Size: 48 Maintainer: Florian Reitmeir Architecture: all Depends: python (>= 2.6), python-gdbm Size: 5644 SHA256: 9b861cbc8201429202bfbb0ba9d4485d9d4ad7d20e992206e2f6ddb761393b3f SHA1: f4ea3fc2c4ffade1fe0831bea314235eccbae2e3 MD5sum: 49a295a8c9e24c5151f52ea3ecbf370f Description: filter for recurring cron mails It filters unwanted recurring cron emails, is trained using a MailDir folder, and intended for usage with procmail. Traditional spam filters are less suitable for cron emails as these are based on probabilities and keywords. This filter applies rules to cron email to unify and filter it. E.g. All numbers are unified, or dates, one can define custom rules as regular expressions. The filter can be seen as a dynamic logcheck. Homepage: https://code.google.com/p/cron-deja-vu/ Section: mail Priority: extra Filename: pool/main/c/cron-deja-vu/cron-deja-vu_0.4-5_all.deb Package: cronolog Version: 1.6.2+rpk-1 Architecture: armhf Maintainer: Maxime Chatelle Installed-Size: 81 Depends: libc6 (>= 2.4), dpkg (>= 1.15.4) | install-info, perl Homepage: http://www.cronolog.org/ Priority: optional Section: web Filename: pool/main/c/cronolog/cronolog_1.6.2+rpk-1_armhf.deb Size: 28704 SHA256: 50033c8951e0d4cddb55fe4a87256fcca4769f5e6ca71d8e66dfdcd20ef0ede0 SHA1: f983547eb306c13308e4bd84d738ea0513e7fe8f MD5sum: 66a40214faa38b3b08ad90e58f7cff6c Description: Logfile rotator for web servers A simple program that reads log messages from its input and writes them to a set of output files, the names of which are constructed using template and the current date and time. The template uses the same format specifiers as the Unix date command (which are the same as the standard C strftime library function). . It intended to be used in conjunction with a Web server, such as Apache, to split the access log into daily or monthly logs: . TransferLog "|/usr/bin/cronolog /var/log/apache/%Y/access.%Y.%m.%d.log" . A cronosplit script is also included, to convert existing traditionally-rotated logs into this rotation format. Package: cronometer Version: 0.9.9-2 Installed-Size: 7339 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre | java6-runtime, jarwrapper (>= 0.5), libjcommon-java, libjfreechart-java, libswingx-java Size: 6649896 SHA256: 824d7048621fc37cceed691e394c564ac2a1135eb7b130e3dce9466d3d7559c4 SHA1: 3c0a8d4bcb280e3eadd5377df9426c42a292b6c3 MD5sum: e0c95a534b2bacc861e91eb1bb8f0cf5 Description: Exercise and nutrient intake tracker Easy to use daily nutrient intake tracker that includes nutritional information on over 8000 foods. User can create, import and export custom foods and recipes as well as set personal targets for each nutrient. CRON-O-Meter can also track and chart your biometrics (weight, blood pressure, temperature, etc) and generate detailed reports on your nutritional intake. Homepage: http://cronometer.com/download/ Section: java Priority: optional Filename: pool/main/c/cronometer/cronometer_0.9.9-2_all.deb Package: cronutils Version: 1.2-1 Architecture: armhf Maintainer: Jamie Wilkinson Installed-Size: 71 Depends: libc6 (>= 2.13-28) Homepage: http://code.google.com/p/cronutils Priority: extra Section: admin Filename: pool/main/c/cronutils/cronutils_1.2-1_armhf.deb Size: 15628 SHA256: 1699b0025683b4bba952d9ee32cc9a6d1c98cb56caaa021b1e21408379d8918e SHA1: 1be0afb4bc6ede1df452e050ee2049c3884449ee MD5sum: 9c92c22db01d11cca51780810dcab1cf Description: Utilities to assist running batch processing jobs A set of utilities to complement batch processing jobs, such as those run from cron, by limiting concurrent execution of jobs, setting hard limits on the runtime of a job, and recording execution statistics of a completed job. Package: crossfire-client Version: 1.70.0-1 Architecture: armhf Maintainer: Kari Pahula Installed-Size: 2535 Depends: freeglut3, libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.24.0), liblua5.1-0, libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libx11-6, libxext6, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Recommends: crossfire-client-images, crossfire-client-sounds, rplay-client Suggests: rplay-server, crossfire-server, crossfire-doc Breaks: crossfire-client-gtk2 (<< 1.50.0) Replaces: crossfire-client-gtk2 Homepage: http://crossfire.real-time.com/ Priority: optional Section: games Filename: pool/main/c/crossfire-client/crossfire-client_1.70.0-1_armhf.deb Size: 392398 SHA256: 09951f8e4c3676a614bcad0d9aabf6b52c1c8dabeec5454fc835acd7f5aec829 SHA1: fd878bac7d8f5d8045a753c2f7348508aa24f9f1 MD5sum: 70595078b972cbdc2d494beb9ec6e24d Description: Client for the multiplayer roguelike Crossfire Crossfire is a multiplayer graphical arcade and adventure game made for the X environment. . It has certain flavours from other games, especially Gauntlet (TM) and Nethack/Moria. . Any number of players can move around in their own window, finding and using items and battle monsters. They can choose to cooperate or compete in the same 'world'. . To play the game you'll need to have access to a local or remote server. Package: crossfire-client-images Version: 1.70.0-1 Installed-Size: 4086 Maintainer: Kari Pahula Architecture: all Recommends: crossfire-client Size: 2769970 SHA256: 31f37c3194536497d4e7408650ec994bb1dc9109a5da9a6a952f585c26372b88 SHA1: 8593285dda539516f95674181b37e417db9771c8 MD5sum: ecccb4ebf06214f47bd3873da7f8357c Description: Base crossfire-client images To decrease bandwidth used when actually playing the sounds, it is suggested you download the image archive and install it. Tag: made-of::icons, role::app-data Section: games Priority: optional Filename: pool/main/c/crossfire-client-images/crossfire-client-images_1.70.0-1_all.deb Package: crossfire-client-sounds Version: 1.9.1-1 Installed-Size: 544 Maintainer: Kari Pahula Architecture: all Recommends: rplay-client, crossfire-client Size: 319694 SHA256: cdb6ffbc0512bed4cb84ba2d6a44572de4cf39d8f8527618907bb0a360f96ca7 SHA1: 9ce64de85ba59d06121724314d295899b1811f0d MD5sum: f12918d7f80561ab67c71cef4d17edd3 Description: sound files for playing crossfire Crossfire is a multiplayer graphical arcade and adventure game made for the X environment. It has certain flavours from other games, especially Gauntlet (TM) and Nethack/Moria. Any number of players can move around in their own window, finding and using items and battle monsters. They can choose to cooperate or compete in the same world. Tag: role::app-data Section: games Priority: optional Filename: pool/main/c/crossfire-client-sounds/crossfire-client-sounds_1.9.1-1_all.deb Package: crossfire-common Source: crossfire Version: 1.70.0-1 Installed-Size: 5978 Maintainer: Kari Pahula Architecture: all Size: 3295860 SHA256: 4d78412874211035d506b61ed017a5b1933c37665215a51716726291fb1b40ac SHA1: 69309e1a88b08b21ca7ccaf0634493ac70aa1744 MD5sum: 0561ee97e2d122dbfb0622b98a04dc65 Description: Architecture independent common files for Crossfire server Crossfire is a multiplayer graphical arcade and adventure game made for the X environment. It has certain flavors from other games, especially Gauntlet (TM) and Nethack/Moria. Any number of players can move around in their own window, finding and using items and battle monsters. They can choose to cooperate or compete in the same "world". Homepage: http://crossfire.real-time.com/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/c/crossfire/crossfire-common_1.70.0-1_all.deb Package: crossfire-doc Source: crossfire Version: 1.70.0-1 Installed-Size: 1540 Maintainer: Kari Pahula Architecture: all Size: 1475942 SHA256: e556e88806a7a1ea31ef24ea93afa546ee8a5ec40be029204eb541372ac17ae8 SHA1: 54b7c4b93ef3b08730962610a9e9b561f70a132a MD5sum: 9e20e484dff4e0085fad19071e953703 Description: Documentation for Crossfire This is the document package for crossfire. It has information for both the server and the client. Homepage: http://crossfire.real-time.com/ Tag: made-of::postscript, role::documentation Section: doc Priority: optional Filename: pool/main/c/crossfire/crossfire-doc_1.70.0-1_all.deb Package: crossfire-maps Version: 1.70.0-1 Installed-Size: 198654 Maintainer: Kari Pahula Architecture: all Depends: procps, python (>= 2.6.6-7~) Suggests: crossfire-server Conflicts: crossfire-maps-small, crossfire-server (<< 1.8.0-2) Size: 24417614 SHA256: e315d1861345e11cf3ee847314a12fa4efd5997ac053dd8821f3955709f28fac SHA1: 9044c6575898015c3763fde2bbfc053cb01eb7da MD5sum: fda440a5183fd85d2e155ff001c1fb81 Description: Standard set of maps for crossfire Maps for use with crossfire-server or crossfire-edit. . You don't need this package if you are running only the client. . Note that installing maps will restart crossfire-server, if it is installed and running. Homepage: http://crossfire.real-time.com/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/c/crossfire-maps/crossfire-maps_1.70.0-1_all.deb Package: crossfire-maps-small Version: 1.5.0-3 Installed-Size: 40924 Maintainer: Kari Pahula Architecture: all Provides: crossfire-maps Suggests: crossfire-server, crossfire-edit Conflicts: crossfire-maps, crossfire-server (<< 1.8.0-2) Size: 4379738 SHA256: c90a373ba1796fc3237da48b7f415e10b178096a0c18bdb87bfd89d71b80f5b5 SHA1: c0d23874d60b3eacd03e12da89f6cb2f6a1e3be1 MD5sum: b637fe52dfce38db7b013aa0c6ba26b9 Description: Small set of maps for crossfire A smaller set of maps for use with crossfire-server or crossfire-edit. . You don't need this package if you are running only the client. . Note that installing maps will restart crossfire-server, if it is installed and running. Tag: role::app-data Section: games Priority: optional Filename: pool/main/c/crossfire-maps-small/crossfire-maps-small_1.5.0-3_all.deb Package: crosshurd Version: 1.7.44 Installed-Size: 96 Maintainer: GNU Hurd Maintainers Architecture: all Depends: dialog, dpkg-dev Recommends: attr Size: 21774 SHA256: 0fd06165cf95c82919b294068ece4d6c90a82a3dbc0dbfa236d9b0286a68dcd8 SHA1: f84f144c372cd55c2424a59500076b5c336cdfaf MD5sum: cb8f7209ff8498b212c007e1554f2f33 Description: Install a Debian system crosshurd uses apt and a bit of black magic to setup a functional Debian system. It supports the following target systems: - linux-gnu (GNU/Linux) - gnu (GNU/Hurd) - kfreebsd-gnu (GNU/kFreeBSD) Tag: admin::boot, admin::kernel, admin::package-management, devel::debian, interface::commandline, role::program, scope::utility, suite::debian, use::configuring, works-with::software:package Section: misc Priority: extra Filename: pool/main/c/crosshurd/crosshurd_1.7.44_all.deb Package: crossroads Version: 2.65-1.1 Architecture: armhf Maintainer: Stefan Ritter Installed-Size: 1768 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://crossroads.e-tunity.com/ Priority: extra Section: net Filename: pool/main/c/crossroads/crossroads_2.65-1.1_armhf.deb Size: 1165892 SHA256: 5f005dc0d4ca8d3c30a9062d8807f98bff838a720bd3d46a2a81e6bd600a3657 SHA1: 6a27667338c4def07babe3542e35a1b0a1e2b744 MD5sum: 17c687158cd0f1c3d6ec2e0ff96799f7 Description: open source load balance and fail over utility for TCP based services Crossroads is a daemon running in user space, and features extensive configurability, polling of back ends using 'wakeup calls', detailed status reporting, 'hooks' for special actions when backend calls fail, and much more. . Crossroads is service-independent: it is usable for HTTP(S), SSH, SMTP, DNS, etc.. In the case of HTTP balancing, Crossroads can provide 'session stickiness' for back end processes that need sessions, but aren't session-aware of other back ends. Package: crtmpserver Version: 1.0~dfsg-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 131 Depends: crtmpserver-apps, crtmpserver-libs, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblua5.1-0, libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libtinyxml2.6.2 Homepage: http://www.rtmpd.com Priority: optional Section: video Filename: pool/main/c/crtmpserver/crtmpserver_1.0~dfsg-3_armhf.deb Size: 29546 SHA256: ab6636cd27d7dd2f861958014caa0fbb316f1aceb2e2cbff5db97783d00f5c66 SHA1: 9c395d5afa927e78ed9a8b368ce92949143be940 MD5sum: 53f35e1b7927ef30f0755aac4ac06d2b Description: High performance RTMP/RTSP streaming server crtmpserver is a high performance streaming server able to stream (live or recorded) in the following technologies: - To and from Flash (RTMP,RTMPE, RTMPS, RTMPT, RTMPTE) - To and from embedded devices: iPhone, Android - From surveillance cameras - IP-TV using MPEG-TS and RTSP/RTCP/RTP protocols . Also, crtmpserver can be used as a high performance rendes-vous server. For example, it enables you to do: - Audio/Video conferencing - Online gaming - Online collaboration - Simple/complex chat applications Package: crtmpserver-apps Source: crtmpserver Version: 1.0~dfsg-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 626 Depends: crtmpserver-libs (>= 1.0~dfsg-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblua5.1-0, libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libtinyxml2.6.2 Breaks: crtmpserver (<< 0.0~dfsg+svn611.1-1) Replaces: crtmpserver (<< 0.0~dfsg+svn611.1-1) Homepage: http://www.rtmpd.com Priority: optional Section: video Filename: pool/main/c/crtmpserver/crtmpserver-apps_1.0~dfsg-3_armhf.deb Size: 193922 SHA256: 61bc87f51351f7845d422b5043eaa431edcd388a156964334aaeec70ddcabb0f SHA1: 8b6ac75173700de6300d48214dd984b3e0a476f6 MD5sum: b9cdfc85a71f6bc1c0176334d63f5ab1 Description: base applications for the crtmpserver platform crtmpserver is a high performance streaming server able to stream (live or recorded) in the following technologies: - To and from Flash (RTMP,RTMPE, RTMPS, RTMPT, RTMPTE) - To and from embedded devices: iPhone, Android - From surveillance cameras - IP-TV using MPEG-TS and RTSP/RTCP/RTP protocols . This package contains the set of basic applications that provide most frequently used feature like VOD, live streaming and proxying rtmp/rtsp/mpeg-ts streams. Package: crtmpserver-dev Source: crtmpserver Version: 1.0~dfsg-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 812 Depends: crtmpserver-libs (= 1.0~dfsg-3), liblua5.1-0-dev, libssl-dev, libtinyxml-dev Homepage: http://www.rtmpd.com Priority: optional Section: video Filename: pool/main/c/crtmpserver/crtmpserver-dev_1.0~dfsg-3_armhf.deb Size: 93374 SHA256: 1d201ceff798034c9a824004941d3ae47425f6f36f81f84b605280da1ebd4bc1 SHA1: b6732efc099ed78f7d494199c3b1ce7c27fbebba MD5sum: 7fd7b97e406e8e6beef0d0616e961f03 Description: Development files for the crtmpserver platform crtmpserver is a high performance streaming server able to stream (live or recorded) in the following technologies: - To and from Flash (RTMP,RTMPE, RTMPS, RTMPT, RTMPTE) - To and from embedded devices: iPhone, Android - From surveillance cameras - IP-TV using MPEG-TS and RTSP/RTCP/RTP protocols . This package contains header files for base crtmpserver libraries 'common' and 'thelib'. Package: crtmpserver-libs Source: crtmpserver Version: 1.0~dfsg-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 2310 Depends: liblua5.1-0, libtinyxml2.6.2, openssl, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0) Breaks: crtmpserver (<< 0.0~dfsg+svn611.1-1) Replaces: crtmpserver (<< 0.0~dfsg+svn611.1-1) Homepage: http://www.rtmpd.com Priority: optional Section: video Filename: pool/main/c/crtmpserver/crtmpserver-libs_1.0~dfsg-3_armhf.deb Size: 981360 SHA256: f3c18f53d57e90fc3df1814a8dd70d6490eb38dfa7f121119332ee7a29f2d9a4 SHA1: 66b54f77ede912d6468da58ed45fa60de44f5a4c MD5sum: 4fdf9bafe44e39fb967346089ef05636 Description: shared libraries for the crtmpserver platform crtmpserver is a high performance streaming server able to stream (live or recorded) in the following technologies: - To and from Flash (RTMP,RTMPE, RTMPS, RTMPT, RTMPTE) - To and from embedded devices: iPhone, Android - From surveillance cameras - IP-TV using MPEG-TS and RTSP/RTCP/RTP protocols . This package contains shared libraries('thelib' and 'common') for the crtmpserver platform and its applications. Package: cruft Version: 0.9.16 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 258 Depends: libc6 (>= 2.13-28), file Suggests: mailx Homepage: http://alioth.debian.org/projects/cruft/ Priority: optional Section: admin Filename: pool/main/c/cruft/cruft_0.9.16_armhf.deb Size: 105704 SHA256: 6503bcaf83bd60f1984a987023007c5104995c3855a3fef24e48c1b6d2787e44 SHA1: f2df806fea85213ed5fb3bac03dddbdc6f8a88ee MD5sum: e3513022ea09017cf354f2ccc4827415 Description: program that finds any cruft built up on your system cruft is a program to look over your system for anything that shouldn't be there, but is; or for anything that should be there, but isn't. . It bases most of its results on dpkg's database, as well as a list of `extra files' that can appear during the lifetime of various packages. . cruft is still in pre-release; your assistance in improving its accuracy and performance is appreciated. Package: crypt++el Version: 2.94-1 Installed-Size: 220 Maintainer: Mario Lang Architecture: all Depends: emacs21 | emacsen Suggests: mailcrypt Size: 39592 SHA256: ed2071aa2824d5eab49914c37b9257d6fd86a8f056b607a818d2f4ff71e961b3 SHA1: 7a4a396c0fe3debb5037c7abded3f924a3c5ac8b MD5sum: 29b999c209cca23973551b00fbc85e6d Description: Emacs-Lisp Code for handling compressed and encrypted files Code for handling all sorts of compressed and encrypted files like: .gz, .tar.gz, .Z, .zip, PGP etc. Tag: implemented-in::lisp, role::plugin, security::cryptography, suite::emacs, use::compressing, use::editing, works-with::archive Section: editors Priority: extra Filename: pool/main/c/crypt++el/crypt++el_2.94-1_all.deb Package: cryptcat Version: 20031202-4 Architecture: armhf Maintainer: Lars Bahner Installed-Size: 94 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Priority: optional Section: net Filename: pool/main/c/cryptcat/cryptcat_20031202-4_armhf.deb Size: 47546 SHA256: 5365c7215fcc8a98375180760694e5576fd8ec986dd0939c1fa0d64f557f07e9 SHA1: bfcea1525a35d224109c149c332b6ee21236ef2f MD5sum: d7a35bbc35d775dabbaed675aebead0d Description: A lightweight version netcat extended with twofish encryption Cryptcat is a simple Unix utility which reads and writes data across network connections, using TCP or UDP protocol while encrypting the data being transmitted. It is designed to be a reliable "back-end" tool that can be used directly or easily driven by other programs and scripts. At the same time, it is a feature-rich network debugging and exploration tool, since it can create almost any kind of connection you would need and has several interesting built-in capabilities. Package: cryptkeeper Version: 0.9.5-5.1 Architecture: armhf Maintainer: Francesco Namuri Installed-Size: 264 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0), libx11-6, zenity, fuse, encfs Homepage: http://tom.noflag.org.uk/cryptkeeper.html Priority: optional Section: admin Filename: pool/main/c/cryptkeeper/cryptkeeper_0.9.5-5.1_armhf.deb Size: 49618 SHA256: b94bb8f533a2a1cd9e81886b7b7c95b801edcaf3fa0e7077f1ddceab7adc35f0 SHA1: 6b39a933ec93245c061e786e5da787b517d6f060 MD5sum: c7c2c65ed411e71a65421547829efc81 Description: EncFS system tray applet for GNOME An encrypted folders manager, it allows users to mount and unmount encfs folders, to change the password and to create new crypted folders. It integrates with your preferred file manager. Package: cryptmount Version: 4.3.1-1 Architecture: armhf Maintainer: RW Penney Installed-Size: 437 Depends: libc6 (>= 2.13-28), libdevmapper1.02.1 (>= 2:1.02.20), libgcrypt11 (>= 1.4.5), libuuid1 (>= 2.16) Recommends: udev Suggests: openssl, dmsetup Homepage: http://cryptmount.sourceforge.net Priority: extra Section: admin Filename: pool/main/c/cryptmount/cryptmount_4.3.1-1_armhf.deb Size: 147784 SHA256: a59f9c76b455aa1a65403178b578e1ef1b03be22178fa2ac10f5e79803abb5ad SHA1: ac3013830a846067571a3c9f77b3e956f379d201 MD5sum: 481a9dba4f29e4daf3701a393228e9b5 Description: Management of encrypted file systems cryptmount is a utility for creating encrypted filesystems & swap partitions and which allows an ordinary user to mount/unmount filing systems without requiring superuser privileges. . It offers the following features: * easy and safe on-demand access to filesystems without su/sudo; * access passwords can be changed easily without involving the sys-admin; * filesystems can reside on raw disk partitions or ordinary files; * supports LUKS encrypted filesystems created by cryptsetup. * encrypted access keys can be stored on removable media (e.g. USB flash disks); * includes support for encrypted swap partitions; * multiple filesystems can be stored in a single disk partition; * encrypted filesystems can be initialized at boot-up or on demand; * temporary filesystems can be setup via command-line, for use in shell-scripts; * transparent configuration of dm-crypt & loopback devices during mounting; * access keys can optionally be made compatible with OpenSSL; Package: cryptsetup Version: 2:1.4.3-4 Architecture: armhf Maintainer: Debian Cryptsetup Team Installed-Size: 295 Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, dmsetup, cryptsetup-bin Recommends: kbd, console-setup, initramfs-tools (>= 0.91) | linux-initramfs-tool, busybox | busybox-static Suggests: dosfstools, liblocale-gettext-perl Conflicts: cryptsetup-luks Breaks: hashalot (<< 0.3-2) Replaces: cryptsetup-luks, hashalot (<< 0.3-2) Provides: cryptsetup-luks Homepage: http://code.google.com/p/cryptsetup/ Priority: optional Section: admin Filename: pool/main/c/cryptsetup/cryptsetup_1.4.3-4_armhf.deb Size: 127010 SHA256: c8a79dea7ad93db1f0f813ad2277cfa08e333163e409dbb46df62c777b41d1b3 SHA1: 7cdd69ec4042a36abaac1893cd77b41c83deac4f MD5sum: 85ab0bd1652bd359ba5078052b06fa60 Description: disk encryption support - startup scripts Cryptsetup provides an interface for configuring encryption on block devices (such as /home or swap partitions), using the Linux kernel device mapper target dm-crypt. It features integrated Linux Unified Key Setup (LUKS) support. . Cryptsetup is backwards compatible with the on-disk format of cryptoloop, but also supports more secure formats. This package includes support for automatically configuring encrypted devices at boot time via the config file /etc/crypttab. Additional features are cryptoroot support through initramfs-tools and several supported ways to read a passphrase or key. Package: cryptsetup-bin Source: cryptsetup Version: 2:1.4.3-4 Architecture: armhf Maintainer: Debian Cryptsetup Team Installed-Size: 512 Depends: libc6 (>= 2.13-28), libcryptsetup4 (>= 2:1.4.3), libpopt0 (>= 1.14) Breaks: cryptsetup (<< 2:1.4.3-1~) Replaces: cryptsetup (<< 2:1.4.3-1~) Homepage: http://code.google.com/p/cryptsetup/ Priority: optional Section: admin Filename: pool/main/c/cryptsetup/cryptsetup-bin_1.4.3-4_armhf.deb Size: 153002 SHA256: d073b52ddeb23e5bd39b65f6c66fc8a6f7e879be3f87271f134d720091514708 SHA1: 53d7635d3949b2830851c573dd8e90f61b52ed57 MD5sum: 364c94703602b697ea1bb80d97bcdb08 Description: disk encryption support - command line tools Cryptsetup provides an interface for configuring encryption on block devices (such as /home or swap partitions), using the Linux kernel device mapper target dm-crypt. It features integrated Linux Unified Key Setup (LUKS) support. Package: crystalcursors Version: 1.1.1-13 Installed-Size: 2635 Maintainer: Magnus Holmgren Architecture: all Size: 271800 SHA256: b0c8dbf695267cba414d217560ab8a672d22aa6b72798a699525c7a9a06ef7fd SHA1: e559ca0a492d37f9d926ad18b0d9b86f35598424 MD5sum: f5e1eef91854e52eb460e3bc74bc5abd Description: X11 mouse theme with the crystal look&feel This package contains "Crystal" style mouse cursor themes in four colours (blue, green, white, and grey) as well as green and blue "classic", wherein certain cursors (e.g. the "hand" cursor) are white instead of coloured. . For Each of the above colours there are both right and left handed versions as well as animated and non-animated ones (except for the "classic" themes, which are all animated), for a total of 20 combinations. . Note: Please read /usr/share/doc/crystalcursors/README.Debian to learn how to change the default cursor theme system-wide. Homepage: http://www.kde-look.org/content/show.php?content=6240 Tag: made-of::icons, role::data, x11::theme Section: x11 Priority: optional Filename: pool/main/c/crystalcursors/crystalcursors_1.1.1-13_all.deb Package: cscope Version: 15.7a-3.6 Architecture: armhf Maintainer: Tobias Klauser Installed-Size: 410 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5, ed Suggests: cscope-el Homepage: http://cscope.sourceforge.net Priority: optional Section: devel Filename: pool/main/c/cscope/cscope_15.7a-3.6_armhf.deb Size: 140158 SHA256: eceb8f20142fe9d44894f9603a3c99a5cf76a08ca1a9de5d65c4e073b0f58e9e SHA1: c3d671770d6c7fafe32574f339f072dcb271f0bf MD5sum: e140c8dbdb6f9f56477d2405ac21b18b Description: Interactively examine a C program source Cscope is an interactive text screen based source browsing tool. Although it is primarily designed to search C code (including lex and yacc files), it can also be used for C++ code. . Using cscope, you can easily search for where symbols are used and defined. Cscope is designed to answer questions like: . - Where is this variable used? - What is the value of this preprocessor symbol? - Where is this function in the source files? - What functions call this function? - What functions are called by this function? - Where does the message "out of space" come from? - Where is this source file in the directory structure? - What files include this header file? Package: cscope-el Source: cscope Version: 15.7a-3.6 Installed-Size: 158 Maintainer: Tobias Klauser Architecture: all Depends: cscope (>= 15.7a-3.6), emacs23 | emacsen Size: 37124 SHA256: 5cd87ef1b5ffd321d746aae38049ea2b096daf25dfad4f148600620f6a823885 SHA1: 1447f1badf684a250983d5f3c76130fdf766e310 MD5sum: c91351b2975bd6dcde15db512b779e3f Description: Interactively examine a C program source, cscope emacs binding Cscope is a program that generates index tables from C and C++ source files. It is also a client program that knows how to use the generated index tables to answer questions such as where is a symbol defined, where is a symbol referenced, etc. . cscope-el contains the Emacs interfaces to cscope; Darryl Okahata's xcscope.el, See http://www.emacswiki.org/emacs/CScopeAndEmacs Homepage: http://cscope.sourceforge.net Section: devel Priority: optional Filename: pool/main/c/cscope/cscope-el_15.7a-3.6_all.deb Package: csh Version: 20110502-2 Architecture: armhf Maintainer: Matej Vela Installed-Size: 331 Depends: libbsd0 (>= 0.2.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Provides: c-shell Priority: optional Section: shells Filename: pool/main/c/csh/csh_20110502-2_armhf.deb Size: 236384 SHA256: 2dc7a3f662e4e549d447f7841beb94ade8ee84b78f70b775355831b51eb7edbe SHA1: 1822c2b35280a873de634f7e919b16237aa01421 MD5sum: 84c96b9c8d5eed0c76c5101dec7f2ce6 Description: Shell with C-like syntax The C shell was originally written at UCB to overcome limitations in the Bourne shell. Its flexibility and comfort (at that time) quickly made it the shell of choice until more advanced shells like ksh, bash, zsh or tcsh appeared. Most of the latter incorporate features original to csh. . This package is based on current OpenBSD sources. Package: csladspa Source: csound Version: 1:5.17.11~dfsg-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 224 Depends: libc6 (>= 2.13-28), libcsound64-5.2 (>= 1:5.17.6~dfsg), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Provides: ladspa-plugin Homepage: http://www.csounds.com Priority: optional Section: sound Filename: pool/main/c/csound/csladspa_5.17.11~dfsg-3_armhf.deb Size: 160714 SHA256: a44e3d1153b48e1b36ea3717c24215bc3da8b06bc5861eb158dfbfbc6e558916 SHA1: c10b38b6a5b2a5595cb939eb6cfbc68b34379748 MD5sum: ac26a345495b3a54b51a029c430f3a6c Description: LADSPA plugin for Csound This plugin enables the creation of LADSPA plugins with the Csound language. . For more information on Csound or LADSPA, see the csound or ladspa-sdk packages, respectively. Package: csmash Version: 0.6.6-6.6 Architecture: armhf Maintainer: Bartosz Fenski Installed-Size: 607 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.16.0), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libx11-6, libxext6, libxi6, libxt6, zlib1g (>= 1:1.1.4), csmash-data (>= 0.6.6-6.6) Suggests: csmash-demosong Homepage: http://cannonsmash.sourceforge.net Priority: optional Section: games Filename: pool/main/c/csmash/csmash_0.6.6-6.6_armhf.deb Size: 175450 SHA256: e53736a8a00b111b4d1a603d8ea0acbea887494a7011274e9444dd113fee5b89 SHA1: 39189dfec8c8c8fa38aebb02b7b4603e6407f099 MD5sum: ea8e86831dfa675a7d13476ffcd2086f Description: CannonSmash, a table tennis simulation game CannonSmash is a funny 3D table tennis game. It takes a while to get your hand at ease with the mouse+keyboard manipulations. But once you're used to the technique, you can feel like playing a real game. It is playable against the computer or through a network. . Since csmash relies on OpenGL-compatible rendering, it is best experienced with a 3D accelerator card, although software rendering in wireframe mode should be sustainable. Package: csmash-data Source: csmash Version: 0.6.6-6.6 Installed-Size: 7753 Maintainer: Bartosz Fenski Architecture: all Recommends: csmash Conflicts: csmash (<< 0.6.6-3) Size: 1708946 SHA256: b35289dda7e0c81508a0967d430bc6295de67538a5efde12269b7e9f0e9f6ec3 SHA1: 6835e6f04068be2957588b0995497bb7f6954b53 MD5sum: 1cc96595215d455643159fb2c2d38002 Description: data files for the CannonSmash game CannonSmash is a funny 3D table tennis game. It takes a while to get your hand at ease with the mouse+keyboard manipulations. But once you're used to the technique, you can feel like playing a real game. It is playable against the computer or through a network. . This package contains data files for CannonSmash. Homepage: http://cannonsmash.sourceforge.net Tag: game::arcade, interface::3d, role::app-data, uitoolkit::gtk, uitoolkit::sdl, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/c/csmash/csmash-data_0.6.6-6.6_all.deb Package: csmash-demosong Version: 1.4 Installed-Size: 1100 Maintainer: Bartosz Fenski Architecture: all Depends: csmash (>= 0.6.2) Enhances: csmash Size: 1052770 SHA256: 95acf21a602d5ba16d7b32b43e75a775b3651b4965e5b683b7df7a44f0f383d7 SHA1: 5f115fffc3ff7cdbd4e18d7cfff314b95379fbb2 MD5sum: 0a2e6d7fcee8dd4320c4d68f91b02c6b Description: Demo song for CannonSmash This is the demo song for CannonSmash. If csmash detects the presence of this song during its startup, it will present you a demonstration of the game with a nice musical background. . Composed by Hideaki Tanabe . Homepage: http://cannonsmash.sourceforge.net/ Tag: game::arcade, interface::3d, role::app-data, uitoolkit::gtk, uitoolkit::sdl, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/c/csmash-demosong/csmash-demosong_1.4_all.deb Package: csound Version: 1:5.17.11~dfsg-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 276 Depends: libc6 (>= 2.13-28), libcsound64-5.2 (>= 1:5.10.1~dfsg1) Recommends: csound-gui, csound-utils, csound-manpages Breaks: libcsound64-5.1 (<< 1:5.08.2~dfsg-1) Homepage: http://www.csounds.com Priority: optional Section: sound Filename: pool/main/c/csound/csound_5.17.11~dfsg-3_armhf.deb Size: 198656 SHA256: a4e50d9d4bcdddd4368e5e124344966260a18981a0387434a7f7d2e8031dd723 SHA1: 33347b4ad3b9f53f52784aeca88f9f4c06114148 MD5sum: 82dd5d3781f1d52bb5b7d10e218b019e Description: powerful and versatile sound synthesis software Csound is a sound and music synthesis system. Drawing from over 450 signal processing modules, it can be used to model virtually any synthesizer or multi-effect processor. It can work either in real-time or as a compiler. . Csound is to sound synthesis as C is to programming. Package: csound-data Source: csound Version: 1:5.17.11~dfsg-3 Installed-Size: 2133 Maintainer: Debian Multimedia Maintainers Architecture: all Breaks: csound (<< 1:5.13.0~dfsg-4) Size: 1694230 SHA256: d5221a83705c40d111bf3e58e495dd6ee74f520e551ae24f12efcd475e0ed15f SHA1: a7bf9af773c60dba3733040c5ad582b987ab91d2 MD5sum: 5e11a98c818b4477b471f312ccc7d3fe Description: data files used by the csound library This package includes the architecture-independent data files used by the csound library or plugins. . For more information on Csound see the csound package. Homepage: http://www.csounds.com Tag: role::app-data Section: sound Priority: optional Filename: pool/main/c/csound/csound-data_5.17.11~dfsg-3_all.deb Package: csound-doc Source: csound-manual Version: 1:5.13~dfsg-1 Installed-Size: 22904 Maintainer: Debian Multimedia Maintainers Architecture: all Suggests: csound Size: 6243646 SHA256: 3a6e7846da0e47fd15207a5e8db03df75ec74b6ae6676f6dba2f8e7ef38a1fff SHA1: 747faed99a2fcc7a30bda14e0f03e87762bfc8a2 MD5sum: 5ab199260c97a3ade7c2a626e337437e Description: documentation for csound This package includes the csound reference manual. . For more information on csound, see the csound package. Homepage: http://www.csounds.com Tag: made-of::html, made-of::sgml, role::documentation, use::editing, works-with::audio Section: doc Priority: optional Filename: pool/main/c/csound-manual/csound-doc_5.13~dfsg-1_all.deb Package: csound-gui Source: csound Version: 1:5.17.11~dfsg-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 382 Depends: libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.6), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.4.0), libx11-6, libxext6, csound Homepage: http://www.csounds.com Priority: optional Section: sound Filename: pool/main/c/csound/csound-gui_5.17.11~dfsg-3_armhf.deb Size: 232194 SHA256: a64d654f2286925cfd87965839e5d4390f8e33ae9eaf88a994bf35b4cbe03e12 SHA1: b11e71b31caa2294a9fb0f7d45f24ad1582be221 MD5sum: 707769a37d8e457432df13abc5c0be1f Description: GUI interfaces and opcodes for Csound This package includes the GUI interfaces for Csound: winsound, cseditor and csound5gui, plus the GUI opcodes. . For more information on Csound, see the csound package. Package: csound-manpages Source: csound-manual Version: 1:5.13~dfsg-1 Installed-Size: 184 Maintainer: Debian Multimedia Maintainers Architecture: all Suggests: csound, csound-utils Size: 93582 SHA256: 776e13bcddec79c02a2e98a8b5199c85d402f6f8a760f83717276a54527ab92f SHA1: 1e62a7b9e4786782ea5440d613829bc39bf7b1c2 MD5sum: 26e0fbf97c5992669b0cb7b4c8bee606 Description: manual pages for csound This package contains the manpages for several csound programs. . For more information on csound, see the csound package. Homepage: http://www.csounds.com Tag: made-of::man, role::documentation Section: doc Priority: extra Filename: pool/main/c/csound-manual/csound-manpages_5.13~dfsg-1_all.deb Package: csound-utils Source: csound Version: 1:5.17.11~dfsg-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 329 Depends: libc6 (>= 2.13-28), libcsound64-5.2 (>= 1:5.10.1~dfsg1), csound Homepage: http://www.csounds.com Priority: optional Section: sound Filename: pool/main/c/csound/csound-utils_5.17.11~dfsg-3_armhf.deb Size: 182246 SHA256: be61afe871f3f2015bc640cf66b04f77399000b08d41d9561c9ce3caeb68d850 SHA1: 76abd2b3edd57385c392fd550fb5e6cca422569b MD5sum: 77a5fc5c8f678d663868f9635dff34c6 Description: miscellaneous utilities for the Csound system This package contains several utilities which may be useful with Csound. These utilities aid in score creation, sound analysis and sound transformations. Package: css-mode Version: 0.11-7 Installed-Size: 128 Maintainer: Chris Lawrence Architecture: all Depends: emacs22 | emacsen, emacsen-common (>= 1.4.14) Recommends: html-helper-mode Size: 10922 SHA256: 59d8a02aa87b3b5f4ae533e55f44a06c32deeb3bc5054da66c7909a8fd0ddd36 SHA1: 4dbdb229c2e5556139ed6d8e3b1f44c327b83692 MD5sum: 9536ec068cdbab517943d02dbd3d5d3e Description: A Cascading Style Sheets (CSS) editing mode for Emacs This is a simple Emacs mode for editing CSS style sheets. It adds font-locking and some basic auto-indentation support to Emacs. It works with Emacs 19.34, but should also work with both older and newer versions as well as XEmacs. . While CSS has a C-like syntax, this mode does a better job than cc-mode of handling the features of the CSS language. It supports both CSS Level 1 and Level 2. Homepage: http://www.garshol.priv.no/download/software/css-mode/ Tag: implemented-in::lisp, role::plugin, suite::emacs, use::editing, use::text-formatting, works-with::text Section: editors Priority: extra Filename: pool/main/c/css-mode/css-mode_0.11-7_all.deb Package: cssc Version: 1.2.0-2 Architecture: armhf Maintainer: Yann Dirson Installed-Size: 1733 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), dpkg (>= 1.15.4) | install-info Suggests: groff Homepage: http://www.gnu.org/software/cssc/ Priority: extra Section: vcs Filename: pool/main/c/cssc/cssc_1.2.0-2_armhf.deb Size: 651796 SHA256: 93eed962576a2fa1eae97719e83b56bdb014606bc64cbd44ae3ed47ca49c9c99 SHA1: 33a0d923d5be31f9a4aacbd3f74d96bb3af76420 MD5sum: 217e7d1f9980b688c8e0ced41d1e74e6 Description: Clone of the Unix SCCS revision-control system SCCS is a per-file revision-control system. It is a de-facto standard on commercial Unices, being shipped with most of those. . GNU-based systems usually use RCS instead of SCCS - indeed it has been a choice to design RCS instead of implementing a free SCCS clone. RCS was designed to address some problems with SCCS (eg. extraction time grows linearly with the size of the history file), but it has anyway problems of its own (eg. extraction time of branches grows with trunk length). . Some project-wide revision-control systems, like Aegis, can make use of CSSC instead of RCS. . This package also provides a web frontend to navigate the history of files under SCCS control, with optional support for formatting of manpages using groff. Package: cssed Version: 0.4.0-4 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 1691 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4) Homepage: http://cssed.sf.net/ Priority: optional Section: editors Filename: pool/main/c/cssed/cssed_0.4.0-4_armhf.deb Size: 672642 SHA256: 80c0693de6017ea2eafee9a085e8f618d8ec10a369d77a2a2555ac6de856f914 SHA1: 05175284a4ac93f3c83374b685e00b2061215de3 MD5sum: b82d91bb7f2fd04939f93e041620073e Description: graphical CSS editor Application to help create and maintain CSS style sheets for web developing. . CSSED is a small developer editor and validator, that tries to ease the CSS editing. It features syntax highlighting, syntax validation, MDI notebook based interface, quick CSS properties and values insertion, auto-completion and dialog-based insertion of CSS complex values. Package: csstidy Version: 1.4-3 Architecture: armhf Maintainer: Kevin Coyner Installed-Size: 260 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://csstidy.sourceforge.net/ Priority: extra Section: utils Filename: pool/main/c/csstidy/csstidy_1.4-3_armhf.deb Size: 109942 SHA256: 403c61a6bcb1a4a01dba49efc94eff4d44cd4b8dc5a10f353532827a0e49efd9 SHA1: c69a709a5e7bdbe1f820307be38060fe03f685d5 MD5sum: d402bc6d52ec3f102b147f82c933176c Description: CSS parser and optimiser csstidy parses and optimises your CSS code, making it cleaner and more concise. The end result is a smaller CSS file and better written code. It has a variety of option settings giving the user a significant amount of control over the level of file compression and readability. It is not meant to be a css validator. Package: cstocs Version: 1:3.42-2 Installed-Size: 216 Maintainer: Petr Cech Architecture: all Replaces: cstools-vn Provides: cstools-vn Depends: perl, libdbd-xbase-perl Conflicts: cstools-vn Size: 48406 SHA256: 547be57623c1f1d2de2e90a79f9d2c42e393d6875ba232ae9a9c76c663a2878a SHA1: 0459e018ed02730110b27d745abf5ce584e858cd MD5sum: 2bb80897746cccb5a1d5db3597211502 Description: Recoding utility and Czech sorter This is a utility which allows you to re-encode files between various encodings and sort Czech data. Some main features: - Written in Perl, providing appropriate Perl modules. - Supported encodings: ASCII, ISO-8859-1, ISO-8859-2, Microsoft cp1250 and cp1252, Mac, MacCE, PC Latin 2, Koi8-CS and TeX Cork (T1). - You can create your own encoding definition files and use them for recoding to any other defined encoding. - Single to single or single to many chars recodings are supported. - Sophisticated sorting algorithm for Czech. Tag: culture::czech, implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, use::organizing, works-with::text Section: text Priority: optional Filename: pool/main/c/cstocs/cstocs_3.42-2_all.deb Package: cstream Version: 3.0.0-1 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 85 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://www.cons.org/cracauer/cstream.html Priority: optional Section: utils Filename: pool/main/c/cstream/cstream_3.0.0-1_armhf.deb Size: 33574 SHA256: c703971503499f0a8c62ab7f8868973a3b045cf305153c821da61ff89129a108 SHA1: 13d058383a1067c0d70c799a0dd151cc8b17d040 MD5sum: caff22ab421ac17b0ad0963d32c6863b Description: general-purpose stream-handling tool similar to dd cstream is a general-purpose stream-handling tool like UNIX' dd, usually used in commandline-constructed pipes. . Features: - Sane commandline switch syntax. - Exact throughput limiting, on the incoming side. Timing variance in previous reads are counterbalanced in the following reads. - Precise throughput reporting. Either at the end of the transmission or everytime SIGUSR1 is received. Quite useful to ask lengthy operations how much data has been transferred yet, i.e. when writing tapes. Reports are done in bytes/sec and if appropriate in KB/sec or MB/sec, where 1K = 1024. - SIGUSR2 causes a clean shutdown before EOF on input, timing information is displayed. - Build-in support to write its PID to a file, for painless sending of these signals. - Build-in support for fifos. Example usage is a 'pseudo-device', something that sinks or delivers data at an appropriate rate, but looks like a file, i.e. if you test soundcard software. See the manpage for examples. - Built-in data creation and sink, no more redirection of /dev/null and /dev/zero. These special devices speed varies greatly among operating systems, redirecting from it isn't appropriate benchmarking and a waste of resources anyway. - Accepts 'k', 'm' and 'g' character after number for "kilo, mega, giga" bytes for overall data size limit. - "gcc -Wall" clean source code, serious effort taken to avoid undefined behaviour in ANSI C or POSIX, except long long is required. Limiting and reporting works on data amounts > 4 GB. Package: csv2latex Version: 0.18-2 Architecture: armhf Maintainer: Benoît Rouits Installed-Size: 55 Depends: libc6 (>= 2.4) Homepage: http://herewe.servebeer.com/csv2latex/ Priority: optional Section: tex Filename: pool/main/c/csv2latex/csv2latex_0.18-2_armhf.deb Size: 13420 SHA256: 5ed3e7d9f80c4b8ac8ea38ddd308072fa3cbedefd0250f494a3050c8bdef197d SHA1: b612cd7dad115843b8b0af3cdb11eaccb2add446 MD5sum: c4243d62f8655417b0fe33dd88d471ba Description: a CSV to LaTeX file converter csv2latex is a simple command-line file converter that converts a comma-separated-values file into a LaTeX table. It can guess exotic csv formats. Package: csvtool Source: ocaml-csv Version: 1.2.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 699 Depends: ocaml-base-nox-3.12.1 Homepage: http://forge.ocamlcore.org/projects/csv/ Priority: optional Section: utils Filename: pool/main/o/ocaml-csv/csvtool_1.2.2-1_armhf.deb Size: 286932 SHA256: cd5482105f382c6fa85ca3a3f15e61fe25217eef4755597404ed417e9fbec59d SHA1: 78453705e8df61f702c3de79cec56367671e5730 MD5sum: 7e6c0c2edbf195a8c47513d0acc8c6e0 Description: handy command line tool for handling CSV files OCaml CSV is a library to read and write CSV (comma-separated values) files. It also supports all extensions used by Excel - eg. quotes, newlines, 8 bit characters in fields, etc. . This package contains csvtool, a handy command line tool for handling CSV files from shell scripts. Package: csync2 Source: csync2 (1.34-2.2) Version: 1.34-2.2+b1 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 246 Depends: libc6 (>= 2.13-28), libgnutls-openssl27, libgnutls26 (>= 2.12.17-0), librsync1 (>= 0.9.6), libsqlite0 (>= 2.8.17), libtasn1-3 (>= 1.6-0), netbase (>= 4.25), openbsd-inetd | inet-superserver Suggests: sqlite Priority: optional Section: admin Filename: pool/main/c/csync2/csync2_1.34-2.2+b1_armhf.deb Size: 147358 SHA256: 35aa1f1a373dd7fe6a2f2a9363e07218f4af0d22b8d1c593e9b4c5ce3abab246 SHA1: a2e477d3a512a44df7065eac7838c387a72ddff2 MD5sum: 631ede786c98963268a869a7dbbdf234 Description: cluster synchronization tool CSYNC2 synchronizes files in a cluster using the rsync-algorithm. It maintains a database of modified files so it is able to handle deletion of files and file modification conflicts. Package: ctapi-dev Source: ctapi Version: 1.1 Installed-Size: 40 Maintainer: Simon Richter Architecture: all Replaces: libctapimkt0-dev (<< 1.0.1-2), libtowitoko-dev (<< 2.0.7-9) Size: 2082 SHA256: 7e66d91511250b9f9861a43ea2d6ba772f7ddea2937ce5f4f1032bc4edd1ca0a SHA1: e7a11c71085a1df154043b0f8cde150e9934021e MD5sum: 88073b39c883ab342d2893a386679cd3 Description: Card Terminal (CT) API definition This package contains the definition for the CT-API, a standard for interfacing ISO/IEC 7816 compatible smartcards. . Unless you are writing drivers for a smartcard terminal, it is unlikely that you will need this package. Tag: devel::library, role::devel-lib Section: devel Priority: extra Filename: pool/main/c/ctapi/ctapi-dev_1.1_all.deb Package: ctcs Version: 1.3.1~pre1-1 Architecture: armhf Maintainer: Matt Palmer Installed-Size: 226 Depends: libc6 (>= 2.4), psmisc Recommends: build-essential, linux-source-2.6.26 | linux-source, cpuburn, memtester, crashme Homepage: http://sourceforge.net/projects/va-ctcs/ Priority: optional Section: misc Filename: pool/main/c/ctcs/ctcs_1.3.1~pre1-1_armhf.deb Size: 85146 SHA256: f5c8fd172e51bffae29d388f7b04b2f3297fc916ffcfa67522bfc757513d330a SHA1: bf332728ff1b49940fb2b724c72ffbbb147fb298 MD5sum: 529c7da51f1bb84df49abdab3e82b3c5 Description: Hardware testing/burnin suite The Cerberus Test Control System is a test suite for use by developers and others to test hardware. It includes a modular test system that allows you to build and integrate your own tests, however it comes with a solid hardware stress-testing configuration. . CAUTION: This package is designed to stress-test hardware components, and as such can lead to crashes, data loss or hardware damage. Do not use this package on machines that you can't afford to lose. Package: ctdb Version: 1.12+git20120201-5 Architecture: armhf Maintainer: Debian Samba Maintainers Installed-Size: 1163 Depends: libc6 (>= 2.13-28), libpopt0 (>= 1.14), lsb-base, iproute, psmisc, tdb-tools, time Recommends: ethtool Suggests: logrotate, lsof, libctdb-dev Homepage: http://ctdb.samba.org/ Priority: extra Section: net Filename: pool/main/c/ctdb/ctdb_1.12+git20120201-5_armhf.deb Size: 537376 SHA256: d15e3839e60581d5dc6e9665a46bd9993e0e3345d34c87c7f53af06f94ed611a SHA1: d4ffac6a3068f3a0d7418251dd883ec17756d109 MD5sum: 621987e75fcc93be90d80d839768eefa Description: clustered database to store temporary data CTDB is a cluster implementation of the TDB database used by Samba and other projects to store temporary data. If an application is already using TDB for temporary data it is very easy to convert that application to be cluster aware and use CTDB instead. . CTDB provides the same types of functions as TDB but in a clustered fashion, providing a TDB-style database that spans multiple physical hosts in a cluster. . Features include: * CTDB provides a TDB that has consistent data and consistent locking across all nodes in a cluster. * CTDB is very fast. * In case of node failures, CTDB will automatically recover and repair all TDB databases that it manages. * CTDB is the core component that provides pCIFS ("parallel CIFS") with Samba3/4. * CTDB provides HA features such as node monitoring, node failover, and IP takeover. * CTDB provides a reliable messaging transport to allow applications linked with CTDB to communicate to other instances of the application running on different nodes in the cluster. * CTDB has pluggable transport backends. Currently implemented backends are TCP and Infiniband. * CTDB supports a system of application specific management scripts, allowing applications that depend on network or filesystem resources to be managed in a highly available manner on a cluster. Package: ctdb-dbg Source: ctdb Version: 1.12+git20120201-5 Architecture: armhf Maintainer: Debian Samba Maintainers Installed-Size: 4837 Depends: ctdb (= 1.12+git20120201-5), libc6 (>= 2.13-28), libpopt0 (>= 1.14) Homepage: http://ctdb.samba.org/ Priority: extra Section: debug Filename: pool/main/c/ctdb/ctdb-dbg_1.12+git20120201-5_armhf.deb Size: 3395738 SHA256: 1a9c99f80c972922d5123669729a2fec569303bd83242f7b85b23948fe8f42d8 SHA1: 1c8eb81461b79e9fdc36afa28499d1e7cf633450 MD5sum: 17da0ae6a276da4893666c3fe783de29 Description: clustered database to store temporary data -- debugging symbols and test suite CTDB is a cluster implementation of the TDB database used by Samba and other projects to store temporary data. If an application is already using TDB for temporary data it is very easy to convert that application to be cluster aware and use CTDB instead. . More detailed description can be found in the ctdb package. . This package provides debugging information and test suite for CTDB. Install it to get a better backtrace in the event of a crash, or to test. Package: cthumb Version: 4.2-3 Installed-Size: 613 Maintainer: Michael Banck Architecture: all Depends: perl, libwww-perl, libjpeg-progs, netpbm Suggests: imagemagick Size: 327420 SHA256: 86092b564e059d4457258a3c082f2e2f7e6be374f572d775779ae2e56ae5976f SHA1: 8aa9f20bad3f11a003686940005537647bd1eb6d MD5sum: e191e70b880cd0b548375f329015d49d Description: A program to generate themable Web picture albums cthumb allows you to create themable web picture albums, i.e. collections of digital pictures, with small thumbnails of your pictures and with captions. In addition, it optionally allows you to have several views of the collection of pictures. An album is composed of a series of pages, each composed of a collection of pictures. For each page (and each picture), you can have several annotations per picture. cthumb will generate several versions of the page, for each annotation type. . You can customize almost everything in the way the albums look on the screen, from the size of the thumbnails to the background and foreground colors, the border colors, whether you want film-strips, etc. Tag: implemented-in::perl, interface::commandline, role::program, scope::application, works-with-format::html, works-with::image, works-with::image:raster, works-with::text Section: web Priority: optional Filename: pool/main/c/cthumb/cthumb_4.2-3_all.deb Package: ctioga Version: 1.10-1 Installed-Size: 800 Maintainer: Vincent Fourmond Architecture: all Depends: ruby, libtioga-ruby (>= 1.9) Suggests: mdbtools, imagemagick Size: 164744 SHA256: ebc57f25c822f2b73bfa090f3196c60ba15f72357b0487a223be226f22f41923 SHA1: 5551dd816297308484111272829ccc30a8d1c152 MD5sum: 0790cf497ec0e0e10c7be645aeba5387 Description: command-line plotting utility ctioga is a command-line utility to plot scientific data. It produces high quality PDF files with text processed with pdflatex. It is based on the Tioga library, written by one of the creators of the postscript language. . Among other advantages, lets name a few: - it is very easy to do simple things (plotting a simple text file doesn't take more than a second or two) - output can be configured to a very deep level - it features a plugin backend system that takes care of reading data files, it is very easy to enhance existing backends or add new file formats (such as binary ones). - the text on the graph is much better than in most other plotting programs as it is handled by LaTeX - it features many fancy effects, such as filled curves, transparency, the ability to make insets (zooms, for instance), nice histograms, and many other interesting things ! . mdbtools is required for the MDB backend, but most of the users probably won't need this particular backend, so you can ignore it. . imagemagick is necessary for PNG output Homepage: http://sciyag.rubyforge.org/ctioga/ Tag: implemented-in::ruby, interface::commandline, role::program, use::viewing Section: graphics Priority: optional Filename: pool/main/c/ctioga/ctioga_1.10-1_all.deb Package: ctioga2 Version: 0.2-4 Installed-Size: 560 Maintainer: Vincent Fourmond Architecture: all Depends: ruby-tioga (>= 1.13), ruby | ruby-interpreter Size: 145638 SHA256: f7d49a301f1b1c94b5085f8959cd0bf58712f02166cdf87b06d696abc1bb4ce9 SHA1: 9602cee17a6d0efe928c5e05e45b1371ae936140 MD5sum: 5a5c0470919605221b08e972246053e2 Description: polymorphic plotting program ctioga2 is a plotting program in the spirit of gnuplot. It can be used either directly on command-line or writing command files (or a mix of both). It produces publication-quality PDF files. It is based on the Tioga plotting library. . ctioga2 is a full rewrite of ctioga and is meant to replace it completely in a not-so-distant future. Homepage: http://ctioga2.rubyforge.org Ruby-Versions: ruby1.8 ruby1.9.1 Tag: role::program Section: graphics Priority: optional Filename: pool/main/c/ctioga2/ctioga2_0.2-4_all.deb Package: ctn Version: 3.0.6-13 Architecture: armhf Maintainer: Debian-Med Packaging Team Installed-Size: 18322 Depends: libc6 (>= 2.13-28), libmysqlclient16 (>= 5.1.50-1), libx11-6, libxaw7, libxext6, libxmu6, libxt6, zlib1g (>= 1:1.1.4) Suggests: ctn-doc Homepage: http://erl.wustl.edu/research/dicom/ctn.html Priority: extra Section: graphics Filename: pool/main/c/ctn/ctn_3.0.6-13_armhf.deb Size: 4809294 SHA256: da9829f12449533ad1caae0fc808a69a76f7fcfc61b4a3e3f2e60122603ac1d5 SHA1: f0e543c6d19277b918b196d420047cc6ce6c72a8 MD5sum: b409079759c47995ef7621554ec54af6 Description: Central Test Node, a DICOM implementation for medical imaging DICOM is the standard for image storage, annotation, and networking. It is used widely for medical imaging. The Central Test Node software (CTN) provides an implementation of this standard. . This package includes the binary and run-time configuration files for CTN. Package: ctn-dev Source: ctn Version: 3.0.6-13 Architecture: armhf Maintainer: Debian-Med Packaging Team Installed-Size: 1777 Depends: ctn (= 3.0.6-13) Suggests: ctn-doc Homepage: http://erl.wustl.edu/research/dicom/ctn.html Priority: extra Section: devel Filename: pool/main/c/ctn/ctn-dev_3.0.6-13_armhf.deb Size: 403456 SHA256: c50c721d43bf9dcb9db247443c1de3c9b1ce5eae3c1cade92b5adf31dd85c59a SHA1: 919ffde608c04b1c240d143408e91626336b1230 MD5sum: 1a4f8f0b7eb8387b3440aa154ae13648 Description: Development files for Central Test Node, a DICOM implementation DICOM is the standard for image storage, annotation, and networking. It is used widely for medical imaging. . This package includes the header files and static library used for creating programs that use the CTN library. Package: ctn-doc Version: 3.0.6-3 Installed-Size: 2440 Maintainer: Debian-Med Packaging Team Architecture: all Suggests: ctn Size: 1159590 SHA256: cff9037bba81480b7f30187f86759ce31f3c9e874836c0d28cafc337205f33db SHA1: f7a1163934c62222a521144177d05f24cc5872b7 MD5sum: 20f5f3817c1247d31b2fadfbba200272 Description: Documentation for Central Test Node, a DICOM implementation DICOM is the standard for image storage, annotation, and networking. It is used widely for medical imaging. . This package includes the documentation files for the CTN library. Homepage: http://erl.wustl.edu/research/dicom/ctn.html Tag: field::medicine:imaging, made-of::pdf, role::documentation Section: doc Priority: extra Filename: pool/main/c/ctn-doc/ctn-doc_3.0.6-3_all.deb Package: ctorrent Version: 1.3.4.dnh3.3.2-4 Architecture: armhf Maintainer: Andrea Veri Installed-Size: 231 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.rahul.net/dholmes/ctorrent Priority: extra Section: net Filename: pool/main/c/ctorrent/ctorrent_1.3.4.dnh3.3.2-4_armhf.deb Size: 120200 SHA256: 019f4b14faa25a72a76a5c2c58c1da7de8e97ef7f76fa8ffb40ef2b022752d5c SHA1: e04ca1d2e2f982cbd93f832be9e6b144c311a462 MD5sum: c7dc9b2a4580895dc2b59e595d8bcaba Description: BitTorrent Client written in C++ This application is written in the C++ language and doesn't require any graphical component, such as an X server. Original ctorrent's upstream has stopped its development and now it's kept updated with new releases/bug fixes by a new developer. It's built as a console program and it can be even used remotely in a machine that provides outside ssh access. Other main features are: . * Support for large files (>2GB) and large torrents (>255 files). * Strategic selection of pieces to request for download. * Continuous queueing of download requests, tuned based on latency and throughput for each peer. * Improved download performance, including parallel requests in initial and endgame modes. * Improved bandwidth regulation. * Improved compatibility with other peers. * Performance optimization and bug fixes. * An interface for monitoring and managing multiple clients. * Dynamic cache allocation and management, including prefetch. . More information about the original but discontinued ctorrent's project can be found by browsing the following website: http://ctorrent.sourceforge.net Package: ctpl Version: 0.3.3.dfsg-2 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 53 Depends: libc6 (>= 2.13-28), libctpl2 (= 0.3.3.dfsg-2), libglib2.0-0 (>= 2.24.0) Homepage: http://ctpl.tuxfamily.org/ Priority: optional Section: utils Filename: pool/main/c/ctpl/ctpl_0.3.3.dfsg-2_armhf.deb Size: 13502 SHA256: 146600c88d9896e2a5e9aa592b3c00d0042ad21e23b9bb7019fb208d7cfdd85d SHA1: d61109e77cb9971d76373e32d596dc017a3e2c9f MD5sum: ce8998c8d38d9fdce68d6c5207d0708a Description: command-line template parsing utility CTPL is a C template engine library allowing fast and easy computation of templates with precise control over the parsing environment. . CTPL supports a wide range of input types through GIO like in-memory, files, FTP, HTTP and more. . This package contains a standalone command-line utility to parse CTPL templates. Package: ctsim Version: 5.2.0-1.1 Architecture: armhf Maintainer: Kevin M. Rosenberg Installed-Size: 1550 Depends: libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), zlib1g (>= 1:1.1.4), ctsim-help Recommends: ctsim-doc Conflicts: ctsim Homepage: http://www.ctsim.org Priority: extra Section: science Filename: pool/main/c/ctsim/ctsim_5.2.0-1.1_armhf.deb Size: 557818 SHA256: 9ae26c2d705234c3ba19ef12c884d1cec97f5e1da56625d989f4c649c327aa59 SHA1: a1288ec360c58ccdaa670f36327be07d8d46d5f1 MD5sum: 2b036a702604a22c5fc079cf2ce8ead3 Description: Computed tomography simulator CTSim provides an interactive computed tomography simulator. Computed tomography is the technique of estimating the interior of an object by measuring x-ray absorption through that object. . CTSim has both command-line tools and a graphical user interface. CTSim has very educational trace modes for viewing the data collection simulation as well as the reconstruction. Package: ctsim-doc Source: ctsim Version: 5.2.0-1.1 Installed-Size: 488 Maintainer: Kevin M. Rosenberg Architecture: all Recommends: ctsim Size: 358776 SHA256: fbcf0202dcb25e32f1e2e2fc5b86effe40d5ef3e2c8287960eb10060b29d0840 SHA1: 83c08e03b4bc1c9575394f25e26be73a430cabb7 MD5sum: 2ac2e729e19394fd3a228d737ae7d8ea Description: Documentation for ctsim package This package provides HTML and PDF documentation files for the ctsim package. CTSim is a graphical computed tomography simulator. This documentation file is included in a separate package so it will not have to be include in the binary ctsim package for every architecture. Homepage: http://www.ctsim.org Tag: field::biology, interface::3d, made-of::html, made-of::pdf, role::documentation, uitoolkit::wxwidgets, use::viewing, works-with::3dmodel, x11::application Section: doc Priority: extra Filename: pool/main/c/ctsim/ctsim-doc_5.2.0-1.1_all.deb Package: ctsim-help Source: ctsim Version: 5.2.0-1.1 Installed-Size: 176 Maintainer: Kevin M. Rosenberg Architecture: all Replaces: ctsim (<< 3.5.3) Size: 138472 SHA256: 37a719d6e235250e50802788703b6f6fe4c9c851a8b999b2de9d87eda3c07e06 SHA1: daba3c5b4c80f72bad7dcb7ed64061a7401926d9 MD5sum: d5a39824eaf4ea1334313e43f4db6257 Description: Online help file for CTSim This package provides the online help file for the ctsim package. CTSim is a graphical computed tomography simulator. This help file is included in a separate package so it will not have to be include in the binary ctsim package for every architecture. Homepage: http://www.ctsim.org Tag: field::biology, interface::3d, role::documentation, uitoolkit::wxwidgets, use::viewing, works-with::3dmodel, x11::application Section: science Priority: extra Filename: pool/main/c/ctsim/ctsim-help_5.2.0-1.1_all.deb Package: ctwm Version: 3.7-3.3 Architecture: armhf Maintainer: Branden Robinson Installed-Size: 1622 Pre-Depends: x11-common (>= 1:7.0.0) Depends: m4, libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), librplay3 (>= 3.3.2-2), libsm6, libx11-6, libxext6, libxmu6, libxpm4, libxt6 Provides: x-window-manager Priority: optional Section: x11 Filename: pool/main/c/ctwm/ctwm_3.7-3.3_armhf.deb Size: 524476 SHA256: 7e96cc2c1d767a2d0a6e0500c54cd40c12367dbb4f8cad78842144a0e681c093 SHA1: 1eebfc003cc4f00a6e2df2c30b18ed7d5c0356a5 MD5sum: 81fd274ec15a83e820602bb613f6297b Description: Claude's Tab window manager ctwm is Claude Lecommandeur's extension to twm; in addition to the features of twm, it supports multiple virtual screens, the pixmap file format, pinnable ("sticky") menus, and other enhancements. Package: cu Source: uucp Version: 1.07-20 Architecture: armhf Maintainer: Richard A Nelson (Rick) Installed-Size: 241 Depends: libc6 (>= 2.4) Conflicts: uucp (<< 1.06.1+1.07beta1-patch2-1) Replaces: uucp (<< 1.06.1+1.07beta1-patch2-1) Priority: extra Section: comm Filename: pool/main/u/uucp/cu_1.07-20_armhf.deb Size: 141710 SHA256: 39b98106f447647482943af82b0c5f33bcf7d6e66f3fad45bb01bba296720dac SHA1: dce0f83ea78dbb764fc8b2cdbdacb1cc4649ec6b MD5sum: 5d5e8f3f4db96e464c42f61fbaa02065 Description: call up another system The cu command is used to call up another system and act as a dial in terminal. It can also do simple file transfers with no error checking. . cu is part of the UUCP source but has been split into its own package because it can be useful even if you do not do uucp. Package: cuba-partview Source: cuba Version: 3.0+20111124-2 Architecture: armhf Maintainer: Igor Pashev Installed-Size: 82 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.6), libqtgui4 (>= 4:4.6), libstdc++6 (>= 4.6) Homepage: http://www.feynarts.de/cuba/ Priority: optional Section: math Filename: pool/main/c/cuba/cuba-partview_3.0+20111124-2_armhf.deb Size: 23140 SHA256: 19c8fffb6dc9b246e0cec16cc81f1fa98ece7ec9284ec3f98779121b2d6dcaf1 SHA1: 2241e8055ffb4018300e9f0f710c6c42523b516e MD5sum: aa7123520ae5496fafca40b307754230 Description: partition viewer for the Cuba library Partview reads Cuba's verbose output from stdin and displays the specified planes of the tessellation on screen. Each pair of dimensions is shown in a separate tab. . The Cuba library offers a choice of four independent routines for multidimensional numerical integration: Vegas, Suave, Divonne, and Cuhre. They work by very different methods, first three are Monte Carlo based. All four have a C/C++, Fortran interface and can integrate vector integrands. Their invocation is very similar, so it is easy to substitute one method by another for cross-checking. For further safeguarding, the output is supplemented by a chi-square probability which quantifies the reliability of the error estimate. Package: cube2font Version: 1.2-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 54 Pre-Depends: dpkg (>= 1.15.6~) Depends: libc6 (>= 2.4), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4) Homepage: http://www.redeclipse.net Priority: optional Section: graphics Filename: pool/main/c/cube2font/cube2font_1.2-2_armhf.deb Size: 10708 SHA256: fbaae605925b0e7bfde9b11134079e1663dd0f8d1f204e1bdbf07ef73c9ce369 SHA1: 8f00b9343613a33b780dd7f4b8a03a303131af5b MD5sum: 84a264ac03b68dc4ba962d7e0564d1ff Description: utility program for creating font bitmaps for Cube Engine games cube2font is a utility program designed to create font bitmaps for Cube Engine games, it works by taking a Truetype font and building it into a set of coordinates in an image. cube2font is an improved version of the previous TTF2Font, supporting a much larger range of characters. Package: cube2font-dbg Source: cube2font Version: 1.2-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 83 Pre-Depends: dpkg (>= 1.15.6~) Depends: cube2font (= 1.2-2) Homepage: http://www.redeclipse.net Priority: extra Section: debug Filename: pool/main/c/cube2font/cube2font-dbg_1.2-2_armhf.deb Size: 18110 SHA256: c8ff7341054969313c86f119d41374984d38e3b40da27261f9abf89ec308177f SHA1: 8176d5040a9ab83accc7eb02194bd27b70e44d3d MD5sum: 88bb61d0d338ec47f43f7c33d604df98 Description: debugging symbols for cube2font This package contains the debugging symbols for the font bitmap creation tool cube2font. Package: cucumber Version: 1.0.2-2 Installed-Size: 447 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby | ruby-interpreter, ruby-json, ruby-diff-lcs, ruby-gherkin, ruby-term-ansicolor, ruby-builder Suggests: ruby-prawn, ruby-nokogiri, rake Size: 101714 SHA256: aa4dcb3adc7e67d5d69f856d22389c37c076dd0d43695822fb3121bcb3b84a4d SHA1: d63b4e5357bf2a1028ce5403e1226fa1b985c1b0 MD5sum: 3f6f9bbd069f811be3e5737928ae9ff1 Description: acceptance testing framework Cucumber lets software development teams describe how software should behave in plain text. The text is written in a business-readable domain-specific language and serves as documentation, automated tests and development-aid - all rolled into one format. . Cucumber can generate HTML and PDF reports of the features it runs, for what packages ruby-nokogiri and ruby-prawn, respectively, must be installed. Homepage: http://cukes.info/ Ruby-Versions: ruby1.8 ruby1.9.1 Section: ruby Priority: optional Filename: pool/main/c/cucumber/cucumber_1.0.2-2_all.deb Package: cudf-tools Source: cudf Version: 0.6.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 276 Homepage: http://www.mancoosi.org/cudf/ Priority: optional Section: devel Filename: pool/main/c/cudf/cudf-tools_0.6.2-1_armhf.deb Size: 54734 SHA256: 2b9fd95043e235fd48161fe13d71a91273f1b76cc57ac9bbfdd80641ac7a5087 SHA1: ad28068d4f96460caf42806ca3cab3e8322c5462 MD5sum: 337f55d9de4561a652a7810520a6afb4 Description: command line tools for package upgrade problem descriptions A CUDF document describe an upgrade problem, as faced by package managers in popular package-based GNU/Linux distributions. . A CUDF document consists of a package universe and of a user request. The package universe describes all packages known to the package manager, a subset of which (the package status) denotes the package being currently installed on the target machine. The user request denotes a change to the package status as requested by the user. . A CUDF document is naturally complemented by a solution describing the resulting package status (if any) implementing the user request, as found by a package manager. . This package contains command line tools to manipulate CUDF and related documents. In particular it contains cudf-check, which enables checking of document properties such as installation consistency and matching of problems with their solutions. Package: cue2toc Version: 0.4-5 Architecture: armhf Maintainer: Asheesh Laroia Installed-Size: 70 Depends: libc6 (>= 2.4) Homepage: http://cue2toc.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/c/cue2toc/cue2toc_0.4-5_armhf.deb Size: 20842 SHA256: 345ff50a7fd089da58e1b4bddfe79d55184a783546ceb1f260ea2298eb5d7889 SHA1: 095e9f88cb2e001606aff6a6e5c292d09dc4130b MD5sum: a2ec82be49fc6dc4b744cb19dd35a72e Description: converts CUE files to cdrdao's TOC format CUE files are text files describing the layout of a CD-ROM and typically carry the extension ".cue". cdrdao and cdrecord, the two CD recording programs for Linux systems, cannot read these files. This program converts CUE files into the TOC format that cdrdao can understand. . It also features automatic data format conversion (e.g., MP3 to WAV) before burning; the exact action taken is determined by the file extensions and the user's configuration. Package: cuetools Version: 1.3.1-12 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 215 Depends: libc6 (>= 2.4), id3v2 Recommends: flac Enhances: shntool Homepage: http://developer.berlios.de/projects/cuetools Priority: extra Section: utils Filename: pool/main/c/cuetools/cuetools_1.3.1-12_armhf.deb Size: 86522 SHA256: a00ad2423233bbff7f5f67d6e6ef1a05596af2346186b61992d7282f19d047f3 SHA1: 4926410cfdf517472002dba781b3d1d396f0f0f3 MD5sum: 7ff5ac1c194feae70aba29d041d20516 Description: tools for manipulating CUE/TOC files cuetools is a set of programs that are useful for manipulating CUE sheet (cue) files and Table of Contents (toc) files. CUE and TOC files are a way to represent the layout of a data or audio CD in a machine-readable ASCII format. The package includes these utilities: . - cueconvert: convert between CUE and TOC formats - cuebreakpoints: print the breakpoints from a CUE or TOC file - cueprint: print disc and track information for a CUE or TOC file - cuetag: tag files based on CUE/TOC file information . Probably the most popular use is to split a large audio file into many small files according to a CUE or TOC, for example: . cuebreakpoints disc.cue | shnsplit disc.wav Package: culmus Version: 0.121-1 Installed-Size: 2007 Maintainer: Debian Hebrew Packaging Team Architecture: all Depends: xfonts-utils Size: 1022390 SHA256: 79db344e2930c67c823614db7c73ee9bd00bd4c863f819da1e410ddbaae094a6 SHA1: d29135d689ac037c50c088a6c8d084ce68762e17 MD5sum: 6f40df43ccf5277aab434aa0fb0048e6 Description: TrueType and Type1 Hebrew Fonts for X11 14 Hebrew font families. Contain ASCII glyphs from various sources. . Those families provide a basic set of a serif (Frank Ruehl), sans serif (Nachlieli) and monospaced (Miriam Mono) fonts. Also included Miriam, Drugulin, Aharoni, David, Hadasim etc. Cantillation marks support is available in Keter YG. Homepage: http://culmus.sourceforge.net/ Tag: culture::hebrew, made-of::font, role::app-data, x11::font Section: fonts Priority: optional Filename: pool/main/c/culmus/culmus_0.121-1_all.deb Package: culmus-fancy Version: 0.0.20051018-3 Installed-Size: 548 Maintainer: Debian Hebrew Packaging Team Architecture: all Depends: xfonts-utils Size: 238044 SHA256: 92f7f32ccb6214de8edcd95daf360a81f54797989dfcadf09dc7595148315bea SHA1: 8df42e0ef049a7048871751cf49ce143c2445722 MD5sum: 833d80cce6745368d0e64fc9b85d516f Description: Type1 Fancy Hebrew Fonts for X11 Several Fancy Hebrew fonts: Anka, ComixNo2, Gan, Ozrad, Ktav Yad, Dorian and Gladia. Homepage: http://culmus.sourceforge.net/ Tag: culture::hebrew, made-of::font, role::app-data, x11::font Section: fonts Priority: optional Filename: pool/main/c/culmus-fancy/culmus-fancy_0.0.20051018-3_all.deb Package: cultivation Version: 9+dfsg1-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 692 Depends: freeglut3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libpng12-0 (>= 1.2.13-4), libportaudio0, libstdc++6 (>= 4.4.0) Homepage: http://cultivation.sourceforge.net/ Priority: extra Section: games Filename: pool/main/c/cultivation/cultivation_9+dfsg1-1_armhf.deb Size: 177280 SHA256: 0dd5d2fff7399af3e8b5214dc95c90d50fc2deb5891270ae56226e8e3d37f8b6 SHA1: 60e176a0208fc8ab2b1e199d6b3a72cc56b15904 MD5sum: 3d4a4962eb2162d018c718920669e99a Description: game about the interactions within a gardening community Cultivation is a game about a community of gardeners growing food for themselves in a shared space. . Cultivation is quite different from most other games. It is a social simulation, and the primary form of conflict is over land and plant resources. There is no shooting, but there are plenty of angry looks. It is also an evolution simulation. Within the world of Cultivation, you can explore a virtually infinite spectrum of different plant and gardener varieties. Package: cup Version: 0.11a+20060608-3 Installed-Size: 236 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java1-runtime-headless | java2-runtime-headless Suggests: java-compiler Size: 120448 SHA256: 94bf5bdbd5debc5e39c2d82e0dddd502dcce80ffe84b8fcf5bbab967ef23658b SHA1: 207fafdf1e1668b5093db002fd7d3287a948ba85 MD5sum: 31278a7b17042f88f6d77beb3b436ed4 Description: LALR parser generator for Java(tm) CUP is the "Constructor of Useful Parsers", a system for generating parsers from simple LALR specifications. It serves the same role as the widely used program YACC and in fact offers most of the features of YACC. However, CUP is written in Java, uses specifications including embedded Java code, and produces parsers which are implemented in Java. Homepage: http://www2.cs.tum.edu/projects/cup/ Tag: devel::code-generator, devel::lang:java, interface::commandline, role::program, scope::utility, works-with::software:source Section: java Priority: optional Filename: pool/main/c/cup/cup_0.11a+20060608-3_all.deb Package: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 3803 Pre-Depends: dpkg (>= 1.15.7.2) Depends: libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), libcups2 (= 1.5.3-5+deb7u7), libcupscgi1 (>= 1.4.2), libcupsimage2 (>= 1.4.0), libcupsmime1 (>= 1.5.0), libcupsppdc1 (>= 1.4.0), libdbus-1-3 (>= 1.0.2), libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0), libgssapi-krb5-2 (>= 1.8+dfsg), libkrb5-3 (>= 1.6.dfsg.2), libldap-2.4-2 (>= 2.4.7), libpam0g (>= 0.99.7.1), libpaper1, libslp1, libstdc++6 (>= 4.3.0), libusb-1.0-0 (>= 2:1.0.8), debconf (>= 1.2.9) | debconf-2.0, libc-bin (>= 2.13), poppler-utils (>= 0.12), procps, ghostscript (>= 9.02~), lsb-base (>= 3), cups-common (>= 1.5.3), cups-client (>= 1.5.3-5+deb7u7), ssl-cert (>= 1.0.11), adduser, bc, cups-ppdc, cups-filters Recommends: avahi-daemon, colord, foomatic-filters (>= 4.0), printer-driver-gutenprint, ghostscript-cups (>= 9.02~) Suggests: cups-bsd, foomatic-db-compressed-ppds | foomatic-db, printer-driver-hpcups, hplip, cups-pdf, udev, smbclient Breaks: cupsddk-drivers (<< 1.4.0), foomatic-filters (<< 4.0), ghostscript-cups (<< 9.02~) Replaces: cupsddk-drivers (<< 1.4.0), ghostscript-cups (<< 9.02~) Provides: cupsddk-drivers Multi-Arch: foreign Homepage: http://www.cups.org Priority: optional Section: net Filename: pool/main/c/cups/cups_1.5.3-5+deb7u7_armhf.deb Size: 1388186 SHA256: 47441d6efcd09f90ab116a9d2e7ce96cf02f812e508fb1c5e939bbaf891d23d3 SHA1: f95c0b08a3f248d62518959d07a6b10d0b973148 MD5sum: 6b6eac5ead97ef0d1ff63624e218399b Description: Common UNIX Printing System(tm) - server The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides the CUPS scheduler/daemon and related files. Package: cups-bsd Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 80 Depends: libc6 (>= 2.13-28), libcups2 (>= 1.4.0), debconf (>= 0.5) | debconf-2.0, cups-client (= 1.5.3-5+deb7u7), update-inetd, cups-common Suggests: cups Conflicts: lpr, lprng Replaces: lpr Provides: lpr Multi-Arch: foreign Homepage: http://www.cups.org Priority: extra Section: net Filename: pool/main/c/cups/cups-bsd_1.5.3-5+deb7u7_armhf.deb Size: 44178 SHA256: f24d3077357693502d26c2c6da9aa23f6086b7bb3bf25609ff83b2ee130f4191 SHA1: 920b32ef861d2f70688cd16e4567ec47ff88d728 MD5sum: ffa72441a301f132a049072d37b22c68 Description: Common UNIX Printing System(tm) - BSD commands The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpr, lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides the BSD commands for interacting with CUPS. It is provided separately to allow CUPS to coexist with other printing systems (to a small degree). Package: cups-client Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 442 Depends: libc6 (>= 2.13-28), libcups2 (= 1.5.3-5+deb7u7), libcupsimage2 (>= 1.4.0), cups-common (>= 1.5.3), adduser Recommends: smbclient Suggests: cups, xpp, cups-bsd Conflicts: lprng Multi-Arch: foreign Homepage: http://www.cups.org Priority: optional Section: net Filename: pool/main/c/cups/cups-client_1.5.3-5+deb7u7_armhf.deb Size: 174192 SHA256: 1e79072b5dede8b2877117b8b798fdd35ba3e936b1be09e4a880b685b9d0d5e2 SHA1: 119f6deb00abf1375d0ebd75c5f29502ca999ce5 MD5sum: 666c53e0dea92d8eb4021b33be225869 Description: Common UNIX Printing System(tm) - client programs (SysV) The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides the System V style print client programs. Package: cups-common Source: cups Version: 1.5.3-5+deb7u7 Installed-Size: 4354 Maintainer: Debian Printing Team Architecture: all Size: 904348 SHA256: 7b1585ce6c994d3faf14fe9cbc28d59472367f6dee3498e110bd769a0a922d54 SHA1: 81f169999cb3958fb64a2f1a82d7fbcfa33f54a9 MD5sum: 0d48f24144ff25abf7250f7d4c2382b7 Description: Common UNIX Printing System(tm) - common files The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides common files for CUPS server and client packages. Homepage: http://www.cups.org Multi-Arch: foreign Section: net Priority: optional Filename: pool/main/c/cups/cups-common_1.5.3-5+deb7u7_all.deb Package: cups-dbg Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 1965 Depends: cups (= 1.5.3-5+deb7u7) Homepage: http://www.cups.org Priority: extra Section: debug Filename: pool/main/c/cups/cups-dbg_1.5.3-5+deb7u7_armhf.deb Size: 1603698 SHA256: 08eb164b3cf04dd28377c7aab21848fb18e3a07ec1d07ff32270e69aa5e01690 SHA1: 5ef9ddae2187774fb360a98526cdb0f41079b9e9 MD5sum: 9d905e2af9debe5cf102e9e1af5d0c50 Description: Common UNIX Printing System(tm) - debugging symbols The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides the debugging symbols of CUPS. Package: cups-driver-gutenprint Source: gutenprint Version: 5.2.9-1 Installed-Size: 21 Maintainer: Debian Printing Group Architecture: all Depends: printer-driver-gutenprint Size: 1288 SHA256: 208d9f24e5009edf0889fe201e0bc33ee7c64c588bcd0216297043c33ec0896a SHA1: ac2cb67877e7502be385f6dc44820033e0369c06 MD5sum: 44d7114c9c4cbd322fb7bc6984925b4c Description: transitional dummy package for gutenprint printer driver This is a transitional package to install the CUPS driver based on Gutenprint, which has been renamed to printer-driver-gutenprint. . Gutenprint is the print facility for the GIMP, and in addition a suite of drivers that may be used with common UNIX spooling systems using GhostScript or CUPS. These drivers provide printing quality for UNIX/Linux on a par with proprietary vendor-supplied drivers in many cases, and can be used for many of the most demanding printing tasks. Gutenprint was formerly known as Gimp-Print. Tag: hardware::printer, implemented-in::c, implemented-in::perl, role::app-data, use::driver, use::printing Section: oldlibs Priority: extra Filename: pool/main/g/gutenprint/cups-driver-gutenprint_5.2.9-1_all.deb Package: cups-filters Version: 1.0.18-2.1+deb7u2 Architecture: armhf Maintainer: Debian CUPS Maintainers Installed-Size: 749 Depends: libc6 (>= 2.13-28), libcups2 (>= 1.4.0), libcupsfilters1 (>= 1.0~b1), libcupsimage2 (>= 1.4.0), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libijs-0.35 (>= 0.35), liblcms2-2, libpoppler19 (>= 0.18.4), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), ghostscript (>= 9.02~), ttf-freefont | fonts-liberation | ttf-dejavu Recommends: colord, foomatic-filters (>= 4.0), ghostscript-cups (>= 9.02~) Suggests: foomatic-db-compressed-ppds | foomatic-db Breaks: cups (<< 1.5.0-16), cups-common (<< 1.5.0-16), ghostscript-cups (<< 9.02~) Replaces: cups (<< 1.5.0-16), cups-common (<< 1.5.0-16), ghostscript-cups (<< 9.02~) Homepage: http://www.openprinting.org/ Priority: optional Section: net Filename: pool/main/c/cups-filters/cups-filters_1.0.18-2.1+deb7u2_armhf.deb Size: 360172 SHA256: 30c9cdd3e626fd099e377333afc8fda8424c0969e69ff040c33b7350dbbbb49d SHA1: 4f887a7cdb19e245e01ef76acd43a44e6567cd2f MD5sum: 442c671d32a95d32c35c783df09cd9ab Description: OpenPrinting CUPS Filters This package provides additional CUPS filters which are not provided by the CUPS project itself. This includes filters for a PDF based printing workflow. Package: cups-pdf Version: 2.6.1-6 Architecture: armhf Maintainer: Debian CUPS Maintainers Installed-Size: 216 Depends: cups, cups-client, ghostscript, libpaper-utils, libc6 (>= 2.7) Suggests: system-config-printer-gnome | system-config-printer-kde | system-config-printer Homepage: http://www.cups-pdf.de Priority: optional Section: graphics Filename: pool/main/c/cups-pdf/cups-pdf_2.6.1-6_armhf.deb Size: 48574 SHA256: b0e96d63fcef87d5ec96368b266d3823029c143de527b94d69e5542f7c076e3b SHA1: 741382dc4328fca35e095b0d2eeba2bcb5fb8e1f MD5sum: 8c66f42bfc2ad744966f464d7583895c Description: PDF writer backend for CUPS CUPS-PDF provides a PDF Writer backend to CUPS. This can be used as a virtual printer in a paperless network or to perform testing on CUPS. . Documents are written to a configurable directory (by default to ~/PDF) or can be further manipulated by a post-processing command. Package: cups-pk-helper Version: 0.2.3-3 Architecture: armhf Maintainer: Guido Günther Installed-Size: 479 Depends: libc6 (>= 2.13-28), libcups2 (>= 1.4.0), libglib2.0-0 (>= 2.31.8), libpolkit-gobject-1-0 (>= 0.99) Homepage: http://www.freedesktop.org/wiki/Software/cups-pk-helper Priority: extra Section: gnome Filename: pool/main/c/cups-pk-helper/cups-pk-helper_0.2.3-3_armhf.deb Size: 74462 SHA256: 9436a9f8a9bb989321c64d101f6f96f4c5909be144e645aac6dc4cd52fbd3529 SHA1: 651ec71ea29b852e88a9523fe36fb1a39ae87328 MD5sum: 40c603f3a07735a331d70f0f54f20d83 Description: PolicyKit helper to configure cups with fine-grained privileges This package provides a PolicyKit helper to configure cups with fine-grained privileges. For example, it's possible to let users enable/disable printers without requiring a password, while still requiring a password for editing printer settings. Package: cups-ppdc Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 170 Depends: libc6 (>= 2.13-28), libcups2 (>= 1.4.0), libcupsppdc1 (>= 1.4.0), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), cups-common Breaks: cupsddk (<< 1.4.0) Replaces: cupsddk (<< 1.4.0) Provides: cupsddk Multi-Arch: foreign Homepage: http://www.cups.org Priority: optional Section: utils Filename: pool/main/c/cups/cups-ppdc_1.5.3-5+deb7u7_armhf.deb Size: 116176 SHA256: 370074f6164f5ec9c8149fe1a6d46c472ea13872f6e93b4ce91232b4de2011c5 SHA1: d942820cbb111aa7d0a620e304a235a9b6a3fae6 MD5sum: e278bd258ecd543e727592319270eaa4 Description: Common UNIX Printing System(tm) - PPD manipulation utilities The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides utilities to generate and manipulate PPD files. Package: cupsddk Source: cups Version: 1.5.3-5+deb7u7 Installed-Size: 110 Maintainer: Debian Printing Team Architecture: all Depends: cups-ppdc Size: 87546 SHA256: c73629d1b854de8c47131aecf040ec3f94edaa8f261313711eea925d34e03dd6 SHA1: a2a2a8d2a6bd5979f172a6e840e355d44e02e692 MD5sum: 702aabd3fda95df34ce771ab28e41d85 Description: Common UNIX Printing System (transitional package) This is a dummy package to ease transition to new package name. Homepage: http://www.cups.org Section: text Priority: optional Filename: pool/main/c/cups/cupsddk_1.5.3-5+deb7u7_all.deb Package: cupt Version: 2.5.9 Architecture: armhf Maintainer: Cupt developers Installed-Size: 1021 Depends: libboost-program-options1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcupt2-0 (>= 2.5.9), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Suggests: sensible-utils, libreadline6 Breaks: daptup (<< 0.12.2~) Homepage: http://wiki.debian.org/Cupt Priority: optional Section: admin Filename: pool/main/c/cupt/cupt_2.5.9_armhf.deb Size: 358984 SHA256: 7ce46ff5ecf7842696e017737be0d2dc1612ebaa5071608d7076c677389e4593 SHA1: 972cf191ad76e4d176dc4d7f005ed8b7dd608ee4 MD5sum: e8d6e5c1bc299e51284e9c980fad8f75 Description: alternative front-end for dpkg -- console interface This package provides a console interface to Cupt library, which is a re-implementation of libapt-pkg C++ library. The aim is to produce more strict, more robust, less buggy front-end than APT. . Cupt uses the same APT infrastructure, e.g. index files, deb cache archive files, configuration files. It understands some of widely used APT options. . Some features: - strict full-case resolver; - resolver reasons tracking; - command-line and 'cupt::*' option name checker; - case-sensitive search; - pinning by source package name; - pinning by package groups using shell-like patterns; - configurable 'depends' and 'rdepends' subcommands; - 'satisfy' subcommand; - support of LZMA-compressed indexes; - source versions synchronization; - 'shell' subcommand (to get command history, search etc. working, install the package 'libreadline6'); - integration with debdelta (to get it, install the package 'debdelta'); - system snapshots (needs packages 'dpkg-dev' and 'dpkg-repack'). . Its interface is mostly like apt-get/aptitude console interface. . Cupt has built-in support for APT repositories using the file:// or copy:// URL schemas. For access to remote repositories using HTTP or FTP, install a download method such as libcupt2-0-downloadmethod-curl. Package: curl Version: 7.26.0-1+wheezy25+deb7u1 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 354 Depends: libc6 (>= 2.13-28), libcurl3 (= 7.26.0-1+wheezy25+deb7u1), zlib1g (>= 1:1.1.4) Replaces: curl-ssl Provides: curl-ssl Homepage: http://curl.haxx.se Priority: optional Section: web Filename: pool/main/c/curl/curl_7.26.0-1+wheezy25+deb7u1_armhf.deb Size: 270322 SHA256: d7a4a5fea5518448181697e9e0c0b2171c572ba13b04a972f4125a4cf6204453 SHA1: 2749091559ad7883622034ca3bfbcf4505d5ccda MD5sum: dd2e7b2caf35811039b94c66a7259091 Description: command line tool for transferring data with URL syntax curl is a command line tool for transferring data with URL syntax, supporting DICT, FILE, FTP, FTPS, GOPHER, HTTP, HTTPS, IMAP, IMAPS, LDAP, POP3, POP3S, RTMP, RTSP, SCP, SFTP, SMTP, SMTPS, TELNET and TFTP. . curl supports SSL certificates, HTTP POST, HTTP PUT, FTP uploading, HTTP form based upload, proxies, cookies, user+password authentication (Basic, Digest, NTLM, Negotiate, kerberos...), file transfer resume, proxy tunneling and a busload of other useful tricks. Package: curlftpfs Version: 0.9.2-5 Architecture: armhf Maintainer: Vincent Bernat Installed-Size: 93 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libfuse2 (>= 2.8.1), libglib2.0-0 (>= 2.12.0), fuse Homepage: http://curlftpfs.sourceforge.net Priority: optional Section: utils Filename: pool/main/c/curlftpfs/curlftpfs_0.9.2-5_armhf.deb Size: 32894 SHA256: e85186fd2883d51b6bde2f88f1469979d1939f43745bcee0ea97b5e2b338c7b5 SHA1: ea84be4d889f871fcd74ff5208448a082178a47c MD5sum: 58772890c5137baec8d3e26c502696dc Description: filesystem to access FTP hosts based on FUSE and cURL CurlFtpFS is a tool to mount FTP hosts as local directories. It connects to a FTP server and maps its directory structure to the local filesystem. . Based on FUSE (filesystem in userspace) and the cURL library, CurlFtpFS has some features that distinguish it over other FTP filesystems: * support for SSLv3 and TLSv1 * connecting through tunneling HTTP proxies * automatic reconnection if the server times out * conversion of absolute symlinks to point back into the FTP filesystem Package: curtain Version: 0.1-1 Architecture: armhf Maintainer: Karolina Kalic Installed-Size: 848 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.12.0) Homepage: http://code.google.com/p/ardesia/ Priority: extra Section: misc Filename: pool/main/c/curtain/curtain_0.1-1_armhf.deb Size: 797978 SHA256: 7f3aaf2ffe00ab3fc15426c1b4b169c53315d51fb704f1e9dfcbe9bcb800682b SHA1: 8cfdfbfe1cd8c34864f45df9ab09194c344b0ad3 MD5sum: 54ebf25fdfd3a71ff46a7100b82d44f0 Description: handy curtain for the desktop Curtain is a tool that shows a movable and resizable curtain on the desktop. This is especially useful when making presentations to hide and unhide things. . Curtain is XInput-Aware, so you can use it with a graphic tablet or a whiteboard. . This program has been implemented for educational purposes. Package: curves Version: 0.8.19 Architecture: armhf Maintainer: Marc Singer Installed-Size: 135 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Suggests: cvs Priority: optional Section: devel Filename: pool/main/c/curves/curves_0.8.19_armhf.deb Size: 55650 SHA256: 99060752abf84922a20ef63f4ac127395db6389a250e38b298ed9b77d2de62b1 SHA1: 34e3bd18548bf13afea1c2996f2b740d8305c322 MD5sum: cf2154d71dd9c06125b3b92cf1e75eb5 Description: colorful console interface for CVS version control CurVeS performs two functions. It provides a menu and command completion interface to CVS so that inexperienced users can learn the features of CVS without documentation. This includes the creation of some meta-features built upon standard CVS commands that commonly are used together. The second function of CurVeS is to provide visual presentation of a project directory so that the status of each file is comprehensible at a glance. CurVeS uses color, when available, to accent the file classification marks. Package: customdeb Version: 0.1 Installed-Size: 68 Maintainer: Joachim Breitner Architecture: all Depends: debhelper, devscripts, libfile-copy-recursive-perl, libfile-temp-perl, libparse-debcontrol-perl, libparse-debianchangelog-perl, perl Size: 9124 SHA256: cd69aa46562a29f9063b4cab96b0e9651c019d27118a9c1d3f3537f41b9245e8 SHA1: 904139a93802be544e7d8681e16764d2f27e8760 MD5sum: 4c328acc36e169be82638354d8e9cc07 Description: Modfies binary Debian package customdeb will modify binary Debian packages based on information given in a simple file. It can * Add or replace files * Change permissions of files It can be used to distribute packages with local changes (configuration, fixes) locally, and is not meant to create packages for the official Debian archive. Tag: devel::packaging, implemented-in::perl, role::program, scope::utility, suite::debian, works-with::software:package Section: admin Priority: optional Filename: pool/main/c/customdeb/customdeb_0.1_all.deb Package: cutecom Version: 0.22.0-2 Architecture: armhf Maintainer: Roman I Khimov Installed-Size: 174 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Suggests: lrzsz Homepage: http://cutecom.sourceforge.net/ Priority: optional Section: comm Filename: pool/main/c/cutecom/cutecom_0.22.0-2_armhf.deb Size: 54772 SHA256: 09e529e94088b549efa85d7b05546fe1a12be471ff7362b88d75dc9819a291b6 SHA1: 6d92a2f1b9fc8d1f43f7e69070bd487212af1192 MD5sum: d9bd19a2f8da4263f2b8aea31bc88f23 Description: Graphical serial terminal, like minicom Cutecom is a graphical serial terminal, like minicom. It is aimed mainly at hardware developers or other people who need a terminal to talk to their devices. It features lineoriented interface instead of character-oriented, xmodem, ymodem, zmodem support (requires the lrzsz package) and hexadecimal input and output among other things. It is written using the Qt library by Trolltech (www.trolltech.com). Package: cutesdr Version: 1.0.5-3 Architecture: armhf Maintainer: A. Maitland Bottoms Installed-Size: 847 Depends: libc6 (>= 2.13-28), libftdi1 (>= 0.20), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.7.0~beta1), libqtmultimediakit1, libstdc++6 (>= 4.4.0), libusb-1.0-0 (>= 2:1.0.8) Homepage: http://www.rfspace.com/RFSPACE/CuteSDR.html Priority: optional Section: hamradio Filename: pool/main/c/cutesdr/cutesdr_1.0.5-3_armhf.deb Size: 266822 SHA256: a0492931fcb703fd640a8d63e23952d79ffab41b53a02042a96f6750dd58a714 SHA1: 9357c2edfc590436d79f360f99d56c8e324dddf2 MD5sum: da353dd60d2e6e9dea3239c75700492d Description: simple demodulation and spectrum display program The CuteSDR application's primary purpose is for spawning custom applications or for educational use. It is NOT a full featured program as it is purposely kept simple in order for developers to more easily spin off their own applications. A further goal was to use only the stock functionality of Qt ie no libraries or special drivers. This limits CuteSDR to using only a network connection. The main gui and framework is written by Moe Wheatly, AE4JY. . CuteSDR itself has only a network interface and will directly support the RFSPACE NetSDR and SDR-IP radios. This package also supports SDR-IQ/14 using an included network protocol server application (siqs_ftdi) by Andrea Montefusco, IW0HDV with support from Ken Hopper, N9VV. Package: cutils Version: 1.6-3 Architecture: armhf Maintainer: Hamish Moffatt Installed-Size: 192 Depends: libc6 (>= 2.13-28) Suggests: cweb Priority: optional Section: devel Filename: pool/main/c/cutils/cutils_1.6-3_armhf.deb Size: 72382 SHA256: 4295ace6f92b480e9b66f94ab2b8b97245e99529eed920c151ea76cf369fbb8a SHA1: 6b2d769ea5abb23e8d05d877cbac1f3dc3a8daa2 MD5sum: 98adc4e36e45f2e8e79d38128c44dccb Description: C source code utilities This package contains several utilities which may be useful to developers of C source code utilities, namely: cdecl and cundecl - decode and encode C type declarations cobfusc - make a C source file unreadable but compilable chilight - highlight C source files cunloop - unloop C loops yyextract - extract grammar rules from yacc grammar yyref - yacc grammar reference program Package: cutter Version: 1.03-2 Architecture: armhf Maintainer: Aurélien GÉRÔME Installed-Size: 53 Depends: libc6 (>= 2.7) Priority: optional Section: net Filename: pool/main/c/cutter/cutter_1.03-2_armhf.deb Size: 11446 SHA256: 9fd9a355cbd61dd3abd7f0577e4eed2c216e91e75ae9012bdf5c73d4fb1b51d3 SHA1: b900258f3b77835daa9e3dabb4524509af3fc148 MD5sum: 308f0242e4468b4df1c5192f49bc5f98 Description: disconnect routed IP connections Cutter will send packets to both ends of a TCP/IP connection to close the connection. It is designed to be used on a Linux router to disconnect unwanted connections. Package: cutter-glib-support Source: cutter-testing-framework Version: 1.1.7-1.2 Installed-Size: 292 Maintainer: NIIBE Yutaka Architecture: all Depends: libglib2.0-dev, cutter-testing-framework-bin (>= 1.1.7-1.2) Size: 88712 SHA256: d00964ba82ed4ca777b0dc6dbce2c0a2a3d75b1aeba2067f35b65a6be31affe7 SHA1: ea0ade78e5f0a68f8ce3ec07b5211185534b19db MD5sum: 6d84d9bbd789aa1fed8c1625ad613cbe Description: Cutter GLib integration Cutter is a xUnit family Unit Testing Framework for C and C++. . This package provides header files to write tests for Cutter with GLib support. Homepage: http://cutter.sourceforge.net/ Section: devel Priority: extra Filename: pool/main/c/cutter-testing-framework/cutter-glib-support_1.1.7-1.2_all.deb Package: cutter-gtk-support Source: cutter-testing-framework Version: 1.1.7-1.2 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 146 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcutter0, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libgtk2.0-dev, cutter-glib-support (= 1.1.7-1.2), libgdkcutter-pixbuf-dev (= 1.1.7-1.2), cutter-testing-framework-bin (= 1.1.7-1.2) Homepage: http://cutter.sourceforge.net/ Priority: extra Section: devel Filename: pool/main/c/cutter-testing-framework/cutter-gtk-support_1.1.7-1.2_armhf.deb Size: 75936 SHA256: 17444b9eeb39de5c6aeb52a85309b47e090b91ab10db18363ba7246e6c4ff738 SHA1: 9bd06e4600b2222dcf9104dfd6e4435f030f952e MD5sum: 850bd53f326de779298eae2c8cd9042b Description: Cutter GTK+ integration Cutter is a xUnit family Unit Testing Framework for C and C++. . This package provides GUI test runner to write tests for Cutter with gdk-pixbuf support. Package: cutter-report-module Source: cutter-testing-framework Version: 1.1.7-1.2 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 146 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcutter0, libglib2.0-0 (>= 2.18.0), libgoffice-0.8-8 (>= 0.8.8), libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4), cutter-testing-framework-bin (= 1.1.7-1.2) Homepage: http://cutter.sourceforge.net/ Priority: extra Section: devel Filename: pool/main/c/cutter-testing-framework/cutter-report-module_1.1.7-1.2_armhf.deb Size: 76028 SHA256: 0bd42fa507433d4f803af4752971bdb7af20c1a12fc0a166e21bea80d10e8d2f SHA1: bf8cacf396c217aea128dd197a1efac961a47b86 MD5sum: 581645070e76d0f8eb3146ef57a89784 Description: Test result reporting module for Cutter Cutter is a xUnit family Unit Testing Framework for C and C++. . This provides a module that reports test result output by Cutter. Package: cutter-testing-framework Version: 1.1.7-1.2 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 116 Depends: cutter-testing-framework-bin (= 1.1.7-1.2), cutter-testing-framework-doc (= 1.1.7-1.2), libcppcutter-dev (= 1.1.7-1.2), libsoupcutter-dev (= 1.1.7-1.2), cutter-glib-support (= 1.1.7-1.2), cutter-gtk-support (= 1.1.7-1.2), cutter-report-module (= 1.1.7-1.2), gstreamer0.10-plugins-cutter (= 1.1.7-1.2) Homepage: http://cutter.sourceforge.net/ Priority: extra Section: devel Filename: pool/main/c/cutter-testing-framework/cutter-testing-framework_1.1.7-1.2_armhf.deb Size: 85756 SHA256: 48cfa865d57e33fab65ffb5ebcf1db8393771a24fe91248be121cb90d6a6f534 SHA1: fcc58a482966a5c3ca7a1d466a0f236b9b1c39dc MD5sum: c6ef34865ffcc105b6bbf19d7376481d Description: Cutter testing framework suite (meta-package) Cutter is a xUnit family Unit Testing Framework for C and C++. . This package is a metapackage and pulls the full suite of the Cutter testing framework. Package: cutter-testing-framework-bin Source: cutter-testing-framework Version: 1.1.7-1.2 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 243 Depends: libc6 (>= 2.13-28), libcutter0, libglib2.0-0 (>= 2.31.8), libcutter-dev (= 1.1.7-1.2) Suggests: lcov Homepage: http://cutter.sourceforge.net/ Priority: extra Section: devel Filename: pool/main/c/cutter-testing-framework/cutter-testing-framework-bin_1.1.7-1.2_armhf.deb Size: 99052 SHA256: 9c4de73f534af68f7b40e363b2349803f6cddc7a432f91493726965cf8c9bdea SHA1: be978764f5a088cde02142d044574041ef9f6829 MD5sum: f3668c4361e21638bba19382b8152dec Description: A unit testing framework for C and C++ Cutter is a xUnit family Unit Testing Framework for C and C++. . This package provides 'cutter' command that runs tests written for Cutter. Package: cutter-testing-framework-doc Source: cutter-testing-framework Version: 1.1.7-1.2 Installed-Size: 4900 Maintainer: NIIBE Yutaka Architecture: all Size: 753060 SHA256: b188b60eda5fdaab770b4c21425251b04e2d7ac2fcb4f009d2e4e782d12221ae SHA1: aab73d7070adeb0dd8525bdbe5650e9abff4cef8 MD5sum: d92daf316c2881370e5a019050794579 Description: Documentation of Cutter Cutter is a xUnit family Unit Testing Framework for C and C++. . This package provides reference manual of Cutter. Homepage: http://cutter.sourceforge.net/ Tag: devel::doc, devel::examples, devel::lang:c, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/c/cutter-testing-framework/cutter-testing-framework-doc_1.1.7-1.2_all.deb Package: cutycapt Version: 0.0~svn6-3 Architecture: armhf Maintainer: David Paleino Installed-Size: 71 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.3.0) Suggests: xvfb Homepage: http://cutycapt.sourceforge.net/ Priority: optional Section: web Filename: pool/main/c/cutycapt/cutycapt_0.0~svn6-3_armhf.deb Size: 18188 SHA256: daf0c132877a03a4000bb127b5aac4f90a0b16dc60bc0ce1c90527319e8923e5 SHA1: 9e73ac276ec567cd3d3ef953ee58918092abe1be MD5sum: e9491a985e9ef62625faa5dba7f98dac Description: utility to capture WebKit's rendering of a web page CutyCapt is a small cross-platform command-line utility to capture WebKit's rendering of a web page into a variety of vector and bitmap formats, including SVG, PDF, PS, PNG, JPEG, TIFF, GIF, and BMP. Package: cuyo Version: 2.0.0brl1-1 Architecture: armhf Maintainer: Bernhard R. Link Installed-Size: 411 Depends: cuyo-data (= 2.0.0brl1-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Homepage: http://www.karimmi.de/cuyo/ Priority: optional Section: games Filename: pool/main/c/cuyo/cuyo_2.0.0brl1-1_armhf.deb Size: 174028 SHA256: 5b6f41315d7384fd8f297b3c15df4c1e8ffd6aa943b4ec5cd89411c65366ed1e SHA1: 989ee1938ec77763a4cd1fa08958aee513e06395 MD5sum: b15baa0cfa189b4aef1d03b4a6297ee3 Description: Tetris-like game with very impressive effects Cuyo, named after a Spanish relative adjective, shares with tetris that things fall down and how to navigate them. When enough "of the same type" come "together", they explode. The goal of each level is to blow special "stones" away, you start with. But what "of the same type" and "together" means, varies with the levels. Package: cuyo-data Source: cuyo Version: 2.0.0brl1-1 Installed-Size: 2931 Maintainer: Bernhard R. Link Architecture: all Replaces: cuyo (<< 2.~-1.0~beta1-~) Recommends: cuyo Size: 2315360 SHA256: fb87edca49dffca95aa829999997922eac4cb4b2110d4b6a5ea00599cfa3ef7c SHA1: f1064f781e14e67ef872e69d57b3ac4016180ef1 MD5sum: c66bdd7446456b238479dd1aab02153b Description: data files for the game cuyo Cuyo, named after a Spanish relative adjective, shares with tetris that things fall down and how to navigate them. When enough "of the same type" come "together", they explode. The goal of each level is to blow special "stones" away, you start with. But what "of the same type" and "together" means, varies with the levels. . This package contains the graphics, sounds, level description and man pages for cuyo. Homepage: http://www.karimmi.de/cuyo/ Tag: made-of::audio, made-of::man, role::app-data Section: games Priority: optional Filename: pool/main/c/cuyo/cuyo-data_2.0.0brl1-1_all.deb Package: cvc3 Version: 2.4.1-4 Architecture: armhf Maintainer: Christopher L. Conway Installed-Size: 81 Depends: libc6 (>= 2.13-28), libcvc3-5, libgcc1 (>= 1:4.4.0), libgmp10, libstdc++6 (>= 4.4.0) Homepage: http://www.cs.nyu.edu/acsys/cvc3/ Priority: extra Section: math Filename: pool/main/c/cvc3/cvc3_2.4.1-4_armhf.deb Size: 23396 SHA256: 7c7388cfd16e608ac007bcb404a5b5f0be1ddaf8d799ee7734d73181f63a5f2d SHA1: d56faa53d5feb2b7a661da140892ac3b2f9f59c3 MD5sum: af8dd419e01e3081a5d766d0a7968ad1 Description: Automatic theorem prover for SMT problems CVC3 is an automatic theorem prover for Satisfiability Modulo Theories (SMT) problems. It can be used to prove the validity (or, dually, the satisfiability) of first-order formulas in a large number of built-in logical theories and their combination. . CVC3 is the last offspring of a series of popular SMT provers, which originated at Stanford University with the SVC system. In particular, it builds on the code base of CVC Lite, its most recent predecessor. Its high level design follows that of the Sammy prover. . CVC3 works with a version of first-order logic with polymorphic types and has a wide variety of features including: . * several built-in base theories: rational and integer linear arithmetic, arrays, tuples, records, inductive data types, bit vectors, and equality over uninterpreted function symbols; * support for quantifiers; * an interactive text-based interface; * rich C, C++, and Java APIs for embedding in other systems; * proof and model generation abilities; * predicate subtyping; * essentially no limit on its use for research or commercial purposes (see license). . This package contains the CVC3 command line program. Package: cvc3-el Source: cvc3 Version: 2.4.1-4 Installed-Size: 106 Maintainer: Christopher L. Conway Architecture: all Depends: emacs | emacsen Recommends: cvc3 Enhances: cvc3 Size: 14560 SHA256: 8d941440967ed444621f43be9590691ac173352fcd141a495d2128ca7e7c5cd4 SHA1: b93e667b060657ad0e5802e93bc02c83de96ab9b MD5sum: 6a712d6084b6fd390235b2128ea9e5f3 Description: Emacs mode for CVC3 CVC3 is an automatic theorem prover for Satisfiability Modulo Theories (SMT) problems. It can be used to prove the validity (or, dually, the satisfiability) of first-order formulas in a large number of built-in logical theories and their combination. . CVC3 is the last offspring of a series of popular SMT provers, which originated at Stanford University with the SVC system. In particular, it builds on the code base of CVC Lite, its most recent predecessor. Its high level design follows that of the Sammy prover. . CVC3 works with a version of first-order logic with polymorphic types and has a wide variety of features including: . * several built-in base theories: rational and integer linear arithmetic, arrays, tuples, records, inductive data types, bit vectors, and equality over uninterpreted function symbols; * support for quantifiers; * an interactive text-based interface; * rich C, C++, and Java APIs for embedding in other systems; * proof and model generation abilities; * predicate subtyping; * essentially no limit on its use for research or commercial purposes (see license). . The package provides an Emacs major mode "cvc3-mode" with syntax highlighting for the CVC3 input language and running CVC3 as an inferior process. Homepage: http://www.cs.nyu.edu/acsys/cvc3/ Section: math Priority: extra Filename: pool/main/c/cvc3/cvc3-el_2.4.1-4_all.deb Package: cvm Version: 0.96-1 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 180 Depends: libbg1, libc6 (>= 2.13-28), libcvm1 Priority: optional Section: admin Filename: pool/main/c/cvm/cvm_0.96-1_armhf.deb Size: 81096 SHA256: ab7c5af880465893ab91a719bb6d8dedc232343423c45d338a101b6feffb97dc SHA1: 54e58e482cf0681caa8926a81d8b5cc019975dcf MD5sum: 6151883d6cc38b71ea60f81f790f16c8 Description: Credential Validation Modules CVM is a framework for validating a set of credentials against a database using a filter program. The modules act as a filter, taking a set of credentials as input and writing a set of facts as output if those credentials are valid. Optional input is given to the module through environment variables. . Some of the ideas for CVM came from experience with PAM (pluggable authentication modules), the checkpassword interface used by qmail-pop3d, and the "authmod" interface used by Courier IMAP and POP3. This framework places fewer restrictions on the invoking client than checkpassword does, and is much simpler to implement on both sides than PAM and the authmod framework. . See http://untroubled.org/cvm/cvm.html for more information. Package: cvm-mysql Source: cvm Version: 0.96-1 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 105 Depends: libbg1, libc6 (>= 2.13-28), libcvm1, libmysqlclient16 (>= 5.1.50-1) Recommends: cvm Priority: optional Section: admin Filename: pool/main/c/cvm/cvm-mysql_0.96-1_armhf.deb Size: 54642 SHA256: 6782da162b8412f8db28dee97fca33a41f43eb297dba188cef10e9df729f0e14 SHA1: aa96cd28a7e19a34ef639b902cfc56e5b0d2a070 MD5sum: 7809373bb5bfbbc410349dca71a3ef9a Description: Credential Validation Modules (MySQL) CVM is a framework for validating a set of credentials against a database using a filter program. The modules act as a filter, taking a set of credentials as input and writing a set of facts as output if those credentials are valid. Optional input is given to the module through environment variables. . Some of the ideas for CVM came from experience with PAM (pluggable authentication modules), the checkpassword interface used by qmail-pop3d, and the "authmod" interface used by Courier IMAP and POP3. This framework places fewer restrictions on the invoking client than checkpassword does, and is much simpler to implement on both sides than PAM and the authmod framework. . See http://untroubled.org/cvm/cvm.html for more information. Package: cvm-pgsql Source: cvm Version: 0.96-1 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 103 Depends: libbg1, libc6 (>= 2.13-28), libcvm1, libpq5 Recommends: cvm Priority: optional Section: admin Filename: pool/main/c/cvm/cvm-pgsql_0.96-1_armhf.deb Size: 54116 SHA256: 84953dfd61a4a2bec219d24e73d7047d3477d04c86f87430d72840ca10b33f7b SHA1: 737ea354ee9bd0c0565a65caf836e27d8fdaf478 MD5sum: 382a9db435bfc06e8524cb426e06be01 Description: Credential Validation Modules (PostgreSQL) CVM is a framework for validating a set of credentials against a database using a filter program. The modules act as a filter, taking a set of credentials as input and writing a set of facts as output if those credentials are valid. Optional input is given to the module through environment variables. . Some of the ideas for CVM came from experience with PAM (pluggable authentication modules), the checkpassword interface used by qmail-pop3d, and the "authmod" interface used by Courier IMAP and POP3. This framework places fewer restrictions on the invoking client than checkpassword does, and is much simpler to implement on both sides than PAM and the authmod framework. . See http://untroubled.org/cvm/cvm.html for more information. Package: cvs Version: 2:1.12.13+real-9+deb7u1 Architecture: armhf Maintainer: Thorsten Glaser Installed-Size: 3906 Depends: dpkg (>= 1.15.4) | install-info, libbsd0 (>= 0.0), libc6 (>= 2.13-28), libgssapi-krb5-2 (>= 1.10+dfsg~), libkrb5-3 (>= 1.6.dfsg.2), zlib1g (>= 1:1.1.4), adduser Recommends: openssh-client Suggests: mksh (>= 40~), rcs Conflicts: cvs-doc Replaces: cvs-doc Provides: cvs-doc Multi-Arch: foreign Homepage: http://www.nongnu.org/cvs/ Priority: optional Section: vcs Filename: pool/main/c/cvs/cvs_1.12.13+real-9+deb7u1_armhf.deb Size: 2723688 SHA256: 16c35cf34d2e52746161b8b4bedc8a7c9b877ef3b400d19258f1571e0baa1008 SHA1: 445cd12dc63fe0c51b13854aa72ca3bfd6659500 MD5sum: fc3b68a5dabd4bb3a7fe9958d5c3e5c7 Description: Concurrent Versions System CVS is a version control system, which allows you to keep access to old versions of files (usually source code), keep a log of who, when, and why changes occurred, etc., like RCS or SCCS. It handles multiple developers, multiple directories, triggers to enable/log/control various operations, and can work over a wide area network. The texinfo manual provides further information on more tasks that it can perform. . There are some tasks that are not covered by CVS. They can be done in conjunction with CVS but will tend to require some script-writing and software other than CVS. These tasks are bug-tracking, build management (that is, make and make-like tools), and automated testing. However, CVS makes these tasks easier. . This package contains a CVS binary which can act as both client and server, although there is no CVS dæmon; to access remote repositories, please use :extssh: not :pserver: any more. Package: cvs-autoreleasedeb Version: 0.12-1 Installed-Size: 132 Maintainer: Daniel Ruoso Architecture: all Depends: dpkg-dev, fakeroot, cvs, perl, libxml-parser-perl, dupload, cvs-buildpackage, libapt-pkg-perl, adduser Size: 15902 SHA256: 867ed0f4304c720106aeb2a56224decfa63cfd38064350951e3a0db96875e203 SHA1: fb79e10cb04dc1a784e206b68e97b56c53e368e9 MD5sum: b27c4148d3de3e4d7d8a90cdf83d4fcb Description: Automatically release/upload debian packages from CVS This package provides the capability of automatically publish a deb package directly from the CVS source. The CVS source must be already in the format managed by cvs-buildpackage, since cvs-buildpackage will be used to generate the deb. . This package is useful to release the 'unstable' version of a software. The control of when to release the deb is made by watching the debian/changelog file. This script maintains a list of which packages to automate and the version they are. Every time you increases the version in changelog, the package you are working will be generated. . It will also call dupload to send the generated package to your deb server (which can be the main debian server or your software house's debian server). Tag: devel::buildtools, devel::debian, devel::packaging, devel::rcs, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, use::synchronizing, works-with::software:source Section: vcs Priority: optional Filename: pool/main/c/cvs-autoreleasedeb/cvs-autoreleasedeb_0.12-1_all.deb Package: cvs-buildpackage Version: 5.23 Installed-Size: 196 Maintainer: Debian QA Group Architecture: all Depends: dpkg-dev (>= 1.4.0.9), cvs Size: 65920 SHA256: 6d5114d2365adfe41f97aa59b59962302684a34ce92ecc6d15199fe7527835be SHA1: be5ebe521c373f4a424692a2610d90192f539af4 MD5sum: d5788b7a2bdb193e2bfb34c787eaaebf Description: A set of Debian package scripts for CVS source trees. This package provides the capability to inject or import Debian source packages into a CVS repository, build a Debian package from the CVS repository, and helps in integrating upstream changes into the repository. . The import or inject process takes a dsc (Debian source control) file, and imports it into CVS. The module path can maintains distribution and section information; for example, one may inject hemm/hemm/devel/make into the repository. . The build process works analogously to dpkg-buildpackage, and can be used from within the checked out source tree, or with additional information, can be used from anywhere. In the latter case, you can build any previous version of the package, as long as the corresponding orig.tar.gz file is still around. The build script checks out the relevant version from the CVS repository, and runs dpkg-buildpackage to create the Debian package. . Combined, these utilities provide an infrastructure to facilitate the use of CVS by Debian maintainers. This allows one to keep separate CVS branches of a package for stable, unstable, and possibly experimental distributions, along with the other benefits of a version control system. . This can be used to generate a unified CVS source tree, for example. Tag: devel::buildtools, devel::debian, devel::packaging, devel::rcs, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, use::synchronizing, works-with::software:source Section: vcs Priority: optional Filename: pool/main/c/cvs-buildpackage/cvs-buildpackage_5.23_all.deb Package: cvs-mailcommit Version: 1.19-2 Installed-Size: 64 Maintainer: Martin Schulze Architecture: all Depends: postfix | mail-transport-agent, rcs Size: 11600 SHA256: b1a13f67f0411a089366e4454523edd7996683414a5c4a0061b9be7ae31347bd SHA1: 1b9abe5eae805fee6d7143ccbed8b7a96ff35381 MD5sum: fdacd32cc2c18b0ce3507f19ca96af0b Description: Send CVS commitments via mail The cvs-mailcommit program is hooked into the CVS system via the loginfo file and helps people keep track of CVS repositories by distributing changes in a repository via mail. This package is written in Perl. Tag: admin::logging, devel::rcs, implemented-in::perl, interface::commandline, role::program, scope::utility, works-with::mail Section: vcs Priority: optional Filename: pool/main/c/cvs-mailcommit/cvs-mailcommit_1.19-2_all.deb Package: cvs-syncmail Version: 2.3-1 Installed-Size: 72 Maintainer: Christopher Sacca Architecture: all Depends: python (>= 2.2.2) Size: 13614 SHA256: 2587d6f44ec95f938eb35becfebc70fced49d4c7afab6fb1d92420d63f108fda SHA1: b9664bc02cc8d83f21476cccc0473c9b5363e7a4 MD5sum: ddc2b5aa238077141896e762209e705d Description: Notification program for CVS checkins syncmail is a CVS notification tool which can provide a diff for every change to a CVS repository, mailed to specified email addresses. This tool is useful for large communities to monitor activity, and is used for Python and many other active SourceForge.net projects. . Homepage: http://sourceforge.net/projects/cvs-syncmail Tag: admin::logging, devel::rcs, implemented-in::python, interface::commandline, role::program, scope::utility, use::synchronizing, works-with::mail Section: vcs Priority: optional Filename: pool/main/c/cvs-syncmail/cvs-syncmail_2.3-1_all.deb Package: cvs2cl Version: 2.73-1 Installed-Size: 212 Maintainer: Peter Palfrader Architecture: all Depends: cvs, perl Size: 82304 SHA256: 13b50bf313bc8b5644a69239c0666d54911d840c3d3a62cb7ffb794494a552a6 SHA1: 1887d6d49704827d21dfe8bbfb0476dede99e650 MD5sum: 06939fa7ff75e8f1ded2a980ef17aaaf Description: CVS-log-message-to-ChangeLog conversion script This perl script produces a GNU-style ChangeLog for CVS-controlled sources, by running "cvs log" and parsing the output. Duplicate log messages get unified in the Right Way. Tag: admin::logging, devel::rcs, implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, use::synchronizing, works-with::logfile Section: vcs Priority: optional Filename: pool/main/c/cvs2cl/cvs2cl_2.73-1_all.deb Package: cvs2html Version: 1.98-3 Installed-Size: 104 Maintainer: Debian QA Group Architecture: all Depends: perl, cvs, libperl4-corelibs-perl | perl (<< 5.12.3-7) Size: 25432 SHA256: 665207113425d92f36323772d716f3e5369b5124f2959517f3533bafeff08809 SHA1: aea508e68351bceed8dc56a21125fd44adf5d157 MD5sum: 1c4fb75d7d6fb08fca896fed9d4ee4da Description: create HTML versions of CVS logs cvs2html is program that transforms the 'cvs log' output into a HTML file. The program can be used on any type of cvs archive, but since it invokes cvs itself, it needs to be run in a machine having a local checked out copy of the archive and access to the repository. Homepage: http://cvs.sslug.dk/cvs2html/ Tag: devel::rcs, implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, use::synchronizing, works-with-format::html, works-with::logfile, works-with::text Section: web Priority: optional Filename: pool/main/c/cvs2html/cvs2html_1.98-3_all.deb Package: cvs2svn Version: 2.3.0-3 Installed-Size: 916 Maintainer: Laszlo Boszormenyi (GCS) Architecture: all Depends: python, python-support (>= 0.90.0), subversion (>= 1.0.1), rcs Recommends: mime-support Suggests: cvs, bzr, git-core Size: 260424 SHA256: 6fe88393a9fd2762fa101ef4e544c63c524e30a1420f0587c013c3abe1955135 SHA1: f0b194ca5b59f47454c2617e1830e31cd2d33d16 MD5sum: 487370fbd431f92abe29ba7d4053f7f7 Description: Convert a cvs repository to a subversion, bazaar or git repository Converts a CVS repository (including its branches and tags) to a Subversion, Bazaar or Git repository. It is designed for one-time conversions, not for repeated synchronizations between CVS and Subversion, Bazaar or Git. Homepage: http://cvs2svn.tigris.org/ Python-Version: 2.6, 2.7 Tag: devel::rcs, implemented-in::python, interface::commandline, role::program, scope::utility, use::converting Section: vcs Priority: optional Filename: pool/main/c/cvs2svn/cvs2svn_2.3.0-3_all.deb Package: cvschangelogbuilder Version: 2.4-1 Installed-Size: 152 Maintainer: Adriaan Peeters Architecture: all Depends: perl, cvs Recommends: libgd-graph-perl Size: 28258 SHA256: 068a56334b69375264e311a67309cdaef00869b57e73dbef08cf3c3306b7782d SHA1: 057936a072057ab344b65ee136ca2c932656e155 MD5sum: e342a187429c34dab354169f3cc6f0c9 Description: perl utility to generate ChangeLogs or html cvs reports cvschangelogbuilder is an Perl utility to generate ChangeLogs or cvs reports for a project hosted on a cvs server. The main goal is to provide a better output that the 'cvs log' command. . cvschangelogbuilder offers 5 kinds of output: * a changelog to include in a rpm .spec file * a changelog by date (looks like 'cvs log') * a changelog by file * a changelog by change comment * a html report of cvs project activity. Homepage: http://cvschangelogb.sourceforge.net/ Tag: devel::rcs, implemented-in::perl, role::program, use::viewing, works-with::vcs Section: vcs Priority: optional Filename: pool/main/c/cvschangelogbuilder/cvschangelogbuilder_2.4-1_all.deb Package: cvsconnect Version: 0.1.cvs20001202-2 Installed-Size: 76 Maintainer: Debian QA Group Architecture: all Depends: cvs, perl Enhances: cvssuck Size: 10680 SHA256: 3504f12ea2eab641290d573c1140f9d8f2de700423b0545fbf693e7972e74a88 SHA1: e99d6f4de6c9a0d2dc7cb661f98cecc21147faf1 MD5sum: e53c96bfc072e16a4e1636d7b219f8f7 Description: CVS connection minimizer CVSconnect enables you to do multiple CVS operations on single connection. CVSconnect sets up special environment using $CVS_RSH and $CVSROOT for the command line CVS client. In the environment, the command line CVS client reuses a connection. . CVSconnect is especially designed to use with CVSsuck. Tag: devel::rcs, role::program, scope::utility Section: vcs Priority: extra Filename: pool/main/c/cvsconnect/cvsconnect_0.1.cvs20001202-2_all.deb Package: cvsd Version: 1.0.24 Architecture: armhf Maintainer: Arthur de Jong Installed-Size: 288 Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, cvs, adduser, lsb-base (>= 3.0-6) Suggests: perl, tmpreaper Homepage: http://arthurdejong.org/cvsd/ Priority: optional Section: vcs Filename: pool/main/c/cvsd/cvsd_1.0.24_armhf.deb Size: 98854 SHA256: 5a282238ce4a3a6acaf92eaed5f5b4251064ed1dab8cb4085a8893cd06f50731 SHA1: 28989e7ac153325f0b1ff96c575e2dccedbf6633 MD5sum: a79e2b41fca828295b5f00b2dbdc8b8e Description: chroot wrapper to run 'cvs pserver' more securely cvsd is a wrapper program for cvs in pserver mode. it will run 'cvs pserver' under a special uid/gid in a chroot jail. cvsd is run as a daemon and is controlled through a configuration file. It is relatively easy to configure and provides tools for setting up a rootjail. . This server can be useful if you want to run a public cvs pserver. You should however be aware of the security limitations of running a cvs pserver. If you want any kind of authentication you should really consider using secure shell as a secure authentication mechanism and transport. Passwords used with cvs pserver are transmitted in plaintext. . You should probably disable the pserver from the cvs package. Package: cvsdelta Version: 1.7.0-6 Installed-Size: 104 Maintainer: Angel Ramos Architecture: all Size: 22226 SHA256: 31329db1eed776cd0e4dcb6167dc14d0d6439581bd6ee673cf29208d3a0e6d0a SHA1: 5412dbe27055afbcff77ebdcb94d087d4605963a MD5sum: abcd1f9b25926fb4f147c15e722154d9 Description: Summarize differences in a CVS repository cvsdelta summarizes the difference between a CVS project and its local version. It detects files that have been added and removed, and of existing files it counts the number of lines that have been added, deleted, and changed. It filters project changes by using .cvsignore files, both system-wide and locally. Tag: devel::rcs, interface::commandline, role::program, scope::utility, use::monitor, use::synchronizing Section: vcs Priority: optional Filename: pool/main/c/cvsdelta/cvsdelta_1.7.0-6_all.deb Package: cvsgraph Version: 1.7.0-1 Architecture: armhf Maintainer: Mark Brown Installed-Size: 295 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4) Priority: optional Section: devel Filename: pool/main/c/cvsgraph/cvsgraph_1.7.0-1_armhf.deb Size: 83422 SHA256: 60c0d6abe71c45ca125d95fbcec70f1edf08b5a5aef58bd589277013b94fb386 SHA1: c4f306095ab0b7626ee713e56509537e9c09d6a7 MD5sum: d21dedf2a116ef29230e5be308c4318f Description: Create a tree of revisions/branches from a CVS/RCS file CvsGraph was inspired by the graph option from WinCVS. It represents the revisions and branches in a CVS/RCS file in a graphical tree structure, also listing any tags associated with any given revision. Package: cvsps Version: 2.1-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 108 Depends: libc6 (>= 2.7), zlib1g (>= 1:1.1.4), cvs Priority: optional Section: devel Filename: pool/main/c/cvsps/cvsps_2.1-6_armhf.deb Size: 46588 SHA256: 8880a1ad2d2f5e9d64b7d9543b40615ff53b2c6bc06276f5b94c616ba25b6f02 SHA1: 7f5638707afe39649f2678fb83af38e4e16f509f MD5sum: 8b76ac138a4c40b2d9e7a27482769fe5 Description: Tool to generate CVS patch set information CVSps is a program for generating 'patch set' information from a CVS repository. A patch set in this case is defined as a set of changes made to a collection of files, all committed at the same time (using a single 'cvs commit' command). This information is valuable for seeing the big picture of the evolution of a CVS project. While CVS tracks revision information, it is often difficult to see what changes were committed 'atomically' to the repository. Package: cvsservice Source: kdesdk Version: 4:4.8.4+dfsg-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 209 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.95), libkdesu5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), cvs Breaks: libcvsservice0 Replaces: libcvsservice0 Homepage: http://www.kde.org Priority: optional Section: vcs Filename: pool/main/k/kdesdk/cvsservice_4.8.4+dfsg-1_armhf.deb Size: 46824 SHA256: b444d5e5ee171b705d187b462c97048eb243c5fd7446a732cfd55a47b0990635 SHA1: c80b4ff5ac6acca499b34e5741cbea1a1d74769f MD5sum: b818b268f3d711448ade944a5fc2f19f Description: D-Bus service for accessing CVS repositories This package provides a D-Bus service for browsing and manipulating remote CVS repositories. Applications may make use of it directly from C++, or access the service over D-Bus. . This package is part of the KDE Software Development Kit module. Package: cvssuck Version: 0.3.cvs20060124-2 Installed-Size: 92 Maintainer: Debian QA Group Architecture: all Depends: ruby, cvs, rcs Recommends: cvsconnect Size: 15288 SHA256: 4611b5a18ad425f7a27c10b58f5ef5f6d91a35ef67237a29845b74ea20c7d3ce SHA1: d3ef2099ae979e0607ab9abef7a93a4987e43f29 MD5sum: 559781fe74ae7a1a27cf9de542267cd6 Description: inefficient cvs repository grabber using cvs command CVSsuck is a mirroring tool for CVS repositories. Unlike other tools such as CVSup or rsync, it uses cvs command to access the repository. So, it works well with remote repositories without a special server or shell account. However it is inefficient and not perfect because CVS client/server protocol is not designed for mirroring. If a server provides special way to grab a repository, you shouldn't use CVSsuck. Tag: devel::rcs, role::program, scope::utility, works-with::vcs Section: vcs Priority: extra Filename: pool/main/c/cvssuck/cvssuck_0.3.cvs20060124-2_all.deb Package: cvstrac Version: 2.0.1-3 Architecture: armhf Maintainer: Edelhard Becker Installed-Size: 497 Depends: libc6 (>= 2.7), libsqlite3-0 (>= 3.5.9), rcs Priority: optional Section: devel Filename: pool/main/c/cvstrac/cvstrac_2.0.1-3_armhf.deb Size: 162148 SHA256: fc7b8391b7269034987d32c8d06b04dad3cf4697d9c08524732149cda819a2ee SHA1: a589531c90ae0b6c8a29b72b33efc8230f35aafc MD5sum: 908f6760cb1ab8f5ba2db61fb254596d Description: Low-ceremony bug tracker for medium-sized projects under CVS CVSTrac implements a low-ceremony Web-based bug and patch-set tracking system for use with CVS. Features include automatic changelog generation, repository change history browsing, user-defined bug database queries, Wiki pages, and Web-based administration of the CVSROOT/passwd file. CVSTrac is a stand-alone C program that operates either as CGI or as its own Web server. . Author: D. Richard Hipp Homepage: http://www.cvstrac.org/ Package: cvsutils Version: 0.2.5-1 Installed-Size: 124 Maintainer: Uwe Hermann Architecture: all Depends: perl, cvs Size: 23738 SHA256: de1b33712528b3424b02e51d7455b2b77ce3c52b251a8d461a9e041d9cece013 SHA1: 9c03b47f55d70a8f25c5e70a8ae73ba9dfb4ece5 MD5sum: 1d48ea6522648fbae7ea086c4381efff Description: CVS utilities for use in working directories Several utilities which are used to facilitate working with the files in the working directory of a developer using CVS. . The utilities included in this package are: . + cvsu: Offline "cvs update" simulator. Lists the files found in the current directory (or in the directories which you specify). + cvsco: "Cruel checkout". Removes results of compilation and discards local changes. Deletes all the files except listed unmodified ones and checks out everything which seems to be missing. + cvsdiscard: Discards local changes but keeps results of compilation. Works like "cvsco", but only deletes files which are likely to cause merge conflicts. + cvspurge: CVS-based "make maintainer-clean". Removes results of compilation but keeps local changes intact. Removes unknown files, but keeps changes in files known to CVS. + cvstrim: Removes files and directories unknown to CVS. + cvschroot: Makes it possible to change CVS/Root in all subdirectories to the given value. + cvsdo: Simulates some of the CVS commands (currently add, remove and diff) without any access to the CVS server. + cvsnotag: Reports untagged files. Homepage: http://www.red-bean.com/cvsutils/ Tag: devel::buildtools, devel::rcs, implemented-in::perl, interface::commandline, role::program, scope::utility, use::synchronizing, works-with::software:source Section: vcs Priority: optional Filename: pool/main/c/cvsutils/cvsutils_0.2.5-1_all.deb Package: cvsweb Version: 3:3.0.6-7 Installed-Size: 245 Maintainer: Daniel Leidert (dale) Architecture: all Depends: apache2 | httpd, cvs, rcs, libipc-run-perl, libmime-tools-perl, liburi-perl Suggests: cvsgraph, enscript, libmime-types-perl, libcompress-zlib-perl, zip Size: 68294 SHA256: ec70c50cde315df94cebb42e2591b905b1a359353ee82952ec89f5197929250a SHA1: 5f7a97e5478b6fa49f25fee19e27c92b0ca49909 MD5sum: 10aeb9e572d75c339bf37ac3f5dc64fb Description: CGI interface to your CVS repository cvsweb is a WWW CGI script that provides remote access to your CVS repository. It allows browsing of the full tree, with configurable access controls. It will display the revision history of a file, as well as produce diffs between revisions and allow downloading any revision of the whole file. Homepage: http://www.freebsd.org/projects/cvsweb.html Tag: devel::rcs, interface::web, protocol::http, role::program, scope::utility, use::browsing, use::synchronizing, web::cgi Section: vcs Priority: optional Filename: pool/main/c/cvsweb/cvsweb_3.0.6-7_all.deb Package: cw Source: unixcw Version: 3.0.2-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 114 Depends: libcw3, libc6 (>= 2.13-28), debconf | debconf-2.0 Homepage: http://unixcw.sourceforge.net/ Priority: optional Section: hamradio Filename: pool/main/u/unixcw/cw_3.0.2-1_armhf.deb Size: 38792 SHA256: 1767f49d5da3bd840bd9fbcfa3c16625a9cbcbf771f5d9d534fe960f2bb94430 SHA1: dc7129dccbb11847b5bcf968d5924593063461ab MD5sum: 319f5cdea1257e37db0a90cb3d1eb8a1 Description: Morse code tutor - command line user interface The unixcw project provides support for learning to use Morse. . This package provides two executables: * cw - a simple command line application that converts key-presses to Morse code that can be heard through the console buzzer or a sound card; * cwgen - a program that generates groups of random characters for Morse code practice, which can be piped to the cw program. . It also includes example files (with the extension "cw") containing commands which can be used to change properties such as the speed, tone, and spacing of characters. Package: cwcp Source: unixcw Version: 3.0.2-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 130 Depends: libcw3, libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5, debconf | debconf-2.0 Homepage: http://unixcw.sourceforge.net/ Priority: optional Section: hamradio Filename: pool/main/u/unixcw/cwcp_3.0.2-1_armhf.deb Size: 50014 SHA256: afb055f6362a2d32939645f9d15b6b58688d7c73a20d16b8e98bdbcbaf0909cb SHA1: e06fce2c8a2e82fd199857aa4a692fee30b4458f MD5sum: edd4ddb04a16ddc6b42288797ff2c3fc Description: Morse code tutor - text user interface The unixcw project provides support for learning to use Morse code. . This package provides an interactive Morse code tutor with a full-screen text-based (ncurses) user interface. It offers menu selection of sending modes, and keyboard control of character sounding options such as the sending speed and pitch. Package: cwdaemon Version: 0.9.5-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 259 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28) Conflicts: winkeydaemon Homepage: http://cwdaemon.sourceforge.net/ Priority: optional Section: hamradio Filename: pool/main/c/cwdaemon/cwdaemon_0.9.5-1_armhf.deb Size: 139722 SHA256: ac68cf51e5f9ececd09952b9c07aeef37061735c5edb909d86aaca63757917b7 SHA1: 9157fdce4e1f739a2cfe2eaa3e5324f3dd03b12e MD5sum: cd67074f533e6c52e3feac31a04212af Description: morse daemon for the parallel or serial port Cwdaemon is a small daemon which uses the pc parallel or serial port and a simple transistor switch to output morse code to a transmitter from a text message sent to it via the udp internet protocol. Package: cweb-latex Version: 1.1.1.debian.1 Installed-Size: 28 Maintainer: Julian Gilbey Architecture: all Depends: texlive-latex-extra (>= 2012) Size: 3712 SHA256: 71f087aafbc63b2846ac0a8194f5b580c52a1578bf683969356001193ebaac93 SHA1: cfa48e32b06677583e8ab003fbb8cb65edd9024d MD5sum: 80b0858bf82a23aa66cddf457593fc71 Description: LaTeX support for CWEB (transitional package) This is a transitional package which depends on texlive-latex-extra; that package now contains the cweb-latex package. . LaTeX CWEB is a bundle that allows you to use LaTeX as the documentation markup of your CWEB program. Your CWEB programs may be LaTeX documents now -- with sections, subsections, environments, figures, graphics inclusion, etc. . You will want to use this bundle if you - are a CWEB programmer and use LaTeX elsewhere, - haven't used CWEB up to now, because you wanted structured markup (and you didn't want to develop it yourself), or - want a hierarchic document structure for CWEB programs. Tag: devel::docsystem, devel::lang:c, role::app-data, works-with-format::tex, works-with::text Section: oldlibs Priority: extra Filename: pool/main/c/cweb-latex/cweb-latex_1.1.1.debian.1_all.deb Package: cwebx Version: 3.04-9 Architecture: armhf Maintainer: Julian Gilbey Installed-Size: 334 Depends: libc6 (>= 2.13-28) Recommends: gcc | c-compiler, texlive-base Priority: optional Section: devel Filename: pool/main/c/cwebx/cwebx_3.04-9_armhf.deb Size: 226852 SHA256: 698183bc13d92a8d12512e2833eef7492710983539c2924b5ee6f208fcf8c352 SHA1: b8ad3a0101c752de9c21eff75a39a9fe360aeaa0 MD5sum: 1d1788a9da9c1fb02231049b2b272058 Description: C/C++ literate programming system (Marc van Leeuwen's version) This version is a complete rewrite of Levy & Knuth's version of CWEB. It uses a slightly different syntax from the L&K version, but provides a compatibility mode allowing L&K CWEB sources to be processed, producing similar (though not necessarily identical) output. . CWEB allows you to write documents which can be used simultaneously as C/C++ programs and as TeX documentation for them. . The philosophy behind CWEB is that programmers who want to provide the best possible documentation for their programs need two things simultaneously: a language like TeX for formatting, and a language like C for programming. Neither type of language can provide the best documentation by itself. But when both are appropriately combined, we obtain a system that is much more useful than either language separately. Package: cwiid-dbg Source: cwiid Version: 0.6.00+svn201-3 Architecture: armhf Maintainer: Romain Beauxis Installed-Size: 372 Depends: lswm (= 0.6.00+svn201-3), libcwiid1 (= 0.6.00+svn201-3), wmgui (= 0.6.00+svn201-3), wminput (= 0.6.00+svn201-3) Homepage: http://abstrakraft.org/cwiid/ Priority: extra Section: debug Filename: pool/main/c/cwiid/cwiid-dbg_0.6.00+svn201-3_armhf.deb Size: 134290 SHA256: bb4001101c2842f804880844b261cec0159ca2b1c3b7289d38e8a9606100c2dd SHA1: b5f719770e8057c4ef6fc06f60876d6fc6db9e0a MD5sum: 322dc60084635650190698af143a6e50 Description: library to interface with the wiimote -- debug files CWiid is a working userspace driver along with various applications implementing event drivers, multiple wiimote connectivity, gesture recognition, and other Wiimote-based functionality. . This package provides the debug symbols needed to debug programs using cwiid. Package: cwirc Version: 2.0.0-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 326 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), xchat (>= 2.0.2-1) Homepage: http://myspace.voo.be/pcoupard/cwirc Priority: optional Section: hamradio Filename: pool/main/c/cwirc/cwirc_2.0.0-5_armhf.deb Size: 166494 SHA256: 70de512efccd7f4cab0359d7af93f79bbb1078f0308656e6be5497420ee8b0ff SHA1: 0674df529c0113a7daab11139d6de5076ecd0480 MD5sum: 4fce8557b204278f026023c0a1b3adae Description: X-Chat morse plugin CWirc is a plugin for the X-Chat IRC client to transmit raw morse code over the internet using IRC servers as reflectors. The transmitted morse code can be received in near real-time by other X-Chat clients with the CWirc plugin. CWirc tries to emulate a standard amateur radio rig : it sends and receives morse over virtual channels, and it can listen to multiple senders transmitting on the same channel. Morse code is keyed locally using a straight or iambic key connected to a serial port, or using the mouse buttons, and the sound is played through the soundcard. Package: cxref Version: 1.6d-6 Architecture: armhf Maintainer: Camm Maguire Installed-Size: 1124 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), debconf (>= 0.5) | debconf-2.0, gcc, ucf Suggests: www-browser, texlive-binaries, cxref-doc Priority: optional Section: devel Filename: pool/main/c/cxref/cxref_1.6d-6_armhf.deb Size: 512964 SHA256: bc698c91e2cd807c99a2257774bcfd729b46e7638b9af9f9394d2338e3207ce9 SHA1: c84cf83524e5b5302a3ba594627b461de08562b9 MD5sum: 16051c0d95bccc7fe9b1fcbc52c697c9 Description: Generates LaTeX and HTML documentation for C programs A program that takes as input a series of C source files and produces a LaTeX or HTML document containing a cross reference of the files/functions/variables in the program, including documentation taken from suitably formatted source code comments. The documentation is stored in the C source file in specially formatted comments, making it simple to maintain. The cross referencing includes lists of functions called, callers of each function, usage of global variables, header file inclusion, macro definitions and type definitions. Works for ANSI C, including many gcc extensions. Package: cxref-doc Source: cxref Version: 1.6d-6 Installed-Size: 3500 Maintainer: Camm Maguire Architecture: all Suggests: www-browser, texlive-binaries Size: 787852 SHA256: 034a8eff752df838c8bcff7c96d51815ba5c1db79b1e977d75e9babda8fb3a4e SHA1: 991e2daaef5dd587d67130aa9c0d4f9bf2303448 MD5sum: 88b7add7c3a37eb7f811e550f2aef057 Description: Generates LaTeX and HTML documentation for C programs This package provides sample documentation produced by cxref from some of the cxref source itself. Tag: devel::doc, devel::docsystem, devel::lang:c, interface::commandline, made-of::html, made-of::tex, role::documentation, use::converting, works-with-format::html, works-with-format::tex, works-with::software:source, works-with::text Section: doc Priority: optional Filename: pool/main/c/cxref/cxref-doc_1.6d-6_all.deb Package: cxref-emacs Source: cxref Version: 1.6d-6 Installed-Size: 92 Maintainer: Camm Maguire Architecture: all Depends: emacs23 | emacsen, emacsen-common (>= 1.4.14) Size: 16146 SHA256: 7d2a78975407f666ef59a69f95d085c7313a81398b0d7914cf84ae49b66b3d3c SHA1: 742d15de2f5e0e46002282634fc5e5e0474313cf MD5sum: 31328f5ecda860e72a2efbe14ce238d7 Description: Generates LaTeX and HTML documentation for C programs This package provides an emacs mode facilitating the use of cxref, and enabling the display of a hierarchical flow graph of cxref commented source files. Tag: devel::docsystem, devel::lang:c, implemented-in::lisp, interface::commandline, role::plugin, suite::emacs, use::converting, use::editing, works-with-format::html, works-with-format::tex, works-with::software:source, works-with::text Section: editors Priority: optional Filename: pool/main/c/cxref/cxref-emacs_1.6d-6_all.deb Package: cxxtest Version: 4.0.3-2 Installed-Size: 888 Maintainer: Simone Rossetto Architecture: all Depends: python (>= 2.7), python-ply Size: 418890 SHA256: 741e688af93b56121d1f2575735bec810b13dc705d99bb969cd9a81440b74cd8 SHA1: 245811ac8a0376912931723749b7b059546b654b MD5sum: f48dffb29696d23a544da926791e14e7 Description: lightweight xUnit-like framework for C/C++ applications CxxTest is a unit testing framework for C++ that is similar in spirit to JUnit, CppUnit, and xUnit. It provides assertions for checking conditions and classes for defining unit-test cases. . CxxTest is easy to use because it does not require precompiling a CxxTest testing library, it employs no advanced features of C++ (e.g. RTTI) and it supports a very flexible form of test discovery, which simplifies the creation of test drivers. It includes a script that parses C++ files that define unit tests and then generates a C++ test runner. When compiled, the test runner manages the execution of all unit tests in a flexible manner. . An Eclipse plugin is also available that manages the generation and execution of CxxTest test runners. Homepage: http://cxxtest.com/ Section: devel Priority: optional Filename: pool/main/c/cxxtest/cxxtest_4.0.3-2_all.deb Package: cycfx2prog Version: 0.47-1 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 51 Depends: libc6 (>= 2.13-28), libusb-0.1-4 (>= 2:0.1.12) Homepage: http://www.triplespark.net/elec/periph/USB-FX2/software/ Priority: extra Section: electronics Filename: pool/main/c/cycfx2prog/cycfx2prog_0.47-1_armhf.deb Size: 12582 SHA256: 13a2511a0ce2ff17648f084092cd915fc92db92b37b37c73c47957a2834710f2 SHA1: 5a36ae30a5dd95d72ef62a84f0df31ab881bf238 MD5sum: 8e9e3f891382c6897738917222614931 Description: Cypress EZ-USB FX2 (LP) programmer CycFX2Prog is a tool for programming the EZ-USB FX2 controller (i.e. downloading 8051 firmware into the RAM of the device) and doing basic endpoint communication for testing purposes. Package: cyclades-serial-client Version: 0.92 Architecture: armhf Maintainer: Russell Coker Installed-Size: 102 Depends: libc6 (>= 2.7) Suggests: sredird Conflicts: tsrdev Priority: optional Section: comm Filename: pool/main/c/cyclades-serial-client/cyclades-serial-client_0.92_armhf.deb Size: 30582 SHA256: 313657d2791e9e19c5a3315316f3711a353e4e69d657153aec11c6ffaf3bebc4 SHA1: 6cba136c108edd4b5cde0b83572174d9f069c165 MD5sum: c52271aa732a1652a1e6c2926772cdc7 Description: Network Serial port client software for Cyclades terminal servers This is the client for network serial port emulation via the RFC 2217 protocol as used by Cyclades terminal servers and other products. It consists of a daemon that manages a pseudo-tty and a shared object to take over the tcsetattr() and tcsendbreak() library calls to redirect their functionality over the network. Package: cycle Version: 0.3.1-8 Installed-Size: 316 Maintainer: Ana Beatriz Guerrero Lopez Architecture: all Depends: python (>= 2.6.6-7~), python-wxgtk2.8 Size: 53342 SHA256: 1970d4c59e29c546c1463974a0b26f4f101c1cadc02fbef070ac0b97b0069462 SHA1: b5c9e2afe24957b12736f93171eee3cc753e9d99 MD5sum: b9dd499e517ec40776b0ada2491b2d43 Description: calendar program for women Cycle is a calendar for women. Given a cycle length or statistics for several periods, it can calculate the days until menstruation, the days of "safe" sex, the fertile period, and the days to ovulations, and define the d.o.b. of a child. It allows the user to write notes and helps to supervise the administration of hormonal contraceptive tablets. . Possibilities of the program: - Calculate days of menstruation, based on the length of the cycle or on statistics of previous periods. - Calculate days of "safe" sex, fertile period and day to ovulations. - Definition of D.O.B. (Date Of Birth) of a child - Allows to write notes. - Helps to supervise reception of hormonal contraceptive tablets. - Multiple users allowed. Data is protected by a password for every user. . NOTE: This program is not a reliable contraceptive method. It does neither help to prevent sexual transmision diseases like AIDS. It is just an electronic means of keeping track of some of your medical data and extract some statistical conclusions from them. You cannot consider this program as a substitute for your gynecologist in any way. Homepage: http://cycle.sourceforge.net/ Tag: implemented-in::python, interface::x11, role::program, uitoolkit::wxwidgets, use::timekeeping Section: utils Priority: optional Filename: pool/main/c/cycle/cycle_0.3.1-8_all.deb Package: cyclist Source: pd-cyclone Version: 0.1~alpha55-6 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 54 Depends: libc6 (>= 2.4) Suggests: pd-cyclone Homepage: http://suita.chopin.edu.pl/~czaja/miXed/externs/cyclone.html Priority: optional Section: sound Filename: pool/main/p/pd-cyclone/cyclist_0.1~alpha55-6_armhf.deb Size: 13070 SHA256: bd7c74e6b45669655bbe921a3fc5599e01028236e26659ac3f7a877cc75ae156 SHA1: d702f54388d0cb54b0491b3b65bdd382898d1db2 MD5sum: 8992c0f3b28f5db96e4aed02304ef682 Description: Utility for converting Max/MSP binary patches to text cyclist is a command line utility for converting the .mxb binary format for Max/MSP patches into the .mxt/.pat text format. It is part of the Cyclone library for Pure Data, which aims to bring some level of compatibility between the Max/MSP and Pd environments. Although being itself in the early stage of development, it is meant to eventually become part of a much larger project, aiming at unification and standardization of computer musician's tools. . In its current form, cyclone is mainly for people using both Max and Pd, and thus wanting to develop cross-platform patches. In this respect, cyclone has much in common with Thomas Grill's flext, and flext-based externals. While flext enables developing new cross-platform classes, cyclone makes existing classes cross-platform. . Cyclone also comes handy, somewhat, in the task of importing Max/MSP patches into Pd. Do not expect miracles, though, it is usually not an easy task. Package: cynthiune.app Version: 0.9.5-14 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 1306 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libasound2 (>= 1.0.16), libaudiofile1 (>= 0.3.4), libavifile-0.7c2, libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libid3tag0 (>= 0.15.1b), libmad0 (>= 0.15.1b-3), libmodplug1, libmpcdec6 (>= 1:0.1~r435), libmusicbrainz5-0, libobjc3 (>= 4.6), libogg0 (>= 1.0rc3), libstdc++6 (>= 4.3.0), libtagc0 (>= 1.5), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), gnustep-fslayout-fhs Homepage: http://organact.mine.nu/~wolfgang/cynthiune/ Priority: optional Section: gnustep Filename: pool/main/c/cynthiune.app/cynthiune.app_0.9.5-14_armhf.deb Size: 419894 SHA256: 8e26bb925e3dd899d2e8441c2c20d84cce222af808706d361d22027f05f6c6d5 SHA1: c655bbbfaae997b5df2737eed35c926b2c66bd57 MD5sum: c744720892f7254ac4c68236f376124a Description: Music player for GNUstep Cynthiune is a free software, romantic and extensible music player for GNUstep and Mac OS X. Similar in look and feel to XMMS, it can read the most-known sound file formats: MP3, Ogg, FLAC, Mod, XM, AIFF, WAV and many more... . Very easy to use, it integrates well with the GNUstep desktop environment and shows a nice example of a cross-platform OpenStep application. Package: cyrus-admin Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-admin-2.4 Size: 934 SHA256: 93064d0f102db0be91a6e085935b86fdd04bf4eb2518729df5a100bfc4b8dde8 SHA1: 974084d337bc061352d0aeca7eb31b93338def60 MD5sum: 6820dd9e9ccbaa28fda73c1f989909e6 Description: Cyrus mail system - administration tools (metapackage) This is an empty package that depends on the current "best" version of cyrus-admin (currently cyrus-admin-2.4), as determined by the Cyrus IMAPD maintainers. Install this package if in doubt about which Cyrus IMAPD version you want, as this is the one we consider to be in the best shape. . For more information, please see the cyrus-common-2.4 package. Homepage: http://www.cyrusimap.org/ Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-admin_2.4.16-4+deb7u2_all.deb Package: cyrus-admin-2.2 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-common, cyrus-admin-2.4 Size: 974 SHA256: a212577009c31c6e083f2cf857f666159b03b88ab963477b77f35dbac2f50f70 SHA1: 361c62b9e3eca4109861a902762f078cbc499bee MD5sum: 7c727ba5299c90e72d400cdc129ccc85 Description: Transitional package for cyrus-admin-2.4 This is a transitional package to ease upgrades to the cyrus-admin-2.4 package. It can safely be removed. Homepage: http://www.cyrusimap.org/ Tag: implemented-in::perl, mail::filters, network::client, role::dummy, role::program, works-with::mail Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-admin-2.2_2.4.16-4+deb7u2_all.deb Package: cyrus-admin-2.4 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 190 Maintainer: Debian Cyrus Team Architecture: all Replaces: cyrus-admin (<< 2.4.16-4+deb7u2), cyrus-admin-2.2 (<< 2.4), cyrus-admin-2.3 Provides: cyrus-admin, cyrus-admin-2.2, cyrus-admin-2.3 Depends: libcyrus-imap-perl24 (>= 2.4.16-4+deb7u2) Suggests: sasl2-bin Conflicts: cyrus-admin (<< 2.4.16-4+deb7u2), cyrus-admin-2.2 (<< 2.4), cyrus-admin-2.3 Size: 117100 SHA256: ea0ced9c987de3af4f74d7ab4fcdfe2da222bffa568bdb67219473033e3bf080 SHA1: a82a7c8c7e805fe0b16a2d02fd0855483aa18cb6 MD5sum: 83227f41802ffdc42d40bc2d90b53772 Description: Cyrus mail system - administration tools This package provides the administrative tools for the Cyrus IMAPd suite. It contains the cyradm tool which can be used to administer both local and remote Cyrus mail systems. It also contains sieveshell and its deprecated cousin installsieve, which can be used to manage sieve scripts. . For more information, please see the cyrus-common-2.4 package. Homepage: http://www.cyrusimap.org/ Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-admin-2.4_2.4.16-4+deb7u2_all.deb Package: cyrus-clients Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-clients-2.4 Size: 932 SHA256: e09fafb573342456ea8e7d29a89bb0cae7bb538c6c94033a2caf7bc0cd0a0c1d SHA1: f70c76228669f1b86afa2bdc3ef1f059d53e962f MD5sum: ebbf428781eacab55350e2f82ae73a71 Description: Cyrus mail system - test clients (metapackage) This is an empty package that depends on the current "best" version of cyrus-clients (currently cyrus-clients-2.4), as determined by the Cyrus IMAPD maintainers. Install this package if in doubt about which Cyrus IMAPD version you want, as this is the one we consider to be in the best shape. . For more information, please see the cyrus-common-2.4 package. Homepage: http://www.cyrusimap.org/ Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-clients_2.4.16-4+deb7u2_all.deb Package: cyrus-clients-2.2 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-common, cyrus-clients-2.4 Size: 974 SHA256: a13d7ed145daa599dc11882c0554b2eede70135698b300f9c8630d50d2d2d561 SHA1: 4227b2801d0d294cb4f2ec6ca315cb0dcf371a5e MD5sum: eddf8b25c7161e3940c43bc3f47df6be Description: Transitional package for cyrus-clients-2.4 This is a transitional package to ease upgrades to the cyrus-clients-2.4 package. It can safely be removed. Homepage: http://www.cyrusimap.org/ Tag: role::dummy, role::program, works-with::mail Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-clients-2.2_2.4.16-4+deb7u2_all.deb Package: cyrus-clients-2.4 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Architecture: armhf Maintainer: Debian Cyrus Team Installed-Size: 265 Depends: libc6 (>= 2.13-28), libdb5.1, libsasl2-2 (>= 2.1.24), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4) Conflicts: cyrus-clients (<< 2.4.16-4+deb7u2), cyrus-clients-2.2 (<< 2.4), cyrus-clients-2.3 Replaces: cyrus-clients (<< 2.4.16-4+deb7u2), cyrus-clients-2.2 (<< 2.4), cyrus-clients-2.3 Provides: cyrus-clients, cyrus-clients-2.2, cyrus-clients-2.3 Homepage: http://www.cyrusimap.org/ Priority: extra Section: mail Filename: pool/main/c/cyrus-imapd-2.4/cyrus-clients-2.4_2.4.16-4+deb7u2_armhf.deb Size: 170224 SHA256: e65f98c00c88b786a14395d5f794a69a31a6787521ed20cd364e257e51cbb1c4 SHA1: 230d374b2c6d6bf4480563a866e2bfe005f3b88e MD5sum: 7d69095dfbb650ecb12b85655b31705d Description: Cyrus mail system - test clients This package contains client tools to test the Cyrus IMAPd suite. It contains the mupdatetest, sivtest, smtptest, lmtptest, imtest and pop3test tools which can be used to interactively talk to a mupdate, Sieve, SMTP, LMTP, IMAP or POP3 server. The clients are fully SASL-enabled for authentication. . For more information, please see the cyrus-common-2.4 package. Package: cyrus-common Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 291 Maintainer: Debian Cyrus Team Architecture: all Replaces: cyrus-common-2.2 (<< 2.2.13p1-9~), cyrus-common-2.4 (<< 2.4.8-6~) Depends: debconf (>= 0.5) | debconf-2.0, adduser, db-util, db4.7-util, db4.8-util, db5.1-util Conflicts: cyrus-common-2.2 (<< 2.2.13p1-9~), cyrus-common-2.4 (<< 2.4.8-6~) Size: 141720 SHA256: 1155e75de48eee50329d35d4c84958d7328ee43c0e14b71a7e50ab5dc2edfc3f SHA1: 99b145bf7d5bf1f76678f82708d0005bb83354e6 MD5sum: ccae222fd6cf326fd6c590e4194798b4 Description: Cyrus mail system - common files Cyrus is an IMAP server designed to handle massive quantities of mail, with a number of features not found in other IMAP implementations, including support for: - running the daemon without root privileges; - POP3 and NNTP in addition to plain IMAP; - secure IMAP using SSL; - server-side filtering with Sieve; - mail users without login accounts; - simple mail quotas; - virtual domains; - IPv6. . Cyrus doesn't support reading from and storing mail in the standard mail spool. It stores mail in a separate directory in its own MH-like format. . This package contains the common files needed by the other Cyrus components. The cyrus-imapd-2.4 and/or cyrus-pop3d-2.4 packages are needed to enable IMAP and POP3 support respectively. cyrus-murder-2.4 can be used to enable IMAP, POP3 and LMTP proxying. cyrus-replication-2.4 can be used to provide server replication between two imap servers. Homepage: http://www.cyrusimap.org/ Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-common_2.4.16-4+deb7u2_all.deb Package: cyrus-common-2.2 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-common, cyrus-common-2.4 Size: 962 SHA256: cb3755e756a4ff398997cd53ca6a69ed42421fbd02d460fe286e45a2a5702d70 SHA1: da3e37a84c84048e6ecaabdce5f916f6251eacb7 MD5sum: 4d0f473c06f4c99e33a8d9f1ed03323f Description: Transitional package for cyrus-common-2.4 This is a transitional package to ease upgrades to the cyrus-common-2.4 package. It can safely be removed. Homepage: http://www.cyrusimap.org/ Tag: implemented-in::c, interface::commandline, interface::daemon, mail::delivery-agent, mail::filters, mail::notification, network::server, role::dummy, role::program, works-with::mail Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-common-2.2_2.4.16-4+deb7u2_all.deb Package: cyrus-common-2.4 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Architecture: armhf Maintainer: Debian Cyrus Team Installed-Size: 38221 Depends: cyrus-common (>= 2.4.16-4+deb7u2~), ssmtp | mail-transport-agent, netbase (>= 4.07), gawk, libsasl2-modules, libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libdb5.1, libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libsasl2-2 (>= 2.1.24), libsnmp15 (>= 5.4.3~dfsg), libssl1.0.0 (>= 1.0.0), libwrap0 (>= 7.6-4~), libzephyr4, zlib1g (>= 1:1.1.4), perl Recommends: cyrus-imapd-2.4 | cyrus-pop3d-2.4 | cyrus-murder-2.4 | cyrus-nntpd-2.4 | cyrus-admin-2.4 Suggests: cyrus-clients-2.4, cyrus-admin-2.4, cyrus-imapd-2.4, cyrus-pop3d-2.4, cyrus-murder-2.4, cyrus-replication-2.4, cyrus-nntpd-2.4, cyrus-doc-2.4, sasl2-bin, apt-listchanges (>= 2.35) Conflicts: cyrus-common (<< 2.4.16-4+deb7u2), cyrus-common-2.2 (<< 2.4), cyrus-common-2.3, suidmanager (<< 0.50) Replaces: cyrus-common-2.2 (<< 2.4), cyrus-common-2.3 Provides: cyrus-common-2.2, cyrus-common-2.3 Homepage: http://www.cyrusimap.org/ Priority: extra Section: mail Filename: pool/main/c/cyrus-imapd-2.4/cyrus-common-2.4_2.4.16-4+deb7u2_armhf.deb Size: 8752698 SHA256: 5e4303148569fe0bab4ae04dff56fcaf36a931ca62d0ba72072680eefe0273e3 SHA1: aa14875c05aed2535dadb4c3da4e86e869052397 MD5sum: d939fcd90e2e5d31ae31483701117070 Description: Cyrus mail system - common files Cyrus is an IMAP server designed to handle massive quantities of mail, with a number of features not found in other IMAP implementations, including support for: - running the daemon without root privileges; - POP3 and NNTP in addition to plain IMAP; - secure IMAP using SSL; - server-side filtering with Sieve; - mail users without login accounts; - simple mail quotas; - virtual domains; - IPv6. . Cyrus doesn't support reading from and storing mail in the standard mail spool. It stores mail in a separate directory in its own MH-like format. . This package contains the common files needed by the other Cyrus components. The cyrus-imapd-2.4 and/or cyrus-pop3d-2.4 packages are needed to enable IMAP and POP3 support respectively. cyrus-murder-2.4 can be used to enable IMAP, POP3 and LMTP proxying. cyrus-replication-2.4 can be used to provide server replication between two imap servers. Package: cyrus-dev Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-dev-2.4 Size: 928 SHA256: 42848997c83e0e31221289b60e8b3fec659a7f5c81c62b93350524ced9bc45b2 SHA1: fd11347bfff737d588c8a8258d2e700840a70300 MD5sum: f19d6cc30a48df1d1b1ed67501dbfb38 Description: Cyrus mail system - developer files (metapackage) This is an empty package that depends on the current "best" version of cyrus-dev (currently cyrus-dev-2.4), as determined by the Cyrus IMAPD maintainers. Install this package if in doubt about which Cyrus IMAPD version you want, as this is the one we consider to be in the best shape. . For more information, please see the cyrus-common-2.4 package. Homepage: http://www.cyrusimap.org/ Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-dev_2.4.16-4+deb7u2_all.deb Package: cyrus-dev-2.2 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-common, cyrus-dev-2.4 Size: 966 SHA256: 1617e9eaf1a1d766c677ad5d65d835b45d94b87701a8253dfdd623e223973dc7 SHA1: 420448baeaf51dbd76fb9a1dfaca853ae869ab7a MD5sum: 2efa9cc5bc8f4c6981e3f1201340efef Description: Transitional package for cyrus-dev-2.4 This is a transitional package to ease upgrades to the cyrus-dev-2.4 package. It can safely be removed. Homepage: http://www.cyrusimap.org/ Tag: devel::library, role::devel-lib, role::dummy, works-with::mail Section: devel Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-dev-2.2_2.4.16-4+deb7u2_all.deb Package: cyrus-dev-2.4 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Architecture: armhf Maintainer: Debian Cyrus Team Installed-Size: 1396 Depends: libcyrus-imap-perl24 (>= 2.4.16-4+deb7u2), cyrus-common-2.4 (= 2.4.16-4+deb7u2), libsasl2-dev (>= 2.1.9) Conflicts: cyrus-dev (<< 2.4.16-4+deb7u2), cyrus-dev-2.2 (<< 2.4), cyrus-dev-2.3, libdb2-dev (<< 2.7.7.0-6), libdb3-dev (<< 3.2.9-15), libdb4.2-dev (<< 4.2.52) Replaces: cyrus-dev (<< 2.4.16-4+deb7u2), cyrus-dev-2.2 (<< 2.4), cyrus-dev-2.3 Provides: cyrus-dev, cyrus-dev-2.2, cyrus-dev-2.3 Homepage: http://www.cyrusimap.org/ Priority: extra Section: devel Filename: pool/main/c/cyrus-imapd-2.4/cyrus-dev-2.4_2.4.16-4+deb7u2_armhf.deb Size: 267890 SHA256: cece4a6438b3d32faf6be21432dda7c669e6307e832df1ad110ed836f31616ea SHA1: 012485dd8c77b024fafb7abe13194b6fbda2804a MD5sum: 88fc0ef7dd420a04f094635b6b908f06 Description: Cyrus mail system - developer files This package contains header files and the static library needed to develop IMAP applications that interface with the Cyrus IMAPd suite. . For more information, please see the cyrus-common-2.4 package. Package: cyrus-doc Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-doc-2.4 Size: 930 SHA256: c8c8807dfc16bd7e078233d518bb8987cd39aa2cd029084aeae4fa3c3b704b26 SHA1: e533f2b444b29e134562877be59086910e1b47db MD5sum: d22f0fcda1c5888369b4d27a2176003c Description: Cyrus mail system - documentation files (metapackage) This is an empty package that depends on the current "best" version of cyrus-doc (currently cyrus-doc-2.4), as determined by the Cyrus IMAPD maintainers. Install this package if in doubt about which Cyrus IMAPD version you want, as this is the one we consider to be in the best shape. . For more information, please see the cyrus-common-2.4 package. Homepage: http://www.cyrusimap.org/ Tag: mail::imap, role::documentation, role::metapackage Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-doc_2.4.16-4+deb7u2_all.deb Package: cyrus-doc-2.2 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-common, cyrus-doc-2.4 Size: 968 SHA256: f641668928e92af6153d3f8a62987c0d0dfee2891d0cb379a959b4ac4d37a5c1 SHA1: b36b29273ce8b492a517ffbc8c40eb96bee60b72 MD5sum: 81430346d9af9a6c00d0d9a6ed7c11d1 Description: Transitional package for cyrus-doc-2.4 This is a transitional package to ease upgrades to the cyrus-doc-2.4 package. It can safely be removed. Homepage: http://www.cyrusimap.org/ Tag: made-of::html, role::documentation, role::dummy, works-with::mail Section: doc Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-doc-2.2_2.4.16-4+deb7u2_all.deb Package: cyrus-doc-2.4 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 863 Maintainer: Debian Cyrus Team Architecture: all Replaces: cyrus-doc (<< 2.4.16-4+deb7u2), cyrus-doc-2.2 (<< 2.4), cyrus-doc-2.3 Provides: cyrus-doc, cyrus-doc-2.2, cyrus-doc-2.3 Conflicts: cyrus-doc (<< 2.4.16-4+deb7u2), cyrus-doc-2.2 (<< 2.4), cyrus-doc-2.3 Size: 284598 SHA256: 5db994b86cf5995c67e8e6f4e2d80a8d401b106a49631b05073b673821c2c9d5 SHA1: 04af247f639e2d5d15bf4a9bea4cafaa7d162b33 MD5sum: 48b2f62521bab52582b01bf4d800d805 Description: Cyrus mail system - documentation files Cyrus is an IMAP server designed to handle massive quantities of mail, with a number of features not found in other IMAP implementations, including support for: - running the daemon without root privileges; - POP3 and NNTP in addition to plain IMAP; - secure IMAP using SSL; - server-side filtering with Sieve; - mail users without login accounts; - simple mail quotas; - virtual domains; - IPv6. . This package contains the documentation for the Cyrus IMAPd suite. . For more information, please see the cyrus-common-2.4 package. Homepage: http://www.cyrusimap.org/ Tag: made-of::html, mail::imap, role::documentation Section: doc Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-doc-2.4_2.4.16-4+deb7u2_all.deb Package: cyrus-imapd Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-imapd-2.4 Size: 926 SHA256: 5f22cc2863594aa62190224690b6da051a02773128e5d7efb33641398408cc44 SHA1: 5210fd7dd491bfcb5892648b1eb358985c57f647 MD5sum: fb3f7c27b1b642723322d1589eb825ab Description: Cyrus mail system - IMAP support (metapackage) This is an empty package that depends on the current "best" version of cyrus-imapd (currently cyrus-imapd-2.4), as determined by the Cyrus IMAPD maintainers. Install this package if in doubt about which Cyrus IMAPD version you want, as this is the one we consider to be in the best shape. . For more information, please see the cyrus-common-2.4 package. Homepage: http://www.cyrusimap.org/ Tag: mail::imap, mail::transport-agent, network::server, protocol::imap, role::metapackage, role::program Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-imapd_2.4.16-4+deb7u2_all.deb Package: cyrus-imapd-2.2 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-common, cyrus-imapd-2.4 Size: 966 SHA256: c1c766ac4c7c5724b06dca6b376da28d05e2d4e83375dc9962e292570755b77b SHA1: c8b3ce22f5fefd2e41e97f51aa3c3d81241c875d MD5sum: 73490a28b238973f16291381a4c2afb2 Description: Transitional package for cyrus-imapd-2.4 This is a transitional package to ease upgrades to the cyrus-imapd-2.4 package. It can safely be removed. Homepage: http://www.cyrusimap.org/ Tag: implemented-in::c, interface::daemon, mail::imap, network::server, protocol::imap, protocol::ssl, role::dummy, role::program, works-with::mail Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-imapd-2.2_2.4.16-4+deb7u2_all.deb Package: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Architecture: armhf Maintainer: Debian Cyrus Team Installed-Size: 4114 Depends: cyrus-common-2.4 (= 2.4.16-4+deb7u2), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libdb5.1, libsasl2-2 (>= 2.1.24), libssl1.0.0 (>= 1.0.0), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4) Conflicts: cyrus-imapd (<< 2.4.16-4+deb7u2), cyrus-imapd-2.2 (<< 2.4), cyrus-imapd-2.3, imap-server Breaks: cyrus-common (<< 2.4.8-6) Replaces: cyrus-common-2.4 (<< 2.4.8-6), cyrus-imapd (<< 2.4.16-4+deb7u2), cyrus-imapd-2.2 (<< 2.4), cyrus-imapd-2.3 Provides: cyrus-imapd, cyrus-imapd-2.2, cyrus-imapd-2.3, imap-server Homepage: http://www.cyrusimap.org/ Priority: extra Section: mail Filename: pool/main/c/cyrus-imapd-2.4/cyrus-imapd-2.4_2.4.16-4+deb7u2_armhf.deb Size: 1013434 SHA256: 399fef11478168fc5c678f1745b3868d9271e0aeb88c8841afe9327d0b9bac75 SHA1: a1cbc666a3780131adad6752278e312c070a767a MD5sum: 4cbcb7c613a3b518dc9095f03011ddd9 Description: Cyrus mail system - IMAP support Cyrus is an IMAP server designed to handle massive quantities of mail, with a number of features not found in other IMAP implementations, including support for: - running the daemon without root privileges; - POP3 and NNTP in addition to plain IMAP; - secure IMAP using SSL; - server-side filtering with Sieve; - mail users without login accounts; - simple mail quotas; - virtual domains; - IPv6. . This package contains the IMAP (Internet Mail Access Protocol) portion of the Cyrus IMAPd suite. . For more information, please see the cyrus-common-2.4 package. Package: cyrus-imspd Version: 1.8-3 Architecture: armhf Maintainer: Christoph Berg Installed-Size: 177 Depends: libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7), libsasl2-2 (>= 2.1.24), lsb-base Enhances: cyrus-imapd Homepage: https://cyrusimap.web.cmu.edu/archives/rfc/imsp.html Priority: extra Section: mail Filename: pool/main/c/cyrus-imspd/cyrus-imspd_1.8-3_armhf.deb Size: 72960 SHA256: d9320b32fe7a55cc9d5b1bdd97d367e2db2b3dfc83c291b54fc445aa6fecb2ba SHA1: 6251db954515cd054272e7e0c4a5bf1834b7cee0 MD5sum: ee3f3e088946af25c98e77013745eb7e Description: Internet Message Support Protocol daemon This package contains the cyrus-imspd daemon for the Internet Message Support Protocol (imsp), providing central storage for addressbooks and application config. Package: cyrus-murder Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-murder-2.4 Size: 938 SHA256: ab8af59daad1927327d24301e87c590f0aed0e01333bad657bc278928178a352 SHA1: 20f122bd7280bd2e15e09249051be26fa281c30a MD5sum: 12b34679b8b18cf10537347b23216b16 Description: Cyrus mail system - proxies and aggregator (metapackage) This is an empty package that depends on the current "best" version of cyrus-murder (currently cyrus-murder-2.4), as determined by the Cyrus IMAPD maintainers. Install this package if in doubt about which Cyrus IMAPD version you want, as this is the one we consider to be in the best shape. . For more information, please see the cyrus-common-2.4 package. Homepage: http://www.cyrusimap.org/ Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-murder_2.4.16-4+deb7u2_all.deb Package: cyrus-murder-2.2 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-common, cyrus-murder-2.4 Size: 970 SHA256: 065381cc01c670f47e833a35f35f00409b9b41219b2e060ab48ab8390c47bd4b SHA1: 7c109a69477cdf53a5d3c548c4de9ed54d965824 MD5sum: e43009f96a1fc07fcfb9a5fdd0c5a7d6 Description: Transitional package for cyrus-murder-2.4 This is a transitional package to ease upgrades to the cyrus-murder-2.4 package. It can safely be removed. Homepage: http://www.cyrusimap.org/ Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-murder-2.2_2.4.16-4+deb7u2_all.deb Package: cyrus-murder-2.4 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Architecture: armhf Maintainer: Debian Cyrus Team Installed-Size: 5503 Depends: cyrus-common-2.4 (= 2.4.16-4+deb7u2), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libdb5.1, libsasl2-2 (>= 2.1.24), libssl1.0.0 (>= 1.0.0), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4) Recommends: cyrus-imapd-2.4 (= 2.4.16-4+deb7u2), cyrus-pop3d-2.4 (= 2.4.16-4+deb7u2) Conflicts: cyrus-murder (<< 2.4.16-4+deb7u2), cyrus-murder-2.2 (<< 2.4), cyrus-murder-2.3 Replaces: cyrus-murder (<< 2.4.16-4+deb7u2), cyrus-murder-2.2 (<< 2.4), cyrus-murder-2.3 Provides: cyrus-murder, cyrus-murder-2.2, cyrus-murder-2.3, cyrus21-murder, cyrus22-murder Homepage: http://www.cyrusimap.org/ Priority: extra Section: mail Filename: pool/main/c/cyrus-imapd-2.4/cyrus-murder-2.4_2.4.16-4+deb7u2_armhf.deb Size: 1367494 SHA256: 0a9fd0f47e6955ef0d5473d0a7ff033921955f74edb223c731aa4e82dd1f0378 SHA1: 7ade770f9983b2376a889b069e147cb846a03adc MD5sum: adc1f1e0c9c0d511d994712351ce2248 Description: Cyrus mail system - proxies and aggregator This package contains the Cyrus IMAPd suite murder aggregator system, i.e. IMAP, POP3 and LMTP proxies, and the mupdate mailbox master daemon. It allows for cluster setups where there are many backend Cyrus spools and frontend proxy servers. . For more information, please see the cyrus-common-2.4 package. Package: cyrus-nntpd Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-nntpd-2.4 Size: 930 SHA256: c5a373f48aa19e50c8b060ea5dc607e149e6bf318d34863a2356d38387ba6f71 SHA1: 9fe35a66619881fc3b14ef08fde7b87f85b25f0f MD5sum: c5fbd72c8212cb5d077b729a45a2949f Description: Cyrus mail system - NNTP support (metapackage) This is an empty package that depends on the current "best" version of cyrus-nntpd (currently cyrus-nntpd-2.4), as determined by the Cyrus IMAPD maintainers. Install this package if in doubt about which Cyrus IMAPD version you want, as this is the one we consider to be in the best shape. . For more information, please see the cyrus-common-2.4 package. Homepage: http://www.cyrusimap.org/ Tag: interface::daemon, network::server, protocol::nntp, role::metapackage, role::program Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-nntpd_2.4.16-4+deb7u2_all.deb Package: cyrus-nntpd-2.2 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-common, cyrus-nntpd-2.4 Size: 970 SHA256: 4b66317363a8aaabcba25bc5dde07bac9c7934f009e9e848ab6c4c239d26852b SHA1: b5fed6954f3c88226db9317d610e1ea519525cb5 MD5sum: 2ea96422247d6fcae111cfb9057d3c7a Description: Transitional package for cyrus-nntpd-2.4 This is a transitional package to ease upgrades to the cyrus-nntpd-2.4 package. It can safely be removed. Homepage: http://www.cyrusimap.org/ Tag: interface::daemon, network::server, protocol::nntp, role::dummy, role::program, works-with::mail Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-nntpd-2.2_2.4.16-4+deb7u2_all.deb Package: cyrus-nntpd-2.4 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Architecture: armhf Maintainer: Debian Cyrus Team Installed-Size: 2700 Depends: cyrus-common-2.4 (= 2.4.16-4+deb7u2), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libdb5.1, libsasl2-2 (>= 2.1.24), libssl1.0.0 (>= 1.0.0), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4) Conflicts: cyrus-nntpd (<< 2.4.16-4+deb7u2), cyrus-nntpd-2.2 (<< 2.4), cyrus-nntpd-2.3, news-transport-system Replaces: cyrus-nntpd (<< 2.4.16-4+deb7u2), cyrus-nntpd-2.2 (<< 2.4), cyrus-nntpd-2.3 Provides: cyrus-nntpd, cyrus-nntpd-2.2, cyrus-nntpd-2.3, news-transport-system Homepage: http://www.cyrusimap.org/ Priority: extra Section: mail Filename: pool/main/c/cyrus-imapd-2.4/cyrus-nntpd-2.4_2.4.16-4+deb7u2_armhf.deb Size: 646570 SHA256: cb3249d7e5cf146700b3b45acb8ff53a847ca9e91563ed78a93d08dfc4173829 SHA1: cec5c0d2495df16fe14c16b862ed151b380c3d41 MD5sum: e891ba0e3b9c40e7c2fce69e8d86f7dc Description: Cyrus mail system - NNTP support This package contains the NNTP (Network News Transfer Protocol) portion of the Cyrus IMAPd suite. . For more information, please see the cyrus-common-2.4 package. Package: cyrus-pop3d Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-pop3d-2.4 Size: 932 SHA256: 87eb367200de533b4b2293c54c2ba45203dcff2183d11e552344aad2ad430992 SHA1: 7283cd08eeeedef9850733098d9ae6af65a2a928 MD5sum: c2f2107a40b8ebd1e03378d50c2976dc Description: Cyrus mail system - POP3 support (metapackage) This is an empty package that depends on the current "best" version of cyrus-pop3d (currently cyrus-pop3d-2.4), as determined by the Cyrus IMAPD maintainers. Install this package if in doubt about which Cyrus IMAPD version you want, as this is the one we consider to be in the best shape. . For more information, please see the cyrus-common-2.4 package. Homepage: http://www.cyrusimap.org/ Tag: interface::daemon, network::server, protocol::pop3, role::metapackage, role::program Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-pop3d_2.4.16-4+deb7u2_all.deb Package: cyrus-pop3d-2.2 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-common, cyrus-pop3d-2.4 Size: 972 SHA256: b14423b282b783d0a8269547955db55c2556e4381bb3c017610f5df0e2740f58 SHA1: fb594cc5f709ce67d87fa4147006be0858bbb975 MD5sum: c975b6d564e6701b8857b31e8781c8e9 Description: Transitional package for cyrus-pop3d-2.4 This is a transitional package to ease upgrades to the cyrus-pop3d-2.4 package. It can safely be removed. Homepage: http://www.cyrusimap.org/ Tag: implemented-in::c, interface::daemon, mail::pop, network::server, protocol::pop3, protocol::ssl, role::dummy, role::program, works-with::mail Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-pop3d-2.2_2.4.16-4+deb7u2_all.deb Package: cyrus-pop3d-2.4 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Architecture: armhf Maintainer: Debian Cyrus Team Installed-Size: 1347 Depends: cyrus-common-2.4 (= 2.4.16-4+deb7u2), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libdb5.1, libsasl2-2 (>= 2.1.24), libssl1.0.0 (>= 1.0.0), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4) Conflicts: cyrus-pop3d (<< 2.4.16-4+deb7u2), cyrus-pop3d-2.2 (<< 2.4), cyrus-pop3d-2.3, pop3-server Replaces: cyrus-pop3d (<< 2.4.16-4+deb7u2), cyrus-pop3d-2.2 (<< 2.4), cyrus-pop3d-2.3 Provides: cyrus-pop3d, cyrus-pop3d-2.2, cyrus-pop3d-2.3, pop3-server Homepage: http://www.cyrusimap.org/ Priority: extra Section: mail Filename: pool/main/c/cyrus-imapd-2.4/cyrus-pop3d-2.4_2.4.16-4+deb7u2_armhf.deb Size: 308096 SHA256: 0963e58b1476e07dc7c580e56f534243f7ac2e6154bc0e84f00b11f48507c6a9 SHA1: 9b97f66523bc17913a03381d1979efc2dbb5c032 MD5sum: f8020ffc17aab8c03f6619c9080aa9ca Description: Cyrus mail system - POP3 support Cyrus is an IMAP server designed to handle massive quantities of mail, with a number of features not found in other IMAP implementations, including support for: - running the daemon without root privileges; - POP3 and NNTP in addition to plain IMAP; - secure IMAP using SSL; - server-side filtering with Sieve; - mail users without login accounts; - simple mail quotas; - virtual domains; - IPv6. . This package contains the POP3 (Post Office Protocol) portion of the Cyrus IMAPd suite. . For more information, please see the cyrus-common-2.4 package. Package: cyrus-replication Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-replication-2.4 Size: 932 SHA256: fe714a8e6c1a244e5e07393f31ad2b7d7b2031dce153310f19c8fb73c3939fde SHA1: 10a45bb31221f910f5ee89a71859a8d18bb0b73e MD5sum: b90a1095025bb84186509be16462b2a1 Description: Cyrus mail system - replication (metapackage) This is an empty package that depends on the current "best" version of cyrus-replication (currently cyrus-replication-2.4), as determined by the Cyrus IMAPD maintainers. Install this package if in doubt about which Cyrus IMAPD version you want, as this is the one we consider to be in the best shape. . For more information, please see the cyrus-common-2.4 package. Homepage: http://www.cyrusimap.org/ Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/cyrus-replication_2.4.16-4+deb7u2_all.deb Package: cyrus-replication-2.4 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Architecture: armhf Maintainer: Debian Cyrus Team Installed-Size: 5208 Depends: cyrus-common-2.4 (= 2.4.16-4+deb7u2), cyrus-imapd-2.4 (= 2.4.16-4+deb7u2) | cyrus-pop3d-2.4 (= 2.4.16-4+deb7u2), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libdb5.1, libsasl2-2 (>= 2.1.24), libssl1.0.0 (>= 1.0.0), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4) Conflicts: cyrus-replication (<< 2.4.16-4+deb7u2) Breaks: cyrus-common-2.4 (<< 2.4.8-6) Replaces: cyrus-common-2.4 (<< 2.4.8-6), cyrus-replication (<< 2.4.16-4+deb7u2) Provides: cyrus-replication Homepage: http://www.cyrusimap.org/ Priority: extra Section: mail Filename: pool/main/c/cyrus-imapd-2.4/cyrus-replication-2.4_2.4.16-4+deb7u2_armhf.deb Size: 1207320 SHA256: 1162d106b02d06f540dbb2840c2b534fff4819f9e4b0d179cca66b445150a4e1 SHA1: b5ab6c2359f5622d6ba3b2d548aba40c94654587 MD5sum: aae47513af37aad5fa3be943d8e9bc58 Description: Cyrus mail system - replication This package contains the Cyrus IMAPd suite replication system. It allows the replication of the mail spool between two imap or pop servers. . For more information, please see the cyrus-common-2.4 package. Package: cyrus-sasl2-dbg Source: cyrus-sasl2 Version: 2.1.25.dfsg1-6+deb7u1 Architecture: armhf Maintainer: Debian Cyrus SASL Team Installed-Size: 901 Depends: libsasl2-2 (= 2.1.25.dfsg1-6+deb7u1) Recommends: cyrus-sasl2-mit-dbg | cyrus-sasl2-heimdal-dbg Homepage: http://www.cyrusimap.org/ Priority: extra Section: debug Filename: pool/main/c/cyrus-sasl2/cyrus-sasl2-dbg_2.1.25.dfsg1-6+deb7u1_armhf.deb Size: 677196 SHA256: 7ec0c6cdbed8ba4c3491a4f584d6f8cd1b67c71eb1dce688c936518641e7982c SHA1: 6acd9cc05a3c7f8283256f9c1b1c0a73fee4d3ed MD5sum: de743582f17e07da5d8338e96295c01b Description: Cyrus SASL - debugging symbols This is the Cyrus SASL API implementation, version 2. See package libsasl2-2 and RFC 2222 for more information. . This package contains the debugging symbols for all Cyrus SASL packages. The debugging symbols can be useful when investigating crashes in the SASL library or tools. You may be asked to install this package if you encounter such a crash. Package: cyrus-sasl2-doc Source: cyrus-sasl2 Version: 2.1.25.dfsg1-6+deb7u1 Installed-Size: 247 Maintainer: Debian Cyrus SASL Team Architecture: all Size: 112982 SHA256: c7eb3158aca04adaf8bf868bf153fdfa23079808cc7e8643d9d56b13632933e6 SHA1: ea2e2bb86e62b3146c30a3c7e5bad0a4da75cc9a MD5sum: 94a54132d1bbcdea2b68f1c1421d3e82 Description: Cyrus SASL - documentation This is the Cyrus SASL API implementation, version 2.1. See package libsasl2-2 and RFC 2222 for more information. . This package contains documentation for system administrators. Homepage: http://www.cyrusimap.org/ Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/cyrus-sasl2/cyrus-sasl2-doc_2.1.25.dfsg1-6+deb7u1_all.deb Package: cyrus-sasl2-heimdal-dbg Source: cyrus-sasl2 Version: 2.1.25.dfsg1-6+deb7u1 Architecture: armhf Maintainer: Debian Cyrus SASL Team Installed-Size: 124 Depends: cyrus-sasl2-dbg (= 2.1.25.dfsg1-6+deb7u1), libsasl2-modules-gssapi-heimdal (= 2.1.25.dfsg1-6+deb7u1) Conflicts: cyrus-sasl2-mit-dbg Homepage: http://www.cyrusimap.org/ Priority: extra Section: debug Filename: pool/main/c/cyrus-sasl2/cyrus-sasl2-heimdal-dbg_2.1.25.dfsg1-6+deb7u1_armhf.deb Size: 80186 SHA256: 322b91e0a3ddf284fd497e07c806fbafd350e7850aa642fc72b91f2e097210a9 SHA1: 94e0b565c031c3fbe0a25584a8bc16187cc697c2 MD5sum: 6267d4bebafdbbbd027330903ecb1471 Description: Cyrus SASL - debugging symbols for Heimdal modules This is the Cyrus SASL API implementation, version 2. See package libsasl2-2 and RFC 2222 for more information. . This package contains the debugging symbols for the Cyrus SASL Heimdal GSSAPI modules package (libsasl2-modules-gssapi-heimdal). The debugging symbols can be useful when investigating crashes in the SASL library or tools. You may be asked to install this package if you encounter such a crash. Package: cyrus-sasl2-mit-dbg Source: cyrus-sasl2 Version: 2.1.25.dfsg1-6+deb7u1 Architecture: armhf Maintainer: Debian Cyrus SASL Team Installed-Size: 123 Depends: cyrus-sasl2-dbg (= 2.1.25.dfsg1-6+deb7u1), libsasl2-modules-gssapi-mit (= 2.1.25.dfsg1-6+deb7u1) Conflicts: cyrus-sasl2-heimdal-dbg Homepage: http://www.cyrusimap.org/ Priority: extra Section: debug Filename: pool/main/c/cyrus-sasl2/cyrus-sasl2-mit-dbg_2.1.25.dfsg1-6+deb7u1_armhf.deb Size: 79954 SHA256: 26eb0b20f08303b892fc6068db77f1c1f5565d6e744b97442fd22ec33527616e SHA1: 74ec9ad5578b4047b5489f37ab19b074ee80fd44 MD5sum: f8be5c130fb54efeb71685e1f95ab3db Description: Cyrus SASL - debugging symbols for MIT modules This is the Cyrus SASL API implementation, version 2. See package libsasl2-2 and RFC 2222 for more information. . This package contains the debugging symbols for the Cyrus SASL MIT GSSAPI modules package (libsasl2-modules-gssapi-mit). The debugging symbols can be useful when investigating crashes in the SASL library or tools. You may be asked to install this package if you encounter such a crash. Package: cython Version: 0.15.1-2 Architecture: armhf Maintainer: Python Applications Packaging Team Installed-Size: 6181 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28) Suggests: python-dev, gcc Homepage: http://cython.org/ Priority: optional Section: python Filename: pool/main/c/cython/cython_0.15.1-2_armhf.deb Size: 1914172 SHA256: 48247d945b54891e0817d7516ee8654119a5ed63af8626a14773694090a2cb92 SHA1: d02a90f7327b82fec61348573b7d2f04b2175be3 MD5sum: 4fdd9d1b1b7f48067546a06bb5636851 Description: C-Extensions for Python Cython is a language that makes writing C extensions for the Python language as easy as Python itself. Cython is based on the well-known Pyrex, but supports more cutting edge functionality and optimizations. . The Cython language is very close to the Python language, but Cython additionally supports calling C functions and declaring C types on variables and class attributes. This allows the compiler to generate very efficient C code from Cython code. . This makes Cython the ideal language for wrapping for external C libraries, and for fast C modules that speed up the execution of Python code. Python-Version: 2.6, 2.7 Package: cython-dbg Source: cython Version: 0.15.1-2 Architecture: armhf Maintainer: Python Applications Packaging Team Installed-Size: 17502 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28), cython (= 0.15.1-2) Suggests: gcc Homepage: http://cython.org/ Priority: extra Section: debug Filename: pool/main/c/cython/cython-dbg_0.15.1-2_armhf.deb Size: 5324270 SHA256: 82e9d7021dea27b3aea3fa577265557029a8d1fdcc3ec31f3794f1b90ba01dc5 SHA1: 9fd9f9d0375e80203939da32954f1ba4e32e3809 MD5sum: 63041f5b77c45b61651dbb1f0e778464 Description: C-Extensions for Python (Debug Build of Cython) This package contains Cython libraries built against versions of Python configured with --pydebug. Python-Version: 2.6, 2.7 Package: d-feet Version: 0.1.14-1 Installed-Size: 280 Maintainer: Utopia Maintenance Team Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-dbus (>= 0.82.3), python-gtk2, hicolor-icon-theme Recommends: python-wnck Size: 83252 SHA256: f7d0ac9d5adfdeb2760ef9ef77aeca1de67a0c01c56e936db6277e57e3e11a88 SHA1: 925ba89193c7fc99dc7269c0fac1cb2ee579bdb3 MD5sum: 34887ca406b2bffe5f81e98dfb0f2ca7 Description: D-Bus object browser, viewer and debugger d-feet is a D-Bus debugger that allow you to: * View names on the session and system bus * View exported objects, interfaces, methods and signals * View the full command line of services on the bus * Execute methods with parameters on the bus and see their return values Homepage: http://live.gnome.org/DFeet/ Python-Version: 2.6, 2.7 Tag: devel::debugger, implemented-in::python, role::program Section: devel Priority: extra Filename: pool/main/d/d-feet/d-feet_0.1.14-1_all.deb Package: d-itg Version: 2.8.1~rc1-1 Architecture: armhf Maintainer: Roland Stigge Installed-Size: 664 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsctp1 (>= 1.0.10+dfsg), libstdc++6 (>= 4.4.0) Homepage: http://www.grid.unina.it/software/ITG/ Priority: extra Section: net Filename: pool/main/d/d-itg/d-itg_2.8.1~rc1-1_armhf.deb Size: 329708 SHA256: a282c070d5241a2c57cc6e4e3a8473de195d4fb5c88fa330c0b25b12cb9e059e SHA1: 601cd4baa171515b72f0908436b210ac9a966f99 MD5sum: daa676637a2f5e2c58b84d4201147e80 Description: Distributed Internet Traffic Generator D-ITG (Distributed Internet Traffic Generator) is a platform capable to produce traffic at packet level accurately replicating appropriate stochastic processes for both IDT (Inter Departure Time) and PS (Packet Size) random variables (exponential, uniform, cauchy, normal, pareto, ...). D-ITG supports both IPv4 and IPv6 traffic generation and it is capable to generate traffic at network, transport, and application layer. We believe that D-ITG shows interesting properties when compared to other traffic generators. Package: d-push Version: 2.0-1.1 Installed-Size: 2553 Maintainer: Wolfram Quester Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, php5, php5-cli, php-pear, php5-imap, php-mail Recommends: apache2 | lighttpd | httpd-cgi, libapache2-mod-php5 Size: 443994 SHA256: 2008b3e955609e09b37c5210ca54a48963ba72dccfa4caee3813b69612433d6c SHA1: 7059f51fe37e54e047c1209bf6881a8f35f36724 MD5sum: 285690d8656d16acc3ea1473448e436d Description: open source implementation of the ActiveSync protocol D-push is debian's rebranded version of zarafa's z-push. It is an implementation of the ActiveSync protocol which is used 'over-the-air' for multi platform ActiveSync devices, including Windows Mobile, iPhone, Sony Ericsson and Nokia mobile devices. With D-push any groupware can be connected and synced with these devices. Homepage: http://z-push.sourceforge.net/soswp Section: web Priority: extra Filename: pool/main/d/d-push/d-push_2.0-1.1_all.deb Package: d-rats Version: 0.3.3-3 Installed-Size: 1588 Maintainer: Debian Hamradio Maintainers Architecture: all Depends: python, python-support (>= 0.90.0), python-libxslt1, python-gtk2, python-libxml2, python-serial Size: 283778 SHA256: 77c911c32049261ea5107e77afcb8cc58508dbad982d4a4a3b00e239c2e6cf50 SHA1: ae8e8a2c74efa2bb37a6065481baf0fecfbd3d39 MD5sum: d6ba500c719c4622ef53767dee5df440 Description: Communications tool for D-STAR amateur radio D-RATS is a communications tool for D-STAR amateur radio low-speed data (DV mode). It provides: Multi-user chat capabilities; File transfers Structured data transport (forms); and Position tracking and mapping. Homepage: http://www.d-rats.com/ Tag: hardware::hamradio, role::program Section: hamradio Priority: optional Filename: pool/main/d/d-rats/d-rats_0.3.3-3_all.deb Package: d-shlibs Version: 0.52 Installed-Size: 61 Maintainer: Jonas Smedegaard Architecture: all Depends: binutils Size: 16134 SHA256: 6e4e1dc49463d40e2a59a1e5eb12a67c2d49f28914a778a58219f67024660232 SHA1: 61df0747f5ed4858b05494153ab73d3ecce8fec6 MD5sum: c354fc4a88ba8725f050041da8c7e7d9 Description: Debian shared library package building helper scripts Shared library packages in Debian need some special catering to do with regards to which files go where. This package contains programs which try to help automate the process and catch simple errors . "d-shlibmove" moves the shared library binary around from temporary installation directory to the destination package directory . "d-devlibdeps" tries to list the development library dependencies required for using the -dev package. Tag: devel::packaging, implemented-in::shell, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:source Section: utils Priority: optional Filename: pool/main/d/d-shlibs/d-shlibs_0.52_all.deb Package: d52 Version: 3.4.1-1.1 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 200 Depends: libc6 (>= 2.7) Homepage: http://home.pacbell.net/theposts Priority: extra Section: devel Filename: pool/main/d/d52/d52_3.4.1-1.1_armhf.deb Size: 80260 SHA256: c265d2bd1954c4adcdbfd53d70174346220937f5da3203a720a6971a254b5bcd SHA1: d082f12de76f4debf618c1c6fab584b9f6e25596 MD5sum: c443d94c97dbd7336e993b9de4154b0e Description: Disassembler for 8052, 8048/8041, and Z80/8080/8085 code Disassembler for microcontroller code which supports various targets. . This package contains: - d52: a disassembler for 8052 code, - d48: a disassembler for 8048/8041 code, - dz80: a disassembler for Z80/8080/8085 code. Package: daa2iso Version: 0.1.7e-1 Architecture: armhf Maintainer: Juan Angulo Moreno Installed-Size: 67 Depends: libc6 (>= 2.4) Homepage: http://aluigi.org/mytoolz.htm#daa2iso Priority: extra Section: utils Filename: pool/main/d/daa2iso/daa2iso_0.1.7e-1_armhf.deb Size: 20376 SHA256: 60a1f0a9608520dbef9b54b6c46678fe1e389928c4434cbae8ef09b80acbef7c SHA1: 52a10b49627fd325ce8f7fb0d06da650870c2d80 MD5sum: 2632c3816092fd0e5daf411764eed94a Description: The DAA files (Direct Access Archive) to ISO converting tool DAA2ISO is an open source command-line tool for converting single and multipart DAA file images to the original ISO format. . The DAA image (Direct Access Archive) in fact is just a compressed ISO which can be created through the commercial program PowerISO. Package: dacco-common Source: dacco Version: 0.9+20071227-5 Installed-Size: 7852 Maintainer: Innocent De Marchi Architecture: all Size: 1129192 SHA256: 0345b5deadd87c94257c072d3adbc12647ea188852eef700d52d4a8a0fd46550 SHA1: 6d26109f5c64b757588d50516b113dc1b056276e MD5sum: 785e2336e079750f84e66a375f75fc10 Description: Catalan/English dictionary (xml files) The acronym DACCO stands for 'Diccionari Anglès-Català de Codi Obert', or 'Open Source English Catalan Dictionary'. In fact, the project hosts two dictionaries: an English Catalan dictionary and a Catalan English dictionary. . This package contains the xml files used for other projects, as Qdacco. Homepage: http://www.ibiblio.org/dacco/ Tag: culture::catalan, made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/d/dacco/dacco-common_0.9+20071227-5_all.deb Package: dacs Version: 1.4.27b-2 Architecture: armhf Maintainer: Christoph Berg Installed-Size: 3255 Depends: libc6 (>= 2.13-28), libdacs1 (= 1.4.27b-2), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libpam0g (>= 0.99.7.1), libsasl2-2 (>= 2.1.24), libssl1.0.0 (>= 1.0.0) Suggests: libapache2-mod-dacs Homepage: http://dacs.dss.ca/ Priority: optional Section: web Filename: pool/main/d/dacs/dacs_1.4.27b-2_armhf.deb Size: 1726186 SHA256: 964bf7f957baeff4a4afb0eed8f1b8f8382d656c97fd6c894a141c2171f6edba SHA1: 95f500542039efe039adc94f7c4991438d16105c MD5sum: 420a6030cda774e64f33aba7ed8c6a8b Description: Distributed Access Control System (DACS) DACS is a light-weight single sign-on and role-based access control system for web servers and server-based software. It is also an authentication and authorization toolkit for programmers. DACS makes secure resource sharing and remote access via the web easier, safer, and more efficient. . DACS provides: . * universal, extensible, modular, and efficient authentication methods, including two-factor authentication and hardware tokens * powerful, comprehensive, rule-based authorization checking that can be applied selectively and transparently to any resource or activity (such as web services, web content, and program features) by Apache-based web services, CGI programs, servlets, or virtually any program or script * secure, web-based single sign-on (SSO) * flexible, programmable configuration * an Apache 2.0/2.2 module, suite of CGI-based programs, and collection of command line tools Package: dacs-examples Source: dacs Version: 1.4.27b-2 Installed-Size: 2653 Maintainer: Christoph Berg Architecture: all Recommends: libapache2-mod-dacs (>= 1.4.27b-2), dacs (>= 1.4.27b-2) Size: 586312 SHA256: 1d7d5fe5b2ffa88ff54a3f4e98e5edee7b3e7df49eb54f31d4d8a1872a9df5d1 SHA1: 292c406783c8ef038ae75cec3ad6eafd514b7e1c MD5sum: 523f54f040f435661b4f322a2771f942 Description: Distributed Access Control System (DACS) - example web root DACS is a light-weight single sign-on and role-based access control system for web servers and server-based software. It is also an authentication and authorization toolkit for programmers. DACS makes secure resource sharing and remote access via the web easier, safer, and more efficient. . This package contains an example web root directory to use with dacs. Homepage: http://dacs.dss.ca/ Section: web Priority: optional Filename: pool/main/d/dacs/dacs-examples_1.4.27b-2_all.deb Package: dact Version: 0.8.42-4 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 277 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblzo2-2, libmcrypt4, zlib1g (>= 1:1.1.4) Homepage: http://www.rkeene.org/oss/dact Priority: optional Section: utils Filename: pool/main/d/dact/dact_0.8.42-4_armhf.deb Size: 102184 SHA256: 5cc58bf85f24e367025f76b54f85b752f487a76dbc8d00eb7144ff3f2f8a7330 SHA1: d73a16a0146c3897ca3b89bd30b9728c4c5ccd15 MD5sum: 9860770fd7c88bbf9c1b50564c900512 Description: multi-algorithm compression DACT compresses each block within the file with all its known algorithms and uses the block with the best compression ratio. . DACT can encrypt the compressed data with one of two algorithms. . Compression time for DACT is slow as each block is compressed multiple times. . Current supported compression algorithms include RLE, Delta, Text, Zlib, Modified Zlib, Bzip2 and Seminibble Encoding. Package: dadadodo Version: 1.04-4 Architecture: armhf Maintainer: Gergely Nagy Installed-Size: 76 Depends: libc6 (>= 2.13-28) Homepage: http://www.jwz.org/dadadodo/ Priority: optional Section: text Filename: pool/main/d/dadadodo/dadadodo_1.04-4_armhf.deb Size: 25002 SHA256: 38d57ca2ef0959a9ebc6459b622ca32257a328402ba02d47afc7df13843ec2c9 SHA1: 036a0bfd75a9d69924457b2a1d1137f6bf9a91ab MD5sum: a5750d770a62f347d5fee8879bee9e96 Description: Exterminates all rational thought DadaDodo is a program that analyses texts for Markov chains of word probabilities and then generates random sentences based on that. Sometimes these sentences are nonsense; but sometimes they cut right through to the heart of the matter and reveal hidden meanings. Package: daemon Version: 0.6.4-1 Architecture: armhf Maintainer: Marc Haber Installed-Size: 213 Depends: libc6 (>= 2.7) Homepage: http://www.libslack.org/daemon/ Priority: optional Section: utils Filename: pool/main/d/daemon/daemon_0.6.4-1_armhf.deb Size: 80912 SHA256: ad304dfce1e305fb4162a027b5ed3edc2df87948c8aa81d1be3630db9bae9bb9 SHA1: db8dcaec4fd8c635639dcfce93b6f0129f0cf6ce MD5sum: c060fd767ee78c6e80d27553b623ad3d Description: turns other processes into daemons There are many tasks that need to be performed to correctly set up a daemon process. This can be tedious. Daemon performs these tasks for other processes. This is useful for writing daemons in languages other than C, C++ or Perl (e.g. /bin/sh, Java). . If you want to write daemons in languages that can link against C functions (e.g. C, C++), see libslack which contains the core functionality of daemon. . Upstream URL: http://www.libslack.org/daemon/ Package: daemonfs Version: 1.1-1 Architecture: armhf Maintainer: Alice Ferrazzi Installed-Size: 460 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libqt4-svg Homepage: https://launchpad.net/deamonfs Priority: optional Section: utils Filename: pool/main/d/daemonfs/daemonfs_1.1-1_armhf.deb Size: 221398 SHA256: 3ac29b41220ee07ee93b54759017de11b04e5e78652343ec3a200babd4295f42 SHA1: 09d5d8e19fc06b7e9886a74a78aa7abd61fc275d MD5sum: 8ac7c76a641db126b2636596a58d941a Description: real time monitoring software DaemonFS is a simple and good looking application that can monitor your files and folders in real time. This tool lets you track modifications to your files. Every time a file gets modified, a notification launched from the tray icon appears. This software may be used for reverse engineering, hard disk usage tracking, software analysis and more. Package: daemonlogger Version: 1.2.1-7 Architecture: armhf Maintainer: Chris Taylor Installed-Size: 76 Depends: libc6 (>= 2.13-28), libdumbnet1 (>= 1.8), libpcap0.8 (>= 0.9.8) Homepage: http://www.snort.org/users/roesch/Site/Daemonlogger/Daemonlogger.html Priority: optional Section: net Filename: pool/main/d/daemonlogger/daemonlogger_1.2.1-7_armhf.deb Size: 22012 SHA256: da207393dbc61d5e25779b9e85bade1645e2c405fe8ccaaa671ba0897d2ddc51 SHA1: 88077580faa7f1b891f3fac4f701714ab30add97 MD5sum: 9c5333a9076b27500f3c097564962fd6 Description: simple network packet logger and soft tap daemon DaemonLogger is a simple packet logger and soft tap daemon that is incredibly useful for network security analysts. . It is capable of operating in two modes: sniffing packets and logging them directly to disk (files are automatically rolled over after a set size), or in a "soft-tap" mode where it mirrors packets on another interface. . Daemonlogger can be run either in the foreground or in the background as a daemon. Package: daemontools Version: 1:0.76-3 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 238 Depends: libc6 (>= 2.4) Suggests: daemontools-run | runit Replaces: daemontools-doc Priority: optional Section: admin Filename: pool/main/d/daemontools/daemontools_0.76-3_armhf.deb Size: 65836 SHA256: d4f6232bbbffc2865d2e2e505ed9377f8ddd4d32e26640b19b8a58240310f324 SHA1: f7d19081a6440301d4771f083a256e1ff710835a MD5sum: dbfd4678ed221e3377b9a3418f36da21 Description: a collection of tools for managing UNIX services supervise monitors a service. It starts the service and restarts the service if it dies. Setting up a new service is easy: all supervise needs is a directory with a run script that runs the service. . multilog saves error messages to one or more logs. It optionally timestamps each line and, for each log, includes or excludes lines matching specified patterns. It automatically rotates logs to limit the amount of disk space used. If the disk fills up, it pauses and tries again, without losing any data. Package: daemontools-run Source: daemontools Version: 1:0.76-3 Installed-Size: 92 Maintainer: Gerrit Pape Architecture: all Depends: daemontools (>> 1:0.76) Conflicts: runit Size: 9324 SHA256: 6b36272b58994a2abdb635621aecce6a67faa5a7e8fc7ca7f954e6f781a59afa SHA1: c5bb18ec9bf2a8a3735c2a270bed043bda317317 MD5sum: 16c7582cc3777a333d6be40c1cd4121d Description: daemontools service supervision Starts svscanboot from inittab, and provides the directory /etc/service/ for services to be supervised by daemontools. Tag: role::program Section: admin Priority: optional Filename: pool/main/d/daemontools/daemontools-run_0.76-3_all.deb Package: dahdi Source: dahdi-tools Version: 1:2.5.0.1-2 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 1232 Depends: libc6 (>= 2.13-28), libnewt0.52, libtonezone2.0 (>= 1:2.2.1.1), libusb-0.1-4 (>= 2:0.1.12), perl, procps, fxload, dahdi-linux Conflicts: zaptel Replaces: zaptel Homepage: http://www.asterisk.org/ Priority: optional Section: comm Filename: pool/main/d/dahdi-tools/dahdi_2.5.0.1-2_armhf.deb Size: 442532 SHA256: a75097c8484ad9c8b5590cf229a1124c1a004c50a5cd30678a98bd4ed08e8809 SHA1: 1cdd92ee61df504c2de00d6ec7f33961b684c5c6 MD5sum: bd6335fff9170c1922f01e56aa0ba095 Description: utilities for using the DAHDI kernel modules DAHDI (formly Zaptel) is an interface for telephony devices used by e.g. the Asterisk PBX software. The dahdi-* packages provide the kernel DAHDI kernel modules and their required setup environment. . This package includes userspace utilities required to configure DAHDI modules. Package: dahdi-linux Version: 1:2.6.1+dfsg2-1 Installed-Size: 253 Maintainer: Debian VoIP Team Architecture: all Replaces: zaptel Depends: procps, fxload Conflicts: zaptel Size: 91296 SHA256: eb61b62b009261982930624b65663341c1971bbc07d4027b236e46ca76aca3d7 SHA1: 01e0e2c96a60afda8c749807091ebf6ea87f1f91 MD5sum: 2b839edb8185342981d2745a0d5062c2 Description: DAHDI telephony interface - Linux userspace parts DAHDI (formly Zaptel) is an interface for telephony devices used by e.g. the Asterisk PBX software. The dahdi-* packages provide the kernel DAHDI kernel modules and their required setup environment. . This package includes the minimal Linux-specific userspace parts: udev configuration, xpp initialization scripts. Homepage: http://www.asterisk.org/ Tag: use::driver Section: comm Priority: optional Filename: pool/main/d/dahdi-linux/dahdi-linux_2.6.1+dfsg2-1_all.deb Package: dahdi-source Source: dahdi-linux Version: 1:2.6.1+dfsg2-1 Installed-Size: 996 Maintainer: Debian VoIP Team Architecture: all Depends: debhelper (>> 4.0), module-assistant (>= 0.8.1), bzip2 Recommends: dahdi-linux Size: 906064 SHA256: 0c9e2912f4cb22a04130cc002d68879f9fcf77fb230e4db863576c7f655a5683 SHA1: 271e65c78db9744b0200560898e30cde6302c115 MD5sum: 6645c81f3fe088a3c691239505ec5347 Description: DAHDI telephony interface - source code for kernel driver DAHDI (formly Zaptel) is an interface for telephony devices used by e.g. the Asterisk PBX software. The dahdi-* packages provide the kernel DAHDI kernel modules and their required setup environment, as well as basic headers for building DAHDI modules and utilities. . It is normally used to build kernel modules package: m-a a-i dahdi Homepage: http://www.asterisk.org/ Tag: role::source Section: kernel Priority: optional Filename: pool/main/d/dahdi-linux/dahdi-source_2.6.1+dfsg2-1_all.deb Package: dailystrips Version: 1.0.28-11 Installed-Size: 280 Maintainer: Rene Weber Architecture: all Depends: perl, debconf (>= 0.5) | debconf-2.0, libwww-perl, libtimedate-perl Size: 72002 SHA256: 3a8296df1dee338eb14469e4766c1cdb2837e5df7bcbf104e3212aa8cc2bb10c SHA1: af267c86f6be4f351bd3d4518424dc334e39956a MD5sum: acb21c288bc62b202ee519f560a84224 Description: view web comic strips more conveniently A perl script that gathers online comic strips for more convenient viewing. When in normal mode, it creates an HTML page that references the strips directly, and when in local mode, it also downloads the images to your local disk. Homepage: http://dailystrips.sourceforge.net/ Tag: implemented-in::perl, interface::commandline, network::client, protocol::http, role::program, use::downloading, use::monitor, use::organizing, works-with::image, works-with::image:raster Section: net Priority: optional Filename: pool/main/d/dailystrips/dailystrips_1.0.28-11_all.deb Package: daisy-player Source: daisy-player (7.1.1-1) Version: 7.1.1-1+b1 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 227 Depends: libc6 (>= 2.13-28), libidn11 (>= 1.13), libmxml1, libncursesw5 (>= 5.6+20070908), libsox2 (>= 14.4.0), libtinfo5, madplay, udisks Multi-Arch: foreign Homepage: http://web.inter.nl.net/users/lemmensj/ Priority: optional Section: sound Filename: pool/main/d/daisy-player/daisy-player_7.1.1-1+b1_armhf.deb Size: 66496 SHA256: f3de31a83bbc3eccb0af67cd75935c38cbbff44d560c3fd36e36eb685bcac940 SHA1: 2c44e9c0cca4b582337fbd9d136af0085faeb143 MD5sum: 12525dbaeca756cfeb203f586d45b066 Description: player for DAISY Digital Talking Books Daisy-player is a command-line player for talking books based on the Digital Accessible Information System protocol. It is comparable in functionality, features, and ease of use with commercial players, and has a simple user interface appropriate for Braille terminals. Package: daisy-player-dbg Source: daisy-player (7.1.1-1) Version: 7.1.1-1+b1 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 101 Depends: daisy-player (= 7.1.1-1+b1) Homepage: http://web.inter.nl.net/users/lemmensj/ Priority: extra Section: debug Filename: pool/main/d/daisy-player/daisy-player-dbg_7.1.1-1+b1_armhf.deb Size: 32028 SHA256: 807155c4c42bfd4dc931cfeb7a27ea9ed638d6b6edf64fc678f61addb45e071b SHA1: 3b9a385de05c9faa7bd625b6ceeffc8f9f09bdf6 MD5sum: d536b8f7116e9f68f93ebee7f0a023f0 Description: daisy-player debugging symbols Daisy-player is a command-line player for talking books based on the Digital Accessible Information System protocol. It is comparable in functionality, features, and ease of use with commercial players, and has a simple user interface appropriate for Braille terminals. . This package contains the debugging symbols for daisy-player. Package: dancer-ircd Version: 1.0.36-8.1 Architecture: armhf Maintainer: Aurélien GÉRÔME Installed-Size: 433 Depends: adduser, libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Recommends: ntp, whois Priority: extra Section: net Filename: pool/main/d/dancer-ircd/dancer-ircd_1.0.36-8.1_armhf.deb Size: 187134 SHA256: 3f45bf45774230756232bdd173ef3859978762efdc68a16b1ddd0134f04d639f SHA1: 19b1147036d3bb28d28b2a995762ce15e6b16c94 MD5sum: 0bb40cd0a5e5283981d94c934d785568 Description: an IRC server designed for centrally maintained network Dancer-ircd is the former FreeNode ircd, loosely derived from the hybrid ircd used on EFnet. . It endeavours to handle the network transparently as a unit, and to provide features to support the FreeNode philosophy. . This package contains the main binary files. Package: dancer-ircd-doc Source: dancer-ircd Version: 1.0.36-8.1 Installed-Size: 425 Maintainer: Aurélien GÉRÔME Architecture: all Size: 310050 SHA256: a0bddd44f168d79835f8ae22be4e29773858dbebde5c44ed7c57a5956f420d2b SHA1: 4af182adb7cf5acbe37cd30b2ef4e0e5a9c50820 MD5sum: 6d02da41402b0e09eec93b82ae5788ea Description: Dancer documentation Dancer-ircd is the former FreeNode ircd, loosely derived from the hybrid ircd used on EFnet. . It endeavours to handle the network transparently as a unit, and to provide features to support the FreeNode philosophy. . This package contains all the documentation that comes in the original tarball. Tag: made-of::html, made-of::postscript, protocol::dcc, protocol::irc, role::documentation Section: doc Priority: extra Filename: pool/main/d/dancer-ircd/dancer-ircd-doc_1.0.36-8.1_all.deb Package: dancer-xml Version: 0.8.2.1-3 Architecture: armhf Maintainer: Junichi Uekawa Installed-Size: 69 Depends: libc6 (>= 2.4), libdancer-xml0 (>= 0.8.2.1) Priority: optional Section: text Filename: pool/main/d/dancer-xml/dancer-xml_0.8.2.1-3_armhf.deb Size: 12318 SHA256: 3138c1331d6c6ea4ee011a2330884e5093702a1743aa6e0454d8f1aebc3381e0 SHA1: f27246dd6d953b75931f36a22cfc2c8e339dd451 MD5sum: 0471e39d3568afed353e4c4e80f2f422 Description: non-conformant docbook-xml to html/latex conversion A minimal parser for XML file format. This library does not try to conform to standards, but tries to be a standalone minimal implementation of XML parser. . Provides commands for translating docbook-xml to html and latex. Package: dangen Version: 0.5-2 Architecture: armhf Maintainer: Oohara Yuuma Installed-Size: 631 Depends: libc6 (>= 2.13-28), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11) Homepage: http://www.interq.or.jp/libra/oohara/dangen/index.html Priority: optional Section: games Filename: pool/main/d/dangen/dangen_0.5-2_armhf.deb Size: 275090 SHA256: 4c52392abb690b8548ce7511a24dc64fc09ecef1616294599d5b9a6cdb3bb068 SHA1: 6308c167e8bbe45aad3e07f7c484cfe7901e975e MD5sum: 6b5ccb07bd86a474868c53f33f363aca Description: shoot 'em up game where accurate shooting matters dangen is a shoot 'em up game that attach importance to accuracy of shooting. With the combination of the cursor key and the shot button, you can shoot at your side or even at your back. Get the bonus point by hitting the enemy without a miss. You can choose stages of different difficulty. Package: dans-gdal-scripts Version: 0.18-1.1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 695 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdal1 (>= 1.8.0), libstdc++6 (>= 4.4.0) Recommends: gdal-bin Provides: gdal-contrib Homepage: http://www.gina.alaska.edu/projects/gina-tools Priority: extra Section: science Filename: pool/main/d/dans-gdal-scripts/dans-gdal-scripts_0.18-1.1_armhf.deb Size: 340298 SHA256: 1f179dfac906f493b8c176b8b9560a8b585e1f306d0105f6c783dde8d6e435e3 SHA1: e1b5a5915a172f27d108e214fe014ba08a3fb43a MD5sum: b6bcf5e6fb10d55100dc5dcb05f89eb5 Description: GDAL contributed tools by Geographic Information Network of Alaska Dan Stahlke's GDAL contributed tools are a collection of useful programs to perform common raster operations. The included tools are: gdal_contrast_stretch, gdal_dem2rgb, gdal_get_projected_bounds, gdal_landsat_pansharpi, gdal_list_corners, gdal_merge_simple, gdal_merge_vrt gdal_raw2geotiff, gdal_trace_outline, gdal_wkt_to_mask, gdal_make_ndv_mask. Package: dansguardian Source: dansguardian (2.10.1.1-5) Version: 2.10.1.1-5+b1 Architecture: armhf Maintainer: Alexander Wirt Installed-Size: 1316 Depends: adduser, clamav (>= 0.80), perl, libc6 (>= 2.13-28), libclamav7 (>= 0.99~rc1), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Suggests: clamav-freshclam, squid Priority: optional Section: web Filename: pool/main/d/dansguardian/dansguardian_2.10.1.1-5+b1_armhf.deb Size: 456654 SHA256: 85b1d42b4cf5bbc2488ef9cc8f6a043b6671d7ca9799691197665bbfdb6eba41 SHA1: f3b7469c55399d773a9594830fdef663b7619332 MD5sum: cb19afe49c0385e3c6dfd83cdec1c5b6 Description: Web content filtering DansGuardian filters the content of pages based on many methods including phrase matching, PICS filtering and URL filtering. It does not purely filter based on a banned list of sites. . It provides real-time virus scanning capabilities for content access. . DansGuardian is designed to be completely flexible and allows you to tailor the filtering to your exact needs. It can be as draconian or as unobstructive as you want. The default settings are geared towards what a primary school might want but DansGuardian puts you in control of what you want to block. . DansGuardian requires squid or another similar caching proxy server on your local network. Package: dante-client Source: dante Version: 1.1.19.dfsg-3 Architecture: armhf Maintainer: Peter Pentchev Installed-Size: 97 Depends: libdsocksd0 (= 1.1.19.dfsg-3) Homepage: http://www.inet.no/dante/ Priority: optional Section: net Filename: pool/main/d/dante/dante-client_1.1.19.dfsg-3_armhf.deb Size: 41340 SHA256: 036f01a50e944eed8c55e0c64c3d21a5c01976c4954feeb2415beefe0ad6dd5b SHA1: 29fa87c6a01148b11c64ff980a3e95181545172b MD5sum: 6892bd476d2ca1e9beb18d4aa3f5ce60 Description: SOCKS wrapper for users behind a firewall Dante is a circuit-level firewall/proxy that can be used to provide convenient and secure network connectivity to a wide range of hosts while requiring only the server Dante runs on to have external network connectivity. . This package provides the "socksify" client program - a tool which forwards network requests from any program to a SOCKS server (v4 or v5) which then performs them on your behalf. Package: dante-server Source: dante Version: 1.1.19.dfsg-3 Architecture: armhf Maintainer: Peter Pentchev Installed-Size: 362 Depends: libc6 (>= 2.4), libpam0g (>= 0.99.7.1), libwrap0 (>= 7.6-4~) Homepage: http://www.inet.no/dante/ Priority: optional Section: net Filename: pool/main/d/dante/dante-server_1.1.19.dfsg-3_armhf.deb Size: 146810 SHA256: b3f52b84b8a59362350a342420c48a022e57901834fbfdbaf46527cc8a197b0d SHA1: f182a03152595154472eda7a91d0659a89b5d2f5 MD5sum: 193af95141e1e38eee4a78c96a2fca60 Description: SOCKS (v4 and v5) proxy daemon (danted) Dante is a circuit-level firewall/proxy that can be used to provide convenient and secure network connectivity to a wide range of hosts while requiring only the server Dante runs on to have external network connectivity. . This package provides the socks proxy daemon (danted). The server part of Dante allows socks clients to connect through it to the network. Package: daptup Version: 0.12.5.1 Installed-Size: 258 Maintainer: Eugene V. Lyubimkin Architecture: all Depends: perl, liblocale-gettext-perl, apt (>= 0.7.10) | cupt | libcupt-perl (>= 1.4) Suggests: aptitude Size: 29408 SHA256: 4b4d88cabe75bc34eb80403ac727f1682616e4348ded702c1f50216ddbb8b689 SHA1: c6ba74a1259da90e8cdb808addd066d512a3c819 MD5sum: 2e9d79bd84e04b72a04519bc3241a748 Description: reporter of changes in list of available packages from repositories Daptup runs "apt-get update' inside and then outputs four lists: - packages came to archive with this update; - new upgradeable packages; - changes in "watched" packages (not installed, such packages have to be specified in configuration file); - outdated packages that have a new install candidate (optionally). . Daptup also has apt hook to run automatically within 'apt-get update' or 'cupt update'. Homepage: http://sourceforge.net/projects/daptup Tag: admin::package-management, implemented-in::shell, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:package Section: admin Priority: extra Filename: pool/main/d/daptup/daptup_0.12.5.1_all.deb Package: dar Version: 2.4.5.debian.1-1 Architecture: armhf Maintainer: Brian May Installed-Size: 1065 Depends: libattr1 (>= 1:2.4.46-7), libbz2-1.0, libc6 (>= 2.13-28), libdar64-5, libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgpg-error0 (>= 1.10), liblzo2-2, libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Suggests: par2, dar-docs Homepage: http://dar.linux.free.fr/ Priority: optional Section: utils Filename: pool/main/d/dar/dar_2.4.5.debian.1-1_armhf.deb Size: 423148 SHA256: 2fa9037fa045932d4b806f0f91f66030c35d290738a125cdab0eaf1d50bfca3d SHA1: b3716b3885921f6756a755c07cfc6a4755e8af96 MD5sum: 461f225a5ae22894444d2bd228ceb215 Description: Disk ARchive: Backup directory tree and files Full featured archiver with support for differential backups, slices, compression, ATTR/ACL support. DAR also supports Pipes for remote operations, including with ssh. Package: dar-docs Source: dar Version: 2.4.5.debian.1-1 Installed-Size: 12005 Maintainer: Brian May Architecture: all Size: 1771402 SHA256: b0a2898418bbf7aca775bbfc81c5bba4d13a3df1cacf20535880fbce1c8dd665 SHA1: a153473567f9bc6ca352eb5ff944929eb7cdc255 MD5sum: 43b774982a44f69371c7a213ce2f3c25 Description: Disk ARchive: Backup directory tree and files Full featured archiver with support for differential backups, slices, compression, ATTR/ACL support. DAR also supports Pipes for remote operations, including with ssh. . This package contains the documentation and example files. Homepage: http://dar.linux.free.fr/ Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/d/dar/dar-docs_2.4.5.debian.1-1_all.deb Package: darcs Version: 2.8.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 21254 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libncurses5 (>= 5.5-5~), libtinfo5, zlib1g (>= 1:1.1.4) Recommends: default-mta | mail-transport-agent Homepage: http://darcs.net/ Priority: optional Section: vcs Filename: pool/main/d/darcs/darcs_2.8.1-1_armhf.deb Size: 5013932 SHA256: c2b4468c8563d73d556a3485cf6d22abd3ec1085a023aa2ebc0a9f3aad1bd199 SHA1: ce875e03b84074b15087f2909e99de695c0591fc MD5sum: 466c6bb0da124b188c6568c23d5bb462 Description: distributed, interactive, smart revision control system Darcs is a free, open source revision control system. It is: . * Distributed: Every user has access to the full command set, removing boundaries between server and client or committer and non-committers. * Interactive: Darcs is easy to learn and efficient to use because it asks you questions in response to simple commands, giving you choices in your work flow. You can choose to record one change in a file, while ignoring another. As you update from upstream, you can review each patch name, even the full "diff" for interesting patches. * Smart: Originally developed by physicist David Roundy, darcs is based on a unique algebra of patches. This smartness lets you respond to changing demands in ways that would otherwise not be possible. Package: darcsum Version: 1.10-4 Installed-Size: 83 Maintainer: TANIGUCHI Takaki Architecture: all Depends: emacs23 | xemacs21 Size: 24302 SHA256: 1361724e76000d35567240ea725052e3198598c256e4f2e95724b05473f45ceb SHA1: 58b531389289f42d86025551661cfb281f4711c7 MD5sum: 357c9105374de60866d2299f5392869c Description: pcl-cvs like interface for managing darcs patches darcsum is an emacs interface for Darcs. It has a pcl-cvs like interface, and you can commit changes, edit change logs, review changes, etc... Homepage: http://chneukirchen.org/repos/darcsum/ Tag: role::program, works-with::software:source, works-with::vcs Section: lisp Priority: optional Filename: pool/main/d/darcsum/darcsum_1.10-4_all.deb Package: darcsweb Version: 1.1-3.1 Installed-Size: 200 Maintainer: Fabian Linzberger Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, python (>= 2.3), darcs Recommends: apache2 | httpd-cgi Size: 47958 SHA256: 629a684b8ea5b4afdccba95112cb81c9958760d5ac99fe3142fe826d66c8e4fd SHA1: c14410d007134e0839b12eac1a4ee850ac1d7c70 MD5sum: 339dcbb56c182ca65e7e91c7cbefd0f9 Description: web interface for browsing darcs repositories This package provides a simple web interface for darcs, inspired by gitweb. . It calls darcs to get most of the data, and supports browsing records and their diffs, extracting diffs against the current head, or browsing and annotating the current tree. It can also provide an RSS or Atom feed, among other features. Homepage: http://blitiri.com.ar/p/darcsweb/ Tag: implemented-in::python, interface::web, role::program, use::browsing, web::cgi, works-with::vcs Section: vcs Priority: optional Filename: pool/main/d/darcsweb/darcsweb_1.1-3.1_all.deb Package: dares Version: 0.6.5-7 Architecture: armhf Maintainer: TANIGUCHI Takaki Installed-Size: 75 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmagic1, libncurses5 (>= 5.5-5~), libtinfo5 Priority: optional Section: utils Filename: pool/main/d/dares/dares_0.6.5-7_armhf.deb Size: 25676 SHA256: 39c3404ebb92016c05626d20af830bd720214745dbfa08fc6aa4b26111f95f07 SHA1: cc457d295627a4d617280e53f2dd0e898c5c6da1 MD5sum: 127a916c907ebb24238a325c3ba13a3b Description: rescue files from damaged CDs and DVDs (ncurses-interface) Dares scans a CD/DVD image or a CD/DVD for files. This also works when the filesystem (ISO-9660 or UDF) on the disc is damaged and cannot be mounted anymore. Package: darkice Version: 1.0-1 Architecture: armhf Maintainer: Jochen Friedrich Installed-Size: 296 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libogg0 (>= 1.0rc3), libstdc++6 (>= 4.4.0), libtwolame0, libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), lsb-base (>= 3.0-6) Suggests: darksnow Homepage: http://code.google.com/p/darkice/ Priority: optional Section: sound Filename: pool/main/d/darkice/darkice_1.0-1_armhf.deb Size: 111172 SHA256: 4775ff7f14829c7e99b7c5058b9900610f98722764ecacdc4f39a42df39b7245 SHA1: 1d71d56d50575f4399b1268d1ada4b6878b933c0 MD5sum: 9f1d5305306cbce55b14fea64fbe0449 Description: Live audio streamer DarkIce is an IceCast, IceCast2 and ShoutCast live audio streamer. It takes audio input from a sound card, encodes it into mp3 and/or Ogg Vorbis, and sends the mp3 stream to one or more IceCast and/or ShoutCast servers, the Ogg Vorbis stream to one or more IceCast2 servers. DarkIce uses lame as a shared object as its mp3 encoder, and the Ogg Vorbis as its Ogg Vorbis encoder. NOTE: The Debian version of DarkIce is only compiled with Ogg Vorbis support due to patent reasons and will therefore only work with IceCast2 servers! Package: darkplaces Version: 0~20110628+svn11619-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 2865 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libfreetype6 (>= 2.2.1), libjpeg8 (>= 8c), libmodplug1, libode1, libogg0 (>= 1.0rc3), libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libtheora0 (>= 1.0~beta1), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libx11-6, zlib1g (>= 1:1.1.4) Homepage: http://icculus.org/twilight/darkplaces/ Priority: optional Section: games Filename: pool/main/d/darkplaces/darkplaces_0~20110628+svn11619-3_armhf.deb Size: 1310762 SHA256: 90330beafb000e8559019fa0aad76eaf8b8b1a89ab99d2b2acca97cf5e7819a0 SHA1: 5d70c27e8663b35adf46ba3b5704aec66082d253 MD5sum: f568e77b8b735213ab1952d36513706b Description: Game engine for Quake and similar 3D first person shooter games DarkPlaces is a graphically-intensive engine for Quake and related games, with improved visual effects and lighting and many features for mods. It can be used to play various games based on the Quake engine, such as Xonotic, Nexuiz Classic, OpenQuartz, and Quake itself. . This is the client version of the engine, with a SDL GUI. . This engine isn't useful without game data: to get a playable game, install nexuiz or another suitable set of game data. It is typically used via a script that launches it with a particular data set, such as the ones found in the "quake" and "nexuiz" packages. Package: darkplaces-dbg Source: darkplaces Version: 0~20110628+svn11619-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 5183 Depends: darkplaces (= 0~20110628+svn11619-3) | darkplaces-server (= 0~20110628+svn11619-3) Homepage: http://icculus.org/twilight/darkplaces/ Priority: extra Section: debug Filename: pool/main/d/darkplaces/darkplaces-dbg_0~20110628+svn11619-3_armhf.deb Size: 4774672 SHA256: 3337fb60dc46451fe5ad2b8466d9d986b6411c56abc975964dbf2f36e8afffb8 SHA1: 55858886d0a43d2b41ba97b5e6fce843648b1158 MD5sum: 727b403068a67c973b11b1c918233e2f Description: debug symbols for the DarkPlaces game engine DarkPlaces is an OpenGL-only engine for Quake and related games, with greatly enhanced effects and lighting and many features for mods. . This package contains debug symbols for the DarkPlaces engine, which can be used to analyze crashes in games that use that engine. Package: darkplaces-server Source: darkplaces Version: 0~20110628+svn11619-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 2782 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libfreetype6 (>= 2.2.1), libjpeg8 (>= 8c), libode1, libogg0 (>= 1.0rc3), libpng12-0 (>= 1.2.13-4), libtheora0 (>= 1.0~beta1), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2), zlib1g (>= 1:1.1.4) Homepage: http://icculus.org/twilight/darkplaces/ Priority: optional Section: games Filename: pool/main/d/darkplaces/darkplaces-server_0~20110628+svn11619-3_armhf.deb Size: 1269946 SHA256: 939f2ba52c5dcea3320c70c773d42a52dfed5b2787deb9bf89a2c001381a565e SHA1: 755b26d98dc27a73b1ca9f98b0c5135a9795568c MD5sum: 550d6da4b8b23d1af311c6de1af8b1bc Description: Standalone server for Quake-based games DarkPlaces is a graphically-intensive engine for Quake and related games, with improved visual effects and lighting and many features for mods. It can be used to play various games based on the Quake engine, such as Xonotic, Nexuiz Classic, OpenQuartz, and Quake itself. . This is the dedicated server version, with no GUI. . This engine isn't useful without game data: to get a usable server, install nexuiz or another suitable set of game data. It is typically used via a script that launches it with a particular data set, such as the ones found in the "quake-server" and "nexuiz-server" packages. Package: darksnow Version: 0.6.1-3 Architecture: armhf Maintainer: Bhavani Shankar Installed-Size: 140 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), darkice Homepage: http://darksnow.radiolivre.org/ Priority: optional Section: sound Filename: pool/main/d/darksnow/darksnow_0.6.1-3_armhf.deb Size: 33406 SHA256: f643a4f081c2d04e547089fa344fd12edb25565312eb9f7fc8447d72c6eef2c8 SHA1: 082376fb41c515533d3d186faf502719d9df626c MD5sum: 0e83320d850018ef1fbd2748853a90cd Description: simple graphical user interface to darkice DarkSnow is a graphical interface written in GTK+2 for the darkice live streamer. Package: darkstat Version: 3.0.715-1 Architecture: armhf Maintainer: Rene Mayorga Installed-Size: 213 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcap0.8 (>= 0.9.8), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, lsb-base (>= 3.0-6) Homepage: http://unix4lyfe.org/darkstat/ Priority: optional Section: net Filename: pool/main/d/darkstat/darkstat_3.0.715-1_armhf.deb Size: 67780 SHA256: 075b59bd352280c6d2066b09da19b0d7dcfdb00a05129ac7ad8f948f548807b3 SHA1: 6b55704cbac8a3257901ddc526d5b4dff0838329 MD5sum: a047bc1eb5447bc15af1c88b7e625863 Description: network traffic analyzer It is a packet sniffer which runs as a background process and serves its statistics to a web browser: - input and output IP traffic by machines, ports and protocols, - last minute, hourly, daily and monthly global input and output graphics. . It is known to be smaller (in terms of memory footprint) and stabler than ntop. Package: darnwdl Version: 0.5-2 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 224 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdynamite0, libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.14.0), libjpeg8 (>= 8c), libpango1.0-0 (>= 1.14.0) Homepage: http://www.openfoundry.org/of/projects/753 Priority: optional Section: text Filename: pool/main/d/darnwdl/darnwdl_0.5-2_armhf.deb Size: 48102 SHA256: a8afcedb6d6d8cdd6d08632a7400141ae08e54942651ee7705564693068f30ef SHA1: fc8aaab78efb53d452b96fe42242053866b8f3f9 MD5sum: bcd2a00cb05aef3d3db0ee86a9657eed Description: WDL document format viewer WDL is a document format used by Taiwan government. darnwdl is a viewer for WDL files. Due to the format spec is not open, darnwdl is based on reverse engineering. Package: darts Version: 0.32-11 Architecture: armhf Maintainer: Hideki Yamane Installed-Size: 112 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://chasen.org/~taku/software/darts/ Priority: optional Section: devel Filename: pool/main/d/darts/darts_0.32-11_armhf.deb Size: 27268 SHA256: 5750dcbea7f30cc0253a5d5bc38eea3006206e0fcdbc6ff982a5ae55a0723718 SHA1: 2e67dcf16c20153fa17ac0a48064f5d942963219 MD5sum: 8242f36ee8f0e5cea1a8993c83ab8e55 Description: C++ Template Library for implementation of Double-Array Darts is simple C++ Template Library for implementation of Double-Array Structure. . A double-array structure is a kind of Trie structure, digital search tree, an efficient data structure combining fast access of a matrix form with the compactness of a list form. It is faster than other implementations like Hash tree, Patricia tree, Suffix Array and so. . For more detail about double-array structure, see "Aoe, J. An Efficient Digital Search Algorithm by Using a Double-Array Structure. IEEE Transactions on Software Engineering. Vol. 15, 9 (Sep 1989). pp. 1066-1077." . This software provides indexing operations for Common Prefix Search. Darts is used for MeCab and ChaSen, Japanese Morphological Analysis System. Package: das-watchdog Version: 0.9.0-2+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 23 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtop2-7 (>= 2.22.3), x11-utils Priority: extra Section: admin Filename: pool/main/d/das-watchdog/das-watchdog_0.9.0-2+deb7u1_armhf.deb Size: 14408 SHA256: 204a59b2d935a7d6e74f580ba480914d6507b575a079b78423948fcd4b62790f SHA1: c8075cb794cc93f3a7de937eb15ffded1412c725 MD5sum: 885d4b2215670ef12491921af3d1a694 Description: solves system lock-ups by making all processes non-realtime Das_Watchdog is a general watchdog for the Linux operating system that should be run in the background at all times to ensure a realtime process won't hang the machine. . Das_Watchdog is inspired by the rt_watchdog program from Florian Schmidt, but has some improvements over it: * It works with 2.4 kernels as well as 2.6. * Instead of permanently setting all realtime processes to run non-realtime, das_watchdog only sets them temporary. * When the watchdog kicks in, an X window should pop up that tells you whats happening (just close it after reading the message). Package: dash Version: 0.5.7-3 Architecture: armhf Essential: yes Maintainer: Gerrit Pape Installed-Size: 194 Pre-Depends: libc6 (>= 2.4) Depends: debianutils (>= 2.15), dpkg (>= 1.15.0) Homepage: http://gondor.apana.org.au/~herbert/dash/ Priority: required Section: shells Filename: pool/main/d/dash/dash_0.5.7-3_armhf.deb Size: 104216 SHA256: d2dd809b8b36ade1bee124387e8324eadaf79a34b58afec7cac84d6c0a27ddd8 SHA1: 9f06ba1d76d7573a8a3d161e5b3b322e60bc74e5 MD5sum: a84234ac83260943de9a674d5b0d1fc9 Description: POSIX-compliant shell The Debian Almquist Shell (dash) is a POSIX-compliant shell derived from ash. . Since it executes scripts faster than bash, and has fewer library dependencies (making it more robust against software or hardware failures), it is used as the default system shell on Debian systems. Package: dasher Version: 4.11-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 865 Depends: gconf-service, libart-2.0-2 (>= 2.3.17), libatk1.0-0 (>= 1.12.4), libatspi1.0-0 (>= 1.32.0), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnome-speech7, libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.14.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libstdc++6 (>= 4.6), libx11-6, libatk-adaptor, dasher-data (= 4.11-2) Priority: optional Section: x11 Filename: pool/main/d/dasher/dasher_4.11-2_armhf.deb Size: 278698 SHA256: 5a0fad391c6a8e7bcf4405558d128c330d8c9d534d54f6aff483df749c26654e SHA1: 9a8d6714d5596e072d406bbbe4755e084bd4bad8 MD5sum: bac1a13a4f1fc2be7da30174eb99ca01 Description: A graphical predictive text input system Dasher is an information-efficient text-entry interface, driven by natural continuous pointing gestures. Dasher is a competitive text-entry system wherever a full-size keyboard cannot be used - for example, . * on a palmtop computer * on a wearable computer * when operating a computer one-handed, by joystick, touchscreen, trackball, or mouse * when operating a computer with zero hands (i.e., by head-mouse or by eyetracker). . The eyetracking version of Dasher allows an experienced user to write text as fast as normal handwriting - 25 words per minute; using a mouse, experienced users can write at 39 words per minute. . Dasher uses a more advanced prediction algorithm than the T9(tm) system often used in mobile phones, making it sensitive to surrounding context. Package: dasher-data Source: dasher Version: 4.11-2 Installed-Size: 28413 Maintainer: Debian GNOME Maintainers Architecture: all Depends: gconf2 (>= 2.28.1-2) Recommends: dasher Conflicts: dasher (<< 4.9.0) Size: 6566966 SHA256: b27f4e3437f06c423df63442380263312c45f2cf0fa150dd3e5365265ba56489 SHA1: bd7eb419508fb12cc543008633cbd20b8e7fe2e2 MD5sum: d2d99eccdb0b2670bcb3143020df1a37 Description: Data files for dasher Dasher is an information-efficient text-entry interface, driven by natural continuous pointing gestures. Dasher is a competitive text-entry system wherever a full-size keyboard cannot be used . . This package contains various arch-independent data files for dasher: * alphabet descriptions for all languages * letter colours settings * training files in all languages Tag: role::app-data Section: x11 Priority: optional Filename: pool/main/d/dasher/dasher-data_4.11-2_all.deb Package: datafreedom-doc Source: pilot-qof Version: 0.2.3-1 Installed-Size: 284 Maintainer: Neil Williams Architecture: all Recommends: dwww, www-browser Suggests: pilot-qof Size: 143480 SHA256: 02c060d0c2cff24b4cb007a4b75c3007aa1242bf6c24c6d0bb94df1fdcb474d4 SHA1: 54d4a1ebcf8db2ef5295abfacfcbd8db274422b9 MD5sum: f048f36bfad28441773aa8aae92ee8bc Description: datafreedom extensions manual The Extensions Manual describes how to use pilot-qof with the stylesheets available in the datafreedom-qsfxsl package, how to create your own XSL and how pilot-qof can be used with the datafreedom-perl package. Also included is the Palm Default Currency Table and notes on using pilot-qof with non-XML backends like sqlite and how to convert data created with gpe-expenses. Homepage: http://pilot-qof.sourceforge.net/ Tag: devel::doc, made-of::html, role::documentation, use::converting, use::synchronizing, works-with::pim Section: doc Priority: optional Filename: pool/main/p/pilot-qof/datafreedom-doc_0.2.3-1_all.deb Package: datafreedom-perl Source: pilot-qof Version: 0.2.3-1 Installed-Size: 264 Maintainer: Neil Williams Architecture: all Replaces: pilot-qof (<< 0.1.3) Depends: perl, libconfig-auto-perl, libdata-random-perl, libdbd-sqlite2-perl, libdbi-perl, libfile-homedir-perl, liblocale-gettext-perl, libnumber-format-perl, libtext-vfile-asdata-perl, libtext-csv-perl, libtext-formattable-perl, libtimedate-perl, libxml-qofqsf-perl (>= 0.05-1), libxml-simple-perl, libxml-writer-perl Recommends: pilot-qof, zenity Suggests: datafreedom-doc, gpe-todo, gpe-expenses, homebank Size: 73234 SHA256: 1b013fbabd209ef2897af7792838f0667abc1d6bb3899374bd400b41b44013a0 SHA1: 15d2a29ffb110e169ca444a7f61cb438434ff3ba MD5sum: 80c9c584832a06f4dfc553714da0b818 Description: convert PIM data between applications in perl Includes a variety of utilitarian scripts to summarise or report on various types of supported personal information data, including mileage claims (gpe-expenses) and invoices (pilot-qof). Other scripts support converting data from gpe-todo, gtodo, vCards and iCal content. Homepage: http://pilot-qof.sourceforge.net/ Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, use::editing, use::organizing, use::printing, use::searching, use::storing, use::synchronizing, use::text-formatting, use::viewing, works-with-format::xml, works-with::text Section: perl Priority: optional Filename: pool/main/p/pilot-qof/datafreedom-perl_0.2.3-1_all.deb Package: datafreedom-qsfxsl Source: pilot-qof Version: 0.2.3-1 Installed-Size: 340 Maintainer: Neil Williams Architecture: all Replaces: pilot-qof (<< 0.1.3) Depends: xsltproc, xml-core Recommends: pilot-qof Suggests: datafreedom-doc, gpe-expenses, zenity Enhances: calcurse, contacts, dates, dlume, gpe-calendar, gpe-contacts Size: 50864 SHA256: 367a9a5e1afa51dfba4c2862f16f7de2fd6fe42e688ed1bfe2934a2948333044 SHA1: 70f8b8737980c2b328bfb14028a17fccbb9b4920 MD5sum: 8e7f8f7571c5bbf42e5006f670ceb9ce Description: convert PIM data between applications with XSL Works with various Query Object Framework (QOF) data, in a generic XML format called QSF. Includes stylesheets and example scripts to convert QSF XML personal information data into other formats, including vCard, iCal and custom text based formats used by the suggested packages. Homepage: http://pilot-qof.sourceforge.net/ Tag: made-of::xml, use::converting, use::organizing, use::synchronizing, use::viewing, works-with-format::xml, works-with-format::xml:xslt, works-with::pim Section: utils Priority: optional Filename: pool/main/p/pilot-qof/datafreedom-qsfxsl_0.2.3-1_all.deb Package: datapm Version: 0.10-1.1 Architecture: armhf Maintainer: J. Félix Ontañón Installed-Size: 281 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-ckanclient (>= 0.3), python-pkg-resources, python-sqlalchemy, python-simplejson, python-sqlite Homepage: http://okfn.org/projects/dpm Priority: optional Section: text Filename: pool/main/d/datapm/datapm_0.10-1.1_armhf.deb Size: 42732 SHA256: baf42925bf19f5a5c72c36ffad2b824bd6820e8be3db99e94c61370bb0469823 SHA1: 508c8bef337b7614f6dc7951365850e3a8c04598 MD5sum: d3cb19df22994a915ee1430d0e79b182 Description: Data packaging system and utilities datapm, data package manager, is a command line tool and python library for working with Data Packages and interacting with data repositories like the Data Hub. Package: datefudge Version: 1.17 Architecture: armhf Maintainer: Robert Luberda Installed-Size: 55 Depends: libc6 (>= 2.4) Priority: optional Section: devel Filename: pool/main/d/datefudge/datefudge_1.17_armhf.deb Size: 7588 SHA256: 9f1d09539cd2b52d19e3697fe3886143ea60070e12b58a300a48208e15fd98e7 SHA1: f5c67fa00e3462ed1d3893497b2188b756dc3b1b MD5sum: 67019921bfdceeaf835bd778e6c2c002 Description: Fake the system date This program (and preload library) fakes the system date so that programs think the wall clock is ... different. . The faking is not complete; timestamp on files are not affected in any way. . This package is useful if you want to test the date handling of your programs without changing the system clock. Examples: Does it handle expired certificates correctly? Does it work on a leap day? Package: dates Source: dates (0.4.8-3) Version: 0.4.8-3+b1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 540 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libecal-1.2-11 (>= 3.4.3), libedataserver-1.2-16 (>= 3.4.3), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libical0 (>= 0.31), libpango1.0-0 (>= 1.18.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.6.27), evolution-data-server Conflicts: dates-hildon Homepage: http://www.pimlico-project.org/dates.html Priority: optional Section: gnome Filename: pool/main/d/dates/dates_0.4.8-3+b1_armhf.deb Size: 135400 SHA256: 51180ff4da06596eeb947e394c2c95629a76ca467e80c6655fdb3d84f417e554 SHA1: 8c81950489447f9f2244470e5ab2a1cc9a4879dd MD5sum: fa9c33ede21b34f0f4d80b9e2599dcb9 Description: calendar optimised for embedded devices Dates is a small, lightweight calendar. Dates features an innovative, unified, zooming view and is designed for use on hand-held devices, such as the Nokia 770 or the Sharp Zaurus series of PDAs. . This flavour is suitable for desktop systems such as GNOME; for the Hildon flavour of Dates, see the dates-hildon package. Package: dav-text Version: 0.8.5-5 Architecture: armhf Maintainer: Benjamin Seidenberg Installed-Size: 78 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Priority: optional Section: text Filename: pool/main/d/dav-text/dav-text_0.8.5-5_armhf.deb Size: 23208 SHA256: af6bef17e5995cb86faf96d607969d69d421ae13950e6a196a70d17b12970eda SHA1: 4726f844234961d1a5d0c25613db3399dce44e68 MD5sum: 3c18e5dddf337d842fcc03b30e653cb0 Description: A minimalist ncurses-based text editor Dav (Dav Ain't Vi) is meant to provide a stable text editor that is efficient in both memory and processor usage. It is simple to use, making it ideal for novice users. It is licensed under the GPL and is still in development. . Features include: * Memory and cpu efficiency * Small filesize and footprint * Freely licensed under the GPL * Useful feature set * Intuitive and easy to use . Homepage http://dav-text.sourceforge.net/ Package: davfs2 Version: 1.4.6-1.1+wheezy1 Architecture: armhf Maintainer: Luciano Bello Installed-Size: 387 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libneon27-gnutls, debconf (>= 0.5) | debconf-2.0, adduser Homepage: http://savannah.nongnu.org/projects/davfs2 Priority: extra Section: utils Filename: pool/main/d/davfs2/davfs2_1.4.6-1.1+wheezy1_armhf.deb Size: 154028 SHA256: 942ec672d1d456648feaa0356d1d56ebdd7a0425f6760c8deafc5092b52d93a2 SHA1: 95f6cfabba6d88d40a9fab2c29bfa4f6a2a3450d MD5sum: 9f99ef2c82a92a6d9e483e63aad4d4f8 Description: mount a WebDAV resource as a regular file system Web Distributed Authoring and Versioning (WebDAV), an extension to the HTTP-protocol, allows authoring of resources on a remote web server. davfs2 provides the ability to access such resources like a typical filesystem, allowing for use by standard applications with no built-in support for WebDAV. . davfs2 is designed to fully integrate into the filesystem semantics of Unix-like systems (mount, umount, et c.). davfs2 makes mounting by unprivileged users as easy and secure as possible. . davfs2 does extensive caching to make the file system responsive, to avoid unnecessary network traffic and to prevent data loss, and to cope for slow or unreliable connections. . davfs2 will work with most WebDAV servers needing little or no configuration. Package: davical Version: 1.1.1-1 Installed-Size: 1895 Maintainer: Andrew McMillan Architecture: all Depends: debconf (>= 1.0.32), php5-pgsql, postgresql-client (>= 8.1), libawl-php (>= 0.53-1~), libawl-php (<< 0.54), libdbd-pg-perl, libyaml-perl Recommends: postgresql (>= 8.3) Size: 479462 SHA256: 5d89ae7d33dbdca97cec78e153952b84acbd27f283a443063c961086db65d2ec SHA1: 6ecaee0f66dc16f8e5e3234f4e91bbd40be5af70 MD5sum: ebbc03aaa7127824690e53c30f551f00 Description: The DAViCal CalDAV & CardDAV Server The DAViCal CalDAV Server is designed to store CalDAV calendars and CardDAV addressbooks, such as those from Evolution, Sunbird/Lightning, Mulberry, iCal, iPhone or SOHO Organizer, in a central location, providing shared calendars, free/busy publication and a basic administration interface. Homepage: http://davical.org/ Tag: implemented-in::php, interface::web, network::server, office::groupware, role::program, scope::utility, system::server, use::organizing, use::synchronizing, works-with::db Section: web Priority: extra Filename: pool/main/d/davical/davical_1.1.1-1_all.deb Package: davical-doc Source: davical Version: 1.1.1-1 Installed-Size: 3126 Maintainer: Andrew McMillan Architecture: all Size: 1255972 SHA256: 2ec9a081f105e8afcc5aff1a86ad5137544327535d9094eb451490f60ff5693c SHA1: 0d80f7312fb1fa99b1a98738f14dfca8fcbd8e16 MD5sum: 75538b83b17ca7c2ab70d6242c38a85c Description: The DAViCal CalDAV & CardDAV Server - technical documentation The DAViCal CalDAV Server is designed to store CalDAV calendars and CardDAV addressbooks, such as those from Evolution, Sunbird/Lightning, Mulberry, iCal, iPhone or SOHO Organizer, in a central location, providing shared calendars, free/busy publication and a basic administration interface. . This package contains detailed technical documentation for the classes and methods in the DAViCal programs. Some user-centric configuration documentation is also included. Homepage: http://davical.org/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/d/davical/davical-doc_1.1.1-1_all.deb Package: dawgdic-tools Source: dawgdic Version: 0.4.3-1 Architecture: armhf Maintainer: Jakub Wilk Installed-Size: 111 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://code.google.com/p/dawgdic/ Priority: optional Section: text Filename: pool/main/d/dawgdic/dawgdic-tools_0.4.3-1_armhf.deb Size: 39712 SHA256: 8b8bb2b6a0e756ef030980d50f46460b124c9f8e20bbc14b457e083f23299303 SHA1: d5049f66122afb70258e51baa38c8b0eccb136b9 MD5sum: c93077e93491b11e23b110f9c032e7b7 Description: command line tools for DAWG dictionaries dawgdic is a library for building and accessing dictionaries implemented with directed acyclic word graphs (DAWGs). . This package contains the command-line tools. Package: db-upgrade-util Source: db-defaults Version: 5.1.6 Installed-Size: 26 Maintainer: Debian Berkeley DB Group Architecture: all Depends: db4.7-util, db4.8-util Size: 2400 SHA256: faff2b6851ef2d32257ba8bab75569b9fe73469a3f6a2c92461f9070a5e155dd SHA1: fac94a9a00aad2972e2a0a29f378d770e4b5f322 MD5sum: 4d5f698c9bbee5ef0d369a3b739e79ba Description: Berkeley Database Utilities (old versions) This empty package depends on the old (from previous stable release) utilities package containing different tools for manipulating databases and installs unversioned links to current database utilities. . This package exists to help package maintainers which need to upgrade Berkeley DB database file. The package which needs to perform an database upgrade will need to depend on db-util and db-upgrade-util and call commands as described in: http://docs.oracle.com/cd/E17076_02/html/upgrading/upgrade_process.html Section: utils Priority: extra Filename: pool/main/d/db-defaults/db-upgrade-util_5.1.6_all.deb Package: db-util Source: db-defaults Version: 5.1.6 Installed-Size: 38 Maintainer: Debian Berkeley DB Group Architecture: all Depends: db5.1-util Size: 2866 SHA256: 96d00e103c031ea407d239a0f36c973c7f861a1d1718a0e4ac2e46728e34d57a SHA1: fae06ada2725ece281bdcbd6aea20d6bdde562a7 MD5sum: 7999cb93dc2fc1bf981c87e202ae8cce Description: Berkeley Database Utilities This empty package depends on the recommended utilities package containing different tools for manipulating databases and installs unversioned links to current database utilities. Tag: role::metapackage, works-with::db Section: utils Priority: extra Filename: pool/main/d/db-defaults/db-util_5.1.6_all.deb Package: db4.7-util Source: db4.7 Version: 4.7.25-21+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 1466 Depends: libc6 (>= 2.13-28) Conflicts: libdb4.7 Replaces: libdb4.7 Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: database Filename: pool/main/d/db4.7/db4.7-util_4.7.25-21+deb7u1_armhf.deb Size: 689176 SHA256: 26796e1dc2f4c6abe50b91020fdb03bab39e031e020efe37cb10116eccaff66f SHA1: dea4115df63b63ee403b72d3da1c5e17cc59f6c6 MD5sum: 65289a9394275e803b6786d00c1e3e86 Description: Berkeley v4.7 Database Utilities This package provides different tools for manipulating databases in the Berkeley v4.7 database format, and includes: - db4.7_archive: write the pathnames of the log files no longer in use. - db4.7_checkpoint: daemon process to monitor the database log and checkpoint it periodically. - db4.7_deadlock: traverse the database environment lock region and abort lock requests when a deadlock is detected. - db4.7_load: loads (and creates) a database from standard input. - db4.7_dump: read a database file and write it in a format understood by db4.{2..7}_load. - db4.7_printlog: dump log files in human readable format. - db4.7_stat: display statistics for Berkeley DB environments. - db4.7_upgrade: upgrades the version of files and the databases they contain. - db4.7_verify: check the structure of files and their databases. Package: db4.8-util Source: db4.8 Version: 4.8.30-12+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 1556 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Breaks: libdb4.8 (<< 4.8.30-12~) Replaces: libdb4.8 (<< 4.8.30-12~) Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: oldlibs Filename: pool/main/d/db4.8/db4.8-util_4.8.30-12+deb7u1_armhf.deb Size: 745730 SHA256: 595eac94b5519bdfda1226172e37d94416f13a0009077ba178b9d12933a6b4b5 SHA1: ca251bd1b125f41d1079dfb405950799a616c9a0 MD5sum: bd841f599e802cbaab49ea92ee6e514e Description: Berkeley v4.8 Database Utilities This package provides different tools for manipulating databases in the Berkeley v4.8 database format, and includes: - db4.8_archive: write the pathnames of the log files no longer in use. - db4.8_checkpoint: daemon process to monitor the database log and checkpoint it periodically. - db4.8_deadlock: traverse the database environment lock region and abort lock requests when a deadlock is detected. - db4.8_load: loads (and creates) a database from standard input. - db4.8_dump: read a database file and write it in a format understood by db4.{2..8}_load. - db4.8_printlog: dump log files in human readable format. - db4.8_stat: display statistics for Berkeley DB environments. - db4.8_upgrade: upgrades the version of files and the databases they contain. - db4.8_verify: check the structure of files and their databases. Package: db4otool Source: db4o Version: 8.0.184.15484+dfsg-2 Installed-Size: 450 Maintainer: Debian CLI Libraries Team Architecture: all Replaces: libdb4o6.0-cil, libdb4o6.1-cil, libdb4o7.4-cil Depends: mono-runtime (>= 2.10.1), libdb4o8.0-cil (>= 8.0.184.15484), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system4.0-cil (>= 2.10.1) Breaks: libdb4o6.0-cil, libdb4o6.1-cil, libdb4o7.4-cil Size: 192852 SHA256: 3e0d0b7ea0a7b2c0506093ab572d33c3c7f9923ae086f4923f260c1a55942ba5 SHA1: 9782681b461980de7c58985ec754574d86c052b8 MD5sum: 2f229c9c95c4612db2793aec2892f392 Description: native OODBMS for CLI (documentation) db4o is the open source object database that enables Java and .NET developers to store and retrieve any application object with only one line of code, eliminating the need to predefine or maintain a separate, rigid data model. . This package contains the Db4oTool utility, used to manupilate Db4o databases. Homepage: http://www.db4o.com/ Section: cli-mono Priority: optional Filename: pool/main/d/db4o/db4otool_8.0.184.15484+dfsg-2_all.deb Package: db5.1-doc Source: db Version: 5.1.29-5+deb7u1 Installed-Size: 41678 Maintainer: Debian Berkeley DB Group Architecture: all Size: 17311946 SHA256: 0aaf36f0bf726fae55a1b3c49b39a16a9834d24dc3a4e58134c3f1f77e0fc57c SHA1: 5544de9c98e7cf577e09553502630d3cde7ee2cf MD5sum: 89368486f972ed1202ad82a863c5afe8 Description: Berkeley v5.1 Database Documentation [html] This package contains documentation in HTML format for all of the APIs (C, C++, C#, STL, Java, Tcl) and utilities provided by version 5.1 of Berkeley DB. Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Section: doc Priority: optional Filename: pool/main/d/db/db5.1-doc_5.1.29-5+deb7u1_all.deb Package: db5.1-sql-util Source: db Version: 5.1.29-5+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 77 Depends: libc6 (>= 2.13-28), libdb5.1-sql, libdb5.1 Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: database Filename: pool/main/d/db/db5.1-sql-util_5.1.29-5+deb7u1_armhf.deb Size: 22056 SHA256: ffbf6c4b34fe4b30e4f232a35cc57495c204e52ef5e11bee7ff1dd144e37b19e SHA1: 44b0a5032391f84d612cf67222a058ef4f09c4f3 MD5sum: d84688e5cbe245ddddbe54cbd569e35a Description: Berkeley v5.1 SQL Database Utilities This package provides different tools for manipulating databases in the Berkeley v5.1 SQL database format, and includes: - db5.1_sql: sqlite3 compatible command-line utility Package: db5.1-util Source: db Version: 5.1.29-5+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 229 Depends: libc6 (>= 2.13-28), libdb5.1 Multi-Arch: foreign Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: standard Section: database Filename: pool/main/d/db/db5.1-util_5.1.29-5+deb7u1_armhf.deb Size: 80798 SHA256: fb4f1b9689a83b36646ca8c73a37cc1d9dc3a0f999a14f78d40fa8a6aca76fc4 SHA1: cb011f18e3c92274ca8380548c1930075530c216 MD5sum: e5663eeffa52da82d743e038b05a3165 Description: Berkeley v5.1 Database Utilities This package provides different tools for manipulating databases in the Berkeley v5.1 database format, and includes: - db5.1_archive: write the pathnames of the log files no longer in use. - db5.1_checkpoint: daemon process to monitor the database log and checkpoint it periodically. - db5.1_deadlock: traverse the database environment lock region and abort lock requests when a deadlock is detected. - db5.1_load: loads (and creates) a database from standard input. - db5.1_dump: read a database file and write it in a format understood by db4.{2..8}_load. - db5.1_printlog: dump log files in human readable format. - db5.1_stat: display statistics for Berkeley DB environments. - db5.1_upgrade: upgrades the version of files and the databases they contain. - db5.1_verify: check the structure of files and their databases. Package: db5.3-doc Source: db5.3 Version: 5.3.15-2 Installed-Size: 75323 Maintainer: Debian Berkeley DB Group Architecture: all Size: 22955184 SHA256: 9ba4554cda864aa9404405d06e61a706a619b41570f35ca2ba45813b94fd4f0f SHA1: 2d015cbd3ce89eaacae737d7ebf42758b35bdfa7 MD5sum: 6d32e27d3984f61c9a0fa2450cf42635 Description: Berkeley v5.3 Database Documentation [html] This package contains documentation in HTML format for all of the APIs (C, C++, C#, STL, Java, Tcl) and utilities provided by version 5.3 of Berkeley DB. Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Section: doc Priority: optional Filename: pool/main/d/db5.3/db5.3-doc_5.3.15-2_all.deb Package: db5.3-sql-util Source: db5.3 Version: 5.3.15-2 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 82 Depends: libc6 (>= 2.13-28), libdb5.3-sql, libdb5.3 Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: database Filename: pool/main/d/db5.3/db5.3-sql-util_5.3.15-2_armhf.deb Size: 23954 SHA256: e9a63455cb5e4a4145a34884452326f90a23f02cfdfae4991223beef466e7476 SHA1: 8b8babedc2ed32047234b417edfb535e261af901 MD5sum: c5b9bd6db7a9a8a09579b006ce98f345 Description: Berkeley v5.3 SQL Database Utilities This package provides different tools for manipulating databases in the Berkeley v5.3 SQL database format, and includes: - db5.3_sql: sqlite3 compatible command-line utility Package: db5.3-util Source: db5.3 Version: 5.3.15-2 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 221 Depends: libc6 (>= 2.13-28), libdb5.3 Multi-Arch: foreign Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: optional Section: database Filename: pool/main/d/db5.3/db5.3-util_5.3.15-2_armhf.deb Size: 76878 SHA256: fa7ff6826d43c2ad4ba00ad6f8ec151d99ceb0d466c31c61425fcd2ecb83f570 SHA1: 0c7bba74beb3e9dcdb99fdec81b15480aa7ca610 MD5sum: bdff010e16f3a0e0ed18db2e830a06a6 Description: Berkeley v5.3 Database Utilities This package provides different tools for manipulating databases in the Berkeley v5.3 database format, and includes: - db5.3_archive: write the pathnames of the log files no longer in use. - db5.3_checkpoint: daemon process to monitor the database log and checkpoint it periodically. - db5.3_deadlock: traverse the database environment lock region and abort lock requests when a deadlock is detected. - db5.3_load: loads (and creates) a database from standard input. - db5.3_dump: read a database file and write it in a format understood by db4.{2..8}_load. - db5.3_printlog: dump log files in human readable format. - db5.3_stat: display statistics for Berkeley DB environments. - db5.3_upgrade: upgrades the version of files and the databases they contain. - db5.3_verify: check the structure of files and their databases. Package: dbacl Version: 1.12-2.1 Architecture: armhf Maintainer: Zak B. Elep Installed-Size: 1226 Depends: libc6 (>= 2.4) Homepage: http://www.lbreyer.com/gpl.html Priority: optional Section: text Filename: pool/main/d/dbacl/dbacl_1.12-2.1_armhf.deb Size: 711826 SHA256: f11ac1b783e921c23bd39efb1ef12007c0d6ba4519296a620878aa17376966d0 SHA1: c550d9f02fb77d48cf1889f26472d59b39211c1d MD5sum: a9aadbb90b1b032f81a5678b48d1f39e Description: digramic Bayesian text classifier dbacl can distill text documents into categories, and then compare other text documents to the learned categories. . It can be used to recognize spam, and more generally sort incoming email into any number of categories such as work, play, and so on. . As a noise filter, it can be useful during the indexing of personal document collections. Package: dballe Version: 5.18-1 Architecture: armhf Maintainer: Enrico Zini Installed-Size: 209 Depends: dballe-common, libc6 (>= 2.13-28), libdballe5, libgcc1 (>= 1:4.4.0), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libpopt0 (>= 1.14), libstdc++6 (>= 4.4.0), libwreport2 (>= 2.0) Homepage: http://www.arpa.emr.it/dettaglio_documento.asp?id=514&idlivello=64 Priority: optional Section: misc Filename: pool/main/d/dballe/dballe_5.18-1_armhf.deb Size: 134714 SHA256: c897d5f27353bf0c21a3144010248c9876044f2053dc56316f3827a62580ed94 SHA1: c40d985107550465aaa69e38f742034fd4742b53 MD5sum: 1b930c6e4d9ff3abd11ec4fbb39edfab Description: Database for punctual meteorological data (Command line tools) DB-All.e is a fast on-disk database where meteorological observed and forecast data can be stored, searched, retrieved and updated. . This framework allows one to manage large amounts of data using its simple Application Program Interface, and provides tools to visualise, import and export in the standard formats BUFR, AOF and CREX. . The main characteristics of DB-ALL.e are: . * Fortran, C, C++ and Python APIs are provided. * To make computation easier, data is stored as physical quantities, that is, as measures of a variable in a specific point of space and time, rather than as a sequence of report. * Internal representation is similar to BUFR and CREX WMO standard (table code driven) and utility for import and export are included (generic and ECMWF template). * Representation is in 7 dimensions: latitude and longitude geographic coordinates, table driven vertical coordinate, reference time, table driven observation and forecast specification, table driven data type. * It allows one to store extra information linked to the data, such as confidence intervals for quality control. * It allows one to store extra information linked to the stations. * Variables can be represented as real, integer and characters, with appropriate precision for the type of measured value. * It is based on physical principles, that is, the data it contains are defined in terms of homogeneous and consistent physical data. For example, it is impossible for two incompatible values to exist in the same point in space and time. * It can manage fixed stations and moving stations such as airplanes or ships. * It can manage both observational and forecast data. * It can manage data along all three dimensions in space, such as data from soundings and airplanes. * Report information is preserved. It can work based on physical parameters or on report types. Package: dballe-common Source: dballe Version: 5.18-1 Installed-Size: 1247 Maintainer: Enrico Zini Architecture: all Size: 89308 SHA256: 9de16bf6dcd0bdd4fe34c62f8587bd181ac233e259331789d150784379e89747 SHA1: 44959209f09cf63977c6176c84c779c5c87ac692 MD5sum: 3bfdbca75574c5939f3d4be4763aecb1 Description: Common data files for all DB-All.e modules DB-All.e is a fast on-disk database where meteorological observed and forecast data can be stored, searched, retrieved and updated. . This package contains common DB-All.e data files, including variable metadata, BUFR and CREX decoding tables, report metadata, level and time range descriptions. Homepage: http://www.arpa.emr.it/dettaglio_documento.asp?id=514&idlivello=64 Tag: role::app-data Section: misc Priority: optional Filename: pool/main/d/dballe/dballe-common_5.18-1_all.deb Package: dbar Version: 0.0.20100524-3 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 50 Depends: libc6 (>= 2.7) Homepage: http://sites.google.com/site/gotmor Priority: optional Section: text Filename: pool/main/d/dbar/dbar_0.0.20100524-3_armhf.deb Size: 7784 SHA256: 00cb0fdb98a7fa241ae52e0d5f08590e587f24ced0904c54633ebb5cdd3e2c52 SHA1: aa49fb3967575041e32bb79decf8971972a3c02a MD5sum: 142f3aa1990f229aab89d74c2c77f6b4 Description: general purpose ASCII graphic percentage meter/progressbar dbar lets you define static 0% and 100% marks or you can define these marks dynamically at runtime. Static and dynamic marks can be mixed, in this case the value specified at runtime will have a higher priority. . You can specify ranges of numbers, negative, positive or ranges with a negative min value and positive max value. . Features: . - Simple interface, perfect to use within your scripts - Trivial to intergrate with dzen Package: dbconfig-common Version: 1.8.47+nmu1+deb7u1 Installed-Size: 1361 Maintainer: Sean Finney Architecture: all Depends: ucf (>= 0.28), debconf (>= 0.5) | debconf-2.0 Suggests: virtual-mysql-client | mysql-client | postgresql-client Size: 661604 SHA256: 9b037796d15126ce57c53e7b2c59d719ed79222df96ce0c491925415b40cc3c5 SHA1: 0a5b08c66797bbfe3b8ae7a5046504583a6663a5 MD5sum: 534d10f31fd39be8db86b55b24f87b62 Description: common framework for packaging database applications This package presents a policy and implementation for managing various databases used by applications included in Debian packages. . It can: - support MySQL, PostgreSQL, and sqlite based applications; - create or remove databases and database users; - access local or remote databases; - upgrade/modify databases when upstream changes database structure; - generate config files in many formats with the database info; - import configs from packages previously managing databases on their own; - prompt users with a set of normalized, pre-translated questions; - handle failures gracefully, with an option to retry; - do all the hard work automatically; - work for package maintainers with little effort on their part; - work for local admins with little effort on their part; - comply with an agreed upon set of standards for behavior; - do absolutely nothing if that is the whim of the local admin; - perform all operations from within the standard flow of package management (no additional skill is required of the local admin). Tag: admin::configuring, admin::package-management, devel::packaging, implemented-in::shell, protocol::db:mysql, protocol::db:psql, role::TODO, suite::debian, use::configuring, works-with::db, works-with::software:package Section: admin Priority: optional Filename: pool/main/d/dbconfig-common/dbconfig-common_1.8.47+nmu1+deb7u1_all.deb Package: dbeacon Version: 0.3.9.3-2 Architecture: armhf Maintainer: Faidon Liambotis Installed-Size: 181 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), lsb-base Recommends: libxml-parser-perl, perl Suggests: librrds-perl Priority: optional Section: net Filename: pool/main/d/dbeacon/dbeacon_0.3.9.3-2_armhf.deb Size: 54644 SHA256: 83d078a0f44068bbb2cca6f324ffc6ebf223e2411c3ebe91ec1053341ee2f297 SHA1: 9276363f99a4938d7c7319e8319a98ab7c9794c5 MD5sum: e8fb39214d7828ef4c2d4125e9aa3c4c Description: Multicast beacon dbeacon is a multicast beacon: its main purpose is to monitor other beacons' reachability and collect statistics such as loss, delay and jitter between them. . dbeacon supports both IPv4 and IPv6 multicast, collecting information using both Any Source Multicast (ASM) and Source-Specific Multicast (SSM). . This package also includes dbeacon matrix, a Perl script to generate beacon reachability matrices in HTML. Package: dbench Version: 4.0-2 Architecture: armhf Maintainer: Mattias Nordstrom Installed-Size: 25713 Depends: libc6 (>= 2.4), libpopt0 (>= 1.14) Homepage: http://samba.org/ftp/tridge/dbench/ Priority: extra Section: utils Filename: pool/main/d/dbench/dbench_4.0-2_armhf.deb Size: 1917562 SHA256: b579d244ee2af7e742bfe553450fe7251e60f9b1d8f3e2f311e4ad56cdb77c09 SHA1: 085d16e107732d631fb0ab92bf60f913dd68d59e MD5sum: fb28664049b898441eea0b3b95fcf055 Description: The dbench (disk) and tbench (TCP) benchmarks dbench and tbench simulate the load of the netbench "industry standard" benchmark used to rate (windows) file servers. Unlike netbench, they do not require a lab of Microsoft Windows PCs: dbench produces the filesystem load on a netbench run, and tbench produces the network load, allowing simpler bottleneck isolation. . Note that this benchmark is *not* a realistic reflection of normal server load. Package: dbf2mysql Version: 1.14a-3.1 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 86 Depends: libc6 (>= 2.13-28), libmysqlclient16 (>= 5.1.50-1) Priority: optional Section: misc Filename: pool/main/d/dbf2mysql/dbf2mysql_1.14a-3.1_armhf.deb Size: 28250 SHA256: 7011ba696f004ae38ecad3a70173d325780cc3b8c503f11d3098862216ed792f SHA1: 3a563053a80dd8f7b84cff615ca0554b6374a4a9 MD5sum: 7f7c8aa1b4d56b2be4772d06381023de Description: xBase <--> MySQL This program takes an xBase file and sends queries to an MySQL server to insert it into an MySQL table and vice versa. Package: dblatex Version: 0.3.4-2 Installed-Size: 6604 Maintainer: Andreas Hoenen Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), docbook-xml, xsltproc, python-apt (>= 0.7.91), texlive (>= 2009), texlive-bibtex-extra (>= 2009), texlive-latex-extra (>= 2009), texlive-math-extra (>= 2009), texlive-extra-utils (>= 2009) Recommends: libxml2-utils Suggests: docbook, ghostscript, graphicsmagick-imagemagick-compat | imagemagick, latex-cjk-all, lmodern, opensp, pdf-viewer, texlive-lang-cyrillic (>= 2009), texlive-xetex (>= 2009), transfig Size: 1907386 SHA256: 7bcd255099c31b66d3a8c337be6625e46e6aaf0924d5a3242cbdecdf3b56e601 SHA1: f24a5fc9ecfa56aa38970aa06ca4b295f3bde7a5 MD5sum: baecbb1c06e3310cb28898e2dfbff226 Description: Produces DVI, PostScript, PDF documents from DocBook sources DocBook to LaTeX Publishing that transforms your SGML/XML DocBook documents to DVI, PostScript or PDF by translating them in pure LaTeX as a first process. MathML 2.0 markups are supported, too. It originally started as a clone of DB2LaTeX, but has been enhanced extensively since then and is actively maintained by the upstream author. Homepage: http://dblatex.sourceforge.net/ Tag: role::program, use::typesetting, works-with-format::docbook Section: text Priority: optional Filename: pool/main/d/dblatex/dblatex_0.3.4-2_all.deb Package: dbmix Version: 0.9.8-6.2 Architecture: armhf Maintainer: Daniel Kobras Installed-Size: 210 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbaudiolib0, libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Priority: optional Section: sound Filename: pool/main/d/dbmix/dbmix_0.9.8-6.2_armhf.deb Size: 110726 SHA256: 8e81c42793043460c97cfebd42b2f15832cde6f4d2dbb2016e9c3850a8248989 SHA1: a9d13c3ab51ce77dd0341e4496e5c06d5e2978d1 MD5sum: 6f73f94d85c4640eca240bcb879cddc1 Description: DJ mixer for digital audio streams The DBMix software collection mimicks a mixing desk as used by disc jockeys. Using only a single sound card, it allows to mix audio streams from a variety of sources, cross-fade between them, adjust the pitches, or punch in short effects. Optionally, a second sound card (or output channel) can be used to cue in songs. Package: dbs Version: 0.47 Installed-Size: 160 Maintainer: Robert Luberda Architecture: all Depends: make, bzip2, perl Size: 25452 SHA256: 48358bb604d6c29be8113b5bc14daf0e9a4e0e4d4bbf4f79fdb5336c498bf514 SHA1: ca219b643f3646fb027829c076ae8d187b794011 MD5sum: ac7ec49481c81d8756aa3b4e4a519371 Description: Allows Debian source packages with multiple patches DBS stands for Debian Build System and is an alternative approach for source packages which want to ship a pristine source and then apply patches to it. This allows the distribution of multiple patches inside one package that are applied during the build process. Tag: devel::debian, devel::packaging, implemented-in::shell, role::app-data, suite::debian, use::synchronizing, works-with::software:source Section: devel Priority: optional Filename: pool/main/d/dbs/dbs_0.47_all.deb Package: dbskkd-cdb Version: 1:2.00-6 Architecture: armhf Maintainer: Tatsuya Kinoshita Installed-Size: 90 Depends: libc6 (>= 2.13-28), libcdb1, openbsd-inetd | inet-superserver, skkdic-cdb Recommends: tinycdb Enhances: skk Conflicts: skkserv Replaces: skkserv Provides: skkserv Homepage: http://github.com/jj1bdx/dbskkd-cdb Priority: optional Section: utils Filename: pool/main/d/dbskkd-cdb/dbskkd-cdb_2.00-6_armhf.deb Size: 24672 SHA256: 4f701cad779d8cd4372c8fd9f4c45287873f7a9dbb89c6bc0a5a02094f33b399 SHA1: 0041cb4c2e0fbf3b2f4534189bd0a55e1b23ba7b MD5sum: 5806fc5145aed85b61b41d97f94b3543 Description: SKK dictionary server using cdb for faster access dbskkd-cdb is a dictionary server for SKK Japanese input systems, using D. J. Bernstein's cdb database for faster dictionary access. . dbskkd-cdb is compatible with skkserv on the protocol behavior. It is called from an internet super-server. . This package uses the SKK dictionary cdb file `SKK-JISYO.cdb' that is provided by the skkdic-cdb package. . If you want to convert your own dictionary to cdb, use the `makeskkcdbdic' command. This command requires the tinycdb package. Package: dbtoepub Version: 0+svn9150-2 Installed-Size: 71 Maintainer: Debian XML/SGML Group Architecture: all Replaces: docbook-xsl (<< 1.75.2+dfsg-2) Depends: docbook-xsl | docbook-xsl-ns, libxml2-utils, ruby, xsltproc, zip Breaks: docbook-xsl (<< 1.75.2+dfsg-2) Size: 9330 SHA256: feef2686ede3ef32f57b9ea053f4784c0b343ba9660de0939167e72eb8a040cf SHA1: 70333f53c04e7ed058cc7bcde8b3c9e35a227c45 MD5sum: 3911a70e7f50b3c67436866bffdfaf5e Description: DocBook XML to .epub converter dbtoepub is an alpha-quality reference implementation to convert DocBook documents into the .epub format, an open standard for reflowable digital books and publications (e-books). . The .epub format is defined by the IDPF at http://www.idpf.org. Homepage: http://docbook.sourceforge.net/release/xsl/current/epub/README Tag: implemented-in::ruby, role::program, use::converting, works-with-format::docbook, works-with-format::epub Section: text Priority: extra Filename: pool/main/d/dbtoepub/dbtoepub_0+svn9150-2_all.deb Package: dbus Version: 1.6.8-1+deb7u6 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 811 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libexpat1 (>= 2.0.1), libselinux1 (>= 1.32), libsystemd-login0 (>= 31), adduser, lsb-base (>= 3.2-14) Suggests: dbus-x11 Multi-Arch: foreign Homepage: http://dbus.freedesktop.org/ Priority: optional Section: admin Filename: pool/main/d/dbus/dbus_1.6.8-1+deb7u6_armhf.deb Size: 353372 SHA256: 872b8c95ea14afd1b2da20dc04b6facf30afce117fd66c2129e076f74e84046f SHA1: 2c7928c4560e7ecf40127114a957b07603436c8b MD5sum: a610391d9fa72f53235db583fe3bc987 Description: simple interprocess messaging system (daemon and utilities) D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . D-Bus supports broadcast messages, asynchronous messages (thus decreasing latency), authentication, and more. It is designed to be low-overhead; messages are sent using a binary protocol, not using XML. D-Bus also supports a method call mapping for its messages, but it is not required; this makes using the system quite simple. . It comes with several bindings, including GLib, Python, Qt and Java. . This package contains the D-Bus daemon and related utilities. . The client-side library can be found in the libdbus-1-3 package, as it is no longer contained in this package. Package: dbus-1-dbg Source: dbus Version: 1.6.8-1+deb7u6 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 10003 Depends: libdbus-1-3 (= 1.6.8-1+deb7u6), dbus (= 1.6.8-1+deb7u6), dbus-x11 (= 1.6.8-1+deb7u6), libc6 (>= 2.13-28), libdbus-glib-1-2 (>= 0.78), libexpat1 (>= 2.0.1), libglib2.0-0 (>= 2.31.8), libselinux1 (>= 1.32), libsystemd-login0 (>= 31), libx11-6 Homepage: http://dbus.freedesktop.org/ Priority: extra Section: debug Filename: pool/main/d/dbus/dbus-1-dbg_1.6.8-1+deb7u6_armhf.deb Size: 6007762 SHA256: d1b4fd5a603b8c37f2fb3d85f8f8b7644e9d87b73b639989479821136dafc204 SHA1: 70e2ca9233b8f094a0f24831c7a4f6d79e232a26 MD5sum: d03c7d6940b740721a60beb4c0f9b583 Description: simple interprocess messaging system (debug symbols) D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . This package provides support for debugging programs that use the core D-Bus shared library. . See the dbus package description for more information about D-Bus in general. Package: dbus-1-doc Source: dbus Version: 1.6.8-1+deb7u6 Installed-Size: 19097 Maintainer: Utopia Maintenance Team Architecture: all Suggests: libdbus-1-dev Size: 2293982 SHA256: 7ad951418127c4daaa9b9cc7bf1a1a7721a14b9aa2005fadfa700c662443e00b SHA1: 4287afd675bd4d5025728be81d6afa5cd9a88d47 MD5sum: cd1e902d14319141061fc36d51e0931b Description: simple interprocess messaging system (documentation) D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . This package contains the API documentation for D-Bus, as well as the protocol specification. . See the dbus description for more information about D-Bus in general. Homepage: http://dbus.freedesktop.org/ Section: doc Priority: optional Filename: pool/main/d/dbus/dbus-1-doc_1.6.8-1+deb7u6_all.deb Package: dbus-java-bin Source: dbus-java Version: 2.8-4 Installed-Size: 86 Maintainer: Debian Java Maintainer Architecture: all Replaces: libdbus-java (<< 2.0) Depends: openjdk-7-jre | openjdk-6-jre, libdbus-java (= 2.8-4) Conflicts: libdbus-java (<< 2.0) Size: 76062 SHA256: ca2bbbc90daf85263fb65bb9df43823e720b5f1651251da8672c6b483e3ff9d8 SHA1: 7482d436be767e667c594ef192c8f08f14ca1ce2 MD5sum: b332710963408ed61919de7e027b2173 Description: simple interprocess messaging system (Java Binaries) D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . This package provides several programs using the Java implementation of D-Bus. This includes a simple D-Bus daemon for use in session busses, A tool for generating Java stubs from D-Bus introspection data and a graphical browser for D-Bus connections. . See the dbus description for more information about D-Bus in general. Homepage: http://www.freedesktop.org/wiki/Software/DBusBindings Tag: devel::rpc, implemented-in::java, interface::commandline, role::program Section: utils Priority: optional Filename: pool/main/d/dbus-java/dbus-java-bin_2.8-4_all.deb Package: dbus-x11 Source: dbus Version: 1.6.8-1+deb7u6 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 129 Depends: libc6 (>= 2.13-28), libx11-6, dbus Breaks: x11-common (<< 1:7.5+4) Multi-Arch: foreign Homepage: http://dbus.freedesktop.org/ Priority: optional Section: x11 Filename: pool/main/d/dbus/dbus-x11_1.6.8-1+deb7u6_armhf.deb Size: 58812 SHA256: 10ee70a85345ad6c13d0742c5ed6d0e4c6674dac4b8042eccf45a7fb9897a4d7 SHA1: 1ce7ddee83143f62613ab159c3c0b688af2d91e4 MD5sum: 1b2fca470e531218b4e7f24a587d4512 Description: simple interprocess messaging system (X11 deps) D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . This package contains the dbus-launch utility which is necessary for packages using a D-Bus session bus. . See the dbus description for more information about D-Bus in general. Package: dbview Version: 1.0.4-1 Architecture: armhf Maintainer: Martin Schulze Installed-Size: 51 Depends: libc6 (>= 2.4) Priority: optional Section: misc Filename: pool/main/d/dbview/dbview_1.0.4-1_armhf.deb Size: 11730 SHA256: 11e5fb75261a431fd22fb25f21793c706ad5902ddcd9ac3cf501a1b7af117474 SHA1: 0c6420067409ac7a4169c5b65a31c1ac1f14b590 MD5sum: 375bda761626046c72b1316d73c54f4f Description: View dBase III files Dbview is a little tool that will display dBase III and IV files. You can also use it to convert your old .dbf files for further use with Unix. . It wasn't the intention to write a freaking viewer and reinvent the wheel again. Instead dbview is intend to be used in conjunction with your favourite unix text utilities like cut, recode and more. Package: dc Source: bc Version: 1.06.95-2 Architecture: armhf Maintainer: John G. Hasler Installed-Size: 166 Depends: libc6 (>= 2.4), dpkg (>= 1.15.4) | install-info Priority: standard Section: math Filename: pool/main/b/bc/dc_1.06.95-2_armhf.deb Size: 66050 SHA256: 71cea7be2bdcc9fabdad72d0d80bfbbdf96e26a42cd577478cf347f74f490baa SHA1: 6066b3ffc422c2343dd12f06c154aefe33e41428 MD5sum: e81dfe200fa49bc6a4a57ff8252a9ad9 Description: The GNU dc arbitrary precision reverse-polish calculator GNU dc is a reverse-polish desk calculator which supports unlimited precision arithmetic. It also allows you to define and call macros. . A reverse-polish calculator stores numbers on a stack. Entering a number pushes it on the stack. Arithmetic operations pop arguments off the stack and push the results. Home page: http://directory.fsf.org/GNU/bc.html Package: dc-qt Version: 0.2.0.alpha-4.1 Architecture: armhf Maintainer: Steffen Joeris Installed-Size: 2638 Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Homepage: http://dc-qt.sourceforge.net Priority: optional Section: kde Filename: pool/main/d/dc-qt/dc-qt_0.2.0.alpha-4.1_armhf.deb Size: 819980 SHA256: 9b7c25623bdfa63d1a56b5957d2aa106414f7f707f437bcadacfcd4b1c5165b6 SHA1: 626a47b91eccf436e56f75c885eec1fa06e2920f MD5sum: a9796ce97336e8a7f658caa5343d0a31 Description: GUI frontend for the dc protocol dc-qt is a qt front-end for the dctc program. dctc handles all communication with dc hubs and clients, while dc-qt presents an interface that has many of the features of the original directconnect client, plus some really useful improvements. . It is intended for peer-based file-sharing. In practise it works better than gnutella and other similar systems as it allows dc hubs (servers) administators to require clients to share specified amount of data. The amount is usually based on type of client's connection and it is used not to hurt or exclude anybody but to make file sharing "fair play". . dc-qt is still alpha, so some care has to be taken - try it out! Package: dc3dd Version: 7.1.614-1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 771 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://dc3dd.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/d/dc3dd/dc3dd_7.1.614-1_armhf.deb Size: 146154 SHA256: 59d57d175d48934df64bb7297862e62ef91f697d85775f21c40b425a2cb0d70c SHA1: 8840004cd553cc72869a1420be05399860f73fb2 MD5sum: 959c00c37899468203d1103e3518af37 Description: patched version of GNU dd with forensic features dc3dd is a patched version of GNU dd with added features for computer forensics: . * on the fly hashing (md5, sha-1, sha-256, and sha-512) * possibility to write errors to a file * group errors in the error log * pattern wiping * progress report * possiblity to split output Package: dcap Version: 2.47.6-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 63 Depends: libdcap1 (= 2.47.6-2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.dcache.org/manuals/libdcap.shtml Priority: optional Section: net Filename: pool/main/d/dcap/dcap_2.47.6-2_armhf.deb Size: 16122 SHA256: 5fba9655fd78f474ecfc6a5642ca50184d5934fe13c8d2adc9aeb74dc36dc580 SHA1: 64e9bc877ad1587c4bc11ca51aaf7ebb42518f98 MD5sum: 4a1e9379b34559c2f27b09b618eb4715 Description: Client Tools for dCache dCache is a distributed mass storage system. This package contains the client tools. Package: dcap-dbg Source: dcap Version: 2.47.6-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 774 Depends: dcap (= 2.47.6-2) | libdcap1 (= 2.47.6-2) | dcap-tunnel-gsi (= 2.47.6-2) | dcap-tunnel-krb (= 2.47.6-2) | dcap-tunnel-ssl (= 2.47.6-2) | dcap-tunnel-telnet (= 2.47.6-2) Homepage: http://www.dcache.org/manuals/libdcap.shtml Priority: extra Section: debug Filename: pool/main/d/dcap/dcap-dbg_2.47.6-2_armhf.deb Size: 280110 SHA256: 30b54da5ed020df2e3ff107ce711cef8c536895d415bccfdada1188d77a9e9e2 SHA1: 7332cf635663b66e888222615960e5f5d46f92ed MD5sum: 0f176b4c89b21721088eceb6a67b05ee Description: Debug symbols for dcap This package contains debug symbols for dcap. Package: dcap-dev Source: dcap Version: 2.47.6-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 391 Depends: libdcap1 (= 2.47.6-2) Homepage: http://www.dcache.org/manuals/libdcap.shtml Priority: optional Section: libdevel Filename: pool/main/d/dcap/dcap-dev_2.47.6-2_armhf.deb Size: 118244 SHA256: 226d31bd2c06a59a1d5d18e14d96a893cd78c2a4c4b3f6fb00b5541c5f360791 SHA1: 74049d3f7faeffe5921d970b13b2138df166ed1d MD5sum: 125f755470732c7354cc3dd296a99686 Description: Client Development Files for dCache dCache is a distributed mass storage system. This package contains the client development files. Package: dcap-tunnel-gsi Source: dcap Version: 2.47.6-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 53 Depends: libdcap1 (= 2.47.6-2), libc6 (>= 2.13-28), libglobus-gssapi-gsi4 (>= 10) Homepage: http://www.dcache.org/manuals/libdcap.shtml Priority: optional Section: libs Filename: pool/main/d/dcap/dcap-tunnel-gsi_2.47.6-2_armhf.deb Size: 11030 SHA256: 8156ddf5dcaa5624bd1f43ad53daa36649f54f9d372ddb2421ba57eef3c06b92 SHA1: 6f8597c64299a7a6d6987e59000956c974939d32 MD5sum: 27ebfb28494b3b97011423fd13ce0d19 Description: GSI tunnel for dCache This package contains the gsi tunnel plugin library used by dcap. This library is dynamically loaded at runtime. Package: dcap-tunnel-krb Source: dcap Version: 2.47.6-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 53 Depends: libdcap1 (= 2.47.6-2), libc6 (>= 2.13-28), libgssapi-krb5-2 (>= 1.10+dfsg~) Homepage: http://www.dcache.org/manuals/libdcap.shtml Priority: optional Section: libs Filename: pool/main/d/dcap/dcap-tunnel-krb_2.47.6-2_armhf.deb Size: 11076 SHA256: d84ee65c07c62765e076ffae4601585e1121865358aefdebac6303996f831a65 SHA1: 7d8ff7823f774a92a7ebc008557ba625b2a60f19 MD5sum: d7f2e1cc95b51f68b12dc5f84f1391a3 Description: Kerberos tunnel for dCache This package contains the kerberos tunnel plugin library used by dcap. This library is dynamically loaded at runtime. Package: dcap-tunnel-ssl Source: dcap Version: 2.47.6-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 45 Depends: libdcap1 (= 2.47.6-2), libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0) Homepage: http://www.dcache.org/manuals/libdcap.shtml Priority: optional Section: libs Filename: pool/main/d/dcap/dcap-tunnel-ssl_2.47.6-2_armhf.deb Size: 6558 SHA256: 2b39b45a08961ef2e1e2a0b9c244e66c2da937be3dbb1b6e8dd317aac434aa53 SHA1: ca4691a865aadebc94098ea7b66944e88cfc84b6 MD5sum: cf6cf4287f78de02719fd2f898f904fd Description: SSL tunnel for dCache This package contains the ssl tunnel plugin library used by dcap. This library is dynamically loaded at runtime. Package: dcap-tunnel-telnet Source: dcap Version: 2.47.6-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 45 Depends: libdcap1 (= 2.47.6-2), libc6 (>= 2.13-28) Homepage: http://www.dcache.org/manuals/libdcap.shtml Priority: optional Section: libs Filename: pool/main/d/dcap/dcap-tunnel-telnet_2.47.6-2_armhf.deb Size: 7076 SHA256: 41bc0847d41458ff9a82796abba1fd28b7698e4b211deab1c7eb61301d101332 SHA1: 9884fc16f8b9567ccf2fd270ec1f0ba90c32e2c9 MD5sum: 81a9eba8fdcd4e5a888d25b06e1a0d68 Description: Telnet tunnel for dCache This package contains the telnet tunnel plugin library used by dcap. This library is dynamically loaded at runtime. Package: dcfldd Version: 1.3.4.1-2.1 Architecture: armhf Maintainer: Kenny Duffus Installed-Size: 105 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://dcfldd.sourceforge.net/ Priority: optional Section: admin Filename: pool/main/d/dcfldd/dcfldd_1.3.4.1-2.1_armhf.deb Size: 41340 SHA256: 5387c7afbcfcce5319299beb1b1374c1b794cd6e577447dd2f97c1a41e03632d SHA1: 705e4e16cd22d87e7b2291ef83d9053a866c32fe MD5sum: 64eb1c904000ead3567375684aa7d87f Description: enhanced version of dd for forensics and security Based on the dd program with the following additional features: . - Hashing on-the-fly, dcfldd can hash the input data as it is being transferred, helping to ensure data integrity. - Status output, dcfldd can update the user of its progress in terms of the amount of data transferred and how much longer operation will take. - Flexible disk wipes, dcfldd can be used to wipe disks quickly and with a known pattern if desired. - Image/wipe Verify, dcfldd can verify that a target drive is a bit-for-bit match of the specified input file or pattern. - Multiple outputs, dcfldd can output to multiple files or disks at the same time. - Split output, dcfldd can split output to multiple files with more configurability than the split command. - Piped output and logs, dcfldd can send all its log data and output to commands as well as files natively. Package: dchroot Source: schroot Version: 1.6.4-4 Architecture: armhf Maintainer: Debian buildd-tools Developers Installed-Size: 1006 Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblockdev1, libpam0g (>= 0.99.7.1), libstdc++6 (>= 4.6), libuuid1 (>= 2.16), schroot (= 1.6.4-4), schroot-common (= 1.6.4-4) Suggests: debootstrap Priority: optional Section: admin Filename: pool/main/s/schroot/dchroot_1.6.4-4_armhf.deb Size: 328168 SHA256: f2e6cedd9abfa01cc41f12cec633243eea27eb5b168e9f88dd80b230352d11bd SHA1: bb632f9dfdc0f80f9160a99754b47576e17e5e57 MD5sum: a7d607123d89a900f8f381fe4bebccba Description: Execute commands in a chroot environment dchroot allows users to execute commands or interactive shells in different chroots. A typical installation might provide 'stable', 'testing' and 'unstable' chroots. Users can move between chroots as necessary. . NOTE: the schroot package provides a better implementation of dchroot. In particular: * dchroot quoting issues are not present. dchroot runs commands in the chroot with -c option of the user's default shell; when multiple command options are used, the options are concatenated together, separated by spaces. This concatenation breaks shell quoting. * schroot implements fine-grained access controls based on users and groups, either of which may be granted the ability to gain root access to the chroot if required. Using schroot will avoid these issues, as well as provide additional functionality dchroot does not possess. Package: dchroot-dsa Source: schroot Version: 1.6.4-4 Architecture: armhf Maintainer: Debian buildd-tools Developers Installed-Size: 1009 Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblockdev1, libpam0g (>= 0.99.7.1), libstdc++6 (>= 4.6), libuuid1 (>= 2.16), schroot (= 1.6.4-4), schroot-common (= 1.6.4-4) Suggests: debootstrap Priority: optional Section: admin Filename: pool/main/s/schroot/dchroot-dsa_1.6.4-4_armhf.deb Size: 328064 SHA256: f76fbee53ced8c757df07ef5fcb0d19146548aeefeae91f21cd087d14528ffc3 SHA1: 58fa5e128b817a7365eb0a84c83505c46e6b739c MD5sum: afeb503ab168d7aeaf8be52272f6c936 Description: Execute commands in a chroot environment dchroot allows users to execute commands or interactive shells in different chroots. A typical installation might provide 'stable', 'testing' and 'unstable' chroots. Users can move between chroots as necessary. . This package provides a dchroot-dsa binary which is compatible with the command-line options and configuration file format used by the dchroot-dsa dchroot maintained by the Debian System Administrators used on machines administered for the Debian Project. . NOTE: the schroot package provides a better implementation of dchroot-dsa. In particular: * dchroot-dsa only allows the specification of a single command with an absolute path, and no options may be used with the command; schroot allows the use of relative and absolute paths with no limit on the number of options. * dchroot-dsa only allows one chroot to be used at once; schroot will allow the use of as many chroots as desired. * dchroot-dsa allows access to be restricted to named users; schroot implements finer-grained access controls based on users and groups, either of which may be granted the ability to gain root access to the chroot if required. Using schroot will avoid these issues, as well as provide significant additional functionality dchroot-dsa does not possess. Package: dclock Version: 2.2.2-6 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 192 Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxft2 (>> 2.1.1), libxt6 Recommends: sox Homepage: http://opencircuitdesign.com/~tim/programs/ Priority: optional Section: x11 Filename: pool/main/d/dclock/dclock_2.2.2-6_armhf.deb Size: 59168 SHA256: 2f7383649225283db53533af1cd51021faba875a71d9568cf4805916eb7fe499 SHA1: af8000bd2d5843aa94b398cd57c2833297208b61 MD5sum: 36b6c57c3a6c52094f4674b060766f81 Description: Digital clock for the X Window System with flexible display Dclock main feature is its great flexibility in how it can draw. You can even display the output from "date" in it such as "Wednesday, 3rd Jan". Dclock also supports setting an alarm. . You need the package sox if you want to enable sound for alarm and hourly bells (rather than beeps). Package: dcmtk Version: 3.6.0-12+deb7u1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 2823 Depends: libc6 (>= 2.13-28), libdcmtk2 (>= 3.6.0), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), adduser (>= 3.34) Homepage: http://dicom.offis.de/dcmtk Priority: optional Section: science Filename: pool/main/d/dcmtk/dcmtk_3.6.0-12+deb7u1_armhf.deb Size: 1377632 SHA256: f23e046c70e526f628a474aecdacacb8a143d90c1408622647f24a76d0ec526e SHA1: 7754392788eb9caf9745594be1050caecd0e8d33 MD5sum: fcc5d1b643e7d3f002269d10fc2cfb35 Description: OFFIS DICOM toolkit command line utilities DCMTK includes a collection of libraries and applications for examining, constructing and converting DICOM image files, handling offline media, sending and receiving images over a network connection, as well as demonstrative image storage and worklist servers. . This package contains the DCMTK utility applications. . Note: This version was compiled with libssl support. Package: dcmtk-doc Source: dcmtk Version: 3.6.0-12+deb7u1 Installed-Size: 73355 Maintainer: Debian Med Packaging Team Architecture: all Suggests: dcmtk, libdcmtk2-dev Size: 11475048 SHA256: 8d51b13a116158cd98d9cabd2beeb70acc72d6c498431b0754e2db3bf0639502 SHA1: cc30071f605510712b1ceb224b438065581a7d8e MD5sum: 3ec72b846511e5f5fcb871d356bd2ef7 Description: OFFIS DICOM toolkit documentation DCMTK includes a collection of libraries and applications for examining, constructing and converting DICOM image files, handling offline media, sending and receiving images over a network connection, as well as demonstrative image storage and worklist servers. . This package contains the on-line documentation for the DCMTK libraries and utilities in HTML format. Homepage: http://dicom.offis.de/dcmtk Section: doc Priority: optional Filename: pool/main/d/dcmtk/dcmtk-doc_3.6.0-12+deb7u1_all.deb Package: dcmtk-www Source: dcmtk Version: 3.6.0-12+deb7u1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 316 Depends: libc6 (>= 2.13-28), libdcmtk2 (>= 3.6.0), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), dcmtk, apache2 | httpd, perl Homepage: http://dicom.offis.de/dcmtk Priority: optional Section: web Filename: pool/main/d/dcmtk/dcmtk-www_3.6.0-12+deb7u1_armhf.deb Size: 143468 SHA256: 31b53f620522a19e397af7d0aa1b30b62a53fca1f3ce14be2150ef158b69f81f SHA1: a076f5203e694a755be710cc3d728862a502f9bc MD5sum: b85282c665cabd793a98d6233e91d2fa Description: OFFIS DICOM toolkit worklist www server application DCMTK includes a collection of libraries and applications for examining, constructing and converting DICOM image files, handling offline media, sending and receiving images over a network connection, as well as demonstrative image storage and worklist servers. . This package contains the DICOM basic worklist management web server application. You only need to install this if you want to manage worklist entries using a web browser. Package: dconf Version: 0.5.1-2 Installed-Size: 112 Maintainer: Leo Eraly Architecture: all Depends: python Size: 15188 SHA256: 83f5c1443dc09f0d16a621c044d9e93fad4316f1e3dbedc130d0b329a863626b SHA1: 348a1deb213e902bf9ba557cf303e2602495fd90 MD5sum: 283d53edb71dd956bfd816b221953741 Description: collect system information Dconf is a tool to collect a system's hardware and software configuration. It allows to take your system configuration with you or compare systems (like nodes in a cluster) to troubleshoot hardware or software problems. Tag: admin::hardware, role::program, scope::utility, use::scanning Section: admin Priority: optional Filename: pool/main/d/dconf/dconf_0.5.1-2_all.deb Package: dconf-gsettings-backend Source: d-conf Version: 0.12.1-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 76 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.18), dconf-service (>= 0.12.1-3), dconf-service (<< 0.12.1-3.1~), libdconf0 (= 0.12.1-3) Breaks: libdconf0 (<< 0.7.3-2), libglib2.0-0 (<< 2.30) Replaces: libdconf0 (<< 0.7.3-2) Provides: gsettings-backend Multi-Arch: same Homepage: http://live.gnome.org/dconf Priority: optional Section: libs Filename: pool/main/d/d-conf/dconf-gsettings-backend_0.12.1-3_armhf.deb Size: 18420 SHA256: 4474523a5cd3b5e100549c4406613afbafdd10b6d931fe0de59c848ac24f063b SHA1: eb83f751ae72178d4735514562586aa21360d633 MD5sum: 32ee84627f5c9636ca636f1db1b314cd Description: simple configuration storage system - GSettings back-end DConf is a low-level key/value database designed for storing desktop environment settings. . This package contains a back-end for GSettings. It is needed by applications accessing settings through GSettings to set custom values and listen for changes. Package: dconf-service Source: d-conf Version: 0.12.1-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 80 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.18), libdconf0 (= 0.12.1-3) Recommends: dconf-gsettings-backend Breaks: dconf-gsettings-backend (<< 0.10.0-2), libdconf0 (<< 0.7.3-2) Replaces: dconf-gsettings-backend (<< 0.10.0-2), libdconf0 (<< 0.7.3-2) Multi-Arch: foreign Homepage: http://live.gnome.org/dconf Priority: optional Section: libs Filename: pool/main/d/d-conf/dconf-service_0.12.1-3_armhf.deb Size: 20240 SHA256: d0901b078b974e23c7c3c7eba6a648af39d743f97e7a2addf9f41e7ad3ac881f SHA1: 00148be1bd0e15ccbc99fc4c58d0e3cc0d25caff MD5sum: f16f6732e9fceba23957dabc7034b833 Description: simple configuration storage system - D-Bus service DConf is a low-level key/value database designed for storing desktop environment settings. . This package contains the DConf service, which applications talk to using D-Bus in order to obtain their settings. It is mostly used by the GSettings backend. Package: dconf-tools Source: d-conf Version: 0.12.1-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 258 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdconf0 (>= 0.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.18), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), dconf-gsettings-backend | gsettings-backend Conflicts: dconf Homepage: http://live.gnome.org/dconf Priority: optional Section: utils Filename: pool/main/d/d-conf/dconf-tools_0.12.1-3_armhf.deb Size: 67440 SHA256: 8043f7ff17b93f782cccde1b70df92f94d97e06728c7b614d99313e6016e2290 SHA1: f5e4218359f7e7a39db985c56d3cf08dc0177264 MD5sum: 5d48883aa4ea3429abb9761e87d7de11 Description: simple configuration storage system - utilities DConf is a low-level key/value database designed for storing desktop environment settings. . This package contains the command line tools. Note that DConf is not connected with the older Debian package named dconf. Package: dcraw Version: 8.99-1 Architecture: armhf Maintainer: Steve King Installed-Size: 383 Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), liblcms1 (>= 1.15-1) Suggests: gphoto2, netpbm Homepage: http://www.cybercom.net/~dcoffin/dcraw/ Priority: extra Section: graphics Filename: pool/main/d/dcraw/dcraw_8.99-1_armhf.deb Size: 195428 SHA256: 88cf20b6501ba053cedbbb3c0b94a398a3d8e0a2f479271ae631af1943aab3f2 SHA1: 0f5dc4c9453621256c30e2bce1f356bd21c55ac3 MD5sum: 99382eccc2dbee16e1ef4ce3aef5fad1 Description: decode raw digital camera images This utility converts the native (RAW), format of various digital cameras into netpbm portable pixmap (.ppm) image. Supports the following models: Canon, Kodak, Olympus, Nikon, Fuji, Minolta and Sigma (see http://www.cybercom.net/~dcoffin/dcraw/ for full list) Note: This utility does not read directly from the cameras, only the files after they have been downloaded, use gphoto2 for that. Package: dctrl-tools Version: 2.22.2 Architecture: armhf Maintainer: dctrl-tools developers Installed-Size: 529 Depends: libc6 (>= 2.13-28) Suggests: apt, debtags Conflicts: grep-dctrl Replaces: grep-dctrl Provides: grep-dctrl Priority: optional Section: utils Filename: pool/main/d/dctrl-tools/dctrl-tools_2.22.2_armhf.deb Size: 153424 SHA256: 92d169eaf522def53b583cacf323460fdff092cdd6b15780c37baf171055253a SHA1: 084c3d3ff885039ff3512260eb077acf45783c08 MD5sum: 6d4a3df5e20305cc272ad35577008b3a Description: Command-line tools to process Debian package information Debian package information is generally stored in files having a special file format, dubbed the Debian control file format (the dctrl format), a special case of the record jar file format. These tools operate on any files conforming in a general sense to that format and are therefore widely applicable whenever those formats are in play. . Included are: . grep-dctrl - Grep dctrl-format files grep-available - Grep the DPKG available database grep-status - Grep the DPKG status database grep-aptavail - Grep the APT available database grep-debtags - Grep the Debtags package database . sort-dctrl - Sort dctrl-format files . tbl-dctrl - Tabulate dctrl-format files . sync-available - Sync the dpkg available database with the apt database Package: dctrl2xml Version: 0.18 Installed-Size: 100 Maintainer: Frank S. Thomas Architecture: all Depends: python (>= 2.6), python-support (>= 0.90.0), python-debian Size: 12610 SHA256: dd34444a0ac8e4add61c0780888dc686db167ce1a23e28841fea4ef1c1dd4e63 SHA1: 655927153cb406d4871e979e32fcb8ff3570980b MD5sum: 57cf49293124c9e60dea4995fd926e08 Description: Debian control data to XML converter This package contains the dctrl2xml tool that converts Debian control data into an XML representation. It can be used to convert data which is normally found in debian/control, .changes, .dsc, Packages, Sources, and similar files to XML. . For most fields dctrl2xml just uses the field name as element name and the field data as element content. For other fields, such as package interrelationship fields (Depends, Build-Depends, etc.) or the Files field in .changes or Sources files, dctrl2xml additionally parses their field data to represent it in a more fine-structured form. Tag: devel::debian, implemented-in::python, interface::commandline, role::program, scope::utility, use::converting, works-with-format::json, works-with-format::xml, works-with::text Section: utils Priority: optional Filename: pool/main/d/dctrl2xml/dctrl2xml_0.18_all.deb Package: ddccontrol Version: 0.4.2-10 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 395 Depends: ddccontrol-db (>= 20060308), libc6 (>= 2.13-28), libddccontrol0, libpci3 (>= 1:3.1.9-2), libxml2 (>= 2.6.27) Homepage: http://ddccontrol.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/d/ddccontrol/ddccontrol_0.4.2-10_armhf.deb Size: 85558 SHA256: 6dbe52d6439dc074e7b859b754c0cec551c8cf65dc508d4a792cdb4a73527aad SHA1: 957ab772d06c746c0afbd7be183b0c1a8bded28a MD5sum: 807d488ff332df387c0860569b6d391c Description: program to control monitor parameters DDCcontrol is a tool used to control monitor parameters, like brightness and contrast, without using the OSD (On Screen Display) and the buttons in front of the monitor. Package: ddccontrol-db Version: 20061014-4 Installed-Size: 512 Maintainer: Debian QA Group Architecture: all Recommends: ddccontrol Size: 39944 SHA256: e5b01a4e1d9063b6867ffd31b2d06d66737646e8aedb0ad4b6b7325c2d7fbc89 SHA1: bc9433d7e3e64478300e0401694601b19cf2a404 MD5sum: a110bc887e04602e836f15d6f33c7d05 Description: monitor database for ddccontrol Database of monitors used by ddccontrol. This is mostly useless without ddccontrol. Homepage: http://ddccontrol.sourceforge.net/ Tag: hardware::video, role::data Section: utils Priority: optional Filename: pool/main/d/ddccontrol-db/ddccontrol-db_20061014-4_all.deb Package: ddclient Version: 3.8.0-11.5 Installed-Size: 309 Maintainer: Torsten Landschoff Architecture: all Provides: dyndns-client Depends: perl5, debconf (>= 0.5) | debconf-2.0, lsb-base (>= 3.1), initscripts (>= 2.86) Recommends: libio-socket-ssl-perl Size: 76528 SHA256: fbca3748fe0263d74a0d810e8e598ad60569cc45b58b79050bc229b4dc7f520e SHA1: 9f94c70a9e981e1127d9acd5f1b2e28e1f37e42b MD5sum: 0ebc22397b543405d8853aa3d49e967d Description: address updating utility for dynamic DNS services This package provides a client to update dynamic IP addresses with several dynamic DNS service providers, such as DynDNS.com. . This makes it possible to use a fixed hostname (such as myhost.dyndns.org) to access a machine with a dynamic IP address. . This client supports both dynamic and (near) static services, as well as MX record and alternative name management. It caches the address, and only attempts the update when it has changed. Homepage: http://ddclient.sf.net Tag: implemented-in::perl, network::client, protocol::dns, role::program Section: net Priority: extra Filename: pool/main/d/ddclient/ddclient_3.8.0-11.5_all.deb Package: ddd Version: 1:3.3.12-4 Architecture: armhf Maintainer: Daniel Schepler Installed-Size: 3258 Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libtinfo5, libx11-6, libxaw7, libxmu6, libxt6, dpkg (>= 1.15.4) | install-info Recommends: gdb (>= 7.1) Suggests: ddd-doc, perl (>= 5.8.0), pydb, info, glibc-doc, xterm, x11-utils, gnuplot, rsh-client, cups-bsd | lpr Conflicts: ddd-dmotif, ddd-smotif Replaces: ddd-dmotif, ddd-smotif Homepage: http://www.gnu.org/software/ddd/ Priority: optional Section: devel Filename: pool/main/d/ddd/ddd_3.3.12-4_armhf.deb Size: 1362092 SHA256: bed23773be12f8796b72c89388e9173073cb1c3d730196c43ed1508443d72e19 SHA1: 59887e1947c77c427734df92ea97a9e5e24ac44e MD5sum: c86402c36db4e7ffed792eead7d5a38b Description: The Data Display Debugger, a graphical debugger frontend The Data Display Debugger (DDD) is a popular graphical user interface to UNIX debuggers such as GDB, DBX, XDB, JDB and others. Besides typical front-end features such as viewing source texts and breakpoints, DDD provides an interactive graphical data display, where data structures are displayed as graphs. Using DDD, you can reason about your application by watching its data, not just by viewing it execute lines of source code. . Other DDD features include: debugging of programs written in Ada, Bash, C, C++, Chill, Fortran, Java, Modula, Pascal, Perl and Python; machine-level debugging; hypertext source navigation and lookup; breakpoint, backtrace, and history editors; preferences and settings editors; program execution in terminal emulator window; debugging on remote host; on-line manual; interactive help on the Motif user interface; GDB/DBX/XDB command-line interface with full editing, history, and completion capabilities. . This version is linked against Lesstif, an LGPL-ed implementation of Motif. Package: ddd-doc Source: ddd Version: 1:3.3.12-4 Installed-Size: 1874 Maintainer: Daniel Schepler Architecture: all Replaces: ddd (<< 3.1.90) Recommends: pdf-viewer, postscript-viewer, ddd Size: 1876692 SHA256: bb9c6a8eb984f936113aba3aaf66fa639caed6e4efbcb2c18abbbdca302adfef SHA1: 573aaa4b754bae5c27964ca56dce65d90fa35947 MD5sum: 77b0f7a62b0e6ddf7c677038ea231170 Description: Additional documentation for the Data Display Debugger The "Debugging with DDD" and "Writing DDD Themes" User's Guide and Reference Manual in PDF format ("info" versions are included in the "ddd" package itself). . The technical report "DDD---A Free Graphical Front-End for UNIX Debuggers" in PostScript format. Homepage: http://www.gnu.org/software/ddd/ Tag: devel::debugger, devel::doc, role::documentation, suite::gnu Section: doc Priority: optional Filename: pool/main/d/ddd/ddd-doc_3.3.12-4_all.deb Package: ddir Version: 2010.0321+git1685e72-2 Installed-Size: 68 Maintainer: Jari Aalto Architecture: all Depends: perl Size: 9776 SHA256: 5c8aa844b8add39ac1d790297eda609a3b6909bcfe435098ff9a051f234c6f3a SHA1: cab299f3ec61e405b39026f3d7acc4389f60ebe8 MD5sum: 0406d56f813bd72c4b619ac579360d1e Description: display hierarchical directory tree Display an indented directory tree using ASCII graphical characters to represent the hierarchical structure. The directories to include or exclude can be specified with command line options. Ddir is a Perl implementation of the tree(1) program. Homepage: http://freshmeat.net/projects/ddir Tag: implemented-in::perl, interface::commandline, role::program, scope::utility Section: utils Priority: optional Filename: pool/main/d/ddir/ddir_2010.0321+git1685e72-2_all.deb Package: ddns3-client Version: 1.8-12 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 90 Depends: libc6 (>= 2.7) Suggests: bpalogin, dhcp-client Priority: optional Section: net Filename: pool/main/d/ddns3-client/ddns3-client_1.8-12_armhf.deb Size: 18672 SHA256: 86d1ada5dff8795b8bcf49f4079dc842a26c2713b52a4bcf95a9656bdf6659fc SHA1: 207d56fe8a058cbb7fbdba996de726b78f7efa70 MD5sum: 4cdd1fd146d356f4dba743f0ca466329 Description: Issues dynamic DNS v3 requests Dynamic DNS fixes your domain name when your IP address varies with permanent connections like cable modems. Your ISP may charge extra for DNS. Free dynamic DNS with a range of domain names is available from sites like dyndns.org, hn.org and ddns.nu. You set up an account and domain name with your browser at the dynamic DNS site then update the IP periodically with an appropriate client program. . This package installs a DNS update client, ddns3, for Dynamic DNS version 3 used by server ddns.nu in Sydney, Australia. After server account creation edit /etc/default/ddns3-client to activate ddns3. Package: ddpt Version: 0.92-1 Architecture: armhf Maintainer: Tomas Fasth Installed-Size: 206 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0) Priority: optional Section: admin Filename: pool/main/d/ddpt/ddpt_0.92-1_armhf.deb Size: 92892 SHA256: b8d8b33a90c0f3f02fa9137d30951a4da45195205b9c0967ed22c32503c8d413 SHA1: fb08dfd0dbf209635a7296b26a1a54e6689b783f MD5sum: 3c652badb1cfdc48b03361cbe24c9fe0 Description: Dump data to and from storage devices Is specialized for cases where the source and/or destination is a storage device. Can drop down to the SCSI command level to issue READ and WRITE commands through a pass-through interface. Package: ddrescue Version: 1.23-1 Architecture: armhf Maintainer: Michael Prokop Installed-Size: 75 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://www.garloff.de/kurt/linux/ddrescue/ Priority: optional Section: utils Filename: pool/main/d/ddrescue/ddrescue_1.23-1_armhf.deb Size: 25982 SHA256: a4d1931e8bc892afa4ac7ea1f4d8dd7d9328c8367b4f6ceb623c0d6f1c28b3c4 SHA1: 475fc87be25f6af123831c191d403ca2cbe55f11 MD5sum: ba40bfabed14fb4e0a5a22b6551f8cd7 Description: copy data from one file or block device to another dd_rescue is a tool to help you to save data from crashed partition. Like dd, dd_rescue does copy data from one file or block device to another. But dd_rescue does not abort on errors on the input file (unless you specify a maximum error number). It uses two block sizes, a large (soft) block size and a small (hard) block size. In case of errors, the size falls back to the small one and is promoted again after a while without errors. If the copying process is interrupted by the user it is possible to continue at any position later. It also does not truncate the output file (unless asked to). It allows you to start from the end of a file and move backwards as well. dd_rescue does not provide character conversions. . Please note that this is the dd_rescue version of Kurt Garloff providing the /bin/dd_rescue executable. If you are searching for the GNU ddrescue version please check out the gddrescue package instead. Package: dds Version: 2.1.2+ddd105-1 Architecture: armhf Maintainer: Christoph Berg Installed-Size: 151 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libstdc++6 (>= 4.3.0) Homepage: http://privat.bahnhof.se/wb758135/ Priority: extra Section: games Filename: pool/main/d/dds/dds_2.1.2+ddd105-1_armhf.deb Size: 71524 SHA256: c95c56dd26c16bcb209c371e6b2711aafe23115e62d57ccf7ef419c550211ac9 SHA1: 2e8160b40374b420d7d0949b2c1adcbe59da8aeb MD5sum: a5ab71999943f5846fe778eba939cfa0 Description: bridge double dummy solver - frontend dds is a double dummy solver. This package contains the driver frontend (originally named ddd). . A double dummy solver computes the optimal line of play for a bridge deal, with all hands open (both sides dummy). Package: dds2tar Version: 2.5.2-4 Architecture: armhf Maintainer: Bdale Garbee Installed-Size: 77 Depends: libc6 (>= 2.4) Priority: optional Section: utils Filename: pool/main/d/dds2tar/dds2tar_2.5.2-4_armhf.deb Size: 27802 SHA256: c18c289d828dbb02afa7f491689994d89eab14f50488b6370057fde0dae1423b SHA1: 64abba666d2f7ea6673b6c2219b14c488b2b806f MD5sum: 7e4f151f7b4854abb1d09b52b7210472 Description: Tools for using DDS features of DAT drives with GNU tar This tool makes use of the fast seek command of DAT devices. Files from a selected file archive can be extracted within one minute. . A script scsi_vendor is provided which may help in learning more about an unknown SCSI device. It is used by the mt-dds tool. Package: ddskk Version: 14.4-2 Installed-Size: 2265 Maintainer: Tatsuya Kinoshita Architecture: all Replaces: skk Provides: skk Depends: emacs | emacs23 | emacs22 | emacs21 | emacs-snapshot | xemacs21-mule | xemacs21-mule-canna-wnn | xemacs21-gnome-mule | xemacs21-gnome-mule-canna-wnn, emacs | emacs23 | emacs22 | emacs-snapshot | apel (>= 10.7), dpkg (>= 1.15.4) | install-info Recommends: dbskkd-cdb | skkserv | skkdic-cdb | skkdic Suggests: skkdic, skkdic-extra, skktools, lookup-el, kakasi, w3m-el, eieio, ruby1.8, dictionaries-common Conflicts: skk Size: 813244 SHA256: acbbcccea849a96324793763bde0d18c11bb99cfcbd8d10eb09b55eb6d3fc3b1 SHA1: e9e5ae8ab46f890b620bc56500a225565ef351af MD5sum: a59394edc368adcd23129fe5381bfc1d Description: efficient Japanese input system for emacsen Daredevil SKK (DDSKK) is a fast and efficient Japanese input system written in Emacs Lisp. . DDSKK is an expand version of SKK (Simple Kana to Kanji conversion program, originated by Masahiko Sato). The way of Kana to Kanji conversion is characteristic of SKK. To learn the usage of DDSKK, the tutorial program `skk-tutorial' is available. . By default, DDSKK tries to connect an skkserv compatible dictionary server such as dbskkd-cdb, skksearch or yaskkserv on localhost. Directly use of a dictionary file without skkserv is also supported. . To make a local dictionary from the skkdic package and the skkdic-extra package, use the update-skkdic command of the skktools package. To use optional features, install required packages such as lookup-el, kakasi, and so on. Homepage: http://openlab.jp/skk/ Tag: accessibility::input, culture::japanese, implemented-in::lisp, role::plugin, suite::emacs Section: utils Priority: optional Filename: pool/main/d/ddskk/ddskk_14.4-2_all.deb Package: ddtc Version: 0.17.1 Installed-Size: 272 Maintainer: Nicolas Bertolissio Architecture: all Depends: perl, libmime-perl, libmime-base64-perl, libconfig-general-perl, libalgorithm-diff-perl (>= 1.13), libtext-iconv-perl Recommends: liblocale-gettext-perl, libterm-readline-gnu-perl Suggests: acheck Conflicts: ddts-script Size: 58182 SHA256: 9f6397b6847bbdea9906bf625a876c796567dab9efd920c7df58aff841965380 SHA1: 80d65f94e213ffdf86c31364712e7035238c07a5 MD5sum: a1a0236e470db4aebc4d9103fe37920f Description: Deal with ddts mails ddtc stands for Debian Description Translation Client. It's a Perl script to help both translators and reviewers to deal with ddts mails. It parses mails from the ddts, splits them into individual package files, sends translations, reviews and patches buggy translations. . Supports only package description (pdesc) for the moment. Tag: devel::packaging, suite::debian, works-with::mail Section: text Priority: optional Filename: pool/main/d/ddtc/ddtc_0.17.1_all.deb Package: deal Version: 3.1.9-3 Architecture: armhf Maintainer: Christoph Berg Installed-Size: 640 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), tcl8.5 (>= 8.5.0) Homepage: http://bridge.thomasoandrews.com/deal/ Priority: optional Section: games Filename: pool/main/d/deal/deal_3.1.9-3_armhf.deb Size: 217638 SHA256: 698815b9a12ff3115e784382d02f04a42d94c589399ceb35ef7078e530277778 SHA1: 87cdc5594426a3bc403b96fb70d45deb13fb4c3a MD5sum: 897aac90ac338d5e7938b72ccbc2949c Description: bridge hand generator This program generates bridge hands. It can be told to generate only hands satisfying conditions like being balanced, having a range of HCPs, controls, or other user-definable properties. Hands can be output in various formats, like pbn for feeding to other bridge programs, deal itself, or split up into a file per player for practise. Extensible via Tcl. Package: dealer Version: 0.20040530-4 Architecture: armhf Maintainer: Christoph Berg Installed-Size: 244 Depends: libc6 (>= 2.7) Priority: optional Section: games Filename: pool/main/d/dealer/dealer_0.20040530-4_armhf.deb Size: 95092 SHA256: 5dc6ef19b620452a9c58d19cedaa889137ab94d21f2049bf02bd57ef055ee820 SHA1: 33696a0115fd05d65dc0c1d18a5e273ff9f8df54 MD5sum: 58f3280e30a116875446c429fb176f07 Description: bridge hand generator This program generates bridge hands for partnerships bidding training or for generating statistics that can be used to design conventions, or win postmortems. Dealer has been used in many bridge publications. . http://www.dombo.org/henk/dealer.html . Bridge is an intellectually challenging card game for four players. The "deal" package provides a similar generator programmable in Tcl. Package: deb-gview Version: 0.2.9 Architecture: armhf Maintainer: Neil Williams Installed-Size: 313 Depends: libarchive12, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.12.0), zlib1g (>= 1:1.1.4), gvfs, gvfs-backends Recommends: x-terminal-emulator Suggests: eog, geany | gedit Homepage: http://dpkg-view.alioth.debian.org/ Priority: optional Section: utils Filename: pool/main/d/deb-gview/deb-gview_0.2.9_armhf.deb Size: 65268 SHA256: 064fef17135260a5febb201769425612065380ffde7d88b472fc469ee4376d8e SHA1: 32e1783cc76cc5bcad9588108c695ddd99855f91 MD5sum: 34a19090523f5c15adba30532262a201 Description: GNOME viewer for .deb package files and contents Displays Debian control information, devscript details and details of the files that would be installed (names, sizes and locations). Files within the package can be viewed within the package or externally. . Accepts package locations on the command line to support the 'open' command in various file managers, one window for each package. Packages do not need to be installed to be viewed. Opening a changes file opens a new window for each package specified in the changes file. . Individual package files or packages referenced in a changes file can be viewed from local or remote filesystems. Package: debarchiver Version: 0.9.10 Installed-Size: 192 Maintainer: Ola Lundqvist Architecture: all Depends: opalmod (>= 0.1.10), apt-utils | dpkg-dev, adduser Recommends: exim4 | mail-transport-agent | mailutils | bsd-mailx | mailx Suggests: devscripts, gnupg Size: 65118 SHA256: 92234e2547e92d3271c5c22db0892650abeacf5be8ac5d9c30f9d70444eaecf6 SHA1: 25ec241aaca8f2c6299951737a71a90fb94118c8 MD5sum: 188cae27b62fdd20161aee36d18db2c8 Description: Tool to handle Debian package archives This tool can create a potato like file structure that dselect, apt-get and similar tools can use for easier installation. . You just have to place the package files into a incoming directory and the tool does the sorting (if you place the generated '.changes' file there too). . OBSERVE! This package will create a cronjob that does the actual sorting. Tag: devel::packaging, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:package Section: devel Priority: optional Filename: pool/main/d/debarchiver/debarchiver_0.9.10_all.deb Package: debaux Version: 0.1.10-1 Installed-Size: 100 Maintainer: Stefan Hornburg (Racke) Architecture: all Depends: perl (>= 5.6.0-16), libappconfig-perl, libnet-ssh-perl, libtimedate-perl, libwww-perl, dpkg-dev, rsync Suggests: dh-make Size: 31284 SHA256: c13172598b319bc252d6f1f0f7c9f6effdcd3897ce051e003d1a98d3e95bf8d0 SHA1: fda6ef1bbe17e8cc054c71ee271c3fd0242ed795 MD5sum: 0977d2b7a5d8064ff8232fab0460ec3b Description: Debian Auxiliary Programs This package contains Perl programs and modules to build and publish Debian packages. . debaux-build automatically downloads APT sources before building, applies patches and additional sources. It has options to build the packages in an existing chroot environment, check the generated packages with lintian, install the created packages on your local system or turn them into RPM packages. . debaux-build has experimental support for downloading Perl modules from CPAN and creating the necessary Debian packaging files. . debaux-publish uploads packages and runs the scripts to create the APT sources and packages files on the remote system. debaux-publish doesn't support the pool structure yet. Tag: devel::buildtools, devel::packaging, implemented-in::perl, interface::commandline, role::program, suite::debian, works-with::software:source Section: devel Priority: optional Filename: pool/main/d/debaux/debaux_0.1.10-1_all.deb Package: debaux-debconf Source: debaux Version: 0.1.10-1 Installed-Size: 16 Maintainer: Stefan Hornburg (Racke) Architecture: all Depends: perl (>= 5.6.0-16), debconf | debconf-2.0 Size: 6772 SHA256: 006584cad2a9a277b123b420d85a741400d8d92b825d4fe2180b15c8e2a6c507 SHA1: 9d314f96b6a06960a1396818fe5b9d7d1599c5b7 MD5sum: 6a9cba49ebae233b6f2e45a66bf09ecc Description: Perl Interface to Debconf This package contains the DebAux::Debconf module, which provides an easy-to-use interface for some of the Debconf::Client::ConfModule functions. . It has been separated from the debaux package to allow packages utilizing this package during configuration and/or installation without drawing the whole list of debaux's dependencies. Tag: devel::packaging, suite::debian Section: devel Priority: optional Filename: pool/main/d/debaux/debaux-debconf_0.1.10-1_all.deb Package: debbugs Version: 2.4.1 Installed-Size: 488 Maintainer: Debbugs developers Architecture: all Depends: perl5 | perl, exim | mail-transport-agent, libmailtools-perl, ed, libmime-perl, libio-stringy-perl Recommends: httpd, links | lynx Size: 85030 SHA256: 9204897a95f9108e3548435f343a945b3ee35fd636a16b278ba7adb56380395e SHA1: 1215d3ab02089024935154a79190056d7d7126bb MD5sum: 9ee71a369b44f2603fde74b98754a48b Description: The bug tracking system based on the active Debian BTS Debian has a bug tracking system which files details of bugs reported by users and developers. Each bug is given a number, and is kept on file until it is marked as having been dealt with. The system is mainly controlled by e-mail, but the bug reports can be viewed using the WWW. . This version is fully functional, but it does not automatically configure. See /usr/share/doc/debbugs/README.Debian after installation. . Note: there might be various issues with this package, caveat emptor. Tag: devel::bugtracker, suite::debian, works-with::bugs Section: misc Priority: optional Filename: pool/main/d/debbugs/debbugs_2.4.1_all.deb Package: debconf Version: 1.5.49 Installed-Size: 625 Maintainer: Debconf Developers Architecture: all Replaces: debconf-tiny Provides: debconf-2.0 Pre-Depends: perl-base (>= 5.6.1-4) Recommends: apt-utils (>= 0.5.1), debconf-i18n Suggests: debconf-doc, debconf-utils, whiptail | dialog | gnome-utils, libterm-readline-gnu-perl, libgtk2-perl (>= 1:1.130), libnet-ldap-perl, perl, libqtgui4-perl, libqtcore4-perl Conflicts: apt (<< 0.3.12.1), cdebconf (<< 0.96), debconf-tiny, debconf-utils (<< 1.3.22), dialog (<< 0.9b-20020814-1), menu (<= 2.1.3-1), whiptail (<< 0.51.4-11), whiptail-utf8 (<= 0.50.17-13) Size: 171018 SHA256: a6ce96ef5805f2e273c4e74f56eb3213db93551d0fa077ee4ed16b36b4e11a18 SHA1: 438f8e4c538bb08d1abb54e8d9f4d4ed7b38e46e MD5sum: e8ca20ff0aac63c8ab555ba8fe85ff44 Description: Debian configuration management system Debconf is a configuration management system for debian packages. Packages use Debconf to ask questions when they are installed. Multi-Arch: foreign Tag: admin::configuring, implemented-in::perl, interface::commandline, interface::text-mode, interface::x11, role::program, scope::utility, suite::debian, uitoolkit::gtk, uitoolkit::qt, use::configuring Section: admin Priority: required Filename: pool/main/d/debconf/debconf_1.5.49_all.deb Package: debconf-doc Source: debconf Version: 1.5.49 Installed-Size: 452 Maintainer: Debconf Developers Architecture: all Suggests: debian-policy (>= 3.5) Conflicts: debconf (<< 0.3.10) Size: 314258 SHA256: 6679ecf2ac346ed8879df0b05e61f71cb858c3b30a5a47a783b18d144a45ac3d SHA1: 506d96e0de04c55b827bb949ac65f650a01a0503 MD5sum: ba5cd4793bc9a256ef361e8c5d80b5b5 Description: debconf documentation This package contains lots of additional documentation for Debconf, including the debconf user's guide, documentation about using different backend databases via the /etc/debconf.conf file, and a developer's guide to debconf. Tag: devel::doc, devel::packaging, role::documentation, suite::debian, use::configuring Section: doc Priority: optional Filename: pool/main/d/debconf/debconf-doc_1.5.49_all.deb Package: debconf-i18n Source: debconf Version: 1.5.49 Installed-Size: 1100 Maintainer: Debconf Developers Architecture: all Replaces: debconf (<< 1.3.0), debconf-utils (<< 1.3.22) Depends: debconf, liblocale-gettext-perl, libtext-iconv-perl, libtext-wrapi18n-perl, libtext-charwidth-perl Conflicts: debconf-english, debconf-utils (<< 1.3.22) Size: 237226 SHA256: 47ad766dbfd33464c269f467306fedc56061ebb1fcab5c1e0573c977875545c9 SHA1: f480aad81f39b4fc044223f7c224776f14cf3f24 MD5sum: a8dc17fc0f872bb4a64cbdf463ac9778 Description: full internationalization support for debconf This package provides full internationalization for debconf, including translations into all available languages, support for using translated debconf templates, and support for proper display of multibyte character sets. Tag: devel::i18n, made-of::man, role::app-data, suite::debian Section: localization Priority: required Filename: pool/main/d/debconf/debconf-i18n_1.5.49_all.deb Package: debconf-kde-dbg Source: debconf-kde Version: 0.2-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 921 Depends: libdebconf-kde0 (= 0.2-2) Recommends: kdelibs5-dbg Conflicts: libdebconf-kde-dbg Replaces: libdebconf-kde-dbg Homepage: http://projects.kde.org/projects/extragear/sysadmin/libdebconf-kde Priority: extra Section: debug Filename: pool/main/d/debconf-kde/debconf-kde-dbg_0.2-2_armhf.deb Size: 857052 SHA256: dfcac4b06b72d5cafb1f27a288fad4839597aea0452a86054b0c5b558f9bce2f SHA1: 6cc5ca747952927610630d8f769c781543ca64ec MD5sum: 07bf2a3b02f998f6b5a6647793db36ba Description: Debconf KDE debugging symbols Debconf KDE is a GUI library for including Debconf frontends in Qt-based applications. Debconf is a configuration management system for Debian packages, which is used to ask questions when they are installed. This library is written in C++ and used by Apper and Muon to embed Debconf dialogs. . This package contains debugging symbols for Debconf KDE. When Debconf KDE crashes, in most cases this package is needed to get a backtrace that is useful for developers. If you have experienced a Debconf KDE crash without this package installed, please install it, try to reproduce the problem and fill a bug report with a new backtrace attached. Package: debconf-kde-helper Source: debconf-kde Version: 0.2-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 54 Depends: kde-runtime, libc6 (>= 2.13-28), libdebconf-kde0, libgcc1 (>= 1:4.1.1), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://projects.kde.org/projects/extragear/sysadmin/libdebconf-kde Priority: optional Section: kde Filename: pool/main/d/debconf-kde/debconf-kde-helper_0.2-2_armhf.deb Size: 9196 SHA256: 9a33d51acb2aafa8f82d32f71e5ac98978626c9bf90a084e90b0c4b1ef9028f8 SHA1: 16cc605222bceae633d05ab6eabe06236382fb6e MD5sum: 83db3262912c637d012d0a8d45418fc6 Description: Debconf KDE GUI frontend tool Debconf KDE is a GUI library for including Debconf frontends in Qt-based applications. Debconf is a configuration management system for Debian packages, which is used to ask questions when they are installed. This library is written in C++ and used by Apper and Muon to embed Debconf dialogs. . This package contains a small standalone tool to display a KDE Debconf dialog without linking against the Debconf KDE library. Package: debconf-utils Source: debconf Version: 1.5.49 Installed-Size: 150 Maintainer: Debconf Developers Architecture: all Replaces: debconf (<< 0.1.0) Depends: debconf (>= 1.3.20) Conflicts: debconf (<< 0.1.0) Size: 55802 SHA256: 30a435e9241fc8f71b9b3e52a248fbbbcbad131fac0223439a21929eb59fceef SHA1: f8cd7d2a75b732f13f75c4781957e7bf62caadf7 MD5sum: 60f48ca5fbb15ac794669808a31cb757 Description: debconf utilities This package contains some small utilities for debconf developers. Tag: admin::configuring, devel::debian, implemented-in::perl, interface::commandline, role::program, suite::debian, use::configuring Section: devel Priority: optional Filename: pool/main/d/debconf/debconf-utils_1.5.49_all.deb Package: debdelta Version: 0.50+2 Architecture: armhf Maintainer: A Mennucc1 Installed-Size: 406 Depends: python, bzip2, binutils, libbz2-1.0, libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Recommends: python-apt, xdelta3, xdelta, lzma, xz-utils, bsdiff, gnupg2, gnupg-agent Suggests: debdelta-doc Enhances: cupt Conflicts: xdelta3 (<< 0y.dfsg-1) Homepage: http://debdelta.debian.net Priority: optional Section: devel Filename: pool/main/d/debdelta/debdelta_0.50+2_armhf.deb Size: 109566 SHA256: 1a2cf66e138ece0ceae6be535be4c8b2d84fa994f7db725750184c8bcbe39a7e SHA1: d8b1eead8b5c3da32e60c99ecaea42fdec316aa3 MD5sum: 182a54b771e1e05ab7456bbeb8de9138 Description: diff and patch utilities which work with Debian packages debdelta is a program suite designed to compute changes between Debian packages. These changes (deltas) are similar to the output of the "diff" program in that they may be used to store and transmit only the changes between Debian packages. . This suite contains 'debdelta-upgrade', that downloads deltas and use them to create all Debian packages needed for an 'apt-get upgrade'. It may be used in a Debian/unstable host (to speed up download of new packages), or in a Debian/stable host (for security updates). . Similarly, when this package is installed, the 'cupt' package manager will use deltas to upgrade the host. Package: debdelta-doc Source: debdelta Version: 0.50+2 Installed-Size: 252 Maintainer: A Mennucc1 Architecture: all Recommends: debdelta Size: 142654 SHA256: 7e610cb007d1d88df7e7876e00f8f02e65fc568f113d47bb653ec4f4ad04f353 SHA1: 6c05606feb571115d81a3e3a514dcb7530604eaf MD5sum: 8fb91a8cda7cfa80829bf5a48161f065 Description: diff and patch utilities which work with Debian packages debdelta is a program suite designed to compute changes between Debian packages. These changes (deltas) are similar to the output of the "diff" program in that they may be used to store and transmit only the changes between Debian packages. . This package contains the documentation. Homepage: http://debdelta.debian.net Tag: admin::package-management, devel::doc, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/debdelta/debdelta-doc_0.50+2_all.deb Package: debfoster Version: 2.7-1.2 Architecture: armhf Maintainer: debfoster Maintainer Team Installed-Size: 224 Depends: libc6 (>= 2.13-28), libgc1c2 (>= 1:7.1) Recommends: apt Priority: optional Section: admin Filename: pool/main/d/debfoster/debfoster_2.7-1.2_armhf.deb Size: 40120 SHA256: 2617db0feb1427a3a781271732b2e763ee63b2495538db9525834730ccdee9ca SHA1: 39adb63b7cd2c4e729e6bd8d030ba756003da354 MD5sum: 74c24fb97eef44e5e70e09fabc150e40 Description: Install only wanted Debian packages debfoster is a wrapper program for apt and dpkg. When first run, it will ask you which of the installed packages you want to keep installed. . After that, it maintains a list of packages that you want to have installed on your system. It uses this list to detect packages that have been installed only because other packages depended on them. If one of these dependencies changes, debfoster will take notice, and ask if you want to remove the old package. . This helps you to maintain a clean Debian install, without old (mainly library) packages lying around that aren't used any more. Package: debget Version: 1.6+nmu1 Installed-Size: 140 Maintainer: Roderick Schertler Architecture: all Depends: perl (>= 5.6.0-16), libapt-pkg-perl, libnet-perl, libproc-waitstat-perl, libwww-perl Suggests: devscripts Size: 30848 SHA256: 29d8c631c052b313ac5c00892312a1867839dc9c8b818a0a838a70fdb26bb508 SHA1: 8d5ec3b56eba941a2afb8722e3ef560cf34a5983 MD5sum: 215a4ff1c99bfbe4f43742db229288b9 Description: download/compile source and binary Debian packages debget downloads source and binary Debian packages by name. It doesn't require a local copy of the Packages files, instead it queries packages.debian.org to find out what versions are available. . debget can also optionally unpack and compile source packages, and even install the generated binary packages. If you intend to use these features you should install the devscripts package for the dscverify script it contains. Tag: devel::packaging, interface::commandline, role::program, scope::utility, suite::debian Section: utils Priority: optional Filename: pool/main/d/debget/debget_1.6+nmu1_all.deb Package: debhelper Version: 9.20120909 Installed-Size: 978 Maintainer: Joey Hess Architecture: all Depends: perl, file (>= 3.23), dpkg (>= 1.16.2), dpkg-dev (>= 1.16.2), html2text, binutils, po-debconf, man-db (>= 2.5.1-1) Suggests: dh-make Conflicts: automake (<< 1.11.2), dpkg-cross (<< 1.18), python-central (<< 0.5.6), python-support (<< 0.5.3) Size: 705324 SHA256: caf69742ed55af9114ac971f833bf9acbc9bdbb6aa21bd9a4f13be230f589a32 SHA1: dfd4ee106d3bd4103349adf0ce0f7db128f0b009 MD5sum: fc83d4099126ee457cf9b4a6e5e98aab Description: helper programs for debian/rules A collection of programs that can be used in a debian/rules file to automate common tasks related to building debian packages. Programs are included to install various files into your package, compress files, fix file permissions, integrate your package with the debian menu system, debconf, doc-base, etc. Most debian packages use debhelper as part of their build process. Multi-Arch: foreign Homepage: http://kitenet.net/~joey/code/debhelper/ Tag: devel::buildtools, devel::debian, devel::packaging, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:source Section: devel Priority: optional Filename: pool/main/d/debhelper/debhelper_9.20120909_all.deb Package: debian-builder Version: 1.8 Installed-Size: 64 Maintainer: Deepak Tripathi Architecture: all Depends: build-essential, devscripts, dpkg-dev, perl, perl-modules Size: 15024 SHA256: 0b8017fc96bf546c45a3a32cf3051c55363af4641dd856de31221391877ea062 SHA1: 03754eb8dda699005bc376fd72be0e9322108ca8 MD5sum: b35e8da0f3c12c2677cea406dcd6be55 Description: Rebuild Debian packages from source code This is a simple tool which is designed to allow a local administrator to rebuild individual Debian packages from their source code. . With the aid of a few included wrapper scripts this allows automatically rebuilding a package and all its dependencies. . Note: This software is not designed to enhance your installation by producing optimized binaries, however this may be achieved with the aid of companion packages such as 'pentium-builder', or 'athlon-builder'. . The prime purpose of this package is to ease the testing of compiler patches such as the Stack Smashing Protection patch available from IBM. Tag: devel::buildtools, devel::debian, devel::testing-qa, implemented-in::perl, interface::commandline, role::program, suite::debian, works-with::software:source Section: admin Priority: optional Filename: pool/main/d/debian-builder/debian-builder_1.8_all.deb Package: debian-cd Version: 3.1.13 Installed-Size: 2244 Maintainer: Debian CD Group Architecture: all Depends: curl, perl, dpkg-dev, cpp, libdigest-md5-perl, libdigest-sha-perl, tofrodos, apt (>= 0.3.11.1), make, xorriso | genisoimage, lynx-cur | lynx, grep-dctrl, bc, libcompress-zlib-perl, bzip2, libdpkg-perl Recommends: hfsutils, netpbm, syslinux-common, mtools, dosfstools Size: 884818 SHA256: f3a1638f0f00c21309eab76c98255197112f3696b3a9cdc5ccd45ac8af476c53 SHA1: 035998b1adf825866cb682a4921bcda34591cba9 MD5sum: 94f8e9cfb72e2225b9b948aca3ebb194 Description: Tools for building (Official) Debian CD set Debian-cd is the official tool for building Debian CD set since the potato release. It was formerly called YACS (for Yet Another CD Script). . Its goal is to facilitate the creation of customized Debian CD set. Tag: devel::debian, hardware::storage, hardware::storage:cd, hardware::storage:dvd, implemented-in::perl, implemented-in::shell, interface::commandline, role::program, scope::utility, suite::debian, use::storing, works-with-format::iso9660, works-with::archive, works-with::software:package Section: admin Priority: extra Filename: pool/main/d/debian-cd/debian-cd_3.1.13_all.deb Package: debian-edu-archive-keyring Version: 2013.03.15 Installed-Size: 33 Maintainer: Debian Edu Developers Architecture: all Depends: gnupg, apt Size: 4748 SHA256: 43efa4c1f4d59aead429724116fc831d5129512ca9ca15ede574998ab5027411 SHA1: 314068e634de7e0490f566b774512f24da79c1a3 MD5sum: 0443bc9c2da2f748e783d9abadcd6015 Description: GnuPG archive keys of the Debian Edu archive The Debian Edu project digitally signs its Release files. This package contains the archive keys used for that. Tag: role::program Section: misc Priority: optional Filename: pool/main/d/debian-edu-archive-keyring/debian-edu-archive-keyring_2013.03.15_all.deb Package: debian-edu-artwork Version: 0.45-1+deb7u1 Installed-Size: 24977 Maintainer: Debian Edu developers Architecture: all Depends: dconf-gsettings-backend | gsettings-backend, gconf2 (>= 2.28.1-2), libconfig-inifiles-perl, desktop-base, libglib2.0-bin Enhances: gdm3, kdm, ldm, lightdm Size: 17983478 SHA256: 16b194006834b6c7a7a992ce7e98d82aadea900c47d654f5aadf811f49500587 SHA1: 1e3109a638eeb8efeb51cfeb6cec9de7b759ba99 MD5sum: 4d2767919d8b7d0cb28ec83fc73c903c Description: Debian Edu themes and artwork This package contains a collection of images, themes, wallpapers and splash screens for use with Debian Edu. Section: graphics Priority: extra Filename: pool/main/d/debian-edu-artwork/debian-edu-artwork_0.45-1+deb7u1_all.deb Package: debian-edu-config Version: 1.702 Installed-Size: 2920 Maintainer: Debian Edu Developers Architecture: all Replaces: debian-edu-config-gosa-netgroups (<< 1.699~) Provides: debian-edu-config-gosa-netgroups Depends: debconf (>= 0.5) | debconf-2.0, python, debconf-utils, cfengine2, libconfig-inifiles-perl, mime-support, libnet-ldap-perl, ng-utils, host, desktop-profiles, lsb-base, ssl-cert, openssl, libfilesys-df-perl, libtext-unaccent-perl, libhtml-fromtext-perl, libio-socket-ssl-perl, discover, tftp | tftp-hpa, debian-edu-artwork, education-tasks (>= 0.853), net-tools, patch, base-files (>= 5.3), python-notify, libterm-readkey-perl, fping, ldap-utils, libnet-netmask-perl, smbldap-tools, lockfile-progs Recommends: resolvconf, ddccontrol | xresprobe, syslinux, memtest86+, libnotify-bin, lsof, libjavascript-perl Suggests: atftpd | tftpd-hpa Breaks: debian-edu-config-gosa-netgroups (<< 1.699), debian-edu-install (<< 1.521~svn74617), dhcp3-client (<< 4.1.1-P1-9), dhcp3-server (<< 4.1.1-P1-9), nslcd (<< 0.7.7), slapd (<< 2.4.23-5) Size: 392410 SHA256: c3a9f65e722be0f817d36ccf3f03d3a8d03dac3d3c3e696c0782d404f08e2f4d SHA1: b7b38ae6d3019dca45f8712c220260252fefeb7f MD5sum: 6401fc09291ddb6622dacf95b795e2bc Description: Configuration files for Skolelinux systems Installs cfengine config files to be used by the machines set up as part of the Skolelinux project. Homepage: http://www.skolelinux.org Tag: role::app-data, suite::debian Section: misc Priority: extra Filename: pool/main/d/debian-edu-config/debian-edu-config_1.702_all.deb Package: debian-edu-doc-da Source: debian-edu-doc Version: 1.5~20130920~7.1+deb7u1 Installed-Size: 10404 Maintainer: Debian Edu Developers Architecture: all Depends: debian-edu-doc-en Size: 9845884 SHA256: 49f27a9d5033e57be07a52a7c5654f522a0d10e4c7329fc5bcabc6554d137bab SHA1: dc479fc51f116edb3b199ca428fa270006763f52 MD5sum: 5d3cd3cd4e4d074520fc9a60e9b8df3d Description: Danish documentation from the Debian Edu project The Danish version of the manual for the Squeeze and Wheezy based release of the Debian Edu / Skolelinux project is included in this package. . Debian Edu is a Debian project to make the best distribution for educational purposes. Skolelinux is the name of the Custom Debian Distribution which is produced by the Debian Edu project. Homepage: http://wiki.debian.org/DebianEdu/Documentation/Squeeze Section: doc Priority: optional Filename: pool/main/d/debian-edu-doc/debian-edu-doc-da_1.5~20130920~7.1+deb7u1_all.deb Package: debian-edu-doc-de Source: debian-edu-doc Version: 1.5~20130920~7.1+deb7u1 Installed-Size: 14731 Maintainer: Debian Edu Developers Architecture: all Depends: debian-edu-doc-en Size: 14146454 SHA256: 741cf835aa862541c0a28a5d4d9f9ba42eda49e484521aa6b57dbdf586879670 SHA1: 3e2c80a3f822a1cef0f4afe6122eeb0c27a26083 MD5sum: 260e54f7c6e3c45fb44cb239db4dff97 Description: German documentation from the Debian Edu project The German version of the manual for the Squeeze and Wheezy based release of the Debian Edu / Skolelinux project is included in this package. . Debian Edu is a Debian project to make the best distribution for educational purposes. Skolelinux is the name of the Custom Debian Distribution which is produced by the Debian Edu project. Homepage: http://wiki.debian.org/DebianEdu/Documentation/Squeeze Section: doc Priority: optional Filename: pool/main/d/debian-edu-doc/debian-edu-doc-de_1.5~20130920~7.1+deb7u1_all.deb Package: debian-edu-doc-en Source: debian-edu-doc Version: 1.5~20130920~7.1+deb7u1 Installed-Size: 25008 Maintainer: Debian Edu Developers Architecture: all Size: 24371172 SHA256: 150e9c73b366eb8aab2f892d7b3b89b166f910be24258b4ec3fd4a8e52a6fd2c SHA1: ee3d0c873d2d280b6f8227b0f0105ca02176fc2d MD5sum: ffaa96c3e2df1c9dd07f2cf90f73930b Description: English documentation from the Debian Edu project The English version of the manual for the Squeeze and Wheezy based release of the Debian Edu / Skolelinux project is included in this package, as well as the Rosegarden and Audacity manuals. . Debian Edu is a Debian project to make the best distribution for educational purposes. Skolelinux is the name of the Custom Debian Distribution which is produced by the Debian Edu project. Homepage: http://wiki.debian.org/DebianEdu/Documentation/Squeeze Section: doc Priority: optional Filename: pool/main/d/debian-edu-doc/debian-edu-doc-en_1.5~20130920~7.1+deb7u1_all.deb Package: debian-edu-doc-es Source: debian-edu-doc Version: 1.5~20130920~7.1+deb7u1 Installed-Size: 14189 Maintainer: Debian Edu Developers Architecture: all Depends: debian-edu-doc-en Size: 13586106 SHA256: ef678f382b73b7f24bb2fea84b5aa732e114b0b9c59205ee7106fc50658032c9 SHA1: e92a461513c3b70e148e96168e9680079b27b314 MD5sum: 2d6c2eb19c561769a9e3452293de8f0a Description: Spanish documentation from the Debian Edu project The Spanish version of the manual for the Squeeze and Wheezy based release of the Debian Edu / Skolelinux project is included in this package, as well as the Rosegarden manual. . Debian Edu is a Debian project to make the best distribution for educational purposes. Skolelinux is the name of the Custom Debian Distribution which is produced by the Debian Edu project. Homepage: http://wiki.debian.org/DebianEdu/Documentation/Squeeze Section: doc Priority: optional Filename: pool/main/d/debian-edu-doc/debian-edu-doc-es_1.5~20130920~7.1+deb7u1_all.deb Package: debian-edu-doc-fr Source: debian-edu-doc Version: 1.5~20130920~7.1+deb7u1 Installed-Size: 22814 Maintainer: Debian Edu Developers Architecture: all Depends: debian-edu-doc-en Size: 22119316 SHA256: dc0c638927d3f1a6f2100f51e4604f9a27cb63eba1cb7e91b4a7a7d0f2e5da4c SHA1: 92003801482c06e366bbbb9bde86373e32a3b3cd MD5sum: a11c81286bf488755aee96039a24b24f Description: French documentation from the Debian Edu project The French version of the manual for the Squeeze and Wheezy based release of the Debian Edu / Skolelinux project is included in this package, as well as the Rosegarden and Audacity manuals. . Debian Edu is a Debian project to make the best distribution for educational purposes. Skolelinux is the name of the Custom Debian Distribution which is produced by the Debian Edu project. Homepage: http://wiki.debian.org/DebianEdu/Documentation/Squeeze Section: doc Priority: optional Filename: pool/main/d/debian-edu-doc/debian-edu-doc-fr_1.5~20130920~7.1+deb7u1_all.deb Package: debian-edu-doc-it Source: debian-edu-doc Version: 1.5~20130920~7.1+deb7u1 Installed-Size: 10750 Maintainer: Debian Edu Developers Architecture: all Depends: debian-edu-doc-en Size: 10194286 SHA256: 8d9707493baea31c96c191838cd4d226fa0686eb89e3ddb6989b0d7793de0867 SHA1: aab50b25c1d504114f404bef8326970f208666e2 MD5sum: 3570abe4408686ecc63587ba3ca250dc Description: Italian documentation from the Debian Edu project The Italian version of the manual for the Squeeze and Wheezy based release of the Debian Edu / Skolelinux project is included in this package. . Debian Edu is a Debian project to make the best distribution for educational purposes. Skolelinux is the name of the Custom Debian Distribution which is produced by the Debian Edu project. Homepage: http://wiki.debian.org/DebianEdu/Documentation/Squeeze Section: doc Priority: optional Filename: pool/main/d/debian-edu-doc/debian-edu-doc-it_1.5~20130920~7.1+deb7u1_all.deb Package: debian-edu-doc-nb Source: debian-edu-doc Version: 1.5~20130920~7.1+deb7u1 Installed-Size: 17178 Maintainer: Debian Edu Developers Architecture: all Depends: debian-edu-doc-en Size: 16592182 SHA256: 842455d65e6cef156b01e5e1ecff8cab9bcce65044fa84abce37b01d0b8e51fd SHA1: f6fb0c22959215af335f731f43bebbdc59f1edf6 MD5sum: 6adafc46e92ac98edea4e6af1c3d469f Description: Bokmål documentation from the Debian Edu project The Bokmål version of the manual for the Squeeze and Wheezy based release of the Debian Edu / Skolelinux project is included in this package, as well as the Rosegarden manual. . Debian Edu is a Debian project to make the best distribution for educational purposes. Skolelinux is the name of the Custom Debian Distribution which is produced by the Debian Edu project. Homepage: http://wiki.debian.org/DebianEdu/Documentation/Squeeze Section: doc Priority: optional Filename: pool/main/d/debian-edu-doc/debian-edu-doc-nb_1.5~20130920~7.1+deb7u1_all.deb Package: debian-edu-install Version: 1.720+deb7u1 Installed-Size: 125 Maintainer: Debian Edu Developers Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, debian-edu-config (>= 1.448), libgtk2-perl (>= 1:1.130) Size: 54044 SHA256: 3ec2d338018941682f73daa4649b864fb54abfe3bf8cd4c12efe33f0e103b49d SHA1: 6812d484cf9c6413f24508bcf7074bb530815e17 MD5sum: 4140e1b5c1be0375ad2e2e8d522c8fec Description: Set d-i values to install Debian Edu without questions This package provides default answers for packages using debconf, and configures services to work out of the box after an installation. . This is part of the Debian Edu project. Homepage: http://www.skolelinux.org Tag: uitoolkit::gtk Section: misc Priority: extra Filename: pool/main/d/debian-edu-install/debian-edu-install_1.720+deb7u1_all.deb Package: debian-el Source: emacs-goodies-el Version: 35.2+nmu1 Installed-Size: 368 Maintainer: Peter S Galbraith Architecture: all Replaces: debbugs-el, debview Provides: debbugs-el, debview Depends: emacs23 | emacsen, reportbug (>= 4.12), dpkg (>= 1.15.4) | install-info, bzip2, file Recommends: wget, dlocate, groff-base Suggests: gnus Size: 105564 SHA256: 14982d785c0f55d1ad8e7623c90613150bd0d84cbf614dc417b6dbc54508ad19 SHA1: a4ffe9415873ed69b860aa101a94cbd7b11d74f7 MD5sum: 358319ab69cc0fd24bff482a4407a50c Description: Emacs helpers specific to Debian users This package contains: . apt-sources - major mode for editing Debian sources.list files; apt-utils - interface to APT (Debian package management); debian-bug - an Emacs command to submit a bug report; deb-view - view contents of Debian package, similarly to tar-mode; gnus-BTS - provides buttons for bug numbers seen in Gnus messages; preseed - major mode for editing debian-installer preseed files. . See /usr/share/doc/debian-el/README.Debian for a short description of all files, or the Info node `debian-el' for details. Tag: implemented-in::lisp, role::plugin, suite::debian, suite::emacs Section: lisp Priority: optional Filename: pool/main/e/emacs-goodies-el/debian-el_35.2+nmu1_all.deb Package: debian-faq Version: 5.0.1 Installed-Size: 1151 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Suggests: www-browser, postscript-viewer Conflicts: doc-debian (<= 3.1.5) Size: 636860 SHA256: 95c457c0f729afc11ce8954269d66cb9713c70d63d75cf77d4bbe02b17428803 SHA1: 9e53501493b359711e2957ccb416d429cc4f774d MD5sum: 5811bba8024026c6d3230fde45f42c9a Description: Debian FAQ In this package you will find the Debian GNU/Linux FAQ, which gives frequently asked questions (with their answers!) about the Debian distribution (Debian GNU/Linux and others) and about the Debian project. Some answers assume some knowledge of Unix-like operating systems. However, as little prior knowledge as possible is assumed: answers to general beginners questions will be kept simple. . This document is available at http://www.debian.org/doc/manuals/debian-faq/ as well as from the Debian ftp server at ftp://ftp.debian.org/debian/doc/FAQ and mirrors thereof. . The document is supplied in HTML, PDF, PostScript and plain text. . If you're new to Debian, and like to read documentation from your local system, without using the network, install this package. Homepage: http://www.debian.org/doc/user-manuals#faq Tag: made-of::html, made-of::pdf, made-of::postscript, role::documentation, suite::debian Section: doc Priority: standard Filename: pool/main/d/debian-faq/debian-faq_5.0.1_all.deb Package: debian-faq-de Source: debian-faq Version: 5.0.1 Installed-Size: 1226 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Suggests: www-browser, postscript-viewer Size: 681992 SHA256: 6ead0b09c083bd815b46ee3c2131c926af9d6dcd6a61b369ac0e0e93d20e2347 SHA1: af624e0383356aa34eaaebefcc07a1f059bdaf50 MD5sum: d6645fdb508e2c72a9cf7b1b390cf9e3 Description: Debian FAQ, in German In this package you will find the Debian GNU/Linux FAQ, which gives frequently asked questions (with their answers!) about the Debian distribution (Debian GNU/Linux and others) and about the Debian project. Some answers assume some knowledge of Unix-like operating systems. However, as little prior knowledge as possible is assumed: answers to general beginners questions will be kept simple. . The document is supplied in HTML, PDF, PostScript and plain text. . This is the translation in German of the original English FAQ (available in the package debian-faq.) Homepage: http://www.debian.org/doc/user-manuals#faq Tag: culture::german, made-of::html, made-of::pdf, made-of::postscript, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/debian-faq/debian-faq-de_5.0.1_all.deb Package: debian-faq-fr Source: debian-faq Version: 5.0.1 Installed-Size: 1256 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Suggests: www-browser, postscript-viewer Size: 671172 SHA256: ec6ef2a75f3fe25d259a07b2657c4ee80a1c7e5442e0c4d757893de6f7bb5251 SHA1: f734c1069ba17d1b7c31635ed67e00a2e84215dc MD5sum: 0bc7d04a13f8eb4b8e6539620d65b394 Description: Debian FAQ, in French In this package you will find the Debian GNU/Linux FAQ, which gives frequently asked questions (with their answers!) about the Debian distribution (Debian GNU/Linux and others) and about the Debian project. Some answers assume some knowledge of Unix-like operating systems. However, as little prior knowledge as possible is assumed: answers to general beginners questions will be kept simple. . The document is supplied in HTML, PDF, PostScript and plain text. . This is the translation in French of the original English FAQ (available in the package debian-faq.) Homepage: http://www.debian.org/doc/user-manuals#faq Tag: culture::french, made-of::html, made-of::pdf, made-of::postscript, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/debian-faq/debian-faq-fr_5.0.1_all.deb Package: debian-faq-it Source: debian-faq Version: 5.0.1 Installed-Size: 1218 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Suggests: www-browser, postscript-viewer Size: 660340 SHA256: 8485b1f6a3e1f1e9575d7ca5e573f094f3962f92f1973b77caa1bae3ca896472 SHA1: ac5a4e03972c916be13fe5947cd819f91f854ef5 MD5sum: 6f186db0c7d065dedcae86e8497ce00a Description: Debian FAQ, in Italian In this package you will find the Debian GNU/Linux FAQ, which gives frequently asked questions (with their answers!) about the Debian distribution (Debian GNU/Linux and others) and about the Debian project. Some answers assume some knowledge of Unix-like operating systems. However, as little prior knowledge as possible is assumed: answers to general beginners questions will be kept simple. . The document is supplied in HTML, PDF, PostScript and plain text. . This is the translation in Italian of the original English FAQ (available in the package debian-faq.) Homepage: http://www.debian.org/doc/user-manuals#faq Tag: culture::italian, made-of::html, made-of::pdf, made-of::postscript, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/debian-faq/debian-faq-it_5.0.1_all.deb Package: debian-faq-ru Source: debian-faq Version: 5.0.1 Installed-Size: 1575 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Suggests: www-browser, postscript-viewer Size: 873830 SHA256: bd33f679d74d9609af13252197eb3c00d8163b9c494efeb07a15841b09e1e3eb SHA1: 17e42a7cc59ecaa6b75b064c5a70de7f8a38856a MD5sum: 3dbee2e0c2d67e3c38acdfcce739f679 Description: Debian FAQ, in Russian In this package you will find the Debian GNU/Linux FAQ, which gives frequently asked questions (with their answers!) about the Debian distribution (Debian GNU/Linux and others) and about the Debian project. Some answers assume some knowledge of Unix-like operating systems. However, as little prior knowledge as possible is assumed: answers to general beginners questions will be kept simple. . The document is supplied in HTML, PDF, PostScript and plain text. . This is the translation in Russian of the original English FAQ (available in the package debian-faq.) Homepage: http://www.debian.org/doc/user-manuals#faq Section: doc Priority: optional Filename: pool/main/d/debian-faq/debian-faq-ru_5.0.1_all.deb Package: debian-faq-zh-cn Source: debian-faq Version: 5.0.1 Installed-Size: 2164 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Suggests: www-browser, postscript-viewer Size: 1839596 SHA256: 8155657ef97802ad9614de9d64a25a696d18565443c6560b9f96f105d1527396 SHA1: de9866cf3566a88cc09ef6cfa168bff11fe15203 MD5sum: 51d706ff2f4ee6033b6ce22d1d86db7f Description: Debian FAQ, in Chinese In this package you will find the Debian GNU/Linux FAQ, which gives frequently asked questions (with their answers!) about the Debian distribution (Debian GNU/Linux and others) and about the Debian project. Some answers assume some knowledge of Unix-like operating systems. However, as little prior knowledge as possible is assumed: answers to general beginners questions will be kept simple. . The document is supplied in HTML, PDF, PostScript and plain text. . This is the translation in Chinese (as spoken in China) of the original English FAQ (available in the package debian-faq.) Homepage: http://www.debian.org/doc/user-manuals#faq Tag: culture::chinese, made-of::html, made-of::pdf, made-of::postscript, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/debian-faq/debian-faq-zh-cn_5.0.1_all.deb Package: debian-goodies Version: 0.61 Installed-Size: 182 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Replaces: debget Depends: dctrl-tools | grep-dctrl, perl, curl, python (>= 2.4), whiptail | dialog Recommends: lsof Suggests: popularity-contest, xdg-utils, zenity Conflicts: debget Size: 64620 SHA256: 1ee39fea72c3136b2f154acc71418ccad5b8395528f62a713dc834bfd0e8d91f SHA1: f2fb630efb5cab94c979fc9dcfc8490dc3cde7ea MD5sum: a03aee80eca8d8835dae90757460d617 Description: Small toolbox-style utilities for Debian systems These programs are designed to integrate with standard shell tools, extending them to operate on the Debian packaging system. . dgrep - Search all files in specified packages for a regex dglob - Generate a list of package names which match a pattern . These are also included, because they are useful and don't justify their own packages: . debget - Fetch a .deb for a package in APT's database dpigs - Show which installed packages occupy the most space debman - Easily view man pages from a binary .deb without extracting debmany - Select manpages of installed or uninstalled packages checkrestart - Help to find and restart processes which are using old versions of upgraded files (such as libraries) popbugs - Display a customized release-critical bug list based on packages you use (using popularity-contest data) which-pkg-broke - find which package might have broken another Tag: implemented-in::python, interface::commandline, role::program, scope::utility, suite::debian, use::searching, works-with::bugs, works-with::software:package Section: utils Priority: optional Filename: pool/main/d/debian-goodies/debian-goodies_0.61_all.deb Package: debian-handbook Version: 7.20140126~deb7u1 Installed-Size: 24822 Maintainer: Raphaël Hertzog Architecture: all Size: 23534726 SHA256: d0a32c7d39d63798a9ea4e3fe8ca295d4bfbec89ad08459d6fe7b281850b3583 SHA1: 485086597b4c6cdd61fbc06205f1ba88dc2f7534 MD5sum: 7bf805cadc135823d2fc120bc16a42de Description: reference book for Debian users and system administrators Accessible to all, the Debian Administrator's Handbook teaches the essentials to anyone who wants to become an effective and independent Debian GNU/Linux administrator. . It covers all the topics that a competent Linux administrator should master, from the installation and the update of the system, up to the creation of packages and the compilation of the kernel, but also monitoring, backup and migration, without forgetting advanced topics like SELinux setup to secure services, automated installations, or virtualization with Xen, KVM or LXC. . The Debian Administrator's Handbook has been written by two Debian developers — Raphaël Hertzog and Roland Mas. . This package contains the English book covering Debian 7 “Wheezy”. All the files are available in /usr/share/doc/debian-handbook/. Homepage: http://debian-handbook.info Section: doc Priority: optional Filename: pool/main/d/debian-handbook/debian-handbook_7.20140126~deb7u1_all.deb Package: debian-history Version: 2.19~deb7u1 Installed-Size: 4626 Maintainer: Bdale Garbee Architecture: all Size: 4047524 SHA256: 219be22e5eef0d3ab85a81b39fb5ff87c8f8ca2ed3c9f46fbfa7448918aec8b3 SHA1: d56967783d001d007f94208ebc3fd08e11efd27f MD5sum: d6b5ec34ff2a74f26aa1f9c88e9aae14 Description: Short History of the Debian Project As the Debian community continues to grow, and "old timers" become fewer and farther between, it seems appropriate to document where the project came from, and what it is about. . In English, French, German, Italian, Japanese, Korean, Portuguese, Russian, and Lithuanian. Homepage: http://www.debian.org/doc/misc-manuals#history Tag: culture::french, culture::italian, culture::japanese, culture::portuguese, made-of::html, made-of::pdf, made-of::postscript, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/debian-history/debian-history_2.19~deb7u1_all.deb Package: debian-installer-7.0-netboot-amd64 Source: debian-installer-netboot-images Version: 20130613+deb7u3.b2 Installed-Size: 45136 Maintainer: Debian Install System Team Architecture: all Provides: debian-installer-netboot, debian-installer-netboot-amd64 Suggests: tftpd-hpa Size: 45642536 SHA256: 2bf4f1379f2e270054eb961e07bccc610d1d08b7522a08ce113e3479801180c9 SHA1: 56c0f5754573972929ab1ab8f759319e4105c944 MD5sum: 9b371122e9bc5abded55f27a6aa11ab4 Description: Debian-installer network boot images for amd64 This package contains images of the Debian Installer for the amd64 architecture. Built-Using: alsa-base (= 1.0.25+3~deb7u1), alsa-lib (= 1.0.25-4), alsa-utils (= 1.0.25-4), anna (= 1.44+deb7u1), atk1.0 (= 2.4.0-2), bogl (= 0.1.18-8), brltty (= 4.4-10+deb7u1), busybox (= 1:1.20.0-7), cairo (= 1.12.2-3), cdebconf (= 0.182), cdebconf-terminal (= 0.18), cdrom-checker (= 1.23), cdrom-detect (= 1.43), cdrom-retriever (= 1.28), choose-mirror (= 2.45), console-setup (= 1.88), debian-archive-keyring (= 2014.3~deb7u1), debian-installer-utils (= 1.92+deb7u1), eglibc (= 2.13-38+deb7u10), espeak (= 1.46.02-2), espeakup (= 1:0.71-13), expat (= 2.1.0-1+deb7u2), fontconfig (= 2.9.0-7.1), fonts-farsiweb (= 0.4.dfsg-11), fonts-khmeros (= 5.0-5), fonts-lao (= 0.0.20060226-8), fonts-lklug-sinhala (= 0.6-2), fonts-lohit-telu (= 2.5.1-2), fonts-samyak (= 1.2.2-3), fonts-sil-abyssinica (= 1.200-3), fonts-sil-padauk (= 2.61-4), fonts-smc (= 5.0.1-2), fonts-tibetan-machine (= 1.901b-4), fonts-tlwg (= 1:0.5.0-5), fonts-ukij-uyghur (= 20110217-2), freetype (= 2.4.9-1.1+deb7u3), fribidi (= 0.19.2-3), gdk-pixbuf (= 2.26.1-1+deb7u3), glib2.0 (= 2.33.12+really2.32.4-5), gnupg (= 1.4.12-7+deb7u7), gtk+2.0 (= 2.24.10-2), gtk2-engines (= 1:2.20.2-2), hw-detect (= 1.92), installation-locale (= 1.4), installation-report (= 2.49), iso-scan (= 1.43+deb7u2), kbd (= 1.15.3-9), kmod (= 9-3), libdebian-installer (= 0.87), libffi (= 3.0.10-3), libfontenc (= 1:1.1.1-1), libnl3 (= 3.2.7-4), libpciaccess (= 0.13.1-2), libpng (= 1.2.49-1+deb7u2), libtextwrap (= 0.1-13), libx11 (= 2:1.5.0-1+deb7u2), libxau (= 1:1.0.7-1), libxcb (= 1.8.1-2+deb7u1), libxcursor (= 1:1.1.13-1+deb7u1), libxdmcp (= 1:1.1.1-1), libxext (= 2:1.3.1-2+deb7u1), libxfixes (= 1:5.0-4+deb7u1), libxfont (= 1:1.4.5-5), libxi (= 2:1.6.1-1+deb7u1), libxinerama (= 2:1.1.2-1+deb7u1), libxkbfile (= 1:1.0.8-1), libxrender (= 1:0.9.7-1+deb7u2), linux (= 3.2.78-1), localechooser (= 2.53), lowmem (= 1.38), main-menu (= 1.39), media-retriever (= 1.28), mountmedia (= 0.22), nano (= 2.2.6-1), ndisc6 (= 1.0.1-1), net-retriever (= 1.32), netcfg (= 1.108+deb7u3), openssl (= 1.0.1e-2+deb7u20), pango1.0 (= 1.30.0-1), pciutils (= 1:3.1.9-6), pcmciautils (= 018-8), pcre3 (= 1:8.30-5), pixman (= 0.26.0-4+deb7u2), preseed (= 1.58), rescue (= 1.35), rootskel (= 1.102), rootskel-gtk (= 1.27), ttf-cjk-compact (= 1.20), ttf-dejavu (= 2.33-3), ttf-freefont (= 20100919-1), ttf-indic-fonts (= 1:0.5.14), udev (= 175-7.2), udpkg (= 1.14), util-linux (= 2.20.1-5.3), vte (= 1:0.28.2-5), wide-dhcpv6 (= 20080615-11.1), wireless-tools (= 30~pre9-8), wpa (= 1.0-3+deb7u3), x11-xkb-utils (= 7.7~1), xft (= 2.3.1-1), xkeyboard-config (= 2.5.1-3), xorg-server (= 2:1.12.4-6+deb7u6), xserver-xorg-input-evdev (= 1:2.7.0-1), xserver-xorg-video-fbdev (= 1:0.4.2-4), zlib (= 1:1.2.7.dfsg-13) Section: misc Priority: optional Filename: pool/main/d/debian-installer-netboot-images/debian-installer-7.0-netboot-amd64_20130613+deb7u3.b2_all.deb Package: debian-installer-7.0-netboot-armel Source: debian-installer-netboot-images Version: 20130613+deb7u3.b2 Installed-Size: 78341 Maintainer: Debian Install System Team Architecture: all Provides: debian-installer-netboot, debian-installer-netboot-armel Suggests: tftpd-hpa Size: 79101934 SHA256: f2f204632bf87392baec2ccfc9a85aa392aee683198050b3e60b0fa81890c219 SHA1: 6d1aaee236821032dce71f6a231d21d5f59465d5 MD5sum: 30b5c59ee3e9f334965933c08a72b8ee Description: Debian-installer network boot images for armel This package contains images of the Debian Installer for the armel architecture. Built-Using: anna (= 1.44+deb7u1), atk1.0 (= 2.4.0-2), beep (= 1.3-3), bogl (= 0.1.18-8), busybox (= 1:1.20.0-7), cairo (= 1.12.2-3), cdebconf (= 0.182), cdebconf-terminal (= 0.18), choose-mirror (= 2.45), console-setup (= 1.88), debian-archive-keyring (= 2014.3~deb7u1), debian-installer-utils (= 1.92+deb7u1), devio (= 1.2-1), eglibc (= 2.13-38+deb7u10), expat (= 2.1.0-1+deb7u2), fontconfig (= 2.9.0-7.1), fonts-farsiweb (= 0.4.dfsg-11), fonts-khmeros (= 5.0-5), fonts-lao (= 0.0.20060226-8), fonts-lklug-sinhala (= 0.6-2), fonts-lohit-telu (= 2.5.1-2), fonts-samyak (= 1.2.2-3), fonts-sil-abyssinica (= 1.200-3), fonts-sil-padauk (= 2.61-4), fonts-smc (= 5.0.1-2), fonts-tibetan-machine (= 1.901b-4), fonts-tlwg (= 1:0.5.0-5), fonts-ukij-uyghur (= 20110217-2), freetype (= 2.4.9-1.1+deb7u3), fribidi (= 0.19.2-3), gdk-pixbuf (= 2.26.1-1+deb7u3), glib2.0 (= 2.33.12+really2.32.4-5), gnupg (= 1.4.12-7+deb7u7), gtk+2.0 (= 2.24.10-2), gtk2-engines (= 1:2.20.2-2), hw-detect (= 1.92), installation-locale (= 1.4), installation-report (= 2.49), kbd (= 1.15.3-9), kmod (= 9-3), libdebian-installer (= 0.87), libffi (= 3.0.10-3), libfontenc (= 1:1.1.1-1), libnl3 (= 3.2.7-4), libpciaccess (= 0.13.1-2), libpng (= 1.2.49-1+deb7u2), libtextwrap (= 0.1-13), libx11 (= 2:1.5.0-1+deb7u2), libxau (= 1:1.0.7-1), libxcb (= 1.8.1-2+deb7u1), libxcursor (= 1:1.1.13-1+deb7u1), libxdmcp (= 1:1.1.1-1), libxext (= 2:1.3.1-2+deb7u1), libxfixes (= 1:5.0-4+deb7u1), libxfont (= 1:1.4.5-5), libxi (= 2:1.6.1-1+deb7u1), libxinerama (= 2:1.1.2-1+deb7u1), libxkbfile (= 1:1.0.8-1), libxrender (= 1:0.9.7-1+deb7u2), linux (= 3.2.78-1), localechooser (= 2.53), lowmem (= 1.38), main-menu (= 1.39), micro-evtd (= 3.4-2), nano (= 2.2.6-1), ndisc6 (= 1.0.1-1), net-retriever (= 1.32), netcfg (= 1.108+deb7u3), network-console (= 1.43), oldsys-preseed (= 3.13), openssh (= 1:6.0p1-4+deb7u4), openssl (= 1.0.1e-2+deb7u20), pango1.0 (= 1.30.0-1), pciutils (= 1:3.1.9-6), pcmciautils (= 018-8), pcre3 (= 1:8.30-5), pixman (= 0.26.0-4+deb7u2), preseed (= 1.58), qcontrol (= 0.4.2-7+wheezy2), rescue (= 1.35), rootskel (= 1.102), rootskel-gtk (= 1.27), ttf-cjk-compact (= 1.20), ttf-dejavu (= 2.33-3), ttf-freefont (= 20100919-1), ttf-indic-fonts (= 1:0.5.14), udev (= 175-7.2), udpkg (= 1.14), userdevfs (= 0.16), util-linux (= 2.20.1-5.3), vte (= 1:0.28.2-5), wide-dhcpv6 (= 20080615-11.1), wireless-tools (= 30~pre9-8), wpa (= 1.0-3+deb7u3), x11-xkb-utils (= 7.7~1), xft (= 2.3.1-1), xkeyboard-config (= 2.5.1-3), xorg-server (= 2:1.12.4-6+deb7u6), xserver-xorg-input-evdev (= 1:2.7.0-1), xserver-xorg-video-fbdev (= 1:0.4.2-4), zlib (= 1:1.2.7.dfsg-13) Section: misc Priority: optional Filename: pool/main/d/debian-installer-netboot-images/debian-installer-7.0-netboot-armel_20130613+deb7u3.b2_all.deb Package: debian-installer-7.0-netboot-armhf Source: debian-installer-netboot-images Version: 20130613+deb7u3.b2 Installed-Size: 35200 Maintainer: Debian Install System Team Architecture: all Provides: debian-installer-netboot, debian-installer-netboot-armhf Suggests: tftpd-hpa Size: 35753232 SHA256: fe1b08a6a75459970158276de317285ffdc9c0f760e422e17d0a2aebf099762f SHA1: a6b6399e101ebb838ea1a117c802b2900828d3d6 MD5sum: b172358e001005eac01a5613f5fd0d16 Description: Debian-installer network boot images for armhf This package contains images of the Debian Installer for the armhf architecture. Built-Using: anna (= 1.44+deb7u1), atk1.0 (= 2.4.0-2), bogl (= 0.1.18-8), busybox (= 1:1.20.0-7), cairo (= 1.12.2-3), cdebconf (= 0.182), cdebconf-terminal (= 0.18), choose-mirror (= 2.45), console-setup (= 1.88), debian-archive-keyring (= 2014.3~deb7u1), debian-installer-utils (= 1.92+deb7u1), eglibc (= 2.13-38+deb7u10), expat (= 2.1.0-1+deb7u2), fontconfig (= 2.9.0-7.1), fonts-farsiweb (= 0.4.dfsg-11), fonts-khmeros (= 5.0-5), fonts-lao (= 0.0.20060226-8), fonts-lklug-sinhala (= 0.6-2), fonts-lohit-telu (= 2.5.1-2), fonts-samyak (= 1.2.2-3), fonts-sil-abyssinica (= 1.200-3), fonts-sil-padauk (= 2.61-4), fonts-smc (= 5.0.1-2), fonts-tibetan-machine (= 1.901b-4), fonts-tlwg (= 1:0.5.0-5), fonts-ukij-uyghur (= 20110217-2), freetype (= 2.4.9-1.1+deb7u3), fribidi (= 0.19.2-3), gdk-pixbuf (= 2.26.1-1+deb7u3), glib2.0 (= 2.33.12+really2.32.4-5), gnupg (= 1.4.12-7+deb7u7), gtk+2.0 (= 2.24.10-2), gtk2-engines (= 1:2.20.2-2), hw-detect (= 1.92), installation-locale (= 1.4), installation-report (= 2.49), kbd (= 1.15.3-9), kmod (= 9-3), libdebian-installer (= 0.87), libffi (= 3.0.10-3), libfontenc (= 1:1.1.1-1), libnl3 (= 3.2.7-4), libpciaccess (= 0.13.1-2), libpng (= 1.2.49-1+deb7u2), libtextwrap (= 0.1-13), libx11 (= 2:1.5.0-1+deb7u2), libxau (= 1:1.0.7-1), libxcb (= 1.8.1-2+deb7u1), libxcursor (= 1:1.1.13-1+deb7u1), libxdmcp (= 1:1.1.1-1), libxext (= 2:1.3.1-2+deb7u1), libxfixes (= 1:5.0-4+deb7u1), libxfont (= 1:1.4.5-5), libxi (= 2:1.6.1-1+deb7u1), libxinerama (= 2:1.1.2-1+deb7u1), libxkbfile (= 1:1.0.8-1), libxrender (= 1:0.9.7-1+deb7u2), linux (= 3.2.78-1), localechooser (= 2.53), lowmem (= 1.38), main-menu (= 1.39), nano (= 2.2.6-1), ndisc6 (= 1.0.1-1), net-retriever (= 1.32), netcfg (= 1.108+deb7u3), network-console (= 1.43), openssh (= 1:6.0p1-4+deb7u4), openssl (= 1.0.1e-2+deb7u20), pango1.0 (= 1.30.0-1), pciutils (= 1:3.1.9-6), pcre3 (= 1:8.30-5), pixman (= 0.26.0-4+deb7u2), preseed (= 1.58), rescue (= 1.35), rootskel (= 1.102), rootskel-gtk (= 1.27), ttf-cjk-compact (= 1.20), ttf-dejavu (= 2.33-3), ttf-freefont (= 20100919-1), ttf-indic-fonts (= 1:0.5.14), udev (= 175-7.2), udpkg (= 1.14), util-linux (= 2.20.1-5.3), vte (= 1:0.28.2-5), wide-dhcpv6 (= 20080615-11.1), wireless-tools (= 30~pre9-8), wpa (= 1.0-3+deb7u3), x11-xkb-utils (= 7.7~1), xft (= 2.3.1-1), xkeyboard-config (= 2.5.1-3), xorg-server (= 2:1.12.4-6+deb7u6), xserver-xorg-input-evdev (= 1:2.7.0-1), xserver-xorg-video-fbdev (= 1:0.4.2-4), zlib (= 1:1.2.7.dfsg-13) Section: misc Priority: optional Filename: pool/main/d/debian-installer-netboot-images/debian-installer-7.0-netboot-armhf_20130613+deb7u3.b2_all.deb Package: debian-installer-7.0-netboot-i386 Source: debian-installer-netboot-images Version: 20130613+deb7u3.b2 Installed-Size: 43757 Maintainer: Debian Install System Team Architecture: all Provides: debian-installer-netboot, debian-installer-netboot-i386 Suggests: tftpd-hpa Size: 44174326 SHA256: ce915af2f0db5e4014bbbcd5881ab789a0ad0cb13c0fc41d6f5a0a103e5a8b78 SHA1: 8e9b69ac8e2036c4c43ad8516d8c6e36959211c1 MD5sum: a9865304b6c9017d39552e5b75a74402 Description: Debian-installer network boot images for i386 This package contains images of the Debian Installer for the i386 architecture. Built-Using: alsa-base (= 1.0.25+3~deb7u1), alsa-lib (= 1.0.25-4), alsa-utils (= 1.0.25-4), anna (= 1.44+deb7u1), atk1.0 (= 2.4.0-2), bogl (= 0.1.18-8), brltty (= 4.4-10+deb7u1), busybox (= 1:1.20.0-7), cairo (= 1.12.2-3), cdebconf (= 0.182), cdebconf-terminal (= 0.18), cdrom-checker (= 1.23), cdrom-detect (= 1.43), cdrom-retriever (= 1.28), choose-mirror (= 2.45), console-setup (= 1.88), debian-archive-keyring (= 2014.3~deb7u1), debian-installer-utils (= 1.92+deb7u1), eglibc (= 2.13-38+deb7u10), espeak (= 1.46.02-2), espeakup (= 1:0.71-13), expat (= 2.1.0-1+deb7u2), fontconfig (= 2.9.0-7.1), fonts-farsiweb (= 0.4.dfsg-11), fonts-khmeros (= 5.0-5), fonts-lao (= 0.0.20060226-8), fonts-lklug-sinhala (= 0.6-2), fonts-lohit-telu (= 2.5.1-2), fonts-samyak (= 1.2.2-3), fonts-sil-abyssinica (= 1.200-3), fonts-sil-padauk (= 2.61-4), fonts-smc (= 5.0.1-2), fonts-tibetan-machine (= 1.901b-4), fonts-tlwg (= 1:0.5.0-5), fonts-ukij-uyghur (= 20110217-2), freetype (= 2.4.9-1.1+deb7u3), fribidi (= 0.19.2-3), gdk-pixbuf (= 2.26.1-1+deb7u3), glib2.0 (= 2.33.12+really2.32.4-5), gnupg (= 1.4.12-7+deb7u7), gtk+2.0 (= 2.24.10-2), gtk2-engines (= 1:2.20.2-2), hw-detect (= 1.92), installation-locale (= 1.4), installation-report (= 2.49), iso-scan (= 1.43+deb7u2), kbd (= 1.15.3-9), kmod (= 9-3), libdebian-installer (= 0.87), libffi (= 3.0.10-3), libfontenc (= 1:1.1.1-1), libnl3 (= 3.2.7-4), libpciaccess (= 0.13.1-2), libpng (= 1.2.49-1+deb7u2), libtextwrap (= 0.1-13), libx11 (= 2:1.5.0-1+deb7u2), libxau (= 1:1.0.7-1), libxcb (= 1.8.1-2+deb7u1), libxcursor (= 1:1.1.13-1+deb7u1), libxdmcp (= 1:1.1.1-1), libxext (= 2:1.3.1-2+deb7u1), libxfixes (= 1:5.0-4+deb7u1), libxfont (= 1:1.4.5-5), libxi (= 2:1.6.1-1+deb7u1), libxinerama (= 2:1.1.2-1+deb7u1), libxkbfile (= 1:1.0.8-1), libxrender (= 1:0.9.7-1+deb7u2), linux (= 3.2.78-1), localechooser (= 2.53), lowmem (= 1.38), main-menu (= 1.39), media-retriever (= 1.28), mountmedia (= 0.22), nano (= 2.2.6-1), ndisc6 (= 1.0.1-1), net-retriever (= 1.32), netcfg (= 1.108+deb7u3), openssl (= 1.0.1e-2+deb7u20), pango1.0 (= 1.30.0-1), pciutils (= 1:3.1.9-6), pcmciautils (= 018-8), pcre3 (= 1:8.30-5), pixman (= 0.26.0-4+deb7u2), preseed (= 1.58), rescue (= 1.35), rootskel (= 1.102), rootskel-gtk (= 1.27), ttf-cjk-compact (= 1.20), ttf-dejavu (= 2.33-3), ttf-freefont (= 20100919-1), ttf-indic-fonts (= 1:0.5.14), udev (= 175-7.2), udpkg (= 1.14), util-linux (= 2.20.1-5.3), vte (= 1:0.28.2-5), wide-dhcpv6 (= 20080615-11.1), wireless-tools (= 30~pre9-8), wpa (= 1.0-3+deb7u3), x11-xkb-utils (= 7.7~1), xft (= 2.3.1-1), xkeyboard-config (= 2.5.1-3), xorg-server (= 2:1.12.4-6+deb7u6), xserver-xorg-input-evdev (= 1:2.7.0-1), xserver-xorg-video-fbdev (= 1:0.4.2-4), zlib (= 1:1.2.7.dfsg-13) Section: misc Priority: optional Filename: pool/main/d/debian-installer-netboot-images/debian-installer-7.0-netboot-i386_20130613+deb7u3.b2_all.deb Package: debian-installer-7.0-netboot-ia64 Source: debian-installer-netboot-images Version: 20130613+deb7u3.b2 Installed-Size: 17151 Maintainer: Debian Install System Team Architecture: all Provides: debian-installer-netboot, debian-installer-netboot-ia64 Suggests: tftpd-hpa Size: 17029656 SHA256: d46665c82fa9e72de9735c69d394eabb2668c3867bfbfbf0560d62aca1b720c4 SHA1: df47b84915551ecf843b84ba1755c6a74a73f560 MD5sum: e7297d981bf0c1dd0374d9cede51d5f6 Description: Debian-installer network boot images for ia64 This package contains images of the Debian Installer for the ia64 architecture. Built-Using: anna (= 1.44+deb7u1), bogl (= 0.1.18-8), brltty (= 4.4-10+deb7u1), busybox (= 1:1.20.0-7), cdebconf (= 0.182), cdebconf-terminal (= 0.18), cdrom-checker (= 1.23), cdrom-detect (= 1.43), cdrom-retriever (= 1.28), choose-mirror (= 2.45), console-setup (= 1.88), debian-archive-keyring (= 2014.3~deb7u1), debian-installer-utils (= 1.92+deb7u1), efi-reader (= 0.11), eglibc (= 2.13-38+deb7u10), fribidi (= 0.19.2-3), gnupg (= 1.4.12-7+deb7u7), hw-detect (= 1.92), installation-locale (= 1.4), installation-report (= 2.49), kbd (= 1.15.3-9), kmod (= 9-3), libdebian-installer (= 0.87), libnl3 (= 3.2.7-4), libtextwrap (= 0.1-13), linux (= 3.2.78-1), localechooser (= 2.53), lowmem (= 1.38), main-menu (= 1.39), media-retriever (= 1.28), mountmedia (= 0.22), nano (= 2.2.6-1), ndisc6 (= 1.0.1-1), net-retriever (= 1.32), netcfg (= 1.108+deb7u3), openssl (= 1.0.1e-2+deb7u20), pciutils (= 1:3.1.9-6), preseed (= 1.58), rescue (= 1.35), rootskel (= 1.102), udev (= 175-7.2), udpkg (= 1.14), util-linux (= 2.20.1-5.3), wide-dhcpv6 (= 20080615-11.1), wireless-tools (= 30~pre9-8), wpa (= 1.0-3+deb7u3), zlib (= 1:1.2.7.dfsg-13) Section: misc Priority: optional Filename: pool/main/d/debian-installer-netboot-images/debian-installer-7.0-netboot-ia64_20130613+deb7u3.b2_all.deb Package: debian-installer-7.0-netboot-kfreebsd-amd64 Source: debian-installer-netboot-images Version: 20130613+deb7u3.b2 Installed-Size: 33926 Maintainer: Debian Install System Team Architecture: all Provides: debian-installer-netboot, debian-installer-netboot-kfreebsd-amd64 Suggests: tftpd-hpa Size: 34399060 SHA256: df01cee4f3bb283ac2ab7fbbf2b653b448b5760e96c4e31513b8ac0ac4d1f023 SHA1: 918f3e81e02394959c6ea3e081c6de87747b129d MD5sum: 855f36d6df2073eb280e56b1b4d5c315 Description: Debian-installer network boot images for kfreebsd-amd64 This package contains images of the Debian Installer for the kfreebsd-amd64 architecture. Built-Using: anna (= 1.44+deb7u1), atk1.0 (= 2.4.0-2), brltty (= 4.4-10+deb7u1), busybox (= 1:1.20.0-7), cairo (= 1.12.2-3), cdebconf (= 0.182), cdebconf-terminal (= 0.18), cdrom-checker (= 1.23), cdrom-detect (= 1.43), cdrom-retriever (= 1.28), choose-mirror (= 2.45), console-setup (= 1.88), debian-archive-keyring (= 2014.3~deb7u1), debian-installer-utils (= 1.92+deb7u1), eglibc (= 2.13-38+deb7u10), expat (= 2.1.0-1+deb7u2), fontconfig (= 2.9.0-7.1), fonts-farsiweb (= 0.4.dfsg-11), fonts-khmeros (= 5.0-5), fonts-lao (= 0.0.20060226-8), fonts-lklug-sinhala (= 0.6-2), fonts-lohit-telu (= 2.5.1-2), fonts-samyak (= 1.2.2-3), fonts-sil-abyssinica (= 1.200-3), fonts-sil-padauk (= 2.61-4), fonts-smc (= 5.0.1-2), fonts-tibetan-machine (= 1.901b-4), fonts-tlwg (= 1:0.5.0-5), fonts-ukij-uyghur (= 20110217-2), freebsd-libs (= 9.0+ds1-4), freebsd-utils (= 9.0+ds1-11~deb7u1), freetype (= 2.4.9-1.1+deb7u3), fribidi (= 0.19.2-3), gdk-pixbuf (= 2.26.1-1+deb7u3), glib2.0 (= 2.33.12+really2.32.4-5), gnupg (= 1.4.12-7+deb7u7), gtk+2.0 (= 2.24.10-2), gtk2-engines (= 1:2.20.2-2), hw-detect (= 1.92), installation-locale (= 1.4), installation-report (= 2.49), isc-dhcp (= 4.2.2.dfsg.1-5+deb70u8), kfreebsd-8 (= 8.3-6+deb7u1), kfreebsd-9 (= 9.0-10+deb70.10), libbsd (= 0.4.2-1), libdebian-installer (= 0.87), libffi (= 3.0.10-3), libfontenc (= 1:1.1.1-1), libpciaccess (= 0.13.1-2), libpng (= 1.2.49-1+deb7u2), libtextwrap (= 0.1-13), libx11 (= 2:1.5.0-1+deb7u2), libxau (= 1:1.0.7-1), libxcb (= 1.8.1-2+deb7u1), libxcursor (= 1:1.1.13-1+deb7u1), libxdmcp (= 1:1.1.1-1), libxext (= 2:1.3.1-2+deb7u1), libxfixes (= 1:5.0-4+deb7u1), libxfont (= 1:1.4.5-5), libxi (= 2:1.6.1-1+deb7u1), libxinerama (= 2:1.1.2-1+deb7u1), libxkbfile (= 1:1.0.8-1), libxrender (= 1:0.9.7-1+deb7u2), localechooser (= 2.53), lowmem (= 1.38), main-menu (= 1.39), media-retriever (= 1.28), mountmedia (= 0.22), nano (= 2.2.6-1), ndisc6 (= 1.0.1-1), net-retriever (= 1.32), netcfg (= 1.108+deb7u3), pango1.0 (= 1.30.0-1), pciutils (= 1:3.1.9-6), pcre3 (= 1:8.30-5), pixman (= 0.26.0-4+deb7u2), preseed (= 1.58), rescue (= 1.35), rootskel (= 1.102), rootskel-gtk (= 1.27), ttf-cjk-compact (= 1.20), ttf-dejavu (= 2.33-3), ttf-freefont (= 20100919-1), ttf-indic-fonts (= 1:0.5.14), udpkg (= 1.14), vte (= 1:0.28.2-5), x11-xkb-utils (= 7.7~1), xft (= 2.3.1-1), xkeyboard-config (= 2.5.1-3), xorg-server (= 2:1.12.4-6+deb7u6), xserver-xorg-input-keyboard (= 1:1.6.1-1), xserver-xorg-input-mouse (= 1:1.7.2-3), xserver-xorg-video-vesa (= 1:2.3.1-1), zlib (= 1:1.2.7.dfsg-13) Section: misc Priority: optional Filename: pool/main/d/debian-installer-netboot-images/debian-installer-7.0-netboot-kfreebsd-amd64_20130613+deb7u3.b2_all.deb Package: debian-installer-7.0-netboot-kfreebsd-i386 Source: debian-installer-netboot-images Version: 20130613+deb7u3.b2 Installed-Size: 9507 Maintainer: Debian Install System Team Architecture: all Provides: debian-installer-netboot, debian-installer-netboot-kfreebsd-i386 Suggests: tftpd-hpa Size: 9605194 SHA256: a70b9756c8652c22143577222a77d50d8c9a4e08a7a478a5d7af92360b708095 SHA1: b258b37c2e9c65158d256ac81cb93eb519485d0b MD5sum: d79d6a18ddabad61028fc56884f43d26 Description: Debian-installer network boot images for kfreebsd-i386 This package contains images of the Debian Installer for the kfreebsd-i386 architecture. Built-Using: anna (= 1.44+deb7u1), brltty (= 4.4-10+deb7u1), busybox (= 1:1.20.0-7), cdebconf (= 0.182), cdebconf-terminal (= 0.18), cdrom-checker (= 1.23), cdrom-detect (= 1.43), cdrom-retriever (= 1.28), choose-mirror (= 2.45), console-setup (= 1.88), debian-archive-keyring (= 2014.3~deb7u1), debian-installer-utils (= 1.92+deb7u1), eglibc (= 2.13-38+deb7u10), expat (= 2.1.0-1+deb7u2), freebsd-libs (= 9.0+ds1-4), freebsd-utils (= 9.0+ds1-11~deb7u1), fribidi (= 0.19.2-3), gnupg (= 1.4.12-7+deb7u7), hw-detect (= 1.92), installation-locale (= 1.4), installation-report (= 2.49), isc-dhcp (= 4.2.2.dfsg.1-5+deb70u8), kfreebsd-8 (= 8.3-6+deb7u1), kfreebsd-9 (= 9.0-10+deb70.10), libbsd (= 0.4.2-1), libdebian-installer (= 0.87), libtextwrap (= 0.1-13), localechooser (= 2.53), lowmem (= 1.38), main-menu (= 1.39), media-retriever (= 1.28), mountmedia (= 0.22), nano (= 2.2.6-1), ndisc6 (= 1.0.1-1), net-retriever (= 1.32), netcfg (= 1.108+deb7u3), pciutils (= 1:3.1.9-6), preseed (= 1.58), rescue (= 1.35), rootskel (= 1.102), udpkg (= 1.14), zlib (= 1:1.2.7.dfsg-13) Section: misc Priority: optional Filename: pool/main/d/debian-installer-netboot-images/debian-installer-7.0-netboot-kfreebsd-i386_20130613+deb7u3.b2_all.deb Package: debian-installer-7.0-netboot-mips Source: debian-installer-netboot-images Version: 20130613+deb7u3.b2 Installed-Size: 50944 Maintainer: Debian Install System Team Architecture: all Provides: debian-installer-netboot, debian-installer-netboot-mips Suggests: tftpd-hpa Size: 31302000 SHA256: cfebca76a61b90899a6a0d5beba2b2b458c0fec8ba7e9d107ae0e27c63803b0f SHA1: 77d988a31466a14bf25c8e4f14a7cc5a771d19a7 MD5sum: 7630325d5adfba03de6606f1e83685cc Description: Debian-installer network boot images for mips This package contains images of the Debian Installer for the mips architecture. Built-Using: anna (= 1.44+deb7u1), bogl (= 0.1.18-8), brltty (= 4.4-10+deb7u1), busybox (= 1:1.20.0-7), cdebconf (= 0.182), cdebconf-terminal (= 0.18), cdrom-checker (= 1.23), cdrom-detect (= 1.43), cdrom-retriever (= 1.28), choose-mirror (= 2.45), console-setup (= 1.88), debian-archive-keyring (= 2014.3~deb7u1), debian-installer-utils (= 1.92+deb7u1), eglibc (= 2.13-38+deb7u10), fribidi (= 0.19.2-3), gnupg (= 1.4.12-7+deb7u7), hw-detect (= 1.92), installation-locale (= 1.4), installation-report (= 2.49), kbd (= 1.15.3-9), kmod (= 9-3), libdebian-installer (= 0.87), libnl3 (= 3.2.7-4), libtextwrap (= 0.1-13), linux (= 3.2.78-1), localechooser (= 2.53), lowmem (= 1.38), main-menu (= 1.39), media-retriever (= 1.28), mountmedia (= 0.22), nano (= 2.2.6-1), ndisc6 (= 1.0.1-1), net-retriever (= 1.32), netcfg (= 1.108+deb7u3), openssl (= 1.0.1e-2+deb7u20), pciutils (= 1:3.1.9-6), preseed (= 1.58), rescue (= 1.35), rootskel (= 1.102), udev (= 175-7.2), udpkg (= 1.14), util-linux (= 2.20.1-5.3), wide-dhcpv6 (= 20080615-11.1), wireless-tools (= 30~pre9-8), wpa (= 1.0-3+deb7u3), zlib (= 1:1.2.7.dfsg-13) Section: misc Priority: optional Filename: pool/main/d/debian-installer-netboot-images/debian-installer-7.0-netboot-mips_20130613+deb7u3.b2_all.deb Package: debian-installer-7.0-netboot-mipsel Source: debian-installer-netboot-images Version: 20130613+deb7u3.b2 Installed-Size: 54882 Maintainer: Debian Install System Team Architecture: all Provides: debian-installer-netboot, debian-installer-netboot-mipsel Suggests: tftpd-hpa Size: 36084112 SHA256: 469068be0b44544a1a4501613783ff5df4c18220f0d6b3ad3864d6cce2829106 SHA1: 8eb3c599fc0f1b92b4037c516286e7113d1620b1 MD5sum: 93a89bbf824d682bdc4b208a200cc69d Description: Debian-installer network boot images for mipsel This package contains images of the Debian Installer for the mipsel architecture. Built-Using: anna (= 1.44+deb7u1), bogl (= 0.1.18-8), busybox (= 1:1.20.0-7), cdebconf (= 0.182), cdebconf-terminal (= 0.18), choose-mirror (= 2.45), colo (= 1.22-1), console-setup (= 1.88), debian-archive-keyring (= 2014.3~deb7u1), debian-installer-utils (= 1.92+deb7u1), eglibc (= 2.13-38+deb7u10), fribidi (= 0.19.2-3), gnupg (= 1.4.12-7+deb7u7), hw-detect (= 1.92), installation-locale (= 1.4), installation-report (= 2.49), kbd (= 1.15.3-9), kmod (= 9-3), libdebian-installer (= 0.87), libnl3 (= 3.2.7-4), libtextwrap (= 0.1-13), linux (= 3.2.78-1), localechooser (= 2.53), lowmem (= 1.38), main-menu (= 1.39), nano (= 2.2.6-1), ndisc6 (= 1.0.1-1), net-retriever (= 1.32), netcfg (= 1.108+deb7u3), network-console (= 1.43), openssh (= 1:6.0p1-4+deb7u4), openssl (= 1.0.1e-2+deb7u20), pciutils (= 1:3.1.9-6), preseed (= 1.58), pwgen (= 2.06-1), rescue (= 1.35), rootskel (= 1.102), udev (= 175-7.2), udpkg (= 1.14), util-linux (= 2.20.1-5.3), wide-dhcpv6 (= 20080615-11.1), wireless-tools (= 30~pre9-8), wpa (= 1.0-3+deb7u3), zlib (= 1:1.2.7.dfsg-13) Section: misc Priority: optional Filename: pool/main/d/debian-installer-netboot-images/debian-installer-7.0-netboot-mipsel_20130613+deb7u3.b2_all.deb Package: debian-installer-7.0-netboot-powerpc Source: debian-installer-netboot-images Version: 20130613+deb7u3.b2 Installed-Size: 101612 Maintainer: Debian Install System Team Architecture: all Provides: debian-installer-netboot, debian-installer-netboot-powerpc Suggests: tftpd-hpa Size: 78862106 SHA256: 093b4f2ef6fef1da35bbdbcd4e4cd3e4f60072442c792a6c2606b9203d785270 SHA1: e5e32879b067ab5862620d75f15822f0e78c4df8 MD5sum: e58148c65c0f25d605f8a6f8c47122fa Description: Debian-installer network boot images for powerpc This package contains images of the Debian Installer for the powerpc architecture. Built-Using: anna (= 1.44+deb7u1), atk1.0 (= 2.4.0-2), bogl (= 0.1.18-8), brltty (= 4.4-10+deb7u1), busybox (= 1:1.20.0-7), cairo (= 1.12.2-3), cdebconf (= 0.182), cdebconf-terminal (= 0.18), cdrom-checker (= 1.23), cdrom-detect (= 1.43), cdrom-retriever (= 1.28), choose-mirror (= 2.45), console-setup (= 1.88), debian-archive-keyring (= 2014.3~deb7u1), debian-installer-utils (= 1.92+deb7u1), eglibc (= 2.13-38+deb7u10), eject (= 2.1.5+deb1+cvs20081104-13), expat (= 2.1.0-1+deb7u2), fontconfig (= 2.9.0-7.1), fonts-farsiweb (= 0.4.dfsg-11), fonts-khmeros (= 5.0-5), fonts-lao (= 0.0.20060226-8), fonts-lklug-sinhala (= 0.6-2), fonts-lohit-telu (= 2.5.1-2), fonts-samyak (= 1.2.2-3), fonts-sil-abyssinica (= 1.200-3), fonts-sil-padauk (= 2.61-4), fonts-smc (= 5.0.1-2), fonts-tibetan-machine (= 1.901b-4), fonts-tlwg (= 1:0.5.0-5), fonts-ukij-uyghur (= 20110217-2), freetype (= 2.4.9-1.1+deb7u3), fribidi (= 0.19.2-3), gdk-pixbuf (= 2.26.1-1+deb7u3), glib2.0 (= 2.33.12+really2.32.4-5), gnupg (= 1.4.12-7+deb7u7), gtk+2.0 (= 2.24.10-2), gtk2-engines (= 1:2.20.2-2), hw-detect (= 1.92), installation-locale (= 1.4), installation-report (= 2.49), iso-scan (= 1.43+deb7u2), kbd (= 1.15.3-9), kmod (= 9-3), libdebian-installer (= 0.87), libffi (= 3.0.10-3), libfontenc (= 1:1.1.1-1), libnl3 (= 3.2.7-4), libpciaccess (= 0.13.1-2), libpng (= 1.2.49-1+deb7u2), libtextwrap (= 0.1-13), libx11 (= 2:1.5.0-1+deb7u2), libxau (= 1:1.0.7-1), libxcb (= 1.8.1-2+deb7u1), libxcursor (= 1:1.1.13-1+deb7u1), libxdmcp (= 1:1.1.1-1), libxext (= 2:1.3.1-2+deb7u1), libxfixes (= 1:5.0-4+deb7u1), libxfont (= 1:1.4.5-5), libxi (= 2:1.6.1-1+deb7u1), libxinerama (= 2:1.1.2-1+deb7u1), libxkbfile (= 1:1.0.8-1), libxrender (= 1:0.9.7-1+deb7u2), linux (= 3.2.78-1), localechooser (= 2.53), lowmem (= 1.38), main-menu (= 1.39), media-retriever (= 1.28), mountmedia (= 0.22), nano (= 2.2.6-1), ndisc6 (= 1.0.1-1), net-retriever (= 1.32), netcfg (= 1.108+deb7u3), openssl (= 1.0.1e-2+deb7u20), pango1.0 (= 1.30.0-1), pciutils (= 1:3.1.9-6), pcmciautils (= 018-8), pcre3 (= 1:8.30-5), pixman (= 0.26.0-4+deb7u2), preseed (= 1.58), rescue (= 1.35), rootskel (= 1.102), rootskel-gtk (= 1.27), ttf-cjk-compact (= 1.20), ttf-dejavu (= 2.33-3), ttf-freefont (= 20100919-1), ttf-indic-fonts (= 1:0.5.14), udev (= 175-7.2), udpkg (= 1.14), util-linux (= 2.20.1-5.3), vte (= 1:0.28.2-5), wide-dhcpv6 (= 20080615-11.1), wireless-tools (= 30~pre9-8), wpa (= 1.0-3+deb7u3), x11-xkb-utils (= 7.7~1), xft (= 2.3.1-1), xkeyboard-config (= 2.5.1-3), xorg-server (= 2:1.12.4-6+deb7u6), xserver-xorg-input-evdev (= 1:2.7.0-1), xserver-xorg-video-fbdev (= 1:0.4.2-4), zlib (= 1:1.2.7.dfsg-13) Section: misc Priority: optional Filename: pool/main/d/debian-installer-netboot-images/debian-installer-7.0-netboot-powerpc_20130613+deb7u3.b2_all.deb Package: debian-installer-7.0-netboot-sparc Source: debian-installer-netboot-images Version: 20130613+deb7u3.b2 Installed-Size: 9188 Maintainer: Debian Install System Team Architecture: all Provides: debian-installer-netboot, debian-installer-netboot-sparc Suggests: tftpd-hpa Size: 5795964 SHA256: 61a35bd907b5b0727f57c2b345df1b06d0f790c05763d53dac289063c99ec87c SHA1: 488a63dd1338243836d3737d69e9fcdd4594d3cb MD5sum: 5798bae3529436b04d9f51bddb361423 Description: Debian-installer network boot images for sparc This package contains images of the Debian Installer for the sparc architecture. Built-Using: anna (= 1.44+deb7u1), bogl (= 0.1.18-8), brltty (= 4.4-10+deb7u1), busybox (= 1:1.20.0-7), cdebconf (= 0.182), cdebconf-terminal (= 0.18), cdrom-checker (= 1.23), cdrom-detect (= 1.43), cdrom-retriever (= 1.28), choose-mirror (= 2.45), console-setup (= 1.88), debian-archive-keyring (= 2014.3~deb7u1), debian-installer-utils (= 1.92+deb7u1), eglibc (= 2.13-38+deb7u10), fribidi (= 0.19.2-3), gnupg (= 1.4.12-7+deb7u7), hw-detect (= 1.92), installation-locale (= 1.4), installation-report (= 2.49), kbd (= 1.15.3-9), kmod (= 9-3), libdebian-installer (= 0.87), libtextwrap (= 0.1-13), linux (= 3.2.78-1), localechooser (= 2.53), lowmem (= 1.38), main-menu (= 1.39), media-retriever (= 1.28), mountmedia (= 0.22), nano (= 2.2.6-1), ndisc6 (= 1.0.1-1), net-retriever (= 1.32), netcfg (= 1.108+deb7u3), pciutils (= 1:3.1.9-6), preseed (= 1.58), rescue (= 1.35), rootskel (= 1.102), sparc-utils (= 1.9-4), udev (= 175-7.2), udpkg (= 1.14), util-linux (= 2.20.1-5.3), wide-dhcpv6 (= 20080615-11.1), zlib (= 1:1.2.7.dfsg-13) Section: misc Priority: optional Filename: pool/main/d/debian-installer-netboot-images/debian-installer-7.0-netboot-sparc_20130613+deb7u3.b2_all.deb Package: debian-installer-launcher Version: 17 Installed-Size: 128 Maintainer: Debian Install System Team Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, menu, psmisc, xterm | x-terminal-emulator Suggests: kexec-tools Size: 25860 SHA256: c1bc38363fbc2d391441b03d172a127ea12135789c4015195c6a81dfaa517fb1 SHA1: 255bb1eb72ad93cf60afb822bfe72c19de6df0d2 MD5sum: cea6b539f2dd1fce159fd9339e7d2033 Description: Debian Installer desktop launcher This is a desktop launcher for Debian Installer images. It is intended to be used on Debian Live systems. . You probably do not want to install this package onto a non-live system, although it will do no harm. Section: utils Priority: optional Filename: pool/main/d/debian-installer-launcher/debian-installer-launcher_17_all.deb Package: debian-kernel-handbook Source: kernel-handbook Version: 1.0.15 Installed-Size: 174 Maintainer: Debian kernel team Architecture: all Recommends: www-browser Size: 28390 SHA256: 4c79d29ef73350e9c5e4601d9307935bdbab7af6cca904b3b2f314ec7953818f SHA1: 924ed285eb8ebdc8a5290e31cd3961111f7bce8d MD5sum: 3356c968587e45997e5048ca7bb1fc2e Description: reference to Debian Linux kernel packages and development A reference manual for: . * Working on the linux package * Building custom kernels and modules * Working with initramfs images * Kernel team policies Multi-Arch: foreign Tag: admin::kernel, devel::debian, devel::doc, devel::packaging, role::documentation Section: doc Priority: extra Filename: pool/main/k/kernel-handbook/debian-kernel-handbook_1.0.15_all.deb Package: debian-keyring Version: 2013.04.21 Installed-Size: 49077 Maintainer: Debian Keyring Maintainers Architecture: all Replaces: debian-maintainers Provides: debian-maintainers Recommends: gnupg (>= 1.0.6-4) Conflicts: debian-maintainers Size: 45371088 SHA256: 557bf3861e4e6eee8e20f21504a4a2e9d1c048c0fe68e98ba2fabb6d3c7f870e SHA1: 671d4e3d939236833616293d00118f128c262bf4 MD5sum: e6e7986788def4c931020af1dd9384e4 Description: GnuPG keys of Debian Developers The Debian project wants developers to digitally sign the announcements of their packages with GnuPG, to protect against forgeries. This package contains keyrings of GnuPG and keys of developers. Homepage: http://keyring.debian.org/ Tag: role::app-data, security::authentication, suite::debian Section: misc Priority: optional Filename: pool/main/d/debian-keyring/debian-keyring_2013.04.21_all.deb Package: debian-policy Version: 3.9.3.1 Installed-Size: 3381 Maintainer: Debian Policy List Architecture: all Suggests: doc-base Size: 1935694 SHA256: bc8ddab8fd9d4a8195683ca3e3b7d7fc3a738e03f662308929decbe67759366b SHA1: 290907ae9f5bcef60eaa1d0205ca34b045ded39d MD5sum: 815acad144d6e711eb5aa44c0cb61a93 Description: Debian Policy Manual and related documents This package contains: - Debian Policy Manual - Filesystem Hierarchy Standard (FHS) - Debian Menu sub-policy - Debian Perl sub-policy - Debian configuration management specification - Machine-readable debian/copyright specification - Authoritative list of virtual package names - Policy checklist for upgrading your packages - Paper about libc6 migration It also replaces the old Packaging Manual; most of the still-relevant content is now included as appendices to the Policy Manual. Tag: devel::doc, made-of::html, made-of::pdf, made-of::postscript, made-of::sgml, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/debian-policy/debian-policy_3.9.3.1_all.deb Package: debian-ports-archive-keyring Version: 2012.01.08 Installed-Size: 15 Maintainer: Aurelien Jarno Architecture: all Depends: gnupg, apt Size: 13780 SHA256: 99408f8239d0edc525d4ef2b903f319b7c5ca47a4f24456231bc4b3e87c1d23f SHA1: 6463e4bb13ee219c4b0a927f1e46d53866e061de MD5sum: 60662084a2fd1027e024d25bce10c707 Description: GnuPG archive keys of the debian-ports archive The debian-ports archive digitally signs its Release files. This package contains the archive keys used for that. Tag: admin::package-management, role::data, suite::debian Section: misc Priority: extra Filename: pool/main/d/debian-ports-archive-keyring/debian-ports-archive-keyring_2012.01.08_all.deb Package: debian-refcard Source: refcard Version: 5.0.8 Installed-Size: 3352 Maintainer: Debian Documentation Project Architecture: all Recommends: evince | pdf-viewer Suggests: doc-base Size: 3392658 SHA256: 572c47891c1f9df3a47b565ef0663dab5eab6cc4f8ec7a363ec0cb9aa35bb3f1 SHA1: 891469d4a281c922f3f6030e87ca303e7aa2d8ee MD5sum: e5193291b4d01857746a41a75d5e479f Description: printable reference card for the Debian system The Debian GNU/Linux reference card provides new users help with the most important commands. Basic knowledge of computers, files, directories and the command line is required, however. The package contains printable PDF files in multiple languages. Homepage: http://www.debian.org/doc/manuals/refcard/ Tag: made-of::pdf, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/r/refcard/debian-refcard_5.0.8_all.deb Package: debian-reference Version: 2.50 Installed-Size: 42 Maintainer: Osamu Aoki Architecture: all Depends: debian-reference-en Recommends: debian-reference-ja, debian-reference-fr, debian-reference-it, debian-reference-pt Size: 17972 SHA256: 921e1dd0f3c71d60938ba6969b5b77b1282802b92cf7d155a58e9716b57546f3 SHA1: 0978fb21e30c20e97e209b8b66d9851646a917b9 MD5sum: 55c9ff6e777dc937b6007c0b92669eae Description: metapackage to install (all) translations of Debian Reference This Debian Reference is intended to provide a broad overview of the Debian system as a post-installation user's guide. It covers many aspects of system administration through shell-command examples for non-developers. . This installs all translations when "Recommends:" are installed. Homepage: http://www.debian.org/doc/user-manuals#quick-reference Tag: role::documentation, role::metapackage, suite::debian Section: doc Priority: optional Filename: pool/main/d/debian-reference/debian-reference_2.50_all.deb Package: debian-reference-common Source: debian-reference Version: 2.50 Installed-Size: 140 Maintainer: Osamu Aoki Architecture: all Suggests: mc, vim, w3m | www-browser Size: 32142 SHA256: ec0df0b6f57770150c7b0b229e32febf1c17147dd2b2f070d401aa67e3279c10 SHA1: be90364de80427b60ff8698a9b124719845d3291 MD5sum: 309346182d8e431f929295226e3a3e2c Description: Debian system administration guide, common files This Debian Reference is intended to provide a broad overview of the Debian system as a post-installation user's guide. It covers many aspects of system administration through shell-command examples for non-developers. . This provides menu functionality and other common files. Homepage: http://www.debian.org/doc/user-manuals#quick-reference Tag: devel::examples, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/debian-reference/debian-reference-common_2.50_all.deb Package: debian-reference-en Source: debian-reference Version: 2.50 Installed-Size: 2223 Maintainer: Osamu Aoki Architecture: all Depends: debian-reference-common (>= 2.00) Suggests: doc-base Size: 615528 SHA256: d392013394653f86e3c089726c3e39e5a1ec1e7986e48984436b06822ef669cf SHA1: cb2e579fefa1a8578901ae0c4e3df37f2f30bc6c MD5sum: cfdb8d5c927484c8d9d57cff9bdc6f73 Description: Debian system administration guide, English original This Debian Reference is intended to provide a broad overview of the Debian system as a post-installation user's guide. It covers many aspects of system administration through shell-command examples for non-developers. . The English original version. Homepage: http://www.debian.org/doc/user-manuals#quick-reference Tag: culture::TODO, devel::doc, devel::packaging, made-of::html, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/debian-reference/debian-reference-en_2.50_all.deb Package: debian-reference-fr Source: debian-reference Version: 2.50 Installed-Size: 2417 Maintainer: Osamu Aoki Architecture: all Replaces: quick-reference-fr Depends: debian-reference-common (>= 2.00) Recommends: debian-reference-en Suggests: doc-base Conflicts: quick-reference-fr Size: 713570 SHA256: 4b3aa26e48ec6ef9b8b72fd891f0d07d41b4ec94c5701645eff616e995471f76 SHA1: e947a4c93dbbfe8a55e1708c1101738ce4fdcf34 MD5sum: 774845a49ded0fa44e17d176d8110996 Description: Debian system administration guide, French translation This Debian Reference is intended to provide a broad overview of the Debian system as a post-installation user's guide. It covers many aspects of system administration through shell-command examples for non-developers. . The French translation. Homepage: http://www.debian.org/doc/user-manuals#quick-reference Tag: culture::french, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/debian-reference/debian-reference-fr_2.50_all.deb Package: debian-reference-it Source: debian-reference Version: 2.50 Installed-Size: 2327 Maintainer: Osamu Aoki Architecture: all Replaces: quick-reference-it Depends: debian-reference-common (>= 2.00) Recommends: debian-reference-en Suggests: doc-base Conflicts: quick-reference-it Size: 675574 SHA256: aa6ab8e568e6cbd0f5c80e6b7212ca0fcea2ad16b1a5ef2e52084a28db83b8da SHA1: 9e9931d8050f09c765518fe5d41b17b22089040c MD5sum: 306794422a5e2adf32dcd65953d000f6 Description: Debian system administration guide, Italian translation This Debian Reference is intended to provide a broad overview of the Debian system as a post-installation user's guide. It covers many aspects of system administration through shell-command examples for non-developers. . The Italian translation. Homepage: http://www.debian.org/doc/user-manuals#quick-reference Tag: culture::italian, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/debian-reference/debian-reference-it_2.50_all.deb Package: debian-reference-ja Source: debian-reference Version: 2.50 Installed-Size: 2453 Maintainer: Osamu Aoki Architecture: all Replaces: quick-reference-ja Depends: debian-reference-common (>= 2.00) Recommends: debian-reference-en Suggests: doc-base Conflicts: quick-reference-ja Size: 713848 SHA256: 6e965d02258b6a11655aab9aa229b9efbdf8fac8836b0837eb17539a075f694d SHA1: 8a6d1c914681d067b8601627af137bd1cef29dfd MD5sum: 0aa5cb8b61523363bf1ae6209a022360 Description: Debian system administration guide, Japanese translation This Debian Reference is intended to provide a broad overview of the Debian system as a post-installation user's guide. It covers many aspects of system administration through shell-command examples for non-developers. . The Japanese translation. Homepage: http://www.debian.org/doc/user-manuals#quick-reference Tag: culture::japanese, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/debian-reference/debian-reference-ja_2.50_all.deb Package: debian-reference-pt Source: debian-reference Version: 2.50 Installed-Size: 2300 Maintainer: Osamu Aoki Architecture: all Depends: debian-reference-common (>= 2.00) Recommends: debian-reference-en Suggests: doc-base Size: 670578 SHA256: 02625d2dfc7ca99581cef767299181f976a136fae8b974c0ad4caa54a095576b SHA1: 9ad418d796b3c857a5424f65896b8d1979cf2cea MD5sum: d54347d330df29ce2998c66eea223c0e Description: Debian system administration guide, Portuguese translation This Debian Reference is intended to provide a broad overview of the Debian system as a post-installation user's guide. It covers many aspects of system administration through shell-command examples for non-developers. . The Portuguese (Portugal) translation. Homepage: http://www.debian.org/doc/user-manuals#quick-reference Tag: culture::portuguese, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/debian-reference/debian-reference-pt_2.50_all.deb Package: debian-security-support Version: 2018.01.29~deb7u1 Installed-Size: 89 Maintainer: Christoph Biedl Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, adduser, gettext-base Size: 29638 SHA256: 6fe5545bf2659b0341d6ea8e790ef97140b2b028de21e49a8f5e17bec6db6329 SHA1: c000255bb60e5c3900e449ddf75727e633694ddf MD5sum: a7d756bdc2bfdd5b40a8fa0617918595 Description: Debian security support coverage checker For some Debian packages, it is not feasible to maintain full security support for all use cases through the full distribution release cycle. . This package provides a program to identify installed packages for which support has had to be limited or prematurely ended, and to alert the administrator. . New versions of this package with updated checklists will be provided via standard and/or extended security support. Section: admin Priority: optional Filename: pool/main/d/debian-security-support/debian-security-support_2018.01.29~deb7u1_all.deb Package: debian-timeline Version: 18 Installed-Size: 108 Maintainer: Chris Lamb Architecture: all Depends: libjs-simile-timeline Size: 21044 SHA256: 31412854858267886345c5b0feb2e6090b0c2284092b3f2b0ec98dc2f6782b8d SHA1: fe5b6a29493d5bd0d11deccc27efea0568380604 MD5sum: 0de3485d3f58596c982932a99e9e5352 Description: Web-based timeline of the Debian GNU/Linux project The Debian Project timeline is a HTML and Javascript-based interactive timeline of the Debian GNU/Linux project. It includes the dates of: . * All Debian releases, including point releases and freeze windows * Infrastructure changes * Conferences and bug-squashing parties * General resolution and DPL votes * Important releases of Debian-specific and third-party software * Curiosa items such as anniversaries and bug number milestones * (and more) Homepage: http://timeline.debian.net/ Tag: field::genealogy, implemented-in::ecmascript, interface::web, made-of::html, network::service, role::program, suite::debian, use::viewing Section: web Priority: optional Filename: pool/main/d/debian-timeline/debian-timeline_18_all.deb Package: debian-xcontrol Source: debian-xcontrol (0.0.4-1.1) Version: 0.0.4-1.1+b1 Architecture: armhf Maintainer: Simon Richter Installed-Size: 220 Depends: libapt-pkg4.12 (>= 0.8.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Priority: extra Section: devel Filename: pool/main/d/debian-xcontrol/debian-xcontrol_0.0.4-1.1+b1_armhf.deb Size: 72922 SHA256: fbcd46909f9596e4dba77d2f9b07947e3b7430806580935bdf76bdf08b33a9fc SHA1: f5adb0294075ad2cf98467b342e92ab31a5fbe02 MD5sum: cc173f643bf761d6d342b927d56be668 Description: Extended syntax for debian/control files This tool generates a policy-compliant debian/control file from a similar file with a richer syntax, debian/xcontrol. . This allows the package maintainer to add additional hints useful e.g. when cross-compiling without adding the burden to maintain multiple files consistently. Package: debian-zh-faq-s Source: debian-zh-faq Version: 1.13 Installed-Size: 972 Maintainer: Debian Chinese Team Architecture: all Size: 967232 SHA256: e0776338d64147114ef5b28091d85ac165f5e07595e9601f27309b5b182fe251 SHA1: a5c2e7171950e15721bb3e5c20739473e5062ffb MD5sum: 836eec6cdb11e9ecf982def7a9ada4e7 Description: Debian Chinese FAQ (Chinese GB) This package contains the book Debian_Chinese_FAQ (Simplified Chinese GB Version), and it answers some frequently asked question about Chinese environment setup. It also introduce the special Chinese software in Debian. Hope it can help you to use the Debian Operating System. . You can find the documentation in directory /usr/share/doc/debian-zh-faq-s. Tag: culture::chinese, made-of::postscript, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/debian-zh-faq/debian-zh-faq-s_1.13_all.deb Package: debian-zh-faq-t Source: debian-zh-faq Version: 1.13 Installed-Size: 1046 Maintainer: Debian Chinese Team Architecture: all Size: 1043680 SHA256: 57a3131147d2fbf1680bb23aeb48a2aa3a5212891a83a3ce31e29fb7b73500dc SHA1: 13668d0e29c4370e6ac8f71ad3f29f032dceac25 MD5sum: b51ac79ea39e0b25c371149ee2b76090 Description: Debian Chinese FAQ (Chinese Big5) This package contain the book Debian_Chinese_FAQ (Traditional Chinese Big5 Version), and it answers some frequently asked question about Chinese environment setup. It also introduces the special Chinese software in Debian. Hope it can help you to use the Debian Operating System. . You can find the documentation in directory /usr/share/doc/debian-zh-faq-t. Tag: culture::chinese, culture::taiwanese, made-of::postscript, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/debian-zh-faq/debian-zh-faq-t_1.13_all.deb Package: debiandoc-sgml Version: 1.2.27 Installed-Size: 1331 Maintainer: Osamu Aoki Architecture: all Depends: perl, sgml-base (>= 1.26+nmu2), libhtml-parser-perl, libroman-perl, libtext-format-perl, sgml-data, sgmlspl, sp Recommends: ghostscript, texlive, texlive-latex-extra, texinfo Suggests: debiandoc-sgml-doc, latex-cjk-all, texlive-lang-all Size: 129464 SHA256: f4c6e3f2ccde5f021359a13e38192c19f54b16775d6b0c14500a8caf9ef9ac37 SHA1: 77419bffd2745d83241cf208d0408d30681ff021 MD5sum: 0a3796f7777809c7c84cdde190de867a Description: DebianDoc SGML DTD and formatting tools This is an SGML-based documentation formatting package used for the Debian manuals. It reads markup files and produces HTML, LaTeX, DVI (via LaTeX), PostScript (via LaTeX/DVI), PDF (via LaTeX), Texinfo, Info (via Texinfo), DebianDoc SGML, DocBook XML, plain text (with overstrikes a la troff as well as without) files. . The LaTeX based output needs the texlive, texlive-latex-extra and texlive-lang-all packages. In addition to this, Chinese and Japanese need latex-cjk-all and corresponding font packages. . The Texinfo based output needs the texinfo package. Multi-Arch: foreign Tag: interface::commandline, made-of::html, role::program, scope::utility, suite::debian, use::converting, use::editing, use::text-formatting, works-with-format::docbook, works-with-format::html, works-with-format::pdf, works-with-format::postscript, works-with-format::sgml, works-with-format::tex, works-with-format::xml, works-with::text Section: text Priority: optional Filename: pool/main/d/debiandoc-sgml/debiandoc-sgml_1.2.27_all.deb Package: debiandoc-sgml-doc Version: 1.1.22 Installed-Size: 244 Maintainer: Osamu Aoki Architecture: all Size: 41940 SHA256: 4d7952390a8bd8716952fa5577f1eadda6ed6e802d7aaf57eb7b1e408d305d3e SHA1: 95fcadee48bbe00ae9c25d2661ea3124bdfbc39c MD5sum: 43fc88f677cb67e8b6601aa89b009cd9 Description: Documentation for DebianDoc-SGML This package contains the documentation for DebianDoc-SGML in HTML, and plain ASCII format. Tag: role::documentation, suite::debian, use::editing Section: doc Priority: optional Filename: pool/main/d/debiandoc-sgml-doc/debiandoc-sgml-doc_1.1.22_all.deb Package: debiandoc-sgml-doc-pt-br Version: 1.1.11 Installed-Size: 120 Maintainer: Osamu Aoki Architecture: all Suggests: debiandoc-sgml Size: 19438 SHA256: 3a4dc95cac1d3ac85ff7f3c33160a71a6c94b2f53df5de3295741063a2530b32 SHA1: 8f4d9fc6af0484bdff3e6871a945f1b430ac9e68 MD5sum: 472ddb2323c160c0358fe1705ca43c8f Description: Documentation for DebianDoc-SGML in Brazilian Portuguese This package contains the documentation for DebianDoc-SGML translated in Brazilian Portuguese in HTML and plain ASCII format. Tag: culture::brazilian, role::documentation, suite::debian, use::editing Section: doc Priority: optional Filename: pool/main/d/debiandoc-sgml-doc-pt-br/debiandoc-sgml-doc-pt-br_1.1.11_all.deb Package: debianutils Version: 4.3.2 Architecture: armhf Essential: yes Maintainer: Clint Adams Installed-Size: 212 Pre-Depends: libc6 (>= 2.13-28) Depends: sensible-utils Multi-Arch: foreign Priority: required Section: utils Filename: pool/main/d/debianutils/debianutils_4.3.2_armhf.deb Size: 77636 SHA256: 336a3ef6ba1b7cc95375a75e3e42d1435ccdf862f2b145f242157a10f41b59a2 SHA1: 76675f51b9cd523b9037a39c79fb204fdb574ab4 MD5sum: e2e13e7983b76d622f000b92de2826e8 Description: Miscellaneous utilities specific to Debian This package provides a number of small utilities which are used primarily by the installation scripts of Debian packages, although you may use them directly. . The specific utilities included are: add-shell installkernel ischroot remove-shell run-parts savelog tempfile which Package: debichem-abinitio Source: debichem Version: 0.0.3 Installed-Size: 46 Maintainer: Debichem Team Architecture: all Depends: debichem-tasks (= 0.0.3) Recommends: abinit, aces3, cp2k, mpqc, nwchem, openmx, psi3, quantum-espresso Size: 4758 SHA256: 8418d75b423e02f9a0a98019169df3f1ef439a93d9607e2771a43af47c5cf4e8 SHA1: 9e577917004cfaf98f2f6766d0d7b59a81a15ac4 MD5sum: 5125939a3f55d7e800b2a61ef560452b Description: DebiChem Ab Initio Calculations This metapackage will install packages doing ab initio calculations which might be useful for chemists. Homepage: http://alioth.debian.org/projects/debichem Tag: field::chemistry, role::metapackage Section: misc Priority: extra Filename: pool/main/d/debichem/debichem-abinitio_0.0.3_all.deb Package: debichem-cheminformatics Source: debichem Version: 0.0.3 Installed-Size: 46 Maintainer: Debichem Team Architecture: all Depends: debichem-tasks (= 0.0.3) Recommends: libcdk-java, libopsin-java, openbabel, python-chemfp, python-cinfony, python-fmcs, python-indigo, python-openbabel, python-rdkit Size: 4750 SHA256: 30c60aec01f404a63322e77ee8962aa2f078488656bea9e367fe34cfaf77346a SHA1: a7bd5d4a53d18470a00457e6652998274a7ef791 MD5sum: 6d5eee0fa62e5af220cb3e3773b2f5ac Description: DebiChem Cheminformatics This metapackage will install cheminformatics packages useful for chemists. Homepage: http://alioth.debian.org/projects/debichem Tag: field::chemistry, role::metapackage, suite::debian Section: misc Priority: extra Filename: pool/main/d/debichem/debichem-cheminformatics_0.0.3_all.deb Package: debichem-modelling Source: debichem Version: 0.0.3 Installed-Size: 46 Maintainer: Debichem Team Architecture: all Depends: debichem-tasks (= 0.0.3) Recommends: avogadro, ghemical, pymol Suggests: nmoldyn, python-mmtk Size: 4752 SHA256: 4c94706f81803f8a88ed67480532ea17f0b8401be0e2f0e31fe8fc8eb96d0ce8 SHA1: 3d575cdc8d63e5cdaac357c2face63de8c0d05de MD5sum: c5c7f24ab8b04530cc2afafc79597e9e Description: DebiChem 3D Molecular Modelling and Visualization This metapackage will install 3D Molecular Modelling and Visualization which might be useful for chemists. Homepage: http://alioth.debian.org/projects/debichem Tag: field::chemistry, role::metapackage Section: misc Priority: extra Filename: pool/main/d/debichem/debichem-modelling_0.0.3_all.deb Package: debichem-molmech Source: debichem Version: 0.0.3 Installed-Size: 46 Maintainer: Debichem Team Architecture: all Depends: debichem-tasks (= 0.0.3) Recommends: adun.app, avogadro, ghemical, gromacs Suggests: vmd Size: 4738 SHA256: 8c846a92e65290065818c32f44ab67ed4e02fec1d1f5cc9891137dc6cd5ae060 SHA1: 439ea3cb64975c3a717dcd68469a101884f1aac6 MD5sum: fd9b6dd30b9bcd6d43f9b707d46c3872 Description: DebiChem Molecular Mechanics This metapackage will install Molecular Mechanics which might be useful for chemists. Homepage: http://alioth.debian.org/projects/debichem Tag: field::chemistry, role::metapackage Section: misc Priority: extra Filename: pool/main/d/debichem/debichem-molmech_0.0.3_all.deb Package: debichem-polymer Source: debichem Version: 0.0.3 Installed-Size: 46 Maintainer: Debichem Team Architecture: all Depends: debichem-tasks (= 0.0.3) Recommends: lutefisk, massxpert, mmass Size: 4776 SHA256: 2c9adfbed9b9604dc62acb0d8bce430ddf244820ecf8c7fd1c162e30307a5697 SHA1: fb8ae4eb5f43f601c34093dc4cff77f34c30beda MD5sum: 09a0ca58297e56092b7688fb44e3269b Description: DebiChem polymer sequence editors and mass spectrometry This metapackage will install packages which enable you to edit polymer sequences so as to perform mass spectrometry simulations and view mass spectra. Homepage: http://alioth.debian.org/projects/debichem Tag: role::metapackage Section: misc Priority: extra Filename: pool/main/d/debichem/debichem-polymer_0.0.3_all.deb Package: debichem-semiempirical Source: debichem Version: 0.0.3 Installed-Size: 46 Maintainer: Debichem Team Architecture: all Depends: debichem-tasks (= 0.0.3) Recommends: cp2k, mopac7-bin Size: 4716 SHA256: d13d928910a34770d3b5c1c129bb5362d2fdd649eeb3ceb2a260fb5e44adabe2 SHA1: a0b6e8207ca769b6d5956692bda0acde8745814f MD5sum: 33efcd032016cf3c90ebd14b3e3d5943 Description: DebiChem Semi Empirical This metapackage will install Semi Empirical which might be useful for chemists. Homepage: http://alioth.debian.org/projects/debichem Tag: field::chemistry, role::metapackage Section: misc Priority: extra Filename: pool/main/d/debichem/debichem-semiempirical_0.0.3_all.deb Package: debichem-tasks Source: debichem Version: 0.0.3 Installed-Size: 37 Maintainer: Debichem Team Architecture: all Depends: tasksel Size: 3296 SHA256: a5db112e0a8ae1de53a1b4ff26cd88bd7941a5644a786abfc9f25e75fc0f1a37 SHA1: 607a39e6f7a2110db26abb327766f7ab3cc4f41b MD5sum: aeec0eef6606925127990368cdfdf0de Description: DebiChem tasks for tasksel This package provides DebiChem tasks in tasksel. . These tasks are described in detail at http://blends.alioth.debian.org/debichem/tasks/ Homepage: http://alioth.debian.org/projects/debichem Tag: field::chemistry, role::metapackage, suite::debian Section: misc Priority: extra Filename: pool/main/d/debichem/debichem-tasks_0.0.3_all.deb Package: debichem-view-edit-2d Source: debichem Version: 0.0.3 Installed-Size: 46 Maintainer: Debichem Team Architecture: all Depends: debichem-tasks (= 0.0.3) Recommends: bkchem, chemtool, easychem, gchempaint, xdrawchem Suggests: osra Size: 4748 SHA256: 79b87389b93e8244fab7a3c5c240cbb7934b68316fd98a76bfc29a1fa8d3b1eb SHA1: 7794f1be541dfd26e6c17d4611ed320a3ff1068b MD5sum: 6dd27c48e1a9cab949473cd04d5f8829 Description: DebiChem 2D structure editors This metapackage will install 2D structure editors which might be useful for chemists. Homepage: http://alioth.debian.org/projects/debichem Tag: role::metapackage, suite::debian Section: misc Priority: extra Filename: pool/main/d/debichem/debichem-view-edit-2d_0.0.3_all.deb Package: debichem-visualisation Source: debichem Version: 0.0.3 Installed-Size: 46 Maintainer: Debichem Team Architecture: all Depends: debichem-tasks (= 0.0.3) Recommends: adun.app, avogadro, ballview, cclib, drawxtl, gabedit, garlic, gausssum, gcrystal, gdis, gdpc, jmol, kalzium, qutemol, rasmol, raster3d, shelxle, v-sim, viewmol, xbs, xcrysden, xmakemol Size: 4840 SHA256: 63d49799eb561b917fa99c05b2932c37f4389b058384f0bd513a1138ef893087 SHA1: 56921f587e159b406d07fc817cc9750ed3c8d909 MD5sum: 054ea52307703c4f32b23038629d86f2 Description: DebiChem 3D Viewers and Calculation Output Visualization This metapackage will install 3D Viewers and Calculation Output Visualization which might be useful for chemists. Homepage: http://alioth.debian.org/projects/debichem Tag: field::chemistry, role::metapackage Section: misc Priority: extra Filename: pool/main/d/debichem/debichem-visualisation_0.0.3_all.deb Package: debirf Version: 0.33 Installed-Size: 111 Maintainer: Jameson Graef Rollins Architecture: all Depends: debootstrap, cpio, apt, fakeroot, fakechroot, klibc-utils Recommends: lsb-release, xorriso, grub-common (>= 1.98+20100804) | syslinux-common Size: 34104 SHA256: 70e25ddb900076d55b97b93557cad790bce76b31fb529b9ecca0065476d7fb15 SHA1: d28b784946f43d9b674f00da7970aa3a7c024a4f MD5sum: 45d1d4dea4be619664cad48a76ecc771 Description: build a kernel and initrd to run Debian from RAM debirf (DEBian on Initial Ram Filesystem) is a set of tools designed to create and prepare a kernel and initial ram filesystem that can run a full-blown Debian environment entirely from RAM. . The kernel and initramfs pair created by debirf can be used for a myriad of purposes, from quick-and-easy system repair to diskless thin clients. The kernel and initrd can be placed in your system boot partition, burnt to read-only media, or supplied by a netboot server. . The debirf tools use a module architecture which allows you to customize debirf for any possible purpose by specifying what components are included in the generated image. Homepage: http://cmrg.fifthhorseman.net/wiki/debirf Tag: implemented-in::shell, role::program, suite::debian Section: admin Priority: extra Filename: pool/main/d/debirf/debirf_0.33_all.deb Package: debmirror Version: 1:2.16~deb7u1 Installed-Size: 158 Maintainer: Joey Hess Architecture: all Depends: perl (>= 5.10), libnet-perl, libdigest-md5-perl, libdigest-sha-perl, liblockfile-simple-perl, rsync, bzip2, libwww-perl (>= 5.815), libnet-inet6glue-perl Recommends: gpgv, patch, ed Suggests: gnupg Size: 54136 SHA256: e112b9d34cd29f49ec060469c2f5aad904e3c8240bb806d960ed0e84fc3512d1 SHA1: 6a0fd7366c455c2aa91882d8b29c37684a0c7e76 MD5sum: 144863299d9fa2f6d1ac296a6115fbc1 Description: Debian partial mirror script, with ftp and package pool support This program downloads and maintains a partial local Debian mirror. It can mirror any combination of architectures, distributions and sections. Files are transferred by ftp, http, hftp or rsync, and package pools are fully supported. It also does locking and updates trace files. Tag: admin::file-distribution, implemented-in::perl, interface::commandline, protocol::TODO, protocol::ftp, protocol::http, role::program, scope::utility, suite::debian, use::downloading, use::synchronizing, works-with::software:package Section: net Priority: extra Filename: pool/main/d/debmirror/debmirror_2.16~deb7u1_all.deb Package: debnest Version: 0.0.11 Installed-Size: 568 Maintainer: Peter Makholm Architecture: all Depends: dpkg-dev, devscripts, make, wget Recommends: cdbs Suggests: patchutils | dpatch | quilt Size: 429344 SHA256: 6e17953e5b9d80dd6035490694a437e008129cfa82eb6c7562d331667287968a SHA1: 116b16442f1232a2c39f5b78382533cd5b6cf3a3 MD5sum: 32c2c4d973e1ebe4fe5fd53f0d61c6b6 Description: Nested Build System of Debian Source Package Debnest provides a build system to create debian binary packages such that original upstream sources include debian source packages, i.e. .dsc and other files such as .orig.tar.gz and diff.gz, or .tar.gz. In build-time, this system unpacks debian source packages by 'dpkg-source -x' and propagates policy-defined targets of debian/rules into the unpacked sources. . This allows you to: * Manage your own files under debian/ such as debian/rules debian/control, debian/changelog and so on separately away from their original packages. * Create your own tuned packages with your own pre-defined DEB_BUILD_OPTIONS, CC in your debian/rules from their original packages without specifying any environment variables in each build-time. * Create your own tuned packages with patches to their original sources as well as to debian/* files, separating them completely away from their original source packages. * Make it possible to redistribute your modified debian package based on another debian source package which restricts source-code from being distributed in modified form _only_ by the distribution of "patch files" with the source code for the purpose of modifying the program at build time. Tag: devel::buildtools, devel::debian, suite::debian Section: devel Priority: optional Filename: pool/main/d/debnest/debnest_0.0.11_all.deb Package: debomatic Version: 0.10-2 Installed-Size: 473 Maintainer: Luca Falavigna Architecture: all Depends: python (>= 2.6.6-7~), pbuilder | cowbuilder, debootstrap | cdebootstrap, python-daemon, python-lockfile Recommends: gpgv, lintian, python-pyinotify (>= 0.8.6-1), debian-archive-keyring, ubuntu-keyring Size: 135916 SHA256: 2b93d5bf44dfd708c788590370426cacc4fb7afc3dbaaa5b7defd4d07422e721 SHA1: 9ff1e175b65970884babb18bb4558e1b205490af MD5sum: af5c68b0727735f362cba83af2394453 Description: automatic build machine for Debian source packages Deb-o-Matic is an easy to use build machine for Debian source packages based on pbuilder, written in Python. . It provides a simple tool to automate build of source packages with limited user interaction and a simple configuration. It has some useful features such as automatic update of pbuilder, automatic scan and selection of source packages to build and modules support. . It is meant to help developers to build their packages without worrying too much of compilation, since it will run in background and no user feedback is required during the whole process. Homepage: https://launchpad.net/debomatic Tag: devel::buildtools, devel::debian, devel::packaging, implemented-in::python, interface::commandline, role::program, scope::utility, suite::debian Section: devel Priority: extra Filename: pool/main/d/debomatic/debomatic_0.10-2_all.deb Package: debootstrap Version: 1.0.48+deb7u4 Installed-Size: 226 Maintainer: Debian Install System Team Architecture: all Depends: wget Recommends: gnupg, debian-archive-keyring Size: 61542 SHA256: a71c47a47ec21712a5e7cec29748534fae2977ea455ac5044436c8a3aa1c86eb SHA1: fb454a86a2ceb41d170d2df161708d90b4a21ead MD5sum: e696a9629acc9d1b3e7de1f1444f987b Description: Bootstrap a basic Debian system debootstrap is used to create a Debian base system from scratch, without requiring the availability of dpkg or apt. It does this by downloading .deb files from a mirror site, and carefully unpacking them into a directory which can eventually be chrooted into. Tag: admin::virtualization, devel::debian, implemented-in::shell, interface::commandline, protocol::http, role::program, scope::utility, suite::debian, works-with-format::tar, works-with::software:package Section: admin Priority: extra Filename: pool/main/d/debootstrap/debootstrap_1.0.48+deb7u4_all.deb Package: deborphan Version: 1.7.28.8 Architecture: armhf Maintainer: deborphan devel team Installed-Size: 491 Depends: libc6 (>= 2.13-28) Recommends: apt, dialog, gettext-base Priority: optional Section: admin Filename: pool/main/d/deborphan/deborphan_1.7.28.8_armhf.deb Size: 111700 SHA256: 9b0963677fd468c6a360115115fda857f315c2ce16ca09f0ca1c822a1cea3671 SHA1: 2e0c207c49c8b379a920aa63ec9e9c0c9ea6932c MD5sum: 6a166b532d4cf90bdc0449625028a074 Description: program that can find unused packages, e.g. libraries deborphan finds "orphaned" packages on your system. It determines which packages have no other packages depending on their installation and shows you a list of these packages. It is most useful when finding libraries, but it can be used on packages in all sections. . This package also includes orphaner, a text menu frontend to deborphan. Please install the recommended packages dialog, gettext-base and apt when you want a working and fully featured orphaner. Package: debpartial-mirror Version: 0.3.1 Installed-Size: 131 Maintainer: Otavio Salvador Architecture: all Depends: python, python-support (>= 0.90.0), python-apt (>= 0.7.93.2~), python-pycurl, python-cdd (>= 0.0.8), gnupg Size: 30750 SHA256: 31f8d1f4561454400047cdef82297d96322abd2e7062f68f1f57a131492e8ac5 SHA1: 69294ad7f7208f05d3fec27f445b31d4ba65f611 MD5sum: f24895010e58c99e1601ae1ac44f9d04 Description: tools to create partial Debian mirrors Mirroring of partial Debian repositories is easy with the debpartial-mirror tool. . With it, you can mirror selected sections, priorities, packages, virtual packages, or even files and directories matching regular expressions. Packages may be drawn from any number of sources, with dependencies for each source resolved from whichever other sources you specify. Homepage: http://projetos.ossystems.com.br/projects/show/debpartial-mirror Tag: role::program Section: net Priority: extra Filename: pool/main/d/debpartial-mirror/debpartial-mirror_0.3.1_all.deb Package: debpear Version: 0.3 Installed-Size: 47 Maintainer: Debian PKG PHP PEAR team Architecture: all Depends: php-pear, pkg-php-tools, devscripts, build-essential, fakeroot Size: 6444 SHA256: 29ed6ccd33fab6513cd68d913e83bd6fd7a40120e2ff70b9329ebff31d7eb15b SHA1: 940ee8ba9e4f07a1c0f26b5abc6c27f37e773de9 MD5sum: 9f46e65a6b2c99e4eb23ccd583e7798b Description: automatically builds and installs PEAR package as Debian packages With debpear, you can install PEAR packages out of only the name of a PHP PEAR module. Debpear will download, create, and build a Debian package out of the pear package that you selected, and eventually install it in your system. . The goal of debpear isn't to replace the real work of a Debian maintainer, but rather to allow its users to quickly build and install a PEAR package in a clean, Debian way, when this PEAR package doesn't exist in Debian yet. Section: php Priority: optional Filename: pool/main/d/debpear/debpear_0.3_all.deb Package: debram Version: 1.0.3-0.2 Architecture: armhf Maintainer: Thaddeus H. Black Installed-Size: 85 Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4), debram-data (>= 1.0.3-0.2) Suggests: debtags Priority: optional Section: admin Filename: pool/main/d/debram/debram_1.0.3-0.2_armhf.deb Size: 34100 SHA256: 0f8f0febb1c7f2ee1716841191bafa8f6039a7400f67480b4b8c6f86c0adc380 SHA1: 774f0a5d2e57cf66cdce708117a6d5d013315c92 MD5sum: faa9389d2a52fcb04dd96224cd3bf91d Description: ramified catalog of available .debs Debian GNU/Linux provides thousands upon daunting thousands of software packages. Sorting them into broad classes then dividing and redividing them into finer, more specific branches, the Debram ramifies Debian's packages in much the same manner as a university library ramifies its books. If you know what you want your computer to do but do not yet know the package to do it, you can find the package here. Package: debram-data Source: debram Version: 1.0.3-0.2 Installed-Size: 539 Maintainer: Thaddeus H. Black Architecture: all Recommends: debram (>= 0.5.1) Conflicts: debram (<< 0.5.1) Size: 520310 SHA256: b52e73e650a176f9ec2e67d98639033b0cdcd42d25b64ca8823e04742f3c8609 SHA1: fe48ae3656be51088f4a636bcc162d4874ab42bb MD5sum: 401047f39634795b95dafd7149226990 Description: debram's architecture-independent data This data package installs the Debian Ramification's documentation and architecture-independent data. It also provides the Command Selection Guide, ramifying several hundred basic GNU/Linux commands. See debram. Tag: interface::commandline, role::app-data, suite::debian, use::searching, works-with::software:package Section: admin Priority: optional Filename: pool/main/d/debram/debram-data_1.0.3-0.2_all.deb Package: debroster Version: 1.17 Installed-Size: 252 Maintainer: Matthew Vernon Architecture: all Depends: eterm | xterm, unsort Recommends: eterm Size: 145666 SHA256: 0896035ea636db16459bd035f6fb2284ddaf19e77042c69a217f45bf0c4ea22a SHA1: 5bcdc3de2b15fcce96f77941be22160af093fe4b MD5sum: ea5215dbf41da8c81278a501b6793c89 Description: A package for use at expos. Debroster starts up an eterm with the Debian logo as the background, with a randomly-ordered list of the current developers scrolling up the screen. Use it to show just how many people contribute to the Debian project - and so why we are so damn good :-) Tag: game::toys, suite::debian Section: misc Priority: extra Filename: pool/main/d/debroster/debroster_1.17_all.deb Package: debsecan Version: 0.4.16+nmu1+deb7u1 Installed-Size: 88 Maintainer: Florian Weimer Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, python (>= 2.3), python-apt Size: 35882 SHA256: 60ed2219f1c9301fa5ecc062326a2ab69cba703459366ad0d810e5a50f800291 SHA1: 8d05aeac9c93d5318ae27539991db47067201198 MD5sum: 0e2025ecc7dcbc02a7dcaa19f404bcb0 Description: Debian Security Analyzer debsecan is a tool to generate a list of vulnerabilities which affect a particular Debian installation. debsecan runs on the host which is to be checked, and downloads vulnerability information over the Internet. It can send mail to interested parties when new vulnerabilities are discovered or when security updates become available. Recommends: cron, exim4 | mail-transport-agent Section: admin Priority: optional Filename: pool/main/d/debsecan/debsecan_0.4.16+nmu1+deb7u1_all.deb Package: debsig-verify Version: 0.8 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 92 Depends: libc6 (>= 2.13-28), libxmltok1, gnupg Suggests: debian-keyring Priority: standard Section: admin Filename: pool/main/d/debsig-verify/debsig-verify_0.8_armhf.deb Size: 17560 SHA256: c23a430ecc80105ac92e1190c1c75cd2bb99ce2d125e31a6f2abce1cb1b12869 SHA1: a8128a3006ad2f82d50a7ac7da15e07307751f1e MD5sum: 4a58ddd6fb4a02a496c7df2156b18994 Description: Debian Package Signature Verification Tool This tool inspects and verifies package signatures based on predetermined policies. Package: debsigs Version: 0.1.17 Installed-Size: 180 Maintainer: Peter Pentchev Architecture: all Depends: perl, gnupg, binutils Recommends: debsig-verify Size: 52884 SHA256: 4c049a25add7eb8d8072866748dbfe52982ca05a462d4967e79b5b3505185964 SHA1: 8e28d993928bf56acd92bec6a2e11854910b5720 MD5sum: 732f0562fb462110bcd5f424385f3cfc Description: toolset for applying cryptographic signatures to Debian packages debsigs is a package that allows GPG signatures to be embedded inside Debian packages. These signatures can later be verified by package retrieval and installation tools to ensure the authenticity of the contents of the package. Tag: admin::package-management, devel::packaging, implemented-in::perl, interface::commandline, role::program, scope::utility, security::authentication, security::cryptography, suite::debian, use::checking, works-with::software:package Section: devel Priority: optional Filename: pool/main/d/debsigs/debsigs_0.1.17_all.deb Package: debsums Version: 2.0.52+deb7u1 Installed-Size: 200 Maintainer: Ryan Niebur Architecture: all Depends: perl (>= 5.8.0-3), ucf (>= 0.28), libfile-fnmatch-perl, libdpkg-perl, dpkg (>= 1.16.3) Size: 49322 SHA256: f6d425be54ac3533f14f68e4eb4ec0f112baa752025dc0ab308cc16fa82cb471 SHA1: d15958bf921b79f0d71f7ba82683725dc27548f2 MD5sum: 9f47f77259bb0f25f023f4527c720d10 Description: tool for verification of installed package files against MD5 checksums debsums can verify the integrity of installed package files against MD5 checksums installed by the package, or generated from a .deb archive. Tag: admin::package-management, implemented-in::perl, interface::commandline, role::program, security::integrity, suite::debian, use::checking, works-with::software:package Section: admin Priority: optional Filename: pool/main/d/debsums/debsums_2.0.52+deb7u1_all.deb Package: debtags Version: 1.10.1 Architecture: armhf Maintainer: Enrico Zini Installed-Size: 872 Depends: apt, python, python-debian, libapt-pkg4.12 (>= 0.8.0), libc6 (>= 2.13-28), libept1.4.12, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libxapian22, zlib1g (>= 1:1.1.4) Suggests: tagcoll Homepage: http://wiki.debian.org/Debtags Priority: optional Section: admin Filename: pool/main/d/debtags/debtags_1.10.1_armhf.deb Size: 638452 SHA256: 3bdaf58ccfaf5d772047c0bdf1ada4e1d578d7b5f139258b739b59daa558a31f SHA1: d55e35289ec460a21f544bcd171986c4a5ea474e MD5sum: 04d79fba72a9867cae6fd2169162fe53 Description: Enables support for package tags debtags provides a system to download a database of package tags and keep it up to date. A package tag is a small label that gets attached to a Debian package to represent one of its qualities. . A package tag database in the system can enable advanced package search techniques, and advanced package browsing functions in programs that support it. . This package has been made as a way to deploy and test package tags support until it gets integrated in the normal Debian workflow. Package: debtorrent Version: 0.1.10 Installed-Size: 1185 Maintainer: Cameron Dale Architecture: all Provides: python-debtorrent Depends: python, python-support (>= 0.90.0), adduser, python-debian (>= 0.1.15), python-apt Recommends: python-crypto, apt-transport-debtorrent (>= 0.2) Suggests: python-psyco Size: 231014 SHA256: 187206bbb35f19175be73bf2fe476514f469adffc66f3d393a0ce4a3c6c25368 SHA1: 27125c2f94e77095a38d1ce6105206d6e75100bc MD5sum: 07505e9ea06dafb384863a2054ba9fa5 Description: bittorrent proxy for downloading Debian packages DebTorrent is a proxy for downloading Debian packages files with APT. It will download any needed packages from other DebTorrent peers in a bittorrent-like manner, and so reduce the strain on the Debian mirrors. . The DebTorrent client runs as a daemon, automatically started on bootup, and listens for requests from APT for files. Any non-package files are downloaded and served to APT similarly to other proxying software (e.g. apt-proxy, apt-cacher, and approx). The configuration is very simple, and only involves prepending a server and port to your current sources.list files (similar to apt-cacher). . When downloading package files, the DebTorrent client will try to use any other DebTorrent clients it can find to download from. This will use the uploading bandwidth of other peers, while reducing the demand on the Debian mirror network. However, if a package cannot be found on any peers, DebTorrent will fall back to downloading from a mirror to ensure all packages are downloaded. Homepage: http://debtorrent.alioth.debian.org/ Tag: admin::package-management, implemented-in::python, interface::daemon, network::server, protocol::bittorrent, protocol::http, role::program, suite::debian, use::downloading, use::proxying, works-with::software:package Section: net Priority: optional Filename: pool/main/d/debtorrent/debtorrent_0.1.10_all.deb Package: debtree Version: 1.0.10 Installed-Size: 94 Maintainer: Anibal Monsalve Salazar Architecture: all Depends: perl, libapt-pkg-perl, ucf, dctrl-tools Recommends: graphviz Size: 20992 SHA256: a98778751c689333b9a6b106548f2d76a303e5aed7336d55d759bf7d3107f8e5 SHA1: 0262fcce0fae56682fe0d6fbbd1de7ab1a30208c MD5sum: 916ffd4dfc997cd16415f8adf58c7233 Description: package dependency graphs on steroids Very powerful and versatile tool for generating dependency graphs showing the relationships between .deb packages. . The graph is generated in the form of a .dot file that can be used as input for the utility 'dot' from the graphviz package. What information is included in a graph can be varied using command line options. . Supported are: regular (forward) dependencies, reverse dependencies, showing installed packages, virtual packages, alternatives, and much more. Homepage: http://collab-maint.alioth.debian.org/debtree/ Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, use::analysing, use::viewing, works-with-format::TODO, works-with::graphs, works-with::software:package Section: utils Priority: optional Filename: pool/main/d/debtree/debtree_1.0.10_all.deb Package: decibel-audio-player Version: 1.04-1 Installed-Size: 1692 Maintainer: Emilio Pozuelo Monfort Architecture: all Depends: python, python-support (>= 0.90.0), python-gtk2 (>= 2.6.3-2), python-glade2 (>= 2.6.3-2), python-mutagen (>= 1.10), python-gst0.10 (>= 0.10), python-dbus, gstreamer0.10-plugins-base, gstreamer0.10-plugins-good Recommends: gstreamer0.10-plugins-ugly, python-notify (>= 0.1), python-gnome2, python-gnomekeyring, python-cddb Suggests: gstreamer0.10-plugins-bad Size: 324884 SHA256: e586687ca2d4955451614b6d8f1d6ae45db4b1d4ff9b213b7afe71f8624ec8c1 SHA1: 55eabbcb1f37ec99a678fbd875d613f285da0bb6 MD5sum: ede6118257741ed6fabbe4fe84c3fcdd Description: simple and nice music player for the GNOME desktop Decibel is a GTK+ audio player which aims at being very straightforward to use by mean of a very clean and user friendly interface. Homepage: http://decibel.silent-blade.org/ Tag: interface::x11, role::program, sound::player, suite::gnome, uitoolkit::gtk, use::playing, works-with::audio, x11::application Section: sound Priority: optional Filename: pool/main/d/decibel-audio-player/decibel-audio-player_1.04-1_all.deb Package: dee-tools Source: dee Version: 1.0.10-3 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 52 Depends: libc6 (>= 2.13-28), libdee-1.0-4 (>= 1.0.0), libglib2.0-0 (>= 2.26.0) Homepage: https://launchpad.net/dee Priority: optional Section: libs Filename: pool/main/d/dee/dee-tools_1.0.10-3_armhf.deb Size: 11044 SHA256: 29acf0ad0fa68f5ce75fef9007dd89835a5cc1adf9715b3d55a743296f803b98 SHA1: a5279e59fb9c88f5c90a7e4f2df004de9ff7e056 MD5sum: 6803acab08440ceb68f7ed7604dd61a4 Description: model to synchronize mutiple instances over DBus - tooling libdee is a shared library that provides objects that help having multiple instances communicating over DBus. . This package contains the tooling for introspecting dee data. Package: deejayd Version: 0.9.0-4 Installed-Size: 692 Maintainer: Alexandre Rossi Architecture: all Depends: python (>= 2.4), python-central (>= 0.6.11), lsb-base (>= 3.0-6), logrotate, adduser, deejayd-client (= 0.9.0-4), deejayd-xine (= 0.9.0-4) | deejayd-gstreamer (= 0.9.0-4), python-twisted, python-pysqlite2 | python-mysqldb, python-lxml, python-mutagen, python-kaa-metadata (>= 0.7.3) Recommends: python-pyinotify (>= 0.6.0) Suggests: deejayd-webui, xserver-xorg, xauth, x11-utils Breaks: deejayd-client (<< 0.8.4) Size: 96606 SHA256: e7403141b31e301654543b5fd1668ccd2370bdec2d7c467a33fb731bc92636b4 SHA1: 82313aabc3aee6588428ffc552e395f99b40aa2b MD5sum: 93879e2a35466da562b70a02ee97f935 Description: Network controllable media player daemon Deejayd is a multi purpose media player that can be completely controlled through the network using XML messages. It suppports playlists, searching, many media tags. It can playback many music and video formats using either its xine (recommended) or its GStreamer backend. Homepage: http://mroy31.dyndns.org/~roy/projects/deejayd Python-Version: >= 2.4 Tag: implemented-in::python, interface::daemon, network::server, role::program, sound::player, use::playing, works-with-format::mp3, works-with-format::oggvorbis, works-with::audio, works-with::video, x11::application Section: sound Priority: extra Filename: pool/main/d/deejayd/deejayd_0.9.0-4_all.deb Package: deejayd-client Source: deejayd Version: 0.9.0-4 Installed-Size: 192 Maintainer: Alexandre Rossi Architecture: all Replaces: djc Depends: python (>= 2.4), python-central (>= 0.6.11), python-simplejson | python (>= 2.6) Breaks: djc (<= 0.8.1) Size: 24888 SHA256: 70362f36be9d1373b3e6794dca99e39a269d8ce4d87044e9dacb039ef83cc229 SHA1: cb9334d4750e5d5d4814af51072c0e8507038316 MD5sum: a3f8f386c7b362b3d404ef95477483f4 Description: Client library and command line tool to access the deejayd server This package provides easy to use classes to manipulate the deejayd server, abstracting XML message processing and network operations. It fully implements the set of features exploitable from the server. . It also includes djc, which provides a subset of deejayd commands for your deejayd server to be reachable from the command line. Homepage: http://mroy31.dyndns.org/~roy/projects/deejayd Python-Version: >= 2.4 Tag: devel::lang:python, devel::library, implemented-in::python, network::client, works-with-format::xml Section: sound Priority: extra Filename: pool/main/d/deejayd/deejayd-client_0.9.0-4_all.deb Package: deejayd-gstreamer Source: deejayd Version: 0.9.0-4 Installed-Size: 72 Maintainer: Alexandre Rossi Architecture: all Depends: python (>= 2.4), python-central (>= 0.6.11), gstreamer0.10-plugins-base, python-gst0.10 Recommends: gstreamer0.10-gnomevfs, lsdvd Size: 8820 SHA256: 583a84b4b8655727fb23031db39960a3314565eebe4392313367c2324321b73f SHA1: 450322295ff660f6bdfe412ad77924789b31857a MD5sum: 54fc93d8dca431920a6976d7e2e5c5dc Description: Deejayd GStreamer backend The deejayd media backend using the GStreamer library. Homepage: http://mroy31.dyndns.org/~roy/projects/deejayd Python-Version: >= 2.4 Tag: devel::library, implemented-in::python, role::plugin, sound::player, uitoolkit::gtk, use::playing, works-with-format::mp3, works-with::audio, works-with::video, x11::application Section: sound Priority: extra Filename: pool/main/d/deejayd/deejayd-gstreamer_0.9.0-4_all.deb Package: deejayd-webui Source: deejayd Version: 0.9.0-4 Installed-Size: 620 Maintainer: Alexandre Rossi Architecture: all Depends: python (>= 2.4), python-central (>= 0.6.11), deejayd (= 0.9.0-4), logrotate, python-twisted-web, libjs-jquery Size: 201258 SHA256: 7f792f16639ba2dc6be0353979a4ca7f0edbcffc414e7f8cb3139d6570f3116b SHA1: 8ff78b9264c4ae89d8d82861d2a292160fd69f85 MD5sum: 07aea4ca863a1c4ef498a39aaf8957de Description: Web interface for deejayd This package provides, in order to control your deejayd server: - a XUL web interface and, - a pure HTML and AJAX web interface optimized for small screens. . The required webserver is embedded in the deejayd daemon. Homepage: http://mroy31.dyndns.org/~roy/projects/deejayd Python-Version: >= 2.4 Tag: implemented-in::python, interface::web, protocol::http, protocol::tcp, role::plugin Section: sound Priority: extra Filename: pool/main/d/deejayd/deejayd-webui_0.9.0-4_all.deb Package: deejayd-webui-extension Source: deejayd Version: 0.9.0-4 Installed-Size: 492 Maintainer: Alexandre Rossi Architecture: all Depends: iceweasel Size: 72634 SHA256: ee3262a61fe4ac9810448c473928cf0bd4d08dd5e2ecbbe8df884505636b44d7 SHA1: de176a6b5b7fb3ee5fbbe1d63aac566531179c65 MD5sum: 664133092537dac5d1b4f18994f07581 Description: Deejayd web user interface Iceweasel extension The Deejayd Iceweasel browser extension provides a richer user interface to use as a client to the Deejayd server. Homepage: http://mroy31.dyndns.org/~roy/projects/deejayd Tag: role::plugin, suite::mozilla Section: sound Priority: extra Filename: pool/main/d/deejayd/deejayd-webui-extension_0.9.0-4_all.deb Package: deejayd-xine Source: deejayd Version: 0.9.0-4 Installed-Size: 156 Maintainer: Alexandre Rossi Architecture: all Depends: python (>= 2.4), python-central (>= 0.6.11), python-ctypes (>= 1.0.0) | python (>= 2.5), libxine1-x, libx11-6, libxext6 Size: 21964 SHA256: e51651eb341473ba619a5bde8efbcbdc56877bff9140a7338fcd0bb2dd2d83b1 SHA1: a124b1e000c8796a65315921171c988196123ea2 MD5sum: 758b9aa821839dc211fe3493146b186c Description: Deejayd XINE backend The deejayd media backend using the XINE library. Homepage: http://mroy31.dyndns.org/~roy/projects/deejayd Python-Version: >= 2.4 Tag: devel::lang:python, devel::library, implemented-in::python, role::plugin, use::playing, works-with::audio, works-with::video, x11::application Section: video Priority: extra Filename: pool/main/d/deejayd/deejayd-xine_0.9.0-4_all.deb Package: deets Version: 0.1.3-1 Architecture: armhf Maintainer: Clint Adams Installed-Size: 144 Depends: libc6 (>= 2.13-28), liblua5.1-0, liblua5.1-md5-0, liblua5.1-cosmo0 (>= 10.04.06) Homepage: http://deets.scru.org/ Priority: extra Section: admin Filename: pool/main/d/deets/deets_0.1.3-1_armhf.deb Size: 46164 SHA256: 6b49b1578b53350099aa0c0e3de7dc6dc14f5e5a978dd261cd81e3ccb731efae SHA1: 1fc6e4a77a7a405c95796d0e018e1009ab82e3cc MD5sum: 580d8786e73d4b369b37957376fba05c Description: decentralized model-based administration tool Deets provides a way to manage Debian packages and files on your systems using Lua-based configuration files. A specialized Lua interpreter called luau is capable of validating your specifications and optionally enforcing them. . In addition, it can scan your system and generate a crude model configuration based on the current state of the Debian package database and all conffiles. Package: default-jdk Source: java-common (0.47+deb7u2) Version: 1:1.7-47+deb7u2 Architecture: armhf Maintainer: Debian Java Mailing List Installed-Size: 1 Depends: default-jre (= 1:1.7-47+deb7u2), openjdk-7-jdk (>= 7~u3-2.1.1) Provides: java-sdk, java2-sdk, java5-sdk, java6-sdk, java7-sdk Priority: optional Section: java Filename: pool/main/j/java-common/default-jdk_1.7-47+deb7u2_armhf.deb Size: 842 SHA256: 40bd8ba83044c052fab1496ade4b8240e9584d6fdd6b3e63ce0ff2f74f4e6c34 SHA1: 6927b5cc4bb3a4e5ed42646aa2ab98ab2a66c1e1 MD5sum: 30ef101ebddfae82c878da92cbb4d6d6 Description: Standard Java or Java compatible Development Kit This package points to the Java runtime, or Java compatible development kit recommended for this architecture, which is openjdk-7-jdk for armhf. Package: default-jdk-doc Source: java-common Version: 0.47+deb7u2 Installed-Size: 36 Maintainer: Debian Java Mailing List Architecture: all Depends: openjdk-7-doc Suggests: default-jdk Size: 8522 SHA256: 4fbe9c0b6a46ca94ffc074dc6833549b55d66b7505719f22cb83b39552b90168 SHA1: 0206eda901c1162cadd5ce219f2684ad491c025b MD5sum: 3de1829f3904b8c7fb87387cdb1aa3a0 Description: Standard Java or Java compatible Development Kit (documentation) This package points to the documentation for the Java development kit, or Java compatible development kit recommended for Debian, which is openjdk-7-doc. Section: doc Priority: optional Filename: pool/main/j/java-common/default-jdk-doc_0.47+deb7u2_all.deb Package: default-jre Source: java-common (0.47+deb7u2) Version: 1:1.7-47+deb7u2 Architecture: armhf Maintainer: Debian Java Mailing List Installed-Size: 1 Depends: default-jre-headless (= 1:1.7-47+deb7u2), openjdk-7-jre (>= 7~u3-2.1.1) Provides: java-runtime, java2-runtime, java5-runtime, java6-runtime, java7-runtime Priority: optional Section: java Filename: pool/main/j/java-common/default-jre_1.7-47+deb7u2_armhf.deb Size: 844 SHA256: 384664a8fba32c475982c7ae66f29a509ca5aefb279981c608ca309c37c5f8f4 SHA1: a0d33f100e49688f7adf2e18aac8c715fe673342 MD5sum: b6f0008c483b75758c3b664b2b0bb16f Description: Standard Java or Java compatible Runtime This package points to the Java runtime, or Java compatible runtime recommended for the armhf architecture, which is openjdk-7-jre for armhf. Package: default-jre-headless Source: java-common (0.47+deb7u2) Version: 1:1.7-47+deb7u2 Architecture: armhf Maintainer: Debian Java Mailing List Installed-Size: 8 Depends: openjdk-7-jre-headless (>= 7~u3-2.1.1), java-common Suggests: default-jre Replaces: openjdk-6-jre-headless (<< 6b23~pre8-2~) Provides: java-runtime-headless, java2-runtime-headless, java5-runtime-headless, java6-runtime-headless, java7-runtime-headless Priority: optional Section: java Filename: pool/main/j/java-common/default-jre-headless_1.7-47+deb7u2_armhf.deb Size: 8818 SHA256: e99a4ab8c9c79c3c062d116a985039852ef6a1747e908f9804c7e7c87b5831d5 SHA1: 130a7be4da123c6f1dd0ff135591cf5bc2572838 MD5sum: ccf37beec993c47f3eca7c91c883381e Description: Standard Java or Java compatible Runtime (headless) This package points to the Java runtime, or Java compatible runtime recommended for this architecture, which is openjdk-7-jre-headless for armhf. The package is used as dependency for packages not needing a graphical display during runtime. Package: defendguin Version: 0.0.12-4 Architecture: armhf Maintainer: Christian T. Steigies Installed-Size: 178 Depends: libc6 (>= 2.13-28), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), defendguin-data Priority: optional Section: games Filename: pool/main/d/defendguin/defendguin_0.0.12-4_armhf.deb Size: 48306 SHA256: 35460f72ae64a4b3e975afc2fffbb5e46e20ab668f43a978dcce3ab99ceeac1a SHA1: 1229662d9eac47fdfa5bf4dcd7b4b20fe6935f7e MD5sum: 07df6f39fe8d8657ec9b17f40ef1e006 Description: defender clone with penguins "Defendguin" is based loosely on William's classic arcade game, "Defender." Some recognizable stars in the realm of modern operating systems should be fairly obvious. . A certain monopoly-owning bad guy has been cloned hundreds of times by an unknown alien race. They are now attacking earth, kidnapping little penguinoids and converting them into mutants. Helping them on their way are some other nasty alien ships, of which there are plenty... Package: defendguin-data Source: defendguin Version: 0.0.12-4 Installed-Size: 3303 Maintainer: Christian T. Steigies Architecture: all Replaces: defendguin (<< 0.0.9-1) Size: 2192842 SHA256: dac3b32d43bdec8cbaef7951ed86d08bcc1d00db645ceda09a0a0e3e873aaa4a SHA1: c978a2277232a5290ae24d9edb8ee3f462f638e6 MD5sum: 3da84a45a7c972a2aba902aebdcf76f2 Description: Data files for defendguin "Defendguin" is based loosely on William's classic arcade game, "Defender." Some recognizable stars in the realm of modern operating systems should be fairly obvious. . A certain monopoly-owning bad guy has been cloned hundreds of times by an unknown alien race. They are now attacking earth, kidnapping little penguinoids and converting them into mutants. Helping them on their way are some other nasty alien ships, of which there are plenty... . This package contains sound and image files for defendguin. Tag: game::arcade, role::app-data Section: games Priority: optional Filename: pool/main/d/defendguin/defendguin-data_0.0.12-4_all.deb Package: defoma Version: 0.11.12 Installed-Size: 564 Maintainer: Debian QA Group Architecture: all Depends: whiptail | dialog, file Recommends: libfont-freetype-perl Suggests: defoma-doc, psfontmgr, x-ttcidfont-conf Enhances: debhelper Conflicts: defoma-gs, defoma-ps, defoma-x, dfontmgr (<< 0.10.0), psfontmgr (<< 0.5.0), x-ttcidfont-conf (<< 5) Size: 99430 SHA256: e97cf46548a2318cb0b801b7643b28144c058b7020b55c39e03ae217298c82e2 SHA1: e394a508ca927e309b0ae364fb692a9b7fb6a834 MD5sum: d3ecd1c90b8b37dec186c8da87971f1c Description: Debian Font Manager -- automatic font configuration framework Defoma, which stands for DEbian FOnt MAnager, provides a framework for automatic font configuration. An application whose configuration of fonts usually requires manual intervention can automate the process through Defoma, by installing a Defoma-configuration script. The script gets called whenever a font is installed and removed, so that the script may update the application configuration. . Font packages should register their fonts to Defoma in order to have them configured automatically for applications. Tag: admin::configuring, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, use::configuring, works-with::font Section: admin Priority: optional Filename: pool/main/d/defoma/defoma_0.11.12_all.deb Package: defoma-doc Source: defoma Version: 0.11.12 Installed-Size: 220 Maintainer: Debian QA Group Architecture: all Size: 63460 SHA256: 60796defed41db8521ca831b2560fb570f35a9a465db774d05b2391f8dfb77f9 SHA1: 779c5a8fbacfafe9ec6a4318bc8b3afaf61c6052 MD5sum: 2583f37988d034f415b05055293bf043 Description: Debian Font Manager documentation Defoma, which stands for DEbian FOnt MAnager, provides a framework for automatic font configuration. . This package contains documentation for Defoma in SGML, HTML and TEXT formats. Tag: admin::configuring, interface::commandline, made-of::html, made-of::sgml, role::documentation, suite::debian, use::configuring, works-with::font Section: doc Priority: optional Filename: pool/main/d/defoma/defoma-doc_0.11.12_all.deb Package: deja-dup Version: 20.2-2.1 Architecture: armhf Maintainer: Jose Carlos Garcia Sogo Installed-Size: 4477 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgnome-keyring0 (>= 2.22.2), libgtk-3-0 (>= 3.0.0), libnautilus-extension1a (>= 2.91), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), dconf-gsettings-backend | gsettings-backend, duplicity (>= 0.6.14) Recommends: python-boto (>= 0.9d), python-rackspace-cloudfiles, ssh-client | openssh-client Homepage: https://launchpad.net/deja-dup Priority: optional Section: utils Filename: pool/main/d/deja-dup/deja-dup_20.2-2.1_armhf.deb Size: 946354 SHA256: f307bb8e68830c9e4f9fedb3c51f6966bb3c48fb89dd05e8405eb56feb0895c1 SHA1: 94ee0e2b8495358f7862f6856ebcbc79a129939d MD5sum: 6635006e3b9618ade8054cc04989cb91 Description: Backup utility Déjà Dup is a simple backup tool. It hides the complexity of backing up the Right Way (encrypted, off-site, and regular) and uses duplicity as the backend. . Features: * Support for local, remote, or cloud backup locations, such as Amazon S3 or Rackspace Cloud Files * Securely encrypts and compresses your data * Incrementally backs up, letting you restore from any particular backup * Schedules regular backups * Integrates well into your GNOME desktop Package: deja-dup-dbg Source: deja-dup Version: 20.2-2.1 Architecture: armhf Maintainer: Jose Carlos Garcia Sogo Installed-Size: 3206 Depends: deja-dup (= 20.2-2.1) Homepage: https://launchpad.net/deja-dup Priority: extra Section: debug Filename: pool/main/d/deja-dup/deja-dup-dbg_20.2-2.1_armhf.deb Size: 1104590 SHA256: 07575e324a451962bd6e489ce245af34436846e84cb58ba55999192b92346cc9 SHA1: 39b7c39908c0377592554d31b84f678086ae6cd1 MD5sum: f61a07fc87a1aec87a0c6c6db057a9fe Description: Déjà Dup debugging symbols Déjà Dup is a simple backup tool. It hides the complexity of backing up the Right Way (encrypted, off-site, and regular) and uses duplicity as the backend. . Features: * Support for local, remote, or cloud backup locations, such as Amazon S3 or Rackspace Cloud Files * Securely encrypts and compresses your data * Incrementally backs up, letting you restore from any particular backup * Schedules regular backups * Integrates well into your GNOME desktop . This package contains gdb debugging symbols for the deja-dup package. Package: dejagnu Version: 1.5-3 Installed-Size: 1657 Maintainer: Hector Oron Architecture: all Depends: dpkg (>= 1.15.4) | install-info, expect Size: 884596 SHA256: 723acab84caddbb3af8701ca966d592e6f8877fb89188ad53759bc1a9a59e7c4 SHA1: d40456d3562f115cc560fea0ddfb91f68733352a MD5sum: 7841e50cf9977511178d0bbf2a6bf93f Description: framework for running test suites on software tools DejaGnu is a framework for testing other programs. Its purpose is to provide a single front end for all tests. . DejaGnu provides a layer of abstraction which allows you to write tests that are portable to any host or target where a program must be tested. All tests have the same output format. . DejaGnu is written in `expect', which in turn uses "Tcl"--Tool command language. Tag: devel::testing-qa, implemented-in::tcl, interface::commandline, role::program, scope::utility, suite::gnu Section: devel Priority: optional Filename: pool/main/d/dejagnu/dejagnu_1.5-3_all.deb Package: delta Version: 2006.08.03-3 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 88 Depends: perl, libc6 (>= 2.4) Homepage: http://delta.tigris.org/ Priority: extra Section: devel Filename: pool/main/d/delta/delta_2006.08.03-3_armhf.deb Size: 23730 SHA256: 9bc981e08d236a1e7d801f5873bc114edbdf00d78cd5d825839c669f080a3008 SHA1: 49cf1075efded30758c62773166efc451a6c2432 MD5sum: 46bff57a497eb90b790d843cdb731895 Description: Heuristic minimizer of interesting files Delta assists you in minimizing "interesting" files subject to a test of their "interestingness". A common such situation is when attempting to isolate a small failure-inducing substring of a large input that causes your program to exhibit a bug. Package: deluge Version: 1.3.3-2+nmu1+deb7u2 Installed-Size: 93 Maintainer: Cristian Greco Architecture: all Replaces: deluge-common (<< 1.2.0~rc1-1) Depends: python, python-libtorrent (>= 0.14.9), deluge-gtk (= 1.3.3-2+nmu1+deb7u2) Breaks: deluge-common (<< 1.2.0~rc1-1) Size: 33414 SHA256: 4ff76c83af47d64e735c69edf2cc845a3bd8d00cb4a5008038b54afaafdaaad8 SHA1: 5584ee3b866804fc99a9054f6c21d26c445a15c1 MD5sum: 699d6fbda3ba105b710668c8bd590a07 Description: bittorrent client written in Python/PyGTK Deluge is a full-featured, multi-platform, multi-interface BitTorrent client using libtorrent-rasterbar in it's backend and featuring multiple user-interfaces: GTK+, web and console. . It has been designed using the client-server model with a daemon process that handles all the bittorrent activity. The Deluge daemon is able to run on headless machines with the user-interfaces being able to connect remotely from any platform. . You may want to install this package to use Deluge in classic mode, which means the daemon and the GTK+ user-interface are linked together. Homepage: http://www.deluge-torrent.org/ Section: net Priority: optional Filename: pool/main/d/deluge/deluge_1.3.3-2+nmu1+deb7u2_all.deb Package: deluge-common Source: deluge Version: 1.3.3-2+nmu1+deb7u2 Installed-Size: 5851 Maintainer: Cristian Greco Architecture: all Replaces: deluge-core (<< 1.2.0~rc1-1), deluge-torrent (<< 1.0.7.dfsg-1), deluge-torrent-common (<< 1.0.7.dfsg-1) Depends: python (>= 2.6.6-7~), python (<< 2.8), python-twisted-web, python-openssl, python-pkg-resources, python-chardet, python-xdg, python-libtorrent (>= 0.14.9), geoip-database Breaks: deluge-core (<< 1.2.0~rc1-1), deluge-torrent (<< 1.0.7.dfsg-1), deluge-torrent-common (<< 1.0.7.dfsg-1) Size: 1243898 SHA256: 1da8ba89a07a9220643363144349d8e9c2243510947ddebc83ea71e1046a6aca SHA1: 1f9e44b0bbc6f95fc8d997ed66467c5f6f344d7a MD5sum: 86d89254be1a97754e713a5eae75452b Description: bittorrent client written in Python/PyGTK (common files) Deluge is a full-featured, multi-platform, multi-interface BitTorrent client using libtorrent-rasterbar in it's backend and featuring multiple user-interfaces: GTK+, web and console. . It has been designed using the client-server model with a daemon process that handles all the bittorrent activity. The Deluge daemon is able to run on headless machines with the user-interfaces being able to connect remotely from any platform. . This package contains data files commons to both the daemon and the various user-interfaces. Homepage: http://www.deluge-torrent.org/ Section: net Priority: optional Filename: pool/main/d/deluge/deluge-common_1.3.3-2+nmu1+deb7u2_all.deb Package: deluge-console Source: deluge Version: 1.3.3-2+nmu1+deb7u2 Installed-Size: 245 Maintainer: Cristian Greco Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), deluge-common (= 1.3.3-2+nmu1+deb7u2) Size: 46126 SHA256: 20aa99888ad745b46d0b8ae36f97aec9bdfd9570bf4ce4e4e2f67463554553fa SHA1: 531d40500952015ec9772507c6c13ff9f2a6faad MD5sum: 54ac6523b13ef0b7d6ed28ca7c261a5b Description: bittorrent client written in Python/PyGTK (console ui) Deluge is a full-featured, multi-platform, multi-interface BitTorrent client using libtorrent-rasterbar in it's backend and featuring multiple user-interfaces: GTK+, web and console. . It has been designed using the client-server model with a daemon process that handles all the bittorrent activity. The Deluge daemon is able to run on headless machines with the user-interfaces being able to connect remotely from any platform. . This package contains the console user-interface. Homepage: http://www.deluge-torrent.org/ Section: net Priority: optional Filename: pool/main/d/deluge/deluge-console_1.3.3-2+nmu1+deb7u2_all.deb Package: deluge-gtk Source: deluge Version: 1.3.3-2+nmu1+deb7u2 Installed-Size: 1613 Maintainer: Cristian Greco Architecture: all Replaces: deluge (<< 1.2.0-1) Depends: python (>= 2.6.6-7~), python (<< 2.8), deluge-common (= 1.3.3-2+nmu1+deb7u2), python-glade2, python-notify, notification-daemon, librsvg2-common, xdg-utils Breaks: deluge (<< 1.2.0-1) Size: 266194 SHA256: aa3a4e80702662a71ada15a80a57f15e1a17516a8b163632b29d411b1d1db802 SHA1: 95411039346a9654b7390a4799ae6f1b693df030 MD5sum: 432d94c2d2fcdc3f4c04dbb5865a00e3 Description: bittorrent client written in Python/PyGTK (GTK+ ui) Deluge is a full-featured, multi-platform, multi-interface BitTorrent client using libtorrent-rasterbar in it's backend and featuring multiple user-interfaces: GTK+, web and console. . It has been designed using the client-server model with a daemon process that handles all the bittorrent activity. The Deluge daemon is able to run on headless machines with the user-interfaces being able to connect remotely from any platform. . You may want to install this package to use the Deluge GTK+ user-interface as a thin client and connect to a remote daemon. See: Homepage: http://www.deluge-torrent.org/ Recommends: python-pygame Section: net Priority: optional Filename: pool/main/d/deluge/deluge-gtk_1.3.3-2+nmu1+deb7u2_all.deb Package: deluge-torrent Source: deluge Version: 1.3.3-2+nmu1+deb7u2 Installed-Size: 60 Maintainer: Cristian Greco Architecture: all Depends: deluge Size: 23962 SHA256: 806590bc5e6f5740fcbe04a2ad175ebf3f411c9bebeec866af7e521ce86bb264 SHA1: fb8365418bad39d720e371caa0deee31b26792ee MD5sum: a1c7b995cadddb2eaaef4683ebc1a1f5 Description: bittorrent client (gtk ui transitional package) This is a transitional dummy package to ensure clean upgrades from old releases (the package deluge-torrent is replaced by deluge). If nothing depends on it, this package can be safely removed after upgrade. Homepage: http://www.deluge-torrent.org/ Section: net Priority: optional Filename: pool/main/d/deluge/deluge-torrent_1.3.3-2+nmu1+deb7u2_all.deb Package: deluge-web Source: deluge Version: 1.3.3-2+nmu1+deb7u2 Installed-Size: 6335 Maintainer: Cristian Greco Architecture: all Replaces: deluge-webui (<< 1.2.0~rc1-1) Depends: python (>= 2.6.6-7~), python (<< 2.8), deluge-common (= 1.3.3-2+nmu1+deb7u2), python-mako Breaks: deluge-webui (<< 1.2.0~rc1-1) Size: 1438680 SHA256: 0814937fd10e75230622b1b3ca080fcb0ce8ee36a5660c7170cc68110adf6e20 SHA1: 73772236b22205944b0f3c5782081cb8b16f6c6b MD5sum: 7807b8410151b37317d5981243bb97df Description: bittorrent client written in Python/PyGTK (web ui) Deluge is a full-featured, multi-platform, multi-interface BitTorrent client using libtorrent-rasterbar in it's backend and featuring multiple user-interfaces: GTK+, web and console. . It has been designed using the client-server model with a daemon process that handles all the bittorrent activity. The Deluge daemon is able to run on headless machines with the user-interfaces being able to connect remotely from any platform. . You may want to install this package on a remote server running the deluge daemon (package deluged). See: Homepage: http://www.deluge-torrent.org/ Section: net Priority: optional Filename: pool/main/d/deluge/deluge-web_1.3.3-2+nmu1+deb7u2_all.deb Package: deluge-webui Source: deluge Version: 1.3.3-2+nmu1+deb7u2 Installed-Size: 60 Maintainer: Cristian Greco Architecture: all Depends: deluge-web Size: 23968 SHA256: 71d4805e0e243d5ade6780b694783d5c72e6a1d6645b2af9aa9be3be6f10c4c4 SHA1: b52ddf074fa03e7c129575d44a57914e498a8e89 MD5sum: 184f7c394d4f6c312c739dc6d9b0f3d2 Description: bittorrent client (web ui transitional package) This is a transitional dummy package to ensure clean upgrades from old releases (the package deluge-webui is replaced by deluge-web). If nothing depends on it, this package can be safely removed after upgrade. Homepage: http://www.deluge-torrent.org/ Section: net Priority: optional Filename: pool/main/d/deluge/deluge-webui_1.3.3-2+nmu1+deb7u2_all.deb Package: deluged Source: deluge Version: 1.3.3-2+nmu1+deb7u2 Installed-Size: 73 Maintainer: Cristian Greco Architecture: all Depends: python, deluge-common (= 1.3.3-2+nmu1+deb7u2) Size: 25490 SHA256: 945c6011df6142bdc8d90b76a403795d932e0f5fb3ffaad0f38aa54dd45cb4e5 SHA1: aea2a3d95805b19035e1badbd7f247ad50d8286c MD5sum: 0da2ff5f15ba6dd0d9ab3c7e002ac298 Description: bittorrent client written in Python/PyGTK (daemon) Deluge is a full-featured, multi-platform, multi-interface BitTorrent client using libtorrent-rasterbar in it's backend and featuring multiple user-interfaces: GTK+, web and console. . It has been designed using the client-server model with a daemon process that handles all the bittorrent activity. The Deluge daemon is able to run on headless machines with the user-interfaces being able to connect remotely from any platform. . You may want to install this package to run the daemon application on a remote server and connect to it from any user-interface. See: Homepage: http://www.deluge-torrent.org/ Section: net Priority: optional Filename: pool/main/d/deluge/deluged_1.3.3-2+nmu1+deb7u2_all.deb Package: denemo Version: 0.9.2-3 Architecture: armhf Maintainer: Josue Abarca Installed-Size: 1094 Depends: guile-1.8-libs, libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libaubio2, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfftw3-3, libfluidsynth1, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgmp10, libgtk2.0-0 (>= 2.18.0), libgtksourceview2.0-0 (>= 2.10.0), libltdl7 (>= 2.4.2), libpango1.0-0 (>= 1.14.0), libportaudio2 (>= 19+svn20101113), librsvg2-2 (>= 2.14.4), libsamplerate0 (>= 0.1.7), libsmf0 (>= 1.3), libxml2 (>= 2.7.4), denemo-data (= 0.9.2-3), ttf-denemo (= 0.9.2-3) Recommends: denemo-doc (= 0.9.2-3), lilypond, alsa Homepage: http://www.denemo.org Priority: optional Section: sound Filename: pool/main/d/denemo/denemo_0.9.2-3_armhf.deb Size: 432116 SHA256: a6d4312afa8c0716fb43401e9e39faa2502fe4327fc9455ed99bcf47bd004259 SHA1: 99a4b3be8229e25abc8f5ec5e4e474e807118fa3 MD5sum: b956125cee9d2454316715ee688ca8d4 Description: gtk+ front end to GNU Lilypond GNU Denemo is a GUI musical score editor written in C/gtk+. It is intended primarily as a front end to GNU Lilypond, but is adaptable to other computer-music-related purposes as well. Package: denemo-data Source: denemo Version: 0.9.2-3 Installed-Size: 13782 Maintainer: Josue Abarca Architecture: all Replaces: denemo (<< 0.8.12) Breaks: denemo (<< 0.8.12) Size: 9590552 SHA256: 19f35ee26c35bc454e0dd94141c53c0db39a313cceab897b0b40dc415308aa2a SHA1: 3e282cfa831e719b5e8848b67628f619a21a82cd MD5sum: b4e237185b6718096eeab6db86116f47 Description: data for denemo GNU Denemo is a GUI musical score editor written in C/gtk+. It is intended primarily as a front end to GNU Lilypond, but is adaptable to other computer-music-related purposes as well. . This package contains the arch-independent files. Homepage: http://www.denemo.org Tag: role::app-data Section: sound Priority: optional Filename: pool/main/d/denemo/denemo-data_0.9.2-3_all.deb Package: denemo-doc Source: denemo Version: 0.9.2-3 Installed-Size: 1426 Maintainer: Josue Abarca Architecture: all Replaces: denemo (<< 0.8.12) Breaks: denemo (<< 0.8.12) Size: 1014300 SHA256: 08486d4593b73fd68c577abf592857168f3c6d1e0e9dd80fb541e40d9f48aede SHA1: ee09407f9732a69ddc2122509852c8b03c17038a MD5sum: ac2167629771a4bf655e2410a7d0d1e1 Description: documentation and examples for denemo GNU Denemo is a GUI musical score editor written in C/gtk+. It is intended primarily as a front end to GNU Lilypond, but is adaptable to other computer-music-related purposes as well. . This package contains the HTML documentation and examples. Homepage: http://www.denemo.org Tag: field::arts, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/d/denemo/denemo-doc_0.9.2-3_all.deb Package: denyhosts Version: 2.6-10+deb7u3 Installed-Size: 310 Maintainer: Kyle Willmon Architecture: all Depends: lsb-base (>= 3.1-13), python (>= 2.6.6-7~) Size: 74666 SHA256: befc8d8bbbbaeb51c240a26be7b91f30ee0a29a550c83ba19e6a48246b891f7a SHA1: fd3d6c9091d92b6db355d5c0abf89c404d440e18 MD5sum: b65a13310b98eedab8e63a5818d87b2f Description: Utility to help sys admins thwart SSH crackers DenyHosts is a program that automatically blocks SSH brute-force attacks by adding entries to /etc/hosts.deny. It will also inform Linux administrators about offending hosts, attacked users and suspicious logins. . Synchronization with a central server is possible too. . Differently from other software that do same work, denyhosts doesn't need support for packet filtering or any other kind of firewall in your kernel. . DenyHosts unfortunately does not support IPv6. Homepage: http://denyhosts.sourceforge.net/ Section: net Priority: optional Filename: pool/main/d/denyhosts/denyhosts_2.6-10+deb7u3_all.deb Package: depqbf Version: 0.1-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 96 Depends: libc6 (>= 2.4) Homepage: http://fmv.jku.at/depqbf/ Priority: extra Section: interpreters Filename: pool/main/d/depqbf/depqbf_0.1-1_armhf.deb Size: 36266 SHA256: 83e184beadd6d9cdceb8671caff4d745ba540bc662596cabdb34f6c4714a4d4d SHA1: 31051b3b1f7170539ddba3ed4a2fb958f036b904 MD5sum: bc65d3cba8780ff667bcd25ea2bec1cf Description: solver for quantified boolean formulae DepQBF is a search-based solver for quantified boolean formulae (QBF) in prenex conjunctive normal form. It is based on the DPLL algorithm for QBF with conflict-driven clause and solution-driven cube learning. By analyzing the structure of a formula, DepQBF tries to identify independent variables. In addition to other benefits, this often increases freedom for decision making. Package: deps-tools-cli Source: deps Version: 0.13-1.1 Installed-Size: 48 Maintainer: Yann Dirson Architecture: all Replaces: graph-includes (<< 0.12) Depends: libdeps-perl, libdeps-renderer-dot-perl | libdeps-renderer, perl Conflicts: graph-includes (<< 0.12) Size: 6212 SHA256: 3e94baa884f58c2575e75d09d534acfc16e7cbac7094451e396867b0f8a699ea SHA1: 42a6c7f2cbe9795ee27047b19020fb8bc0cb8fb6 MD5sum: cf0047b4d5199f6c483c992d4682aab4 Description: DEPS command-line tools Currently only contains the limited "graph-includes" tool. . Graph-includes creates a graph of dependencies between source-files and/or groups of source-files, with an emphasis on getting readable and usable graphs even for large projects. . Usability of the dependency graphs are currently improved by: - customizable grouping of several source files into a single node - transitive reduction of the graph . It currently supports graphing the C/C++ #include relationship, using graphviz by default. Limited support is provided to draw 3D graphs using tulip. Section: devel Priority: optional Filename: pool/main/d/deps/deps-tools-cli_0.13-1.1_all.deb Package: derivations Version: 0.53.20120414-1.1 Installed-Size: 4807 Maintainer: Thaddeus H. Black Architecture: all Suggests: evince | gv | pdf-viewer | postscript-viewer, ghostscript Size: 4475480 SHA256: aa3358e476d212def4b62cbdbb61a1e989792a0c9b786f1755e743bbd7c4eb71 SHA1: fba4542cf0152fe19afcbc9dfda89c57a68246b1 MD5sum: cd0678369f290b3c9d56e9d31731269c Description: book: Derivations of Applied Mathematics Understandably, program sources rarely derive the mathematical formulas they use. Not wishing to take the formulas on faith, a user might nevertheless reasonably wish to see such formulas somewhere derived. . Derivations of Applied Mathematics is a book which documents and derives many of the mathematical formulas and methods implemented in free software or used in science and engineering generally. It documents and derives the Taylor series (used to calculate trigonometrics), the Newton-Raphson method (used to calculate square roots), the Pythagorean theorem (used to calculate distances) and many others. Tag: field::mathematics, made-of::pdf, made-of::tex, role::data, role::documentation, role::examples, use::analysing, use::calculating, use::learning Section: doc Priority: optional Filename: pool/main/d/derivations/derivations_0.53.20120414-1.1_all.deb Package: desklaunch Version: 1.1.8 Architecture: armhf Maintainer: Stefan Pfetzing Installed-Size: 58 Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4 Priority: optional Section: x11 Filename: pool/main/d/desklaunch/desklaunch_1.1.8_armhf.deb Size: 9742 SHA256: a1b4448cd0ad435c0865cce3ed861310937aaaa92cee5511630ab791b93fefa8 SHA1: d133e55466255ca3ff4e4559ae925f52218e5c9c MD5sum: 99d0df34951d192fa10dba9d41f2e221 Description: A small utility for creating desktop icons DeskLaunch is a small utility for creating desktop icons using pixmaps. A simple click will launch the desired application. Package: deskmenu Version: 1.4.5 Architecture: armhf Maintainer: Stefan Pfetzing Installed-Size: 75 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxext6 Suggests: menu Priority: optional Section: x11 Filename: pool/main/d/deskmenu/deskmenu_1.4.5_armhf.deb Size: 16924 SHA256: e6e952642755702d57795f73ea43259d873d41b7f5cda92e16a98e63d4020241 SHA1: 12cf80710da0e2dfc1850754db5c2971168ee855 MD5sum: 1bab61184023f17d66a0168afbd46270 Description: A root menu for X11 window managers DeskMenu is a root menu program which is activated by clicking the root window. It is configured from a .deskmenurc file in your home directory. DeskMenu is useful for window managers which do not provide a menu such as Oroborus. Package: desktop-base Version: 7.0.3 Installed-Size: 10924 Maintainer: Gustavo Franco Architecture: all Depends: librsvg2-common Pre-Depends: dpkg (>= 1.15.7.2~) Suggests: gnome | kde-standard | xfce4 | wmaker Size: 8076444 SHA256: 500c199683e533de55b37fadfa3da298e568b30218c4b72b4a287c2c915f3727 SHA1: 6b81780c1ba99df5c0a3066baeb2fa33f5d26e93 MD5sum: f3690e623f433a2492af2efa0e248b46 Description: common files for the Debian Desktop This package contains various miscellaneous files which are used by Debian Desktop installations. Currently, it provides some Debian-related artwork and themes, .desktop files containing links to Debian related material (suitable for placement on a user's desktop), and other common files between the available desktop environments such as GNOME and KDE. Homepage: http://www.debian.org/devel/debian-desktop Tag: interface::x11, made-of::icons, role::app-data, suite::debian Section: x11 Priority: optional Filename: pool/main/d/desktop-base/desktop-base_7.0.3_all.deb Package: desktop-file-utils Version: 0.20-0.1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 230 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.28.0) Priority: optional Section: devel Filename: pool/main/d/desktop-file-utils/desktop-file-utils_0.20-0.1_armhf.deb Size: 90698 SHA256: 6326825145d54794db37970a2d8a98fec40419702e7a2a625d585d54decda8bd SHA1: 25e13452ad8b6df72f8c553568408da10db2ab77 MD5sum: 9f988c4e83e093122c98585ca46fa737 Description: Utilities for .desktop files Some utilities to make dealing with .desktop files easier: * update-desktop-database -- update the desktop-MIME mapping * desktop-file-validate -- validate a desktop file * desktop-file-install -- install a desktop file, munging en route. Package: desktop-profiles Version: 1.4.15+nmu2 Installed-Size: 506 Maintainer: Bart Cornelis (cobaco) Architecture: all Depends: debconf (>= 0.5) | debconf-2.0 Suggests: kommander, gconf-editor, kiosktool, menu-xdg, hicolor-icon-theme, shared-mime-info, pessulus Enhances: gconf, gconf2, kdebase, libxfce4util-1 (>= 4.2), rox-filer, ude Size: 79304 SHA256: 36a2d26ad330e451c90212ce6e573bdd53221f38031dc5e812b8a0cb1921fe11 SHA1: 8e867b0039b6af54fdce4e610373bb4fa3e37cb4 MD5sum: e8cbe55ef279fd0ed2e7e8b54ea6de3a Description: framework for setting up desktop profiles The different Desktop environments in Debian all offer the possibility of customizing them through the use of profiles (sets of configuration and/or data files). Usually it's also possible to stack configuration sets, combining the customizations provided by a number of profiles. . This package offers a standard cross-desktop way of managing the conditional activation of available profiles. As such it is useful to both administrators (allowing different configurations for different sets of users) and CDD's (who want to have a configuration customized for a certain target group). . This package currently supports setting up profiles for KDE, GNOME, ROX, Xfce (>=4.2), GNUSTEP, UDE, and Freedesktop. Freedesktop profiles allow you to do a (growing amount of) cross-desktop customization, while the other profile kinds allow you to customize the respective desktop environments to various degrees. Tag: admin::configuring, role::app-data, use::configuring Section: x11 Priority: optional Filename: pool/main/d/desktop-profiles/desktop-profiles_1.4.15+nmu2_all.deb Package: desktopnova Version: 0.8.1-1 Architecture: armhf Maintainer: Stefan Haller Installed-Size: 396 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), psmisc Recommends: desktopnova-module-gnome | desktopnova-module-xfce, desktopnova-tray Conflicts: gwallpapers Replaces: gwallpapers Homepage: http://launchpad.net/desktopnova Priority: optional Section: misc Filename: pool/main/d/desktopnova/desktopnova_0.8.1-1_armhf.deb Size: 83574 SHA256: 2719fe6b3378c6ca9e1c9c2c453f08206589fdc871b7beee9cd2b2a2a7cacc70 SHA1: 412f41b01c48c493879aeb1c0896439fa7e3eb4b MD5sum: d8d756a0f7e7addb5621213315a91748 Description: utility that changes the wallpaper automatically DesktopNova changes the desktop background image after an adjustable time. It can choose the images from single files and folders (with or without subfolders). An autostart feature is also implemented. . This package contains the graphical user interface and background daemon. . There is at least one module needed. Without a module this package will not work as expected! See packages desktopnova-module-*. . There is also an additional tray icon available. See package desktopnova-tray. Package: desktopnova-module-gnome Source: desktopnova Version: 0.8.1-1 Architecture: armhf Maintainer: Stefan Haller Installed-Size: 166 Depends: gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.12.0), desktopnova (= 0.8.1-1) Conflicts: gwallpapers-module-gnome Replaces: gwallpapers-module-gnome Homepage: http://launchpad.net/desktopnova Priority: optional Section: misc Filename: pool/main/d/desktopnova/desktopnova-module-gnome_0.8.1-1_armhf.deb Size: 8802 SHA256: f024890131bca02c42453b3b7204150548768bd4dcbb5f69f567934e5c0f3e94 SHA1: adc245179e5d569d4ff26ccd5f3848b4e1f91e0d MD5sum: 8678519371b9b20f30ca8810188006e2 Description: GNOME module for DesktopNova DesktopNova changes the desktop background image after an adjustable time. It can choose the images from single files and folders (with or without subfolders). An autostart feature is also implemented. . This package enables GNOME support for DesktopNova. Package: desktopnova-module-xfce Source: desktopnova Version: 0.8.1-1 Architecture: armhf Maintainer: Stefan Haller Installed-Size: 180 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.12.0), libxfconf-0-2 (>= 4.6.0), desktopnova (= 0.8.1-1) Conflicts: gwallpapers-module-xfce Replaces: gwallpapers-module-xfce Homepage: http://launchpad.net/desktopnova Priority: optional Section: misc Filename: pool/main/d/desktopnova/desktopnova-module-xfce_0.8.1-1_armhf.deb Size: 14732 SHA256: 749677ea5b66f94564a118966659bb78ba83a914c19146b6f8ee5e833f2a0f00 SHA1: c1269a1954847c43c9416370cd6d182a1e704b50 MD5sum: 2a4d214567418f4970f1465824a127f0 Description: Xfce module for DesktopNova DesktopNova changes the desktop background image after an adjustable time. It can choose the images from single files and folders (with or without subfolders). An autostart feature is also implemented. . This package enables Xfce support for DesktopNova. Package: desktopnova-tray Source: desktopnova Version: 0.8.1-1 Architecture: armhf Maintainer: Stefan Haller Installed-Size: 206 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), desktopnova (= 0.8.1-1) Conflicts: gwallpapers-tray Replaces: gwallpapers-tray Homepage: http://launchpad.net/desktopnova Priority: optional Section: misc Filename: pool/main/d/desktopnova/desktopnova-tray_0.8.1-1_armhf.deb Size: 23216 SHA256: 8191ae8d3d444477a0d7ffcb219c99acb9edfeaba4241b8d7421cff2fff8d0e9 SHA1: 66b42bd8660e534c51a146ac58efb548c8a9bf7d MD5sum: 2b553ad14ec68c533b74fcdfa17cb752 Description: tray icon for DesktopNova DesktopNova changes the desktop background image after an adjustable time. It can choose the images from single files and folders (with or without subfolders). An autostart feature is also implemented. . This package installs a tray icon for DesktopNova. Package: desmume Version: 0.9.8-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 4344 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.24.0), libgtkglext1, libosmesa6 (>= 6.5.2-1) | libgl1-mesa-glide3, libpango1.0-0 (>= 1.14.0), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), libtinyxml2.6.2, zlib1g (>= 1:1.1.4) Homepage: http://desmume.org/ Priority: extra Section: games Filename: pool/main/d/desmume/desmume_0.9.8-1_armhf.deb Size: 1509154 SHA256: 818e07d86baa8c16a9a70c19a973a8841cce05a9398f4f4e50caa85813475e46 SHA1: d09d9ebb1560ca80af73de9b1143355f037acc23 MD5sum: 7c0a052dba7b4371b132d5c057f45ba4 Description: Nintendo DS emulator DeSmuME is a Nintendo DS emulator running homebrew demos and commercial games. . This package includes all three binaries: * desmume: gtk user interface; * desmume-glade: gtk-glade user interface; * desmume-cli: command line user interface. Package: desproxy Version: 0.1.0~pre3-8 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 169 Depends: libc6 (>= 2.4) Homepage: http://sourceforge.net/projects/desproxy Priority: optional Section: net Filename: pool/main/d/desproxy/desproxy_0.1.0~pre3-8_armhf.deb Size: 51280 SHA256: ec4c2226a9a1dab8f77d6e672b08e3bed511a88c0cb11527da41e7675949fe95 SHA1: 4a0aa630e813204a140f74fd968e6eca22bf8713 MD5sum: 73ed45ec2cc486694a0600aeeb27a676 Description: tunnel TCP traffic through a HTTP proxy Browsers (in general HTTP clients) use HTTP proxies to request web pages. The proxy forwards those request to the destination server. All the negotiation is done via the HTTP protocol, which is designed just to carry HTTP requests and no generic (TCP/IP) traffic. That is why you can't (normally) use Internet applications beside your browser if you are behind a HTTP proxy. . That is what desproxy is good for. Desproxy is a TCP tunnel, which means desproxy can forward TCP/IP traffic via a HTTP proxy. Desproxy uses a HTTP/1.1 method (CONNECT) to establish TCP/IP connections on demand. CONNECT is used for SSL connections when accessing to secure sites. So if you can access sites that support SSL (www.hotmail.com for example) you can use desproxy. Package: detox Version: 1.2.0-5 Architecture: armhf Maintainer: Patrick Schoenfeld Installed-Size: 175 Depends: libc6 (>= 2.7) Homepage: http://detox.sourceforge.net Priority: extra Section: utils Filename: pool/main/d/detox/detox_1.2.0-5_armhf.deb Size: 43408 SHA256: cfca0304384d1ca25a8329c23d8d8b91e7d497fc579178ea9ea7ff34c879078d SHA1: ea10fa8e32e5ad3c0f6e65f227bab425be112a47 MD5sum: a22cb0574acfdcaa88e909ceb74a3f24 Description: utility to replace problematic characters in filenames Detox is a utility designed to clean up filenames. It replaces difficult to work with characters, such as spaces, with standard equivalents. It will also clean up filenames with UTF-8 or Latin-1 (or CP-1252) characters in them. . Features: . * Removal or replacement of upper ASCII Latin-1 (ISO 8859-1) characters * Removal or replacement of UTF-8 encoded Unicode characters. * Removal or replacement of spaces and other potentially tricky characters * Trimming of excessive "_" and "-"s * Directory recursion, dry runs, verbose listings . It is designed with safety in mind. It won't overwrite a file that already exists, and it doesn't touch special files if not requested. Package: deutex Version: 4.4.902-13 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 314 Depends: libc6 (>= 2.13-28) Recommends: boom-wad | doom-wad Suggests: doom-engine Provides: doom-wad-editor Homepage: http://www.teaser.fr/~amajorel/deutex/ Priority: optional Section: games Filename: pool/main/d/deutex/deutex_4.4.902-13_armhf.deb Size: 179608 SHA256: 5909c1ba2ce763151a4bd21fd0ffce0614f3eb7a89e4744fb98cb15e2232299e SHA1: 7715f1d9d7ee23b6bf8d80ec31e353add4b20cf1 MD5sum: 9e59b78a63b6057d708ab8bddabbd0e6 Description: composition tool for doom-style WAD files DEU's Texture Companion (DeuTex) is a resource editor that can extract and insert graphics, sounds, levels and other resources in doom-format WAD (where's all the data?) files. . DeuTex is command-line oriented and is most useful for assembling WAD files as part of a build procedure, such as via Makefile. Package: devede Version: 3.22.0-1 Installed-Size: 3866 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: dvdauthor, ffmpeg (>= 4:0.7.2), genisoimage, imagemagick, libavcodec-extra-53, libavformat-extra-53, libavutil-extra-51, libpostproc-extra-52, libswscale-extra-2, libvorbis0a, libvorbisfile3, mencoder, mplayer, python-cairo, python-dbus, python-gobject, python-gtk2 (>= 2.16.0), ttf-freefont, vcdimager, python (>= 2.6.6-7~) Recommends: libmp3lame0 Size: 2211920 SHA256: d9866a72394b399d4834aebf3b41c0cfd71ca33b55532022cb8618d39f145d3a SHA1: fb00e6b74e9760db97c22107aefe78d9bb5467fb MD5sum: 771b366abb3ad9bfb3d1acb783853464 Description: simple application to create Video DVDs DeVeDe is a program to create video DVDs, suitables for home players, from any number of video files, in any of the formats supported by Mplayer. . It allows user to create subtitles and even menus. Homepage: http://www.rastersoft.com/programas/devede.html Section: video Priority: optional Filename: pool/main/d/devede/devede_3.22.0-1_all.deb Package: develock-el Version: 0.39-1 Installed-Size: 144 Maintainer: Mehdi Dogguy Architecture: all Depends: emacs | emacsen Size: 19452 SHA256: c5d5139c40489a1135733c52fcb046cd5db681036227daa117d3a64fd7064164 SHA1: 8d53dd4026abcc273087d2e1cf714da51fb08d22 MD5sum: 6216be645b2f899ed02954eb70617354 Description: additional font-lock keywords for the developers on Emacs Develock is a minor mode which provides the ability to make font- lock highlight leading and trailing whitespace, long lines and oddities in the file buffer for Lisp modes, ChangeLog mode, Texinfo mode, C modes, OCaml modes, Coq mode, LaTeX mode, Java mode, Jde-mode, CPerl mode, Perl mode, HTML modes and some Mail modes. Homepage: http://www.jpl.org/elips/ Tag: devel::lang:c, devel::lang:java, devel::lang:php, implemented-in::lisp, role::plugin, suite::emacs, use::viewing, works-with-format::html, works-with-format::tex, works-with::software:source, works-with::text Section: lisp Priority: optional Filename: pool/main/d/develock-el/develock-el_0.39-1_all.deb Package: developers-reference Version: 3.4.9 Installed-Size: 1046 Maintainer: Developers Reference Maintainers Architecture: all Recommends: debian-policy Suggests: doc-base Size: 687782 SHA256: 3945ceb6573a3a2350d164198258ae9027e3c80eeb7a26008b805fed01fc5624 SHA1: 0107455cf9be1cc58397e15a6d16d52476627718 MD5sum: 6f28011cc0166e2ad86e8a771d177417 Description: guidelines and information for Debian developers This package contains the Debian Developer's Reference, a set of guidelines and best practices which has been established by and for the community of Debian developers. If you are not a Debian developer, you probably do not need this package. Table of Contents: . 1. Scope of This Document 2. Applying to Become a Maintainer 3. Debian Developer's Duties 4. Resources for Debian Developers 5. Managing Packages 6. Best Packaging Practices 7. Beyond Packaging 8. Internationalization and Translations . This package contains the English version of the Developer's Reference. The French, German and Japanese translations are available in developers-reference-fr, developers-reference-de and developers-reference-ja. Tag: devel::debian, devel::doc, devel::packaging, made-of::html, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/developers-reference/developers-reference_3.4.9_all.deb Package: developers-reference-de Source: developers-reference Version: 3.4.9 Installed-Size: 1152 Maintainer: Developers Reference Maintainers Architecture: all Recommends: debian-policy Suggests: doc-base Size: 764864 SHA256: fd52bdbee6e291409218104479dbd63dddfec583a6fcbc2abba6f6a2a85d7fe0 SHA1: 5eeee38798e7d3779e035dec99bd44afd958dace MD5sum: 92de4cc3093417e28127802cbc9adbac Description: guidelines and information for Debian developers, in German This package contains the German translation of Debian Developer's Reference (package: developers-reference), a set of guidelines and best practices which has been established by and for the community of Debian developers. If you are not a Debian developer, you probably do not need this package. Table of Contents (in English): . 1. Scope of This Document 2. Applying to Become a Maintainer 3. Debian Developer's Duties 4. Resources for Debian Developers 5. Managing Packages 6. Best Packaging Practices 7. Beyond Packaging 8. Internationalization and Translations Tag: culture::german, devel::doc, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/developers-reference/developers-reference-de_3.4.9_all.deb Package: developers-reference-fr Source: developers-reference Version: 3.4.9 Installed-Size: 1150 Maintainer: Developers Reference Maintainers Architecture: all Recommends: debian-policy Suggests: doc-base Size: 746032 SHA256: 7fde109fa842c0826f7f0c44b71f6eaa805fd160abcad661d4a8deb176eeb92f SHA1: 0a47f36d6b57853a36ace9fe90a792e3c46a340b MD5sum: 7b1e001b167d87b72af44b700264fdb9 Description: guidelines and information for Debian developers, in French This package contains the French translation of Debian Developer's Reference (package: developers-reference), a set of guidelines and best practices which has been established by and for the community of Debian developers. If you are not a Debian developer, you probably do not need this package. Table of Contents (in English): . 1. Scope of This Document 2. Applying to Become a Maintainer 3. Debian Developer's Duties 4. Resources for Debian Developers 5. Managing Packages 6. Best Packaging Practices 7. Beyond Packaging 8. Internationalization and Translations Tag: culture::french, devel::debian, devel::doc, devel::packaging, made-of::html, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/developers-reference/developers-reference-fr_3.4.9_all.deb Package: developers-reference-ja Source: developers-reference Version: 3.4.9 Installed-Size: 1619 Maintainer: Developers Reference Maintainers Architecture: all Recommends: debian-policy Suggests: doc-base Size: 1178492 SHA256: 2c94d563e086bdab2ca5d3d999fc90370527a9e3d3fa4c6b156fae3bab009883 SHA1: 00d14deb3c07491da3d904036ea217713a9939ae MD5sum: 8713c338ffc03d3f59deb301df5777ee Description: guidelines and information for Debian developers, in Japanese This package contains the Japanese translation of Debian Developer's Reference (package: developers-reference), a set of guidelines and best practices which has been established by and for the community of Debian developers. If you are not a Debian developer, you probably do not need this package. Table of Contents (in English): . 1. Scope of This Document 2. Applying to Become a Maintainer 3. Debian Developer's Duties 4. Resources for Debian Developers 5. Managing Packages 6. Best Packaging Practices 7. Beyond Packaging 8. Internationalization and Translations Tag: culture::japanese, devel::debian, devel::doc, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/developers-reference/developers-reference-ja_3.4.9_all.deb Package: devhelp Version: 3.4.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 128 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdevhelp-3-0 (>= 2.91.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.0.0), libjavascriptcoregtk-3.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libwebkitgtk-3.0-0 (>= 1.3.10) Recommends: libgtk-3-doc, libglib2.0-doc, libpango1.0-doc Homepage: http://live.gnome.org/devhelp Priority: optional Section: devel Filename: pool/main/d/devhelp/devhelp_3.4.1-1_armhf.deb Size: 42128 SHA256: d0ac0c04aab22c68f5d675d6b6ea69b79a5a3e8759d71b216d45ddd151d8fcf8 SHA1: 37c5c913b2b4221163eab645027436330e6cc086 MD5sum: 349f296d5115c4fa2738c91b65190995 Description: GNOME developers help program Devhelp's primary goal is to be an API documentation browser for GNOME. It is able to scan for .devhelp files generated automatically for packages that use gtk-doc-tools to generate documentation for libraries and programs. . Devhelp provides some integration capabilities, which is used to allow command-line searches, emacs integration and embedding in applications like the Anjuta IDE. Package: devhelp-common Source: devhelp Version: 3.4.1-1 Installed-Size: 1692 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libdevhelp-1-0 (<< 2.27.92-1) Depends: gconf2 (>= 2.28.1-2), python (>= 2.6.6-7~) Size: 254240 SHA256: 3d0046862380edda0ff29ffaa8fb282a52f20bb6cc5a5d68a2d88e14084ee236 SHA1: 1fba7b962c40c13f2739f8446e5952337778ff28 MD5sum: e4cef46c0ce03d154c23288d1d70130b Description: Common files for devhelp and its library Devhelp's primary goal is to be an API documentation browser for GNOME. It is able to scan for .devhelp files generated automatically for packages that use gtk-doc-tools to generate documentation for libraries and programs. . Devhelp provides Bonobo functionality, which is used to allow command-line searches, emacs integration and embedding in applications like the Anjuta IDE. . This package provides internationalization files, mainly. Homepage: http://live.gnome.org/devhelp Tag: devel::doc, interface::x11, made-of::html, protocol::http, protocol::ssl, role::app-data, role::documentation, suite::gnome, uitoolkit::gtk, works-with-format::html, works-with::text, x11::application Section: devel Priority: optional Filename: pool/main/d/devhelp/devhelp-common_3.4.1-1_all.deb Package: device-tree-compiler Version: 1.3.0-4 Architecture: armhf Maintainer: Hector Oron Installed-Size: 461 Depends: libc6 (>= 2.13-28) Homepage: http://git.jdl.com/gitweb/?p=dtc.git Priority: extra Section: devel Filename: pool/main/d/device-tree-compiler/device-tree-compiler_1.3.0-4_armhf.deb Size: 360368 SHA256: 6282e8a7faf733d0c999ea6abf0b3a47c0e8f7ce72448c780789f709304b9849 SHA1: d17ddf23b4a506ceb24b7d79d99e158478c2629a MD5sum: ab0b31705ea4431dabecb944348b0dd2 Description: Device Tree Compiler for Flat Device Trees Device Tree Compiler, dtc, takes as input a device-tree in a given format and outputs a device-tree in another format for booting kernels on embedded systems. . Typically, the input format is "dts", a human readable source format, and creates a "dtb", or binary format as output. Package: device3dfx-source Source: device3dfx Version: 2011.07.03-1 Installed-Size: 60 Maintainer: Guillem Jover Architecture: all Depends: module-assistant, make, debhelper (>= 7) Recommends: kernel-package Size: 24986 SHA256: c6fb1f7af5670967905e97fc4a77677b90b07d4c5ea79133eb00d8432c67fe64 SHA1: c4d635a159b3f0d0ce2b14e61f2538be40badaaf MD5sum: b175b34c6d05dba3370b433aa80e518f Description: Linux 2.2+ device driver source for 3Dfx boards This package is the source for the 3Dfx device driver to allow access to 3Dfx boards and setting its MTRR:s correctly without the user having root privileges. . It should work on Linux 2.2+ kernels, including SMP enabled kernels. Homepage: http://glide.sf.net/ Tag: admin::kernel, hardware::video, implemented-in::c, role::source, use::driver Section: kernel Priority: extra Filename: pool/main/d/device3dfx/device3dfx-source_2011.07.03-1_all.deb Package: devilspie Version: 0.22-2 Architecture: armhf Maintainer: Andreas Rönnquist Installed-Size: 106 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libwnck22 (>= 2.30.0-3), libx11-6 Homepage: http://burtonini.com/blog/computers/devilspie Priority: optional Section: gnome Filename: pool/main/d/devilspie/devilspie_0.22-2_armhf.deb Size: 35446 SHA256: 33df174766489c8805bcea548ab63ac7f8469dc9181cc48cb420a783c01ff7b1 SHA1: 9591114758419ab101cf48cf1ea288c2aaf39801 MD5sum: e6ca21ef27458adea8590494a356fb63 Description: find windows and perform actions on them This tool will find windows as they are created and perform actions on them, such as resizing, moving to another workspace, or pinning them to all workspaces. Package: devilspie2 Version: 0.20-1 Architecture: armhf Maintainer: Andreas Rönnquist Installed-Size: 105 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk-3-0 (>= 3.0.0), liblua5.1-0, libwnck-3-0 (>= 2.91.6), libx11-6 Homepage: http://www.gusnan.se/devilspie2 Priority: optional Section: gnome Filename: pool/main/d/devilspie2/devilspie2_0.20-1_armhf.deb Size: 19118 SHA256: c7ef18a15014948269c1967346c9a6b47a076baabdb41b973681ea772e597416 SHA1: 34f002bda2be581fe190c3c179f18fdf61ea573c MD5sum: 61f463e0290a5c04f3ed7155ae24cc76 Description: LUA-based window matching utility Devilspie2 is a window matching utility, allowing the user to perform scripted actions on windows as they are created. For example you can script a terminal program to always be positioned at a specific screen position, or position a window on a specific workspace. . It is a continuation of Ross Burtons project Devilspie, with the most significant change that the symbolic expressions of that project are replaced with a LUA interpreter. Package: devio Version: 1.2-1 Architecture: armhf Maintainer: Rod Whitby Installed-Size: 70 Depends: libc6 (>= 2.4) Priority: optional Section: utils Filename: pool/main/d/devio/devio_1.2-1_armhf.deb Size: 17166 SHA256: 1f28e21dd7567794399b9d8219ce952e7092e1b86fdc23421713ca8cd018040c SHA1: 0e93a478f2bfde54b2c712926e75bc0446538b82 MD5sum: 95f57ab01e934b5ceceda3ed7fe8ac5b Description: correctly read (or write) a region of a block device devio is a command line program to read correctly from mtd character (and other block) devices. devio allows access to specific regions of the device and allows output of data from specific locations. The primary difference between devio and other command line utilities, such as dd and cat, is that it is not stream based - it writes directly into the object rather than reading and writing a stream of data. Package: devrplay3 Source: rplay Version: 3.3.2-14 Architecture: armhf Maintainer: Thorsten Alteholz Installed-Size: 72 Depends: libc6 (>= 2.13-28), librplay3 (>= 3.3.2-2), dpkg (>= 1.15.4) | install-info Breaks: rplay (<< 3.3.2-9) Replaces: rplay (<< 3.3.2-9) Homepage: http://rplay.doit.org/ Priority: optional Section: sound Filename: pool/main/r/rplay/devrplay3_3.3.2-14_armhf.deb Size: 31824 SHA256: adcc2410f3ad0f5bc6005a63b706221dcb2fee51f9b1a2bfce54954a68521f01 SHA1: a0999153cf64acbadd6f93ef516cff0c5551c990 MD5sum: e0cc1a59e31467ab7fc9db1c7059a05a Description: rplay network audio system - basic library This package contains the basic rplay library (devrplay). . RPlay allows sounds to be played to and from local and remote Unix systems. Sounds can be played with or without sending audio data over the network using either UDP or TCP/IP. RPlay audio servers can be configured to share sound files with each other. . Support for RPlay is included in several applications. These include xpilot, xlockmore, xboing, fvwm, and ctwm. Package: devscripts Version: 2.12.6+deb7u2 Architecture: armhf Maintainer: Devscripts Devel Team Installed-Size: 1692 Depends: dpkg-dev (>= 1.15.4.1), perl, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28) Recommends: at, curl | wget, dctrl-tools, dput | dupload, fakeroot, gnupg, libdistro-info-perl, libjson-perl, libparse-debcontrol-perl, liburi-perl, libwww-perl, lintian, man-db, patch, patchutils, python-debian (>= 0.1.15), python-magic, sensible-utils, strace, unzip, wdiff, xz-utils, debian-keyring, equivs, libcrypt-ssleay-perl, libsoap-lite-perl Suggests: bsd-mailx | mailx, build-essential, cvs-buildpackage, devscripts-el, gnuplot, libauthen-sasl-perl, libfile-desktopentry-perl, libnet-smtp-ssl-perl, libterm-size-perl, libtimedate-perl, libyaml-syck-perl, mutt, ssh-client, svn-buildpackage, w3m Breaks: ubuntu-dev-tools (<< 0.124~) Replaces: ubuntu-dev-tools (<< 0.124~) Priority: optional Section: devel Filename: pool/main/d/devscripts/devscripts_2.12.6+deb7u2_armhf.deb Size: 878856 SHA256: 2e85f960666156d3ec62660c6a88577a053866b4dad3bae74cc24a02d0c7c00a SHA1: 43bd29406acb936623175cfeffa2c2517a5ecd89 MD5sum: 0db53ca2272a647ffbfecfb6e1590e87 Description: scripts to make the life of a Debian Package maintainer easier Contains the following scripts, dependencies/recommendations shown in brackets afterwards: . - annotate-output: run a command and prepend time and stream (O for stdout, E for stderr) for every line of output - archpath: print tla/Bazaar package names [tla | bazaar] - bts: a command-line tool for manipulating the BTS [www-browser, libauthen-sasl-perl, libnet-smtp-ssl-perl, libsoap-lite-perl, libwww-perl, bsd-mailx | mailx] - build-rdeps: Searches for all packages that build-depend on a given package [dctrl-tools] - chdist: tool to easily play with several distributions [dctrl-tools] - checkbashisms: check whether a /bin/sh script contains any common bash-specific contructs - cowpoke: upload a Debian source package to a cowbuilder host and build it, optionally also signing and uploading the result to an incoming queue [ssh-client] - cvs-debi, cvs-debc: wrappers around debi and debc respectively (see below) which allow them to be called from the CVS working directory. [cvs-buildpackage] - cvs-debrelease: wrapper around debrelease which allows it to be called from the CVS working directory. [cvs-buildpackage, dupload | dput, ssh-client] - cvs-debuild: A wrapper for cvs-buildpackage to use debuild as its package building program. [cvs-buildpackage, fakeroot, lintian, gnupg] - dcmd: run a given command replacing the name of a .changes or .dsc file with each of the files referenced therein - dcontrol: remotely query package and source control files for all Debian distributions. [liburl-perl, libwww-perl] - dd-list: given a list of packages, pretty-print it ordered by maintainer - debc: display the contents of just-built .debs - debchange/dch: automagically add entries to debian/changelog files [libparse-debcontrol-perl, libsoap-lite-perl] - debcheckout: checkout the development repository of a Debian package - debclean: purge a Debian source tree [fakeroot] - debcommit: commit changes to cvs, darcs, svn, svk, tla, bzr, git, or hg, basing commit message on changelog [cvs | darcs | subversion | svk | tla | bzr | git-core | mercurial] - debdiff: compare two versions of a Debian package to check for added and removed files [wdiff, patchutils] - debi: install a just-built package - debpkg: dpkg wrapper to be able to manage/test packages without su - debrelease: wrapper around dupload or dput [dupload | dput, ssh-client] - debsign, debrsign: sign a .changes/.dsc pair without needing any of the rest of the package to be present; can sign the pair remotely or fetch the pair from a remote machine for signing [gnupg, debian-keyring, ssh-client] - debsnap: grab packages from http://snapshot.debian.org [libwww-perl, libjson-perl] - debuild: wrapper to build a package without having to su or worry about how to invoke dpkg to build using fakeroot. Also deals with common environment problems, umask etc. [fakeroot, lintian, gnupg] - deb-reversion: increases a binary package version number and repacks the archive - dep3changelog: generate a changelog entry from a DEP3-style patch header - desktop2menu: produce a skeleton menu file from a freedesktop.org desktop file [libfile-desktopentry-perl] - dget: downloads Debian source and binary packages [wget | curl] - dpkg-depcheck, dpkg-genbuilddeps: determine the packages used during the build of a Debian package; useful for determining the Build-Depends control field needed [build-essential, strace] - diff2patches: extract patches from a .diff.gz file placing them under debian/ or, if present, debian/patches [patchutils] - dscextract: extract a single file from a Debian source package [patchutils] - dscverify: verify the integrity of a Debian package from the .changes or .dsc files [gnupg, debian-keyring, libdigest-md5-perl] - edit-patch: add/edit a patch for a source package and commit the changes [quilt | dpatch | cdbs] - getbuildlog: download package build logs from Debian auto-builders [wget] - grep-excuses: grep the update_excuses.html file for your packages [libterm-size-perl, wget, w3m] - licensecheck: attempt to determine the license of source files - list-unreleased: searches for unreleased packages - manpage-alert: locate binaries without corresponding manpages [man-db] - mass-bug: mass-file bug reports [bsd-mailx | mailx] - mergechanges: merge .changes files from a package built on different architectures - mk-build-deps: Given a package name and/or control file, generate a binary package which may be installed to satisfy the build-dependencies of the given packages. [equivs] - namecheck: Check project names are not already taken. - nmudiff: mail a diff of the current package against the previous version to the BTS to assist in tracking NMUs [patchutils, mutt] - plotchangelog: view a nice plot of the data in a changelog file [libtimedate-perl, gnuplot] - pts-subscribe: subscribe to the PTS for a limited period of time [bsd-mailx | mailx, at] - rc-alert: list installed packages which have release-critical bugs [wget] - rmadison: remotely query the Debian archive database about packages [wget | curl, liburi-perl] - suspicious-source: output a list of files which are not common source files [python-magic] - svnpath: print svn repository paths [subversion] - tagpending: runs from a Debian source tree and tags bugs that are to be closed in the latest changelog as pending. [libsoap-lite-perl] - transition-check: Check a list of source packages for involvement in transitions for which uploads to unstable are currently blocked [libwww-perl, libyaml-syck-perl] - uscan: scan upstream sites for new releases of packages [libcrypt-ssleay-perl, libwww-perl, unzip, xz-utils] - uupdate: integrate upstream changes into a source package [patch] - what-patch: determine what patch system, if any, a source package is using [patchutils] - whodepends: check which maintainers' packages depend on a package - who-uploads: determine the most recent uploaders of a package to the Debian archive [gnupg, debian-keyring, wget] - wnpp-alert: list installed packages which are orphaned or up for adoption [wget] - wnpp-check: check whether there is an open request for packaging or intention to package bug for a package [wget] - wrap-and-sort: wrap long lines and sort items in packaging files [python-debian] . Also included are a set of example mail filters for filtering mail from Debian mailing lists using exim, procmail, etc. Package: devscripts-el Source: emacs-goodies-el Version: 35.2+nmu1 Installed-Size: 129 Maintainer: Peter S Galbraith Architecture: all Depends: emacs23 | emacsen, bash (>= 2.05a), devscripts, dpkg-dev-el, apel Recommends: elserv Size: 42996 SHA256: 8ba4354265079a71e192a5e2696e75070eeaa4764fa31cf461b70d1c85bfd2aa SHA1: 8642f32fae801e96ecc08e83dc26d833802ecdf5 MD5sum: 22a3afea988782d1c994bc1ed1bedd8e Description: Emacs wrappers for the commands in devscripts This package contains: devscripts - wrappers around the debuild, debc and debi commands; pbuilder-log-view - wrappers around viewing pbuilder logs; pbuilder - wrappers around pbuilder . See /usr/share/doc/devscripts-el/README.Debian for a short description. Tag: devel::debian, devel::packaging, implemented-in::lisp, interface::commandline, role::plugin, suite::debian, suite::emacs, use::editing, works-with::software:package, works-with::software:source Section: lisp Priority: optional Filename: pool/main/e/emacs-goodies-el/devscripts-el_35.2+nmu1_all.deb Package: devtodo Version: 0.1.20-6 Architecture: armhf Maintainer: Ryan Niebur Installed-Size: 334 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libstdc++6 (>= 4.4.0), libtinfo5 Suggests: xsltproc Homepage: http://swapoff.org/DevTodo Priority: optional Section: utils Filename: pool/main/d/devtodo/devtodo_0.1.20-6_armhf.deb Size: 143168 SHA256: 52c25845b6847fe1381fd3e459222fd65281cd11b70f51c302cf58d8789279c4 SHA1: 29c4c4da4276f2644160096d018ae6da823c60ea MD5sum: 01bc29591af987567d64ac345e973015 Description: hierarchical, prioritised todo list manager Manipulate and display a hierarchical (ie "subtasks") and prioritised list of things with a coloured command line interface. . Using devtodos output formatting features, it is possible to convert the todo list into almost any text format. . Devtodo comes with XSLT stylesheets to convert XML .todo files to HTML and PDF, have a look at /usr/share/devtodo/. Package: dfc Version: 2.5.0-1 Architecture: armhf Maintainer: Khalid El Fathi Installed-Size: 55 Depends: libc6 (>= 2.13-28) Homepage: http://projects.gw-computing.net/projects/dfc Priority: extra Section: utils Filename: pool/main/d/dfc/dfc_2.5.0-1_armhf.deb Size: 11474 SHA256: d2a0325228777572ea819f4bc077ddea309f81f20905c624e491ecee0fb58330 SHA1: a61160a9cabff6271cbad2a351fb3b777ec6c0aa MD5sum: 97732d4a300833ef70244409ed007a2e Description: display file system usage using graph and colors dfc is a simple tool that display file system usage, using a graph and nice colors . It contains a whole lot of options. Package: dff Version: 1.2.0+dfsg.1-1 Architecture: armhf Maintainer: Pierre Chifflier Installed-Size: 34762 Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libmagic1, libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libstdc++6 (>= 4.6), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-qt4, python-magic Recommends: python-dbus, libqt4-sql-sqlite, hal, dbus, python-qt4-phonon Homepage: http://www.digital-forensic.org/ Priority: optional Section: admin Filename: pool/main/d/dff/dff_1.2.0+dfsg.1-1_armhf.deb Size: 15551922 SHA256: 68636e758dd88b7364961cc6a064c6012305efb3b63388d1f5418fac4891d7b8 SHA1: ccb746a2dc40c08b28e6655cb8e83d08c289cbb7 MD5sum: 6af0b775695bcf4f5e57b93235f370b3 Description: Powerful, efficient and modular digital forensic framework DFF is a simple but powerful open source tool with a flexible module system which will help you in your digital forensics works, including files recovery due to error or crash, evidence research and analysis, etc. The source code is written in C++ and Python, allowing performances and great extensibility. Package: dfo Version: 0.8+svn52-7 Installed-Size: 379 Maintainer: Debian CLI Applications Team Architecture: all Depends: mono-runtime (>= 2.10.1), libflickrnet2.2-cil, libgconf2.0-cil (>= 2.24.0), libglade2.0-cil (>= 2.12.10), libglib2.0-cil (>= 2.12.10), libgtk2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-sqlite4.0-cil (>= 2.10.1), libmono-system-data4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.1) Size: 111452 SHA256: e060f941486c2f6750240706dd23d525360eda3f25a18093d51c607875c0da65 SHA1: 6ae0b53957a06192951237e6f0fc9579ed59bef3 MD5sum: 239b88978a8f0cd12b289df063a288b2 Description: Desktop Flickr Organizer for GNOME DFO allows online/offline mode management of your photos. With DFO you can manage your existing photos and sets, create new sets, edit tags, descriptions, and permissions, contribute to group pools, and so on. Basically performing most of the tasks that Flickr's online management tool Organizer does. . Some of the features include: - Add/Delete/Edit comments. Text search comments and their author names. - Post photos to blogs. - Easy Drag-n-drop photos from nautilus for uploading. - Image preview in file chooser dialog, shown when uploading photos. - Edit title, description, privacy and tags of photos set for uploading. - Allow reverting of edits done to photo. Homepage: http://code.google.com/p/dfo/ Tag: interface::x11, role::program, scope::utility, suite::gnome, uitoolkit::gtk, use::organizing, works-with::image, x11::application Section: utils Priority: optional Filename: pool/main/d/dfo/dfo_0.8+svn52-7_all.deb Package: dfu-programmer Version: 0.5.4-1 Architecture: armhf Maintainer: Rodolphe Pelloux-Prayer Installed-Size: 82 Depends: libc6 (>= 2.13-28), libusb-0.1-4 (>= 2:0.1.12) Homepage: http://dfu-programmer.sourceforge.net/ Priority: optional Section: electronics Filename: pool/main/d/dfu-programmer/dfu-programmer_0.5.4-1_armhf.deb Size: 26444 SHA256: 584ced3cb14b42d2219833d8c20ecc6973638f2e38ff2172849e7084b2301ec8 SHA1: fd936e1a0ca83f78a12f42561050dee4fd0d1aae MD5sum: 9157c823b0ddef617ffe207a59eee5fe Description: device firmware update (DFU) based USB programmer for Atmel chips A Linux based command-line programmer for Atmel chips with a USB bootloader supporting in system programming. . This is a mostly Device Firmware Update (DFU) 1.0 compliant user-space application. This program was created because the Atmel FLIP program for flashing devices does not run on Linux and because standard DFU loaders do not work for Atmel chips. . See http://dfu-programmer.sourceforge.net/ for more information. Package: dfu-util Version: 0.5-1 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 77 Depends: libc6 (>= 2.13-28), libusb-1.0-0 (>= 2:1.0.8) Homepage: http://dfu-util.gnumonks.org/ Priority: extra Section: electronics Filename: pool/main/d/dfu-util/dfu-util_0.5-1_armhf.deb Size: 24344 SHA256: c2ae35f4bab22d2bf4aac87237b92df319e84702302170bf18c322667e3573d4 SHA1: aa39b19eb43023ea8a42055bfea708e88f5ff75f MD5sum: 18e0d902e4cca0d900e2745344b97ea6 Description: Device firmware update (DFU 1.0) USB programmer dfu-util is a program that implements the host (PC) side of the USB DFU 1.0 (Universal Serial Bus Device Firmware Upgrade) protocol. . Note: At this point only DFU version 1.0 is supported! . In the OpenMoko project (for example), this program is used to communicate with the specially enhanced bootloader u-boot, which implements the DFU device side. Package: dh-ada-library Version: 3 Architecture: armhf Maintainer: Nicolas Boulenguez Installed-Size: 298 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.3.0), libgnat-4.6 (>= 4.6.3-4+rpi2), libgnatprj4.6 (>= 4.6.3-4+rpi2), libgnatvsn4.6 (>= 4.6.3-4+rpi2), perl, gprbuild Priority: optional Section: devel Filename: pool/main/d/dh-ada-library/dh-ada-library_3_armhf.deb Size: 114408 SHA256: 192defef6552eb16deacfcf4f4201daa1aa2f7a283dc6c328023c8962abb0a3d SHA1: fba16cb21161693fa18809beb434ccadb43a461b MD5sum: d151fb19a1867727b63d5e834b6fffd8 Description: Debian helper for Ada libraries Debhelper tools to ease common tasks in packaging libraries written in the Ada programming language, following the Debian Policy for Ada (http://people.debian.org/~lbrenta/debian-ada-policy.html). In addition, the maintainer may find the /usr/share/ada/debian_packaging.mk Makefile snippet useful. Package: dh-apparmor Source: apparmor Version: 2.7.103-4+rpi1 Architecture: all Maintainer: Kees Cook Installed-Size: 77 Depends: perl Breaks: debhelper (<< 9.20120115ubuntu3) Replaces: debhelper (<< 9.20120115ubuntu3) Multi-Arch: foreign Homepage: http://apparmor.net/ Priority: extra Section: devel Filename: pool/main/a/apparmor/dh-apparmor_2.7.103-4+rpi1_all.deb Size: 30400 SHA256: a0ffbcfaef7b7aa13335715defc4c221f0ff798b5115d58d132317cacec4b4ef SHA1: 9554419f592461d3d0f628641b737d3e0421b6cc MD5sum: 32b1ba3ca02e731fe9393f246be27f7b Description: AppArmor debhelper routines This provides the debhelper tools used to install and migrate AppArmor profiles. This is normally used from package maintainer scripts during install and removal. Package: dh-autoreconf Version: 7 Installed-Size: 20 Maintainer: Julian Andres Klode Architecture: all Depends: perl, debhelper, autoconf, automake | automaken, libtool (>= 2.4.2) Recommends: autopoint Enhances: cdbs, debhelper Size: 15332 SHA256: e4e698e9433df01ede10d410263799f08b856d371333fca2422864250b99bf34 SHA1: 3671b063206993f1b483c9745053b454f57e7650 MD5sum: b5f974190983f9dfbbefb2bdc8290175 Description: debhelper add-on to call autoreconf and clean up after the build dh-autoreconf provides a debhelper sequence addon named 'autoreconf' and two commands, dh_autoreconf and dh_autoreconf_clean. . The dh_autoreconf command creates a list of the files and their checksums, calls autoreconf and then creates a second list for the new files. . The dh_autoreconf_clean command compares these two lists and removes all files which have been added or changed (files may be excluded if needed). . For CDBS users, a rule is provided to call the dh-autoreconf programs at the right time. Multi-Arch: foreign Tag: devel::debian, suite::debian Section: devel Priority: optional Filename: pool/main/d/dh-autoreconf/dh-autoreconf_7_all.deb Package: dh-buildinfo Version: 0.9+nmu1 Installed-Size: 42 Maintainer: Yann Dirson Architecture: all Depends: debhelper, perl, build-essential (>= 7) Size: 15698 SHA256: ea77153ece987a23c45685eeee92a25bc1587ae81740590d95142d718a14eda8 SHA1: 2bc5c7e4601b2cbe8c1339de1c059710ae87eb4e MD5sum: 617f4c1219bbe90409493654070aabef Description: Debhelper addon to track package versions used to build a package This script is designed to be run at build-time, and registers in a file the list of packages declared as build-time dependencies, as well as build-essential packages, together with their versions, as installed in the build machine. . This will hopefully help to track packages (auto-)built with package versions which are known to be buggy, and, more generally, to find out whether a package needs to be rebuilt because of a significant change in a package it has a build-time dependency on. Tag: devel::debian, devel::testing-qa, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:source Section: devel Priority: optional Filename: pool/main/d/dh-buildinfo/dh-buildinfo_0.9+nmu1_all.deb Package: dh-consoledata Source: console-common Version: 0.7.87 Installed-Size: 263 Maintainer: Alastair McKinstry Architecture: all Depends: debhelper (>> 2) Enhances: debhelper Size: 91564 SHA256: 2118b911a45c577260145493f7825b5b78d6511f656cc07a7e6ae686e2c50bb7 SHA1: aa824886822bfeb74a1807bb7eab224dc5b0ea25 MD5sum: eb3b33082aad7461505a72206ca8df9c Description: debhelper-based script to help packaging console data files This package assists in building consistent packages of data-files for the Linux text console. Tag: devel::debian, devel::packaging, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:source Section: devel Priority: extra Filename: pool/main/c/console-common/dh-consoledata_0.7.87_all.deb Package: dh-di Version: 3 Installed-Size: 88 Maintainer: Debian Install System Team Architecture: all Depends: perl Size: 11166 SHA256: 00ab0374cf6c0339311fe35e9ff523701b365ab886c76fa63487425dd4f9acc1 SHA1: c2e4154eb17ca1b00205f3df783da84f03168f95 MD5sum: 92209ee48bf982ebd1c44308e4dc33b7 Description: Debhelper addon for debian-installer This debhelper addon automates various specialised tasks needed to build packages that form part of the Debian installer (d-i). Tag: devel::debian, devel::packaging, implemented-in::perl, role::program, suite::debian Section: devel Priority: optional Filename: pool/main/d/dh-di/dh-di_3_all.deb Package: dh-exec Version: 0.4 Architecture: armhf Maintainer: Gergely Nagy Installed-Size: 95 Depends: libc6 (>= 2.13-28), libpipeline1 (>= 1.0.0), perl, debhelper (>= 8.9.13~) Multi-Arch: foreign Homepage: https://github.com/algernon/dh-exec Priority: extra Section: devel Filename: pool/main/d/dh-exec/dh-exec_0.4_armhf.deb Size: 21674 SHA256: 99544855f3d54963be79a6ae37c751e8f56117ffef4c408dfefaeebb7d4548e5 SHA1: bb7108a588bf67523cb61f61b719c138f39c6256 MD5sum: bd841ab04dd701567d0b47027c029fd5 Description: Scripts to help with executable debhelper files Debhelper (in compat level 9 and above) allows its config files to be executable, and uses the output of suchs scripts as if it was the content of the config file. . To ease and standardize the most common tasks, this package provides a few solutions to help constructing such executable scripts: . * A way to ease variable substitution, from environment variables or dpkg-architecture. * An extension to dh_install, with the ability to rename files. Package: dh-kpatches Version: 0.99.36+nmu1 Installed-Size: 292 Maintainer: Yann Dirson Architecture: all Depends: perl, debhelper (>= 2.0.14) Suggests: kernel-package Enhances: debhelper Size: 158786 SHA256: 11e10f11fac09bec7b2d63b0fb0a16a3e153485e0c5bfa8af57ecbf29f075bf7 SHA1: a3170b3b89cfcd2cd3308f2e5ba1a071bdd44f36 MD5sum: 6b1edae4c403a76938c0fb6c223b4a30 Description: Debhelper script to help packaging kernel patches The dh_installkpatches script produces the "apply" and "unpatch" scripts that kernel-package uses to automatically handle kernel patches. It provides a number of useful features like: * does its best to never break your kernel source tree * allows you to select any provided version of a patch * handle patch inter-dependencies . Patches are described in a Debian-standard control-file-like format. Tag: admin::kernel, devel::debian, devel::packaging, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:source Section: devel Priority: extra Filename: pool/main/d/dh-kpatches/dh-kpatches_0.99.36+nmu1_all.deb Package: dh-linktree Version: 0.3 Installed-Size: 68 Maintainer: Raphaël Hertzog Architecture: all Depends: debhelper, libdpkg-perl, perl Size: 10790 SHA256: 05ae57c1be014432d52b6124df1447ba18529b1534c1463d6fd4f7de12184a3f SHA1: 66aeedc63412eda261756cc8152a566404a7d441 MD5sum: 240f360976a23ed37ab8da82e3805188 Description: Create symlink trees within a Debian package Many developers bundle PHP or JavaScript libraries in the software that they provide. The Debian packager must then replace those "embedded copies" with symlinks to the packaged copy of those files. This operation is not without risks. A version mismatch can be the source of bugs that are hard to track. . dh_linktree is a tool that can assist you in this task. It can either unconditionnaly install a symlink tree of a given directory at the place of your choice, or it can only replace existing files with symlinks, or it can only replace existing identical files with symlinks. Section: devel Priority: optional Filename: pool/main/d/dh-linktree/dh-linktree_0.3_all.deb Package: dh-lisp Version: 0.7.1 Installed-Size: 104 Maintainer: Debian Common Lisp Team Architecture: all Depends: debhelper (>= 7), perl Enhances: debhelper Size: 10052 SHA256: 563af07b0bed9cd2043beee14dc367d509d6c76cd2248a6acf9012518d4c2da8 SHA1: acf8520581c734347218a69d2d326c4df61d7238 MD5sum: ea743dce1778ccab79e445cf79fbda42 Description: Debhelper to support Common Lisp related packages This debhelper addon targets Debian package maintainers of packages related to Common Lisp. It automates the processes of making a package conform to the Common Lisp in Debian Manual: . It adds dependencies to required Common Lisp implementations if precompiled object files for them are included in the package. Homepage: http://pkg-common-lisp.alioth.debian.org Tag: devel::debian, devel::lang:lisp, devel::packaging, implemented-in::perl, interface::commandline, role::program, scope::utility, works-with::software:source Section: lisp Priority: optional Filename: pool/main/d/dh-lisp/dh-lisp_0.7.1_all.deb Package: dh-lua Version: 15 Installed-Size: 145 Maintainer: Enrico Tassi Architecture: all Depends: perl, debhelper (>= 8.0.0), dctrl-tools, libtool, pkg-config, liblua5.2-dev, lua5.2, liblua5.1-0-dev, lua5.1, libfile-find-rule-perl Size: 29988 SHA256: ff3b4ecbea69a3eb8addaddeb61dff145cab2eef7ca9e0372a2ae22b3d917051 SHA1: 6f48463ecfcfc5fb7d01ecdefd5cff03950065f0 MD5sum: ec5f5585d5432e5e257c837014c0761e Description: helper tools for maintaining Lua-related packages This package contains the Debian policy for the Debian packages relative to the Lua scripting language, as well as some tools to help build them. . This package is meant to superseed lua5.1-policy. Homepage: http://pkg-lua.alioth.debian.org/ Section: interpreters Priority: optional Filename: pool/main/d/dh-lua/dh-lua_15_all.deb Package: dh-make Version: 0.61 Installed-Size: 204 Maintainer: Craig Small Architecture: all Depends: debhelper (>= 9), make, perl, dpkg-dev Suggests: build-essential Size: 46218 SHA256: 7ad67e32f9ae9d2c74836f373e4649db976903983db27f8e65d79dfe4640b03c SHA1: 1396ec6ca0cda617690690f266295d92671fb293 MD5sum: ad479df6eff59e952ba79a93504817d9 Description: tool that converts source archives into Debian package source This package allows you to take a standard (or upstream) source package and convert it into a format that will allow you to build Debian packages. . After answering a few questions, dh_make will then provide a set of templates that, after some small editing, will allow you to create a Debian package. Tag: devel::debian, devel::packaging, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:package, works-with::software:source Section: devel Priority: optional Filename: pool/main/d/dh-make/dh-make_0.61_all.deb Package: dh-make-drupal Version: 1.3-1+deb7u1 Installed-Size: 48 Maintainer: Gunnar Wolf Architecture: all Depends: ruby, libruby, ruby-hpricot, debhelper (>= 5), build-essential Suggests: drupal6 | drupal7 Size: 21772 SHA256: 52daebf10a6ed2c4bde09e1566a4574bbbccefb5ac8475f012d087379f88e403 SHA1: f28d81fac525e0b8d43fde6679559ebd5974d1d6 MD5sum: bcb8514cb75e131c20ef5b7a7f8fce4f Description: Create Debian packages from Drupal modules and themes The purpose of this program is to generate Debian packages for any Drupal projects (that is, modules or themes). . Given that Drupal developers publish their work through the main Drupal site (http://drupal.org), this program fetches the information for the latest available versions (for the right Drupal release, and with the specified stability level), and prepares a Debian package from it. Homepage: http://www.github.com/gwolf/dh-make-drupal Section: web Priority: extra Filename: pool/main/d/dh-make-drupal/dh-make-drupal_1.3-1+deb7u1_all.deb Package: dh-make-perl Version: 0.75-1 Installed-Size: 315 Maintainer: Debian Perl Group Architecture: all Depends: debhelper (>= 7), perl, make, dpkg-dev, fakeroot, libapt-pkg-perl, libarray-unique-perl, libclass-accessor-perl, perl (>= 5.14) | libcpan-meta-perl, libdpkg-perl, libemail-address-perl, libemail-date-format-perl, libfile-which-perl, liblist-moreutils-perl, libmodule-depends-perl (>= 0.15), libparse-debcontrol-perl, libparse-debianchangelog-perl, libsoftware-license-perl, libtie-ixhash-perl, libwww-mechanize-perl, libyaml-perl, perl (>= 5.10.1) | libmodule-corelist-perl (>= 2.14) Recommends: apt-file (>= 2.5.0), git, pristine-tar Size: 170220 SHA256: b8e3b23f0d87eaf4f7a9fb4c9adeec5a4d3376734e2c6f03e9a2b22174e1c07c SHA1: 86ea5ffca072c64b83cd893209856913b32b966e MD5sum: 18b99e1c127ae3e67ba7f962773afab3 Description: helper for creating Debian packages from perl modules dh-make-perl will create the files required to build a Debian source package out of a perl package. This works for most simple packages and is also useful for getting started with packaging perl modules. Given a perl package name, it can also automatically download it from CPAN. . dh-make-perl can also help keeping the debian/ content current when upstream dependencies or Debian practices change. It can also help improve existing Perl module packages. Tag: devel::debian, devel::lang:perl, devel::packaging, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, use::downloading, works-with::software:package, works-with::software:source Section: perl Priority: optional Filename: pool/main/d/dh-make-perl/dh-make-perl_0.75-1_all.deb Package: dh-make-php Version: 0.3.0 Installed-Size: 368 Maintainer: Uwe Steinmann Architecture: all Depends: php5-cli, php-pear, cdbs Size: 34558 SHA256: e20ae0c320eeffa73d22c289c28a43cf4093ee48a61479b2bc60786c71e89f77 SHA1: 884af8064b6dc98395d7087c0fd6837cb27a4175 MD5sum: 86855bed1c9a54d44948649c669e76d6 Description: Creates Debian source packages for PHP PEAR and PECL extensions Contains dh-make-pear and dh-make-pecl, which create Debian source packages from PHP PEAR and PECL packages respectively. Tag: admin::package-management, devel::lang:php, devel::library, devel::packaging, interface::commandline, network::client, role::program, scope::utility, use::downloading Section: web Priority: optional Filename: pool/main/d/dh-make-php/dh-make-php_0.3.0_all.deb Package: dh-metainit Source: metainit Version: 0.0.5 Installed-Size: 72 Maintainer: Joachim Breitner Architecture: all Depends: debhelper, perl Size: 7772 SHA256: 273afa8cc3684bb82c0e6c132aedfdacfec90a7aaca42fb9b8a59bdae4ddfb1b SHA1: 8c4f804cc6391d25074c135868930314b6dd351b MD5sum: 8f91c51d1d1bf051e5add4a8262bbf25 Description: Debhelper addon to install and register a metainit file This debhelper script should be used by package that ship an metainit file. It installs the metainit file in the right spot and add the required actions to the maintainer scripts. Tag: devel::debian, implemented-in::perl, role::program, suite::debian Section: admin Priority: optional Filename: pool/main/m/metainit/dh-metainit_0.0.5_all.deb Package: dh-ocaml Version: 1.0.7 Installed-Size: 301 Maintainer: Debian OCaml Maintainers Architecture: all Replaces: ocaml (<< 3.11.0~beta1-1), ocaml-base (<< 3.11.0~beta1-1), ocaml-base-nox (<< 3.11.0~beta1-1), ocaml-nox (<< 3.11.0~beta1-1) Recommends: debhelper, ocaml-nox (>> 3.12) Suggests: git Breaks: ocaml (<< 3.12), ocaml-base (<< 3.12), ocaml-base-nox (<< 3.12), ocaml-nox (<< 3.12) Size: 94424 SHA256: 0f81cfa450710a71314fe10bb27754b79c12755f5da0dec2c7811cbaabcd0fc0 SHA1: 7ec37588495f37346fcc80c2cabf54203c649c52 MD5sum: 8f5af31ffac5bb5553d32812bb805720 Description: helper tools for maintaining OCaml-related Debian packages dh-ocaml is a set of tools and documents to help maintaining Debian packages related to the Objective Caml (OCaml) programming language. . dh-ocaml notably contains the following components: * Makefile helpers to write debian/rules files implementing OCaml packaging best-practices (both for CDBS lovers and haters) * the Debian OCaml Packaging Policy * dh_ocaml debhelper to automatically computes dependencies among binary OCaml packages * ocaml-md5sums, the tool used to maintain the system registry of OCaml module interface checksums Section: ocaml Priority: extra Filename: pool/main/d/dh-ocaml/dh-ocaml_1.0.7_all.deb Package: dh-xsp Source: xsp Version: 3.0.11-1~rpi1 Architecture: all Maintainer: Debian Mono Group Installed-Size: 112 Depends: perl, debhelper Conflicts: mono-utils (<= 1.1.9.2-1), mono-xsp-base Replaces: mono-xsp-base Homepage: http://www.mono-project.com/ASP.NET Priority: optional Section: web Filename: pool/main/x/xsp/dh-xsp_3.0.11-1~rpi1_all.deb Size: 50060 SHA256: 70e6d0593203b42f843cefe0c5bafbe6ec34b5c8f4de30407e2afef5eff62e3f SHA1: 5b92bbd71566d871dedf57d4f807abe4d7da9cae MD5sum: 9e810bbbd19821d01413c9714ca5aa67 Description: debhelper add-on to handle ASP.NET sites dh-xsp provides a debhelper sequence addon named 'xsp' and the dh_installxsp command, which allows ASP.NET sites to be automatically configured on installation. Package: dhcp-helper Version: 1.1-1 Architecture: armhf Maintainer: Simon Kelley Installed-Size: 77 Depends: netbase, libc6 (>= 2.13-28) Conflicts: dhcp3-relay, dhcp3-server, isc-dhcp-relay, isc-dhcp-server, udhcpd Priority: optional Section: net Filename: pool/main/d/dhcp-helper/dhcp-helper_1.1-1_armhf.deb Size: 11758 SHA256: f3edb00c08b02890832ef88ef5a26e944c6e4854c648e51fd373222de77e759d SHA1: 8649dfe2c51c1cd89a0232ad89151119888db340 MD5sum: 83933597c96109aa84879d4cb34ee79a Description: A DHCP relay agent dhcp-helper is a DHCP and BOOTP relay agent. It listens for DHCP and BOOTP broadcasts on directly connected subnets and relays them to DHCP or BOOTP servers elsewhere. dhcp-helper is much smaller than dhcp3-relay package and it does not require the Packet Filter kernel facility to be present. This makes it a good choice for use on small or embedded systems. Unlike dhcp3-relay, dhcp-helper can be configured to forward DHCP requests as broadcasts, and therefore does not need to be given the IP address of the DHCP server, only the network in which it resides. Package: dhcp-probe Version: 1.3.0-10 Architecture: armhf Maintainer: Laurent Guignard Installed-Size: 167 Depends: libc6 (>= 2.13-28), libnet1 (>= 1.1.2.1-3), libpcap0.8 (>= 0.9.8), ucf, net-tools Homepage: http://www.net.princeton.edu/software/dhcp_probe/ Priority: optional Section: net Filename: pool/main/d/dhcp-probe/dhcp-probe_1.3.0-10_armhf.deb Size: 67238 SHA256: 22af062feef5f42c5b87a1e90353d318b69e461ba4aa0cdc14e7b903667515a9 SHA1: 889b55f14f831e7ba0deaa390e23ee72dba5222f MD5sum: b23c25f2b8fc5cea81cab16ffe5024f2 Description: network DHCP or BootP server discover dhcp_probe attempts to discover DHCP and BootP servers on a directly-attached Ethernet network. A network administrator can use this tool to locate un- authorized DHCP and BootP servers. Essentially, dhcp_probe mimics a BootP or DHCP client in a variety of possible states, attempting to provoke responses from servers. Package: dhcpcd Version: 1:3.2.3-11+deb7u1 Architecture: armhf Maintainer: Simon Kelley Installed-Size: 80 Depends: libc6 (>= 2.13-28), bsdutils (>= 2.11n), procps Conflicts: dhcpcd-sv, ifupdown (<< 0.6.4-4) Replaces: dhcpcd-sv Priority: optional Section: net Filename: pool/main/d/dhcpcd/dhcpcd_3.2.3-11+deb7u1_armhf.deb Size: 49448 SHA256: a75e5bdad460f31c62770246ae46edaa6ed5e2bb2ec3e547e844a6bc71513ced SHA1: 2ce51d1445d610a4126974edaf6a85a06f8dfd4c MD5sum: 09c5da3ed2774d7a20e340ec530a43bf Description: DHCP client for automatically configuring IPv4 networking Simple configuration: supports executions of a script when the IP address changes. Package: dhcpcd-dbus Version: 0.6.0-1 Architecture: armhf Maintainer: Roy Marples Installed-Size: 93 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), dhcpcd5 (>= 5) Homepage: http://roy.marples.name/projects/dhcpcd-dbus Priority: optional Section: net Filename: pool/main/d/dhcpcd-dbus/dhcpcd-dbus_0.6.0-1_armhf.deb Size: 20892 SHA256: b0504ee35833221639a7650614e8fdf2174614a2372cb381581e9862e90262aa SHA1: 5b9d42576e2d9d2a0ed5a94c6b89b4979bb09658 MD5sum: bf3021de7157b4fc13c08c5bd9cdfbfd Description: DBus bindings for dhcpcd DBus binding for dhcpcd, for use by dhcpcd frontends such as dhcpcd-gtk. Package: dhcpcd-gtk Source: dhcpcd-ui Version: 0.6.0-1 Architecture: armhf Maintainer: Roy Marples Installed-Size: 809 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.14.0), libnotify4 (>= 0.7.0), dhcpcd-dbus Homepage: http://roy.marples.name/projects/dhcpcd-ui Priority: optional Section: net Filename: pool/main/d/dhcpcd-ui/dhcpcd-gtk_0.6.0-1_armhf.deb Size: 175914 SHA256: 5f72c1921e4db1d4999f0831372e76434e7d545d8f81099f35eb716039a6d6fe SHA1: 48dbc3ff181dc5dd6c24adc159b601f5b89e428a MD5sum: a3066f86d766b7d25016b97b50ab7597 Description: GTK+ frontend for dhcpcd and wpa_supplicant dhcpcd-gtk sits in the notification area and notifies you of changes to your IPv4 network configuration from dhcpcd and wpa_supplicant. Package: dhcpcd5 Version: 5.5.6-1+deb7u2 Architecture: armhf Maintainer: Roy Marples Installed-Size: 119 Depends: libc6 (>= 2.13-28) Recommends: openresolv Suggests: dhcpcd-gtk Conflicts: dhcpcd (<< 3.2.3-6), dhcpcd-sv Provides: dhcp-client Homepage: http://roy.marples.name/projects/dhcpcd Priority: optional Section: net Filename: pool/main/d/dhcpcd5/dhcpcd5_5.5.6-1+deb7u2_armhf.deb Size: 71320 SHA256: 0399ddfa94cc3a67b0d9d64a96656e62dd9a64a29d0f58e205268408a96efbaf SHA1: 16bd59117b29cc374fd88eeac2a1a91679257e50 MD5sum: b0349ef13c64e7e197a44eef2ee11e94 Description: RFC2131 compliant DHCP client with IPv4LL support dhcpcd is a one stop IPv4 network management daemon which includes * RFC2131 compliant DHCP client * IPv4LL (aka ZeroConf) support * ARP address conflict resolution * Link carrier detection * Wireless SSID profiles * ARP ping profiles Package: dhcpdump Version: 1.8-2 Architecture: armhf Maintainer: Joey Schulze Installed-Size: 57 Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), tcpdump Priority: optional Section: admin Filename: pool/main/d/dhcpdump/dhcpdump_1.8-2_armhf.deb Size: 12976 SHA256: 1d7b844f72c842ff7b8e30ce1cdce87fce912e0956f9c47fca1e798066e0f4ea SHA1: ab358177c72c55848ca3320190147cdf324f95dc MD5sum: b81657dc58626b493bd1714ce0867c85 Description: Parse DHCP packets from tcpdump This package provides a tool for visualization of DHCP packets as recorded and output by tcpdump to analyze DHCP server responses. Package: dhcping Version: 1.2-4 Architecture: armhf Maintainer: Martin Schulze Installed-Size: 55 Depends: libc6 (>= 2.7) Priority: optional Section: admin Filename: pool/main/d/dhcping/dhcping_1.2-4_armhf.deb Size: 12970 SHA256: 178a7a422f54ec32aeb3eb9aff2072436baad6d74ef337288989313694185c71 SHA1: a598362aa6ba57df234dbcd0aeaa0d58e51afa61 MD5sum: 833fb8a78dba14d18e59b4c764640c23 Description: DHCP Daemon Ping Program This small tool provides an opportunity for a system administrator to perform a DHCP request to find out if a DHCP server is still running. Package: dhelp Version: 0.6.20+nmu1 Installed-Size: 294 Maintainer: Esteban Manchado Velázquez Architecture: all Depends: perl-modules, libtemplate-perl, libhtml-parser-perl, liburi-perl, ruby1.8, libdb-ruby1.8, libcommandline-ruby1.8, libgettext-ruby1.8, doc-base, swish++, liblocale-gettext-perl, libdata-page-perl, pstotext, poppler-utils, ucf (>= 0.8) Recommends: iceweasel | firefox | www-browser Suggests: httpd, info2www, man2html, lynx | links | w3m | html2text, catdvi Size: 49112 SHA256: e687c6b093cae703e8ef18b263633213e7f8f09ff264e5e6392edd1f70450ad7 SHA1: 69da4ae69c8243dddf5def105b751a7e31a8c7fc MD5sum: 3db909f2990b7240cd0a7cc7fe690c1c Description: online help system Read all documentation with a WWW browser. dhelp builds a index of all installed HTML documentation. You don't need a WWW server to read the documentation. dhelp offers a very fast search in the HTML documents. . You can access the online help system with the dhelp program or with your browser. The URL to point your browser at is http://localhost/doc/HTML/index.html if you have a WWW server installed or file://localhost/usr/share/doc/HTML/index.html if not. Tag: devel::doc, implemented-in::perl, implemented-in::ruby, interface::commandline, interface::web, network::server, protocol::http, role::documentation, role::program, suite::debian, use::browsing, web::application, web::cgi, works-with-format::dvi, works-with-format::html, works-with-format::pdf, works-with-format::plaintext, works-with-format::postscript, works-with::text Section: doc Priority: optional Filename: pool/main/d/dhelp/dhelp_0.6.20+nmu1_all.deb Package: dhex Version: 0.67-1 Architecture: armhf Maintainer: Gürkan Sengün Installed-Size: 136 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5 Homepage: http://www.dettus.net/dhex/ Priority: optional Section: editors Filename: pool/main/d/dhex/dhex_0.67-1_armhf.deb Size: 60668 SHA256: a933e0e26e175e31b15fe321fac553c174736d2b9bfe92c88eae63728bb005c2 SHA1: ffc467463a5df9a7030d09273fb578d0845e1883 MD5sum: d7106848252587cd704c07bab9580eb1 Description: ncurses based hex editor with diff mode This is more than just another hex editor: It includes a diff mode, which can be used to easily and conveniently compare two binary files. Since it is based on ncurses and is themeable, it can run on any number of systems and scenarios. With its utilization of search logs, it is possible to track changes in different iterations of files easily. Package: dhis-client Version: 5.5-4 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 91 Depends: libc6 (>= 2.4), libgmp10, debconf (>= 0.5.00) | debconf-2.0 Recommends: dhis-tools-genkeys Conflicts: dhid (<< 5.1-5) Replaces: dhid (<< 5.1-5) Provides: dhid Homepage: http://www.dhis.org/ Priority: optional Section: net Filename: pool/main/d/dhis-client/dhis-client_5.5-4_armhf.deb Size: 26420 SHA256: db0fef5de47e4df490c5fca305e74293d6256ab3c5d22da11131a32139f40bd2 SHA1: 4a941968da958e80e6c780904c48c61748d54b3b MD5sum: f2e57faf0fdb91f41d576ab23990c614 Description: Dynamic Host Information System - client dhid is the DHIS client daemon. After setting up with a DHIS provider, each machine may run a dhid daemon (in background) in order to update its dynamic IP address within the server. Package: dhis-dns-engine Version: 5.3-1 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 44 Depends: libc6 (>= 2.13-28), dhis-server, bind9 | bind Homepage: http://www.dhis.org/ Priority: extra Section: net Filename: pool/main/d/dhis-dns-engine/dhis-dns-engine_5.3-1_armhf.deb Size: 7380 SHA256: 125de881b382da5a59775c32735bb9006af09ba7baeb7bc1488f2d0dfc23112f SHA1: dfd359e191491664488bb384c042f0c829a65b15 MD5sum: 21ab827569e7eb25ee59c97b5475361a Description: Dynamic Host Information System - DNS engine This package contains a dynamic DNS service module to be used with dhisd release 5 or above. . While the DHIS server dhisd retrieves dynamic IP addresses from clients, this module allows the server to update a dynamic DNS zone based on those retrieved IP addresses. Package: dhis-mx-sendmail-engine Version: 5.0-2 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 42 Depends: libc6 (>= 2.4), dhis-server, sendmail Priority: optional Section: net Filename: pool/main/d/dhis-mx-sendmail-engine/dhis-mx-sendmail-engine_5.0-2_armhf.deb Size: 6530 SHA256: a036c17078c251bd35a9a83abff6aec6a42b8511594c0f9c8966f6da6ced6e5d SHA1: 5154b60c79c0883d50438dd0a93a7bacda6a3d14 MD5sum: 00c624af21e3740227aded260e2993b9 Description: Dynamic Host Information System - sendmail MX engine This package contains a mail relaying service module to be used with dhisd release 5 or above and the dynamic DNS module. . While the DHIS server dhisd retrieves dynamic IP addresses from clients, this module allows the server to deliver messages that were previously queued for the newly online host. Package: dhis-server Version: 5.3-2.1 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 108 Depends: libc6 (>= 2.4), libgmp10 Recommends: dhis-dns-engine, dhis-tools-dns Suggests: dhis-mx-sendmail-engine Homepage: http://www.dhis.org/ Priority: optional Section: net Filename: pool/main/d/dhis-server/dhis-server_5.3-2.1_armhf.deb Size: 30790 SHA256: 49e3b5310f09b621a8a59367c3b5547d04fcc627b748d6bba355b236e22a138a SHA1: 5ae9ad03e59666ef0805735b40340e8daad9d11b MD5sum: 42f99bff646191c6de666b5d991902c6 Description: Dynamic Host Information System - server DHIS is a client-server architecture meant to update databases for systems which are assigned a dynamic IP[v4] address. . By the means of a DHIS client a host which is assigned a dynamic IP address (either from its ISP or from DHCP) is able to communicate with a DHIS server in order to advertise its newly acquired IP address. Package: dhis-tools-dns Version: 5.0-6.1 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 56 Depends: libc6 (>= 2.4), dhis-server, dhis-dns-engine, dhis-tools-genkeys, bind9 | bind Homepage: http://www.dhis.org/ Priority: optional Section: net Filename: pool/main/d/dhis-tools-dns/dhis-tools-dns_5.0-6.1_armhf.deb Size: 7678 SHA256: 5c30cea59d93d53d9529b897bccdeb4cb9607f6e43915bb40cac57589a40e6e1 SHA1: 1412d367d00349eda931eb0e4a9386a7a3fcd427 MD5sum: 765dfaf57268a4ebabb8e3a1cd1224ce Description: Dynamic Host Information System - DNS configuration tools This package includes a set of tools that may be used to manually create DHIS records on a dynamic DNS server. Package: dhis-tools-genkeys Source: dhis-tools-dns Version: 5.0-6.1 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 49 Depends: libc6 (>= 2.4), libgmp10 Suggests: dhis-client | dhis-server Homepage: http://www.dhis.org/ Priority: optional Section: net Filename: pool/main/d/dhis-tools-dns/dhis-tools-genkeys_5.0-6.1_armhf.deb Size: 8144 SHA256: e831d713aa71281ed0be8e903c448795b8ebced61af50d038f56f47fa1e26003 SHA1: 32290efd9f64a3c239b1feb225fa56b9af3c25f7 MD5sum: eaeaf4bea7841587af8aebfcefafed73 Description: Dynamic Host Information System - key generation tools This package includes a set of tools that may be used to manually create shared or public/private keys for DHIS client/server authentication. Package: di Version: 4.30-1 Architecture: armhf Maintainer: Michael Ablassmeier Installed-Size: 132 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://www.gentoo.com/di/ Priority: extra Section: utils Filename: pool/main/d/di/di_4.30-1_armhf.deb Size: 38666 SHA256: b98d97f2f6f4329fb970397317ee7c7a86a6f5c90f490f2044b2171749617ab6 SHA1: 03283eb85979213c6b3c8a6c87bf679b7efa10e3 MD5sum: 41770079fe45f2e27d71eb660594f7c2 Description: advanced df like disk information utility `di' is a disk information utility, displaying everything (and more) that your `df' command does. It features the ability to display your disk usage in whatever format you desire/prefer/are used to. It is designed to be portable across many platforms. Package: di-netboot-assistant Version: 0.36b Installed-Size: 172 Maintainer: Frank Lin PIAT Architecture: all Depends: wget | curl Recommends: syslinux, elilo, tftpd | tftpd-hpa | dnsmasq Suggests: dhcp3-server | udhcpd | dnsmasq Size: 27608 SHA256: 959f0588c639d60fa472448ecce74cd7eb86178ac65330b73ea61f2e7840e171 SHA1: 1e08594745de0075f80eae6ac61639f6bae031e4 MD5sum: 6455451553c2f75a26935eb931731b52 Description: Debian-Installer netboot assistant di-netboot-assistant is a tool to download and extract Debian-Installer netboot images. It's especially useful if you want to download multiple netboot image, since it builds a top menu, so you can select the image at boot time (on amd64, i386 and ia64). . Currently, it supports amd64, i386, ia64, alpha, hppa and sparc clients. . syslinux and elilo are needed if you plan to use the "top menu". Homepage: http://www.klabs.be/~fpiat/linux/debian/di-netboot-assistant/ Tag: admin::boot, admin::install, implemented-in::shell, interface::commandline, role::program, use::downloading Section: utils Priority: extra Filename: pool/main/d/di-netboot-assistant/di-netboot-assistant_0.36b_all.deb Package: dia Version: 0.97.2-8 Architecture: armhf Maintainer: Debian Dia Team Installed-Size: 506 Depends: libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.18.0), libpng12-0 (>= 1.2.13-4), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), dia-common (= 0.97.2-8), dia-libs (= 0.97.2-8) Recommends: gsfonts-x11 Homepage: http://live.gnome.org/Dia Priority: optional Section: graphics Filename: pool/main/d/dia/dia_0.97.2-8_armhf.deb Size: 210648 SHA256: 7e3fa5155dcd5487099c2a060b1e2866450f73ac17001a84654a5090e33e39f3 SHA1: 2244659d2dbf836447cde59983de2b968e1ce5c0 MD5sum: b0431bc8aca76f9602cf14e93edda2bc Description: Diagram editor Dia is an editor for diagrams, graphs, charts etc. There is support for UML static structure diagrams (class diagrams), Entity-Relationship diagrams, network diagrams and much more. Diagrams can be exported to postscript and many other formats. Package: dia-common Source: dia Version: 0.97.2-8 Installed-Size: 17808 Maintainer: Debian Dia Team Architecture: all Replaces: dia (<= 0.86-1) Depends: xml-core (>= 0.12), python-gtk2 Recommends: dia | dia-gnome, dia-libs Conflicts: dia (<= 0.86-1) Size: 6325942 SHA256: c5ad0fc2e461c76bd3b3cefd7d0969cf506b193014601149ff7b584f66bc2878 SHA1: 34290c2884f09db79b684de5b80e38d63c317ad5 MD5sum: 68bbc74defc7a064dda425396e22187a Description: Diagram editor (common files) Dia is an editor for diagrams, graphs, charts etc. There is support for UML static structure diagrams (class diagrams), Entity-Relationship diagrams, network diagrams and much more. Diagrams can be exported to postscript and many other formats. . This package contains hardware independent files common to dia and dia-gnome Homepage: http://live.gnome.org/Dia Tag: interface::x11, role::app-data, suite::gnu, uitoolkit::gtk, use::editing, works-with::image, works-with::image:vector, x11::application Section: graphics Priority: optional Filename: pool/main/d/dia/dia-common_0.97.2-8_all.deb Package: dia-gnome Source: dia Version: 0.97.2-8 Architecture: armhf Maintainer: Debian Dia Team Installed-Size: 510 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.12.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.18.0), libpng12-0 (>= 1.2.13-4), libpopt0 (>= 1.14), libsm6, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), dia-common (= 0.97.2-8), dia-libs (= 0.97.2-8) Recommends: gsfonts-x11 Homepage: http://live.gnome.org/Dia Priority: optional Section: gnome Filename: pool/main/d/dia/dia-gnome_0.97.2-8_armhf.deb Size: 211672 SHA256: 508e828d4dc08a442e8a8fc6bd8ed1fb62578c62ecbcd4b053a5a15603cec734 SHA1: 26506726216859240fbdc3089285133cc87cf599 MD5sum: 21e642b6f94c024397abe9168d57c236 Description: Diagram editor (GNOME version) Dia is an editor for diagrams, graphs, charts etc. There is support for UML static structure diagrams (class diagrams), Entity-Relationship diagrams, network diagrams and much more. Diagrams can be exported to postscript and many other formats. . This package contains the GNOME version of Dia. Package: dia-libs Source: dia Version: 0.97.2-8 Architecture: armhf Maintainer: Debian Dia Team Installed-Size: 1919 Depends: libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.18.0), libpng12-0 (>= 1.2.13-4), libpython2.7 (>= 2.7), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), zlib1g (>= 1:1.1.4) Recommends: dia | dia-gnome, dia-common Conflicts: dia (<= 0.86-1), dia-common (<= 0.92.2-3) Replaces: dia (<= 0.86-1), dia-common (<= 0.92.2-3) Homepage: http://live.gnome.org/Dia Priority: optional Section: graphics Filename: pool/main/d/dia/dia-libs_0.97.2-8_armhf.deb Size: 776938 SHA256: 61fbde1dc6a95dd644fd083b4b380d1796dfeafdd140de312d9964300a3b3536 SHA1: c7eb9918609f2e42719a787003a1bba4513c8c67 MD5sum: 1464855960f99748f7393622268014ce Description: Diagram editor (library files) Dia is an editor for diagrams, graphs, charts etc. There is support for UML static structure diagrams (class diagrams), Entity-Relationship diagrams, network diagrams and much more. Diagrams can be exported to postscript and many other formats. . This package contains library files common to dia and dia-gnome Package: dia-shapes Version: 0.3.0-1 Installed-Size: 1029 Maintainer: Debian Dia Team Architecture: all Depends: dia Size: 231736 SHA256: 59bc1e147ddcebb0654b9314620ccadbd85f2d717f9d9f69608fc4bfbf0483cc SHA1: 127513c402d60a4b7311c39e2f1c6b7586201472 MD5sum: 48453cbb50df051786a4496904becdb8 Description: Diagram editor Dia is an editor for diagrams, graphs, charts etc. There is support for UML static structure diagrams (class diagrams), Entity-Relationship diagrams, network diagrams and much more. Diagrams can be exported to postscript and many other formats. . This package provides extra shapes for Dia. Included shapes are - Building site - Central data processing - CH-1 notation - Chemistry lab - Circuit 2 - CMOS - Digital - EPC - Electric 2 - Electronic - Gradient - Living Systems Theory - Optics - Racks - Renewable Energy - Scenegraph - Value Stream Mapping Homepage: http://dia-installer.de/shapes/ Section: graphics Priority: optional Filename: pool/main/d/dia-shapes/dia-shapes_0.3.0-1_all.deb Package: dia2code Version: 0.8.3-4 Architecture: armhf Maintainer: Francesco Aloe Installed-Size: 133 Depends: libc6 (>= 2.7), libxml2 (>= 2.7.4) Recommends: dia | dia-gnome Priority: optional Section: devel Filename: pool/main/d/dia2code/dia2code_0.8.3-4_armhf.deb Size: 54816 SHA256: 812cd481c4a09ee2f9e5e7b5c209dbfc7caacdbaf9442e7e52808385444883d0 SHA1: 65bfb1d7130b3f9ffd51348c9ae1e75477d2c11a MD5sum: 2f554a5f152325180d9b244edf555705 Description: a dia-UML code generator dia2code is a code generator which uses UML diagrams produced by dia, and turns them into C, C++, Java, Ada, PHP, Python, Shapefile, SQL, and C# files. Package: diakonos Version: 0.9.0-1 Installed-Size: 502 Maintainer: Ralph Amissah Architecture: all Depends: ruby1.9.1 Size: 118378 SHA256: 02f0d2d79e2dd65d7b4122629527def9a3385daae6700e2c890c70c3ddc7b04d SHA1: b714ce5d06fc8efd9aff49a670b59a62f4b6b45a MD5sum: 552c8c7d4e745dd09cc12d5084b96fdb Description: Customizable, usable console-based text editor an editor made it with the intention of being easier to configure and use than emacs, more powerful than pico and nano, and not as cryptic as vi or ex. Homepage: http://diakonos.pist0s.ca Tag: implemented-in::ruby, role::program, use::editing, works-with::text Section: text Priority: optional Filename: pool/main/d/diakonos/diakonos_0.9.0-1_all.deb Package: dialign Version: 2.2.1-5 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 558 Depends: libc6 (>= 2.7) Homepage: http://dialign.gobics.de/ Priority: optional Section: science Filename: pool/main/d/dialign/dialign_2.2.1-5_armhf.deb Size: 204754 SHA256: 756a2ebaed185adb7e452ae6ecb9abb9db3666d90477c2b562410f278bf0ad9a SHA1: 9e3805761190f85cf81d0e00f596297da5d3d747 MD5sum: fe3b8163b571ae76e38f4807f4a0adcf Description: Segment-based multiple sequence alignment DIALIGN2 is a command line tool to perform multiple alignment of protein or DNA sequences. It constructs alignments from gapfree pairs of similar segments of the sequences. This scoring scheme for alignments is the basic difference between DIALIGN and other global or local alignment methods. Note that DIALIGN does not employ any kind of gap penalty. Package: dialign-tx Source: dialign-t Version: 1.0.2-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 127 Depends: libc6 (>= 2.7), dialign-tx-data (= 1.0.2-2) Enhances: t-coffee Conflicts: dialign-t, dialign-t-doc Replaces: dialign-t, dialign-t-doc Provides: dialign-t, dialign-t-doc Homepage: http://dialign-tx.gobics.de/ Priority: optional Section: science Filename: pool/main/d/dialign-t/dialign-tx_1.0.2-2_armhf.deb Size: 51792 SHA256: 399545e38c5681dff0fd1182266ce76d856dc35a7baffb9092d3deda9f3a6335 SHA1: d23b9c2a8c4b4e2116840257e110ace92bc2e56e MD5sum: fe1dd1b782761249ae4772739a2559c9 Description: Segment-based multiple sequence alignment DIALIGN-TX is a command line tool to perform multiple alignment of protein or DNA sequences. It is a complete reimplementation of the segment-base approach including several new improvements and heuristics that significantly enhance the quality of the output alignments compared to DIALIGN 2.2 and DIALIGN-T. For pairwise alignment, DIALIGN-TX uses a fragment-chaining algorithm that favours chains of low-scoring local alignments over isolated high-scoring fragments. For multiple alignment, DIALIGN-TX uses an improved greedy procedure that is less sensitive to spurious local sequence similarities. Package: dialign-tx-data Source: dialign-t Version: 1.0.2-2 Installed-Size: 5516 Maintainer: Debian Med Packaging Team Architecture: all Recommends: dialign-tx (= 1.0.2-2) Size: 1701208 SHA256: 3f26c9f32f9530ee1b2cd99659aa25128f0b2432360434367e289e16cbf0e113 SHA1: 8462423f0a34f3f96ad608aebf2fb5cb1deceabd MD5sum: 12bab949bdf2184979471a27989f891c Description: Common data files for dialign-tx This package contain the score matrices and probability distribution files that DIALIGN-TX needs to align peptidic and nucleic sequences. Homepage: http://dialign-tx.gobics.de/ Tag: field::biology, field::biology:bioinformatics, role::app-data Section: science Priority: optional Filename: pool/main/d/dialign-t/dialign-tx-data_1.0.2-2_all.deb Package: dialog Version: 1.1-20120215-2 Architecture: armhf Maintainer: Santiago Vila Installed-Size: 1109 Depends: libc6 (>= 2.13-28), libncursesw5 (>= 5.6+20070908), libtinfo5, debianutils (>= 1.6) Provides: libdialog-dev Homepage: http://invisible-island.net/dialog/dialog.html Priority: optional Section: misc Filename: pool/main/d/dialog/dialog_1.1-20120215-2_armhf.deb Size: 303782 SHA256: 2b4bcf5f22699020c1f3c984613cef17471f817665f99e9899d16086eee3b9ce SHA1: fa74641b8097e1a70266af94422a18d2cb11564e MD5sum: af66a81e67a7d7b27a1a18ccc751c399 Description: Displays user-friendly dialog boxes from shell scripts This application provides a method of displaying several different types of dialog boxes from shell scripts. This allows a developer of a script to interact with the user in a much friendlier manner. . The following types of boxes are at your disposal: yes/no Typical query style box with "Yes" and "No" answer buttons menu A scrolling list of menu choices with single entry selection input Query style box with text entry field message Similar to the yes/no box, but with only an "Ok" button text A scrollable text box that works like a simple file viewer info A message display that allows asynchronous script execution checklist Similar to the menu box, but allowing multiple selections radiolist Checklist style box allowing single selections gauge Typical "progress report" style box tail Allows viewing the end of files (tail) that auto updates background tail Similar to tail but runs in the background. editbox Allows editing an existing file Package: diatheke Source: sword Version: 1.6.2+dfsg-5 Architecture: armhf Maintainer: CrossWire Packages Installed-Size: 235 Depends: libc6 (>= 2.13-28), libclucene0ldbl (>= 0.9.21b), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.1.1), libicu48 (>= 4.8-1), libstdc++6 (>= 4.6), libsword9 (>= 1.6.2+dfsg), zlib1g (>= 1:1.1.4) Homepage: http://www.crosswire.org/sword/ Priority: optional Section: text Filename: pool/main/s/sword/diatheke_1.6.2+dfsg-5_armhf.deb Size: 84826 SHA256: 1860934fe6c9ebef0b7b4bc365f75f947af1197f12ed1c4a29201b4ae2d88fbd SHA1: 139474c645c711b36d6f215a93f9c87fa038557d MD5sum: e1efae98e60f8b78a86e010f3bcd072e Description: command line bible browsing and search tool The SWORD Project is an open source, cross-platform (Linux, Windows, Solaris, MacOSX etc.) API/library for Bible software with a constantly growing list of front-ends (GUI, textmode, web-based, etc.) and a library of over 200 text modules. . This package contains a command line utility for SWORD, allowing bible browsing and searching. This tool is used mostly only for library testing. Nicer front-ends are Xiphos (Gtk), Bibletime (Qt) and others. Package: dibbler-client Source: dibbler Version: 0.8.2-1 Architecture: armhf Maintainer: Tomasz Mrugalski Installed-Size: 870 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), debconf (>= 0.5) | debconf-2.0, ucf Recommends: dibbler-doc, resolvconf Homepage: http://klub.com.pl/dhcpv6/ Priority: optional Section: admin Filename: pool/main/d/dibbler/dibbler-client_0.8.2-1_armhf.deb Size: 382576 SHA256: f9736ba6807df6131d28cc8478c21a39efbc46d95bacfd22da564bc7f03bee4f SHA1: a622265a89da25b0c590a08c9b027b84dd4c4fce MD5sum: 51abaf25fa313eed98acbda3a266a71f Description: portable DHCPv6 client The Dibbler client supports both stateful (i.e. IPv6 address granting) as well as stateless (i.e. option granting) auto-configuration modes of the DHCPv6 protocol. Besides basic capabilities, it supports various DHCPv6 extensions, for instance option renewal. Installing Dibbler allows obtaining IPv6 addresses and options from a DHCPv6 server. Package: dibbler-doc Source: dibbler Version: 0.8.2-1 Installed-Size: 1281 Maintainer: Tomasz Mrugalski Architecture: all Suggests: dibbler-server, dibbler-client, dibbler-relay Size: 1286622 SHA256: 1bbe357b56c8e014387a4c6a598ea518aa97ec2f5e60ca747015d38d15935289 SHA1: 5a16bb3391d1553c9634f6b2ea94ac25dc1aa671 MD5sum: 6165b5b6b2f04bc9ed83688b9bcedba0 Description: documentation for Dibbler This package includes both user and developer documentation, covering all aspects of the Dibbler DHCPv6 implementation: - server, client and relay usage and configuration; - various tips and frequently asked questions; - internal structure/code description and hints for developers. Homepage: http://klub.com.pl/dhcpv6/ Tag: devel::doc, made-of::pdf, protocol::dhcp, role::documentation Section: doc Priority: optional Filename: pool/main/d/dibbler/dibbler-doc_0.8.2-1_all.deb Package: dibbler-relay Source: dibbler Version: 0.8.2-1 Architecture: armhf Maintainer: Tomasz Mrugalski Installed-Size: 409 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), debconf (>= 0.5) | debconf-2.0 Recommends: dibbler-doc Homepage: http://klub.com.pl/dhcpv6/ Priority: optional Section: admin Filename: pool/main/d/dibbler/dibbler-relay_0.8.2-1_armhf.deb Size: 172834 SHA256: b01dd60156b61cd93a15c10d511ac4f330421dbfdbdcdad018aad9ce574f7273 SHA1: 3f43db4f09cd85deda1d57708166e9829492afaa MD5sum: 152c73802246e0592e81869435b4ed8a Description: portable DHCPv6 relay Relays are used as proxies between servers and clients. Their main advantage is the ability to support multiple remote links using only one server. Installing Dibbler relay allows serving multiple, not physically connected, links with one DHCPv6 server. Package: dibbler-server Source: dibbler Version: 0.8.2-1 Architecture: armhf Maintainer: Tomasz Mrugalski Installed-Size: 951 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), debconf (>= 0.5) | debconf-2.0 Recommends: dibbler-doc Homepage: http://klub.com.pl/dhcpv6/ Priority: optional Section: admin Filename: pool/main/d/dibbler/dibbler-server_0.8.2-1_armhf.deb Size: 419476 SHA256: 3fc3d43be97a67be3fbc9b6fca96cf5671b57b48ec65d485bba1bfe4d78deb85 SHA1: 85d0ced15998037bcb4a851e1ea9f9b0ef0a4a18 MD5sum: 7ab888c1d44e5e391f04b170c3b0ee35 Description: portable DHCPv6 server The Dibbler server supports both stateful (i.e. IPv6 address granting) as well as stateless (i.e. options granting) auto-configuration modes of the DHCPv6 protocol. Relays are also supported. This package allows IPv6 hosts to be automatically configured on the network. Package: dicelab Version: 0.7-1 Architecture: armhf Maintainer: Robert Lemmen Installed-Size: 279 Depends: libc6 (>= 2.13-28) Priority: extra Section: math Filename: pool/main/d/dicelab/dicelab_0.7-1_armhf.deb Size: 220510 SHA256: 77dfd0f1f88dc94401577d8134255fc775b9106cbd5202d74af7df81515a3695 SHA1: 20ec022ca74d6534872b6654f2e26e3db0cf56bd MD5sum: a626877635469320577d885134a80aea Description: evaluate the statistical distribution of dice rolls With dicelab you can express most dice rolls (and similar things) in a functional language, and the either roll the expression, or evaulate the statistical distribution. In the latter case you can choose whether you want to simply roll and tally many times, or actually compute the distribution (which is more precise, but takes a long time in some obscure cases). Package: dico Version: 2.1-3 Architecture: armhf Maintainer: أحمد المحمودي (Ahmed El-Mahmoudy) Installed-Size: 271 Depends: libc6 (>= 2.13-28), libdico1 (>= 2.0), libgcc1 (>= 1:4.4.0), libgsasl7 (>= 1.1), libreadline6 (>= 6.0) Suggests: dico-doc Conflicts: le-dico-de-rene-cougnenc Provides: dict-client Homepage: http://puszcza.gnu.org.ua/software/dico/ Priority: optional Section: text Filename: pool/main/d/dico/dico_2.1-3_armhf.deb Size: 116278 SHA256: 7f2ea96d6d8e35b05fdc0d78ab6594accc66d05a1eb6d250f9d42ea0ba00b79d SHA1: 2583986174108b322fd0d82bafacaa84dcf09604 MD5sum: 5467158cf60f194404546582d480a7cc Description: RFC 2229 compliant dictionary client GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package contains the dico console client. Package: dico-dev Source: dico Version: 2.1-3 Architecture: armhf Maintainer: أحمد المحمودي (Ahmed El-Mahmoudy) Installed-Size: 231 Depends: libdico1 (= 2.1-3) Suggests: dico-doc Homepage: http://puszcza.gnu.org.ua/software/dico/ Priority: optional Section: libdevel Filename: pool/main/d/dico/dico-dev_2.1-3_armhf.deb Size: 85902 SHA256: 3becf82b6fd75d85c52772a6366c1b86d23dd1b668434983b400f97c75476990 SHA1: 333bae09ff063297e7050b884dc472899a42653e MD5sum: 630f6c26a311fa8b082981ef82af33e3 Description: RFC 2229 compliant modular dictionary server (development files) GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package contains the header files, static libraries, and symbolic links needed for development. Package: dico-doc Source: dico Version: 2.1-3 Installed-Size: 148 Maintainer: أحمد المحمودي (Ahmed El-Mahmoudy) Architecture: all Depends: dpkg (>= 1.15.4) | install-info Size: 107906 SHA256: e75b859c42d755f837c5348275e26e86a06ea4e1a85d0c573bda816f1de3a47b SHA1: 61fec5e0d9e088698bd2cec061a01db36469df54 MD5sum: b529281f3a5978618efa746e86eaa28f Description: RFC 2229 compliant modular dictionary server (documentation) GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package contains the complete documentation for GNU Dico. Homepage: http://puszcza.gnu.org.ua/software/dico/ Tag: made-of::info, role::documentation Section: doc Priority: optional Filename: pool/main/d/dico/dico-doc_2.1-3_all.deb Package: dico-module-guile Source: dico Version: 2.1-3 Architecture: armhf Maintainer: أحمد المحمودي (Ahmed El-Mahmoudy) Installed-Size: 98 Depends: guile-1.8-libs, libc6 (>= 2.13-28), libdico1 (>= 2.0.91), libgmp10, libltdl7 (>= 2.4.2), dicod Homepage: http://puszcza.gnu.org.ua/software/dico/ Priority: optional Section: text Filename: pool/main/d/dico/dico-module-guile_2.1-3_armhf.deb Size: 51758 SHA256: 02b0b824f432c6d8dc45e6968e6abb9093afb978c2347ce9a0101ec2c556f2f7 SHA1: 1fdfddd6994ca1233b56da454f159e52e15c8389 MD5sum: 56cf9749d1f94bf9ee31b509f0dcce8c Description: RFC 2229 compliant modular dictionary server (Guile module) GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package provides an abstract layer for interfacing with database modules written in Guile. Package: dico-module-mediawiki Source: dico Version: 2.1-3 Installed-Size: 88 Maintainer: أحمد المحمودي (Ahmed El-Mahmoudy) Architecture: all Depends: dico-module-python, python-wit, python-simplejson, python (>= 2.5) Size: 43992 SHA256: 97a494c51a3fa926f2ac93f104bfeb371b3439f9af3639a1660d5e228be80c2e SHA1: e34ec5021bf8ee0c62441d81fbe78893f94edbdf MD5sum: 1c47d2296acdfa3c63315b9604064b07 Description: RFC 2229 compliant modular dictionary server (mediawiki module) GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package contains the module that handles the use of Wiktionary or Wikipedia as a dictionary database. Homepage: http://puszcza.gnu.org.ua/software/dico/ Tag: implemented-in::python, role::plugin, works-with::dictionary Section: text Priority: optional Filename: pool/main/d/dico/dico-module-mediawiki_2.1-3_all.deb Package: dico-module-python Source: dico Version: 2.1-3 Architecture: armhf Maintainer: أحمد المحمودي (Ahmed El-Mahmoudy) Installed-Size: 97 Depends: libc6 (>= 2.13-28), libdico1 (>= 2.0.91), libpython2.7 (>= 2.7), dicod Homepage: http://puszcza.gnu.org.ua/software/dico/ Priority: optional Section: text Filename: pool/main/d/dico/dico-module-python_2.1-3_armhf.deb Size: 50270 SHA256: 188989d51b03115e6ce89d2c30801bb25084a26220336105cf50dfdfe01742c4 SHA1: 101b437be3cdd38c51361e74be0c12cd4613b8fb MD5sum: e80c9b24caf23b5d19fc52157a219b19 Description: RFC 2229 compliant modular dictionary server (Python module) GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package provides an abstract layer for interfacing with database modules written in Python. Package: dicod Source: dico Version: 2.1-3 Architecture: armhf Maintainer: أحمد المحمودي (Ahmed El-Mahmoudy) Installed-Size: 395 Pre-Depends: adduser Depends: libc6 (>= 2.13-28), libdico1 (>= 2.1), libgcc1 (>= 1:4.4.0), libgsasl7 (>= 1.1), libldap-2.4-2 (>= 2.4.7), libltdl7 (>= 2.4.2), zlib1g (>= 1:1.1.4), m4 Suggests: dico-doc Conflicts: dico-module-dictorg, dico-module-outline, dictd Replaces: dico-module-dictorg, dico-module-outline, dictd Provides: dict-server Homepage: http://puszcza.gnu.org.ua/software/dico/ Priority: optional Section: text Filename: pool/main/d/dico/dicod_2.1-3_armhf.deb Size: 171108 SHA256: b7f13f6309bb1c9d2e7f9193ac737dfe366bded82f4785b684d6bf7ab88f78a4 SHA1: 40e1a0f73566516d481566fb418a381a12b90379 MD5sum: 1c1ea3af08b1a1cb032a5b95f55aa0c8 Description: RFC 2229 compliant modular dictionary server GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package contains the dicod server, in addition to the following plugins: * ldap: provides LDAP support * substr: provides a substring search strategy * stratall: provides the 'all' search strategy Package: dicom3tools Version: 1.0~20120505-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 25809 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: dcmtk, libgdcm-tools, pvrg-jpeg Homepage: http://www.dclunie.com/dicom3tools.html Priority: extra Section: graphics Filename: pool/main/d/dicom3tools/dicom3tools_1.0~20120505-1_armhf.deb Size: 7180848 SHA256: cc79722ad89e2392c42ad9da7b8eb13f1be0a4a7ae95ba0c6c657ef175aa1d47 SHA1: 551665fea4fa8c3e0195c78f891383163c07fe8f MD5sum: f6f35b69ce95b828e3814e2563dc86ee Description: DICOM medical image files manipulation and conversion tools Command line utilities for creating, modifying, dumping and validating files of DICOM attributes. Support conversion of some proprietary medical image formats to DICOM. Can handle older ACR/NEMA format data, and some proprietary versions of that such as SPI. Package: dicomnifti Version: 2.30.0-1 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 588 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libnifti2, libstdc++6 (>= 4.6) Homepage: http://cbi.nyu.edu/software/dinifti.php Priority: optional Section: science Filename: pool/main/d/dicomnifti/dicomnifti_2.30.0-1_armhf.deb Size: 167650 SHA256: 7c0cd5ea297ed2d6690797403a15bb19dbf8e02793cd069db139a42bd8daaf83 SHA1: 2024403b37d05e9b60e1806ec019547ca8194539 MD5sum: 36630843a0ce434e8049f544d79ea831 Description: converts DICOM files into the NIfTI format The dinifti program converts MRI images stored in DICOM format to NIfTI format. The NIfTI format is thought to be the new standard image format for medical imaging and can be used with for example with FSL, AFNI, SPM, Caret or Freesurfer. . dinifti converts single files, but also supports fully automatic batch conversions of complete dicomdirs. Additionally, converted NIfTI files can be properly named, using image series information from the DICOM files. Package: dicompyler Version: 0.4.1-1-1 Installed-Size: 759 Maintainer: Debian Med Packaging Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-matplotlib (>= 0.99), python-numpy (>= 1.2.1), python-imaging (>= 1.1.7), python-dicom (>= 0.9.5~rc1), python-wxgtk2.8 (>= 2.8.8.1), python-sqlalchemy (>= 0.5.4), python-elixir (>= 0.6.1) Size: 306666 SHA256: 5dc1101abe20e1c1d95c141f87fb2d827df1c77d584523b481717fd66eb5274a SHA1: 02b270d16bbebe350e15435d248d273125159675 MD5sum: a9a23637457bb8cd0ac2864bd9c24e82 Description: radiation therapy research platform Dicompyler is an extensible, fully open source radiation therapy research platform based on the DICOM standard. It also functions as a cross-platform DICOM RT viewer. . Features: * Import CT Images, DICOM RT structure set, RT dose and RT plan files * Extensible plugin system with included plugins: - 2D image viewer with dose and structure overlay - Dose volume histogram viewer with the ability to analyze DVH parameters - DICOM data tree viewer - Patient anonymizer Homepage: http://code.google.com/p/dicompyler/ Section: science Priority: optional Filename: pool/main/d/dicompyler/dicompyler_0.4.1-1-1_all.deb Package: dicomscope Version: 3.6.0-10 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 3608 Depends: default-jre, jarwrapper (>= 0.5), libc6 (>= 2.13-28), libdcmtk2 (>= 3.6.0), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), tk8.6 | tk8.5 | tk8.4 | wish Homepage: http://dicom.offis.de/dscope.php.en Priority: extra Section: graphics Filename: pool/main/d/dicomscope/dicomscope_3.6.0-10_armhf.deb Size: 3007732 SHA256: 379df9992d51ac0243eabc80a268a8c5e07f40fdda839d5c2654e67ad03d3dfc SHA1: 1fdd718f1c8409345b3fce0d9ffd520c39451421 MD5sum: 260d8a8f739488a6ff9667d9bceb9f01 Description: OFFIS DICOM Viewer DICOMscope is a free DICOM viewer which can display uncompressed, monochrome DICOM images from all modalities and which supports monitor calibration according to DICOM part 14 as well as presentation states. . DICOMscope offers a print client (DICOM Basic Grayscale Print Management) which also implements the optional Presentation LUT SOP Class. . The development of this prototype was commissioned by the "Committee for the Advancement of DICOM" and demonstrated at the European Congress of Radiology ECR 1999. An enhanced version was developed for the "DICOM Display Consistency Demonstration" at RSNA InfoRAD 1999. . The current release has been demonstrated at ECR 2001 and contains numerous extensions, including a print server, support for encrypted DICOM communication, digital signatures and structured reporting. Package: dicoweb Source: dico Version: 2.1-3 Installed-Size: 228 Maintainer: أحمد المحمودي (Ahmed El-Mahmoudy) Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-dicoclient, python-django, python-memcache, python-wit, libapache2-mod-python | libapache2-mod-wsgi Size: 57734 SHA256: e27b8255c8ca6ff8193775e9f98d21499cccfdf323e6b0e82ce6d0716bfa7995 SHA1: 5b84be3b1bd9c2528a206b26568bafc0f620c7e5 MD5sum: 51955491079df5910ae9b9d85be1b381 Description: RFC 2229 compliant modular dictionary server (web interface) GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package provides a web interface for database searches. Homepage: http://puszcza.gnu.org.ua/software/dico/ Tag: implemented-in::python, interface::web, role::program, use::searching, works-with::dictionary Section: web Priority: optional Filename: pool/main/d/dico/dicoweb_2.1-3_all.deb Package: dict Source: dictd Version: 1.12.0+dfsg-5 Architecture: armhf Maintainer: Robert Luberda Installed-Size: 168 Depends: netbase, recode, libc6 (>= 2.13-28), libmaa3 (>= 1.2.0) Recommends: gawk, m4 Suggests: dictd | dict-server Provides: dict-client Homepage: http://sourceforge.net/projects/dict/ Priority: optional Section: text Filename: pool/main/d/dictd/dict_1.12.0+dfsg-5_armhf.deb Size: 82058 SHA256: eaabf8d753532ac443b36ceb6a89dc038b2af9f3ec0f5b2d1334b8f3afda1edb SHA1: 11662a6be22c02c2c9ed1b0765bfc0fb9c6f88ac MD5sum: a276a5041fdfb63ee9bf164740d80493 Description: dictionary client This package provides a client application to query a dictd server. The client-server protocol is TCP-based; the server may then be local or accessed through the network. . The DICT Development Group maintains several public servers which can be accessed from any machine connected to the Internet. The default configuration is to query one of these servers first. This may be changed in the configuration file /etc/dictd/dict.conf. . Queries may be customized by numerous command line options, including specifying the database(s) to be queried and the search strategy to be used. . This package also provides dictl, which allows using UTF-8 encoded dictionaries with terminals that do not support UTF-8. Package: dict-bouvier Version: 6.revised-3.2 Installed-Size: 2492 Maintainer: John Goerzen Architecture: all Suggests: dict, dictd Size: 2466606 SHA256: 898af9092c88cd66069e6b63e8838b29c39af9f44deb29f52fd7db4a373e282f SHA1: 6478a168a13671f7563844680244d55ece419361 MD5sum: 17564cf5db96dd991b65b971b465b15a Description: John Bouvier's Law Dictionary for the USA This is the 1856 Revised Sixth Ediition of John Bouvier's law dictionary, formatted for use with the dictd server. It is an excellent, if dated, way to look up information about legal words and principles. Tag: made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/d/dict-bouvier/dict-bouvier_6.revised-3.2_all.deb Package: dict-de-en Source: ding Version: 1.7-2 Installed-Size: 22589 Maintainer: Roland Rosenfeld Architecture: all Provides: dictd-dictionary Suggests: dictd | serpento | dict-server, dict | opendict | kdict Breaks: dictd (<< 1.10.1) Size: 14355372 SHA256: 22faa652467f9edf3cd42fcae19818fbd84a572d64ac8b203a429db7d111bf4d SHA1: c0018319c9f225a91fd5493c835369acbc0e5362 MD5sum: 428d76858c5ab255ddc96496dd659376 Description: German-English translation dictionary for dictd German-English and English-Deutsch translation dictionary for the dictd server. It contains approximately 270,000 entries. . The source of the database is available from http://dict.tu-chemnitz.de/ Homepage: http://www-user.tu-chemnitz.de/~fri/ding/ Tag: culture::TODO, culture::german, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/d/ding/dict-de-en_1.7-2_all.deb Package: dict-devil Version: 1.0-12 Installed-Size: 209 Maintainer: Sven Joachim Architecture: all Provides: dictd-dictionary Depends: dictd | dict-server Size: 180424 SHA256: dc95ab7334ec3e09ecd02b84f8259322c9d9bfb5b3d4d703ad85079e40e0dc88 SHA1: 8b0d55abc893e5797d5bf410a2d35f753bc1a319 MD5sum: 6fb951e5cf39b922203799d7561d771e Description: "The Devil's Dictionary" by Ambrose Bierce This package contains The Devil's Dictionary, a satirical, cynical and irreverent dictionary of common words, formatted for use by the dictionary server in the dictd package. Tag: made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/d/dict-devil/dict-devil_1.0-12_all.deb Package: dict-elements Version: 20001107-a-6 Installed-Size: 55 Maintainer: Thorsten Alteholz Architecture: all Provides: dictd-dictionary Depends: dictd | dict-server Size: 24742 SHA256: bd0ca1c3c46fbad947c4e89ce1fe6d4ee60cf4af00c3edff64eb9c34deb4a64e SHA1: 8a66223888712200e8c12f956d5d4ee759d8f738 MD5sum: 32d7251f21ce0330b165cfa7a022c8e6 Description: Data regarding the Elements This package contains Jay Kominek's compilation of physical and chemical data about the elements, updated 11/07/00 formatted for use by the dictionary server in the dictd package. . This package will be of limited use without the client and server found in the dict and dictd packages. Homepage: http://www.miranda.org/~jkominek/elements/ Tag: field::chemistry, made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/d/dict-elements/dict-elements_20001107-a-6_all.deb Package: dict-foldoc Version: 20120518-1 Installed-Size: 2488 Maintainer: Iustin Pop Architecture: all Provides: dictd-dictionary Depends: dictd | dict-server, base-files (>> 4) Size: 2387476 SHA256: b0a894ab64681115bbf1e072ab9b2449118765f4851330f0407efd09c36a660e SHA1: 8300842176f038da78be245af3137bf118f7b4b3 MD5sum: 99a2ccacf96d37b678dabdf74ede6d9a Description: FOLDOC dictionary database This package contains the FOLDOC, the Free On Line Dictionary of Computing, supplemented by a number of Debian-specific definitions, formatted for use by the dictionary server in the dictd package. . This package will be of limited use without the server found in the dictd package. Homepage: http://foldoc.org/ Tag: made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/d/dict-foldoc/dict-foldoc_20120518-1_all.deb Package: dict-freedict-afr-deu Source: freedict Version: 1.3-4 Installed-Size: 128 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 84566 SHA256: 1ccd2b32255704994cf5029de4975f5e0d2ba15da5e2e80e86ee05fcd80a43af SHA1: 765651a06ca1bf0011ea52e0f5e30053801828f5 MD5sum: 003d10e89f5d0a12d5b6ae04aaa76773 Description: Dict package for Afrikaans-German Freedict dictionary This is a package of the Afrikaans-German Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::afrikaans, culture::german, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-afr-deu_1.3-4_all.deb Package: dict-freedict-cro-eng Source: freedict Version: 1.3-4 Installed-Size: 2836 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 1825706 SHA256: 018749d32aadd21de57cbdefd5956011dd76b33f94b6309bc7cb773280e5fd6f SHA1: a82c2563b7c70de382843df20c1758c714f0cd4f MD5sum: 64daf59739117fad2b2d568792679a69 Description: Dict package for Croatian-English Freedict dictionary This is a package of the Croatian-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::croatian, made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-cro-eng_1.3-4_all.deb Package: dict-freedict-cze-eng Source: freedict Version: 1.3-4 Installed-Size: 40 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 16720 SHA256: 425c3c49f1bc03a30cfd8c7270fbf044dcb3977d4002d042083e9b6a26c25246 SHA1: 89d66e8eb5a7331a3dfb564329d4e92d14b74a49 MD5sum: 3a6993cfa9d7e9a49c38cbb515f9810c Description: Dict package for Czech-English Freedict dictionary This is a package of the Czech-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::czech, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-cze-eng_1.3-4_all.deb Package: dict-freedict-dan-eng Source: freedict Version: 1.3-4 Installed-Size: 124 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 80958 SHA256: 2f6e02dc78020ec3852cc4a1acc593ceeb46b4d242647510017090dc80598056 SHA1: 346662b00546ca1660debfe3bcfc6c8c2159d0d1 MD5sum: ad81623bd896b70bd54da9d6b74d8d04 Description: Dict package for Danish-English Freedict dictionary This is a package of the Danish-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::danish, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-dan-eng_1.3-4_all.deb Package: dict-freedict-deu-eng Source: freedict Version: 1.3-4 Installed-Size: 3028 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 2119540 SHA256: e0e27b119bc0418fdaedd8661c5735132bf7e6f007c94681c8be746398ba0657 SHA1: 4be02d7f71150b061ff581c6674cecad525b49c7 MD5sum: 3d6d153f93827f3fab86ca1182f676e0 Description: Dict package for German-English Freedict dictionary This is a package of the German-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::german, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-deu-eng_1.3-4_all.deb Package: dict-freedict-deu-fra Source: freedict Version: 1.3-4 Installed-Size: 232 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 152182 SHA256: 87e7eacc3383ec97f6fc310a10171ecccde1d78d25cc558f0bd3b1d53aa00490 SHA1: d913c357291403593d91d024760d60f12e3dd7f8 MD5sum: 00cbea971603258f1e6f4c8baaf8b273 Description: Dict package for German-French Freedict dictionary This is a package of the German-French Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::french, culture::german, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-deu-fra_1.3-4_all.deb Package: dict-freedict-deu-ita Source: freedict Version: 1.3-4 Installed-Size: 132 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 79538 SHA256: 5d0b58d166c0c1327e5313375e00c7912d4004d9a6162dd7743b7b225e5df152 SHA1: caffb5cb45a82cdcbaff8847f5aa0e4f6876f2d8 MD5sum: 403f8c104c193afb1973fa1f12ab2b9c Description: Dict package for German-Italian Freedict dictionary This is a package of the German-Italian Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::german, culture::italian, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-deu-ita_1.3-4_all.deb Package: dict-freedict-deu-nld Source: freedict Version: 1.3-4 Installed-Size: 420 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 304078 SHA256: 31e70b0d061ba4e7564679755c81ea08f8ed6bb0ffe0d5e85c18cf63af5176b1 SHA1: bfa4d1ecdb164f0753b215f63a82a363c93a320d MD5sum: a89eff3681b90c3b23a5b62192009f76 Description: Dict package for German-Dutch Freedict dictionary This is a package of the German-Dutch Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::dutch, culture::german, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-deu-nld_1.3-4_all.deb Package: dict-freedict-deu-por Source: freedict Version: 1.3-4 Installed-Size: 264 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 184552 SHA256: a4aa4506df770fdadc1c2234398e146b4f21aa6632c9c60625a7e3eccaed0ab0 SHA1: 245a9cb9c853fd72efbf9d870cd495251f437b69 MD5sum: 2919180ecb65754269dfc283eb265978 Description: Dict package for German-Portuguese Freedict dictionary This is a package of the German-Portuguese Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::german, culture::portuguese, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-deu-por_1.3-4_all.deb Package: dict-freedict-eng-ara Source: freedict Version: 1.3-4 Installed-Size: 2044 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 1279312 SHA256: 05676c44c5df6d40738b5b77b0807b8fd760fb32b6a8822f736fbcdf38261d23 SHA1: e5b2e23ddfecaa04d9df95bca92cd9429ccc5dee MD5sum: 0709d66a8f8381b8b975d036c6d37152 Description: Dict package for English-Arabic Freedict dictionary This is a package of the English-Arabic Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::arabic, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-ara_1.3-4_all.deb Package: dict-freedict-eng-cro Source: freedict Version: 1.3-4 Installed-Size: 2220 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 1545446 SHA256: f30d0a33175e4b247f163c4a5c130c1246b3781f5f75a29c1955fcf9afee37fb SHA1: fcdaca172ca421e6714d1d7225cbd36944610624 MD5sum: 377e8e37db2b84ac1f0fd6f2d50b9d42 Description: Dict package for English-Croatian Freedict dictionary This is a package of the English-Croatian Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::croatian, made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-cro_1.3-4_all.deb Package: dict-freedict-eng-cze Source: freedict Version: 1.3-4 Installed-Size: 3972 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 2503280 SHA256: e922628649e093757cf0d085ed9d92cbc3d5c1bd6908b54706181a6eec647517 SHA1: 9fd28ae9d26b442b23e80921c0b71322ebcc59cd MD5sum: 6716bdff329fc261f48660e7e37be080 Description: Dict package for English-Czech Freedict dictionary This is a package of the English-Czech Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::czech, made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-cze_1.3-4_all.deb Package: dict-freedict-eng-deu Source: freedict Version: 1.3-4 Installed-Size: 3124 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 2177116 SHA256: 8b7f5192738d11ef4f441e8d729ef12a7ea544b803b4c6a7513efa6f4aa8487f SHA1: e94ae7281e99f0dc1fdcef2e430a5b216f17692b MD5sum: 91489794c085bf8be7e875017b76793a Description: Dict package for English-German Freedict dictionary This is a package of the English-German Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::german, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-deu_1.3-4_all.deb Package: dict-freedict-eng-fra Source: freedict Version: 1.3-4 Installed-Size: 292 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 214156 SHA256: 2ea1b7c479c7262cf4d75e613171ae24848075ebf653257de150674a7b5c291b SHA1: 77f97efc09e79434c47e6d5416ea8be487b897d0 MD5sum: 32c05bbd6f5456b974e89faa313dc3c1 Description: Dict package for English-French Freedict dictionary This is a package of the English-French Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::french, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-fra_1.3-4_all.deb Package: dict-freedict-eng-hin Source: freedict Version: 1.3-4 Installed-Size: 1508 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 1301906 SHA256: b7fb01e3eeda745f1199e30e674b5e1e5ed55770692a67829aab3449a39c8d33 SHA1: 52a8b110a890d606440743591cc04161874ab30a MD5sum: d56a0bc817d090df3b81f675e5bebb7f Description: Dict package for English-Hindi Freedict dictionary This is a package of the English-Hindi Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::hindi, made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-hin_1.3-4_all.deb Package: dict-freedict-eng-hun Source: freedict Version: 1.3-4 Installed-Size: 3688 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 2681858 SHA256: d0a6629f0a73e1447b24771b2bb04428137271c992ff2bbd102bb3a7d0deb1ba SHA1: 0e5d19d5e674750cfe142b88ed2ccae380daa7fd MD5sum: 190d3561bdd5630b9f11d3a44b4db411 Description: Dict package for English-Hungarian Freedict dictionary This is a package of the English-Hungarian Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::hungarian, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-hun_1.3-4_all.deb Package: dict-freedict-eng-iri Source: freedict Version: 1.3-4 Installed-Size: 64 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 36714 SHA256: 3d046b9bc660cf72d31c97495a8cc7d67ebf1058e4470c06ca0c22cb4cc68167 SHA1: 55e122145db740955d13b06ab226761832a22de2 MD5sum: 0f3d5265913d5b2d7044791e77f3b7e4 Description: Dict package for English-Irish Freedict dictionary This is a package of the English-Irish Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::irish, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-iri_1.3-4_all.deb Package: dict-freedict-eng-ita Source: freedict Version: 1.3-4 Installed-Size: 124 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 80346 SHA256: 312f33bc3f2a3876d8b76b825ffcd702136d84cc6932fefcccf942be758f56e2 SHA1: 5e9005c4fc2c03bc8606a97f5e26512c707dfabc MD5sum: 6e3aa6d105a7124f1b87e2a9da33d9f0 Description: Dict package for English-Italian Freedict dictionary This is a package of the English-Italian Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::italian, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-ita_1.3-4_all.deb Package: dict-freedict-eng-lat Source: freedict Version: 1.3-4 Installed-Size: 108 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 71448 SHA256: eae68d0dbf35ea698536a9d506f0953b69b9a1d7230b331523a20a1ba0e020fa SHA1: 14eb9f89bf2d924d7f10a45ec2061006c226ef63 MD5sum: 5b30b9d08a6202729a952deca342dc35 Description: Dict package for English-Latin Freedict dictionary This is a package of the English-Latin Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-lat_1.3-4_all.deb Package: dict-freedict-eng-nld Source: freedict Version: 1.3-4 Installed-Size: 312 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 237540 SHA256: 8fbeb849d76531aa9770046e416102dde73fc3d1b8c10627c8faf174fc845ffd SHA1: 9b1f138e2e2ff2325f0e45685faccbe102dfd1ce MD5sum: 07830ef814d93380ae2024115fb8dec9 Description: Dict package for English-Dutch Freedict dictionary This is a package of the English-Dutch Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::dutch, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-nld_1.3-4_all.deb Package: dict-freedict-eng-por Source: freedict Version: 1.3-4 Installed-Size: 332 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 250302 SHA256: 6d03438dd695a701f370d5982ffb47128a54e586db7129c4ecca7c78d8abd591 SHA1: ef602d7da69cfa7ec3927cfa1e50c91057b83301 MD5sum: f06a9f35859e525188f86231a4792e73 Description: Dict package for English-Portuguese Freedict dictionary This is a package of the English-Portuguese Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::portuguese, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-por_1.3-4_all.deb Package: dict-freedict-eng-rom Source: freedict Version: 1.3-4 Installed-Size: 56 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 28416 SHA256: 7fb355e07660ed1f843d05806d9c07406b9c9c49ced799ccaf95c3c0074370d9 SHA1: 8f73a54e59e6356e7ba5e85d345756885f4e3f8d MD5sum: f3e418e93ab7a3ca79c9e042e29d170e Description: Dict package for English-Romanian Freedict dictionary This is a package of the English-Romanian Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::romanian, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-rom_1.3-4_all.deb Package: dict-freedict-eng-rus Source: freedict Version: 1.3-4 Installed-Size: 76 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 47300 SHA256: b080d4cb36c877e251a432f44e0940162764bc591d18ce4a738209fa85e53cc2 SHA1: 2ca23c0dce386f79996a97c9c474d66e4a6733ce MD5sum: d55f514fd160d4df8d09c02f7e0136c0 Description: Dict package for English-Russian Freedict dictionary This is a package of the English-Russian Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::russian, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-rus_1.3-4_all.deb Package: dict-freedict-eng-scr Source: freedict Version: 1.3-4 Installed-Size: 44 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 20752 SHA256: 600cae3e4c942a7cfd33a7c1f35ed4b8b52ee04c7a8c65378e353899496db7dd SHA1: 0f78c08dbf9ed9830d021a58f742da7182a00151 MD5sum: 19671899bc9e8d74b4cea21877af9358 Description: Dict package for English-Serbo-Croat Freedict dictionary This is a package of the English-Serbo-Croat Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::bosnian, culture::croatian, culture::serbian, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-scr_1.3-4_all.deb Package: dict-freedict-eng-spa Source: freedict Version: 1.3-4 Installed-Size: 196 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 139530 SHA256: e05934a1b8c19134daa731c976463d7c0212826f5210c741249ac076d3cc7784 SHA1: e682ba13b35299e94e567d8a94530f3406145c47 MD5sum: f25d6a1a87f41c7f64252132d76b33d2 Description: Dict package for English-Spanish Freedict dictionary This is a package of the English-Spanish Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::spanish, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-spa_1.3-4_all.deb Package: dict-freedict-eng-swa Source: freedict Version: 1.3-4 Installed-Size: 56 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 30302 SHA256: f6e744cbd7cc76af6d97e2df591b3bf638a710d3869eef52319bca16e7e6c99a SHA1: 681589a748cfb78eff6a7f5ff980c6faff637418 MD5sum: fc3f79b597b4b98bf6763c39a42184ae Description: Dict package for English-Swahili Freedict dictionary This is a package of the English-Swahili Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-swa_1.3-4_all.deb Package: dict-freedict-eng-swe Source: freedict Version: 1.3-4 Installed-Size: 184 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 129622 SHA256: a57809be1bb9476017dd19f7bfe58114cb14414528604a4852d1ed7b92cdc497 SHA1: 690edb7e5b9068315b0debc06e3af473af091490 MD5sum: 288de31e982856e1575a942413cc5230 Description: Dict package for English-Swedish Freedict dictionary This is a package of the English-Swedish Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::swedish, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-swe_1.3-4_all.deb Package: dict-freedict-eng-tur Source: freedict Version: 1.3-4 Installed-Size: 2296 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 2035394 SHA256: 29eed4c403561fe8f1f18b7f596624cb4aabfd592202c274272788d6cfe54b14 SHA1: 2309214ea12a409651438ac453989d2386b5da69 MD5sum: 442a564b47e1862e9d04fa0907fa3861 Description: Dict package for English-Turkish Freedict dictionary This is a package of the English-Turkish Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::turkish, made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-tur_1.3-4_all.deb Package: dict-freedict-eng-wel Source: freedict Version: 1.3-4 Installed-Size: 56 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 28910 SHA256: 9e7274f75841eb89d2d21f5d3ae3c29fe8d2dbc082e26ae05020bd3efcdd27cc SHA1: 194a4aeaad80ae0d8ae8a860a00773225e165ad7 MD5sum: 64af4856030bc127d5d1080e0f7e024f Description: Dict package for English-Welsh Freedict dictionary This is a package of the English-Welsh Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::welsh, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-eng-wel_1.3-4_all.deb Package: dict-freedict-fra-deu Source: freedict Version: 1.3-4 Installed-Size: 224 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 163826 SHA256: 988ff38d4bde1dd1ea7e3f6c1b24e56c304fc7cbdcf4b2c2c6d1ead78de7d51c SHA1: dcfb4af735297c7750a2529dbd56c8407f38ac91 MD5sum: 9f9df337ba8bb0836e42e7894c283556 Description: Dict package for French-German Freedict dictionary This is a package of the French-German Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::french, culture::german, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-fra-deu_1.3-4_all.deb Package: dict-freedict-fra-eng Source: freedict Version: 1.3-4 Installed-Size: 272 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 194564 SHA256: afd2f1b2fbde61a18c984f18a0e4fca414e2e978e62b5b407e342f9346abeb9d SHA1: b87e14cbef9bd305ec39e4dd1a477ff8f75e6b03 MD5sum: 00f666a09407efff0b43896ea3ada6d1 Description: Dict package for French-English Freedict dictionary This is a package of the French-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::french, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-fra-eng_1.3-4_all.deb Package: dict-freedict-fra-nld Source: freedict Version: 1.3-4 Installed-Size: 372 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 282250 SHA256: 4cc5f9c5995197eaa8fec0be2cfa347d78fd00fa1a586c81c20c54a7c88ff0b7 SHA1: 3fec7b250e59cc304084297bd8b9d874eca71e72 MD5sum: 7340ebdf405fae0eceed0792bc0b2c24 Description: Dict package for French-Dutch Freedict dictionary This is a package of the French-Dutch Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::dutch, culture::french, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-fra-nld_1.3-4_all.deb Package: dict-freedict-gla-deu Source: freedict Version: 1.3-4 Installed-Size: 32 Maintainer: Kęstutis Biliūnas Architecture: all Replaces: dict-freedict-sco-deu Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Conflicts: dict-freedict-sco-deu Size: 12466 SHA256: 4f891bb975a0e6212af768809d0fe8de1f88ef87f598029b158912c82a2d54a2 SHA1: 53bdd5859f85be386d22ecd8347e5f3e8f55d0b2 MD5sum: 292516386f3b7b335d868ea2f6fe37a0 Description: Dict package for Scottish Gaelic-German Freedict dictionary This is a package of the Scottish Gaelic-German Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::german, made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-gla-deu_1.3-4_all.deb Package: dict-freedict-hin-eng Source: freedict Version: 1.3-4 Installed-Size: 2316 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 1473648 SHA256: 64988f08b0b1bf0cc19d4cbefd0939ecc978ad79d7ce7d471ebdf7b22a82e213 SHA1: 697b9d904b990640ba98dd9499522f989570627e MD5sum: 1c698c7ef611d7c5cd878bb785b00ae8 Description: Dict package for Hindi-English Freedict dictionary This is a package of the Hindi-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::hindi, made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-hin-eng_1.3-4_all.deb Package: dict-freedict-hun-eng Source: freedict Version: 1.3-4 Installed-Size: 5616 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 3737226 SHA256: 7f6dfea4884023a676f98beda4458c6f58bb6f01c89e0902fd183c8755d8885c SHA1: af79cee872891290902d57a5522556c750f0f977 MD5sum: 1e5ad39192f70cb3df1d05d1e4ff9fd5 Description: Dict package for Hungarian-English Freedict dictionary This is a package of the Hungarian-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::hungarian, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-hun-eng_1.3-4_all.deb Package: dict-freedict-iri-eng Source: freedict Version: 1.3-4 Installed-Size: 56 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 29464 SHA256: ed554fe0fcc2f5326db086aac39eda27935cb83be96ad3448b08decb541aa7b3 SHA1: c939b98103c1765163db54c64959042e5012c083 MD5sum: b2ca6d681b074c6da290a05d97c47e3b Description: Dict package for Irish-English Freedict dictionary This is a package of the Irish-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::irish, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-iri-eng_1.3-4_all.deb Package: dict-freedict-ita-deu Source: freedict Version: 1.3-4 Installed-Size: 108 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 67324 SHA256: edb76924cedfa2b7afa0ecef119f0d5cc21258683f1e27bf2c11191ca8ce530d SHA1: 985b074796ef0d82fd63d91bf725f510278610a0 MD5sum: 389f5060b9d07043e7a73600714a4090 Description: Dict package for Italian-German Freedict dictionary This is a package of the Italian-German Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::german, culture::italian, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-ita-deu_1.3-4_all.deb Package: dict-freedict-ita-eng Source: freedict Version: 1.3-4 Installed-Size: 116 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 71148 SHA256: aa021a7e634c191f9f511d4554be810dffc47c81c0adf044d4300035d52c3d50 SHA1: ff30271ec5d35e6ced8cc48bee1e2875cda94e3c MD5sum: 646ba1ae8d9773a9c0bede6080c8aa44 Description: Dict package for Italian-English Freedict dictionary This is a package of the Italian-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::italian, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-ita-eng_1.3-4_all.deb Package: dict-freedict-jpn-deu Source: freedict Version: 1.3-4 Installed-Size: 40 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 16678 SHA256: 9b0f06efee4fd8d9d41b416b1308e69e7b40a38b94305ab6d30d8b0c472e8d33 SHA1: 34ee8c99835b93931e1c6801a2767fd4489a6d0b MD5sum: 44b755ff1475bb5eb9bd9792a8a61341 Description: Dict package for Japanese-German Freedict dictionary This is a package of the Japanese-German Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::german, culture::japanese, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-jpn-deu_1.3-4_all.deb Package: dict-freedict-lat-deu Source: freedict Version: 1.3-4 Installed-Size: 76 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 41734 SHA256: 390454bafece62d692a93c2d6f9f6defae29c0b9ebc0ef4d6175d3444f9dbf0b SHA1: f03983531528f096cbf5cd8d31e0e0218b975622 MD5sum: 8c39e2cd37b5bf442daf929d68d7ee4d Description: Dict package for Latin-German Freedict dictionary This is a package of the Latin-German Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::german, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-lat-deu_1.3-4_all.deb Package: dict-freedict-lat-eng Source: freedict Version: 1.3-4 Installed-Size: 80 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 49696 SHA256: 021a7ee17231e459906fd76e1b188f697be00f6a8b532a66af6a22d1fef9033f SHA1: 4e81eb90928f036f57e60bc2edf7c8959c56af70 MD5sum: d2a5fba9390a649a147f45dfb7af8132 Description: Dict package for Latin-English Freedict dictionary This is a package of the Latin-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-lat-eng_1.3-4_all.deb Package: dict-freedict-nld-deu Source: freedict Version: 1.3-4 Installed-Size: 596 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 444758 SHA256: 2d1e37dd3ff0b4dfad460854b46bde4f6b181e8ea07ba8f48ed7f65c52c5337e SHA1: 67db77bb8d22db4067c0bcb0772e4d4ce78c6a07 MD5sum: 88cda3fbc933bd2e96c590ece2d158f8 Description: Dict package for Dutch-German Freedict dictionary This is a package of the Dutch-German Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::dutch, culture::german, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-nld-deu_1.3-4_all.deb Package: dict-freedict-nld-eng Source: freedict Version: 1.3-4 Installed-Size: 760 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 555768 SHA256: c68063e11134d99180d8c4a0fd051286185704b184936e5d68a5e6e10a0fe019 SHA1: 87d01171e40e2e7e792d9f34966f4ace33609358 MD5sum: d2e440ff2f5aa9d1972c0db2eb09826f Description: Dict package for Dutch-English Freedict dictionary This is a package of the Dutch-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::dutch, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-nld-eng_1.3-4_all.deb Package: dict-freedict-nld-fra Source: freedict Version: 1.3-4 Installed-Size: 540 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 390166 SHA256: 0467ca63f992f84e7bbc0316da3d0bd22e151150c8f503222a2a8a59439bc794 SHA1: baf7918142165d469e4c75eb63c14ed9f4d138e0 MD5sum: a07c51fa04a8c9710d7c802bb560183f Description: Dict package for Dutch-French Freedict dictionary This is a package of the Dutch-French Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::dutch, culture::french, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-nld-fra_1.3-4_all.deb Package: dict-freedict-por-deu Source: freedict Version: 1.3-4 Installed-Size: 264 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 186156 SHA256: 1449c1d5c5b1feff466af605c6d4d9cd00d954308cdc425d521c82bc8d8df3f5 SHA1: 4d420d933b95e1834d870756ca0f121b0991492c MD5sum: 7f3b984821318b5f1e1459ed720b579c Description: Dict package for Portuguese-German Freedict dictionary This is a package of the Portuguese-German Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::german, culture::portuguese, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-por-deu_1.3-4_all.deb Package: dict-freedict-por-eng Source: freedict Version: 1.3-4 Installed-Size: 308 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 212790 SHA256: e44620d173dbea54c5ec71cd8f61daf17a66340ee1d40bb9665246787f921927 SHA1: 5ffd76059396bc86b664a01bbc2cef5ac9a96b02 MD5sum: 600307af751004810651a0bbd645b56b Description: Dict package for Portuguese-English Freedict dictionary This is a package of the Portuguese-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::portuguese, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-por-eng_1.3-4_all.deb Package: dict-freedict-scr-eng Source: freedict Version: 1.3-4 Installed-Size: 40 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 15524 SHA256: 523e17426e510f4fd21272e1a1798df3dea6fa974fdda09c7cda580c25a31395 SHA1: 0c3c484a9e43d39595bd736514128057e738f161 MD5sum: 38b5c7b2356180d8686177389862be40 Description: Dict package for Serbo-Croat-English Freedict dictionary This is a package of the Serbo-Croat-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::bosnian, culture::croatian, culture::serbian, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-scr-eng_1.3-4_all.deb Package: dict-freedict-slo-eng Source: freedict Version: 1.3-4 Installed-Size: 48 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 23978 SHA256: e07176045a905a464e1a8713cfa9e99f5913febd6daff8f0b18d9e957a69bc54 SHA1: 944d3d89f71098fd60fb5205ec9122169812fc8d MD5sum: ab6cb8b53b49b7d17560714f2107a921 Description: Dict package for Slovak-English Freedict dictionary This is a package of the Slovak-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::slovak, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-slo-eng_1.3-4_all.deb Package: dict-freedict-spa-eng Source: freedict Version: 1.3-4 Installed-Size: 148 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 97740 SHA256: 7470577eae9f9e8e2111f71bb938b9b101c21c3de70fcd7afddcebb82d257bf5 SHA1: b12b8fd0228c14a922b528b8cf1c1802e4ef2eed MD5sum: 39dfdf1419dda613663428f7c7aa0f10 Description: Dict package for Spanish-English Freedict dictionary This is a package of the Spanish-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::spanish, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-spa-eng_1.3-4_all.deb Package: dict-freedict-swa-eng Source: freedict Version: 1.3-4 Installed-Size: 60 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 32460 SHA256: 69265c2956e66409f53151ce528af3240f7f788381af5a6fc670c179f62a8628 SHA1: aab95c3a019a3afaa33197858e5810feb044d84f MD5sum: 2621cf8186c4fcd8663786d2aa4c28f8 Description: Dict package for Swahili-English Freedict dictionary This is a package of the Swahili-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-swa-eng_1.3-4_all.deb Package: dict-freedict-swe-eng Source: freedict Version: 1.3-4 Installed-Size: 148 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 99524 SHA256: c101a3c87a32537b2118ee91bfe92b4d81f9a86ed40a84d68d5a968f694cc06d SHA1: 574d503b45c51d3c61733e3983464fd27b494bfc MD5sum: 702e942f158e1f5065c86cb5505892e0 Description: Dict package for Swedish-English Freedict dictionary This is a package of the Swedish-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::swedish, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-swe-eng_1.3-4_all.deb Package: dict-freedict-tur-deu Source: freedict Version: 1.3-4 Installed-Size: 52 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 27192 SHA256: 131a3b6331676f28f02bc1459f5cb7515db288911de7fb7365b7f0b4c7e0a5cc SHA1: bcfa358b7c4bf5e0ed1b5b37d887f1d08c321343 MD5sum: 353466601865665c265da24c416ec0bf Description: Dict package for Turkish-German Freedict dictionary This is a package of the Turkish-German Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::german, culture::turkish, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-tur-deu_1.3-4_all.deb Package: dict-freedict-tur-eng Source: freedict Version: 1.3-4 Installed-Size: 6172 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 3709404 SHA256: 8e3b81320eebea3d9df82c37d154fc2cad0ce28e9ce4732818a3d5f461b2d996 SHA1: f54e855b4c71c84e5e56f0bda18a8b4fa748290c MD5sum: 66ef494c666ba1ba4a541f603d9cedaf Description: Dict package for Turkish-English Freedict dictionary This is a package of the Turkish-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::turkish, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-tur-eng_1.3-4_all.deb Package: dict-freedict-wel-eng Source: freedict Version: 1.3-4 Installed-Size: 44 Maintainer: Kęstutis Biliūnas Architecture: all Provides: dictd-dictionary Suggests: dict | opendict | kdict, dictd | serpento Size: 20834 SHA256: cd730b670e03723bbb03ee6843a4611f5bd5a9fe74d86c08a6b94e537fb5372b SHA1: 2692a69e097aa37a2cd465b4234ae6f72ca291d5 MD5sum: f5e31bc01f71eefe433b50e10739f696 Description: Dict package for Welsh-English Freedict dictionary This is a package of the Welsh-English Freedict dictionary, formatted for the dictionary server and client which uses the DICT Protocol. Homepage: http://freedict.org/ Tag: culture::welsh, made-of::dictionary, role::app-data, use::converting Section: text Priority: optional Filename: pool/main/f/freedict/dict-freedict-wel-eng_1.3-4_all.deb Package: dict-gazetteer2k Version: 1.0.0-5.2 Installed-Size: 8 Maintainer: John Goerzen Architecture: all Depends: dict-gazetteer2k-places, dict-gazetteer2k-counties, dict-gazetteer2k-zips Size: 2048 SHA256: 7a21ab9e24c7ed055cc38c26b88b45f22eaf6f1cfed3913f600fdd391c0820f8 SHA1: 1dca5722032deeddd7a737792fdd4984e86d0d6d MD5sum: 9abd6a5dbb1ad1ff6474fd87919c0aea Description: Placeholder package to install entire Gazetteer 2000 This package can be used to ensure that you always have the entire set of files comprising the 2000 Gazetteer installed. You might want to use this package if you want the entire gazetteer available. If you want only part of it on your server, you should not install this package. Tag: field::geography, role::metapackage Section: text Priority: optional Filename: pool/main/d/dict-gazetteer2k/dict-gazetteer2k_1.0.0-5.2_all.deb Package: dict-gazetteer2k-counties Source: dict-gazetteer2k Version: 1.0.0-5.2 Installed-Size: 572 Maintainer: John Goerzen Architecture: all Suggests: dict, dictd Size: 353788 SHA256: 82e25e55007dd48cb20e91f65f4a7c4fde598e97463fe11c84d12729533b7ec7 SHA1: 43cce7af223632af1b157d480f1ef9a394720a9e MD5sum: 6b8b3908d0c8244024046d878a634a75 Description: Counties Database for the 2000 US Gazetteer This is a database of (primarily) United States counties using information gathered in the 2000 census. Each entry contains: * Full name for the county * Type of entry (county, municipio, parish, borough, etc) * Total population and housing units * Total land and water area, in both mi^2 and km^2 * State the county is within * Latitude and longitude of the county Tag: field::geography, role::data Section: text Priority: optional Filename: pool/main/d/dict-gazetteer2k/dict-gazetteer2k-counties_1.0.0-5.2_all.deb Package: dict-gazetteer2k-places Source: dict-gazetteer2k Version: 1.0.0-5.2 Installed-Size: 2736 Maintainer: John Goerzen Architecture: all Suggests: dict, dictd Size: 2087730 SHA256: e6dad7a3ed6b9929179e7824058cece8ff62f35bf8077950c68f0f8c75c5779a SHA1: f1e6117b64db91a4fd1c2d700a86690e5b833494 MD5sum: 724378035673609e0eb14b3895bf0fa9 Description: Places Database for the 2000 US Gazetteer This is a database of (primarily) United States cities using information gathered in the 2000 census. Each entry contains: * Full name for the city * Type of entry (city, borough, village, etc) * Total population and housing units * Total land and water area, given in both square miles and square kilometers * Government FIPS code * State the city is within * Latitude and longitude of the city * ZIP codes for the location from 1990. Tag: field::geography, role::data Section: text Priority: optional Filename: pool/main/d/dict-gazetteer2k/dict-gazetteer2k-places_1.0.0-5.2_all.deb Package: dict-gazetteer2k-zips Source: dict-gazetteer2k Version: 1.0.0-5.2 Installed-Size: 2596 Maintainer: John Goerzen Architecture: all Suggests: dict, dictd Size: 2409314 SHA256: 8e89bcc05456e2029800eee790867be84f93c291901e36f1c0fcd3096f719733 SHA1: 05f26ece3d30a15a66f5d51807b6d0a4bb467e56 MD5sum: 5b518b43359590206076ec186c8625b7 Description: ZIP and ZCTA database for the 2000 US Gazetteer This is a database of United States ZIP code information. It contains a single database built from two separate sources: the 1990 Census ZIP code information and the 2000 Census ZCTA (ZIP Code Tabulation Area) information. These two pieces of information together provide a nice picture of an area. The 1990 ZIP Code Information provides: * The name of location for each ZIP code (normally a city) * The other ZIP codes corresponding to the same location. The 2000 ZCTA information provides: * Total population and housing unit count in a given ZIP code * Total land and water area for the ZIP code * The state in which the ZIP code is located * The latitude and longitude of the ZIP code Tag: field::geography, role::data Section: text Priority: optional Filename: pool/main/d/dict-gazetteer2k/dict-gazetteer2k-zips_1.0.0-5.2_all.deb Package: dict-gcide Version: 0.48.1 Installed-Size: 17121 Maintainer: Ritesh Raj Sarraf Architecture: all Replaces: dict-web1913 Provides: dict-web1913, dictd-dictionary Depends: dictd | dict-server Suggests: dict-wn Breaks: dict-web1913 (<= 1.4-0.47pd-3) Size: 15125594 SHA256: e227f8f9e720eb0b1b805ecec4eb4e5c1045784ab3871cdebd19c237d1242311 SHA1: dc691906a1d43b7a57faf3bdd81d7e89d6e153d8 MD5sum: 3ad3eb30722bf56c17ef03305b7ffa56 Description: Comprehensive English Dictionary This package contains the GNU version of the Collaborative International Dictionary of English, formatted for use by the dictionary server in the dictd package. The GCIDE contains the full text of the 1913 Webster's Unabridged Dictionary, supplemented by many definitions from WordNet, the Century Dictionary, 1906, and many additional definitions contributed by volunteers. . The definitions in the core of this dictionary are at least 85 years old, so they can not be expected to be politically correct by contemporary standards, and no attempt has been, or will be, made to make them so. . This package will be of limited use without the server found in the dictd package, or another RFC 2229 compliant server. Homepage: http://git.debian.org/?p=collab-maint/dict-gcide.git Tag: made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/d/dict-gcide/dict-gcide_0.48.1_all.deb Package: dict-jargon Version: 4.4.7-2 Installed-Size: 652 Maintainer: Ruben Molina Architecture: all Provides: dictd-dictionary Depends: dictd | dict-server Suggests: dict Size: 627352 SHA256: b03ababfd9e899c5aaf5e2004506d444eae199f95b47333990b6494512229bcc SHA1: fb6a796079c22f4a04708b4ea1bf15e49699e020 MD5sum: 2b15704485c79a1b72e2942a047fa812 Description: dict package for The Jargon Lexicon The Jargon File is a comprehensive compendium of hacker slang illuminating many aspects of hackish tradition, folklore, and humor. . This package includes "The Jargon Lexicon" (main section of The Jargon File, version 4.4.7, 29 Dec 2003), formatted for use by the dictionary server in the dictd package. . The complete Jargon File is available in the jargon-text package. Homepage: http://catb.org/jargon/ Tag: made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/d/dict-jargon/dict-jargon_4.4.7-2_all.deb Package: dict-moby-thesaurus Version: 1.0-6.2 Installed-Size: 11064 Maintainer: John Goerzen Architecture: all Suggests: dict, dictd Size: 11050554 SHA256: 189608922e4261a16b8f907f1f6a56695d775698887b6354ed5d8a6dbe94de9c SHA1: 7c7b9c8eedc884adf22f1ac3613eff20ff0eb778 MD5sum: fcdb877442391f8796d44c3e709dc616 Description: Largest and most comprehensive thesaurus Moby Thesaurus is the largest and most comprehensive thesaurus data source in English available for commercial use. This second edition has been thoroughly revised adding more than 5,000 root words (to total more than 30,000) with an additional _million_ synonyms and related terms (to total more than 2.5 _million_ synonyms and related terms). . This is formatted for use by the dictionary server in the dictd package. Tag: made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/d/dict-moby-thesaurus/dict-moby-thesaurus_1.0-6.2_all.deb Package: dict-vera Source: vera (1.17-6) Version: 1:1.17-6 Installed-Size: 340 Maintainer: Debian QA Group Architecture: all Provides: dictd-dictionary Depends: dictd | dict-server Size: 289158 SHA256: c6ed9fe6e3ccbcd99750179446a5f749a63701f279caa03fdc4631de12174525 SHA1: 1e53515026922e357523c5fde264274e57f44aa5 MD5sum: 02a4385fce5b27e5ecc74a14e1140034 Description: Dictionary of computer related acronyms -- dict format The free version of V.E.R.A. - Virtual Entity of Relevant Acronyms - is a comprehensive dictionary of computer related acronyms with more than 11000 entries. This package contains the dictionary formatted for use by the dictionary server in the dictd package. . Note that this version is usually older than the one that is run on the V.E.R.A. homepage. Homepage: http://home.snafu.de/ohei/vera/vera-e.html Tag: made-of::dictionary, role::app-data, suite::gnu Section: text Priority: optional Filename: pool/main/v/vera/dict-vera_1.17-6_all.deb Package: dict-wn Source: wordnet Version: 1:3.0-29 Installed-Size: 12294 Maintainer: Debian Science Team Architecture: all Provides: dictd-dictionary Recommends: dictd | dict-server Suggests: dict-gcide Size: 10896170 SHA256: a528e13e015cc80165015c02f6df57cd5f90ba265acceb65248067302af2c6f0 SHA1: 00b16e47b9be6582406ca0866024a53b63b0410d MD5sum: 57397df54f278c3bb4f8f47dd58407e5 Description: electronic lexical database of English language for dict WordNet(C) is an on-line lexical reference system whose design is inspired by current psycholinguistic theories of human lexical memory. English nouns, verbs, adjectives and adverbs are organized into synonym sets, each representing one underlying lexical concept. Different relations link the synonym sets. . WordNet was developed by the Cognitive Science Laboratory (http://www.cogsci.princeton.edu/) at Princeton University under the direction of Professor George A. Miller (Principal Investigator). . This package will be of limited use without the server found in the dictd package. Homepage: http://wordnet.princeton.edu/ Tag: made-of::dictionary, role::app-data, works-with::dictionary Section: text Priority: optional Filename: pool/main/w/wordnet/dict-wn_3.0-29_all.deb Package: dictconv Version: 0.2-7 Architecture: armhf Maintainer: Francesco Namuri Installed-Size: 134 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Recommends: dictzip Homepage: http://ktranslator.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/d/dictconv/dictconv_0.2-7_armhf.deb Size: 46140 SHA256: 33a31262187c00e96cc987b003358d0e36872650623f3fa35a91cc1b62f746d7 SHA1: afc8c6ae427455fe9212c10351a9a181d8f42f7b MD5sum: 2617e10e46153cd0ff36285888d73faa Description: convert a dictionary file type in another dictionary file type Dictconv is a small program to convert a dictionay file type in another dictionary file type. Currently, it supports converting from Babylon glossaries, Freedict dictionaries, Sdictionary dictionaries and Stardict dictionaries to DICT dictionaries, plain text dictionaries and StarDict dictionaries. More file types will be added in new versions. Package: dictd Version: 1.12.0+dfsg-5 Architecture: armhf Maintainer: Robert Luberda Installed-Size: 322 Depends: adduser, dictzip (>= 1.12.0+dfsg), lsb-base (>= 3.2-13), netbase, ucf (>= 3), update-inetd, debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libmaa3 (>= 1.2.0), zlib1g (>= 1:1.1.4) Recommends: dict | dict-client Suggests: dict-foldoc, dict-gcide | dictd-dictionary, dict-jargon, dict-wn Conflicts: dict-server Provides: dict-server Homepage: http://sourceforge.net/projects/dict/ Priority: optional Section: text Filename: pool/main/d/dictd/dictd_1.12.0+dfsg-5_armhf.deb Size: 146630 SHA256: 85509eeceb8febe387a44cb8f1e504877d239302a46b94b1c82f844e854c6e3b SHA1: e0dc4fec63519358cfef6b7057444ab9507f90be MD5sum: b54e0a050001ac0661d647879c25db8b Description: dictionary server This package provides a TCP-based server that allows a client to access dictionary definitions from a set of natural language dictionary databases. . Many dictionary databases have been packaged for use with dictd. They are described in the /usr/share/doc/dictd/README.Debian.gz file. . Either dict-gcide or dict-wn is essential for a useful English language dictionary server. It is strongly recommended that both be installed. If you are interested in computer terminology, it is recommended to install at least dict-jargon, dict-foldoc, or dict-vera. . The client program, dict, is packaged separately and is needed on all machines that will use the server. Package: dictem Version: 1.0.2-1 Installed-Size: 184 Maintainer: Yauheni Kaliuta Architecture: all Provides: dict-client Depends: dict (>> 1.9.14), emacs23 | emacsen Size: 33798 SHA256: 91aae84bba5e06b1fa54027f1948a5b45f0480f78f814002926078f33308e8f1 SHA1: cc304fa8ac25ca2f1e0f108f9039b37cee47b3c6 MD5sum: 7e0cf233e2a44ef40ed987b4c702fe7a Description: Dict client for emacs DictEm implements all functions of the client part of Dictionary Server Protocol(DICT) protocol (RFC-2229, www.dict.org), i.e looking up words and definitions, obtaining information about available strategies, provided databases, information about DICT server etc. . It uses autocompletion that is used for selecting dictionary and search strategy. Moreover, DictEm provides several hooks which may be used for buffer postprocessing. . Homepage: http://www.mova.org/~cheusov/pub/dictem Tag: implemented-in::lisp, interface::commandline, network::client, role::plugin, role::program, suite::emacs, works-with::dictionary Section: text Priority: optional Filename: pool/main/d/dictem/dictem_1.0.2-1_all.deb Package: dictfmt Source: dictd Version: 1.12.0+dfsg-5 Architecture: armhf Maintainer: Robert Luberda Installed-Size: 128 Depends: libc6 (>= 2.13-28), libmaa3 (>= 1.2.0) Recommends: dictzip Homepage: http://sourceforge.net/projects/dict/ Priority: optional Section: utils Filename: pool/main/d/dictd/dictfmt_1.12.0+dfsg-5_armhf.deb Size: 66138 SHA256: 120998bd293c6be0abd0fe94b32779071284ac145598621535e7e0ff49dd9ad9 SHA1: 4819895ed88a13b89d8fc6d9adce25af1be1caba MD5sum: 4a00bc70078433c4fd9ac46e223b5c80 Description: utility to format a file for use by a dictd server This package provides dictfmt. This utility can convert an input file to a dictionary database that conforms to the DICT protocol, and create a corresponding index file. . The package also provides dictunformat, which creates a raw database from a dictionary index and a dictionary database. Package: diction Version: 1.10~rc4-1 Architecture: armhf Maintainer: Chris Lawrence Installed-Size: 178 Depends: libc6 (>= 2.13-28) Priority: optional Section: text Filename: pool/main/d/diction/diction_1.10~rc4-1_armhf.deb Size: 42814 SHA256: 305feed11549681f423b170ac90941d8bfbcf631aa9063f9978011c96234f08a SHA1: 51d87cd4c144467824b505c107975251939fe631 MD5sum: 713ff4430860b5a57d4df3c366da2be3 Description: Utilities to help with style and diction (English and German) This is a free implementation of two Unix commands, style and diction. They may help you improve your writing. English and German rules are included. . Home Page: http://www.gnu.org/software/diction/diction.html Package: dictionaries-common Version: 1.12.11 Installed-Size: 900 Maintainer: Agustin Martin Domingo Architecture: all Replaces: openoffice.org-updatedicts Provides: openoffice.org-updatedicts Depends: debconf (>= 1.5.5) | debconf-2.0, libtext-iconv-perl Suggests: ispell, emacsen-common, jed-extra Breaks: hunspell-ar (<= 0.0.0+20080110-1.1), hunspell-da (<= 1:3.1.0), hunspell-de-at (<= 20100727-1), hunspell-de-ch (<= 20100727-1), hunspell-de-de (<= 20100727-1), hunspell-en-us (<= 20070829-4ubuntu2), hunspell-eu-es (<= 0.4.20081029-4), hunspell-gl-es (<= 2.2a-8), hunspell-kk (<= 1.1-1), hunspell-ko (<= 0.5.3-1), hunspell-se (<= 1.0~beta6.20081222-1.1), hunspell-uz (<= 0.6-3.1), hyphen-en-us (<< 2.8.3-1), myspell-bg (<= 3.0-12), myspell-ca (<= 0.6-10), myspell-cs (<= 20040229-5), myspell-cs-cz (<= 20040229-5), myspell-da (<= 1.6.25-1), myspell-de-at (<= 20100727-1), myspell-de-ch (<= 20100727-1), myspell-de-de (<= 20100727-1), myspell-de-de-oldspell (<= 1:2-27), myspell-el-gr (<= 0.8-1), myspell-en-au (<= 2.1-5), myspell-eo (<= 2.1.2000.02.25-42), myspell-es (<= 1.11-1), myspell-et (<= 1:20030606-12.1), myspell-eu-es (<= 0.4.20081029-4), myspell-fa (<= 0.20070816-2), myspell-fi (<= 0.7-17.3), myspell-fo (<= 0.2.44-2), myspell-fr (<= 1.4-25), myspell-fr-gut (<= 1:1.0-28), myspell-ga (<= 2.0-20), myspell-gd (<= 0.50-7), myspell-gl-es (<= 2.2a-8), myspell-gv (<= 0.50-9), myspell-he (<= 1.1-1), myspell-hr (<= 20060617-2), myspell-hu (<= 0.99.4-2), myspell-hy (<= 0.20.0-1), myspell-ku (<= 0.20.0-1.1), myspell-lv (<= 0.9.3-2), myspell-nb (<= 2.0.10-3.2), myspell-nl (<= 1:2.0-1), myspell-nn (<= 2.0.10-3.2), myspell-nr (<< 20070206-4ubuntu1), myspell-ns (<< 20070206-4ubuntu1), myspell-pl (<= 20100612-1), myspell-pt-br (<= 20101030-1), myspell-pt-pt (<= 20091013-2), myspell-ru (<= 0.99g5-8.1), myspell-sk (<= 0.5.5a-2.1fakesync1), myspell-sl (<< 1.0-3ubuntu1), myspell-ss (<< 20070206-4ubuntu1), myspell-sv-se (<= 1.3.8-6-2.2), myspell-tn (<< 20070206-4ubuntu1), myspell-ts (<< 20070207-4ubuntu1), myspell-uk (<= 1.6.0-1), myspell-ve (<< 20070206-3ubuntu1), myspell-xh (<< 20070206-4ubuntu1), myspell-zu (<< 20070207-5ubuntu1), mythes-it (<= 2.0.7.gh.deb1-3), openoffice.org-thesaurus-it (<< 2.0.7.gh.deb1-1.1ubuntu3) Size: 301776 SHA256: b2f46c4d95e63b4b1dcca0023cfa94cf68d95bccba8ab05d43e316952811d726 SHA1: 55241f1fd5d0ebace2aee06f2b928b6d60e0bb81 MD5sum: 312b4c6a31857e3f8d6b5db2815a0568 Description: Common utilities for spelling dictionary tools These are utilities shared by all ispell, myspell and wordlist dictionaries, including support for some tools that use ispell (like emacsen, jed and mutt) and some patched spell-checking lisp files for better system integration. More info about naming conventions and availability of those dictionaries in README.Debian file. . Maintainers should install dictionaries-common-dev as well, and read its documentation. Homepage: http://dict-common.alioth.debian.org Tag: implemented-in::lisp, implemented-in::perl, role::plugin, role::program, scope::utility, works-with::dictionary Section: text Priority: optional Filename: pool/main/d/dictionaries-common/dictionaries-common_1.12.11_all.deb Package: dictionaries-common-dev Source: dictionaries-common Version: 1.12.11 Installed-Size: 307 Maintainer: Agustin Martin Domingo Architecture: all Depends: debhelper (>= 4.1.13), dictionaries-common (>> 0.3.6) Size: 133178 SHA256: 07c3b94700dbb320808c54f02850ff5ef4e37d924ad22a35c0e62da5f567f45c SHA1: 0742e065b138e1a820a665257d7538b3a911d3a0 MD5sum: b504b9c5278eb443d483642021832d78 Description: Developer tools and Policy for spelling dictionary tools Full text of the Debian Spelling Dictionaries and Tools Policy and some debhelper like helpers. . Only of interest for those developers maintaining Debian ispell, myspell or wordlist dictionaries. Homepage: http://dict-common.alioth.debian.org Tag: devel::debian, devel::doc, devel::library, role::data, role::program, scope::utility, suite::debian, works-with::dictionary Section: devel Priority: extra Filename: pool/main/d/dictionaries-common/dictionaries-common-dev_1.12.11_all.deb Package: dictionary-el Version: 1.8.7-15 Installed-Size: 139 Maintainer: Aaron M. Ucko Architecture: all Provides: dict-client Depends: emacs | emacsen Size: 30020 SHA256: 7d3f8ed339d6797ed7339d776870b0ef3dfc2b2cef54846539914c9f8d29049e SHA1: ac512d59f7c8351fc8172b4cad88c4f7ca8c6400 MD5sum: 0e2614f1d805417c8d7167291fc04ab1 Description: dictionary client for Emacs dictionary-el is a DICT (RFC 2229) client for (X)Emacs, with support for brace-delimited cross references and UTF-8-encoded entries. Note that XEmacs bundles a slightly older version of this package. Homepage: http://www.myrkr.in-berlin.de/dictionary/ Tag: implemented-in::lisp, network::client, role::plugin, role::program, suite::emacs, use::checking, use::learning, use::searching, works-with::dictionary Section: lisp Priority: optional Filename: pool/main/d/dictionary-el/dictionary-el_1.8.7-15_all.deb Package: dictionaryreader.app Source: etoile Version: 0+20080616+dfsg-2 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 1668 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), gnustep-fslayout-fhs Homepage: http://etoileos.com Priority: optional Section: gnustep Filename: pool/main/e/etoile/dictionaryreader.app_0+20080616+dfsg-2_armhf.deb Size: 679066 SHA256: c27829376b99648c5a79c0fda5cd0d68afa27565f7b6a0182ba9476c45e4b78b SHA1: af116fc48c51204b6c89214832c6c6e4c6e4731c MD5sum: cc2b2a014920bb7e0474f571fbb3ddde Description: Dict client for GNUstep DictionaryReader is a dictionary application that queries Dict servers in the internet to let you look up words. It's aimed to be lightweight and easy to use. Package: dictzip Source: dictd Version: 1.12.0+dfsg-5 Architecture: armhf Maintainer: Robert Luberda Installed-Size: 112 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmaa3 (>= 1.2.0), zlib1g (>= 1:1.1.4) Replaces: dictd (<< 1.7.1-1) Homepage: http://sourceforge.net/projects/dict/ Priority: optional Section: text Filename: pool/main/d/dictd/dictzip_1.12.0+dfsg-5_armhf.deb Size: 58656 SHA256: fe1d669f1dc74a621cc8252efad8b58354c0910181e415be58de0534784e6ad1 SHA1: a8f67773043dfa4fafb5d3d3c45910e5f5058963 MD5sum: 81a928d851d00a0a053c4cc643fd979a Description: compression utility for dictionary databases This package provides a utility to compress dictionary databases with the LZ77 algorithm in a manner which is completely compatible with gzip(1), but using an extension that allows for random access to chunks of about 57kB without the overhead of decompressing the entire file. . This package also includes dictunzip, to decompress dictzipped files, and dictzcat, for viewing dictzipped files. Package: didiwiki Version: 0.5-11+deb7u2 Architecture: armhf Maintainer: Ignace Mouzannar Installed-Size: 53 Depends: libc6 (>= 2.13-28), adduser Priority: optional Section: web Filename: pool/main/d/didiwiki/didiwiki_0.5-11+deb7u2_armhf.deb Size: 29230 SHA256: 420dd40c2efe2b728f0e9bffa3c5c5ad2b62f73419aa3bf6b1bcc2224385232e SHA1: 83255585ef9b7e2b64e9aa32490c9b47f57523f6 MD5sum: a66de0b87f4ed842c227fd0c175ff9be Description: simple wiki implementation with built-in webserver DidiWiki is aimed at those who need a quick and lightweight wiki for personal use, such as notes, "to do" lists, etc. It is written in C, and has very low system requirements (binary size of ~25k stripped). This makes it particularly useful for handhelds. DidiWiki does not require installation of a separate webserver, scripting language, or database. Package: didjvu Version: 0.2.3-2+deb7u1 Installed-Size: 117 Maintainer: Daniel Stender Architecture: all Depends: djvulibre-bin (>= 3.5.21), minidjvu (>= 0.8), python (>= 2.7) | python-argparse, python-gamera (>= 3.2.3), python-imaging, python (>= 2.6.6-7~) Suggests: python-libxmp Size: 23954 SHA256: 529e615c3b4dcafc3ff3c875f24a336a05ab49e9366410055b2ea8989c5950d6 SHA1: 2950971af4e80c6e4fa9ece178e374c0bb36cca1 MD5sum: 0663530519b78d7d4f704e5368532582 Description: DjVu encoder with foreground/background separation The DjVu graphics format is very effective because it uses multiple layers which are differently compressed. For the derivation of the bitonal foreground layer ("mask") of (scanned document) images ("segmentation") didjvu uses the Gamera framework. . In the same process didjvu encodes the foreground mask together with the background counterpart into DjVu. That's also possible for a stack of images which can be processes into a bundled DjVu container very easily. The results are suitable for getting high- quality OCR data even from problematic scans from old documents. Furthermore, didjvu is capable of processing bitonal segmentation on colour scans. Homepage: http://jwilk.net/software/didjvu Tag: role::program, works-with-format::djvu Section: graphics Priority: optional Filename: pool/main/d/didjvu/didjvu_0.2.3-2+deb7u1_all.deb Package: dieharder Version: 3.31.1-4 Architecture: armhf Maintainer: Dirk Eddelbuettel Installed-Size: 772 Depends: libc6 (>= 2.13-28), libdieharder3, libgcc1 (>= 1:4.4.0), libgsl0ldbl (>= 1.9) Priority: optional Section: devel Filename: pool/main/d/dieharder/dieharder_3.31.1-4_armhf.deb Size: 240694 SHA256: 9a289f6ad955e38f2bd66109da675b8ec073fde7023b8fe925d4ff05605b9efb SHA1: 62b70da3a32b8142bb1072aab7631d4b5a222295 MD5sum: 7b822585ed65f675f2357376c78fabfb Description: Random-number generator test front-end dieharder is a fairly involved random number/uniform deviate generator tester. It can either test any of its many prebuilt and linked generators (basically all of those in the GNU Scientific Library plus any others I've been able to find) or a potentially random dataset in a file. With file input, it can test either N-bit bitstrings (with N user specifiable) or (double precision) floating point numbers in the range [0.0,1.0) (uniform deviates). It is thus suitable for use in testing both software RNG's and hardware RNG's. . This package provides the command-line frontend. Package: diet-agent Source: diet Version: 2.8.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 2129 Depends: libboost-regex1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libdiet-client2.8, libdiet-dagda2.8, libdiet-sed2.8, libgcc1 (>= 1:4.4.0), liblogforwarderutils2, libomniorb4-1 (>= 4.1.6), libomnithread3c2 (>= 4.0.6), libstdc++6 (>= 4.6), libxerces-c3.1, libxqilla6 Homepage: http://graal.ens-lyon.fr/DIET/ Priority: extra Section: science Filename: pool/main/d/diet/diet-agent_2.8.0-1_armhf.deb Size: 852302 SHA256: 996977bfff3f711b366eec5c390696369f80d69099533faf8812d55797d6be74 SHA1: 131224aa89ff74a584dabbf4d89806dd86827dc6 MD5sum: 6f6d90e15c280549b46ad9b8bc75f097 Description: DIET grid middleware - agent DIET (Distributed Interactive Engineering Toolbox) is a middleware designed for HPC (High Performance Computing) in heterogeneous and distributed environments (workstations, clusters, grids, clouds). DIET provides a standard-compliant implementation of OGF (Open Grid Forum) Grid RPC API. It works in a hierarchical fashion, clients requests computational resources to a hierarchy of agents which will choose the most adequate computational service (known as SeD or Server Daemon). . DIET is the core component of SysFera-DS HPC middleware (http://www.sysfera.com/SysFera-DS,10). . This package include agents necessary to run a DIET hierarchy. Package: diet-doc Source: diet Version: 2.8.0-1 Installed-Size: 1711 Maintainer: Debian Science Maintainers Architecture: all Size: 1710634 SHA256: f247429147ba050bde4a43507c58dfbef6318cc28db1efa2970c2ead837c8665 SHA1: bf78a9804764088dd45ff0a92cc2fc5b7e6fdc21 MD5sum: 66964160ec0ea84552a5409e63202165 Description: DIET grid middleware - developer documentation DIET (Distributed Interactive Engineering Toolbox) is a middleware designed for HPC (High Performance Computing) in heterogeneous and distributed environments (workstations, clusters, grids, clouds). DIET provides a standard-compliant implementation of OGF (Open Grid Forum) Grid RPC API. It works in a hierarchical fashion, clients requests computational resources to a hierarchy of agents which will choose the most adequate computational service (known as SeD or Server Daemon). . DIET is the core component of SysFera-DS HPC middleware (http://www.sysfera.com/SysFera-DS,10). . DIET documentation contains user manual and user FAQ in PDF forms. Homepage: http://graal.ens-lyon.fr/DIET/ Section: doc Priority: extra Filename: pool/main/d/diet/diet-doc_2.8.0-1_all.deb Package: dietlibc-dev Source: dietlibc Version: 0.33~cvs20120325-4+deb7u1 Architecture: armhf Maintainer: Hector Oron Installed-Size: 1894 Suggests: dietlibc-doc Homepage: http://www.fefe.de/dietlibc/ Priority: optional Section: libdevel Filename: pool/main/d/dietlibc/dietlibc-dev_0.33~cvs20120325-4+deb7u1_armhf.deb Size: 446270 SHA256: 732671246c79dccbed0fd476d4eb9279f13b12c7f712b8bbcd47671a700ff9ce SHA1: 8c1e7bf4c2ad0a529bf50e10c5c100f6e647275c MD5sum: 994cdf4f5d35c13bba50d8182cae2275 Description: diet libc - a libc optimized for small size The diet libc is a C library that is optimized for small size. It can be used to create small statically linked binaries for Linux on alpha, arm, ia64, mips, mipsel, ppc, parisc, s390, sparc and x86. . This package is used to compile programs with the diet libc. . The diet libc also supports dynamic linking, but this has been temporarily disabled because of problems with it. Package: dietlibc-doc Source: dietlibc Version: 0.33~cvs20120325-4+deb7u1 Installed-Size: 98 Maintainer: Hector Oron Architecture: all Suggests: dietlibc-dev Size: 56314 SHA256: 02e86a919e98d74fec2a4296d6944f453e4513956cd56e6a553a082cec903798 SHA1: 97cd2996cf74b1453d041ccc75eb2afac91a1a39 MD5sum: dd146d522ba45bd8ddbdbe7ec3410fdb Description: diet libc documentation - a libc optimized for small size The diet libc is a C library that is optimized for small size. It can be used to create small statically linked binaries for Linux on alpha, arm, ia64, mips, mipsel, ppc, parisc, s390, sparc and x86. . This package contains the documentation for the diet libc. Homepage: http://www.fefe.de/dietlibc/ Section: doc Priority: optional Filename: pool/main/d/dietlibc/dietlibc-doc_0.33~cvs20120325-4+deb7u1_all.deb Package: diffmon Version: 20020222-2.5 Installed-Size: 124 Maintainer: Jeff Bailey Architecture: all Depends: sendmail | mail-transport-agent, bash (>= 2.0) Size: 12088 SHA256: 12e863fc36018720cbf89095424df559782bc17ae0fcf5737f4df454aa99d082 SHA1: 0aa358439f6d5f081035fa35dd7a1187c3e92cb5 MD5sum: 2c7da160f186f340eca5254e012e2325 Description: Tool for reporting changes in system configuration This tool is run by a nightly cron job, and takes a `diff' of specified system configuration files and emails them to a specified email address. Options to diff can be specified. This is useful in friendly environments where there are multiple sysadmins working on configuration files/setups and everyone's changes are reported. CVS is a better answer, but most of us don't want to have the hassle of putting system files in a repository. Tag: interface::commandline, role::program, scope::utility, use::configuring, use::monitor, works-with::mail, works-with::text Section: admin Priority: optional Filename: pool/main/d/diffmon/diffmon_20020222-2.5_all.deb Package: diffpdf Version: 2.1.1-1 Architecture: armhf Maintainer: David Paleino Installed-Size: 455 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpoppler-qt4-3 (>= 0.18), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0) Homepage: http://www.qtrac.eu/diffpdf.html Priority: optional Section: utils Filename: pool/main/d/diffpdf/diffpdf_2.1.1-1_armhf.deb Size: 159808 SHA256: c53050aebf2882a35734de9f9951cb9cf02e9a2dd6f64c94cda0e70c188defd1 SHA1: 3a50e05d4777d460200e852b1217fdb1efb773ac MD5sum: 9361b669e587e2c8898d51437ba6fb2a Description: compare two PDF files textually or visually DiffPDF is used to compare two PDF files. By default the comparison is of the text on each pair of pages, but comparing the appearance of pages is also supported (for example, if a diagram is changed or a paragraph reformatted). It is also possible to compare particular pages or page ranges. For example, if there are two versions of a PDF file, one with pages 1-12 and the other with pages 1-13 because of an extra page having been added as page 4, they can be compared by specifying two page ranges, 1-12 for the first and 1-3, 5-13 for the second. This will make DiffPDF compare pages in the pairs (1, 1), (2, 2), (3, 3), (4, 5), (5, 6), and so on, to (12, 13). Package: diffstat Version: 1.55-3 Architecture: armhf Maintainer: Sandro Tosi Installed-Size: 76 Depends: libc6 (>= 2.13-28) Homepage: http://invisible-island.net/diffstat/ Priority: optional Section: devel Filename: pool/main/d/diffstat/diffstat_1.55-3_armhf.deb Size: 28612 SHA256: 9f71eeb93cb9223b0d86eb3c8fa2b632c8204e799281870bc2ea6f4dc1e91a3e SHA1: 25f011551c60e2e5e890e4ccdbc406cc00e4e7cc MD5sum: 280fabe493baf84956e46a553df2d412 Description: produces graph of changes introduced by a diff file This program is a simple filter that reads the output of the 'diff' program, and produces a histogram of the total number of lines that were changed. It is useful for scanning a patch file to see which files were changed. Package: diffuse Version: 0.4.6-1 Installed-Size: 1024 Maintainer: Philipp Huebner Architecture: all Depends: python-gtk2 (>= 2.10), python (>= 2.4) Suggests: desktop-file-utils Size: 197982 SHA256: 13eb10a2c9c8dccc9f8385aa41513f74bc4da520aa32dcb714f14ddbc2124805 SHA1: 77829f49b75583e0d7c800915c02708b253f3274 MD5sum: ac381f7fcdbcce0e0246dfd4c1cf514d Description: graphical tool for merging and comparing text files Diffuse is a graphical tool for merging and comparing text files. Diffuse is able to compare an arbitrary number of files side-by-side and gives users the ability to manually adjust line-matching and directly edit files. Diffuse can also retrieve revisions of files from bazaar, CVS, darcs, git, mercurial, monotone, Subversion and GNU Revision Control System (RCS) repositories for comparison and merging. Homepage: http://diffuse.sourceforge.net/ Tag: role::program, use::comparing Section: editors Priority: optional Filename: pool/main/d/diffuse/diffuse_0.4.6-1_all.deb Package: diffutils Version: 1:3.2-6 Architecture: armhf Essential: yes Maintainer: Santiago Vila Installed-Size: 1070 Pre-Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Depends: dpkg (>= 1.15.4) | install-info Suggests: diffutils-doc, wdiff Replaces: diff Homepage: http://www.gnu.org/software/diffutils/ Priority: required Section: utils Filename: pool/main/d/diffutils/diffutils_3.2-6_armhf.deb Size: 352504 SHA256: 485df388373ef561c789c90eac7ac2be64b96b95fce7fdb8c14b868d6a098584 SHA1: e7f20bda309f921d7e97dc820373a7054ca88131 MD5sum: 3e630e6324755fc7638ab00e2bdb828a Description: File comparison utilities The diffutils package provides the diff, diff3, sdiff, and cmp programs. . `diff' shows differences between two files, or each corresponding file in two directories. `cmp' shows the offsets and line numbers where two files differ. `cmp' can also show all the characters that differ between the two files, side by side. `diff3' shows differences among three files. `sdiff' merges two files interactively. . The set of differences produced by `diff' can be used to distribute updates to text files (such as program source code) to other people. This method is especially useful when the differences are small compared to the complete files. Given `diff' output, the `patch' program can update, or "patch", a copy of the file. Package: diffutils-doc Source: diffutils Version: 1:3.2-6 Installed-Size: 643 Maintainer: Santiago Vila Architecture: all Size: 116200 SHA256: ecf51b34b2769b994a30bd999ba0a6d171e329883c2e7e74bd6958bf2677c95c SHA1: 5af51839ba6b7ad5edfe81a676ed8dc23bda0f7d MD5sum: ae34a9cbce3b84ebb3cd4df64a4b2d43 Description: Documentation for GNU diffutils in HTML format This package contains the HTML documentation for GNU diffutils. Homepage: http://www.gnu.org/software/diffutils/ Tag: made-of::html, made-of::info, role::documentation, suite::gnu Section: doc Priority: optional Filename: pool/main/d/diffutils/diffutils-doc_3.2-6_all.deb Package: digikam Version: 4:2.6.0-1+deb7u1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 20767 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libgphoto2-2 (>= 2.4.10.1), libgphoto2-port0 (>= 2.4.10.1), libjasper1, libjpeg8 (>= 8c), libkdcraw20 (>= 4:4.7.1), libkdecore5 (>= 4:4.7.0), libkdeui5 (>= 4:4.7), libkdewebkit5 (>= 4:4.7), libkexiv2-10 (>= 4:4.7.1), libkfile4 (>= 4:4.7), libkhtml5 (>= 4:4.7), libkio5 (>= 4:4.7.0), libkipi8 (>= 4:4.8.4), libknotifyconfig4 (>= 4:4.7), libkparts4 (>= 4:4.7), liblcms1 (>= 1.15-1), liblensfun0, liblqr-1-0 (>= 0.4.0), libmarblewidget13 (>= 4:4.8.4-3), libnepomuk4 (>= 4:4.7), libopencv-core2.3, libopencv-highgui2.3, libopencv-imgproc2.3, libopencv-legacy2.3, libopencv-objdetect2.3, libphonon4 (>= 4:4.2.0), libpng12-0 (>= 1.2.13-4), libqjson0 (>= 0.7.1), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libsolid4 (>= 4:4.7), libsoprano4 (>= 2.1.67), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libx11-6, phonon, libqt4-sql-sqlite, digikam-data (= 4:2.6.0-1+deb7u1) Recommends: www-browser, kipi-plugins, mplayerthumbs Suggests: digikam-doc Homepage: http://www.digikam.org Priority: optional Section: kde Filename: pool/main/d/digikam/digikam_2.6.0-1+deb7u1_armhf.deb Size: 6799024 SHA256: 8c2427fe18970c05912dfb1555a91a8d04e101f1ffdb02e49d901d30a0ebbac6 SHA1: ed800341cb08609ace8e65fb1e26ac1720a4a764 MD5sum: a9483d7aa79e628f78dd0b6b349ee354 Description: digital photo management application for KDE Digital photo management program designed to import, organize, enhance, search and export your digital images to and from your computer. . It provides a simple interface which makes importing and organizing digital photographs a "snap". digiKam enables you to manage large numbers of digital photographs in albums and to organize these photographs for easy retrieval using tags (keywords), captions, collections, dates, geolocation and searches. It has many features for viewing, organizing, processing and sharing your images. Thus, digiKam is a formidable digital asset management (DAM) software including powerful image editing functions. . An easy-to-use camera interface is provided, that will connect to your digital camera and download photographs directly into digiKam albums. More than 1000 digital cameras are supported by the gphoto2 library. Of course, any media or card reader supported by your operating system will interface with digiKam. . digiKam incorporates a fast Image Editor with many image editing tools. You can use the Image Editor to view your photographs, comment and rate them, correct, enhance and alter them. The editing power can be easily extended by a set of plugins, the KDE Image Plugins Interface (manual) . While digiKam remains easy to use, it provides professional level features by the dozens. It is fully 16 bit enabled including all available plugins, supports RAW format conversion through libraw, DNG export and ICC color management work flow. . New Features of the KDE4 version: Runs on any platform that supports Qt4 and KDE4 Supports XMP metadata Reads, writes and converts into DNG format New RAW processing tool, gamma-correction in 16 bits Preview of video and audio files Database file is independent of photo libraries, enabling remote paths, multiple roots and offline archives Improved database with many more metadata that can be searched Marble integration, geographical filtering with the mouse on the map New search finds duplicates and similar images Help menu lists all RAW formats and digiKam component versions DNG, RWL, NEF and PEF RAW file can be metadata edited Usability improvements for netbooks Non-modal image editor Thumbnail bar in preview mode Live search boxes in both sidebars and main window New camera interface, capture tool, scanner interface New plugin based on lensfun for automatic lens error corrections . digiKam can also make use of the KIPI image handling plugins to extend its capabilities even further for photo manipulations, import and export, etc. The kipi-plugins package contains many very useful extensions. Package: digikam-data Source: digikam Version: 4:2.6.0-1+deb7u1 Installed-Size: 31716 Maintainer: Debian KDE Extras Team Architecture: all Size: 10945592 SHA256: d4ac06f1572f8b6834c1bfca8784370fd94ae3e90eba035ce38d2ee23e4bf6f7 SHA1: 88307ab62475bf8acc6bc7350e96bf827269f96c MD5sum: 0c0508086347b7abf51840145c34e08e Description: digiKam architecture-independant data Contains the significant amount of architecture-independent data that is shipped with digiKam. . It has been placed in an architecture all file to reduce space on the archives, rather than one copy for each architecture. Homepage: http://www.digikam.org Tag: role::app-data Section: graphics Priority: optional Filename: pool/main/d/digikam/digikam-data_2.6.0-1+deb7u1_all.deb Package: digikam-dbg Source: digikam Version: 4:2.6.0-1+deb7u1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 30599 Depends: digikam (= 4:2.6.0-1+deb7u1), showfoto (= 4:2.6.0-1+deb7u1), kdelibs5-dbg Homepage: http://www.digikam.org Priority: extra Section: debug Filename: pool/main/d/digikam/digikam-dbg_2.6.0-1+deb7u1_armhf.deb Size: 7045614 SHA256: b20c670e75147929429ee55754f064313076dd4e078224467439f64f618bd9ba SHA1: 57ffdec3c9361560da8d36c1a1bea4e9a470ed73 MD5sum: 71be62303c10acff4648aaae7eb7d928 Description: debugging symbols for digiKam The debugging symbols associated with digiKam and showFoto. They will automatically be used by GDB for debugging digiKam-related issues. . This package should be installed if you wish to obtain a backtrace with symbols. Package: digikam-doc Source: digikam Version: 4:2.6.0-1+deb7u1 Installed-Size: 19066 Maintainer: Debian KDE Extras Team Architecture: all Depends: khelpcenter4 Recommends: digikam Size: 18832060 SHA256: fba038fe057f499088b49479f19000698c57eea4367587210d19672a008722e5 SHA1: 02ddd82777308c5b11034b5e4ffb0e4ccf2a8358 MD5sum: 0ca0f02f4fa27e0afcf858cfb0ff254a Description: handbook for digiKam digiKam is an easy to use and powerful digital photo management application. . This package contains the handbooks for digiKam and kipi-plugins. Homepage: http://www.digikam.org Tag: role::documentation, use::learning Section: doc Priority: optional Filename: pool/main/d/digikam/digikam-doc_2.6.0-1+deb7u1_all.deb Package: digitemp Version: 3.5.0ds1-2 Architecture: armhf Maintainer: Ryan Finnie Installed-Size: 314 Depends: libc6 (>= 2.13-28), libusb-0.1-4 (>= 2:0.1.12) Suggests: rrdtool, python, mysql-server Homepage: http://www.digitemp.com Priority: optional Section: electronics Filename: pool/main/d/digitemp/digitemp_3.5.0ds1-2_armhf.deb Size: 122454 SHA256: 8a3776e67ea292a8c9d7deba2062f6f87a41f12fcafe9caf030d1d7d420c671c SHA1: 0f61fb8a5123be5ba324486aa53818da81193886 MD5sum: b6a42fdb52e30f69aa99d61e76c102be Description: read temperature sensors in a 1-Wire net Digitemp is a program that reads data coming from a 1-Wire network using a passive adapter (DS9097) or the newer active adapter (DS9097U), connected to a serial port. It also supports reading from USB adaptors like the DS2490. Basically it reads temperature sensors, but others are supported, like a humidity sensor. . Digitemp also supports branched networks using DS2409 couplers. Package: dillo Version: 3.0.2-2 Architecture: armhf Maintainer: Axel Beckert Installed-Size: 1111 Depends: libc6 (>= 2.13-28), libfltk1.3 (>= 1.3.0), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libx11-6, zlib1g (>= 1:1.1.4), wget Provides: www-browser Homepage: http://www.dillo.org/ Priority: extra Section: web Filename: pool/main/d/dillo/dillo_3.0.2-2_armhf.deb Size: 488986 SHA256: b6b6e1758d54af27fdfdc9ab1c48de3befed2129ca504c1b73a172b965bb7fab SHA1: 5b8f14e188604976fd4d296e5df321c7bf9ae6e1 MD5sum: 96341f851987dd4b9b8a03388c348be4 Description: Small and fast web browser Dillo 3 is a graphical multi-platform web browser known for its speed and small footprint. It is based on version 1.3 of the Fast and Light Toolkit (FLTK) in version 1.3 . It aims to be small in resources, stable, developer-friendly, usable, very fast, and extensible. Package: dimbl Version: 0.11-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 155 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libstdc++6 (>= 4.4.0), libtimbl3 Homepage: http://ilk.uvt.nl/dimbl/ Priority: extra Section: science Filename: pool/main/d/dimbl/dimbl_0.11-1_armhf.deb Size: 69338 SHA256: 8047a91b11681382a1933a9a3b7adbe2d419f60ae37f5cc1eb8489e4902deaee SHA1: 4163bbb5d881a5fc63f92ec5cbd2ecd5bb79a584 MD5sum: 62cd6e1ddebae0ba741146cab474d34c Description: Distributed Memory Based Learner Dimbl is a wrapper around the k-nearest neighbor classifier in TiMBL, offering parallel classification on multi-CPU machines. Dimbl splits the original training set, builds separate TiMBL classifiers per training subset, and merges their nearest-neighbor sets per classified instance . Dimbl's features are: * Wraps neatly around TiMBL, retaining all command line options; * Knows what to do with your multiple, duo, or quad cores; * Makes use of the OpenMP specification for parallel programming; * Can attain superlinear speed gains compared to standard TiMBL. . Dimbl is a product of the ILK Research Group (Tilburg University, The Netherlands). . If you do scientific research in Natural Language Processing using the Memory-Based Learning technique, Dimbl will likely be of use to you. Package: dime Version: 0.20030921-2 Architecture: armhf Maintainer: A. Maitland Bottoms Installed-Size: 64 Depends: libc6 (>= 2.4), libdime, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Priority: optional Section: graphics Filename: pool/main/d/dime/dime_0.20030921-2_armhf.deb Size: 17780 SHA256: 4e283155e5185736377efe8fa438e1a2718e1e11c4545a9c5008d71ccbb44cb9 SHA1: 755d6910cea8397a649f9e93f7b07079cd364191 MD5sum: eeff105414357658df84bb4bae641bae Description: DXF Import, Manipulation, and Export programs Dime is a C++ class library for reading, constructing, manipulating, and writing DXF file data. . This package comes with the programs dxf2vrml, which converts dxf to VRML files and dxfsphere, which makes triangle mesh approximations of spheres. Package: dimp1 Version: 1.1.4+debian2-1.1 Installed-Size: 4336 Maintainer: Debian Horde Maintainers Architecture: all Depends: horde3 (>= 3.2), imp4 (>= 4.2) Size: 1304272 SHA256: 0a7b7f43e1140d582f17084b070645d7599ccd9c73a9543ea1c77a63ffc09fbb SHA1: f7c2b5f09872f55f633ce15637d3e549fe5ab003 MD5sum: 0319db9eb11136a34c4e2c351714f62b Description: dynamic webmail component for horde framework DIMP is the Dynamic Internet Messaging Program or Dynamic IMP, a PHP-based webmail system and a component of the Horde project. DIMP is a version of the webmail client IMP utilizing AJAX-like technologies to allow a more dynamic user experience than traditionally offered via IMP. Homepage: http://www.horde.org/dimp/ Section: web Priority: optional Filename: pool/main/d/dimp1/dimp1_1.1.4+debian2-1.1_all.deb Package: ding Version: 1.7-2 Installed-Size: 288 Maintainer: Roland Rosenfeld Architecture: all Depends: tk8.4 | wish Recommends: trans-de-en (>= 1.4) | translation-dictionary Suggests: agrep, ispell, ingerman, ibritish, dict Breaks: trans-de-en (<< 1.4) Size: 94068 SHA256: e6149db9aa932b2748eb7ddf385d289ffb85f3cd22b5f1db327409c63eccb2a5 SHA1: d0dd02b3ae280b5c757fd9d0a06c2e397aa2a577 MD5sum: 68a34d97753a27f4f9142e7d82a9de47 Description: Graphical dictionary lookup program for Unix (Tk) This is "Ding" * a dictionary lookup program for Unix, * DIctionary Nice Grep, * a Tk based Front-End to [ae]grep, ispell, dict, ... * Ding {n} :: thing . This package needs agrep(1) or egrep(1) as a back end. agrep is preferable, because it supports fault tolerant searching. . You have to install some translation dictionary word list with a word/phrase in two languages in one line with some kind of separator between them. The default configuration of ding uses the German-English dictionary which can be found in the trans-de-en package, but you can use every other translation word lists with one entry per line. Homepage: http://www-user.tu-chemnitz.de/~fri/ding/ Tag: implemented-in::tcl, interface::x11, role::program, scope::application, uitoolkit::tk, use::checking, works-with::dictionary, x11::application Section: text Priority: optional Filename: pool/main/d/ding/ding_1.7-2_all.deb Package: dino Version: 0.2.8-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 590 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglademm-2.4-1c2a (>= 2.6.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libxml++2.6-2 (>= 2.34.2), libxml2 (>= 2.6.27), jackd Homepage: http://dino.nongnu.org/ Priority: optional Section: sound Filename: pool/main/d/dino/dino_0.2.8-3_armhf.deb Size: 219498 SHA256: 6e57603d4951dce13e74a001084cb3b297c1bc4d5715c9e125e29e7070bfe090 SHA1: 8e73df67649d820a21ea515ee088436bac6523de MD5sum: fec1d0d87bc91591698326f67aa88283 Description: Integrated MIDI piano roll editor and sequencer engine Dino is a pattern-based sequencer which allows users to write small patterns of MIDI events and repeat and arrange them to create a whole song. Each track has its own patterns, so it is possible for example to play the same drum pattern over and over again while different lead synth patterns and basslines are playing. Package: diod Version: 1.0.13-3 Architecture: armhf Maintainer: Євгеній Мещеряков Installed-Size: 1068 Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), liblua5.1-0, libmunge2 (>= 0.5.8), libncurses5 (>= 5.5-5~), libtinfo5, libwrap0 (>= 7.6-4~) Homepage: http://code.google.com/p/diod/ Priority: optional Section: admin Filename: pool/main/d/diod/diod_1.0.13-3_armhf.deb Size: 462334 SHA256: bb36e337daf5180c5127099b206ad87712690e8e7aa5e42b5cadac72613f9f27 SHA1: 4dfcaecef601a05a6b553b5c0c3e57c8752d59a6 MD5sum: c10938c26661bdeafa6b2bda90123b4a Description: I/O forwarding server for 9P diod is an I/O forwarding server that implements a variant of the 9P protocol from (9P2000.L) the Plan 9 operating system. When paired with a modern version of the v9fs Linux 9P client, diod allows a file system to be exported over a TCP/IP network in a manner similar to NFS. . The file system that is exported can itself be NFS or a parallel file system like Lustre or GPFS. This can be done with minimal loss of distributed semantics because the v9fs client (when used with appropriate mount options) has no page or directory cache - all I/O operations trigger a network request. The page cache effectively moves to the server system, with diod appearing as a multi-threaded user application accessing the file system in the usual way through the VFS. Package: diploma Version: 1.2.11 Installed-Size: 80 Maintainer: Andreas Franzen Architecture: all Suggests: texlive-latex-base, gcc | c-compiler, plotutils, wzip, gv, imagemagick Size: 27118 SHA256: 5cf24d04ca09018cb7e6aaa6ea467cfc870f527b08d3ebb43d2929bfb65a2650 SHA1: 9735d56b2c24e07b6de6829ef14cfbe49a89484f MD5sum: 4d7de84dcf1cc4727358fc8ebfa32ebd Description: Write scientific papers with Debian Debian GNU/Linux is widely used at universities to do research and to write papers with LaTeX. The package diploma contains examples which illustrate the possible ways to do this effectively with Debian GNU/Linux. Each example consists of a source tree where you can do "make" in the top level directory and then the source code is compiled, the measurement data are processed, and the results are converted into nice figures. Then the text is processed and combined with the figures to a print file. Tag: devel::buildtools, devel::examples, interface::commandline, role::data, use::typesetting, works-with-format::tex, works-with::image, works-with::text Section: doc Priority: optional Filename: pool/main/d/diploma/diploma_1.2.11_all.deb Package: dir2ogg Version: 0.11.8-1 Installed-Size: 88 Maintainer: Julian Andres Klode Architecture: all Depends: python (>= 2.4), python-mutagen, vorbis-tools, mpg123 | mpg321 Recommends: faad, wavpack, mplayer, musepack-tools Suggests: python-musicbrainz2, python-cddb, cdparanoia | icedax Size: 19676 SHA256: cadfee930297dff6bf33e556182fcc773f60094d6c490510698262b44ea045c5 SHA1: 3c5ad1f87d83627f3ee99a806a1d20a53c73e1a0 MD5sum: 3f6d20e3861341aec0b06da785db711e Description: audio file converter into ogg-vorbis format dir2ogg converts MP3, M4A, WMA, FLAC, WAV files and Audio CDs to the open-source OGG format. . It is a Python script that simply binds together the various decoders and oggenc making it easier for the user to convert his/her music files. It also supports ID3 tags. Homepage: http://jak-linux.org/projects/dir2ogg/ Tag: implemented-in::python, interface::commandline, role::program, use::converting, works-with-format::mp3, works-with-format::oggvorbis, works-with-format::wav, works-with::audio Section: sound Priority: optional Filename: pool/main/d/dir2ogg/dir2ogg_0.11.8-1_all.deb Package: dirac Version: 1.0.2-6 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1128 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://diracvideo.org/ Priority: optional Section: video Filename: pool/main/d/dirac/dirac_1.0.2-6_armhf.deb Size: 545116 SHA256: cea1975ccb40d671f98cb755a7da35c7800c45fdd44007eae2de7c9ef80ac6df SHA1: 2c019f905df367186d6839ab866623b55e6c9e0c MD5sum: 1a42843babba6035088ead966972cb65 Description: open and royalty free high quality video codec - commandline utilities Dirac is an advanced royalty-free video compression format designed for a wide range of uses, from delivering low-resolution web content to broadcasting HD and beyond, to near-lossless studio editing. . This package contains some general command line utilities for dirac. Package: dircproxy Version: 1.0.5-5.1 Architecture: armhf Maintainer: Alex Pennace Installed-Size: 207 Depends: libc6 (>= 2.4) Priority: optional Section: net Filename: pool/main/d/dircproxy/dircproxy_1.0.5-5.1_armhf.deb Size: 116084 SHA256: bfd0667aa778093c3af3f5447401a1a5e4427131fd600a0ff5163f73014a276a SHA1: 70273b726c169d113fab7d85e1edd359d6d573f2 MD5sum: f5428b29374eda4b52ce225c167be290 Description: IRC proxy for people who use IRC from different workstations dircproxy is an IRC proxy server designed for people who use IRC from lots of different workstations or clients, but wish to remain connected and see what they missed while they were away. You connect to IRC through dircproxy, and it keeps you connected to the server, even after you detach your client from it. While you're detached, it logs channel and private messages as well as important events, and when you re-attach it'll let you know what you missed. . This can be used to give you roughly the same functionality as using ircII and screen together, except you can use whatever IRC client you like, including X ones! Package: dirdiff Version: 2.1-5 Architecture: armhf Maintainer: Santiago Sánchez Paz Installed-Size: 187 Depends: libc6 (>= 2.13-28), tcl8.5 (>= 8.5.0), tk8.5 Homepage: http://samba.org/ftp/paulus/ Priority: optional Section: utils Filename: pool/main/d/dirdiff/dirdiff_2.1-5_armhf.deb Size: 42860 SHA256: 72b13b6f2f0f968d2dbfcc2747d2d09a28b149f5b74efe10a6539c7d3bda6504 SHA1: 4873afbee68188d5298cb59efb3a70f676ecc04d MD5sum: 2ad225267321244e582fc9c01ffbe11e Description: Display and merge changes between two directory trees Dirdiff can handle up to 5 trees. It displays a main window with a list of the files which are different between the trees, with colored squares to indicate the relative ages of the versions. A menu allows you to display the differences between any two of the versions in another window. Another menu allows you to copy the file from one tree to another. Package: directoryassistant Version: 2.0-1.1 Installed-Size: 78 Maintainer: Gustavo Franco Architecture: all Depends: python, python-gtk2 (>= 1.99.17-6), python-ldap (>= 1.9.999.pre14-1) Size: 21892 SHA256: bd671c3da13e9becb1432079d41deed9669efde67c8cca2f5959e2707faf337e SHA1: 401b66804797845886eea74aa191ed6802329a9a MD5sum: 0d9af92bd3ac0cded3c0a25fbc77be2a Description: small LDAP address book manager Directory Assistant is a small application for managing a LDAP address book. The focus is to create a very easy to use program, with only the few but necessary features. The target is novice users that still need to keep their addresses in an LDAP server. . It was written in Python language using GTK+ bindings. Homepage: http://olivier.sessink.nl/directoryassistant Tag: implemented-in::python, interface::x11, protocol::ldap, role::program, scope::application, uitoolkit::gtk, use::organizing, works-with::db, works-with::pim, x11::application Section: net Priority: optional Filename: pool/main/d/directoryassistant/directoryassistant_2.0-1.1_all.deb Package: directvnc Version: 0.7.7-1 Architecture: armhf Maintainer: Loris Boillet Installed-Size: 91 Depends: libc6 (>= 2.13-28), libdirectfb-1.2-9, libjpeg8 (>= 8c), zlib1g (>= 1:1.1.4) Recommends: x11proto-core-dev Homepage: http://drinkmilk.github.com/directvnc/ Priority: optional Section: misc Filename: pool/main/d/directvnc/directvnc_0.7.7-1_armhf.deb Size: 35018 SHA256: a9e2cb313228f16da97e3aa8d577668fd0d03f390249e829d218dc09684ea11d SHA1: 9f3b76a92395decd14ffcdbd8c25c3d1693acf65 MD5sum: 9c40598a90d5004d88f61bb8ed192252 Description: VNC client using the framebuffer as display DirectVNC is a client implementing the remote framebuffer protocol (rfb) which is used by VNC servers. If a VNC server is running on a machine you can connect to it using this client and have the contents of its display shown on your screen. Keyboard and mouse events are sent to the server, so you can basically control a VNC server remotely. Package: dirmngr Version: 1.1.0-3 Architecture: armhf Maintainer: Peter Eisentraut Installed-Size: 519 Depends: adduser, lsb-base (>= 3.2-13), libassuan0 (>= 2.0.2), libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libgpg-error0 (>= 1.10), libksba8 (>= 1.2.0), libldap-2.4-2 (>= 2.4.7), libpth20 (>= 2.0.7), dpkg (>= 1.15.4) | install-info Enhances: gpgsm, squid Homepage: http://www.gnupg.org/aegypten/ Priority: optional Section: utils Filename: pool/main/d/dirmngr/dirmngr_1.1.0-3_armhf.deb Size: 209164 SHA256: cf3006bd86dfb90ae699638c66f1528371878a96b6b8a3019f5ebf6a92a834eb SHA1: ed4ad4bb58e4e615af6f649ed74a857d4215bd1b MD5sum: 5de6a74eb9fd152f517ea55a3c1aa0ac Description: server for managing certificate revocation lists DirMngr is a server for managing and downloading certificate revocation lists (CRLs) for X.509 certificates and for downloading the certificates themselves. DirMngr also handles OCSP requests as an alternative to CRLs. DirMngr is either invoked internally by gpgsm or when running as a system daemon through the dirmngr-client tool. Package: dirvish Version: 1.2.1-1.2 Installed-Size: 198 Maintainer: Paul Slootman Architecture: all Depends: rsync (>= 2.5.6-0.1), perl-modules, libtime-modules-perl, libtime-period-perl, perl Recommends: ssh (>= 3.4p1) Size: 60902 SHA256: 7153d859ca48a51013030591cd3e2feda0a8a59010019b27e8c8dbc50ddef81f SHA1: 8a7ede17c05b97062e27c22f43de005b26cdcbc7 MD5sum: ad27023b22febb6ccd36bf36e67188d9 Description: Filesystem based backup system using rsync A utility to maintain multiple backups on online storage, each backup is available as a sort of snapshot directory, where common files are shared between the different backup generations. It uses rsync to do the actual copying. . Backups can be made locally or over the network (using ssh). Homepage: http://freecode.com/projects/dirvish Tag: admin::backup, implemented-in::perl, interface::commandline, role::program, scope::utility, use::synchronizing, works-with::file Section: admin Priority: optional Filename: pool/main/d/dirvish/dirvish_1.2.1-1.2_all.deb Package: dis51 Version: 0.5-1.1 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 56 Depends: libc6 (>= 2.7) Homepage: http://members.naspa.net/djs/software/dis51.html Priority: extra Section: devel Filename: pool/main/d/dis51/dis51_0.5-1.1_armhf.deb Size: 13308 SHA256: 05b5519571283cdb4781cf78905c65c2626b5145b50897590dc4b30d82ecc3a1 SHA1: daeb4c9f44639f67ed02c1be809f5daf0ac83c1e MD5sum: fd036235a016029f4ba57117a59c91b4 Description: Disassembler for 8051 code in Intel Hex format Dis51 is a simple 8051 disassembler. It takes an object file in Intel Hex (*.ihx or *.hex) format as input, and outputs an assembly language file. Package: disc-cover Version: 1.5.6-1 Installed-Size: 228 Maintainer: Stefan Ott Architecture: all Depends: perl, texlive-latex-base, texlive-base, texlive-latex-recommended, texlive-fonts-recommended, libaudio-cd-perl (>= 0.05), wget Size: 51454 SHA256: 4f99685bfef9bc341869ab7f8d9f30b8a13cfeaa63c77395a3fdab6d3b7619e3 SHA1: 5237fb033f1422256c700275ed40f4cf860c72bc MD5sum: 553f875a57060cc9933e48388e8b8424 Description: produces covers for audio CDs Disc-cover queries FreeDB for track information for a given CD and creates a jewel-case insert in one of many available formats. PostScript, PDF, Dvi, LaTeX, Plain Text, HTML, CDlabelgen and CDDB are available. Homepage: http://www.vanhemert.co.uk/disc-cover.html Tag: implemented-in::perl, interface::commandline, role::program, scope::application, use::printing, works-with-format::html, works-with-format::pdf, works-with-format::postscript, works-with-format::tex, works-with::text Section: utils Priority: optional Filename: pool/main/d/disc-cover/disc-cover_1.5.6-1_all.deb Package: discount Version: 2.1.3-3 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 92 Depends: libc6 (>= 2.13-28), libmarkdown2 (= 2.1.3-3) Conflicts: libtext-markdown-perl, markdown Homepage: http://www.pell.portland.or.us/~orc/Code/discount/ Priority: optional Section: text Filename: pool/main/d/discount/discount_2.1.3-3_armhf.deb Size: 23028 SHA256: 90ac36d8eccc50fb7370453876308644c21f7a00fe3e81d79795e602555233a2 SHA1: b64c98dee75d5a930d75172e7c2f1b86e6720a3a MD5sum: b679629e1023f842563dbbb43e19c875 Description: Implementation of Markdown markup language in C Discount is an implementation of John Gruber's Markdown markup language. It implements all of the language described in the Markdown syntax document and passes the Markdown 1.0 test suite. . This package provides the discount excutables. Package: discover Version: 2.1.2-5.2 Architecture: armhf Maintainer: Debian Install System Team Installed-Size: 117 Depends: libc6 (>= 2.13-28), libdiscover2 (= 2.1.2-5.2), debconf (>= 0.5) | debconf-2.0 Suggests: lsb-base Conflicts: discover1 (<< 2.0) Priority: optional Section: admin Filename: pool/main/d/discover/discover_2.1.2-5.2_armhf.deb Size: 43306 SHA256: cf3a23b2daaa03c4a423ccfc1ccb968af78bd360e230332076e4fe4cb9385f7c SHA1: f7db6a0ce806a45da125f81f08438f2ecf2ccfbe MD5sum: 495e67b8cbcd997643fb6b0ffee3874c Description: hardware identification system Discover is a hardware identification system based on the libdiscover2 library. Discover provides a flexible interface that programs can use to report a wide range of information about the hardware that is installed on a Linux system. In addition to reporting information, Discover includes support for doing hardware detection at boot time. Package: discover-data Version: 2.2010.10.18 Installed-Size: 3820 Maintainer: Debian Install System Team Architecture: all Recommends: pciutils (>= 1:2.2.4) Conflicts: libdiscover2 (<< 2.0.4-4) Size: 427626 SHA256: cbe71c7ea1d86c455068827d80bc23a6e686af7474f4c913fb4c4f48222b80bd SHA1: 87b170e9570e1d9324b6d675f91c4b391a9ec8ab MD5sum: daf892057833ccc76388577eef478085 Description: Data lists for Discover hardware detection system The Discover hardware detection library uses XML data files to describe software interfaces to various ATA, PCI, PMCMIA, SCSI, and USB devices. While the Discover library can retrieve data from anywhere on the net, it is often convenient to have a set of Discover XML data files on one's system; thus, this package. Tag: admin::boot, admin::configuring, admin::hardware, devel::library, hardware::detection, interface::commandline, role::app-data Section: libs Priority: optional Filename: pool/main/d/discover-data/discover-data_2.2010.10.18_all.deb Package: discus Version: 0.2.9-6 Installed-Size: 59 Maintainer: Debian QA Group Architecture: all Depends: python Size: 11146 SHA256: 9cc232d2777677eaf872040b98f8128ec415c572da12163957a7e099a05722dc SHA1: e5a85074a03a5481e6058b9f97deb75462e00c2d MD5sum: 7626f3554ad3bbbe175a9cdb17ffbd2a Description: pretty version of df(1) command Discus aims to make df prettier, with features such as color, graphs, and smart formatting of numbers (automatically choosing the most suitable size from kilobytes, megabytes, gigabytes, or terabytes). If you don't want Discus deciding the best sizes, you can also choose your own increments, along with specifying the number of decimal places you'd like to see. Homepage: http://www.raincrazy.com/software/discus/ Tag: implemented-in::python, interface::commandline, role::program, scope::utility, use::scanning Section: utils Priority: extra Filename: pool/main/d/discus/discus_0.2.9-6_all.deb Package: dish Version: 1.18.3-1 Installed-Size: 160 Maintainer: Dimitar Ivanov Architecture: all Depends: expect Recommends: openssh-client | ssh, telnet | inetutils-telnet | telnet-ssl Size: 51842 SHA256: 1da8a4d5336435651f03036748e9649118e65c3c08225f8a99cafbf6c787d68f SHA1: 1189dcaf4bc274dbdbcebab5e9d325610b51c653 MD5sum: c14d7ef3db71736490a5251604cb5d06 Description: diligence/distributed shell for parallel sysadmin Dish executes commands simultaneously on several systems via 'ssh', 'rsh', 'telnet', 'mysql', or any user-defined command-line connection client. It makes easy the distribution of files by 'scp' and 'rcp', but also a remote password change or operations requiring authentication by multiple passwords. Dish can be used as a flexible tool for managing large number of various types of hosts such as (clustered) servers, switches, databases, etc. Homepage: http://dish.mirendom.net Tag: role::program Section: admin Priority: optional Filename: pool/main/d/dish/dish_1.18.3-1_all.deb Package: disk-manager Version: 1.1.1-2 Installed-Size: 659 Maintainer: David Paleino Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-gtk2, python-glade2, menu Recommends: dmsetup Size: 112258 SHA256: aab6fcb657f89c70a9e7bf1a99d87f2d23b563fabf04cbca1574fd1f5a24bb0e SHA1: 724820ad564042a2a528cbf618bc23f35feb4c40 MD5sum: 17339215d017ed913f7b7ec56c634020 Description: simple graphical filesystem configurator Disk-Manager is a simple filesystem configurator that allows you to: * Automatically detect new partitions at startup. * Fully manage configuration of filesystem. * Enable/disable write support for NTFS (needs ntfs-3g installed). Homepage: http://flomertens.free.fr/disk-manager/ Tag: admin::boot, admin::configuring, admin::filesystem, hardware::storage, implemented-in::python, interface::x11, role::program, scope::utility, uitoolkit::gtk, use::configuring Section: admin Priority: optional Filename: pool/main/d/disk-manager/disk-manager_1.1.1-2_all.deb Package: disktype Version: 9-1 Architecture: armhf Maintainer: Juan Manuel Garcia Molina Installed-Size: 131 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Priority: optional Section: utils Filename: pool/main/d/disktype/disktype_9-1_armhf.deb Size: 40462 SHA256: cab6def5d71ddcffbf0b1c9afb8cd28cf5de301acdedecc8f85eedbf311244f2 SHA1: e398508910671ff0aa2fcfa953b56feee8585803 MD5sum: 5a40b9aee6a6dac2d218a340c3b5bae8 Description: detection of content format of a disk or disk image The purpose of disktype is to detect the content format of a disk or disk image. It knows about common file systems, partition tables, and boot codes. Package: disper Version: 0.3.0-1 Installed-Size: 420 Maintainer: Vincent Cheng Architecture: all Depends: python (>= 2.6.6-7~), libxrandr2, libx11-6 Recommends: libnotify-bin Size: 62612 SHA256: 95d111519a9615c82827634433c52320185e7d591816049804b46b448719dd32 SHA1: 8f16e7c609406f4b711e0ede03018b4dca3582ef MD5sum: 74a180e9e151f77daeb0d7485d111ff7 Description: display switcher for attaching/detaching displays easily No more headaches just before your presentation. Disper lets you add and remove display devices at the press of a button. It detects what display devices are attached at the moment, and configures the display output automatically. You can specify whether to clone the output on all displays, or to extend the desktop. Homepage: http://willem.engen.nl/projects/disper/ Tag: implemented-in::python, interface::commandline, role::program, scope::utility Section: utils Priority: optional Filename: pool/main/d/disper/disper_0.3.0-1_all.deb Package: display-dhammapada Version: 0.23-7 Architecture: armhf Maintainer: Jakub Nadolny Installed-Size: 328 Depends: libc6 (>= 2.4) Recommends: x11-utils Priority: optional Section: misc Filename: pool/main/d/display-dhammapada/display-dhammapada_0.23-7_armhf.deb Size: 109486 SHA256: 3102c5bcc4697a2e4073e87afd607ef58f6043da1d4176eb5f4b84210d716353 SHA1: 1e92da1fa3d7a1216f89755ac1ba10520331a27f MD5sum: 68e5225b4019ed2e911fdc2d480dc507 Description: fortune-like collection of Buddhist sayings This program displays a random verse from the English or Polish translations of the Dhammapada, a "versified Buddhist scripture traditionally ascribed to the Buddha himself" (from http://en.wikipedia.org/wiki/Dhammapada). . As this program works similarly to fortune, one may use it in shell profiles or .sig generators, among others. Package: dissy Version: 9-3 Installed-Size: 268 Maintainer: Varun Hiremath Architecture: all Depends: python (>= 2.4), python-central (>= 0.6.11), binutils, python-gtk2, python-rsvg Size: 31458 SHA256: 37b5eee6aa658d0b129d89fb66ffff030fa034273f4bef7a26d46abdbfad5ee9 SHA1: c062a27529d60ac6b14ee398b9eff7b30a3892a9 MD5sum: 9b39d022d4e5a8dd946961025608f84c Description: graphical frontend for objdump Dissy is a disassembler for Linux and UNIX which supports multiple architectures and allows easy navigation through the code. Dissy is implemented in Python and uses objdump for disassembling files. Dissy can be used for debugging, reverse engineering and checking compiler-generated code. Homepage: http://code.google.com/p/dissy/ Python-Version: >= 2.4 Tag: devel::debugger, devel::interpreter, implemented-in::python, uitoolkit::gtk Section: utils Priority: optional Filename: pool/main/d/dissy/dissy_9-3_all.deb Package: dist Version: 1:3.5-30-3.2 Installed-Size: 2067 Maintainer: Manoj Srivastava Architecture: all Depends: perl, debconf (>= 1.2.0) | debconf-2.0, libperl4-corelibs-perl | perl (<< 5.12.3-7) Size: 603414 SHA256: 1aef0599ac6236fa4343e687dbdd11c7e5d49fd081208bcc22446e893fd2953a SHA1: 40d1f3488c647ca1214c23e6bd6d2fc51f4009ec MD5sum: 21fc8740e05b1c60901114a4dbcf6d77 Description: Tools for developing, maintaining and distributing software. The dist package is a set of tools meant to ease the construction and maintenance of portable software. . The first component is the Configure script generator, metaconfig, which automatically builds the Configure script from your sources. Ideally, the end-user receiving your source code will simply have to read your README file, run the Configure script (which is self-documented), and then run make. . The second component is the Makefile.SH generator, which is a generic configured Makefile, reusing some of the information figured out by Configure, and a generic Jmakefile description. . The third component is the RCS aware package generator, which is used when it's time to build up the shell archives used to distribute your program. . The fourth and latest component is the patch generator, used to make updates of your sources, which can later be applied on the original distribution by using the patch program. Tag: admin::file-distribution, devel::buildtools, devel::code-generator, devel::lang:perl, implemented-in::perl, interface::commandline, role::program, role::shared-lib, scope::utility, works-with::software:source Section: devel Priority: optional Filename: pool/main/d/dist/dist_3.5-30-3.2_all.deb Package: distcc Version: 3.1-5 Architecture: armhf Maintainer: Daniel Hartwig Installed-Size: 486 Depends: libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), libpopt0 (>= 1.14), adduser (>= 3.52), debconf (>= 0.5) | debconf-2.0, netbase (>= 4.09), lsb-base (>= 3.2-13) Suggests: distccmon-gnome, ccache, distcc-pump, dbus Homepage: http://distcc.org/ Priority: optional Section: devel Filename: pool/main/d/distcc/distcc_3.1-5_armhf.deb Size: 236734 SHA256: 5e23e7fe52ed1f9aededb26ba75e01944b22fa028ec99a7301814847e3944be2 SHA1: 136576f5913487ff6fbe7a14a16b5a5a042425d3 MD5sum: 6b7b03a404e9e4685b1553d5866a7280 Description: simple distributed compiler client and server distcc is a program to distribute compilation of C or C++ code across several machines on a network. distcc should always generate the same results as a local compile, is simple to install and use, and is often significantly faster than a local compile. distcc does not require all machines to share a filesystem, have synchronized clocks, or to have the same libraries or header files installed. Package: distcc-pump Source: distcc Version: 3.1-5 Architecture: armhf Maintainer: Daniel Hartwig Installed-Size: 450 Depends: libc6 (>= 2.13-28), python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), distcc Homepage: http://distcc.org/ Priority: optional Section: devel Filename: pool/main/d/distcc/distcc-pump_3.1-5_armhf.deb Size: 138750 SHA256: 0d8af2d5b68911a0a814a4b336462d6d525dbde7ace398da8596b60e43507c2b SHA1: 1ae88e4375df5dc569f90df28f7f6b29e5faa181 MD5sum: f801c6d194b51114aa81105f3ca2103e Description: pump mode for distcc a distributed compiler client and server distcc is a program to distribute compilation of C or C++ code across several machines on a network. distcc should always generate the same results as a local compile, is simple to install and use, and is often significantly faster than a local compile. distcc does not require all machines to share a filesystem, have synchronized clocks, or to have the same libraries or header files installed. . this package contains the necessary additions for distcc's "pump" mode, which improves on plain distcc by distributing not only compilation but also preprocessing to distcc servers. If you have more than 10 CPUs involved in your distcc setup, you should consider using this package on your distcc client machine. Package: distccmon-gnome Source: distcc Version: 3.1-5 Architecture: armhf Maintainer: Daniel Hartwig Installed-Size: 130 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6 Suggests: distcc Homepage: http://distcc.org/ Priority: optional Section: devel Filename: pool/main/d/distcc/distccmon-gnome_3.1-5_armhf.deb Size: 43494 SHA256: 43fa3e9f5712b70abe765557b577d7d6c745805dac502250114b225d55011b6f SHA1: 9079094ad73a91fee23ebeeffcc21f9640f5d7c8 MD5sum: e570c9cc0abbd05051a1534c6792ba9e Description: GTK+ monitor for distcc a distributed client and server distcc is a program to distribute compilation of C or C++ code across several machines on a network. distcc should always generate the same results as a local compile, is simple to install and use, and is often significantly faster than a local compile. distcc does not require all machines to share a filesystem, have synchronized clocks, or to have the same libraries or header files installed. . this is the GTK+ monitor program. Package: distmp3 Version: 0.1.9.ds1-4.4 Installed-Size: 100 Maintainer: Jesus Climent Architecture: all Depends: vorbis-tools, perl5, adduser, debconf (>= 0.5) | debconf-2.0 Suggests: abcde Size: 21260 SHA256: 0d18f72b616c274b40fdfd59029c29e5a4d9bc8fc7231b46d40f768515728084 SHA1: 7fc3508ec135fa1d0c32e8f12e3191b076f1ac68 MD5sum: 4e1a01915cbaebe9db660df44050c2c1 Description: A Perl client and daemon for distributed audio encoding The distmp3 package contains a client, distmp3, and a daemon, distmp3host, which can be installed on many machines to provide fast distributed encoding of music (typically from a CD-ripper or batch script) using an encoder of your choice - usually MP3, Ogg Vorbis, or flac. . abcde is one such CD ripper wrapper which has builtin support for distmp3 and can use it very efficiently, especially in the case where the machine with the best CD-ROM drive is unable to encode the extracted audio at a satisfactory speed. . The current tradeoff is that the client may not pass command-line arguments to the encoder being run on the machines running the distmp3hosts. Tag: admin::cluster, interface::commandline, interface::daemon, network::client, network::server, role::program, use::converting, works-with-format::mp3, works-with-format::oggvorbis, works-with::audio Section: sound Priority: optional Filename: pool/main/d/distmp3/distmp3_0.1.9.ds1-4.4_all.deb Package: distro-info Version: 0.10 Architecture: armhf Maintainer: Benjamin Drung Installed-Size: 85 Depends: distro-info-data (>= 0.7~), libc6 (>= 2.13-28) Suggests: shunit2 (>= 2.1.6) Breaks: ubuntu-dev-tools (<< 0.133~) Replaces: ubuntu-dev-tools (<< 0.127~) Priority: optional Section: devel Filename: pool/main/d/distro-info/distro-info_0.10_armhf.deb Size: 18204 SHA256: b4625dc4fdd140a4269eb2cadcd3e3370fd97276dacabdada50e122143c7814d SHA1: cccd528dbdfeecb96df624bfd2e72afc8c5b4487 MD5sum: 7744c7b94eceec08361a4820049c6ac4 Description: provides information about the distributions' releases Information about all releases of Debian and Ubuntu. The distro-info script will give you the codename for e.g. the latest stable release of your distribution. To get information about a specific distribution there are the debian-distro-info and the ubuntu-distro-info scripts. Package: distro-info-data Version: 0.17~deb7u1 Installed-Size: 34 Maintainer: Benjamin Drung Architecture: all Replaces: distro-info (<< 0.3~) Breaks: distro-info (<< 0.3~) Size: 4866 SHA256: 49f2cb38bdf6b08a7aa2de0aeb0ca82b3a24c0a12d0681c70fbc94f1e31b9347 SHA1: 65c7c3c1f09fa44587010d5884f82f3a4f699f49 MD5sum: c4240a98c7a27063ad70efd07445332e Description: information about the distributions' releases (data files) Information about all releases of Debian and Ubuntu. The distro-info script will give you the codename for e.g. the latest stable release of your distribution. To get information about a specific distribution there are the debian-distro-info and the ubuntu-distro-info scripts. . This package contains the data files. Tag: devel::debian, role::app-data Section: devel Priority: optional Filename: pool/main/d/distro-info-data/distro-info-data_0.17~deb7u1_all.deb Package: disulfinder Version: 1.2.11-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 592 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), disulfinder-data Homepage: http://disulfind.dsi.unifi.it/ Priority: extra Section: science Filename: pool/main/d/disulfinder/disulfinder_1.2.11-2_armhf.deb Size: 269636 SHA256: be74f91301b2770d83fa7dad4a48608a05291b906df835da8e36d8eed6aa3e31 SHA1: b25f48d40308f6d4fc5bb677ea10fc9e2ab14d22 MD5sum: da1e89d2c600b0fafbe0869ea9dcb0cc Description: cysteines disulfide bonding state and connectivity predictor 'disulfinder' is for predicting the disulfide bonding state of cysteines and their disulfide connectivity starting from sequence alone. Disulfide bridges play a major role in the stabilization of the folding process for several proteins. Prediction of disulfide bridges from sequence alone is therefore useful for the study of structural and functional properties of specific proteins. In addition, knowledge about the disulfide bonding state of cysteines may help the experimental structure determination process and may be useful in other genomic annotation tasks. . 'disulfinder' predicts disulfide patterns in two computational stages: (1) the disulfide bonding state of each cysteine is predicted by a BRNN-SVM binary classifier; (2) cysteines that are known to participate in the formation of bridges are paired by a Recursive Neural Network to obtain a connectivity pattern. Package: disulfinder-data Source: disulfinder Version: 1.2.11-2 Installed-Size: 13464 Maintainer: Debian Med Packaging Team Architecture: all Size: 2106328 SHA256: cb50df399210f96b635c0b83626ad609fbe332493cf664ac30edae8fc0aa8937 SHA1: 0bf6287d3ce0172a3aba0f0e7f01f727450dc09d MD5sum: 19ff6296fc62e239b49907d47c0e1023 Description: data files for predictor of disulfide bonds in proteins 'disulfinder' is for predicting the disulfide bonding state of cysteines and their disulfide connectivity starting from sequence alone. . This package contains all data files to reduce the redundancy between architectures in Debian. Homepage: http://disulfind.dsi.unifi.it/ Tag: role::app-data Section: science Priority: extra Filename: pool/main/d/disulfinder/disulfinder-data_1.2.11-2_all.deb Package: dita-ot Version: 1.5.3-1 Installed-Size: 7303 Maintainer: Debian XML/SGML Group Architecture: all Depends: libcommons-codec-java, libicu4j-4.4-java, libxerces2-java, libxml-commons-resolver1.1-java Suggests: dita-ot-doc Size: 1261862 SHA256: 11c0b3a1a90843124c096f36a9f8f50fc49847b155cfcdc7048bb579d624bdc3 SHA1: bffd158f235b8a54086254332ab4553bf39c70c2 MD5sum: 693678b09a3385aeb9e3d6c7e658cc96 Description: transforms DITA content (maps and topics) into deliverable formats DITA Open Toolkit, or DITA-OT for short, is an independent, open source implementation of the OASIS owned and developed Darwin Information Typing Architecture (DITA) standard XML data model for authoring and publishing. Homepage: http://dita-ot.sourceforge.net Section: java Priority: optional Filename: pool/main/d/dita-ot/dita-ot_1.5.3-1_all.deb Package: dita-ot-doc Source: dita-ot Version: 1.5.3-1 Installed-Size: 468 Maintainer: Debian XML/SGML Group Architecture: all Suggests: dita-ot Size: 229792 SHA256: 3f5d89992b91798ecaa630a84cc8e9fbf3f4714cb65f193e4ccb23ed0824c798 SHA1: aee2eca3f33487be3671b2d01b986cac8bec5c1f MD5sum: 77327ff07b838744a3ffce6a03370ea9 Description: DITA Open Toolkit (documentation) DITA Open Toolkit, or DITA-OT for short, is an independent, open source implementation of the OASIS owned and developed Darwin Information Typing Architecture (DITA) standard XML data model for authoring and publishing. . This package contains the documentation for dita-ot. Homepage: http://dita-ot.sourceforge.net Section: doc Priority: optional Filename: pool/main/d/dita-ot/dita-ot-doc_1.5.3-1_all.deb Package: ditaa Version: 0.9+ds1-3 Installed-Size: 164 Maintainer: David Paleino Architecture: all Depends: default-jre | java6-runtime, jarwrapper (>= 0.5), junit4, libbatik-java, libcommons-cli-java, libxml-commons-external-java, libjericho-html-java (>= 3.1), default-jdk | java6-sdk Size: 107552 SHA256: 5ec1c514b96c8542dc4e022ce23bbb9c7d4f463f444923d8f7319e3dfebc0bae SHA1: c5ff24b0c14b3794ccc6d408ee0dbe64d00edc79 MD5sum: d4242a310e18572db1b7bdb4e333f229 Description: convert ASCII diagrams into proper bitmap graphics DiTAA is a small command-line utility that can convert diagrams drawn using ASCII art ("drawings" that contain characters that resemble lines, like | / and -), into proper bitmap graphics. . DiTAA also uses special markup syntax to increase the possibilities of shapes and symbols that can be rendered. Homepage: http://ditaa.org Tag: implemented-in::java, role::program, scope::utility, use::converting, works-with::text Section: graphics Priority: optional Filename: pool/main/d/ditaa/ditaa_0.9+ds1-3_all.deb Package: ditrack Version: 0.8-1.1 Installed-Size: 396 Maintainer: Jose M. Moya Architecture: all Depends: python, python-central (>= 0.6.11), subversion Size: 70904 SHA256: d6d96b864aa9fbd588dffe6ef9ee59a1ec9d62c0184658543b4767ed04966895 SHA1: ab2ba4025563d370caf1902329853ed8afae8b4d MD5sum: 5c82fca95f5cc8003c40683cb2a95145 Description: lightweight distributed issue tracking system DITrack is a free, open source, lightweight, distributed issue (bug, defect, ticket) tracking system using a Subversion repository instead of a backend database. It is written in Python and runs in UNIX environment (*BSD, Linux, MacOS X). Homepage: http://www.ditrack.org/ Python-Version: current Tag: devel::bugtracker, implemented-in::python, role::program Section: devel Priority: extra Filename: pool/main/d/ditrack/ditrack_0.8-1.1_all.deb Package: ditz Version: 0.5-1 Installed-Size: 276 Maintainer: Daniel Watkins Architecture: all Depends: ruby1.8, libtrollop-ruby Size: 45072 SHA256: 311170349039682867378c9b36c8b3bd0a117f6d9ac6dc67b0878588e8943849 SHA1: 8e2c38182a211c532977129647f2d69c8dad62d6 MD5sum: d254ffedc4c20d19fb1101c302473306 Description: distributed issue tracker Ditz is a simple, light-weight distributed issue tracker designed to work with distributed version control systems like git, darcs, Mercurial, and Bazaar. It can also be used with centralized systems like SVN. . Ditz maintains an issue database directory on disk, with files written in a line-based and human-editable format. This directory can be kept under version control, alongside project code. . Ditz provides a simple, console-based interface for creating and updating the issue database file, and some rudimentary static HTML generation capabilities for producing world-readable status pages. Tag: devel::bugtracker, implemented-in::ruby, interface::commandline, role::program, works-with::bugs Section: devel Priority: optional Filename: pool/main/d/ditz/ditz_0.5-1_all.deb Package: diveintopython Version: 5.4-2 Installed-Size: 4220 Maintainer: Ross Burton Architecture: all Recommends: python Size: 506410 SHA256: b14dfa0836a26e64aae4016f30da71cb22c74e79ec5e7eacb0580ec74ec554e3 SHA1: 89ff1b53eb40163a1108249ceceee44c4721c024 MD5sum: 030ace2d781a6f1b976b9c531856cf60 Description: free Python book for experienced programmers Dive Into Python is a free Python tutorial, written by Mark Pilgrim. Tag: devel::doc, devel::examples, devel::lang:python, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/d/diveintopython/diveintopython_5.4-2_all.deb Package: diveintopython-zh Version: 5.4b-1 Installed-Size: 4124 Maintainer: ZhengPeng Hou Architecture: all Recommends: python Size: 539726 SHA256: c11e36af61429d6113909d6ff6a3339f1a739495815e2b3dadc745450af1c99a SHA1: efaa5dcb3019cd6311f6a5d64702167b86c42081 MD5sum: ec509251772cf78f83e60d94cfd16d14 Description: free Python book for experienced programmers (zh translation) Dive Into Python is a free Python tutorial, written by Mark Pilgrim. . This is the translated version for Simplified Chinese users . Homepage: http://www.woodpecker.org.cn/diveintopython/ Tag: culture::chinese, devel::doc, devel::examples, devel::lang:python, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/d/diveintopython-zh/diveintopython-zh_5.4b-1_all.deb Package: diveintopython3 Version: 20110517+77958af-1 Installed-Size: 1828 Maintainer: Christian Kastner Architecture: all Depends: libjs-jquery Recommends: python3, python3-doc Suggests: diveintopython Size: 854276 SHA256: e11dfe010407f664ec083ce07b46abb1dbcf85c696815ff677c56e344128576f SHA1: 3ca510a0d2da7d44c446dab7a5f6ef1176d1cc5d MD5sum: 08943bde9f720d77383dc609c508e71b Description: Book for learning Python 3 "Dive Into Python 3" is the successor to Mark Pilgrim's "Dive Into Python", a popular book for learning programming with Python 2.x. It has been adapted to Python 3.x, and contains about 20% revised and 80% new material. Homepage: http://www.diveintopython3.org/ Tag: devel::doc, devel::examples, devel::lang:python, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/d/diveintopython3/diveintopython3_20110517+77958af-1_all.deb Package: divxcomp Version: 0.1-7 Installed-Size: 24 Maintainer: Dario Minnucci Architecture: all Replaces: divxcalc Depends: perl-tk Size: 5042 SHA256: eba5512262652c5db9c2ce2f55f170384ff463882213206aeb24249f7f424f93 SHA1: 66820aa4d2578b4d93bbfa0cb4ddced8b79319b9 MD5sum: 68bcf0164fb4f383cca9de1c8b93b0d9 Description: bitrate calculator for DivX;-) movies written in perl This is a bitrate calculator for DivX;-) movies. it helps you to calculate (given the preferred size of the movie the sound bitrate and the length of the movie) the correct encoding video bitrate for a given movie. Homepage: http://users.telenet.be/cr50624/projects.html Tag: implemented-in::perl, interface::x11, role::program, scope::utility, uitoolkit::gtk, use::checking, works-with-format::mp3, works-with::audio, works-with::video, x11::application Section: utils Priority: optional Filename: pool/main/d/divxcomp/divxcomp_0.1-7_all.deb Package: dizzy Version: 0.3-1 Installed-Size: 152 Maintainer: Debian Perl Group Architecture: all Depends: perl, libconvert-color-perl, libopengl-perl (>= 0.58), libsdl-perl (>= 2.500) Size: 29866 SHA256: c1b2a2d1fa1f8791f2eb9585ef980ff7a771efe1605e95f0701399c213558af8 SHA1: a276c7ce643e345f9d16ad1316eadd42b2ae0e25 MD5sum: 80815e7328b5fb80683120f1f15bf5e8 Description: Graphics demo that makes you dizzy using rotating textures dizzy is a graphics demo that rotates planes of patterns on a colored background to make you dizzy. Textures can be cross-faded and there is a mode that automatically changes textures, allowing dizzy to be run as a screensaver. Homepage: http://penma.de/code/dizzy Tag: role::program, works-with::people Section: games Priority: optional Filename: pool/main/d/dizzy/dizzy_0.3-1_all.deb Package: djagios Version: 0.1.3+dfsg-1 Installed-Size: 272 Maintainer: Laurent Bigonville Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-django (>= 1.1), libjs-jquery, python-mysqldb | python-pysqlite2 | python-psycopg2 Recommends: apache2, libapache2-mod-wsgi | libapache2-mod-python Suggests: nagios3 Size: 41284 SHA256: eb62ba83fe01b328841f548d33d44297ea64a6b5fb89f7e311d92a5735ba6bcb SHA1: b18da4baa5bb7568d9c78c64361576691714a4f0 MD5sum: f53728f38c6637028ddf7855c58b4a7d Description: A package to help configure nagios written in Django Djagios is an open source Nagios web based configuration tool with a complete Python Nagios API. . The main goal of the tool was to make Nagios usable for non-Nagios admins. The initial install and configuration would have to be done by Nagios administrators. But once done ordinary users can add servers, appliances, devices, services themselves. Homepage: http://www.djagios.org/ Python-Version: 2.5, 2.6 Section: admin Priority: optional Filename: pool/main/d/djagios/djagios_0.1.3+dfsg-1_all.deb Package: django-ajax-selects Version: 1.2.4-1 Installed-Size: 100 Maintainer: Brian May Architecture: all Depends: python, python-support (>= 0.90.0), python-django, libjs-jquery Size: 15732 SHA256: c427c3e61cef2107addc7b2f11b25258deb216e3ed5fdd3382a2f330690ecf24 SHA1: d1fb9b3bbf0f575520a73a67875d05a78dd86db0 MD5sum: 0e2c8a5278f83cc42d1522ca5c2a6a76 Description: Django library for editing fields with autocomplete Enables editing of ForeignKey, ManyToMany and simple text fields using the Autocomplete - jQuery plugin. Homepage: http://code.google.com/p/django-ajax-selects/ Section: python Priority: optional Filename: pool/main/d/django-ajax-selects/django-ajax-selects_1.2.4-1_all.deb Package: django-filter Version: 0.5.3-3 Installed-Size: 104 Maintainer: Brian May Architecture: all Depends: python, python-support (>= 0.90.0), python-django Size: 13560 SHA256: 861f9413c1fb977a906e0851e1f5f562fc7d2e6503c24126837c28e08589f523 SHA1: 469668f4b1b4ffcbde7141a1b7642fd32dd219bc MD5sum: 5fac3f21cc94e0bb291ccaf5df125490 Description: Django library for filtering Django QuerySets based on user selections A reusable Django application for allowing programmers to filter queryset dynamically. Homepage: http://github.com/alex/django-filter Section: python Priority: optional Filename: pool/main/d/django-filter/django-filter_0.5.3-3_all.deb Package: django-tables Version: 0.10.2-2 Installed-Size: 164 Maintainer: Brian May Architecture: all Depends: python, python-support (>= 0.90.0), python-django Recommends: python-django (>= 1.3.0) Size: 28300 SHA256: faeb78d02196eb1ac097972f13559f32bbce1ab0316772471d08087d168eb07a SHA1: 149720bc0bd1a9132e729f36110148c6f67374f0 MD5sum: 848dbdd7b0e4ddc69f9bfec08f9a57a1 Description: Django library for rendering Django QuerySets This package helps programmers present data while allowing them to apply common tabular transformations on it. Homepage: http://github.com/miracle2k/django-tables Section: python Priority: optional Filename: pool/main/d/django-tables/django-tables_0.10.2-2_all.deb Package: djmount Version: 0.71-5 Architecture: armhf Maintainer: Dario Minnucci Installed-Size: 146 Depends: fuse-utils, libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libtalloc2 (>= 2.0.4~git20101213), libupnp6 (>= 1:1.6.6) Homepage: http://djmount.sourceforge.net/ Priority: extra Section: net Filename: pool/main/d/djmount/djmount_0.71-5_armhf.deb Size: 66670 SHA256: 0c14c5856a9787c05c0d475bb44a558e926bb47c61b3d20aff1e31438a668061 SHA1: 7f6acbc821b5d250838f8bf1155e6da86b72f0d1 MD5sum: 8824918c4474a5a36a35f4122890e6d5 Description: file system client for mounting network media servers This package provides a client for Universal Plug'n'Play (UPnP) Audio-Visual MediaServers. It discovers all compatible UPnP AV devices on the network automatically and mounts their media content as a file system using FUSE. Package: djplay Version: 0.5.0-3.2 Architecture: armhf Maintainer: Adrien Cunin Installed-Size: 783 Depends: jackd, qjackctl, libaudiofile1 (>= 0.3.3), libc6 (>= 2.13-28), libcdparanoia0 (>= 3.10.2+debian), libdjconsole0, libgcc1 (>= 1:4.4.0), libid3-3.8.3c2a, libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libmad0 (>= 0.15.1b-3), libmpeg3-1 (>= 1.5.4), libogg0 (>= 1.0rc3), libqt3-mt (>= 3:3.3.8b), libsamplerate0 (>= 0.1.7), libstdc++6 (>= 4.4.0), libusb-0.1-4 (>= 2:0.1.12), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libx11-6, libxext6, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Homepage: http://djplay.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/d/djplay/djplay_0.5.0-3.2_armhf.deb Size: 264100 SHA256: e54c61866e23e4aa2fadd07c50ca271d35a814354f848fd605772802a9d9df5a SHA1: 5c7a8e830cc02353d052f5c2c0ec03ceb6b8fd09 MD5sum: 3d8ee2ce0eeddd91197b2a2527da6728 Description: A DJ application for Linux DJPlay aims to be a high class live DJing application for Linux. DJPlay is a Qt application and uses JACK Audio Connection Kit. It has many features and supports icecast broadcasting. Package: djtools Version: 1.2.7 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 202 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libpaper1, libstdc++6 (>= 4.1.1) Priority: optional Section: text Filename: pool/main/d/djtools/djtools_1.2.7_armhf.deb Size: 154272 SHA256: c2068b6aab8350198f6f9d0df78f9b7efae3a2fae9c9f68e2f804ac526d89394 SHA1: 18e3582df3deedf00ef93e97c4393e68dd609db6 MD5sum: 03b7da4836d4cf9c4a82dc24f37470b9 Description: tools for HP DeskJet printer These tools allow the user to make better use of HP's DeskJet line of printers. They permit the user to send commands to the printer as well as make better use of the HP DeskJet's text modes. . These programs were written for the HP DeskJet 500 series but should work with all printers that understand HP PCL. Package: djview Source: djvulibre Version: 3.5.25.3-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 42 Depends: djview4 | djview3 Homepage: http://djvu.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/d/djvulibre/djview_3.5.25.3-1_armhf.deb Size: 15690 SHA256: e8d43dfdc2b63b79b4e43a4060e19fbe2346d55dc21fb580951e247ea5bf604a SHA1: 15a9f125d0ec2fb63a5198d74f16bff2ad3743d8 MD5sum: b2705fe5fb35de4540019ccdce1f3a3c Description: Transition package, djview3 to djview4 Ease transition from djview3 to djview4 with this dummy package. Package: djview-plugin Source: djview4 Version: 4.9-2 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 87 Depends: libc6 (>= 2.13-28), djview4 | djview3 Recommends: chromium-browser | mozilla-browser | mozilla | firefox | iceweasel | iceape-browser | konqueror | galeon Suggests: mime-support Enhances: chromium-browser, firefox, galeon, iceape-browser, iceweasel, konqueror, mozilla, mozilla-browser Conflicts: djvulibre-bin (<< 3.5.19), djvulibre-plugin (<= 3.5.23-4) Provides: djvulibre-plugin Homepage: http://djvu.sourceforge.net/ Priority: optional Section: web Filename: pool/main/d/djview4/djview-plugin_4.9-2_armhf.deb Size: 26078 SHA256: 49c35b1f1b213e3070f6e7aa8037296c9fed0e01cba9a135170234150dd18df1 SHA1: 9c2dd4710fef7fd9e666d9008ef033c2117dbe15 MD5sum: 80e0f12246e733e6bf786213fa4fa5f3 Description: Browser plugin for the DjVu image format DjVu browser plugin. Package: djview3 Source: djvulibre Version: 3.5.25.3-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 42 Depends: djview4 Homepage: http://djvu.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/d/djvulibre/djview3_3.5.25.3-1_armhf.deb Size: 15688 SHA256: f18641035a89ea0d48df8605cadf9b05a7d146770282bf6a2435da8858d05451 SHA1: dfe98e39c8219cb5b5cebd8b6c39f3dbef584493 MD5sum: 023c9eac2422db174336053ba69ebaca Description: Transition package, djview3 to djview4 Ease transition from djview3 to djview4 with this dummy package. Package: djview4 Version: 4.9-2 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 1719 Depends: libc6 (>= 2.13-28), libdjvulibre21 (>= 3.5.25.2), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libtiff4 (>> 3.9.5-3~), libx11-6, libxext6 Recommends: djvulibre-desktop Suggests: djvulibre-bin, djview-plugin Conflicts: djview (<= 3.5.20-5) Provides: djvu-viewer Homepage: http://djvu.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/d/djview4/djview4_4.9-2_armhf.deb Size: 701664 SHA256: 450b8b533cf083c293fdd8178af0978eca04b0624f977bb27aaa58ffbc769c7c SHA1: 0480b161ae9d631f42d86ce1f6be241b60860232 MD5sum: f2e4ccb9a510de43ceb98a027b61651b Description: Viewer for the DjVu image format DjVu viewer djview. Package: djvulibre-bin Source: djvulibre Version: 3.5.25.3-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 728 Depends: libc6 (>= 2.13-28), libdjvulibre21 (= 3.5.25.3-1), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libtiff4 (>> 3.9.5-3~), curl Recommends: pdf2djvu Suggests: djvulibre-desktop, djview4 | djvu-viewer | evince Homepage: http://djvu.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/d/djvulibre/djvulibre-bin_3.5.25.3-1_armhf.deb Size: 342896 SHA256: 91db6842c32aece9ab3c4c4a876e52e896bce8ca898f209431a20ba222102d2a SHA1: e8d01d7b1ab96b3874102b69c2b33e0308c33215 MD5sum: 41a426eb69f4fe1801744647c0b46bf0 Description: Utilities for the DjVu image format Executables including utilities for conversion between DjVu and other formats. Package: djvulibre-dbg Source: djvulibre Version: 3.5.25.3-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 640 Depends: libdjvulibre21 (= 3.5.25.3-1) Homepage: http://djvu.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/d/djvulibre/djvulibre-dbg_3.5.25.3-1_armhf.deb Size: 146322 SHA256: cdc53b748412a170a582786e74e7335fa519324fa7e81a5079d0621a4b7f1ee4 SHA1: 25d015cb0f5e5263753bb8880bf3da0e1e97fae9 MD5sum: 152d51d735af3a16fc3833ed89d848b0 Description: Debug symbols for the DjVu image format DjVu runtime debug symbols. Package: djvulibre-desktop Source: djvulibre Version: 3.5.25.3-1 Installed-Size: 118 Maintainer: Barak A. Pearlmutter Architecture: all Replaces: libdjvulibre15 (<= 3.5.20-5) Suggests: djview4 | djvu-viewer | evince Conflicts: libdjvulibre1, libdjvulibre21 (<= 3.5.20-5) Size: 34960 SHA256: 8cda7db5f6e5b8af5323ccb1e2a9087d092551a64a943949c5d4d2e1e1df840b SHA1: f7be8750438a666aad3af998429ef188dfa6b3f4 MD5sum: ccc7ff949ea0bffc904b526c07819504 Description: Desktop support for the DjVu image format Miscellaneous files to support the DjVu image format on the desktop. Homepage: http://djvu.sourceforge.net/ Tag: works-with-format::djvu Section: libs Priority: optional Filename: pool/main/d/djvulibre/djvulibre-desktop_3.5.25.3-1_all.deb Package: djvulibre-plugin Source: djview4 Version: 4.9-2 Installed-Size: 30 Maintainer: Barak A. Pearlmutter Architecture: all Depends: djview-plugin Size: 5204 SHA256: f412e42d268dd6e89aeacd5e61b84bb4edac0ea3aec6577de182e44022b5a0c0 SHA1: e9b67b3cbd80148e206927f6860d5cbba19cc8a6 MD5sum: 385dca84ba20955ce351167cbdcefd11 Description: Transition package, djvulibre-plugin to djview-plugin Dummy dependency package to transition from djvulibre-plugin to djview-plugin. Homepage: http://djvu.sourceforge.net/ Tag: interface::web, role::plugin, role::shared-lib, use::viewing, works-with::image, works-with::image:raster Section: web Priority: optional Filename: pool/main/d/djview4/djvulibre-plugin_4.9-2_all.deb Package: djvuserve Source: djvulibre Version: 3.5.25.3-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 98 Depends: libc6 (>= 2.13-28), libdjvulibre21 (>= 3.5.25.3), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Recommends: apache | httpd-cgi | httpd Conflicts: djvulibre-bin (<< 3.5.9-3) Homepage: http://djvu.sourceforge.net/ Priority: optional Section: web Filename: pool/main/d/djvulibre/djvuserve_3.5.25.3-1_armhf.deb Size: 33236 SHA256: c89f3d49fee70308598e8d191c4b1e1a63b67fee936eecd8050182bd89b4f524 SHA1: 23256c6906c90c9188854d0c46bdd2806a73b422 MD5sum: d9354e5094d0afb5b8fdaf5d304bf42d Description: CGI program for unbundling DjVu files on the fly CGI program to convert a bundled multi-page DjVu document into an indirect DjVu document on the fly. This provides for efficiently browsing large DjVu documents without transferring unnecessary pages. Package: djvusmooth Version: 0.2.11-1 Installed-Size: 318 Maintainer: Daniel Stender Architecture: all Depends: djvulibre-bin (>= 3.5.20-5~), python-djvu (>= 0.1.15), python-wxgtk2.8, python-xdg, python (>= 2.6.6-7~) Size: 55958 SHA256: 3dffed19a6098df53fc2482219c2d9e65cdb2129d33eb2bd7c8b908e5e0d8f08 SHA1: 5595f8321fbf48293f583d4981cebcb3825a1580 MD5sum: a4936a9062cb17bb4e5013d3645a31d6 Description: graphical editor for DjVu djvusmooth is a graphical editor for DjVu files, which allows one to: * edit document metadata, * edit document outline (bookmarks), * correct occasional errors in the hidden text layer. Homepage: http://jwilk.net/software/djvusmooth Tag: implemented-in::python, interface::x11, role::program, scope::utility, uitoolkit::wxwidgets, use::editing, works-with-format::djvu, works-with::image, works-with::image:raster, x11::application Section: text Priority: optional Filename: pool/main/d/djvusmooth/djvusmooth_0.2.11-1_all.deb Package: dk-filter Source: dk-milter Version: 1.0.0.dfsg-1.2 Architecture: armhf Maintainer: Mike Markley Installed-Size: 155 Depends: libc6 (>= 2.13-28), libmilter1.0.1, libssl1.0.0 (>= 1.0.0), adduser Priority: extra Section: mail Filename: pool/main/d/dk-milter/dk-filter_1.0.0.dfsg-1.2_armhf.deb Size: 57516 SHA256: 2fc2425660efc53e51094edf548eb79768d1dee70f760c587b78f51a347e7bd4 SHA1: 4da85908297450a13a8fb3d8a786c806eddcd849 MD5sum: c5b1ef7a32c31d01160e54cb2e8b09f1 Description: DomainKeys for Sendmail Implements a Sendmail Mail Filter (Milter) for the DomainKeys standard. DomainKeys provides a way for senders to confirm their identity when sending email by adding a cryptographic signature to the headers of the message. . The dk-milter implements both DomainKeys signing and verification. Package: dkim-filter Source: dkim-milter Version: 2.8.2.dfsg-1.1 Architecture: armhf Maintainer: Mike Markley Installed-Size: 515 Depends: libc6 (>= 2.13-28), libdb5.1, libmilter1.0.1, libssl1.0.0 (>= 1.0.0), adduser Priority: extra Section: mail Filename: pool/main/d/dkim-milter/dkim-filter_2.8.2.dfsg-1.1_armhf.deb Size: 258300 SHA256: 17e36ec7fe4a1340b00d33fe5852a2ebecc47278d4e8a12e4196a21b2f04eca3 SHA1: a966544c6f67ddd4fedd8c204b338cdc41276436 MD5sum: a4f1d3249760ef7e50834dc0dab86d04 Description: DomainKeys Identified Mail (DKIM) Milter implementation Implements a Sendmail Mail Filter (Milter) for the DomainKeys Identified Mail (DKIM) standard. DKIM provides a way for senders to confirm their identity when sending email by adding a cryptographic signature to the headers of the message. . The dkim-milter implements both signing and verification. Package: dkimproxy Version: 1.4.1-3 Installed-Size: 260 Maintainer: Thomas Goirand Architecture: all Replaces: dkfilter Depends: perl, libtext-wrapper-perl, libmail-dkim-perl (>= 0.34), libnet-server-perl, adduser, ssl-cert, lsb-base, openssl, liberror-perl Recommends: amavisd-new Conflicts: dkfilter Size: 42220 SHA256: 046a495d3faa2a6fb82c0d2b3efffd19e65bc04af3db8191455171de074c598c SHA1: c3b894d33881ba5400e7f756cb01daebb10d168a MD5sum: 30d94c7e581c7b46aa62d229ee7654f0 Description: an SMTP-proxy that signs and/or verifies emails, using the Mail::DKIM module DKIMproxy is an SMTP-proxy that signs and/or verifies emails, using the Mail::DKIM module. It is designed for Postfix, but should work with any mail server. It comprises two separate proxies, an "outbound" proxy for signing outgoing email, and an "inbound" proxy for verifying signatures of incoming email (administrators can decide if they want to run both, or only one of them). With Postfix, the proxies can operate as either Before-Queue or After-Queue content filters, and they also can be chained with content filter proxies like Amavis, which is the current default configuration of the package. Homepage: http://dkimproxy.sourceforge.net/ Tag: implemented-in::perl, interface::daemon, mail::filters, network::server, role::program, scope::utility, security::authentication, system::server, works-with::mail Section: mail Priority: optional Filename: pool/main/d/dkimproxy/dkimproxy_1.4.1-3_all.deb Package: dkms Version: 2.2.0.3-1.2 Installed-Size: 191 Maintainer: Dynamic Kernel Modules Support Team Architecture: all Depends: module-init-tools, gcc, make | build-essential | dpkg-dev, coreutils (>= 7.4), patch Recommends: fakeroot, menu | sudo, linux-headers-686-pae | linux-headers-amd64 | linux-headers-generic | linux-headers, linux-image Size: 77412 SHA256: b009da081853fff0c46cfbe252e881955467d9a1aff8885df5e388c42ab9c3e4 SHA1: 3fb938a79e01f0e184170c99f5258d80feb11c30 MD5sum: 01b3282c8bc4bb444c45304953463035 Description: Dynamic Kernel Module Support Framework DKMS is a framework designed to allow individual kernel modules to be upgraded without changing the whole kernel. It is also very easy to rebuild modules as you upgrade kernels. Homepage: http://linux.dell.com/dkms Tag: admin::kernel, devel::buildtools, devel::packaging, implemented-in::shell, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:source Section: kernel Priority: optional Filename: pool/main/d/dkms/dkms_2.2.0.3-1.2_all.deb Package: dkopp Version: 6.2-1 Architecture: armhf Maintainer: Leo Iannacone Installed-Size: 598 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0), udev, dvd+rw-tools Homepage: http://kornelix.squarespace.com/dkopp/ Priority: optional Section: admin Filename: pool/main/d/dkopp/dkopp_6.2-1_armhf.deb Size: 401204 SHA256: 9e50fa412d114538c4420ab0b4e618e2032f8f59941f8c6c31c8fae38e802ee8 SHA1: e74d0cfaa7212306c22978d6d14ca82ec7cbff2a MD5sum: c96ba03f172f05e8c747df117dce9442 Description: Full and incremental backup to DVD Dkopp is a program used to copy or back-up disk files to DVD or BD (Blue-ray) media. Full or incremental backups can be done, with full or incremental media verification. A GUI is used to navigate through directories to select or de-select files or directories at any level. Backup jobs can be saved for later re-use. New, deleted, and updated disk files are handled automatically, without re-editing the backup job. An incremental backup updates the same DVD/BD media used for a prior full backup. Files can be restored to the same or another location on disk. Large backup jobs can be done using multiple DVD media. Package: dl10n Version: 3.00 Installed-Size: 256 Maintainer: Debian l10n development team Architecture: all Depends: perl | perl5, gettext (>= 0.11), liblocale-gettext-perl, perl-modules, libwww-perl, libmailtools-perl, libtimedate-perl Size: 73442 SHA256: 8a372a0ae50de8ab7cdefc9858a72357502a6c679b97fa90ebcdecb4538d2b0b SHA1: c60f5959add6baf95b03f338105b1dc9bdc1e77b MD5sum: f73184a3d0b45d5c9eef6c903bcd76cc Description: Debian infrastructure and tools for localization dl10n is the debian localization project. This package contains all the relevant infrastructure and tools. Of course, most people won't really need the server side part on their machine, but given the size of the package, there is no need for a split. . This package contains the main libraries of po4a, and the following tools: . - dl10n-check: dig into the source packages looking for stuff to translate - dl10n-bot: reads the translator mailing lists seeking for status update - dl10n-txt: generate textual statistic views - dl10n-html: generates the debian web pages Section: text Priority: optional Filename: pool/main/d/dl10n/dl10n_3.00_all.deb Package: dlint Version: 1.4.0-7 Installed-Size: 96 Maintainer: Florian Hinzmann Architecture: all Depends: perl, dnsutils Size: 21842 SHA256: 4d872d239bdc367f4cf81077a8873004bd25628797286117e3fbca7becdbbe9a SHA1: 8dd7b734d8a2ac24673e87f06e666f3f089a3b33 MD5sum: 7db8b84d77bae26a0b39358ba20def4b Description: Checks dns zone information using nameserver lookups This program analyzes any DNS zone you specify, and reports any problems it finds by displaying errors and warnings. Then it descends recursively to examine all zones below the given one (this can be disabled with a command- line option). . You don't have to feed any BIND conffiles to Dlint. Dlint uses nameserver calls to gather information. . Designed for Unix, dlint is written in Bourne Shell and Perl. Tag: interface::commandline, network::client, protocol::dns, role::program, use::checking Section: net Priority: extra Filename: pool/main/d/dlint/dlint_1.4.0-7_all.deb Package: dlm-pcmk Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 188 Depends: libc6 (>= 2.13-28), libcib1 (>= 1.1.7), libconfdb4 (>= 1.4.2), libcpg4 (>= 1.4.2), libcrmcluster1 (>= 1.1.7), libcrmcommon2 (>= 1.1.7), libdlm3 (>= 3.0.12), libglib2.0-0 (>= 2.12.0), libldap-2.4-2 (>= 2.4.7), liblogthread3 (>= 3.0.12), libsackpt3 (>= 1.1.4), libtotem-pg4 (>= 1.4.2), libxml2 (>= 2.7.4) Priority: optional Section: admin Filename: pool/main/r/redhat-cluster/dlm-pcmk_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 88668 SHA256: c8ffd5a1405a9d2fe841b41d02ff6f795718bedae018a101a8656b1046013248 SHA1: 816f30f8486dcfa1dd008b52a4e87965d4dd4344 MD5sum: 03358d0f03f86523d5b53648781b00c8 Description: Red Hat cluster suite - DLM pacemaker module This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . This package contains the DLM module for pacemaker. Package: dlocate Version: 1.02 Installed-Size: 96 Maintainer: Craig Sanders Architecture: all Depends: dctrl-tools | grep-dctrl (>= 0.11), dpkg (>= 1.8.0), perl Size: 22470 SHA256: 27f1fddbc8b6af73cab0652287cac2a9fbf5a50af1c8d09adf0284daadb70d65 SHA1: 6b3aa0e90f915df27f01e6e6cde2a2692d4e5dd3 MD5sum: 61c75c697dda54801a100d6ab005b6f0 Description: fast alternative to dpkg -L and dpkg -S Uses GNU grep and text dumps of dpkg's data to greatly speed up finding out which package a file belongs to (i.e. a very fast dpkg -S). Many other uses, including options to view all files in a package, calculate disk space used, view and check md5sums, list man pages, etc. Tag: admin::package-management, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, use::searching, works-with::file, works-with::software:package Section: utils Priority: optional Filename: pool/main/d/dlocate/dlocate_1.02_all.deb Package: dlume Version: 0.2.4-10 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 408 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4) Homepage: http://freshmeat.net/projects/dlume Priority: optional Section: utils Filename: pool/main/d/dlume/dlume_0.2.4-10_armhf.deb Size: 136864 SHA256: b5b674b52990abc0cfc0b4154658ebcec7aceaa5e63ea2dc52bf72a5b1e6eca6 SHA1: 346fe04f5ab0157c10b61c51d7542d718b4ef299 MD5sum: 6bab7b5ef6e5f33dfd9d88f4012077a4 Description: simple and easy to use addressbook (GTK+) Dlume is a simple, gtk2-based addressbook. You can easily add, edit and delete records to/from an XML-format database. The Quick-search feature allows you find required entry in comfortable way. Export to CSV and HTML formats is also available. Interface design was borrowed and improved from Paddress . Package: dlz-ldap-enum Version: 1.0.2-1 Architecture: armhf Maintainer: Daniel Pocock Installed-Size: 128 Depends: libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7), bind9 Homepage: http://www.opentelecoms.org/dlz-ldap-enum Priority: extra Section: admin Filename: pool/main/d/dlz-ldap-enum/dlz-ldap-enum_1.0.2-1_armhf.deb Size: 40384 SHA256: cc22c0673d376158825a7fc9984da34b97317b5df1e29d5b460738d9a7b5ae11 SHA1: 25bd5be550a3f459432322885a3ac7699774451d MD5sum: 9d8dc216cd5df8343176581f9ecd51b6 Description: Plug-in for bind9 that uses LDAP data to fulfill ENUM requests New versions of bind9 provide a dynamically loaded module interface dubbed dlz_dlopen. This module is linked to bind9 at runtime using the dlz_dlopen. The module accepts ENUM query strings and consults the LDAP database to map the phone number to an email address. It then returns NAPTR records providing SIP and Jabber/XMPP routes based on the email address in LDAP. Package: dma Version: 0.0.2010.06.17-14.1 Architecture: armhf Maintainer: Peter Pentchev Installed-Size: 183 Pre-Depends: dpkg (>= 1.15.7.2) Depends: libc6 (>= 2.13-28), liblockfile1 (>= 1.0), libssl1.0.0 (>= 1.0.0), debconf (>= 0.5) | debconf-2.0, ucf (>= 0.28) Recommends: dma-migrate, safecat Conflicts: mail-transport-agent Replaces: mail-transport-agent Provides: mail-transport-agent Multi-Arch: foreign Homepage: http://devel.ringlet.net/mail/dma/ Priority: optional Section: mail Filename: pool/main/d/dma/dma_0.0.2010.06.17-14.1_armhf.deb Size: 53812 SHA256: 84c37e6a42888cbd393ae127aa03182d61a0666dec7c7d70cee903b7431664aa SHA1: 7ec2a83d7879ce06d7b22ecf480ef32a5c9c0246 MD5sum: 38b30d3af4e28570fede89778898110c Description: lightweight mail transport agent The DragonFly Mail Agent is a small Mail Transport Agent (MTA), designed for home and office use. It accepts mails from local Mail User Agents (MUA) and delivers them either to local mailboxes or remote SMTP servers. Remote delivery includes support for features such as TLS/SSL and SMTP authentication. . dma is not intended as a replacement for full-featured MTAs like Sendmail, Postfix, or Exim. Consequently, dma does not listen on port 25 for incoming connections. Package: dma-migrate Source: dma Version: 0.0.2010.06.17-14.1 Architecture: armhf Maintainer: Peter Pentchev Installed-Size: 61 Depends: libc6 (>= 2.13-28) Enhances: dma Multi-Arch: foreign Homepage: http://devel.ringlet.net/mail/dma/ Priority: optional Section: mail Filename: pool/main/d/dma/dma-migrate_0.0.2010.06.17-14.1_armhf.deb Size: 15776 SHA256: e385cb92053188896c5bf365ebbeb3cb78839e14f978739c7ac6a1fac3fb50b3 SHA1: 4eba3da91a218926228c84077ee4f1ab3a5f2d07 MD5sum: d63c32cd2a6ccad6ba508735cdb7a81d Description: migration utility for the DragonFly Mail Agent's spool files The dma-migrate utility examines the DragonFly Mail Agent's mail queue and performs any conversions from old message file formats to the most recent one as needed. Package: dmake Version: 1:4.12-2 Architecture: armhf Maintainer: Debian OpenOffice Team Installed-Size: 252 Depends: libc6 (>= 2.4) Priority: extra Section: devel Filename: pool/main/d/dmake/dmake_4.12-2_armhf.deb Size: 142296 SHA256: f86e77da18730d10a737f8439e1e61069b5f61def1fe496f6f045bd9e4e720fa SHA1: 51d92e03850b5612e270435610ab2b7821eca7fb MD5sum: bcdb6e1990e6387c1cabb17a0211df06 Description: make utility used to build OpenOffice.org Dmake is a make utility similar to GNU make or the Workshop dmake. . This utility has an irregular syntax but is available for Linux, Solaris, and Win32 and other platforms. This version of dmake is a modified version of the original public domain dmake, and is used to build OpenOffice.org. . Dmake features: * support for portable makefiles * portable across many platforms * significantly enhanced macro facilities * sophisticated inference algorithm supporting transitive closure over the inference graph * support for traversing the file system both during making of targets and during inference * %-meta rules for specifying rules to be used for inferring prerequisites * conditional macros * local rule macro variables * proper support for libraries * parallel making of targets on architectures that support it * attributed targets * text diversions * group recipes * supports MKS extended argument passing convention * directory caching * highly configurable Package: dmeventd Source: lvm2 (2.02.95-8+rpi1) Version: 2:1.02.74-8+rpi1 Architecture: armhf Maintainer: Debian LVM Team Installed-Size: 119 Depends: libc6 (>= 2.13-28), libdevmapper-event1.02.1 (>= 2:1.02.74), libdevmapper1.02.1 (>= 2:1.02.63), liblvm2cmd2.02 (>= 2.02.95) Homepage: http://sources.redhat.com/lvm2/ Priority: optional Section: admin Filename: pool/main/l/lvm2/dmeventd_1.02.74-8+rpi1_armhf.deb Size: 44558 SHA256: 40c6cbc539c661c9a562056bc3696d76ee4ff556838bd24e91d2f78e1ba360d1 SHA1: a3d4c023dbd1d6b10edda9eebbf836b5d15f673d MD5sum: 98fa62c29b3cb480b8f01f2de200d127 Description: Linux Kernel Device Mapper event daemon The Linux Kernel Device Mapper is the LVM (Linux Logical Volume Management) Team's implementation of a minimalistic kernel-space driver that handles volume management, while keeping knowledge of the underlying device layout in user-space. This makes it useful for not only LVM, but EVMS, software raid, and other drivers that create "virtual" block devices. . This package contains a daemon to monitor events of devmapper devices. Package: dmg2img Version: 1.6.2-2 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 85 Depends: libbz2-1.0, libc6 (>= 2.7), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4) Homepage: http://advancemame.sourceforge.net/boot-readme.html Priority: optional Section: utils Filename: pool/main/d/dmg2img/dmg2img_1.6.2-2_armhf.deb Size: 22996 SHA256: ac284f699405416ef777b2e7f976828fc42ca24edc3c96e23ad474ac65d45885 SHA1: 921ecd1d88a98435ee051307badafd6ad1ae2bb0 MD5sum: a223de939846c1ec0a701e146c489788 Description: Tool for converting compress dmg files to hfsplus images DMG2IMG is a tool which allows converting Apple compressed dmg archives to standard (hfsplus) image disk files. . This tool handles zlib and bzip2 compressed dmg images. Package: dmitry Version: 1.3a-1 Architecture: armhf Maintainer: Runa Sandvik Installed-Size: 68 Depends: libc6 (>= 2.11) Homepage: http://www.mor-pah.net/index.php?file=projects/dmitry Priority: extra Section: net Filename: pool/main/d/dmitry/dmitry_1.3a-1_armhf.deb Size: 18160 SHA256: 6ab5cf828926c02e0f8684a0e583e25f62de1cff6ace9130caf950c652d1f686 SHA1: 131ff4a433e2326d6f4dd1f2b33485ba10f67186 MD5sum: 2f411a6b8d80d78dfee67766033d0ad0 Description: Deepmagic Information Gathering Tool DMitry is a UNIX/(GNU)Linux command line application written in C. DMitry can find possible subdomains, email addresses, uptime information, perform tcp port scan, whois lookups, and more. Package: dmraid Version: 1.0.0.rc16-4.2 Architecture: armhf Maintainer: Giuseppe Iuculano Installed-Size: 131 Depends: libc6 (>= 2.13-28), libdmraid1.0.0.rc16 (>= 1.0.0.rc16), libselinux1 (>= 1.32), libsepol1 (>= 1.14), udev, dmsetup Homepage: http://people.redhat.com/~heinzm/sw/dmraid/ Priority: optional Section: admin Filename: pool/main/d/dmraid/dmraid_1.0.0.rc16-4.2_armhf.deb Size: 37728 SHA256: 40577eefa4c6a0f1c18061e8ca9ca0c33ccccd200e1d72d75d22e90af851a6fa SHA1: f78f8f91b11ce835a2c1bfa94511de989d7c90d3 MD5sum: deab5b0704aa7fdddb1ea0f3b474c15e Description: Device-Mapper Software RAID support tool dmraid discovers, activates, deactivates and displays properties of software RAID sets (eg, ATARAID) and contained DOS partitions. . dmraid uses the Linux device-mapper to create devices with respective mappings for the ATARAID sets discovered. . The following formats are supported: Highpoint HPT37X/HPT45X Intel Software RAID LSI Logic MegaRAID NVidia NForce RAID (nvraid) Promise FastTrack Silicon Image(tm) Medley(tm) VIA Software RAID . Please read the documentation in /usr/share/doc/dmraid BEFORE attempting any use of this software. Improper use can cause data loss! Package: dmsetup Source: lvm2 (2.02.95-8+rpi1) Version: 2:1.02.74-8+rpi1 Architecture: armhf Maintainer: Debian LVM Team Installed-Size: 164 Depends: libc6 (>= 2.13-28), libdevmapper1.02.1 (>= 2:1.02.74), libudev0 (>= 0.140), util-linux (>> 2.16) Conflicts: devicekit-disks (<< 009) Multi-Arch: foreign Homepage: http://sources.redhat.com/lvm2/ Priority: optional Section: admin Filename: pool/main/l/lvm2/dmsetup_1.02.74-8+rpi1_armhf.deb Size: 67270 SHA256: 9b08f6321d1368ba7c37c3697a1aacefd6156bd3e789b0802786ee835e2e8daf SHA1: 78707108e53ea4b4c28301460c1bf8339eae13e8 MD5sum: bd819e54c2a1aae4ee1c859a15ffa218 Description: Linux Kernel Device Mapper userspace library The Linux Kernel Device Mapper is the LVM (Linux Logical Volume Management) Team's implementation of a minimalistic kernel-space driver that handles volume management, while keeping knowledge of the underlying device layout in user-space. This makes it useful for not only LVM, but EVMS, software raid, and other drivers that create "virtual" block devices. . This package contains a utility for modifying device mappings. Package: dmucs Version: 0.6.1-2.1 Architecture: armhf Maintainer: Sam Clegg Installed-Size: 289 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://dmucs.sourceforge.net/ Priority: extra Section: devel Filename: pool/main/d/dmucs/dmucs_0.6.1-2.1_armhf.deb Size: 98478 SHA256: 981209dc27cff53ed1a4ab0feffd286e91780ff1da1ded0a300e20ebe4a4713c SHA1: bb58ed5978a835fe5ede6081fbca68dced8bf434 MD5sum: 661c56780812193ab2fc1ee1cbfe4c27 Description: distributed compilation system for use with distcc DMUCS is a system that allows a group of users to share a compilation farm. Each compilation request from each user will be sent to the fastest available machine, every time. The system has these fine qualities: * Works with distcc, which need not be altered in any way. * Supports multiple operating systems in the compilation farm. * Uses all processors of a multi-processor compilation host. * Makes best use of compilation hosts with widely differing CPU speeds. * Takes into account the load on a host caused by non-compilation tasks. * Supports the dynamic addition and removal of hosts to the compilation farm. Package: dmz-cursor-theme Version: 0.4.3 Installed-Size: 3556 Maintainer: Josselin Mouette Architecture: all Size: 327166 SHA256: 22d7e04875b370825560f75dd411c5c92097bc7d7436165e1b6e7e27d86f79c4 SHA1: 2f8d7c933871c1787b5c3acfc8218643d81e2bba MD5sum: fba8ab6a3ae628962936c444d85914a4 Description: Style neutral, scalable cursor theme This package contains the DMZ cursor themes, which are derived from the Industrial theme developed for the Ximian GNOME desktop. Black and white cursors are provided, in scalable formats. Tag: made-of::icons, role::data, x11::theme Section: gnome Priority: optional Filename: pool/main/d/dmz-cursor-theme/dmz-cursor-theme_0.4.3_all.deb Package: dnet-common Source: dnprogs Version: 2.60 Installed-Size: 135 Maintainer: Chrissie Caulfield Architecture: all Depends: debianutils (>= 1.13), kmod Pre-Depends: debconf (>= 0.5.00) | debconf-2.0 Suggests: iproute Conflicts: dnprogs Size: 49086 SHA256: 726ec4fc1985ba46db7ac99d5a3bf1de339e47ebbae895934dae06eccc97dcd6 SHA1: d0470ae933153c0b42108ad1ef664d5c7ff6bc48 MD5sum: 3dfdc6b9477889188bddae35bd3ddb84 Description: Base package for Linux DECnet This is the base package for Linux DECnet. It contains the necessary configuration files and a script to set up the MAC address of your Ethernet card(s) at boot-up. . You will also need to be running a 2.4+ kernel and have DECnet either built as a module or compiled into the kernel. . To do useful work with DECnet you will need the libdnet package and probably also dnet-progs. Tag: interface::daemon, interface::text-mode, network::client, network::server, network::service, role::program, uitoolkit::ncurses, use::configuring Section: net Priority: extra Filename: pool/main/d/dnprogs/dnet-common_2.60_all.deb Package: dnet-progs Source: dnprogs Version: 2.60 Architecture: armhf Maintainer: Chrissie Caulfield Installed-Size: 650 Depends: dnet-common (>= 2.60), libdnet (>= 2.60), libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.4.0), libtinfo5 Priority: extra Section: net Filename: pool/main/d/dnprogs/dnet-progs_2.60_armhf.deb Size: 283284 SHA256: 9f96cccccc9b47cf30d937c6ec4f9702dcd61495c10047ed935351e70c943348 SHA1: 22bc9bb5d90e43a5feacfa1a41c3f50918e67788 MD5sum: 5ed23060289b7e5c63a8fd84ad507cc8 Description: DECnet user programs and daemons These tools are the application layer interface for DECnet on Linux systems. They provide file/terminal access facilities between OpenVMS and Linux and remote execution of commands. Also included is a Linux version of the VMS "Phone" utility and a VMSMail to SMTP gateway. Package: dns-browse Version: 1.9-7 Installed-Size: 96 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Depends: tk8.5 | wish, dnsutils Size: 18782 SHA256: 86d9119b789ede840271fcc4ea9f1801bbb46cedc566ce15366420e0f8a42935 SHA1: 1f8cb857ff6c27c578bf46abb6494529929975f4 MD5sum: 4caf15990bb1e733d364feac23b4c5d7 Description: Front-ends to DNS search This package provides two programs to make user lookups on DNS servers: dns_tree and dns_browse. . dns_tree is a command-line-based front-end to dig. It replaces the several dig invocations necessary to fetch a zone, and it formats the output in a somewhat sensible hierarchical style (a tree). . dns_browse is a GUI front-end to dns_tree. It allows point-and-click DNS browsing and makes it easy to expand/compress hierarchies in one or more DNS zones. Homepage: http://www.isi.edu/~johnh/SOFTWARE/DNS/ Tag: implemented-in::tcl, interface::commandline, interface::x11, network::client, protocol::dns, role::program, uitoolkit::tk, use::browsing, x11::application Section: net Priority: extra Filename: pool/main/d/dns-browse/dns-browse_1.9-7_all.deb Package: dns-flood-detector Version: 1.12-7 Architecture: armhf Maintainer: Jan Wagner Installed-Size: 72 Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8) Homepage: http://www.adotout.com/ Priority: optional Section: net Filename: pool/main/d/dns-flood-detector/dns-flood-detector_1.12-7_armhf.deb Size: 14296 SHA256: 84fb760bcf0dbf16f365919ccb3513ccfd4438b9a56e0f922871cc9a02b1a430 SHA1: 432e1fbc6e27447375caf8d441431486f4ba85c4 MD5sum: 4b52819f080452e91a15f9af0a0ad559 Description: detect abusive usage levels on high traffic nameservers This package provides the dns-flood-detector daemon. . It was developed to detect abusive usage levels on high traffic nameservers and to enable quick response in halting the use of one's nameserver to facilitate spam. It uses libpcap (in non-promiscuous mode) to monitor incoming dns queries to a nameserver. The tool may be run in one of two modes, either daemon mode or "bindsnap" mode. In daemon mode, the tool will alarm via syslog. In bindsnap mode, the user is able to get near-real-time stats on usage to aid in more detailed troubleshooting. Package: dns2tcp Version: 0.5.2-1 Architecture: armhf Maintainer: Arnaud Cornet Installed-Size: 137 Depends: libc6 (>= 2.4) Homepage: http://www.hsc.fr/ressources/outils/dns2tcp/ Priority: optional Section: net Filename: pool/main/d/dns2tcp/dns2tcp_0.5.2-1_armhf.deb Size: 51538 SHA256: 00fafbe6d3f7e8d9579fb73303093434071975985dbe7f5aa1b20cf550040ae4 SHA1: ca8497038530ae8a8205b44c97edd191ad10d835 MD5sum: 139fea2f86140454d7f91988ac9a16da Description: TCP over DNS tunnel client and server dns2tcp is a set of tools allowing to encapsulate a TCP session in DNS packets. This type of encapsulation generates smaller packets compeared to ip-over-DNS tools, thus allows a better throughput. The client does not need root priledges. Package: dns323-firmware-tools Version: 0.3-2 Installed-Size: 40 Maintainer: Matt Palmer Architecture: all Depends: ruby1.8 Size: 9012 SHA256: db2a6b66b7c7ae56d90b785491e3bb971fe21a67add7b89b54db806cbc8ff7c0 SHA1: 55538d6a49fcc16eefd19c0a05f8d4a3cfc15a02 MD5sum: 8249257d8ffe641d511817bd4350db19 Description: build and manipulate firmware images for the DNS-323 This package contains programs for manipulating the firmware images used by the D-Link DNS-323 and similar devices (firmwares sometimes referred to as "FrodoII" firmwares, due to the magic string used to identify them). . These firmware images are what are used to "bundle" the kernel, initrd, and other data when uploading new firmware images using the "stock" interface. If you are already running a custom firmware, it is likely that these tools will be of no use to you, and you will need to use whatever update mechanism is provided by your firmware. Homepage: http://theshed.hezmatt.org/dns323-firmware-tools/ Tag: role::program Section: otherosfs Priority: optional Filename: pool/main/d/dns323-firmware-tools/dns323-firmware-tools_0.3-2_all.deb Package: dnshistory Version: 1.3-2 Architecture: armhf Maintainer: Matthias Julius Installed-Size: 83 Depends: libc6 (>= 2.7), libdb5.1, libpcre3 (>= 8.10), zlib1g (>= 1:1.1.4) Homepage: http://www.stedee.id.au/dnshistory/ Priority: optional Section: web Filename: pool/main/d/dnshistory/dnshistory_1.3-2_armhf.deb Size: 26556 SHA256: 7a4f958ae0ad163c40145f8364068fd946971138ec25a5e78b96345fd77e6990 SHA1: 9a5e1501b5006b6b126a7a23b6fa45f0f586602d MD5sum: 1e088409ed5a14a25770614c64d4ded9 Description: Translating and storing of IP addresses from log files Provide a means for storing a history of DNS/Name changes for the IP Addresses extracted from web log files. The major target being that multiple analyses of older log files do not require re-lookups of IP Address to FQDNs, and additionally maintain the accuracy of the lookup as it was then and not as it is now. Package: dnsmasq Version: 2.62-3+deb7u4 Installed-Size: 120 Maintainer: Simon Kelley Architecture: all Depends: netbase, adduser, dnsmasq-base (>= 2.62-3+deb7u4) Suggests: resolvconf Conflicts: resolvconf (<< 1.15) Size: 16376 SHA256: 98eba2a9486a92c948ecf481efe0b3e50805765074c151f3864b46f38bbb0cfc SHA1: f9b0846af98f0208251d1202b8297c6c75965689 MD5sum: 9ecb9a46063421b0a8f20eca0593a96e Description: Small caching DNS proxy and DHCP/TFTP server Dnsmasq is a lightweight, easy to configure, DNS forwarder and DHCP server. It is designed to provide DNS and optionally, DHCP, to a small network. It can serve the names of local machines which are not in the global DNS. The DHCP server integrates with the DNS server and allows machines with DHCP-allocated addresses to appear in the DNS with names configured either in each host or in a central configuration file. Dnsmasq supports static and dynamic DHCP leases and BOOTP/TFTP for network booting of diskless machines. Section: net Priority: optional Filename: pool/main/d/dnsmasq/dnsmasq_2.62-3+deb7u4_all.deb Package: dnsmasq-base Source: dnsmasq Version: 2.62-3+deb7u4 Architecture: armhf Maintainer: Simon Kelley Installed-Size: 582 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), libgcc1 (>= 1:4.4.0), libidn11 (>= 1.13), libnetfilter-conntrack3, libnfnetlink0 (>= 1.0.0) Conflicts: dnsmasq (<< 2.41) Priority: optional Section: net Filename: pool/main/d/dnsmasq/dnsmasq-base_2.62-3+deb7u4_armhf.deb Size: 355830 SHA256: 9c51280107a0738add19d08afcde2571e0ee193c80b2ba50d290f1e8dbd11af5 SHA1: 01740533adfb9d4f2a8b316e315011b5a92cda2e MD5sum: 0850eea48c74362fa5cffa5adcf2a225 Description: Small caching DNS proxy and DHCP/TFTP server This package contains the dnsmasq executable and documentation, but not the infrastructure required to run it as a system daemon. For that, install the dnsmasq package. Package: dnsmasq-utils Source: dnsmasq Version: 2.62-3+deb7u4 Architecture: armhf Maintainer: Simon Kelley Installed-Size: 28 Depends: libc6 (>= 2.13-28) Conflicts: dnsmasq (<< 2.40) Priority: optional Section: net Filename: pool/main/d/dnsmasq/dnsmasq-utils_2.62-3+deb7u4_armhf.deb Size: 18698 SHA256: 758b15360a30b1da48322770f6b6e864cd529acaf5f8665ce3a413af61e676e6 SHA1: 768b432cf05a01b3d508731fc4b0be07700fdb01 MD5sum: 6e32548b27e69c4a2ba69ca3235b2d89 Description: Utilities for manipulating DHCP leases Small utilities to query a DHCP server's lease database and remove leases from it. These programs are distributed with dnsmasq and may not work correctly with other DHCP servers. Package: dnsproxy Version: 1.16-0.1 Architecture: armhf Maintainer: Patrick Schoenfeld Installed-Size: 87 Depends: libc6 (>= 2.4), libevent-2.0-5 (>= 2.0.10-stable), adduser, lsb-base Homepage: http://wolfermann.org/dnsproxy.html Priority: optional Section: net Filename: pool/main/d/dnsproxy/dnsproxy_1.16-0.1_armhf.deb Size: 15866 SHA256: f2644224f60ee29510ca5ae84bed2c2d3f05a0098bc318fb05f408afc9fb48c6 SHA1: eae55a80c45a571437f834a8c87db016e3850dac MD5sum: be527453d6cd7f2ecf8181875d3e7b59 Description: proxy for DNS queries dnsproxy forwards DNS queries to two previously configured nameservers: one for authoritative queries and another for recursive queries. The received answers are sent back to the client unchanged. No local caching is done. . Primary motivation for this project was the need to replace Bind servers with djbdns in an ISP environment. These servers get recursive queries from customers and authoritative queries from outside at the same IP address. Now it is possible to run dnscache and tinydns on the same machine with queries dispatched by dnsproxy. . Another possible scenario is a firewall where proxy queries should be forwarded to the real server in a DMZ. Package: dnssec-tools Version: 1.13-1 Installed-Size: 2860 Maintainer: Ondřej Surý Architecture: all Depends: perl, libnet-dns-sec-perl, libnet-dns-perl, libtimedate-perl, libmailtools-perl, bind9utils (>= 9.7) Recommends: bind9 Size: 1181608 SHA256: 9280392bcdc645a2a901e6a996b8839cccc72f79abbba13f9bca0a5bcb447932 SHA1: 159aa96f6a97ea327f0a3a665e6b911af87cdf86 MD5sum: da29aa746937db33971cc7f925e15522 Description: DNSSEC tools, applications and wrappers The goal of the DNSSEC-Tools project is to create a set of tools, patches, applications, wrappers, extensions, and plugins that will help ease the deployment of DNSSEC-related technologies. . This package contains tools to maintain DNSSEC enabled zone files, i.e. generate DNSSEC keys, sign zone files and publish them to DNS. Homepage: http://www.dnssec-tools.org/ Tag: admin::TODO, implemented-in::c, implemented-in::perl, interface::commandline, interface::daemon, interface::x11, network::TODO, protocol::TODO, protocol::dns, role::program, scope::utility, security::TODO, use::TODO, use::checking, use::configuring, use::monitor, use::viewing Section: net Priority: extra Filename: pool/main/d/dnssec-tools/dnssec-tools_1.13-1_all.deb Package: dnstop Version: 20120611-2 Architecture: armhf Maintainer: Marc Haber Installed-Size: 79 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libpcap0.8 (>= 0.9.8), libtinfo5 Homepage: http://dns.measurement-factory.com/tools/dnstop/ Priority: optional Section: net Filename: pool/main/d/dnstop/dnstop_20120611-2_armhf.deb Size: 27630 SHA256: e31be8edadbfde2919659c87e1e1e42489c6f61facced830a6b291f27414535b SHA1: f2e362c6b5be28a0e3cda96d840d381b30497725 MD5sum: df08d0ec6bc06cf5d92b4f11471fa1be Description: console tool to analyze DNS traffic dnstop is a console tool to analyze and display various tables of DNS traffic. Currently dnstop displays tables of (among others): * Source IP addresses * Destination IP addresses * Query types * Top level domains * Second level domains Package: dnstracer Version: 1.9-4 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 75 Depends: libc6 (>= 2.4) Homepage: http://freshmeat.net/projects/dnstracer Priority: optional Section: net Filename: pool/main/d/dnstracer/dnstracer_1.9-4_armhf.deb Size: 22456 SHA256: eb2d31a194c749b5fc375874fc92cf38651aba08dbef9b17c24ea9be5576b43d SHA1: 05330c23bc1dbc4fcb7542b3d06dfaf9deed8adc MD5sum: be09fc314d0ed5bab8f9cb93e49147e7 Description: trace DNS queries to the source dnstracer determines where a given Domain Name Server (DNS) gets its information from for a given hostname, and follows the chain of DNS servers back to the authoritative answer. Package: dnsutils Source: bind9 Version: 1:9.8.4.dfsg.P1-6+nmu2+deb7u20 Architecture: armhf Maintainer: LaMont Jones Installed-Size: 303 Depends: libbind9-80 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libc6 (>= 2.13-28), libcap2 (>= 2.10), libdns88 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libgssapi-krb5-2 (>= 1.6.dfsg.2), libisc84 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libisccfg82 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libkrb5-3 (>= 1.6.dfsg.2), liblwres80 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.6.27), bind9-host | host Suggests: rblcheck Conflicts: netstd (<< 2.00) Replaces: bind, bind9 (<< 1:9.1.0-3) Priority: standard Section: net Filename: pool/main/b/bind9/dnsutils_9.8.4.dfsg.P1-6+nmu2+deb7u20_armhf.deb Size: 163028 SHA256: 96a04bd243194b78e20845f3f4b7eafd60ce530111d83d98ab58375c1c9b8cc5 SHA1: a0af344308d984dc2416bc82bf331985a5786e82 MD5sum: 1f6e05da37190189dfde195a7a069870 Description: Clients provided with BIND The Berkeley Internet Name Domain (BIND) implements an Internet domain name server. BIND is the most widely-used name server software on the Internet, and is supported by the Internet Software Consortium, www.isc.org. This package delivers various client programs related to DNS that are derived from the BIND source tree. . - dig - query the DNS in various ways - nslookup - the older way to do it - nsupdate - perform dynamic updates (See RFC2136) Package: dnswalk Version: 2.0.2.dfsg.1-0.1 Installed-Size: 64 Maintainer: Florian Hinzmann Architecture: all Depends: libnet-dns-perl, perl Size: 20472 SHA256: 654b225be9f5ae5ab728d6c04107db1dec051011a5f2ca0fc87f8a78397792f3 SHA1: e6a49c8ae38f0025a96fea53a9e07f12c83ecd6b MD5sum: ea32038144278646374f2908285901de Description: Checks dns zone information using nameserver lookups dnswalk is a DNS debugger. It performs zone transfers of specified domains, and checks the database in numerous ways for internal consistency, as well as accuracy. Tag: implemented-in::perl, interface::commandline, network::client, protocol::dns, role::program, use::checking Section: net Priority: extra Filename: pool/main/d/dnswalk/dnswalk_2.0.2.dfsg.1-0.1_all.deb Package: doc-base Version: 0.10.4 Installed-Size: 447 Maintainer: Robert Luberda Architecture: all Depends: libuuid-perl, libyaml-tiny-perl Suggests: dhelp | dwww | doc-central | yelp | khelpcenter4, rarian-compat Size: 108092 SHA256: 1e82a867babd23db064cf77177d94fcc1338620d42f789da794051547f99414d SHA1: 962bd8e812faee78823941ac08a6466846a45b06 MD5sum: e074c482569085704b81f00f6497fce4 Description: utilities to manage online documentation This package contains utilities to manage documentation installed on a Debian system. It generates a database of document metadata, which other packages such as dwww, dhelp, doc-central, and rarian-compat can use to provide a catalog of available documentation. . For additional information see the Debian doc-base Manual included in this package. Tag: admin::configuring, implemented-in::perl, interface::web, protocol::http, role::documentation, role::program, scope::utility, suite::debian Section: doc Priority: optional Filename: pool/main/d/doc-base/doc-base_0.10.4_all.deb Package: doc-central Version: 1.8.2+nmu3 Installed-Size: 168 Maintainer: Guilherme de S. Pastore Architecture: all Depends: apache2 | httpd-cgi, python, info2www, doc-base (>= 0.8.10) Recommends: w3m | www-browser Size: 15598 SHA256: fb2216d9d96012a5a4c051512101c03c90b60d1872b5b1eb8632bd79b2a869c7 SHA1: 9db4361c3488bbd47d659bae2486592aa1a9ca4f MD5sum: a9c2eab41c79858896d399f7c94373e3 Description: web-based documentation browser Doc-Central is a tool to browse the documentation installed on your system using their doc-base entries. Tag: implemented-in::python, interface::web, network::server, protocol::http, role::program, suite::debian, use::browsing, web::cgi Section: web Priority: optional Filename: pool/main/d/doc-central/doc-central_1.8.2+nmu3_all.deb Package: doc-debian Version: 6.1 Installed-Size: 142 Maintainer: Javier Fernández-Sanguino Peña Architecture: all Recommends: debian-faq Suggests: www-browser, postscript-viewer Size: 106778 SHA256: 917d59c30707584edf7713d6f04d0a1008b8b4fe148b65798ac23faa36928073 SHA1: d4e46305cb90827897ae58f0b26bf32a1ead2120 MD5sum: e930280198141a51d1f3b0d18e4cb98c Description: Debian Project documentation and other documents The Debian Project is an association of individuals who have made common cause to create a free operating system. . In this package, you will find: * Debian Linux Manifesto, * Constitution for the Debian Project, * Debian GNU/Linux Social Contract, * Debian Free Software Guidelines. . Additionally provided are: * Debian Bug Tracking System documentation, and * Introduction to the Debian mailing lists. . All of these files are available at ftp://ftp.debian.org/debian/doc/ and mirrors thereof. Tag: made-of::html, made-of::pdf, made-of::postscript, role::documentation, suite::debian Section: doc Priority: standard Filename: pool/main/d/doc-debian/doc-debian_6.1_all.deb Package: doc-debian-es Version: 2.6 Installed-Size: 1048 Maintainer: Santiago Vila Architecture: all Size: 579330 SHA256: 4bc618675c924a471e3f1fef04863163e42869d3fc9307805afa33d84c62dab9 SHA1: 22ea3a8bfb38014aeb8b035a2b296230843e316b MD5sum: 95db0578d34a2c1d1a9814cf7def2ea0 Description: Debian FAQ translated to Spanish The doc-debian-es package provides the current Debian-FAQ (in postscript, dvi, text and HTML) translated to Spanish. . El paquete doc-debian-es suministra las Preguntas Frecuentes sobre Debian (FAQ), traducidas a partir del documento Debian-FAQ. Se encuentran en formatos postscript, dvi, texto y HTML. Tag: culture::spanish, made-of::html, made-of::pdf, made-of::postscript, made-of::tex, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/doc-debian-es/doc-debian-es_2.6_all.deb Package: doc-debian-fr Version: 3.1.3.1 Installed-Size: 1872 Maintainer: Frédéric Bothamy Architecture: all Recommends: developers-reference-fr, maint-guide-fr, apt-howto-fr Size: 858950 SHA256: 77ba46cc95865f3babc9162cb18e2df520d0078138dd7a31c4a750dee2f4aacb SHA1: c2cc2a415b4c6860bb5fab4d3733dd002fb43c9f MD5sum: 3cbefcca517c943fef1f2753959c0694 Description: Debian Manuals, FAQs and other documents in French This package provides Debian Manuals, some mailing lists FAQs as well as several other bits of information about Debian in French. Tag: culture::french, made-of::html, made-of::sgml, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/d/doc-debian-fr/doc-debian-fr_3.1.3.1_all.deb Package: doc-linux-de Version: 2003.10-5 Installed-Size: 4796 Maintainer: Noèl Köthe Architecture: all Size: 1145578 SHA256: 6e3c128dc18c98fcf49e2afd31c0e9d8811f51e74c16aacbd2083e888e9df4bd SHA1: 765ebd8fb649aac4ece673ce8b938f02c0b5942d MD5sum: 15bacc2955a21201652a7d2979e9459c Description: Linux HOWTOs in German This package installs the German versions of the current Linux HOWTOs and mini-HOWTOs on your Debian system. The version number reflects the month in which doc-linux-de was created. Homepage: http://www.linuxhaven.de/dlhp/ Tag: culture::german, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/d/doc-linux-de/doc-linux-de_2003.10-5_all.deb Package: doc-linux-fr-html Source: doc-linux-fr Version: 2012.11-1 Installed-Size: 25629 Maintainer: David Prévot Architecture: all Replaces: doc-linux-fr Suggests: doc-base, lynx | www-browser Conflicts: doc-linux-fr Size: 8266672 SHA256: cc839b8a6c7799b210f860effd32bd06ffb55c02d8c3844dcf666a478fc7f40f SHA1: 010fb85d562a686d43c4317997a2289c3ef074cb MD5sum: 6019c9f0a5bdd99544e5e2a011067215 Description: Linux docs in French: HOWTOs, MetaFAQs in HTML format This package installs the French versions of the current Linux HOWTOs and mini-HOWTOs as well as the "info-sheet", "metafaq", "fcol-faq" and "intro" French documents on your Debian system in HTML format. The version number reflects the month in which doc-linux-fr-html was created. . All files are available at ftp://ftp.lip6.fr/pub/linux/french/docs (with versions in ASCII, DVI and PostScript formats). Tag: culture::french, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/d/doc-linux-fr/doc-linux-fr-html_2012.11-1_all.deb Package: doc-linux-fr-text Source: doc-linux-fr Version: 2012.11-1 Installed-Size: 4965 Maintainer: David Prévot Architecture: all Replaces: doc-linux-fr Suggests: doc-linux-fr-html Conflicts: doc-linux-fr Size: 5049680 SHA256: 9a11fab5f9782414dcb5700bac0722305ee5fdafce5ef8abf9114dee8ef93cea SHA1: 5eaf29a9235e5fd5d3f249eb5048d5351ba38cf0 MD5sum: cb5fffa627f4387b277808fe6e29f3bd Description: Linux docs in French: HOWTOs, MetaFAQs in ASCII format This package installs the French versions of the current Linux HOWTOs, mini-HOWTOs and the "fhs" on your Debian in ASCII format. Alternatively, HTML versions are provided in the doc-linux-fr-html package. . The version number reflects the month in which doc-linux-fr-text was created. . All files are available at ftp://ftp.lip6.fr/pub/linux/french/docs (with versions in ASCII, DVI and PostScript formats). Tag: culture::french, role::documentation Section: doc Priority: optional Filename: pool/main/d/doc-linux-fr/doc-linux-fr-text_2012.11-1_all.deb Package: doc-linux-hr Version: 20000416.1 Installed-Size: 1440 Maintainer: Matej Vela Architecture: all Suggests: lynx | www-browser Size: 555878 SHA256: 5ed6ff72f8e386596952f7a099e9f9ac12fe5d1ee2996c0f915d880f5fc1d6da SHA1: 46ed81d312efde37473a300095de67a40b0a44a9 MD5sum: 2f17273c94796180951cfa22ea0cc79c Description: Documentation in Croatian / dokumentacija na hrvatskom This package contains plain-text and HTML versions of various Linux documentation in Croatian, including FAQ's and translations of LDP HOWTO documents; these will be installed in /usr/share/doc/HOWTO and /usr/share/doc/doc-linux-hr. All documents use the ISO 8859-2 character set. . Ovaj paket sadrzi tekstualne i HTML verzije razne Linux dokumentacije na hrvatskom jeziku, ukljucujuci odgovore na cesto postavljana pitanja i prijevode LDP HOWTO dokumenata, smjestene u direktorije /usr/share/doc/HOWTO i /usr/share/doc/doc-linux-hr. Svi dokumenti su pisani u ISO 8859-2 kodnom rasporedu. Tag: culture::croatian, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/d/doc-linux-hr/doc-linux-hr_20000416.1_all.deb Package: doc-linux-ja-html Source: doc-linux-ja Version: 2006.05.25-1.1 Installed-Size: 40900 Maintainer: GOTO Masanori Architecture: all Replaces: doc-linux-html-ja, doc-linux-ja Suggests: doc-base, doc-linux-ja-text, menu, www-browser Conflicts: doc-linux-html-ja, doc-linux-ja Size: 10815494 SHA256: 7a4afbc22d6540d37b73eb6801812eaa59aca89acac6798fa887be8e8225a140 SHA1: c63181a53586dfa2d33939723d5be4b9c2cbc756 MD5sum: f4d92fb11eeb3adb12ae7f65d28704a8 Description: Linux HOWTOs and FAQs in Japanese (HTML format) The doc-linux-ja-html package provides the current Linux HOWTOs, mini-HOWTOs, and FAQs in HTML format for Japanese. Alternatively, TEXT versions are provided in the doc-linux-ja-text package. . The version number reflects the date when doc-linux-ja-text was created. . All files are also available at JF Project (http://www.linux.or.jp/JF/) Tag: culture::japanese, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/d/doc-linux-ja/doc-linux-ja-html_2006.05.25-1.1_all.deb Package: doc-linux-ja-text Source: doc-linux-ja Version: 2006.05.25-1.1 Installed-Size: 9968 Maintainer: GOTO Masanori Architecture: all Replaces: doc-linux-ja, doc-linux-text-ja Suggests: doc-linux-ja-html Conflicts: doc-linux-ja, doc-linux-text-ja Size: 8225712 SHA256: 4d0ed1c9595ff0b5bf7f5d5998faf25e3187b9a9865287441d1bd2d305dd80f6 SHA1: 1735b540ab10ffe8e13f1ef29f55d021e76de326 MD5sum: 8033ceb64b6e131e69a64d1756dc436a Description: Linux HOWTOs and FAQs in Japanese (TEXT format) The doc-linux-ja-text package provides the current Linux HOWTOs, mini-HOWTOs, and FAQs in TEXT format for Japanese. Alternatively, HTML versions are provided in the doc-linux-ja-html package. . The version number reflects the date when doc-linux-ja-text was created. . This package additionally includes kernel 2.4/2.6 Documentation text translation. . All files are also available at JF Project (http://www.linux.or.jp/JF/) Tag: culture::japanese, role::documentation Section: doc Priority: optional Filename: pool/main/d/doc-linux-ja/doc-linux-ja-text_2006.05.25-1.1_all.deb Package: doc-linux-pl Version: 2002.06.14-2 Installed-Size: 1296 Maintainer: Pawel Wiecek Architecture: all Size: 1141966 SHA256: 4680f3a94752822cee2f84f54305aaae2704e86135ec12b268d3efc0496690cf SHA1: b79b63ac1a04efdc58c9e08e1d9602daafccd5b6 MD5sum: e96343e113e16e98e93c87ddc6b6bd02 Description: Linux docs in Polish: HOWTO - ascii version This package installs the Polish versions of Linux HOWTO and mini-HOWTO documents (JTZ project) on your Debian system. The version number reflects the packaging date. . All files are available at ftp://ftp.jtz.org.pl/JTZ/ (with versions in ascii, html, dvi, ps and sgml formats). Tag: culture::polish, role::documentation Section: doc Priority: optional Filename: pool/main/d/doc-linux-pl/doc-linux-pl_2002.06.14-2_all.deb Package: doc-linux-pl-html Source: doc-linux-pl Version: 2002.06.14-2 Installed-Size: 3944 Maintainer: Pawel Wiecek Architecture: all Size: 1290918 SHA256: 440e111599fc9f8e126df1a08efb078d8b1f12bccd48614e91d9423d30148b7a SHA1: 842e35020c73db17e1e152ac4f46cb295ff35a11 MD5sum: a8b972e8b1a724e8a7c8e497821a5c14 Description: Linux docs in Polish: HOWTO - html version This package installs the Polish versions of Linux HOWTO and mini-HOWTO documents (JTZ project) on your Debian system. The version number reflects the packaging date. . All files are available at ftp://ftp.jtz.org.pl/JTZ/ (with versions in ascii, html, dvi, ps and sgml formats). Tag: culture::polish, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/d/doc-linux-pl/doc-linux-pl-html_2002.06.14-2_all.deb Package: docbook Version: 4.5-5.1 Installed-Size: 2715 Maintainer: Debian XML/SGML Group Architecture: all Depends: sgml-data (>= 1.5.0), sgml-base (>= 1.26+nmu2) Suggests: docbook-defguide, docbook-dsssl, docbook-xml, psgml Breaks: docbook-xml (<< 4.4-4~) Size: 453342 SHA256: 03b4b9ac1f3c67872d3ed46e5bd543db4a6814f42ee4221fa00d2c82035501d5 SHA1: c0eadfd4aa5d31bdb0f8d9b09da236fbcb53e5b3 MD5sum: edab2f39869739dc2f0cca470feff4ad Description: standard SGML representation system for technical documents DocBook is an SGML document type definition (DTD) that is well-suited to books, articles, or reference documentation about technical matters, systems, or software (although it is by no means limited to these applications). It has emerged as an open and standard DTD in the software industry, and is used as the documentation system of choice for many free software projects. . This package contains the SGML DTD for DocBook, which describes the formal structure for documents following this format. If you wish to author DocBook documents using XML rather than SGML, use the 'docbook-xml' package. You will need other packages in order to edit (psgml), validate (opensp) or format (docbook-xsl, docbook-dsssl) DocBook documents. . This package includes the 2.4.1, 3.0, 3.1, 4.0, 4.1, 4.2, 4.3, 4.4 and 4.5 versions of the DocBook SGML DTD. Homepage: http://www.oasis-open.org/docbook/sgml/ Tag: role::app-data, use::editing, works-with-format::docbook, works-with::text Section: text Priority: optional Filename: pool/main/d/docbook/docbook_4.5-5.1_all.deb Package: docbook-defguide Version: 2.0.17+svn9047-1 Installed-Size: 11889 Maintainer: Daniel Leidert (dale) Architecture: all Replaces: docbook-book Provides: docbook-book Suggests: docbook, docbook-xml Conflicts: docbook-book Size: 1373852 SHA256: eedc053a28aa01bee1c6a9797b2701a2080c7165853ffbc7aa94307ed6e0044c SHA1: 7e880ba62620645265ec71b3aec09dd965cf45d1 MD5sum: c3b4411fc10e18a51f86d365c60d42dc Description: DocBook: The Definitive Guide - HTML version The official reference manual for the DocBook 4.x SGML and XML DTD, by Norman Walsh, Leonard Muellner, and Bob Stayton. This version is an evolution of the book of the same name published by O'Reilly (which documented DocBook 3.1). . This is a work in progress, which attempts to fully document DocBook 4.5, but may be inconsistent in some places. Homepage: http://docbook.org/tdg/ Tag: devel::doc, made-of::html, role::data, role::documentation, works-with-format::docbook, works-with::text Section: doc Priority: optional Filename: pool/main/d/docbook-defguide/docbook-defguide_2.0.17+svn9047-1_all.deb Package: docbook-dsssl Version: 1.79-7 Installed-Size: 2578 Maintainer: Peter Eisentraut Architecture: all Replaces: docbook-stylesheets Depends: openjade | openjade1.3 | jade, docbook (>= 3.1) | docbook-xml, sgml-base (>= 1.26+nmu2), perl Suggests: jadetex, docbook-dsssl-doc Conflicts: docbook-stylesheets Size: 374272 SHA256: cc1d6fa2c4bda56d05f0366ee500a1a5f2e8dd94a9216940c9956be4b9091add SHA1: 9a848625fba1f6f4cc29ecf7264acb2d8cbee832 MD5sum: 487c3fdf54e3cea6fb2da0a1aebb6e75 Description: modular DocBook DSSSL stylesheets, for print and HTML This package provides DSSSL stylesheets which let you convert DocBook SGML or XML files to various formats. . This package contains two DocBook DSSSL stylesheets, one for "print" output and one for HTML. The print stylesheet can be used in conjunction with the RTF and the TeX back-ends that (Open)Jade provides to produce output suitable for printing. The HTML stylesheet can be used to convert DocBook documents into HTML. . The stylesheets are modular in design so that you can extend and customize them. . Note that you can also perform DocBook XML styling using the "docbook-xsl" package, which provides the XSL stylesheets. The XSL stylesheets are more actively maintained and contain more new features than these DSSSL stylesheets. Homepage: http://docbook.sourceforge.net/projects/dsssl/ Tag: interface::commandline, role::app-data, use::converting, use::editing, use::printing, works-with-format::docbook, works-with-format::html, works-with::text Section: text Priority: optional Filename: pool/main/d/docbook-dsssl/docbook-dsssl_1.79-7_all.deb Package: docbook-dsssl-doc Version: 1.79-6 Installed-Size: 1377 Maintainer: Peter Eisentraut Architecture: all Replaces: docbook-stylesheets-doc Suggests: docbook-defguide Enhances: docbook-dsssl Conflicts: docbook-stylesheets-doc Size: 172742 SHA256: 6a601c69681507dffeb3ab7ded4dfdba1195f6cd10705bbf463b39ef09e2a565 SHA1: 09dc9646676037d566bc49261b83ec84009cf6e8 MD5sum: 05725582748778cd90c8da9d4f2731ba Description: documentation for the DocBook DSSSL stylesheets This package contains documentation for the Modular DocBook DSSSL stylesheets, found in the docbook-dsssl package. . The docbook-dsssl package provides DSSSL stylesheets which let you convert DocBook SGML or XML files to various formats. Homepage: http://docbook.sourceforge.net/projects/dsssl/ Tag: devel::doc, made-of::html, role::documentation, use::converting, use::editing, use::printing, works-with-format::docbook, works-with-format::html, works-with::text Section: doc Priority: optional Filename: pool/main/d/docbook-dsssl-doc/docbook-dsssl-doc_1.79-6_all.deb Package: docbook-ebnf Version: 1.2~cr1-5.1 Installed-Size: 137 Maintainer: Debian XML/SGML Group Architecture: all Depends: docbook-xml (>= 4.3), sgml-base (>= 1.26+nmu2), xml-core (>= 0.12) Suggests: docbook-defguide Size: 8876 SHA256: 3f628c1c92b95fe41f36003890811e547c4ea1b8e737659231beb781c1108e76 SHA1: d7a9468646d3b205923c32a74fc659b28f5bb91d MD5sum: 3e1391489ef70af480bd02533be22d80 Description: EBNF module for the XML version of the DocBook DTD DocBook is a general-purpose and modular SGML and XML Document-Type Definition. This is a module that adds elements for the markup of EBNF grammar rules to DocBook. . It is fully documented in "DocBook - The Definitive Guide", available in the docbook-defguide package. Homepage: http://www.oasis-open.org/docbook/xml/ebnf/ Tag: role::app-data, use::converting, use::editing, works-with-format::docbook, works-with-format::xml, works-with::text Section: text Priority: optional Filename: pool/main/d/docbook-ebnf/docbook-ebnf_1.2~cr1-5.1_all.deb Package: docbook-html-forms Version: 1.1.0-4.1 Installed-Size: 80 Maintainer: Debian XML/SGML Group Architecture: all Depends: sgml-base (>= 1.26+nmu2), docbook-xml (>= 4.1.2-4) Suggests: docbook-xsl Size: 5612 SHA256: 0bc81a9a90ace7017cfdd5885d997816cf41f5d7f24e6bead29d585d04e8d98f SHA1: 7fb8f6e823816e53785080b6b4b54a93544d9416 MD5sum: d2eb7ff7dfac9986d5f2b714e243929c Description: Extension to DocBook XML for generating html forms The DocBook HTML Forms module adds six new markup elements to DocBook XML for easy generation of HTML forms from XML source documents. . The HTML Forms module is a customization of the full DocBook XML V4.1.2 DTD. Homepage: http://oasis-open.org/docbook/xml/htmlforms/ Tag: role::app-data, use::converting, use::editing, works-with-format::docbook, works-with-format::html, works-with::text Section: text Priority: optional Filename: pool/main/d/docbook-html-forms/docbook-html-forms_1.1.0-4.1_all.deb Package: docbook-mathml Version: 1.1CR1-2 Installed-Size: 21 Maintainer: Debian XML/SGML Group Architecture: all Depends: sgml-base (>= 1.26+nmu2), xml-core (>= 0.12), docbook-xml (>= 4.1.2-4), w3-dtd-mathml Suggests: docbook-xsl Size: 6146 SHA256: 0cc1db15036335ab2b738d13a2b87871b6972f23ca6831ab296e5055d9a667ab SHA1: 32ac8ce26194edad0372b15a23b920b847fc63d3 MD5sum: 96303e23db05afe508be36a427378d48 Description: Extension to DocBook XML for using MathML markup The DocBook MathML module adds support for including MathML markup in DocBook XML source documents. . The MathML module is a customization of the full DocBook XML V4.1.2 DTD. The module requires access to the MathML V2.0 DTD provided by the w3-dtd-mathml package. Homepage: http://www.oasis-open.org/docbook/xml/mathml/ Tag: role::app-data, use::editing, works-with-format::docbook, works-with::text Section: text Priority: optional Filename: pool/main/d/docbook-mathml/docbook-mathml_1.1CR1-2_all.deb Package: docbook-simple Version: 1.1-4.2 Installed-Size: 436 Maintainer: Debian XML/SGML Group Architecture: all Replaces: docbook-xml-simple Depends: sgml-base (>= 1.26+nmu2), xml-core (>= 0.12), sgml-data (>= 2.0.2), docbook-xml (>= 4.2-7) Suggests: docbook-xsl Size: 61218 SHA256: cca09c28a5e0da4dcec434323ef396763ca38342a27e6a4cb95608b8eb668fc7 SHA1: 963b092c6f09621ad973e763e648d5479b938a86 MD5sum: 98c0431886a717c61e9959aef2b102ee Description: simplified DocBook XML Doctype and css stylesheets The Simplified DocBook is a small subset of the DocBook DTD. It has ~100 elements, rather than the >350 elements in the full DocBook DTD. A good choice when full DocBook is overkill. Homepage: http://www.oasis-open.org/docbook/xml/simple/ Tag: role::app-data, use::editing, works-with-format::docbook, works-with::text Section: text Priority: optional Filename: pool/main/d/docbook-simple/docbook-simple_1.1-4.2_all.deb Package: docbook-slides Version: 3.4.0-5 Installed-Size: 1880 Maintainer: Debian XML/SGML Group Architecture: all Replaces: docbook-xml-slides Depends: docbook-xsl, docbook-simple (>= 1.0.0-1), docbook-xml (>= 4.2-7), sgml-base (>= 1.26+nmu2), xml-core (>= 0.12) Suggests: docbook-slides-demo Conflicts: docbook-xml-slides Size: 271266 SHA256: 71b535830ffe5c20f6f105b19daff862bbe328e59c5dd120478aab34ff7506b3 SHA1: 3ccc492f5ace0610db1e049078cd9000a5a6af12 MD5sum: bd34ea5071301c91958dc3198deda4f6 Description: XML Slides DTD and XSL Stylesheets A docbook-derived XML DTD for building presentation slides. This package includes the xsl stylesheets for this DTD. This version can be customized with the Simplified DocBook XML DTD or with the full DocBook XML DTD. Homepage: http://docbook.sourceforge.net Tag: role::app-data, use::editing, works-with-format::docbook, works-with::text Section: text Priority: optional Filename: pool/main/d/docbook-slides/docbook-slides_3.4.0-5_all.deb Package: docbook-slides-demo Version: 3.4.0-1 Installed-Size: 1321 Maintainer: Debian XML/SGML Group Architecture: all Recommends: docbook-slides Size: 122566 SHA256: 8bee12a355f16cfd458a7ba0da3f8506b717cd7aa06c9c500f8aaaecb3d7ddd9 SHA1: 86faf8c758e2a51495147eaeb260476ab882c39e MD5sum: 74c51a06e0d2a5ed5bb482f13c75bba7 Description: Demo presentation slides for the docbook-slides package This package provides 12 formatting and display options available for the docbook-slides package. . If you plan to use docbook-slides for developing presentations, these demos will provide you with examples of the many different output options that are available with the docbook-slides package. Homepage: http://docbook.sourceforge.net Tag: devel::examples, role::app-data, use::editing, works-with-format::docbook, works-with::text Section: doc Priority: optional Filename: pool/main/d/docbook-slides-demo/docbook-slides-demo_3.4.0-1_all.deb Package: docbook-to-man Version: 1:2.0.0-31 Architecture: armhf Maintainer: Philipp Benner Installed-Size: 205 Depends: libc6 (>= 2.13-28), docbook, sp | opensp Multi-Arch: foreign Homepage: http://www.oasis-open.org/docbook/tools/dtm/ Priority: optional Section: text Filename: pool/main/d/docbook-to-man/docbook-to-man_2.0.0-31_armhf.deb Size: 81362 SHA256: 56107d438e082caee536974b34190ba99851ab6115a60ce4e94ba185dea5a4d6 SHA1: 9f590d98a161b38ce07b8adf91f9375f6ffb81fa MD5sum: 751077f02193bd7a9881581b6be1c49f Description: converter from DocBook SGML into roff man macros docbook-to-man is a batch converter that transforms UNIX-style manpages from the DocBook SGML format into nroff/troff man macros. . This is not the original version by Fred Dalrymple, but one with the modifications by David Bolen. Package: docbook-utils Version: 0.6.14-3 Installed-Size: 220 Maintainer: Debian XML/SGML Group Architecture: all Replaces: cygnus-stylesheets, docbk-xml2x, docbook2man, docbook2texi, docbook2x-doc Depends: docbook-dsssl, jadetex, lynx | links | links2 | w3m, sgmlspl, sp, perl Recommends: docbook-xml Size: 68290 SHA256: 8dfa45a5d48d2208f21205e11028acf117b21d04950a73c5b2a1048b885ddc55 SHA1: 74bfec9f677131df88bca7b33615b4f100e2d409 MD5sum: 788db826801a5516eea91f386d4b3e96 Description: Convert DocBook files to other formats (HTML, RTF, PS, man, PDF) The docbook-utils is a set of a few small programs intended to ease everyday use of technical documentation software and more generally use of SGML and XML. . Tasks they currently accomplish are: * jw: convert DocBook files to other formats (HTML, RTF, Postscript, PDF). * sgmldiff: detect the differences in markup between two SGML files. * docbook2man: converts a DocBook refentry to a man page. Homepage: http://sources.redhat.com/docbook-tools/ Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, use::editing, use::text-formatting, works-with-format::docbook, works-with-format::html, works-with-format::man, works-with-format::pdf, works-with-format::postscript, works-with::text Section: text Priority: optional Filename: pool/main/d/docbook-utils/docbook-utils_0.6.14-3_all.deb Package: docbook-website Version: 2.5.0.0-8 Installed-Size: 915 Maintainer: Debian QA Group Architecture: all Replaces: docbook-xml-website Depends: sgml-base (>= 1.26+nmu2), xml-core (>= 0.12), docbook-xml (>= 4.2-7), docbook-xsl Conflicts: docbook-xml-website Size: 137300 SHA256: 88c471dc85382f3e5b0d35bcbf91749a3e497a2cc723a2aec3de86ffdd4a8c0c SHA1: 98ef1e407c0ca976a6c5cf10d1cde0ef4f969dd0 MD5sum: e1130a4453e63e10c5162d544283c1e3 Description: XML Website DTD and XSL Stylesheets A docbook-derived XML DTD for building web sites. This package includes the xsl stylesheets for this DTD. This version is a customization of the DocBook XML V4.2 DTD. . Author: Norman Walsh Homepage: http://sourceforge.net/projects/docbook/ Tag: role::app-data, use::editing, works-with-format::docbook, works-with-format::html, works-with::text Section: text Priority: optional Filename: pool/main/d/docbook-website/docbook-website_2.5.0.0-8_all.deb Package: docbook-xml Version: 4.5-7.2 Installed-Size: 2145 Maintainer: Debian XML/SGML Group Architecture: all Provides: docbk-xml Depends: sgml-data (>= 2.0.2), sgml-base (>= 1.26+nmu2), xml-core (>= 0.12) Suggests: docbook, docbook-dsssl, docbook-xsl, docbook-defguide Size: 347154 SHA256: 29c185d92e033185dbf45a68bd0d5e781b5d8f5771b929ceb77dfa24340a039d SHA1: 31f9258b335273f82c674cd7b2b85cf14db313bd MD5sum: 8ba4545e1b229bae4135a622516cacf6 Description: standard XML documentation system for software and systems DocBook is an XML document type definition (DTD). That is, it contains the "DocBook" document structure. This is used by authors or editors writing documents in the DocBook XML format. DocBook was designed for books, articles, or reference documentation about technical matters or software. It has emerged as an open, standard DTD in the software industry, and is the documentation system of choice for many free software projects. . This package contains the XML DTD for DocBook, which describes the formal structure for documents following this format. If you wish to author DocBook documents using SGML rather than XML, use the 'docbook' package. You will need other packages in order to edit (psgml), validate (opensp, libxml2-utils) or format (docbook-xsl, docbook-dsssl) DocBook documents. . This package ships with the latest DocBook 4.5 XML DTD, as well as a selected set of legacy DTDs for use with older documents, including 4.0, 4.1.2, 4.2, 4.3 and 4.4. Homepage: http://docbook.org/schemas/4x.html Tag: role::app-data, use::editing, works-with-format::docbook, works-with-format::xml, works-with::text Section: text Priority: optional Filename: pool/main/d/docbook-xml/docbook-xml_4.5-7.2_all.deb Package: docbook-xsl Version: 1.76.1+dfsg-1 Installed-Size: 11589 Maintainer: Daniel Leidert (dale) Architecture: all Depends: xml-core (>= 0.12) Recommends: docbook-xml (>= 4.1.2-4) Suggests: docbook-xsl-doc-html | docbook-xsl-doc-pdf | docbook-xsl-doc-text | docbook-xsl-doc, libsaxon-java, libxalan2-java, libxslthl-java, docbook-xsl-saxon, fop, xalan, dbtoepub Size: 1642964 SHA256: 955b673164fa1305a8e7b4bdbf60926e68c0d594732a997993ee5b40d8623fdd SHA1: c68478d521ccc7e2cfab775c283da9c1f09c3e39 MD5sum: d59e25bcaa4dfd930a06989efa167b2b Description: stylesheets for processing DocBook XML to various output formats These are modular XSL stylesheets for processing documents composed with the DocBook XML DTD and its derivatives ("Simplified" DocBook XML, JRefEntry DTD, etc.). . The stylesheets provide XSLT transformations for (X)HTML, WordML, HTML Help, JavaHelp, Man page (nroff), Website, Eclipse Platform Help file and XSL Formatting Object (XSL-FO) output. The latter can be further processed to a number of print formats using FOP or TeX-based tools. . The stylesheets are modular in the sense that you can extend and, to some extent, customize them. The documentation is included in a separate package. Homepage: http://wiki.docbook.org/DocBookXslStylesheets Tag: role::app-data, use::editing, works-with-format::docbook, works-with::text Section: text Priority: optional Filename: pool/main/d/docbook-xsl/docbook-xsl_1.76.1+dfsg-1_all.deb Package: docbook-xsl-doc-html Source: docbook-xsl-doc Version: 1.76.1-1 Installed-Size: 3969 Maintainer: Daniel Leidert (dale) Architecture: all Replaces: docbook-xsl (<< 1.70.0), docbook-xsl-doc (<< 1.72.0) Provides: docbook-xsl-doc Suggests: www-browser Enhances: docbook-xsl (>= 1.76.1-1), docbook-xsl-ns (>= 1.76.1-1) Breaks: docbook-xsl (<< 1.70.0), docbook-xsl-doc (<< 1.72.0) Size: 603950 SHA256: 37363b857a4528bdd272596011510b9028f4a93e5bce23380a02ac2fc003c8b7 SHA1: 403f6092ef1a4c0c4ff682bbc59c6b878b428016 MD5sum: 374b26ebffef98b40cd08d4a222eefe2 Description: stylesheets for processing DocBook XML files (HTML documentation) DocBook XSL stylesheets are modular XSL stylesheets for processing documents composed with the DocBook XML DTD and its derivatives. . This package contains the documentation for the DocBook XSL stylesheets and explanations for the parameters you can use in HTML format. Homepage: http://wiki.docbook.org/topic/DocBookXslStylesheetDocs Tag: devel::docsystem, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/d/docbook-xsl-doc/docbook-xsl-doc-html_1.76.1-1_all.deb Package: docbook-xsl-doc-pdf Source: docbook-xsl-doc Version: 1.76.1-1 Installed-Size: 953 Maintainer: Daniel Leidert (dale) Architecture: all Provides: docbook-xsl-doc Suggests: pdf-viewer Enhances: docbook-xsl (>= 1.76.1-1), docbook-xsl-ns (>= 1.76.1-1) Size: 927018 SHA256: 3f3647a6550f18e5780e05be953c7accae223d0464f063cff51731f98241b8fd SHA1: 7360813634a37a44257cf97d29fda454c8fc0d99 MD5sum: a04dc67ffae0e21c9dde5dec53f085b0 Description: stylesheets for processing DocBook XML files (PDF documentation) DocBook XSL stylesheets are modular XSL stylesheets for processing documents composed with the DocBook XML DTD and its derivatives. . This package contains the documentation for the DocBook XSL stylesheets and explanations for the parameters you can use in PDF format. Homepage: http://wiki.docbook.org/topic/DocBookXslStylesheetDocs Tag: devel::docsystem, made-of::pdf, role::documentation Section: doc Priority: extra Filename: pool/main/d/docbook-xsl-doc/docbook-xsl-doc-pdf_1.76.1-1_all.deb Package: docbook-xsl-doc-text Source: docbook-xsl-doc Version: 1.76.1-1 Installed-Size: 194 Maintainer: Daniel Leidert (dale) Architecture: all Provides: docbook-xsl-doc Suggests: less Enhances: docbook-xsl (>= 1.76.1-1), docbook-xsl-ns (>= 1.76.1-1) Size: 157464 SHA256: d2e53f05223b911e1e563a578662508f7ae2e910159fb57ed93bb6fec76bf6f3 SHA1: 8ef8f93e2001221faaa86d7e01cf52199ec497fe MD5sum: 8915c2436024547988e60cf372b72f6b Description: stylesheets for processing DocBook XML files (ASCII documentation) DocBook XSL stylesheets are modular XSL stylesheets for processing documents composed with the DocBook XML DTD and its derivatives. . This package contains the documentation for the DocBook XSL stylesheets and explanations for the parameters you can use in simple ASCII text format. Homepage: http://wiki.docbook.org/topic/DocBookXslStylesheetDocs Tag: devel::docsystem, role::documentation Section: doc Priority: extra Filename: pool/main/d/docbook-xsl-doc/docbook-xsl-doc-text_1.76.1-1_all.deb Package: docbook-xsl-ns Source: docbook-xsl Version: 1.76.1+dfsg-1 Installed-Size: 11622 Maintainer: Daniel Leidert (dale) Architecture: all Depends: xml-core (>= 0.12) Recommends: docbook5-xml (>> 5) Suggests: docbook-xsl-doc-html | docbook-xsl-doc-pdf | docbook-xsl-doc-text | docbook-xsl-doc, libsaxon-java, libxalan2-java, libxslthl-java, docbook-xsl-saxon, fop, xalan, dbtoepub Size: 1642500 SHA256: bd6b5f54dfab28541cf8d31fee29502403d223ac05d3246b9f090ded2e8a7948 SHA1: 335ab48155ef760608938c3e7174c0ba7ce0307f MD5sum: cfba256985319a33958ae9adc724d3c9 Description: stylesheets for processing DocBook 5 XML to various output formats These are modular XSL stylesheets for processing documents composed with DocBook 5 XML and its derivatives. Unlike in docbook-xsl the DocBook namespace prefix has been added to element names in pattern matches and expressions. However processing of DocBook 4 documents is also possible with these stylesheets. For a more detailed explanation read http://www.sagehill.net/docbookxsl/ProcesingDb5.html. . The stylesheets provide XSLT transformations for (X)HTML, WordML, HTML Help, JavaHelp, Man page (nroff), Website, Eclipse Platform Help file and XSL Formatting Object (XSL-FO) output. The latter can be further processed to a number of print formats using FOP or TeX-based tools. . The stylesheets are modular in the sense that you can extend and, to some extent, customize them. The documentation is included in a separate package. Homepage: http://wiki.docbook.org/DocBookXslStylesheets Tag: made-of::xml, role::program, works-with-format::xml Section: text Priority: optional Filename: pool/main/d/docbook-xsl/docbook-xsl-ns_1.76.1+dfsg-1_all.deb Package: docbook-xsl-saxon Version: 1.00.dfsg.1-5 Installed-Size: 896 Maintainer: Debian XML/SGML Group Architecture: all Depends: libsaxon-java (>> 1:6.5) Enhances: docbook-xsl Breaks: docbook-xsl (<< 1.68.1.dfsg.1-0.1) Size: 93098 SHA256: f9aa0150fecd632f06c8934237ff2e05aa02dd0aab99f11dab0e69cd184ae6ba SHA1: 9d068394988b1bb98944756ebae3b6f8f12e5252 MD5sum: bf2c66544a73601e2a968c9db4688d3c Description: Java extensions for use with DocBook XML stylesheets (Saxon) These are Java extensions for use with the DocBook XML stylesheets and the Saxon XSLT engine. You need these extensions to enable e.g. the callouts and linenumbering extensions of the docbook-xsl suite. . In the past these extensions were shipped with the docbook-xsl tarball distribution directly. Homepage: http://wiki.docbook.org/topic/DocBookXsltExtensions Section: text Priority: extra Filename: pool/main/d/docbook-xsl-saxon/docbook-xsl-saxon_1.00.dfsg.1-5_all.deb Package: docbook-xsl-saxon-gcj Source: docbook-xsl-saxon Version: 1.00.dfsg.1-5 Architecture: armhf Maintainer: Debian XML/SGML Group Installed-Size: 167 Depends: docbook-xsl-saxon (>= 1.00.dfsg.1-5), libsaxon-java-gcj (>> 1:6.5), libgcj-common (>> 1:4.1.1-13), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcj-bc (>= 4.4.5-1~), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Homepage: http://wiki.docbook.org/topic/DocBookXsltExtensions Priority: extra Section: text Filename: pool/main/d/docbook-xsl-saxon/docbook-xsl-saxon-gcj_1.00.dfsg.1-5_armhf.deb Size: 52240 SHA256: 22f91485e3ea124ca614cd85622d84c21c2ef6ccdfd101a11f5cf4ba332c9a34 SHA1: adedeafd16efee3a8298d34293be86047cb87adc MD5sum: 4766c3e19fdc9926b558ef5aca90413f Description: Java extensions for use with DocBook XML stylesheets (native code) These are Java extensions for use with the DocBook XML stylesheets and the Saxon XSLT engine. You need these extensions to enable e.g. the callouts and linenumbering extensions of the docbook-xsl suite. . This package contains the natively compiled code for use by gij. Package: docbook2odf Version: 0.244-1.1 Installed-Size: 420 Maintainer: Alexander Wirt Architecture: all Depends: perl, libarchive-zip-perl, libxml-libxslt-perl, zip, perlmagick Size: 49152 SHA256: f3543bfe9d87d50d13c9c3fd11b4cba570f8c924523b89a29f12b875c83393e7 SHA1: 5175c74667153600a81995e1046a26b35b5af232 MD5sum: eb9a2d1e4477bed44c1d0b8ccc9787f0 Description: XSLT based conversions from docbook to Oasis Open Document (openoffice.org) toolkit that automaticaly converts DocBook to OASIS OpenDocument (ODF, the ISO standardized format used for texts, spreadsheets and presentations). Conversion is based on a XSLT which makes it easy to convert DocBook->ODF, ODT, ODS and ODP as all these documents are XML based. Tag: role::program, scope::utility, use::converting, works-with-format::docbook, works-with-format::odf, works-with-format::xml, works-with::file Section: text Priority: extra Filename: pool/main/d/docbook2odf/docbook2odf_0.244-1.1_all.deb Package: docbook2x Version: 0.8.8-8 Architecture: armhf Maintainer: Debian XML/SGML Group Installed-Size: 1416 Depends: libc6 (>= 2.13-28), dpkg (>= 1.15.4) | install-info, xml-core (>= 0.12), perl, libxml-sax-expat-perl, libtext-wrapi18n-perl, opensp | sp, texinfo, xsltproc Recommends: docbook-xml, docbook-xsl Homepage: http://docbook2x.sourceforge.net Priority: optional Section: text Filename: pool/main/d/docbook2x/docbook2x_0.8.8-8_armhf.deb Size: 357482 SHA256: f3a8208332000b42c4e3b442dfc3066fe635cda54b08f86d5287caeeb90f6368 SHA1: d0dd7973fa1c79247d07dc367226df3f133886e4 MD5sum: 6b54d4b1c3fed003efc44f6b928f109e Description: Converts DocBook/XML documents into man pages and TeXinfo The main tools are: * docbook2x-man: converts a DocBook/XML refentry into a manual page, with table support * docbook2x-texi: converts a DocBook/XML refentry into an info page, most usable for Emacs users Package: docbook5-xml Version: 5.0-2 Installed-Size: 3540 Maintainer: Debian XML/SGML Group Architecture: all Depends: xml-core (>= 0.12) Suggests: docbook-xsl-ns, docbook5-defguide Size: 806694 SHA256: 866c355dc4a597faf427ce477e4833bad550eaa4dbaf0f37c37dc5d3a7ecc9e1 SHA1: 8d920ce883fe5ff081a2cace619ff9012e006df7 MD5sum: 9e7f5131fe6bb94509a616bdc1e4af38 Description: standard XML documentation system for software and systems DocBook 5 is a general purpose XML schema. That is, it contains the "DocBook" document structure. This is used by authors or editors writing documents in the DocBook XML format. DocBook was designed for books, articles, or reference documentation about technical matters or software (though it is by no means limited to these applications). It has emerged as an open standard in the software industry, and is the documentation system of choice for many free software projects. . DocBook 5.0 is a complete rewrite of the well-known DocBook 4 XML schema/DTD, introducing a quite large number of backwards-incompatible changes. But the basic idea behind DocBook is still the same, and almost all element names are unchanged. . This package contains the XML RelaxNG schema (with some additional Schematron assertions), W3C XML Schema (XSD) as well as the Document Type Definition (DTD) for DocBook, which all describe the formal structure for documents following this format. . You will need other packages in order to edit, validate (libxml2-utils) or format (docbook-xsl-ns) DocBook 5 documents. Homepage: http://docbook.org/schemas/5x.html Tag: made-of::xml, works-with-format::xml Section: text Priority: optional Filename: pool/main/d/docbook5-xml/docbook5-xml_5.0-2_all.deb Package: docbookwiki Version: 0.9.2-4 Installed-Size: 3904 Maintainer: Jeremy Malcolm Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, apache2, php5-cli, libapache-mod-php5 | libapache2-mod-php5, xsltproc (>= 1.1.18), libxml2-utils, openssl, sudo (>= 1.7.2p1-1), swish-e, docbook-xml, docbook-xsl, xmlto, docbook-utils, dblatex, xmltex, docbook-dsssl, jadetex, subversion, adduser, gawk, svn-load, php-gettext Size: 1040094 SHA256: b5073ab8e10b7b4fc8d2b818f8e18d4066a2291237fecb561f19898e0679c820 SHA1: 520d7b04d65cec63afa5ea7bdcc7a57dd55e8b70 MD5sum: e128cee302829e0fbdd33cebc7164e75 Description: web application to display and edit DocBook documents online DocBookWiki is a wiki-like application that allows editing documents in XML (DocBook) format. It has the following features: * online display of DocBook documents; * simultaneous display of multiple documents; * multiple-language display; * granular modification by section and language; * multiple editing modes: text, HTML, XML, texi, LaTeX, etc.; * automatic conversion of DocBook documents to other formats (such as PDF, RTF, LaTeX, etc.) for downloading; * document modifications history in SVN; * authentication system with granular access rights management. Homepage: http://freecode.com/projects/docbookwiki Tag: implemented-in::php, interface::web, role::program, use::converting, use::editing, use::viewing, works-with-format::docbook, works-with-format::pdf, works-with-format::tex Section: web Priority: extra Filename: pool/main/d/docbookwiki/docbookwiki_0.9.2-4_all.deb Package: docdiff Version: 0.4.0-2 Installed-Size: 543 Maintainer: Kenshi Muto Architecture: all Depends: ruby | ruby-interpreter Suggests: httpd Size: 131642 SHA256: 13d4975209e002fa82edad9f4bcb47c0a3c093b58e4d3bf1ba0f249a3835a4a5 SHA1: 842e88c2be661faa5ba960ae7b784e666ac992cc MD5sum: 4d9fc4c44747e19e80d33a360b356e00 Description: Compares two files word by word / char by char DocDiff compares two files and shows the difference. It can compare files word by word, char by char, or line by line. . It has several output formats such as HTML/XHTML, tty, Manued, or user-defined markup. It supports several encodings and end-of-line characters, including ASCII, UTF-8, EUC-JP, Shift_JIS, CR, LF, and CRLF. Homepage: http://sourceforge.net/projects/docdiff Tag: implemented-in::ruby, interface::commandline, role::program, scope::utility, use::synchronizing, use::text-formatting, works-with::text Section: text Priority: optional Filename: pool/main/d/docdiff/docdiff_0.4.0-2_all.deb Package: docgenerator Source: generatorrunner Version: 0.6.16-1 Architecture: armhf Maintainer: Didier Raboud Installed-Size: 234 Depends: libapiextractor0.10, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgenrunner0.6 (>= 0.6.6), libqtcore4 (>= 4:4.7.0), libstdc++6 (>= 4.4.0), generatorrunner (= 0.6.16-1) Homepage: http://www.pyside.org/home-binding/binding-generator/ Priority: extra Section: devel Filename: pool/main/g/generatorrunner/docgenerator_0.6.16-1_armhf.deb Size: 99406 SHA256: 50dc24ad6a6266daedee55161944c019c88f20b176b0d3f93b099dc806387b43 SHA1: d42b121ea234b628a1d658d77633718b7c313116 MD5sum: 83ddef88026f8a5ab551328d73d274ee Description: generator plugin for python bindings documentation Generates documentation for C++ library bindings using sphinx. . The Binding Generator is a utility that parses the headers for a given C/C++ library and modifies this data with the information and guides from XML files (called typesystem files) containing complementar semantic information, modifications, renamings, etc, in order to generate binding source code (or documentation, or anything you want) for the target language for which it was written. Package: docker Version: 1.4-5 Architecture: armhf Maintainer: Ari Pollak Installed-Size: 61 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libx11-6 Priority: optional Section: x11 Filename: pool/main/d/docker/docker_1.4-5_armhf.deb Size: 13242 SHA256: 8c806b8d9f6684d812f1468d7e919db90dbcfe34917722831ed455163bee0634 SHA1: 8376c6696c2864204e54eb922489004c6f320746 MD5sum: 601d9cf6767d04bb9c53eb36ad607024 Description: System tray for KDE3/GNOME2 docklet applications Docker is a docking application (WindowMaker dock app) which acts as a system tray for any desktop environment, allowing you to have a system tray without running the KDE/GNOME panel Docker was designed to work with Openbox 2, but it should work fine in any window manager. Package: docky Version: 2.1.4-1 Installed-Size: 3329 Maintainer: Debian CLI Applications Team Architecture: all Replaces: python-docky (<< 2.0.99) Depends: mono-runtime (>= 2.10.1), libc6 (>= 2.13) | libc6.1 (>= 2.13) | libc0.1 (>= 2.13), libdbus-glib1.0-cil (>= 0.5), libdbus1.0-cil (>= 0.7), libgconf2.0-cil (>= 2.24.0), libglib2.0-0 (>= 2.32.0), libglib2.0-cil (>= 2.12.10), libgnome-keyring1.0-cil (>= 1.0.0), libgnomedesktop2.20-cil (>= 2.26.0), libgtk2.0-0 (>= 2.24.0), libgtk2.0-cil (>= 2.12.10), libmono-addins0.2-cil (>= 0.6), libmono-cairo4.0-cil (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-sharpzip4.84-cil (>= 1.0), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-web4.0-cil (>= 2.10.3), libmono-system-xml-linq4.0-cil (>= 1.0), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), libnotify0.4-cil (>= 0.4.0~r2998), librsvg2-2.18-cil (>= 2.26.0), libwnck2.20-cil (>= 2.26.0), libx11-6, gconf2 (>= 2.28.1-2), librsvg2-common Recommends: dockmanager Conflicts: python-docky (<< 2.0.99) Size: 859394 SHA256: b7d848cd10e5493be011b3e8c948a8d8f63d528001a96c0dd1b7238ba8e0f81c SHA1: a83b45e48f9a224426cf9392d1c3affedef1b367 MD5sum: 2b2d4140a3e26f7022550cea68eba485 Description: Elegant, powerful, clean dock A full fledged dock application that makes opening common applications and managing windows easier and quicker. Docky is fully integrated into the GNOME Desktop and features a no nonsense approach to configuration and usage. It just works. . Docky provides an application launcher, running application management, and various "docklets" including a CPU monitor, weather report and clock. It is similar to other docks such as AWN and cairo-dock. . Applications can integrate with Docky to add extra items to their context menus or modify their icons to display more information. This package includes integration helpers for a number of applications, including Banshee, Rhythmbox, Deluge, Tomboy and Zeitgeist. . Docky is derived from the GNOME Do "docky" interface. Homepage: https://launchpad.net/docky Tag: implemented-in::python, interface::x11, role::program, uitoolkit::gtk, use::monitor Section: x11 Priority: optional Filename: pool/main/d/docky/docky_2.1.4-1_all.deb Package: doclifter Version: 2.7-1 Installed-Size: 460 Maintainer: Jeff Licquia Architecture: all Depends: python (>= 2.2) Recommends: plotutils, groff-base Suggests: python-profiler Size: 115014 SHA256: 089ff9d23478568b8c8fe35301752979388c339d54d9ef945e6660b18db23128 SHA1: 545ebebb4c183c2ca85379dfcda06f06ffbf14aa MD5sum: ee82e06c3688e876f7c285799fe0fcdc Description: Convert troff to DocBook Lifting documents from presentation level to semantic level is hard, and a really good job requires human polishing. This tool aims to do everything that can be mechanized, and to preserve any troff-level information that might have structural implications in XML comments. Homepage: http://www.catb.org/~esr/doclifter/ Tag: implemented-in::python, interface::commandline, role::program, scope::utility, use::converting, works-with-format::docbook, works-with-format::man, works-with::text Section: devel Priority: optional Filename: pool/main/d/doclifter/doclifter_2.7-1_all.deb Package: doconce Version: 0.7.3-1 Installed-Size: 4396 Maintainer: Python Applications Packaging Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: preprocess, python-mako Suggests: imagemagick, python-docutils, python-sphinx, pandoc, ptex2tex, texlive-base, texlive-latex-base, texlive-base-recommended Size: 3950630 SHA256: f342b1db4e56957a3f03a2b7be71d0e86bf23ccb64d03e9c9edb9bf1eea0e195 SHA1: 09d39d472545fba12fe805036ba1338a59a405d3 MD5sum: 6b12c3815825ae66c0c6992f5bb09143 Description: document once, include anywhere Doconce is two things: . 1. Doconce is a very simple and minimally tagged markup language that looks like ordinary ASCII text (much like what you would use in an email), but the text can be transformed to numerous other formats, including HTML, Wiki, LaTeX, PDF, reStructuredText (reST), Sphinx, Epytext, and also plain text (where non-obvious formatting/tags are removed for clear reading in, e.g., emails). From reStructuredText you can go to XML, HTML, LaTeX, PDF, OpenOffice, and from the latter to RTF and MS Word. From Pandoc one can generate Markdown, reST, LaTeX, HTML, PDF, DocBook XML, OpenOffice, GNU Texinfo, MediaWiki, RTF, Groff, and other formats. . 2. Doconce is a working strategy for never duplicating information. Text is written in a single place and then transformed to a number of different destinations of diverse type (software source code, manuals, tutorials, books, wikis, memos, emails, etc.). The Doconce markup language support this working strategy. The slogan is: "Write once, include anywhere". Homepage: http://doconce.googlecode.com Section: text Priority: optional Filename: pool/main/d/doconce/doconce_0.7.3-1_all.deb Package: docsis Version: 0.9.6+git16-g61ee500+dfsg-2 Architecture: armhf Maintainer: Lisandro Damián Nicanor Pérez Meyer Installed-Size: 294 Depends: libc6 (>= 2.13-28), libsnmp15 (>= 5.4.3~dfsg) Homepage: http://docsis.sourceforge.net/ Priority: extra Section: net Filename: pool/main/d/docsis/docsis_0.9.6+git16-g61ee500+dfsg-2_armhf.deb Size: 66492 SHA256: f5ee69609103c1b634be795386ae2037f22ab06d97f0a5d653fb5a3dbedf55ca SHA1: db2ddc652f4b3ffcc8cd0e8297aef9832123061d MD5sum: bc00f6c89f6b88865be9180b36167ff6 Description: generates configuration files for DOCSIS-compliant cable modems docsis is a small program that can be used to generate binary configuration files for DOCSIS-compliant cable modems. . DOCSIS stands for Data over Cable Service Interface Specification and is a standard developed by Cablelabs. Package: doctorj Version: 5.0.0-5 Installed-Size: 4572 Maintainer: Paul Cupis Architecture: all Depends: java2-runtime Size: 1383340 SHA256: 7b8106b01c2f06251d0f6ffced356cedbeb5d1783ea460147f229a0c23540993 SHA1: 9798c00fcd8cff4fa5610693cb67056b778db53d MD5sum: 00fef7cace63b8203318c534468b0432 Description: A tool to analyze Java code doctorj analyzes Java code, performing documentation verification, statistics generation and syntax analysis. . Homepage: http://www.incava.org/projects/java/doctorj/index.html Tag: devel::lang:java, devel::testing-qa, interface::commandline, role::program, scope::utility, use::checking, works-with::software:source Section: devel Priority: optional Filename: pool/main/d/doctorj/doctorj_5.0.0-5_all.deb Package: doctrine Version: 1.2.4-1 Installed-Size: 3304 Maintainer: Debian Symfony Maintainers Architecture: all Depends: php5 | php5-cli, php5-mysql | php5-pgsql | php5-sqlite | php5-sybase Size: 374594 SHA256: 51ddd02f508720b6e4f02c81869dd4977c5e60aba1cec0b1791f4786c20cec2f SHA1: acb680eed820811ae0189c802976bf57fb73df3e MD5sum: 43c10024470baad8b72d3b237945257a Description: Tool for object-relational mapping in PHP The Doctrine library sits on top of PDO and is itself divided into two main layers, the DBAL (which represents a complete database abstraction API) and the ORM (the bridge between the relational model and the object model / domain model). . One of its key features is the option to write database queries in a proprietary object oriented SQL dialect called Doctrine Query Language (DQL), inspired by Hibernates HQL. This provides developers with a powerful alternative to SQL that maintains flexibility without requiring unnecessary code duplication. Homepage: http://www.doctrine-project.org/ Section: php Priority: optional Filename: pool/main/d/doctrine/doctrine_1.2.4-1_all.deb Package: docutils-common Source: python-docutils Version: 0.8.1-8 Installed-Size: 671 Maintainer: Debian Python Modules Team Architecture: all Replaces: docutils-writer-odt, python-docutils (<< 0.7-3~), python-odtwriter Depends: sgml-base (>= 1.26+nmu2), xml-core (>= 0.12) Recommends: python-docutils | python3-docutils Conflicts: python-docutils (<< 0.7-3~) Breaks: docutils-writer-odt, python-odtwriter Size: 199508 SHA256: 1731b5eb051a3de5656d3355ee41d7fa3a96c319fa1985c007a8356b9bf6bb71 SHA1: 5f06756adaf63e1fca572677fa416e4b364774c6 MD5sum: ad32357496fbdb2ea248ef72fca75856 Description: text processing system for reStructuredText - common data reStructuredText is an easy-to-read, what-you-see-is-what-you-get plaintext markup syntax and parser system. It is useful for in-line program documentation (such as Python docstrings), for quickly creating simple web pages, and for standalone documents. . The purpose of the Docutils project is to create a set of tools for processing reStructuredText documentation into useful formats, such as HTML, LaTeX, ODT or Unix manpages. . This package includes data and configuration files. Homepage: http://docutils.sourceforge.net/ Tag: role::app-data Section: python Priority: optional Filename: pool/main/p/python-docutils/docutils-common_0.8.1-8_all.deb Package: docutils-doc Source: python-docutils Version: 0.8.1-8 Installed-Size: 4024 Maintainer: Debian Python Modules Team Architecture: all Replaces: python-docutils (<< 0.7-3~) Conflicts: python-docutils (<< 0.7-3~) Size: 1303556 SHA256: 3f05e79e01688403baeda8a939c60dbac3d3cb648b4c1e483b3eda10dad89b7e SHA1: 3a483bc9324703b8768db5b577a812e113467a23 MD5sum: 566969ab062468774ec685d157d2aaef Description: text processing system for reStructuredText - documentation reStructuredText is an easy-to-read, what-you-see-is-what-you-get plaintext markup syntax and parser system. It is useful for in-line program documentation (such as Python docstrings), for quickly creating simple web pages, and for standalone documents. . The purpose of the Docutils project is to create a set of tools for processing reStructuredText documentation into useful formats, such as HTML, LaTeX, ODT or Unix manpages. . This package includes documentation in HTML and (gzipped) reST formats. Homepage: http://docutils.sourceforge.net/ Tag: devel::doc, devel::lang:python, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/p/python-docutils/docutils-doc_0.8.1-8_all.deb Package: docvert Version: 4.0-7 Installed-Size: 1933 Maintainer: Debian QA Group Architecture: all Depends: python, php5-xsl, php5-cli, php5-gd, php5-tidy, librsvg2-bin, libphp-pclzip Pre-Depends: pwgen Recommends: docvert-libreoffice, optipng, jpegoptim, apache2, libapache2-mod-php5, fckeditor Size: 510126 SHA256: b504404628ee48be0a703b6407e267aa346918cdcdc641b2a9d69b883ebb7c85 SHA1: be91f74fe11672ac2cb71a5d7ecaf834412cbd29 MD5sum: 4cea0c5373efc9218ccf4a75f1632206 Description: converts word processor files to HTML Docvert is a web application which takes word processor files (typically .doc) and converts them to OpenDocument and clean HTML. . The resulting OpenDocument is then optionally converted to HTML or any XML. This is done with XML Pipelines, an approach that supports XSLT, breaking up content over headings or sections, and saving those results to multiple files (e.g., chapter1.html, chapter2.html, etc.). The result is returned in a .zip file. . A command-line program which uses the web application is also included. . This package only supports OpenDocument. To convert other formats, install docvert-libreoffice. Homepage: http://www.docvert.org Tag: implemented-in::php, interface::commandline, interface::web, role::program, use::converting, works-with-format::odf Section: web Priority: extra Filename: pool/main/d/docvert/docvert_4.0-7_all.deb Package: docvert-libreoffice Source: docvert Version: 4.0-7 Installed-Size: 66 Maintainer: Debian QA Group Architecture: all Replaces: docvert-openoffice.org Depends: libreoffice-writer, libreoffice-core, python-uno, lsb-base, python, pdf2svg, adduser, docvert Pre-Depends: procps Size: 13342 SHA256: d98d4a75a3873396ebf3c8de3ad16d39b93e4697ce0056ecc07beb5af285a425 SHA1: a0bf11e626dfc054bfee63dfb0698dafda7ae41e MD5sum: db3d2b13b6a206e9c9e23ac9447e9a04 Description: converts word processor files to HTML using LibreOffice Docvert is a web application which takes word processor files (typically .doc) and converts them to OpenDocument and clean HTML. . This package adds the ability to convert Word documents using LibreOffice. Homepage: http://www.docvert.org Section: web Priority: extra Filename: pool/main/d/docvert/docvert-libreoffice_4.0-7_all.deb Package: docvert-openoffice.org Source: openoffice.org Version: 1:3.4.0~ooo340m1-7 Installed-Size: 118 Maintainer: Debian LibreOffice Maintainers Architecture: all Depends: docvert-libreoffice Size: 121260 SHA256: 9187a8cbf83cb7d6a7a73ee4c46e9322cfd759e135322d826a2aba85c3c7763f SHA1: 457d8132221474bcef15c2119eeb704fc54927ef MD5sum: d1a04a8472cb2d0536697b34d8bd8972 Description: converts word processor files to HTML using LibreOffice This is a transitional package, replacing the OpenOffice.org packaging with the LibreOffice packaging. . It can be safely removed after an upgrade. Homepage: http://www.openoffice.org Tag: implemented-in::php, interface::web, role::plugin, use::converting Section: web Priority: extra Filename: pool/main/o/openoffice.org/docvert-openoffice.org_3.4.0~ooo340m1-7_all.deb Package: docx2txt Version: 1.2-1+deb7u1 Installed-Size: 61 Maintainer: Khalid El Fathi Architecture: all Depends: unzip Size: 14432 SHA256: 2bfa3f3338c2b65b38e43dc221ebe17df28379d620429d27f5daea1d7c329c16 SHA1: 70176700c377082d343ccb24465ad8cbf3242aec MD5sum: 64ab28d63df0bcb47f002fe0f545321b Description: Convert Microsoft OOXML files to plain text docx2txt is a tool that attempts to generate equivalent (ASCII) text files from Microsoft .docx documents, preserving some formatting and document information (which MS text conversion drops) along with appropriate character conversions for a good (ASCII) text experience. It is a platform independent solution consisting of (core) Perl and (wrapper) Unix/Windows shell scripts and a configuration file to control the output text appearance to fair extent. It can very conveniently be used to build a Web based docx document conversion service. Some Makefiles and Windows batch files are provided for easy installation of the scripts. With unzippers like CakeCmd that can deal with corrupt Zip archives, this tool can extract text from corrupt docx documents in many cases, where MS word processor fails to even open them. Homepage: http://docx2txt.sourceforge.net Section: text Priority: extra Filename: pool/main/d/docx2txt/docx2txt_1.2-1+deb7u1_all.deb Package: dodgindiamond2 Source: dd2 Version: 0.2.2-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 990 Depends: libc6 (>= 2.13-28), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11) Homepage: http://www.usebox.net/jjm/dd2/ Priority: optional Section: games Filename: pool/main/d/dd2/dodgindiamond2_0.2.2-1_armhf.deb Size: 261144 SHA256: 9fce7437f02ed017a2a87fa7856de0f9ab33511662275f26c5abba152066cb81 SHA1: ee386c266cd135960fa438d5857ca8d0d260eec5 MD5sum: e95d48d7ef2a2604474cfed1ac8c658c Description: Little shoot-'em-up arcade game for one or two players This aims to be an old school arcade game with low resolution graphics, top-down scrolling action, energy based gameplay, and different weapons with several levels of power. Package: dokuwiki Version: 0.0.20120125b-2+deb7u2 Installed-Size: 7335 Maintainer: Tanguy Ortolo Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, ucf, php5 (>= 5.1.2), php-geshi, libphp-simplepie, libjs-jquery, libjs-jquery-cookie, libjs-jquery-ui, javascript-common Suggests: libapache2-mod-xsendfile Size: 1774882 SHA256: 48033e4ed1a17e335a837214da8ee0067e4c01f7a2ef90984592691ec5a64f83 SHA1: e73deb55f7e231febab7244b164dc568e9fdd0bd MD5sum: 06f668730ff1fd8046b2b11fced9bc68 Description: standards compliant simple to use wiki DokuWiki is a wiki mainly aimed at creating documentation of any kind. It is targeted at developer teams, workgroups and small companies. It has a simple but powerful syntax which makes sure the datafiles remain readable outside the wiki and eases the creation of structured texts. All data is stored in plain text files -- no database is required. Homepage: http://www.dokuwiki.org/ Recommends: imagemagick | php5-gd, php5-cli (>= 5.1.2) Section: web Priority: optional Filename: pool/main/d/dokuwiki/dokuwiki_0.0.20120125b-2+deb7u2_all.deb Package: dolfin-bin Source: dolfin Version: 1.0.0-7 Installed-Size: 95 Maintainer: Debian Science Team Architecture: all Depends: python-dolfin (>= 1.0.0-7), python Size: 37586 SHA256: 31f9a9c6af43ed5cbca119e3d5e1886cf8bddb57d981b2cb7e0338fb416af89c SHA1: dd0aa486ed69a6005251ac5392bd2927ddb18b3d MD5sum: b32dcb6b4f483c07442a32a0d9f1fc8f Description: Executable scripts for DOLFIN DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains executable scripts for DOLFIN. Homepage: http://fenicsproject.org Tag: field::mathematics, implemented-in::python, role::program, use::converting Section: math Priority: extra Filename: pool/main/d/dolfin/dolfin-bin_1.0.0-7_all.deb Package: dolfin-dev Source: dolfin Version: 1.0.0-7 Installed-Size: 80 Maintainer: Debian Science Team Architecture: all Depends: libdolfin1.0-dev Size: 32138 SHA256: f364abafd46c006fe79cb4c201d812dc29cbb173e28438f94638979bf315b201 SHA1: d9bd41a5c8ca17f02ab49f77af5da7edfcf8bcb0 MD5sum: c33e9e8019388cfb3afffb23ac618f85 Description: Empty package depending on latest DOLFIN development package DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package will always depend on the most recent version of libdolfinX-dev (X=version), so if you install it then you will get upgrades automatically. Homepage: http://fenicsproject.org Tag: devel::lang:c++, devel::library, field::mathematics, implemented-in::c++, role::devel-lib Section: libdevel Priority: extra Filename: pool/main/d/dolfin/dolfin-dev_1.0.0-7_all.deb Package: dolfin-doc Source: dolfin Version: 1.0.0-7 Installed-Size: 18729 Maintainer: Debian Science Team Architecture: all Depends: libdolfin1.0-dev (>= 1.0.0-7), python-dolfin (>= 1.0.0-7) Suggests: cmake (>= 2.8.0) Size: 6291734 SHA256: 7641d95c8bc000c4eb500b5a5ba1855ea1e08a8d964c7a7cc9d049f43db7b022 SHA1: 36a163c96befc24a5c55d5f88f1e7fce03c0a581 MD5sum: ed9d178696b8fd9537ad63b0c9f517e0 Description: Documentation and demo programs for DOLFIN DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains documentation and demo programs for DOLFIN. Homepage: http://fenicsproject.org Tag: devel::lang:c++, devel::lang:python, field::mathematics, implemented-in::c++, implemented-in::python, role::program Section: doc Priority: extra Filename: pool/main/d/dolfin/dolfin-doc_1.0.0-7_all.deb Package: dolphin Source: kde-baseapps Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2438 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkfile4 (>= 4:4.8), libkio5 (>= 4:4.8), libknewstuff3-4 (>= 4:4.8), libkonq5abi1 (>= 4:4.8.1), libkparts4 (>= 4:4.8), libnepomuk4 (>= 4:4.8), libnepomukquery4a (>= 4:4.8), libnepomukutils4 (>= 4:4.5.85), libphonon4 (>= 4:4.6.0really4.4.3), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.7.0~beta2), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0), libxrender1, phonon Recommends: ruby Suggests: kdesdk-dolphin-plugins Homepage: http://www.kde.org/ Priority: optional Section: utils Filename: pool/main/k/kde-baseapps/dolphin_4.8.4-2_armhf.deb Size: 1129974 SHA256: b849a9df9f422101419fd63726bab0defec231d9d4191bda4795c27bb88c330a SHA1: 3d4baa852144838307bc63fe6b944228405a2258 MD5sum: a847c32bff1405aa1cb31c93a5a879f9 Description: file manager Dolphin is the default file manager in the KDE Plasma Workspaces, intended to be both powerful and easy to use. . Features include: Customisable sidebars "Breadcrumb" navigation View properties remembered for each folder Split views Network transparency Undo/redo functionality Ratings, comments, and tags . This package is part of the KDE base applications module. Package: donkey Version: 0.5-19 Architecture: armhf Maintainer: Peter Pentchev Installed-Size: 78 Depends: libc6 (>= 2.4) Priority: extra Section: net Filename: pool/main/d/donkey/donkey_0.5-19_armhf.deb Size: 24420 SHA256: 2009e69b8e60669d2927f4e902f792a00f2310368a34ba2e51862f84731841a5 SHA1: 1ab319ee2bf9fee014528f71febe4314662aee7f MD5sum: 465075cf1a10ef889162d5d11e524e4b Description: One Time Password calculator Donkey is an alternative for S/KEY's "key" command. This means that donkey is also an alternative for "keyinit". Since the entry is printed to stdout (not to /etc/skeykeys), you can easily send it to remote operator by e-mail (with PGP signature or something). So, it is possible to initiate S/KEY without login from the console of the host. Package: doodle Version: 0.7.0-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 249 Depends: libc6 (>= 2.13-28), libdoodle1, libextractor3, adduser Recommends: libextractor-plugins Homepage: http://www.gnunet.org/doodle/ Priority: optional Section: utils Filename: pool/main/d/doodle/doodle_0.7.0-5_armhf.deb Size: 48862 SHA256: 3a5b8605975b8599a882b97481661d0f4d0c021a19ca6d0f8375e33b5e3ca28c SHA1: a1c6d993f1094b057133cf613c00de1f4a924db9 MD5sum: db5e2b23c5e8ae7f2cfd358b03c308c3 Description: Desktop Search Engine (client) It searches your hard drive for files using pattern matching on meta-data. It extracts file-format specific meta-data using libextractor and builds a suffix tree to index the files. The index can then be searched rapidly. It is similar to locate, but can take advantage of information such as ID3 tags. It is possible to do full-text indexing using the appropriate libextractor plugins. It also supports using FAM to keep the database up-to-date. . You can use doodled (separate package) to keep the doodle database automagically updated. Package: doodle-dbg Source: doodle Version: 0.7.0-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 204 Depends: doodle (= 0.7.0-5), doodled (= 0.7.0-5), libdoodle1 (= 0.7.0-5), libdoodle-dev (= 0.7.0-5) Homepage: http://www.gnunet.org/doodle/ Priority: extra Section: debug Filename: pool/main/d/doodle/doodle-dbg_0.7.0-5_armhf.deb Size: 76790 SHA256: 5f265e4ba7aaaec45b4ebf0a0a1cf221b9cf7bdf319eb41e7cb4ff961aa39eaa SHA1: e9a7a0c67b0545e2c86294987df767c227c3f79e MD5sum: fc83d57ed4e0b70bbd8efe957335890e Description: Desktop Search Engine (debug) It searches your hard drive for files using pattern matching on meta-data. It extracts file-format specific meta-data using libextractor and builds a suffix tree to index the files. The index can then be searched rapidly. It is similar to locate, but can take advantage of information such as ID3 tags. It is possible to do full-text indexing using the appropriate libextractor plugins. It also supports using FAM to keep the database up-to-date. . This package contains the debugging symbols. Package: doodled Source: doodle Version: 0.7.0-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 86 Depends: libc6 (>= 2.13-28), libdoodle1, libextractor3, libgamin0 | libfam0, doodle (= 0.7.0-5), gamin, portmap Homepage: http://www.gnunet.org/doodle/ Priority: optional Section: utils Filename: pool/main/d/doodle/doodled_0.7.0-5_armhf.deb Size: 26304 SHA256: 48fa79a7234fd9758f9f2e0cb5bdbcd1ad2431079ee5f921ba3feff972299035 SHA1: 7a4e44ced5f339fd6acb71c63221daac675eff2e MD5sum: 0cf83d9c246ca12bbc3fe82479866934 Description: Desktop Search Engine (daemon) It searches your hard drive for files using pattern matching on meta-data. It extracts file-format specific meta-data using libextractor and builds a suffix tree to index the files. The index can then be searched rapidly. It is similar to locate, but can take advantage of information such as ID3 tags. It is possible to do full-text indexing using the appropriate libextractor plugins. It also supports using FAM to keep the database up-to-date. . This is the daemon to keep the doodle database automagically up-to-date. Package: doomsday Source: deng Version: 1.9.0-beta6.9+dfsg1-2.1 Architecture: armhf Maintainer: Kees Meijs Installed-Size: 5011 Depends: libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libncurses5 (>= 5.5-5~), libpng12-0 (>= 1.2.13-4), libsdl-mixer1.2, libsdl-net1.2, libsdl1.2debian (>= 1.2.11), libtinfo5, libx11-6, libxext6, zlib1g (>= 1:1.1.4) Recommends: timidity Suggests: game-data-packager, doom-wad Homepage: http://www.dengine.net/ Priority: optional Section: games Filename: pool/main/d/deng/doomsday_1.9.0-beta6.9+dfsg1-2.1_armhf.deb Size: 3028418 SHA256: 595953e5aa3b5c21103c4f2942f28034ad0b9e486ed67b4fe80b35c07131a1c1 SHA1: 780cb08195e05d3b3227b0734770507f0702399f MD5sum: ee55dd53a25a9fc9a27561ff1b943ce4 Description: enhanced version of the legendary DOOM game The purpose of the Doomsday Engine project is to create versions of DOOM, Heretic and Hexen that feel the same as the original games but are implemented using modern techniques such as 3D graphics and client/server networking. A lot of emphasis is placed on good-looking graphics. . Doomsday Engine (or deng for short) requires an IWAD to play. You can install your commercial IWADs using game-data-packager. Package: dopewars Version: 1.5.12-13 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 344 Depends: dopewars-data (= 1.5.12-13), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libesd0 (>= 0.2.35), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libncurses5 (>= 5.5-5~), libpango1.0-0 (>= 1.14.0), libsdl1.2debian (>= 1.2.11), libtinfo5 Recommends: pulseaudio-esound-compat | esound Homepage: http://dopewars.sourceforge.net Priority: extra Section: games Filename: pool/main/d/dopewars/dopewars_1.5.12-13_armhf.deb Size: 153046 SHA256: f319d5b7242c146ebe11baa7a7388ba355a6d2855ea7fd2c29582a4aa4424591 SHA1: 90c90e67bf2c73b92e9985ee4f0931e34a777fb0 MD5sum: 3f917c51c1a405a1f8ea45070b085006 Description: drug-dealing game set in streets of New York City UNIX rewrite of the MS-DOS program of the same name, which in turn was inspired by John E. Dell's "Drug Wars" game. You have one month to buy and sell drugs on the streets of New York, the aim being first to pay off your debt to the loan shark and then to make a fortune. And if you have to shoot a few cops in the process, well... The game includes TCP networking allowing you to meet (and shoot) other human drug dealers. Package: dopewars-data Source: dopewars Version: 1.5.12-13 Installed-Size: 1039 Maintainer: Debian Games Team Architecture: all Size: 446360 SHA256: bdf3d325e98a476219138a9e87a81928c6e7fb6f465ae4f45ff98188a3feaef3 SHA1: 343ec7b1532896bdc09a53fff863a5832ccb1513 MD5sum: 1cffe7b7626024d0bb04dfce62053bce Description: drug-dealing game set in streets of New York City - data files UNIX rewrite of the MS-DOS program of the same name, which in turn was inspired by John E. Dell's "Drug Wars" game. You have one month to buy and sell drugs on the streets of New York, the aim being first to pay off your debt to the loan shark and then to make a fortune. And if you have to shoot a few cops in the process, well... The game includes TCP networking allowing you to meet (and shoot) other human drug dealers. . This package contains the required data files common to all architectures. Homepage: http://dopewars.sourceforge.net Tag: role::app-data Section: games Priority: extra Filename: pool/main/d/dopewars/dopewars-data_1.5.12-13_all.deb Package: dos2unix Version: 6.0-1 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 263 Depends: libc6 (>= 2.13-28) Conflicts: tofrodos (<< 1.7.8.debian.1) Homepage: http://freshmeat.net/projects/dos2unix Priority: extra Section: text Filename: pool/main/d/dos2unix/dos2unix_6.0-1_armhf.deb Size: 80968 SHA256: 29df995b55c70a1ec164dc06b377739d331f50a48d54c98c0b2c42fe11d74379 SHA1: 5899013f32330ae7a99587e206d85d2127a35834 MD5sum: 2594cf74d40c2aecb41633818659f24d Description: convert text file line endings between CRLF and LF This package contains utilities dos2unix, unix2dos, mac2unix, unix2mac to convert the line endings of text files between UNIX (LF), DOS (CRLF) and Mac (CR) formats. . Text files under Windows and DOS typically have two ASCII characters at the end of each line: CR (carriage return) followed by LF (line feed). Older Macs used just CR, while UNIX uses just LF. While most modern editors can read all these formats, there may still be a need to convert files between them. . This is the classic utility developed in 1989. Package: dosage Version: 1.6.0-1 Installed-Size: 428 Maintainer: Tristan Seligmann Architecture: all Depends: python (>= 2.4), python-central (>= 0.6.7), python-twisted-core, python-zopeinterface Size: 77412 SHA256: 9a51d9337d8f8cd4ab833d8a8af4077c18ba866ac96c712e35dd33bc40c547f7 SHA1: 3f450fd1c9ffbe843f24f1848a24f3c2c49e7c45 MD5sum: a6d6d43b63f7e35963f15c37003d9294 Description: powerful webcomic downloader / archiver Dosage has a modular comic module infrastructure that allows new webcomics to be easily supported, but does not limit it to supporting webcomics with simple site layouts. Dosage can retrieve just the latest strip in a comic, catch-up to the last strip downloaded, or download a strip for a particular date/index (except if the webcomic's site layout makes this impossible). . Homepage: http://slipgate.za.net/dosage Python-Version: >= 2.4 Tag: implemented-in::python, interface::commandline, network::client, protocol::http, role::app-data, role::program, use::downloading, works-with::image, works-with::image:raster Section: net Priority: optional Filename: pool/main/d/dosage/dosage_1.6.0-1_all.deb Package: dosbox Version: 0.74-3 Architecture: armhf Maintainer: Jan Dittberner Installed-Size: 2191 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libpng12-0 (>= 1.2.13-4), libsdl-net1.2, libsdl-sound1.2 (>= 1.0.1), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libx11-6, zlib1g (>= 1:1.1.4) Homepage: http://www.dosbox.com/ Priority: optional Section: otherosfs Filename: pool/main/d/dosbox/dosbox_0.74-3_armhf.deb Size: 830284 SHA256: 78f8d3cb9ed54e51560f764f585fd198d16facf07615ae15703125b85631a4b7 SHA1: a1d96bb24efb6514e8dc90d74cb807badaa55f3d MD5sum: b5c77c935ddc833e48e7a4ae6015168d Description: x86 emulator with Tandy/Herc/CGA/EGA/VGA/SVGA graphics, sound and DOS DOSBox is a x86 emulator with Tandy/Hercules/CGA/EGA/VGA/SVGA graphics, sound and DOS. It's been designed to run old DOS games under platforms that don't support it. (Win2k/XP/FreeBSD/Linux/MAC OS X) . The following legacy sound devices are emulated: PC Speaker, Creative CMS/Gameblaster, Tandy 3 voice, Adlib, Sound Blaster Pro/16, Disney Soundsource and a Gravis Ultrasound. MPU-401 is forwarded to the host. Package: doscan Version: 0.3.1-3 Architecture: armhf Maintainer: Florian Weimer Installed-Size: 124 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libstdc++6 (>= 4.4.0) Priority: optional Section: net Filename: pool/main/d/doscan/doscan_0.3.1-3_armhf.deb Size: 46928 SHA256: 4279d54549a41eb29c3d98d46c7a6b768545ec3130e1aacb35233fb1b991e7a7 SHA1: 0a78fcad1b00d04de7427cac39a8f5a8acd559d1 MD5sum: 57dc21f340f61f9a892dadce22f9f2d1 Description: port scanner for discovering services on large networks doscan is a tool to discover TCP services on your network. It is designed for scanning a single ports on a large network. doscan contacts many hosts in parallel, using standard TCP sockets provided by the operating system. It is possible to send strings to remote hosts, and collect the banners they return. . There are better tools for scanning many ports on a small set of hosts, for example nmap. Package: doschk Version: 1.1-6 Architecture: armhf Maintainer: Ben Pfaff Installed-Size: 49 Depends: libc6 (>= 2.4) Homepage: http://www.gnu.org/software/doschk/ Priority: optional Section: utils Filename: pool/main/d/doschk/doschk_1.1-6_armhf.deb Size: 8114 SHA256: 3461dcc68bb10a603a003e3b4dcf1447877916cbbd4a0f0d99f63f871751b69f SHA1: 33641ee03bf8eaf2e38022f24d6acb9ee612beb4 MD5sum: 152012bb056aadde387c0a8fdde68f61 Description: SYSV and DOS filename conflicts check Checks filenames for conflicts under 14-character SYSV and 8.3 DOS limitations. Package: dose-builddebcheck Source: dose3 Version: 3.0.2-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 902 Depends: libbz2-ocaml-1qq81, libdose3-ocaml-1c4g1, libextlib-ocaml-2t4w2, libpcre-ocaml-werc3, libzip-ocaml-tcv11, ocaml-base-nox-3.12.1 Conflicts: dose3-builddebcheck (<< 2.9.13) Replaces: dose3-builddebcheck (<< 2.9.13) Homepage: http://www.mancoosi.org/software/ Priority: extra Section: devel Filename: pool/main/d/dose3/dose-builddebcheck_3.0.2-3_armhf.deb Size: 173230 SHA256: c0bee7854814f2b8bc13d74eb1bbb4ef9584345dc91e2a895f453155b78c58ee SHA1: c0c6cf2c7c39ab9f26058b77c077c977f0b1ddc7 MD5sum: 683fa6d1375bf5e1e6986cc11d2c1b6c Description: Checks whether build-dependencies can be satisfied This software checks, given a collection of source package stanzas and a collection of binary package stanzas of debian packages, whether the build-dependencies of each source package can be satisfied by the binary packages. Package: dose-distcheck Source: dose3 Version: 3.0.2-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 1022 Depends: libbz2-ocaml-1qq81, libdose3-ocaml-1c4g1, libextlib-ocaml-2t4w2, libpcre-ocaml-werc3, libzip-ocaml-tcv11, ocaml-base-nox-3.12.1 Conflicts: dose3-distcheck (<< 2.9.13) Replaces: dose3-distcheck (<< 2.9.13) Homepage: http://www.mancoosi.org/software/ Priority: extra Section: devel Filename: pool/main/d/dose3/dose-distcheck_3.0.2-3_armhf.deb Size: 203582 SHA256: 5eb32636a6097ed2e2a254ac4ab3c66c614f14212e2686c5cda652b678ee5d38 SHA1: 406523cb70b259d1eb812833bff2debe2cd6b8b4 MD5sum: a6af280d39ec0c89bb7a2b2c6b73182a Description: Checks whether dependencies of packages can be satisfied This software checks for every package of a distribution whether it is possible to satisfy its dependencies and conflicts within this distribution. It comes in several versions: - dose-debcheck for Debian packages - dose-rpmcheck for rpm packages - dose-eclipsecheck for OSGi plugins Package: dose-extra Source: dose3 Version: 3.0.2-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 2694 Depends: libbz2-ocaml-1qq81, libdose3-ocaml-1c4g1, libextlib-ocaml-2t4w2, libpcre-ocaml-werc3, libzip-ocaml-tcv11, ocaml-base-nox-3.12.1 Conflicts: ceve (<< 2.9.10) Replaces: ceve (<< 2.9.10) Provides: ceve Homepage: http://www.mancoosi.org/software/ Priority: extra Section: devel Filename: pool/main/d/dose3/dose-extra_3.0.2-3_armhf.deb Size: 520994 SHA256: f267fea7d5f7a585273af36f1b598d7b3dd9b3a220bc79fb1d97f1771271a36a SHA1: 7be3f07d41d37de3bf528d4cdddc744a12a3e29a MD5sum: 287fc5ba8d59701baed7fcd6c959629e Description: Extra QA tools from the Dose3-library This package contains extra tools for analyzing meta-data of software packages: - ceve, a general metadata parser supporting different input formats (debian, rpm, and others) and different output formats. - dose-outdated, a debian-specific tool for finding packages that are not installable with respect to a package repository, and that can only be made installable again by fixing the package itself. - dose-challenged, a debian-specific tool for checking which packages will certainly become uninstallable when some existing package is upgraded to a newer version. The tools dose-distcheck and dose-builddebcheck are packaged in their own resepective packages. Package: dosfstools Version: 3.0.13-1+rpi1 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 199 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.daniel-baumann.ch/software/dosfstools/ Priority: optional Section: otherosfs Filename: pool/main/d/dosfstools/dosfstools_3.0.13-1+rpi1_armhf.deb Size: 67402 SHA256: b7c11d8d8fd03d666f17f052c95379275f1e021848c461e5b575a1686b8b5278 SHA1: 79ec1d0347cb523ee7eb9c180a9bfa0f38881ae9 MD5sum: 002ffc0476d3672a4334f08427c32c8e Description: utilities for making and checking MS-DOS FAT filesystems The dosfstools package includes the mkdosfs (aka mkfs.dos and mkfs.vfat) and dosfsck (aka fsck.msdos and fsck.vfat) utilities, which respectively make and check MS-DOS FAT filesystems on hard drives or on floppies. . This version uses the enhanced boot sector/superblock format of DOS 3.3+ as well as provides a default dummy boot sector code. Package: dosfstools-dbg Source: dosfstools Version: 3.0.13-1+rpi1 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 175 Depends: dosfstools (= 3.0.13-1+rpi1) Homepage: http://www.daniel-baumann.ch/software/dosfstools/ Priority: extra Section: debug Filename: pool/main/d/dosfstools/dosfstools-dbg_3.0.13-1+rpi1_armhf.deb Size: 104134 SHA256: 597dde9e7305df92154e5b0d1c6986e67796504912748d6d5c1c312fdbea0693 SHA1: 147aee8a9f4c58dc1025d3f033386fcbca6b29fd MD5sum: 04629b3355cb3625dcec778cdbe41a71 Description: utilities for making and checking MS-DOS FAT filesystems (debug) The dosfstools package includes the mkdosfs (aka mkfs.dos and mkfs.vfat) and dosfsck (aka fsck.msdos and fsck.vfat) utilities, which respectively make and check MS-DOS FAT filesystems on hard drives or on floppies. . This package contains the debugging symbols. Package: dossizola Version: 1.0-8.3 Architecture: armhf Maintainer: Yann Dirson Installed-Size: 98 Depends: libc6 (>= 2.13-28), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), dossizola-data (= 1.0-8.3) Homepage: http://freshmeat.net/projects/dossizola Priority: optional Section: games Filename: pool/main/d/dossizola/dossizola_1.0-8.3_armhf.deb Size: 36674 SHA256: f30e7feb9305fe5376329cee0d375dde5be8103caf84d75e408856ccda7c6157 SHA1: 0968dfda1f56044063aa003df1ce17f16995f070 MD5sum: 2294784a2150023f8437a46938628abb Description: An Isola board game with nice graphics Do'SSi Zo'la is an SDL implementation of the Isola board game, featuring nice animations, which makes it appealing to children. . The goal of the basic Isola game is to block the opponent by destroying the squares which surround him. In each turn, each player must first move to one of the squares adjacent to his current position, and then destroy a square of his choice. The first player who is unable to move loses. . Do'SSi Zo'la provides a number of variations of the standard rules. Package: dossizola-data Source: dossizola Version: 1.0-8.3 Installed-Size: 960 Maintainer: Yann Dirson Architecture: all Recommends: dossizola Size: 873386 SHA256: 6e4344fb58374a4a2e026316545da8d25c5d6fd0c9897e99dd2f3b8578a17dfa SHA1: d1e50c9e02f7d7bf791cf938fcdc16dccd8cabbb MD5sum: ff8406caf7d4c8b0fe535b0664c453ad Description: Data files for Do'SSi Zo'la game Do'SSi Zo'la is an SDL implementation of the Isola board game, featuring nice animations, which makes it appealing to children. . The goal of the basic Isola game is to block the opponent by destroying the squares which surround him. In each turn, each player must first move to one of the squares adjacent to his current position, and then destroy a square of his choice. The first player who is unable to move loses. . This package holds the images used by the Do'SSi Zo'la board game. Homepage: http://freshmeat.net/projects/dossizola Tag: game::board, role::app-data, uitoolkit::sdl, use::gameplaying Section: games Priority: optional Filename: pool/main/d/dossizola/dossizola-data_1.0-8.3_all.deb Package: dot-forward Version: 1:0.71-2 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 87 Depends: libc6 (>= 2.13-28) Recommends: qmail (>> 1.06) Priority: extra Section: mail Filename: pool/main/d/dot-forward/dot-forward_0.71-2_armhf.deb Size: 21068 SHA256: f645a052c48e453c68082ed0110896129fa143fad39391508ef7a731b24fcd6a SHA1: f71ad6ca07d88072a721b605d288155fbf78ec8b MD5sum: 2ba5663ef68a6f63763ba9941d9dbadc Description: reads sendmail's .forward files under qmail It runs in the qmail startup script to support all your existing .forward files automatically. Individual users can switch to the .qmail mechanism at their leisure. . dot-forward supports forwarding, program deliveries, and comments. It does not support file deliveries or :include: (However, it recognizes file delivery attempts, and defers delivery to give you a chance to set up a .qmail file). Package: dot2tex Version: 2.8.7+repack-1 Installed-Size: 748 Maintainer: Peter Collingbourne Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0), python-pyparsing (>= 0.4.8), graphviz Recommends: texlive-latex-base, pgf (>= 2.00) | texlive-pstricks, preview-latex-style Enhances: latex-beamer Size: 353922 SHA256: c55245a37982f4b0184d77cfe793e00e6aaada741642bc5cdb01de9b9362cdf1 SHA1: b3781ff1731fb51fd2beae24549af2e01b2cb769 MD5sum: 0d12b8f6fc4d3553d2eeb8d4243f15b5 Description: Graphviz to LaTeX converter The purpose of dot2tex is to give graphs generated by the graph layout tool Graphviz a more LaTeX friendly look and feel. This is accomplished by: . - Using native PSTricks and PGF/TikZ commands for drawing arrows, edges and nodes. - Typesetting labels with LaTeX, allowing mathematical notation. - Using backend specific styles to customize the output. Homepage: http://www.fauskes.net/code/dot2tex/ Tag: implemented-in::python, role::program Section: graphics Priority: optional Filename: pool/main/d/dot2tex/dot2tex_2.8.7+repack-1_all.deb Package: dotclear Version: 2.4.2+dfsg-2 Installed-Size: 3722 Maintainer: Dario Minnucci Architecture: all Depends: mysql-client | postgresql-client | sqlite3, debconf (>= 0.5) | debconf-2.0, apache2 | httpd | lighttpd, libapache2-mod-php5 | php5 | php5-cgi, php5-cli, php5-mysql | php5-pgsql | php5-sqlite, libjs-jquery, libjs-jquery-cookie, libjs-jquery-ui (>= 1.8), dbconfig-common Recommends: mysql-server | postgresql Size: 1311688 SHA256: 54aec42b64ed18c26b7d61738cbbff99a2247d4565b5452a5476bbff162bd13c SHA1: 696ae259d6acc0d7b149ab00537edb4ecf4801a3 MD5sum: bc36b4a91ec01c447e624f44f35b4f7c Description: open-source web publishing software Dotclear project's purpose is to provide a user-friendly tool allowing anyone to publish on the web, regardless of their technical skills. . Features: * Easy publication * Fully customizable theme * User-friendly administration * Flexible template system * Media management * Choose your input method * Flexible comment system * Built-in antispam * Localization * Presentation widgets * Themes and plugins * Pages * Tags and categories * Automated installation * Support for several database types * Multiblog * Multi-user with permissions * Standard complian * Accessible * Importing / exporting * Naturally optimized for search engines * Syndication feeds * Complete trackback support * Full Unicode support * XML/RPC client support * Extensible * Performance and scalability * Twice free Homepage: http://dotclear.org Tag: implemented-in::php, interface::web, role::program, web::blog Section: web Priority: optional Filename: pool/main/d/dotclear/dotclear_2.4.2+dfsg-2_all.deb Package: dotlrn Version: 2.5.0+dfsg-6+wheezy4 Installed-Size: 65896 Maintainer: Hector Romojaro Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, aolserver4-daemon (>= 4.5.1-11), aolserver4-nspostgres, aolserver4-nssha1, postgresql-client, adduser, tclthread (>= 1:2.6.5-3), tcllib, tcl (>= 8.4), wwwconfig-common, debconf, xotcl, aolserver4-xotcl, imagemagick, zip, unzip, tdom (>= 0.8.3~20080525), ucf Suggests: postgresql, daemontools, daemontools-run Size: 26130338 SHA256: 94bda6d025e3c0bbbb26d0abbf4075cd1d5d191a06230ddbd159f912e9266abd SHA1: c9d6d68eda3a25ef68f2a72da3e0b132ea866273 MD5sum: 5a35ffaa44cf7715d772c112c7e852e3 Description: e-learning portal system based on OpenACS dotLRN is a complete Learning Management System that integrates course and content administration tools and collaborative tools into a sophisticated portal system. Homepage: http://www.dotlrn.org/ Section: web Priority: optional Filename: pool/main/d/dotlrn/dotlrn_2.5.0+dfsg-6+wheezy4_all.deb Package: dotmcp Source: s3d Version: 0.2.2-8 Architecture: armhf Maintainer: Simon Wunderlich Installed-Size: 73 Depends: libc6 (>= 2.13-28), libs3d2 (>= 0.2.1), libg3d-plugins, s3d-data (= 0.2.2-8) Recommends: meshs3d (= 0.2.2-8), s3dfm (= 0.2.2-8), s3dvt (= 0.2.2-8), s3dx11gate (= 0.2.2-8) Homepage: http://s3d.sourceforge.net/ Priority: extra Section: net Filename: pool/main/s/s3d/dotmcp_0.2.2-8_armhf.deb Size: 25182 SHA256: a1e450e37125b7d2ee53378ec09060f3e92a2e519f370d43c6f03eed29bb5ef1 SHA1: 2644ad4e933170fd1cf41ef93c2463092b4a7be2 MD5sum: 0a723e32c5a6de27677e38ccdf284ef3 Description: mcp for s3d s3d is a 3d network display server which can be used as 3d desktop environment. . This package provides a mcp for s3d. Package: dots Version: 0.0.20100108-3 Installed-Size: 119 Maintainer: Debian Accessibility Team Architecture: all Depends: antiword, liblouisxml-bin, python, python-support (>= 0.90.0), python-gobject, python-gtksourceview2 Size: 12820 SHA256: 888c27522c9be2c30696bac0386578cff5182ccc21395549f568f05dce724c96 SHA1: d1ee69d43e5612969fd12530542d880c9b1fb751 MD5sum: 77cbbff739b91eadad8871776cd5b741 Description: Braille typesetting program for GNOME Dots is a user interface for liblouisxml, a braille translation engine that can translate XML and MS Word documents into transcribed braille. Tag: role::program Section: gnome Priority: extra Filename: pool/main/d/dots/dots_0.0.20100108-3_all.deb Package: dotur Version: 1.53-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 172 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.plantpath.wisc.edu/fac/joh/DOTUR/ Priority: extra Section: science Filename: pool/main/d/dotur/dotur_1.53-2_armhf.deb Size: 43864 SHA256: 41b0b25e77995cf00cdd8fab2e38b50f6f4b10e096a31686a4ef9d3696f8e7bf SHA1: 3a012f8083dc97f26479b1cf718f8e762c4adfc6 MD5sum: 86cb5e693cdb3bc714c9ec507f209810 Description: distance based OTU and richness estimation DOTUR is a computer program that takes a distance matrix describing the genetic distance between DNA sequence data and assigns sequences to operational taxonomic units (OTUs) using either the furthest, average, or nearest neighbor algorithms for all possible distances that can be described using the distance matrix. Using the OTU composition data, DOTUR constructs collector's and rarefaction curves for sampling intensity, richness estimators, and diversity indices. Package: douf00 Version: 3.0.0-1 Installed-Size: 140 Maintainer: Bernd Zeimetz Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-wxgtk2.8, python-cairo, python-poppler Size: 14186 SHA256: 01104bd386f1e0738ff7a619836baf2f9839b4ae5bb77cf3c13070be9ae73591 SHA1: f4d2ce1314ae94146d16df2318fff2b742904cf7 MD5sum: 5dd40edc45c143e3dce1e9c7b830d1a9 Description: lightweight, slim and straight forward Presentation Tool It assists novice as well as experienced speakers when giving lectures and business meetings. With its simple presenters Screen that includes current slide - next slide (preview) as well as timers it is designed to assist those of us, that are willing to step up their lectures to the next level. Homepage: http://github.com/natano/presentation/tree/master Tag: implemented-in::python, office::presentation, role::program Section: x11 Priority: optional Filename: pool/main/d/douf00/douf00_3.0.0-1_all.deb Package: dov4l Version: 0.9-4.1 Architecture: armhf Maintainer: Anders Lennartsson Installed-Size: 52 Depends: libc6 (>= 2.13-28) Homepage: http://www.vanheusden.com/dov4l/ Priority: optional Section: video Filename: pool/main/d/dov4l/dov4l_0.9-4.1_armhf.deb Size: 10302 SHA256: de9bfbfa918120a2a94945eb8c3ebaff84d911fb89b2885741b6a239a350af06 SHA1: 766b9c85f89dcbd8e9cbfe8f5e0605ac8d8fc26e MD5sum: b98d19660f5cb24fd47da0ab687c8ec1 Description: program to set and query settings of video4linux devices The dov4l program can set properties such as frequency, tuner, inputchannel, mode, brightness, hue, color, contrast, whiteness, palette, width, and height of a video4linux device. It can also query current settings. Package: dovecot-antispam Source: dovecot-antispam (2.0+20120225-3) Version: 2.0+20120225-3+b1 Architecture: armhf Maintainer: Ron Lee Installed-Size: 88 Depends: libc6 (>= 2.13-28), dovecot-imapd (>= 1:2.1.7), dovecot-imapd (<< 1:2.1.7.) Suggests: crm114 | dspam Homepage: http://johannes.sipsolutions.net/Projects/dovecot-antispam Priority: optional Section: mail Filename: pool/main/d/dovecot-antispam/dovecot-antispam_2.0+20120225-3+b1_armhf.deb Size: 21872 SHA256: 7f53af5f65d6deefbf0b5a370fd61ae2ea45c97f2b9936565ae7a49cbe8e72f1 SHA1: 8c3c2f4d6e26efd0b42fa8909045f8b53ca1b2cd MD5sum: 6539eef6947190c65256deefa5608d30 Description: Dovecot plugins for training spam filters dovecot-antispam watches designated spam folders and trains mail as spam or not-spam when it moves in or out of those folders respectively. . dovecot-antispam supports dspam, crm114, and generic training by mailing spam and non-spam to training addresses. For crm114, it supports folders for the additional "unsure" classification, and trains when moving from there to spam or normal folders. Package: dovecot-common Source: dovecot Version: 1:2.1.7-7+deb7u2 Installed-Size: 53 Maintainer: Dovecot Maintainers Architecture: all Depends: dovecot-core Suggests: dovecot-managesieved Size: 25542 SHA256: 75cb10cbfa7543c34460ea7a623f1318268f37ef55cbd704b38516473eb7b56b SHA1: 3e2eedccada10aa983e6d1c085e5692ff05292c2 MD5sum: 8b51aac6928159d47dfa2a5d1f253b73 Description: Transitional package for dovecot This is a transitional package for dovecot, and can be safely removed after the installation is complete. Homepage: http://dovecot.org/ Recommends: dovecot-gssapi, dovecot-sieve, dovecot-pgsql, dovecot-mysql, dovecot-sqlite, dovecot-ldap Section: mail Priority: optional Filename: pool/main/d/dovecot/dovecot-common_2.1.7-7+deb7u2_all.deb Package: dovecot-core Source: dovecot Version: 1:2.1.7-7+deb7u2 Architecture: armhf Maintainer: Dovecot Maintainers Installed-Size: 4755 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpam0g (>= 0.99.7.1), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), libpam-runtime (>= 0.76-13.1), openssl, adduser, ucf (>= 2.0020) Suggests: ntp, dovecot-gssapi, dovecot-sieve, dovecot-pgsql, dovecot-mysql, dovecot-sqlite, dovecot-ldap, dovecot-imapd, dovecot-pop3d, dovecot-lmtpd, dovecot-managesieved, dovecot-solr Breaks: dovecot-common (<< 1:2.0.14-2~), mailavenger (<< 0.8.1-4) Replaces: dovecot-common (<< 1:2.0.14-2~), mailavenger (<< 0.8.1-4) Provides: dovecot-common Homepage: http://dovecot.org/ Priority: optional Section: mail Filename: pool/main/d/dovecot/dovecot-core_2.1.7-7+deb7u2_armhf.deb Size: 2609506 SHA256: 2a0b7db26d39269fe394088615e804438b1707606d70baef1c31280e708552cf SHA1: 1d44b9cb3d72ff2a72c00ac57240b1f6c8f06126 MD5sum: 9ba8eb3235ffbbbdb0e67c38419e808d Description: secure mail server that supports mbox, maildir, dbox and mdbox mailboxes Dovecot is a mail server whose major goals are security and extreme reliability. It tries very hard to handle all error conditions and verify that all data is valid, making it nearly impossible to crash. It should also be pretty fast, extensible, and portable. . This package contains the dovecot main server and its command line utility. Package: dovecot-dbg Source: dovecot Version: 1:2.1.7-7+deb7u2 Architecture: armhf Maintainer: Dovecot Maintainers Installed-Size: 16547 Depends: dovecot-core (= 1:2.1.7-7+deb7u2) Homepage: http://dovecot.org/ Priority: extra Section: debug Filename: pool/main/d/dovecot/dovecot-dbg_2.1.7-7+deb7u2_armhf.deb Size: 3973178 SHA256: bc6929765c58885015883919c5316aa942f0b06e4caf4b9330a2b33b164accae SHA1: befffa92f54e6a98af5d7469bb4abfdf89ccd913 MD5sum: 6908c8972813fba8cbafba1ae7233026 Description: debug symbols for Dovecot Dovecot is a mail server whose major goals are security and extreme reliability. It tries very hard to handle all error conditions and verify that all data is valid, making it nearly impossible to crash. It should also be pretty fast, extensible, and portable. . This package contains debug symbols for Dovecot. Package: dovecot-dev Source: dovecot Version: 1:2.1.7-7+deb7u2 Architecture: armhf Maintainer: Dovecot Maintainers Installed-Size: 1190 Depends: dovecot-core (= 1:2.1.7-7+deb7u2) Breaks: dovecot-common (<< 1:2.0.14-2~) Replaces: dovecot-common (<< 1:2.0.14-2~) Homepage: http://dovecot.org/ Priority: optional Section: mail Filename: pool/main/d/dovecot/dovecot-dev_2.1.7-7+deb7u2_armhf.deb Size: 563114 SHA256: 1a82abe0b8aa627799ddf94ccec368039870716d11e785c1d9599fd44f48df87 SHA1: 88fa4e590799038eb4edfc9ec9ddcaf491dc45aa MD5sum: dea0d7d3edba9c4ee1e8942e7d5e6836 Description: header files for the dovecot mail server Dovecot is a mail server whose major goals are security and extreme reliability. It tries very hard to handle all error conditions and verify that all data is valid, making it nearly impossible to crash. It should also be pretty fast, extensible, and portable. . This package contains header files needed to compile plugins for the dovecot mail server. Package: dovecot-gssapi Source: dovecot Version: 1:2.1.7-7+deb7u2 Architecture: armhf Maintainer: Dovecot Maintainers Installed-Size: 344 Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), dovecot-core (= 1:2.1.7-7+deb7u2) Homepage: http://dovecot.org/ Priority: optional Section: mail Filename: pool/main/d/dovecot/dovecot-gssapi_2.1.7-7+deb7u2_armhf.deb Size: 340128 SHA256: 36ae0ceb32d8006092de3504757aa9ee49bb13bfbc758798ddad2fac5ca10bde SHA1: 946973ba219293065718e732eafcd8ed158ca569 MD5sum: 0bf2cb97b19f36f8a661a7844cd15039 Description: GSSAPI authentication support for Dovecot Dovecot is a mail server whose major goals are security and extreme reliability. It tries very hard to handle all error conditions and verify that all data is valid, making it nearly impossible to crash. It should also be pretty fast, extensible, and portable. . This package provides GSSAPI authentication support for Dovecot. Package: dovecot-imapd Source: dovecot Version: 1:2.1.7-7+deb7u2 Architecture: armhf Maintainer: Dovecot Maintainers Installed-Size: 512 Depends: dovecot-core (= 1:2.1.7-7+deb7u2), libc6 (>= 2.13-28), ucf (>= 2.0020) Provides: imap-server Homepage: http://dovecot.org/ Priority: optional Section: mail Filename: pool/main/d/dovecot/dovecot-imapd_2.1.7-7+deb7u2_armhf.deb Size: 413932 SHA256: b3f96c20505f1702bf89ebf710b3ad891869a1c4f5e512506c6c3dfb8343916d SHA1: 21fd32f3aab4ca22bf7b57dcb34ccd0d196fbcc6 MD5sum: ce991a122d367a73ecba4c4fd84e1ba1 Description: secure IMAP server that supports mbox, maildir, dbox and mdbox mailboxes Dovecot is a mail server whose major goals are security and extreme reliability. It tries very hard to handle all error conditions and verify that all data is valid, making it nearly impossible to crash. It should also be pretty fast, extensible, and portable. . This package contains the dovecot IMAP server. Package: dovecot-ldap Source: dovecot Version: 1:2.1.7-7+deb7u2 Architecture: armhf Maintainer: Dovecot Maintainers Installed-Size: 372 Depends: libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7), dovecot-core (= 1:2.1.7-7+deb7u2), ucf (>= 2.0020) Homepage: http://dovecot.org/ Priority: optional Section: mail Filename: pool/main/d/dovecot/dovecot-ldap_2.1.7-7+deb7u2_armhf.deb Size: 353020 SHA256: 74dcdcde5c606cc2175fbae3c9fbb2dbd37cd6df1f4511c7d261440ff88cd1ac SHA1: d21513b28c4bcecdc7d7f49a642d82e9a878d092 MD5sum: 9bae604aa1eefcb910185816bd954118 Description: LDAP support for Dovecot Dovecot is a mail server whose major goals are security and extreme reliability. It tries very hard to handle all error conditions and verify that all data is valid, making it nearly impossible to crash. It should also be pretty fast, extensible, and portable. . This package provides LDAP support for Dovecot. Package: dovecot-lmtpd Source: dovecot Version: 1:2.1.7-7+deb7u2 Architecture: armhf Maintainer: Dovecot Maintainers Installed-Size: 366 Depends: dovecot-core (= 1:2.1.7-7+deb7u2), libc6 (>= 2.13-28), ucf (>= 2.0020) Breaks: dovecot-common (<< 1:2.0.14-2~) Replaces: dovecot-common (<< 1:2.0.14-2~) Homepage: http://dovecot.org/ Priority: optional Section: mail Filename: pool/main/d/dovecot/dovecot-lmtpd_2.1.7-7+deb7u2_armhf.deb Size: 350782 SHA256: 53bb1a1e9d393781ef4a08a44bcc50a25bd4dfcf0b300c88e8b0429a6f5a66f7 SHA1: da7501b7cdf5cbe1d8e97deca2de52ca489649a5 MD5sum: 36f5b7fc70d3137116e5c3f0d2109900 Description: secure LMTP server for Dovecot Dovecot is a mail server whose major goals are security and extreme reliability. It tries very hard to handle all error conditions and verify that all data is valid, making it nearly impossible to crash. It should also be pretty fast, extensible, and portable. . This package contains the dovecot LMTP server. Package: dovecot-managesieved Source: dovecot Version: 1:2.1.7-7+deb7u2 Architecture: armhf Maintainer: Dovecot Maintainers Installed-Size: 447 Depends: dovecot-core (= 1:2.1.7-7+deb7u2), dovecot-sieve (= 1:2.1.7-7+deb7u2), libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), ucf (>= 2.0020) Breaks: dovecot-common (<< 1:2.0.14-2~) Replaces: dovecot-common (<< 1:2.0.14-2~) Homepage: http://dovecot.org/ Priority: optional Section: mail Filename: pool/main/d/dovecot/dovecot-managesieved_2.1.7-7+deb7u2_armhf.deb Size: 385110 SHA256: b1acaa7700cf059172fc1df700949f4d0e9178b99c64f34ac1c3a37b5a1a5084 SHA1: 68be880ee85418a1ffc3f509d513b99c8db798cf MD5sum: f61e5fa7fcfce9fc1a1e4f856d719642 Description: secure ManageSieve server for Dovecot Dovecot is a mail server whose major goals are security and extreme reliability. It tries very hard to handle all error conditions and verify that all data is valid, making it nearly impossible to crash. It should also be pretty fast, extensible, and portable. . This package contains the dovecot ManageSieve server. Package: dovecot-mysql Source: dovecot Version: 1:2.1.7-7+deb7u2 Architecture: armhf Maintainer: Dovecot Maintainers Installed-Size: 344 Depends: libc6 (>= 2.13-28), libmysqlclient18 (>= 5.5.24+dfsg-1), zlib1g (>= 1:1.1.4), dovecot-core (= 1:2.1.7-7+deb7u2) Homepage: http://dovecot.org/ Priority: optional Section: mail Filename: pool/main/d/dovecot/dovecot-mysql_2.1.7-7+deb7u2_armhf.deb Size: 340890 SHA256: 9c79ec403c04d392742a4290efbf7bf4a908ea52e126ba0dff6aedf1faa90576 SHA1: 83c85703b9047a7ecd6319fce911fa97bbbf3bb3 MD5sum: 39c9c74501e3c03adc01f92d7ea716a6 Description: MySQL support for Dovecot Dovecot is a mail server whose major goals are security and extreme reliability. It tries very hard to handle all error conditions and verify that all data is valid, making it nearly impossible to crash. It should also be pretty fast, extensible, and portable. . This package provides MySQL support for Dovecot. Package: dovecot-pgsql Source: dovecot Version: 1:2.1.7-7+deb7u2 Architecture: armhf Maintainer: Dovecot Maintainers Installed-Size: 352 Depends: libc6 (>= 2.13-28), libpq5, dovecot-core (= 1:2.1.7-7+deb7u2) Homepage: http://dovecot.org/ Priority: optional Section: mail Filename: pool/main/d/dovecot/dovecot-pgsql_2.1.7-7+deb7u2_armhf.deb Size: 343338 SHA256: ba68fc3bb0d2ee1cf392de9a3887a77232d8ebdaa3daa8e383cd56e72c11b740 SHA1: 206ffa533af5891a25908651837753807c540aa5 MD5sum: d272a366b90629118faf6cc69c078f43 Description: PostgreSQL support for Dovecot Dovecot is a mail server whose major goals are security and extreme reliability. It tries very hard to handle all error conditions and verify that all data is valid, making it nearly impossible to crash. It should also be pretty fast, extensible, and portable. . This package provides PostgreSQL support for Dovecot. Package: dovecot-pop3d Source: dovecot Version: 1:2.1.7-7+deb7u2 Architecture: armhf Maintainer: Dovecot Maintainers Installed-Size: 388 Depends: dovecot-core (= 1:2.1.7-7+deb7u2), libc6 (>= 2.13-28), ucf (>= 2.0020) Provides: pop3-server Homepage: http://dovecot.org/ Priority: optional Section: mail Filename: pool/main/d/dovecot/dovecot-pop3d_2.1.7-7+deb7u2_armhf.deb Size: 359862 SHA256: bbf790c13cb7eca83250205684e41752fa8cd0c7203aa3b970192f336b741d28 SHA1: b7e00851113b6ec87d627f9b1ab95ba9316b0ceb MD5sum: 68dcdc08014a46e1d30d740530cc4aea Description: secure POP3 server that supports mbox, maildir, dbox and mdbox mailboxes Dovecot is a mail server whose major goals are security and extreme reliability. It tries very hard to handle all error conditions and verify that all data is valid, making it nearly impossible to crash. It should also be pretty fast, extensible, and portable. . This package contains the dovecot POP3 server. Package: dovecot-sieve Source: dovecot Version: 1:2.1.7-7+deb7u2 Architecture: armhf Maintainer: Dovecot Maintainers Installed-Size: 843 Depends: dovecot-core (= 1:2.1.7-7+deb7u2), libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), ucf (>= 2.0020) Homepage: http://dovecot.org/ Priority: optional Section: mail Filename: pool/main/d/dovecot/dovecot-sieve_2.1.7-7+deb7u2_armhf.deb Size: 547828 SHA256: f42c94d41df2add0a72e858b62f78cd3e8784dcae07eb60b2dd0efffd470832b SHA1: db7188f0f4b55a096cbee9979e57b4dcb2081948 MD5sum: b5522f4af9c3d936233fae9fb4f06ca5 Description: sieve filters support for Dovecot Dovecot is a mail server whose major goals are security and extreme reliability. It tries very hard to handle all error conditions and verify that all data is valid, making it nearly impossible to crash. It should also be pretty fast, extensible, and portable. . This package provides sieve filters support for Dovecot. Package: dovecot-solr Source: dovecot Version: 1:2.1.7-7+deb7u2 Architecture: armhf Maintainer: Dovecot Maintainers Installed-Size: 368 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libexpat1 (>= 2.0.1), dovecot-core (= 1:2.1.7-7+deb7u2) Homepage: http://dovecot.org/ Priority: optional Section: mail Filename: pool/main/d/dovecot/dovecot-solr_2.1.7-7+deb7u2_armhf.deb Size: 350750 SHA256: 551c31cae7ce663e55002fa05c1d6357550874b775f8132e697d663be565e5b3 SHA1: 42a030ea854bb3180f6b09226027f43e209674ea MD5sum: 220909def64f3e22cbd09b8a18f997cc Description: Solr full text search support for Dovecot Dovecot is a mail server whose major goals are security and extreme reliability. It tries very hard to handle all error conditions and verify that all data is valid, making it nearly impossible to crash. It should also be pretty fast, extensible, and portable. . This package provides Solr full text search support for Dovecot. Package: dovecot-sqlite Source: dovecot Version: 1:2.1.7-7+deb7u2 Architecture: armhf Maintainer: Dovecot Maintainers Installed-Size: 340 Depends: libc6 (>= 2.13-28), libsqlite3-0 (>= 3.5.9), zlib1g (>= 1:1.1.4), dovecot-core (= 1:2.1.7-7+deb7u2) Homepage: http://dovecot.org/ Priority: optional Section: mail Filename: pool/main/d/dovecot/dovecot-sqlite_2.1.7-7+deb7u2_armhf.deb Size: 339068 SHA256: d3308f6d834e72f05147dfa166fc3b605484f495fb1cf3265565fe5a9d3f5f2d SHA1: a75fe901c33fec45db1f8cdee9cd6e5bcd8f7ff8 MD5sum: 7fa868a50cd797a2efc108b70a01d45c Description: SQLite support for Dovecot Dovecot is a mail server whose major goals are security and extreme reliability. It tries very hard to handle all error conditions and verify that all data is valid, making it nearly impossible to crash. It should also be pretty fast, extensible, and portable. . This package provides SQLite support for Dovecot. Package: downtimed Version: 0.5-2 Architecture: armhf Maintainer: Mats Erik Andersson Installed-Size: 115 Depends: libc6 (>= 2.13-28), lsb-base (>= 3.2-14) Homepage: http://dist.epipe.com/downtimed/ Priority: extra Section: admin Filename: pool/main/d/downtimed/downtimed_0.5-2_armhf.deb Size: 24026 SHA256: 08e4a725275c9ae7ba804d76ff882e5087c0bc6432e804db44e004ac38ec840b SHA1: 69323409d9ed653627155e488c05e8becaa113b9 MD5sum: f8090ce088cd25c60633ed28b97c3c5e Description: monitor of downtime, shutdown, and crashes Downtimed is a daemon intended to monitor and record the times an operating system is non-functional. It does this by keeping a simple databas file and by regularly recording time stamps. A client executable reads the database to account for the lengths of periods when the system was down. Package: doxygen Version: 1.8.1.2-2 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 6141 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Recommends: doxygen-latex Suggests: doxygen-doc, doxygen-gui, graphviz Conflicts: graphviz (<< 1.12) Multi-Arch: foreign Priority: optional Section: devel Filename: pool/main/d/doxygen/doxygen_1.8.1.2-2_armhf.deb Size: 2326266 SHA256: c441c3cb56667c86c3fe875d90e5ee362d5831aaedf319d011b1ec081181bf98 SHA1: fb4abb2a6fb056785fdeaa53c3bec3a54b602eb7 MD5sum: 708e5e9a0a05fee4879cd4c9ce54f06e Description: Documentation system for C, C++, Java, Python and other languages Doxygen is a documentation system for C, C++, Java, Objective-C, Python, IDL and to some extent PHP, C#, and D. It can generate an on-line class browser (in HTML) and/or an off-line reference manual (in LaTeX) from a set of documented source files. There is also support for generating man pages and for converting the generated output into Postscript, hyperlinked PDF or compressed HTML. The documentation is extracted directly from the sources. . Install the doxygen-latex package to build LaTeX based documents. Package: doxygen-doc Source: doxygen Version: 1.8.1.2-2 Installed-Size: 4393 Maintainer: Matthias Klose Architecture: all Replaces: doxygen (<< 1.2.14), doxygen-docs Provides: doxygen-docs Recommends: doxygen Conflicts: doxygen-docs Size: 2150946 SHA256: a68e7d90414c5ff0200b08d7a596da2f8319fc39e4485fe1bf388e0c31cee3a5 SHA1: b97632ff6a1e787db1d6758d5ca8ae905678c436 MD5sum: 0dede111e25bef641abd7f505b6c7466 Description: Documentation for doxygen Doxygen is a documentation system for C, C++, Java, Objective-C, Python, IDL and to some extent PHP, C#, and D. It can generate an on-line class browser (in HTML) and/or an off-line reference manual (in LaTeX) from a set of documented source files. There is also support for generating man pages and for converting the generated output into Postscript, hyperlinked PDF or compressed HTML. The documentation is extracted directly from the sources. . This package contains the documentation for doxygen. Tag: devel::doc, devel::lang:c, devel::lang:c++, made-of::html, role::documentation, works-with-format::html, works-with::software:source, works-with::text Section: doc Priority: optional Filename: pool/main/d/doxygen/doxygen-doc_1.8.1.2-2_all.deb Package: doxygen-gui Source: doxygen Version: 1.8.1.2-2 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 429 Depends: doxygen, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Suggests: doxygen-doc Replaces: doxygen (<< 1.2.14) Priority: optional Section: devel Filename: pool/main/d/doxygen/doxygen-gui_1.8.1.2-2_armhf.deb Size: 254414 SHA256: 89a1b05aa206faf5a59af647dc80a533b543709d4ad344d17d12bb77a881423e SHA1: 7a27d1a49eadab9bcc8171c46b5228f098edffee MD5sum: ac3780a37b21895ae5ee978bd3476c61 Description: GUI configuration tool for doxygen Doxygen is a documentation system for C, C++, Java, Objective-C, Python, IDL and to some extent PHP, C#, and D. It can generate an on-line class browser (in HTML) and/or an off-line reference manual (in LaTeX) from a set of documented source files. There is also support for generating man pages and for converting the generated output into Postscript, hyperlinked PDF or compressed HTML. The documentation is extracted directly from the sources. . This package contains doxywizard, a GUI configuration tool for doxygen. Package: doxygen-latex Source: doxygen Version: 1.8.1.2-2 Installed-Size: 37 Maintainer: Matthias Klose Architecture: all Depends: doxygen (>= 1.8.1.2-2), texlive-extra-utils, texlive-latex-extra, latex-xcolor, texlive-font-utils, ghostscript, texlive-fonts-recommended Size: 11284 SHA256: 2ae6a864a96a157f04a593938d6d8a552ec3c7d6ea9800a41b3c0a68737d87db SHA1: f240f6e7d634471c5e25882b5bb2c0dde3f4908c MD5sum: 2dab7035812b37b4b9b5bdcd81d59143 Description: Documentation system for C, C++, Java, Python and other languages Doxygen is a documentation system for C, C++, Java, Objective-C, Python, IDL and to some extent PHP, C#, and D. It can generate an on-line class browser (in HTML) and/or an off-line reference manual (in LaTeX) from a set of documented source files. . This dependency package adds dependencies for all LaTeX packages required to build documents using the default stylesheet. Multi-Arch: foreign Section: devel Priority: optional Filename: pool/main/d/doxygen/doxygen-latex_1.8.1.2-2_all.deb Package: doxymacs Version: 1.8.0-6 Architecture: armhf Maintainer: Otavio Salvador Installed-Size: 215 Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4), emacs23 | emacsen Recommends: doxygen Priority: optional Section: devel Filename: pool/main/d/doxymacs/doxymacs_1.8.0-6_armhf.deb Size: 51040 SHA256: 0b7d0a49d6e9a9e5ed18a2110c51e123d84d70c68d3722b28aeb2ac0eb99b822 SHA1: 2f7da87e5a1833dbcbc0ec1cf937bd08c16f8b5e MD5sum: af29e3ca610fd0f54842f17aafecfc6c Description: elisp package for making doxygen usage easier under Emacs The purpose of the doxymacs project is to create a elisp package that will make using Doxygen from within {X}Emacs easier. Package: doxypy Version: 0.4.2-1 Installed-Size: 68 Maintainer: David Paleino Architecture: all Depends: python Recommends: doxygen Size: 6888 SHA256: faaa44cbe47e473030031f1d5115526d619c32b0397f4e337f4ec3e1b9ab3eed SHA1: 1852042a648492ba326dfe6024cf8e2ca3ea0d2f MD5sum: fc6eea1894f741fa61279f27abf7adb1 Description: Python input filter for Doxygen doxypy is an input filter for Doxygen. It reformats Python comments to conform to Doxygen documentation blocks. This makes it possible to use the Doxygen/Javadoc syntax inside of docstrings when writing code documentation and automatically generate API documentation out of it instead of being forced to use non-Python documentation blocks or to document code redundantly. Homepage: http://code.foosel.org/doxypy Section: utils Priority: optional Filename: pool/main/d/doxypy/doxypy_0.4.2-1_all.deb Package: dozzaqueux Version: 3.21-4 Architecture: armhf Maintainer: Georges Khaznadar Installed-Size: 6938 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, dozzaqueux-data Homepage: http://jeanmarie.biansan.free.fr/dozzzaqueux.html Priority: extra Section: science Filename: pool/main/d/dozzaqueux/dozzaqueux_3.21-4_armhf.deb Size: 2122490 SHA256: cd4e3280d1c8f52dccbfab5676890e9042205044e0746eea0526148053b795ae SHA1: 619238d5f57d15b417b432c98342040a6ab8b036 MD5sum: a9603cf24384dd13cea6832505ab8db6 Description: simulator for chemical mixtures just choose reactants to put in the beaker, those to put in the burette, dozzaqueux plots the concentrations vs. the mixed volume, and many more. Package: dozzaqueux-data Source: dozzaqueux Version: 3.21-4 Installed-Size: 1091 Maintainer: Georges Khaznadar Architecture: all Size: 73692 SHA256: 41824a6c3cb297dc3829a0ae002af80a0d7e1727516acab7d3ae93291834b1d9 SHA1: 2ba35f4dccc473f4503282b69bc726d21f817628 MD5sum: 22eb2aacdff20dffffc7a44be27e4dcf Description: databases for chemical mixtures These databases are used by dozzaqueux, which is an interactive chemical simulator for aqueous solutions. They can be used for other purposes too. Homepage: http://jeanmarie.biansan.free.fr/dozzzaqueux.html Tag: role::app-data Section: science Priority: extra Filename: pool/main/d/dozzaqueux/dozzaqueux-data_3.21-4_all.deb Package: dpatch Version: 2.0.35 Installed-Size: 237 Maintainer: Gergely Nagy Architecture: all Depends: bash (>= 3.0-6), dpkg-dev Recommends: fakeroot, patchutils Suggests: curl Size: 95156 SHA256: b198d44344ffce78f79142ad3e7f5ec2da0f1c0218bd7908a90ae4fab29f6f7a SHA1: 7f10b84bbfd345e1a9d2d5db5cdcdef2aea463e4 MD5sum: 8f45e0bad542413d3722238048b83458 Description: patch maintenance system for Debian source packages dpatch is an easy to use patch system for Debian packages, somewhat similar to the dbs package, but much simpler to use. . It lets you store patches and other simple customization templates in debian/patches and otherwise does not require much reorganization of your source tree. To get the patches applied at build time you simply need to include a makefile snippet and then depend on the patch/unpatch target in the build or clean stage of debian/rules - or you can use the dpatch patching script directly. . It can easily apply patches only on specific architectures if needed. Multi-Arch: foreign Tag: devel::packaging, devel::rcs, interface::commandline, role::program, scope::utility, suite::debian, use::synchronizing, works-with-format::diff, works-with::software:source Section: vcs Priority: optional Filename: pool/main/d/dpatch/dpatch_2.0.35_all.deb Package: dphys-config Version: 20100216-1 Installed-Size: 240 Maintainer: Axel Beckert Architecture: all Depends: wget Suggests: pciutils Size: 45586 SHA256: 8903ff3608c1c773a96d2813d204cd19541920865d75db02080573246c549edc SHA1: 68f3dc6960d05c7bb83e18d9d6fbed599da6b34d MD5sum: be4fd0b380b1df769e42f6656c919b5b Description: Tool to distribute config files This project is aimed at automatically installing (and keeping update) site specific config files on many hosts, after preprocessing them (conditional content and include files and include sections). It also triggers postinstall scripts whenever their associated config file has been changed. It can also remove config files, including running an preremove script before doing so. All this is driven by an simple config file list. Homepage: http://neil.franklin.ch/Projects/dphys-config/ Tag: admin::configuring, implemented-in::shell, interface::commandline, role::program, use::configuring, use::synchronizing Section: admin Priority: optional Filename: pool/main/d/dphys-config/dphys-config_20100216-1_all.deb Package: dphys-swapfile Version: 20061020-4 Installed-Size: 108 Maintainer: Axel Beckert Architecture: all Size: 11000 SHA256: 027423f8671e431c49a70eb5006d761f5fd0d88f43e7d399795b843e76e7d44a SHA1: 2d9c0d704b7f38fbac9cd60ccb32388777834e18 MD5sum: 7ce2a36900c2807286346c6d612f1f5c Description: Autogenerate and use a swap file This init.d script exists so one does not need to have a fixed size swap partition. Instead install without swap partition and then run this, with file size (re-)computed automatically to fit the current RAM size. Homepage: http://neil.franklin.ch/Projects/dphys-swapfile/ Tag: implemented-in::shell, interface::commandline, role::program Section: admin Priority: optional Filename: pool/main/d/dphys-swapfile/dphys-swapfile_20061020-4_all.deb Package: dpkg Version: 1.16.18+rpi1 Architecture: armhf Essential: yes Origin: debian Bugs: debbugs://bugs.debian.org Maintainer: Dpkg Developers Installed-Size: 5825 Pre-Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblzma5 (>= 5.1.1alpha+20120614), libselinux1 (>= 1.32), zlib1g (>= 1:1.1.4), tar (>= 1.23) Suggests: apt Breaks: apt (<< 0.7.7), aptitude (<< 0.4.7-1), dpkg-dev (<< 1.15.8), libdpkg-perl (<< 1.15.8), pinfo (<< 0.6.9-3.1), tkinfo (<< 2.8-3.1) Replaces: manpages-it (<< 2.80-4) Multi-Arch: foreign Homepage: http://wiki.debian.org/Teams/Dpkg Priority: required Section: admin Filename: pool/main/d/dpkg/dpkg_1.16.18+rpi1_armhf.deb Size: 2601572 SHA256: 96ff8428bfb68812eb71e4eaad3150f8d1c3508da79996138b964e6688801e5d SHA1: c7266d11e2d1c495c85b535c33d8a13bae11948c MD5sum: f38dea6c8ff0d544eac74f2ea3955284 Description: Debian package management system This package provides the low-level infrastructure for handling the installation and removal of Debian software packages. . For Debian package development tools, install dpkg-dev. Package: dpkg-awk Version: 1.2 Installed-Size: 16 Maintainer: Jeroen Schot Architecture: all Depends: gawk Suggests: dctrl-tools Size: 9422 SHA256: 9f2fb357a211abd8c9f365711df20a4be4424819cd51dc985e6930661e545818 SHA1: cad900d380a07aa4fee2e1f36b461d967448378e MD5sum: 2225b61fb9f2b7ca9346feaca872e1a6 Description: Gawk script to parse /var/lib/dpkg/{status,available} and Packages This script can parse the dpkg database files. It can do regular expressions on the fields, and only get what you want. It can also be made to output certain fields. As an added bonus, there is an option to sort the output. . 'dpkg-awk "Status: .* installed$" -- Package Version Status' will output all installed packages, with only the listed fields. 'dpkg-awk -f=/var/lib/dpkg/available "Package:^[aA].*" -- Package Version' will output all available packages that start with the letter 'A.' Tag: admin::package-management, devel::debian, interface::commandline, role::program, scope::utility, suite::debian, use::filtering, use::searching, works-with::software:package Section: devel Priority: optional Filename: pool/main/d/dpkg-awk/dpkg-awk_1.2_all.deb Package: dpkg-cross Version: 2.6.7 Installed-Size: 177 Maintainer: Debian Embedded Group Architecture: all Depends: perl, debconf (>= 0.5) | debconf-2.0, dpkg-dev (>= 1.14.7), libconfig-auto-perl, libdebian-dpkgcross-perl (= 2.6.7) Recommends: fakeroot Suggests: binutils-multiarch Breaks: debhelper (<< 8.1.2~) Size: 53524 SHA256: d41a212b81251e68cd2416c290a5e854bc8bf1b14bc3b5b550743438226a3d9a SHA1: 05cb02d674f0d37cad8c11d74450fe542775a362 MD5sum: 15a2ce3af2ce8f10a28df162d6bb3fc3 Description: tools for cross compiling Debian packages dpkg-cross is a tool for installing libraries and headers from packages which have not been converted for Multi-Arch to support cross compiling. Homepage: http://dpkg-cross.alioth.debian.org/ Tag: admin::package-management, devel::buildtools, devel::debian, devel::packaging, hardware::embedded, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:package, works-with::software:source Section: utils Priority: extra Filename: pool/main/d/dpkg-cross/dpkg-cross_2.6.7_all.deb Package: dpkg-dev Source: dpkg Version: 1.16.18+rpi1 Architecture: all Origin: debian Bugs: debbugs://bugs.debian.org Maintainer: Dpkg Developers Installed-Size: 1455 Depends: libdpkg-perl (= 1.16.18+rpi1), bzip2, xz-utils, patch, make, binutils, base-files (>= 5.0.0) Recommends: gcc | c-compiler, build-essential, fakeroot, gnupg, gpgv, libalgorithm-merge-perl Suggests: debian-keyring Breaks: devscripts (<< 2.10.26), dpkg-cross (<< 2.0.0) Replaces: manpages-it (<< 2.80-4) Multi-Arch: foreign Homepage: http://wiki.debian.org/Teams/Dpkg Priority: optional Section: utils Filename: pool/main/d/dpkg/dpkg-dev_1.16.18+rpi1_all.deb Size: 1362954 SHA256: bb74a2ea4b5b144d1f6a128f09f88ce364cc0eac454cce6a1d813f0d90bae692 SHA1: 6164827126f9337c92828c72e3786706961ae417 MD5sum: badee67a31599002bc8ee0c8e99f5e3c Description: Debian package development tools This package provides the development tools (including dpkg-source) required to unpack, build and upload Debian source packages. . Most Debian source packages will require additional tools to build; for example, most packages need make and the C compiler gcc. Package: dpkg-dev-el Source: emacs-goodies-el Version: 35.2+nmu1 Installed-Size: 268 Maintainer: Peter S Galbraith Architecture: all Depends: emacs23 | emacsen, debian-el (>= 33.2) Recommends: wget Suggests: dpkg-dev Conflicts: dpkg-dev (<< 1.7.2) Size: 76472 SHA256: 074d460acd9b9a2e14411f147f6dcd1f6011d66db1d410d2434bd703efe69823 SHA1: c8efbed058434ca9bd24e0344a19d3827e371895 MD5sum: 3caf5761672e1df5aea4ef9b8e6d4090 Description: Emacs helpers specific to Debian development This package contains: . debian-bts-control - builds control@bugs.debian.org email messages; debian-changelog-mode - a helper mode for Debian changelogs; debian-control-mode - a helper mode for debian/control files; debian-copyright - major mode for Debian package copyright files; readme-debian - major mode for editing README.Debian files. . See /usr/share/doc/dpkg-dev-el/README.Debian for a short description of all files. Tag: admin::package-management, devel::debian, devel::packaging, implemented-in::lisp, role::plugin, suite::debian, suite::emacs, use::editing, works-with::software:package, works-with::software:source Section: lisp Priority: optional Filename: pool/main/e/emacs-goodies-el/dpkg-dev-el_35.2+nmu1_all.deb Package: dpkg-repack Version: 1.37 Installed-Size: 52 Maintainer: Joey Hess Architecture: all Depends: perl Size: 12322 SHA256: be461fdbc91f7febbc37cc6a410b887ff133ced38ecd4c5c35106025f093286b SHA1: 03fb5e133dfe463a89689d5fa2627d992a75d52e MD5sum: 647bdbd8c0c14036192358e9886dfcfa Description: puts an unpacked .deb file back together dpkg-repack creates a .deb file out of a package that has already been installed. If any changes have been made to the package while it was unpacked (ie, files in /etc were modified), the new package will inherit the changes. . This utility can make it easy to copy packages from one computer to another, or to recreate packages that are installed on your system, but no longer available elsewhere, or to store the current state of a package before you upgrade it. Homepage: http://kitenet.net/~joey/code/dpkg-repack/ Tag: admin::package-management, devel::debian, devel::packaging, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:package Section: admin Priority: optional Filename: pool/main/d/dpkg-repack/dpkg-repack_1.37_all.deb Package: dpkg-ruby Source: ruby-debian Version: 0.3.8 Installed-Size: 32 Maintainer: Ryan Niebur Architecture: all Depends: ruby-debian (>= 0.3.8) Size: 4888 SHA256: 41333cdaf12810f59e40542df7a654f3eff6f8c97d46b6bdc070699a7dcdaae8 SHA1: adea769cb3d2ad245678c4f954f2f220a2a763d7 MD5sum: b4c28705475628afd09715757cf36644 Description: Transitional package for ruby-debian This is a transitional package to ease upgrades to the ruby-debian package. It can safely be removed. Tag: admin::package-management, devel::debian, devel::lang:ruby, devel::library, devel::packaging, implemented-in::ruby, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:package Section: ruby Priority: optional Filename: pool/main/r/ruby-debian/dpkg-ruby_0.3.8_all.deb Package: dpkg-sig Version: 0.13.1 Installed-Size: 160 Maintainer: Marc 'HE' Brockschmidt Architecture: all Depends: perl, gnupg, libdigest-md5-perl, libconfig-file-perl Suggests: ssh, libterm-readkey-perl Size: 37674 SHA256: fae6cabfee29ec6988afc0e3d9bbcfec730e84da211b4bba4314839878bbe67f SHA1: 81aec103efddff8bb8aff9719468c7605ebcfd5c MD5sum: 175999d031634a640893dbaec44b228e Description: create and verify signatures on .deb-files dpkg-sig is a low-level tool for creation and verification of signature on Debian binary packages (.deb-files). . The created signed packages are strict compatible with dpkg and the apt-utils. . Website is http://dpkg-sig.turmzimmer.net/ Tag: admin::package-management, devel::debian, devel::packaging, interface::commandline, role::program, scope::utility, security::integrity, suite::debian, works-with::software:package Section: devel Priority: optional Filename: pool/main/d/dpkg-sig/dpkg-sig_0.13.1_all.deb Package: dpkg-www Version: 2.54+nmu1 Installed-Size: 204 Maintainer: Massimo Dal Zotto Architecture: all Depends: apt, dwww, info2www, perl | perl5, apache2 | httpd Suggests: dlocate, grep-dctrl, mozilla-firefox | www-browser, man2html, tasksel Size: 32956 SHA256: e436bb00d6d44f621dc2b4671edf1ec931ba1bf0523aac77046bdb25668593da SHA1: ff69f5251dd98a87d898bd7cd9c511ad95bcc518 MD5sum: e43e725b2fd4f388f85b6dfffe4c8410 Description: Web based Debian package browser With the dpkg cgi-bin you can browse Debian packages on a local or remote host using a normal web browser. . You can list packages, show package information and installed files, browse documentation and navigate through the packages dependencies. You can also find all the packages owners of a file or directory or those providing a virtual package. . If you are the system administrator you can also install or remove packages by clicking on the buttons found in the package info page, provided that this feature has been enabled and you have properly configured your web browser. Tag: admin::package-management, interface::web, network::server, protocol::http, role::program, suite::debian, use::browsing, web::cgi, works-with::software:package Section: doc Priority: optional Filename: pool/main/d/dpkg-www/dpkg-www_2.54+nmu1_all.deb Package: dpm Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 443 Depends: libdpm1 (= 1.8.2-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblcgdm1 Conflicts: dpm-client (<< 1.8.1.2-2~) Replaces: dpm-client (<< 1.8.1.2-2~) Provides: dpm-client Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: net Filename: pool/main/l/lcgdm/dpm_1.8.2-1_armhf.deb Size: 144924 SHA256: aeb43a13a38d88e1e5d514c924f0aef14eaeb41012c06af0549fb4f6528cb908 SHA1: 77eb16c35faca318d824cf56ee65fa4fe89d565b MD5sum: 00be4f7a4c1c2cd89127503483a51b86 Description: Disk Pool Manager (DPM) client The LCG Disk Pool Manager (DPM) creates a storage element from a set of disks. It provides several interfaces for storing and retrieving data such as RFIO and SRM version 1, version 2 and version 2.2. This package provides the command line interfaces for the DPM. Package: dpm-copy-server-mysql Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 625 Depends: libdpm1 (= 1.8.2-1), adduser, libc6 (>= 2.13-28), libcgsi-gsoap1, libglobus-common0 (>= 14), libglobus-ftp-client2 (>= 7), libglobus-gass-copy2 (>= 8), libgsoap2, liblcgdm1, libmysqlclient16 (>= 5.1.50-1), finger Conflicts: dpm-mysql-copyd (<< 1.8.1.2-2~) Replaces: dpm-mysql-copyd (<< 1.8.1.2-2~) Provides: dpm-mysql-copyd Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: net Filename: pool/main/l/lcgdm/dpm-copy-server-mysql_1.8.2-1_armhf.deb Size: 132818 SHA256: 5b3e574f483054261bf4c2ed8ff18507073f34057ca943a6a14ab116d1060ea2 SHA1: 65bc89e557247f61583749bb35680c4e264eae92 MD5sum: 47aeb92d854b087fc5ccb6704f25b577 Description: DPM copy server with MySQL database backend The LCG Disk Pool Manager (DPM) creates a storage element from a set of disks. It provides several interfaces for storing and retrieving data such as RFIO and SRM version 1, version 2 and version 2.2. This package provides a DPM copy server that uses MySQL as its database backend. Package: dpm-copy-server-postgres Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 629 Depends: libdpm1 (= 1.8.2-1), adduser, libc6 (>= 2.13-28), libcgsi-gsoap1, libglobus-common0 (>= 14), libglobus-ftp-client2 (>= 7), libglobus-gass-copy2 (>= 8), libgsoap2, liblcgdm1, libpq5, finger Conflicts: dpm-postgres-copyd (<< 1.8.1.2-2~) Replaces: dpm-postgres-copyd (<< 1.8.1.2-2~) Provides: dpm-postgres-copyd Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: net Filename: pool/main/l/lcgdm/dpm-copy-server-postgres_1.8.2-1_armhf.deb Size: 133450 SHA256: 1f58b7c78d31320d9ef8628e0d655144da5e2a787611c1b9d0e494a843b9bff3 SHA1: c47202524e650b253938c0307b8cd28bb7408cd0 MD5sum: ae18f35291f340167097ddcd53e37d46 Description: DPM copy server with postgres database backend The LCG Disk Pool Manager (DPM) creates a storage element from a set of disks. It provides several interfaces for storing and retrieving data such as RFIO and SRM version 1, version 2 and version 2.2. This package provides a DPM copy server that uses postgres as its database backend. Package: dpm-name-server-mysql Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 343 Depends: libdpm1 (= 1.8.2-1), adduser, libc6 (>= 2.13-28), liblcgdm1, libmysqlclient16 (>= 5.1.50-1), libuuid1 (>= 2.16), finger, mysql-client Conflicts: dpm-mysql-nameserver (<< 1.8.1.2-2~) Replaces: dpm-mysql-nameserver (<< 1.8.1.2-2~) Provides: dpm-mysql-nameserver Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: net Filename: pool/main/l/lcgdm/dpm-name-server-mysql_1.8.2-1_armhf.deb Size: 129528 SHA256: 355c77a64044a7ba39000f8c7b4ad8942566a461e046894eb11e218c9c742dc0 SHA1: f1047050d88adc9c2047f97feeceab407a628dd0 MD5sum: 105a8a4acab4f982e7ba005f92cdcde7 Description: DPM nameserver server with MySQL database backend The LCG Disk Pool Manager (DPM) creates a storage element from a set of disks. It provides several interfaces for storing and retrieving data such as RFIO and SRM version 1, version 2 and version 2.2. This package provides a DPM nameserver that uses MySQL as its database backend. Package: dpm-name-server-postgres Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 346 Depends: libdpm1 (= 1.8.2-1), adduser, libc6 (>= 2.13-28), liblcgdm1, libpq5, libuuid1 (>= 2.16), finger, postgresql-client Conflicts: dpm-postgres-nameserver (<< 1.8.1.2-2~) Replaces: dpm-postgres-nameserver (<< 1.8.1.2-2~) Provides: dpm-postgres-nameserver Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: net Filename: pool/main/l/lcgdm/dpm-name-server-postgres_1.8.2-1_armhf.deb Size: 130664 SHA256: 3ddb3c7505c2e67514c3f0c73465bda95d8e44393b147a8e6d37ed513b1d8e9c SHA1: 49c3b83815d30d80981c7a96e60bc6ca8f304bc2 MD5sum: 5eeb7405f0f0e79fe3a480ff3adb3a42 Description: DPM nameserver server with postgres database backend The LCG Disk Pool Manager (DPM) creates a storage element from a set of disks. It provides several interfaces for storing and retrieving data such as RFIO and SRM version 1, version 2 and version 2.2. This package provides a DPM nameserver that uses postgres as its database backend. Package: dpm-rfio-server Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 265 Depends: libdpm1 (= 1.8.2-1), libc6 (>= 2.13-28), liblcgdm1 Conflicts: dpm-rfiod (<< 1.8.1.2-2~) Replaces: dpm-rfiod (<< 1.8.1.2-2~) Provides: dpm-rfiod Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: net Filename: pool/main/l/lcgdm/dpm-rfio-server_1.8.2-1_armhf.deb Size: 90864 SHA256: 21557516abff02657eb42f0bfbd1ddf9446b2f2b20925dfb4a17f9e58f06448b SHA1: 9abb9469c4318dbd1c22b6857273e44905445943 MD5sum: 25e93afa01dacd7c5976f25e3d1bc073 Description: DPM RFIO server The LCG Disk Pool Manager (DPM) creates a storage element from a set of disks. It provides several interfaces for storing and retrieving data such as RFIO and SRM version 1, version 2 and version 2.2. This package provide a Remote File IO (RFIO) server for DPM. Package: dpm-server-mysql Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 322 Depends: libdpm1 (= 1.8.2-1), adduser, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblcgdm1, libmysqlclient16 (>= 5.1.50-1), libuuid1 (>= 2.16), finger Conflicts: dpm-mysql (<< 1.8.1.2-2~) Replaces: dpm-mysql (<< 1.8.1.2-2~) Provides: dpm-mysql Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: net Filename: pool/main/l/lcgdm/dpm-server-mysql_1.8.2-1_armhf.deb Size: 117648 SHA256: a0f3c67739978e6cd57b217f45c9432ff61a37e690de98e3a2b740435c6ebc00 SHA1: 913233feee57438d884c4885d7e8c7ed87626c4c MD5sum: 31bdc29402f4b3188b59ef701defb28f Description: Disk Pool Manager (DPM) server with MySQL database backend The LCG Disk Pool Manager (DPM) creates a storage element from a set of disks. It provides several interfaces for storing and retrieving data such as RFIO and SRM version 1, version 2 and version 2.2. This package provides a DPM server that uses MySQL as its database backend. Package: dpm-server-postgres Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 321 Depends: libdpm1 (= 1.8.2-1), adduser, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblcgdm1, libpq5, libuuid1 (>= 2.16), finger Conflicts: dpm-postgres (<< 1.8.1.2-2~) Replaces: dpm-postgres (<< 1.8.1.2-2~) Provides: dpm-postgres Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: net Filename: pool/main/l/lcgdm/dpm-server-postgres_1.8.2-1_armhf.deb Size: 118070 SHA256: 2daf86f53a8ff793c4fa588bfdd256e509d65575ea8f9353781284a61c74385a SHA1: 2707f811e9a771a6bfb104e2d5beaf13d3b167e0 MD5sum: a9e547c99441f10eb2e4c6b4fc211160 Description: Disk Pool Manager (DPM) server with postgres database backend The LCG Disk Pool Manager (DPM) creates a storage element from a set of disks. It provides several interfaces for storing and retrieving data such as RFIO and SRM version 1, version 2 and version 2.2. This package provides a DPM server that uses postgres as its database backend. Package: dpm-srm-server-mysql Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 1368 Depends: libdpm1 (= 1.8.2-1), adduser, libc6 (>= 2.13-28), libcgsi-gsoap1, libgsoap2, liblcgdm1, libmysqlclient16 (>= 5.1.50-1), libuuid1 (>= 2.16), finger Conflicts: dpm-mysql-srmv1 (<< 1.8.1.2-2~), dpm-mysql-srmv2 (<< 1.8.1.2-2~), dpm-mysql-srmv22 (<< 1.8.1.2-2~) Replaces: dpm-mysql-srmv1 (<< 1.8.1.2-2~), dpm-mysql-srmv2 (<< 1.8.1.2-2~), dpm-mysql-srmv22 (<< 1.8.1.2-2~) Provides: dpm-mysql-srmv1, dpm-mysql-srmv2, dpm-mysql-srmv22 Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: net Filename: pool/main/l/lcgdm/dpm-srm-server-mysql_1.8.2-1_armhf.deb Size: 341110 SHA256: c357f19540c60991ec894b0598daf73b2048e3e30782acc28fcbd964ead691a1 SHA1: 293b48df97e4b9d820b5d00734d0ec5876bce6bb MD5sum: 942f63f3b91fb794b768ea0748cb4b45 Description: DPM SRM server with MySQL database backend The LCG Disk Pool Manager (DPM) creates a storage element from a set of disks. It provides several interfaces for storing and retrieving data such as RFIO and SRM version 1, version 2 and version 2.2. This package provides a DPM SRM server that uses MySQL as its database backend. Package: dpm-srm-server-postgres Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 1376 Depends: libdpm1 (= 1.8.2-1), adduser, libc6 (>= 2.13-28), libcgsi-gsoap1, libgsoap2, liblcgdm1, libpq5, libuuid1 (>= 2.16), finger Conflicts: dpm-postgres-srmv1 (<< 1.8.1.2-2~), dpm-postgres-srmv2 (<< 1.8.1.2-2~), dpm-postgres-srmv22 (<< 1.8.1.2-2~) Replaces: dpm-postgres-srmv1 (<< 1.8.1.2-2~), dpm-postgres-srmv2 (<< 1.8.1.2-2~), dpm-postgres-srmv22 (<< 1.8.1.2-2~) Provides: dpm-postgres-srmv1, dpm-postgres-srmv2, dpm-postgres-srmv22 Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: net Filename: pool/main/l/lcgdm/dpm-srm-server-postgres_1.8.2-1_armhf.deb Size: 343302 SHA256: 22cf6f4bad725fd51b20864bc4466a6cbb441764d14633bccc2416a6826ae418 SHA1: 7c6e889817a77b03ac6774b8d48ba3a7b1ab5cee MD5sum: c70ec478bb8a7284572298ee0a870793 Description: DPM SRM server with postgres database backend The LCG Disk Pool Manager (DPM) creates a storage element from a set of disks. It provides several interfaces for storing and retrieving data such as RFIO and SRM version 1, version 2 and version 2.2. This package provides a DPM SRM server that uses postgres as its database backend. Package: dpsyco Version: 1.0.36 Installed-Size: 20 Maintainer: Ola Lundqvist Architecture: all Depends: dpsyco-base, dpsyco-lib (>= 1.0.21), adduser Recommends: dpsyco-skel, dpsyco-patch, dpsyco-sudo Suggests: dpsyco-devel, dpsyco-ssh, dpsyco-mysql, debarchiver Size: 12092 SHA256: 52e50e8100430d3290527af853b711dd1dde556d982132049eb41e75b33deea8 SHA1: db65b241424d6b9e8179875016729eab278c036f MD5sum: 70fb3a9348e82544028fb0757107073d Description: Debian packages of system configurations Dpsyco introduces the concept of configuration packages. It is a special form of debian packages that is applied on top of the original debian packages. With this you can add users, groups, apply a file skeleton, patch things and more. Observe! No such configuration packages should be uploaded to the debian archives. This kind of things are intended to be created and used by the system administrator only. . For more information see http://www.opal.dhs.org/programs/dpsyco/. . This tool is very useful if you have a lot of servers on which you want to have similar configuration (but not identical). If you only have one server (or client) this is probably not useful at all. . WARNING! Users with UID and GID between 500 and 999 will be automatically administrated by this tool. This means that if you do not have a admin package that set up users these users WILL BE REMOVED. Groups with GID between 300 and 499 will be handled in the same way. YOU HAVE BEEN WARNED! Tag: admin::configuring, role::metapackage, suite::debian, use::configuring Section: admin Priority: extra Filename: pool/main/d/dpsyco/dpsyco_1.0.36_all.deb Package: dpsyco-base Source: dpsyco Version: 1.0.36 Installed-Size: 96 Maintainer: Ola Lundqvist Architecture: all Depends: dpsyco-lib (>= 1.0.9) Conflicts: dpsyco-lib (<< 1.0.9) Size: 23052 SHA256: be5cbadf9f648f1df97f26212ce78696b09100cbf46404c9da0982a1430ae5b2 SHA1: 345ecb1b4e2d6c71f5743913861199e8a44bd881 MD5sum: 7cccb720ce88d56dab6744095f9d9a88 Description: Base package for the debian packages of system configurations The base package for dpsyco. It makes it possible to create users and groups. It also contains some basic configuration files. . WARNING! Users with UID and GID between 500 and 999 will be automatically administrated by this tool. This means that if you do not have a admin package that set up users these users WILL BE REMOVED. Groups with GID between 300 and 499 will be handled in the same way. YOU HAVE BEEN WARNED! Tag: admin::configuring, admin::user-management, interface::commandline, role::program, scope::utility, suite::debian, use::configuring Section: admin Priority: extra Filename: pool/main/d/dpsyco/dpsyco-base_1.0.36_all.deb Package: dpsyco-cfengine Source: dpsyco Version: 1.0.36 Installed-Size: 16 Maintainer: Ola Lundqvist Architecture: all Depends: dpsyco-lib (>= 1.0.9), cfengine2 Size: 8278 SHA256: 219d9f733567a9fb5572edf67957a923c6832eaf2ce388855a6a2fcf46201dee SHA1: fa1dc297f8515a45c257b18a2cd2446bf149ea52 MD5sum: b8719b831f9c40ea4c4bbdb24fb23af2 Description: Automate applying of cfengine configs This package contains a tool to automatically apply cfengine configurations to the system. Tag: admin::configuring, admin::user-management, interface::commandline, role::program, scope::utility, suite::debian, use::configuring Section: admin Priority: extra Filename: pool/main/d/dpsyco/dpsyco-cfengine_1.0.36_all.deb Package: dpsyco-devel Source: dpsyco Version: 1.0.36 Installed-Size: 208 Maintainer: Ola Lundqvist Architecture: all Depends: dpsyco-lib (>= 1.0.9), dpkg-dev, patch, make Suggests: debian-policy, dpkg-repack, dpkg-doc, jablicator, dpkg-dev-el Size: 43552 SHA256: 5c8263b55a9ad1ec439275f10831800ee8c1d350f832dbb878b1e2d605f767df SHA1: 8d852ba6b284326bf9d1657c3709e17c1c0c1e23 MD5sum: 5192287584acc1ae8f9d1828211cb40e Description: Tools to create configuration packages Tools to create the configuration packages. These tools are similar to the debhelper tools and should be used quite like them, but they lack some options. Tag: admin::configuring, admin::user-management, devel::packaging, interface::commandline, role::program, scope::utility, suite::debian, use::configuring Section: admin Priority: extra Filename: pool/main/d/dpsyco/dpsyco-devel_1.0.36_all.deb Package: dpsyco-lib Source: dpsyco Version: 1.0.36 Installed-Size: 72 Maintainer: Ola Lundqvist Architecture: all Depends: rsync, adduser Suggests: patch Conflicts: dpsyco-base (<< 1.0.5), dpsyco-patch (<< 1.0.21) Size: 18608 SHA256: 5609a0845137e6b8fae93227801598ade10c2f0003aa51a42ad7bc3b2e5747c3 SHA1: b6fe6a14dc131a665398264c82af8b94328b0e8b MD5sum: 9a2a6fdd846d3fb44c90f67a2d42e21b Description: Libraries for the debian packages of system configurations It contains a couple of shell functions and other useful tools to make all dpsyco utils work as it should. Tag: admin::configuring, admin::user-management, devel::library, implemented-in::shell, interface::commandline, role::program, scope::utility, suite::debian, use::configuring Section: admin Priority: extra Filename: pool/main/d/dpsyco/dpsyco-lib_1.0.36_all.deb Package: dpsyco-mysql Source: dpsyco Version: 1.0.36 Installed-Size: 40 Maintainer: Ola Lundqvist Architecture: all Depends: dpsyco-lib (>= 1.0.9), mysql-client Size: 14748 SHA256: 616767ec0356c8730291e34f595cf5f0ec7c2a641d2c84341d0233e84cb60cb3 SHA1: 8da14f23ebded5db276f5975d649d83f6e7d3cce MD5sum: 48fca2e6bd7b45de75e9b708160dac2d Description: Automate administration of access to mysql This package contains a tool to automatically give some users or hosts access to a mysql database. . This package is not fully functional though. Tag: admin::configuring, admin::user-management, devel::lang:sql, interface::commandline, role::program, scope::utility, suite::debian, use::configuring, works-with::db Section: admin Priority: extra Filename: pool/main/d/dpsyco/dpsyco-mysql_1.0.36_all.deb Package: dpsyco-patch Source: dpsyco Version: 1.0.36 Installed-Size: 28 Maintainer: Ola Lundqvist Architecture: all Depends: dpsyco-lib (>= 1.0.23), patch Suggests: dpsyco-skel Size: 11516 SHA256: 65d565ab91e1eba8791e27b61419f4cd8f2b238f97cf528f972d30eaf548da70 SHA1: 3a919e7fe55a8ca91a4379400cc3aa0354c3c485 MD5sum: 0fde0d33304f1cf560fbd3695544b93f Description: Automatically patch the debian file-system Makes it possible to apply patches to the debian file system. It can be useful when a lot of configuration packages want to make changes to the same file. But be aware of that you can cause conflicts if you make changes on two different packages. Tag: admin::configuring, admin::user-management, interface::commandline, role::program, scope::utility, suite::debian, use::configuring, use::synchronizing Section: admin Priority: extra Filename: pool/main/d/dpsyco/dpsyco-patch_1.0.36_all.deb Package: dpsyco-samba Source: dpsyco Version: 1.0.36 Installed-Size: 36 Maintainer: Ola Lundqvist Architecture: all Depends: dpsyco-lib (>= 1.0.9), samba-common Recommends: samba Suggests: smbclient Size: 13910 SHA256: 7b8f3a3c3657cd3619730562eb24911e7aadd3943779339beddd3b280e588ab7 SHA1: c9460ca1accfa102a639b121c205e077b7bc2d27 MD5sum: c90c4cdcefb79ccc15cc6a539a0ef544 Description: Automate administration of access to samba This package contains a tool to automatically give some users or hosts access to a samba server. It is not that easy to administrate yet, but that should change soon. . WARNING! Samba users with UID between 500 and 999 will be automatically administrated by this tool. This means that if you do not have a admin package that set up users correctly, these users WILL BE REMOVED and the user will not be able to log in again. YOU HAVE BEEN WARNED! Tag: admin::configuring, admin::user-management, interface::commandline, protocol::smb, role::program, scope::utility, suite::debian, suite::samba, use::configuring Section: admin Priority: extra Filename: pool/main/d/dpsyco/dpsyco-samba_1.0.36_all.deb Package: dpsyco-skel Source: dpsyco Version: 1.0.36 Installed-Size: 32 Maintainer: Ola Lundqvist Architecture: all Depends: dpsyco-lib (>= 1.0.9), rsync Size: 11684 SHA256: 586a60c7735b7a675bacb6bcf2fdfc7f3164f58422841b2eae81f18e5b4a8da2 SHA1: 14cfb6b6bc8c66c58504c6a82c13a57855bb556d MD5sum: 7b4968a5ae856dd6b92f86dbe0fe8a3d Description: Automatically install a add-on skeleton Makes it possible to create a package that updates the system with the skel installed to /usr/share/dpsyco/skel. . This makes it possible to overwrite files (on top of) the ordinary debian file system. When the file is removed from this skeleton the original file will also be restored. If there were no original file it will be removed. . You can also use Replaces in the control field of a package to make similar functionality of this package. Tag: admin::configuring, admin::user-management, interface::commandline, role::program, scope::utility, suite::debian, use::configuring, works-with::file Section: admin Priority: extra Filename: pool/main/d/dpsyco/dpsyco-skel_1.0.36_all.deb Package: dpsyco-ssh Source: dpsyco Version: 1.0.36 Installed-Size: 16 Maintainer: Ola Lundqvist Architecture: all Depends: dpsyco-lib (>= 1.0.9), ssh (>> 3.4p1) Suggests: xfree86-common, xbase-clients Size: 8984 SHA256: f748e70855598cea5fcd3825ca1d544350f5c3b65d34d29953b830967448dded SHA1: b29a4a0e558695ee10dff5ad4b03da85433fe275 MD5sum: 584794795a29ea98919173272cf16afa Description: Automate administration of access via ssh This package contains a tool to automatically give some users access via ssh. . WARNING! Users with UID < 999 will have its ssh access administrated automatically which means that the authorized_keys file will be removed if there is no admin package installed that gives the user ssh access. YOU HAVE BEEN WARNED! Tag: admin::configuring, admin::user-management, interface::commandline, protocol::ssh, role::program, scope::utility, suite::debian, use::configuring Section: admin Priority: extra Filename: pool/main/d/dpsyco/dpsyco-ssh_1.0.36_all.deb Package: dpsyco-sudo Source: dpsyco Version: 1.0.36 Installed-Size: 28 Maintainer: Ola Lundqvist Architecture: all Depends: dpsyco-lib (>= 1.0.9), sudo Size: 9812 SHA256: 842ca774f8490b2ff1c493c16466ea8d8f51749497442fd5ee355d6c186bdfae SHA1: a971c4ede09e2e2e1f30333bdca2fce881c231fa MD5sum: 90a54248f4cb7c44aead6507c450ef4c Description: Automate administration of sudo privileges This package contains a tool to automatically give some users sudo privileges. . WARNING! This tool will automatically administrate all sudo permissions so if you do not have a admin package installed that give users sudo privileges they will no longer be able to use sudo. YOU HAVE BEEN WARNED! Tag: admin::configuring, admin::user-management, interface::commandline, role::program, scope::utility, suite::debian, use::configuring Section: admin Priority: extra Filename: pool/main/d/dpsyco/dpsyco-sudo_1.0.36_all.deb Package: dpt-i2o-raidutils Source: raidutils Version: 0.0.6-19 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 603 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: raidutils (<< 0.0.6-6) Provides: dtp-i2o-raidutils Homepage: http://i2o.shadowconnect.com Priority: optional Section: admin Filename: pool/main/r/raidutils/dpt-i2o-raidutils_0.0.6-19_armhf.deb Size: 219090 SHA256: 89fad33e1d9bdd8e97dc564e3e2bfd78e793d21fa8130497adeee9b970bfdabc SHA1: 65c5178df583f89446f555132e24bd1d5cd9d07c MD5sum: 339388c93d2692e2cad36905c8b0d134 Description: Adaptec I2O hardware RAID management utilities Suite of programs to manage some I2O-compliant RAID controllers; in particular some Adaptec hardware; to be very specific those using the dpt_i2o kernel module or CONFIG_SCSI_DPT_I2O driver, or (for more recent kernels) the i2o_block and i2o_config modules, or CONFIG_I2O_BLOCK and CONFIG_I2O_CONFIG drivers. One can create/delete a RAID array, add/remove a hot spare drive to/from an array, activate/silence the alarm, and query array/disk status. Package: dput Version: 0.9.6.3+nmu2 Installed-Size: 158 Maintainer: Y Giridhar Appaji Nag Architecture: all Depends: python (>= 2.5), gnupg Suggests: openssh-client, lintian, mini-dinstall, rsync Size: 48086 SHA256: 265e1ca0d72675a220d0cc648f1264635f3717562506768b914558034df08224 SHA1: 40e1d88d1fb37ae2d09e5c840b0aaf54926c0dd9 MD5sum: c2e9c1cd8c519af5f6683fcf47054255 Description: Debian package upload tool dput allows you to put one or more Debian packages into the archive. This package also includes a dcut utility which can be used to generate and / or upload a commands file for the Debian FTP archive upload queue. . dput includes some tests to verify that the package is policy-compliant. It offers the possibility to run lintian before the upload. It can also run dinstall in dry-run-mode, when having used an appropriate upload method. This is very useful to see if the upload will pass dinstall sanity checks in the next run. . It is intended mainly for Debian maintainers only, although it can also be useful for people maintaining local apt repositories. Tag: admin::file-distribution, devel::packaging, implemented-in::python, interface::commandline, protocol::TODO, role::program, suite::debian, use::checking, use::synchronizing, works-with::software:package Section: devel Priority: optional Filename: pool/main/d/dput/dput_0.9.6.3+nmu2_all.deb Package: draai Version: 20110603-1 Installed-Size: 136 Maintainer: Joost van Baal Architecture: all Depends: mpc, zsh | zsh-beta, at, unsort Suggests: xterm | x-terminal-emulator Enhances: mpc Size: 55006 SHA256: b2fad0b6323fec66dae66bcc184aefbccb2ce677358cc66efd9d1466731eff02 SHA1: 6f8e7166da919f860c1800ac5570606a9c171c73 MD5sum: 806d23b1c6516afa8ffb41608cc1c933 Description: A command-line music player for MPD Draai is a wrapper around mpc, making playing music from the commandline more enjoyable. mpc is a client for MPD, the Music Player Daemon. Using draai, one can play an audiofile (ogg, mp3, whatever your MPD offers), play all files in a predefined playlist, view details on current, past and future playing songs, skip to the next song, etc. . The interface is purely command-line. No fancy gui's whatsoever. Draai consists of one zsh shell script, calling mpc. . Next to draai itself, the package installs some extra utilities for dealing with audio files. Homepage: http://mdcc.cx/draai/ Tag: implemented-in::shell, interface::commandline, network::client, role::program, scope::application, use::playing, works-with::audio Section: sound Priority: optional Filename: pool/main/d/draai/draai_20110603-1_all.deb Package: drac Version: 1.12-7.2 Architecture: armhf Maintainer: Noèl Köthe Installed-Size: 102 Depends: libc6 (>= 2.7), libdb5.1, portmap, postfix | mail-transport-agent Suggests: qpopper-drac Homepage: http://mail.cc.umanitoba.ca/drac/ Priority: optional Section: net Filename: pool/main/d/drac/drac_1.12-7.2_armhf.deb Size: 18446 SHA256: 802e65ccfd013b34b15fbe8d43f4660c006f04bc578a37cb0c94dbaa24bfddb9 SHA1: 9ba481de6979cad86d316a944877a91b401ce0ec MD5sum: 76616c75cc90222b5bc4d6e5735a5b74 Description: Dynamic Relay Authorization Control (pop-before-smtp) A daemon that dynamically updates a relay authorization map for some MTA (postfix, sendmail). It provides a way to allow legitimate users to relay mail through an SMTP server, while still preventing others from using it as a spam relay. User's IP addresses are added to the map immediately after they have authenticated to the POP or IMAP server. By default, map entries expire after 30 minutes, but can be renewed by additional authentication. Periodically checking mail on a POP server is sufficient to do this. The POP and SMTP servers can be on different hosts. Package: drac-dev Source: drac Version: 1.12-7.2 Architecture: armhf Maintainer: Noèl Köthe Installed-Size: 44 Homepage: http://mail.cc.umanitoba.ca/drac/ Priority: optional Section: devel Filename: pool/main/d/drac/drac-dev_1.12-7.2_armhf.deb Size: 7042 SHA256: 3ab8ee7a9a63860016b43b660076f126af8f93ea92b34eab9a0adb929653ffef SHA1: c14d11b978fbafcecce4b1b44052dc0305ba4304 MD5sum: 560e28fd905ef7b2fe13dfb7239bf392 Description: Dynamic Relay Authorization Control (development files) A daemon that dynamically updates a relay authorization map for some MTA (postfix, sendmail). It provides a way to allow legitimate users to relay mail through an SMTP server, while still preventing others from using it as a spam relay. User's IP addresses are added to the map immediately after they have authenticated to the POP or IMAP server. By default, map entries expire after 30 minutes, but can be renewed by additional authentication. Periodically checking mail on a POP server is sufficient to do this. The POP and SMTP servers can be on different hosts. . This package contains the header file. Package: dracut Version: 020-2 Installed-Size: 668 Maintainer: Thomas Lange Architecture: all Provides: linux-initramfs-tool Depends: cpio, module-init-tools, udev, kpartx, kbd, util-linux (>= 2.20) Recommends: cryptsetup, dmsetup, dmraid, lvm2, mdadm Suggests: dracut-network Conflicts: initramfs-tools Size: 142670 SHA256: 6b1890312ef9bfe77fed87ca4597a6e25d2d1b3f1144d720bbea74265b93806d SHA1: 86f0484d00e80bbdbed9dfe47d1b8007bf4ed483 MD5sum: e8d7af9e06fd436fe213dc460eab0f03 Description: A new initramfs infrastructure Unlike existing initramfs's, this is an attempt at having as little as possible hard-coded into the initramfs as possible. The initramfs has (basically) one purpose in life -- getting the rootfs mounted so that we can transition to the real rootfs. This is all driven off of device availability. Therefore, instead of scripts hard-coded to do various things, we depend on udev to create device nodes for us and then when we have the rootfs's device node, we mount and carry on. Having the root on MD, LVM2, LUKS is supported as well as NFS, iSCSI, NBD and FCOE with dracut-network. Homepage: http://www.kernel.org/pub/linux/utils/boot/dracut/dracut.html Section: utils Priority: optional Filename: pool/main/d/dracut/dracut_020-2_all.deb Package: dracut-network Source: dracut Version: 020-2 Installed-Size: 187 Maintainer: Thomas Lange Architecture: all Depends: dracut, iputils-arping, isc-dhcp-client Recommends: nfs-common, open-iscsi, nbd-client Size: 35982 SHA256: bf82b5884a602ff0447ecabb91a60b1a8838ccd58795b38794d21e004581edb3 SHA1: d9448569888cdaf836d44e01bb798f4937e96e32 MD5sum: 327746c4eeb6bee5bba246aac5bb53da Description: A new initramfs infrastucture Unlike existing initramfs's, this is an attempt at having as little as possible hard-coded into the initramfs as possible. The initramfs has (basically) one purpose in life -- getting the rootfs mounted so that we can transition to the real rootfs. This is all driven off of device availability. Therefore, instead of scripts hard-coded to do various things, we depend on udev to create device nodes for us and then when we have the rootfs's device node, we mount and carry on. Having the root on MD, LVM2, LUKS is supported as well as NFS, iSCSI, NBD and FCOE with dracut-network. Homepage: http://www.kernel.org/pub/linux/utils/boot/dracut/dracut.html Section: utils Priority: optional Filename: pool/main/d/dracut/dracut-network_020-2_all.deb Package: dradio Version: 3.8-2 Architecture: armhf Maintainer: Alejandro Garrido Mota Installed-Size: 86 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libexpat1 (>= 2.0.1), libncursesw5 (>= 5.6+20070908), libtinfo5, mplayer, tidy, xsltproc Homepage: http://thrysoee.dk/dradio/ Priority: extra Section: sound Filename: pool/main/d/dradio/dradio_3.8-2_armhf.deb Size: 25900 SHA256: fa6b40b710379ec8b216a0b87e98d9846fec2275e35bcbaffdf215541e089294 SHA1: f29e784a0d6b322202b85cfb8df01545508af196 MD5sum: fe7c68776bd4021b67d9fa117944c5aa Description: danmarks Radio netradio, podcast, and TV player Dradio is a terminal based frontend to MPlayer that collects the available channels/podcasts for convenient browsing. Package: dragbox Version: 0.4.0-1 Installed-Size: 200 Maintainer: Ulrik Sverdrup Architecture: all Depends: python (>= 2.4), python-support (>= 0.7.1), python-gnome2, python-glade2, python-dbus Size: 26088 SHA256: a8270eda75ec75c9f5e8d56a1fa28e04b7aed2597b874aeede52b56dfd4b17a5 SHA1: f9093934eb44153eda97fc5d92ac63e10ba14502 MD5sum: fd3a1d6ebecae1f4cc2e96d36a85cba9 Description: A command line drag-and-drop tool for GNOME Dragbox is a tool for connecting the command line with the desktop environment. It summons a drag handle in a window when you are managing files or text in the shell, connecting the different workspaces -- desktop and command line. . Dragbox can take items on the command line or from a pipe and put on its shelf. The inverse is also possible: dragged-to items can be output to the shell. Homepage: http://users.student.lth.se/f04us/wiki/dragbox/ Python-Version: 2.4, 2.5 Tag: implemented-in::python, interface::commandline, interface::x11, role::program, scope::utility, uitoolkit::gtk, works-with::file, works-with::text, x11::application Section: utils Priority: optional Filename: pool/main/d/dragbox/dragbox_0.4.0-1_all.deb Package: dragonegg Version: 3.0-3.2 Architecture: armhf Maintainer: LLVM Packaging Team Installed-Size: 383 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libllvm3.0, libstdc++6 (>= 4.4.0) Recommends: gcc-4.6 | g++-4.6 Homepage: http://dragonegg.llvm.org/ Priority: optional Section: devel Filename: pool/main/d/dragonegg/dragonegg_3.0-3.2_armhf.deb Size: 167174 SHA256: f27a0a82bfb9a47977d94634e915b4ecd5797195f394c075086651bbe2ca83c5 SHA1: f734a8b64ee1e68ec7b55b464419680e5ddbe4b0 MD5sum: 779e9bc36c891c393d3460179871c61e Description: GCC plugin that uses LLVM for optimization and code generation DragonEgg is a GCC plugin (dragonegg.so) that replaces GCC's optimizers and code generators with those from the LLVM project. . It is a reimplementation of llvm-gcc that works with gcc-4.6 or later. . DragonEgg is under heavy development and is not mature - it may crash or produce wrong code. Package: dragonplayer Source: kdemultimedia Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 847 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libkparts4 (>= 4:4.8), libphonon4 (>= 4:4.6.0really4.5.0), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.6.2), libsolid4 (>= 4:4.8), libstdc++6 (>= 4.4.0), phonon Homepage: http://www.kde.org/ Priority: optional Section: video Filename: pool/main/k/kdemultimedia/dragonplayer_4.8.4-2_armhf.deb Size: 448120 SHA256: 6a8a7e88bfc84f3e12ec7dfd81448966d63dc69814a789691d7854d345392d2d SHA1: 40b1029ee592ccbfe6f6cdc5274da0fcc3e8dc3f MD5sum: 798826e8e3c30eea770059907ddeceb2 Description: simple video player A video player with a different philosophy: Simple, uncluttered interface. . Features: - Plays DVDs, VCDs, all video formats supported by the Phonon backend in use. - Bundled with a simple web-page KPart. - Starts quickly. . This is the KDE 4 version of the Codeine video player. . This package is part of the KDE multimedia module. Package: drawmap Version: 2.5-3 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 453 Depends: libc6 (>= 2.13-28) Priority: optional Section: math Filename: pool/main/d/drawmap/drawmap_2.5-3_armhf.deb Size: 235368 SHA256: 68dc136743ecd7ebf07b62293bcaeddab1e4ef0badcf5c1ca51da9b67b5ccc4d SHA1: 18150d1867acc6890dd67eec594443ceb944f083 MD5sum: 9b77a2477796e3e242fbd6c3bf2f00cf Description: draws customized maps, using raw USGS data files Drawmap reads data in the Digital Elevation Model (DEM), Digital Line Graph (DLG), and Geographic Names Information System (GNIS) formats. Can also work with SDTS, NAD-83, WGS-84, GTOPO30 data. . Using the data in these files, drawmap can produce various kinds of customized maps, including shaded relief maps (with or without roads, streams, place names, and so on) and topographic maps (again, with or without additional features). . Outputs sun raster format, portable gray map, or pov format files. . Home page: http://www.ttc-cmc.net/~fme/drawmap.html Package: drawterm Version: 20110822-1 Architecture: armhf Maintainer: Martín Ferrari Installed-Size: 419 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libx11-6 Homepage: http://swtch.com/drawterm/ Priority: extra Section: x11 Filename: pool/main/d/drawterm/drawterm_20110822-1_armhf.deb Size: 215830 SHA256: 69d925651d9685b7826f8a73d4fd74fceaa29062fe37a7f0c643b21d4d03e6ff SHA1: 1d9846bce048d17091c421e12e7533b9c0e2d19a MD5sum: 7f9457183ba0e487f3dac9f421c967c0 Description: graphical client for Plan 9 CPU servers Drawterm is a X11 application that allows one to connect to a remote Plan 9 server, usually a CPU server, but a terminal can also be tweaked to receive drawterm clients. Package: drawtiming Version: 0.7.1-5 Architecture: armhf Maintainer: Debian Electronics Team Installed-Size: 121 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgraphicsmagick++3, libstdc++6 (>= 4.6) Homepage: http://drawtiming.sourceforge.net/ Priority: optional Section: electronics Filename: pool/main/d/drawtiming/drawtiming_0.7.1-5_armhf.deb Size: 42222 SHA256: 8171f0ea7eacdd042795b57354df994e4a3456a070ac6140efd43b2591f8cd4e SHA1: 7f2819fd841ca67cd9fa7422a12962ae847f38e4 MD5sum: d44176be97566319f537f9f61d0ffb46 Description: tool for documenting hardware designs through timing diagrams Drawtiming is a command-line tool for documenting hardware designs through timing diagrams. In inputs textual signal descriptions and outputs image timing diagrams in many possible formats. Package: drawxtl Version: 5.5-3 Architecture: armhf Maintainer: Debichem Team Installed-Size: 4212 Depends: libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.8~rc1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libgl2ps0, libglu1-mesa | libglu1, libstdc++6 (>= 4.1.1), libxpm4 Suggests: openvrml-lookat Homepage: http://www.lwfinger.com/drawxtl/index.html Priority: optional Section: science Filename: pool/main/d/drawxtl/drawxtl_5.5-3_armhf.deb Size: 3852604 SHA256: 50dc8f5b9090282bf0a37779ca806b69c38512340b84e24061960ed9299416d6 SHA1: e9da4873cc7c82a3bebf9791572cf0cf06abdb23 MD5sum: 328a9ea86f279d893869f50fb5f21b29 Description: crystal structure viewer DRAWxtl reads a basic description of the crystal structure, which includes unit-cell parameters, space group, atomic coordinates, thermal parameters or a Fourier map, and outputs a geometry object that contains polyhedra, planes, lone-pair cones, spheres or ellipsoids, bonds, iso-surface Fourier contours and the unit-cell boundary. . Four forms of graphics are produced: . * an OpenGL window for immediate viewing * the Persistence of Vision Ray Tracer (POV-RAY) scene language for publication-quality drawings * the Virtual Reality Modeling Language (VRML) for dissemination across the Internet * a Postscript rendering of the OpenGL window for those who want high-quality output but do not have POV-RAY installed. . File formats DRAWxtl can read include CIF, FDAT, FullProf (pcr), GSAS, SCHAKAL, SHELX, DISCUS and WIEN2k. Package: drbd8-utils Source: drbd8 Version: 2:8.3.13-2 Architecture: armhf Maintainer: Debian DRBD Maintainers Installed-Size: 580 Depends: debconf | debconf-2.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Recommends: heirloom-mailx | mailx Suggests: heartbeat Conflicts: drbd-utils Replaces: drbd, drbd-utils Provides: drbd-utils Homepage: http://www.drbd.org/ Priority: extra Section: admin Filename: pool/main/d/drbd8/drbd8-utils_8.3.13-2_armhf.deb Size: 242236 SHA256: 7d72d360d565299b6d771b1873a390c3653335fe7294286dd130df804885e464 SHA1: 0c3e15cdecd4968185a6b8998fe94abe55b9e7c9 MD5sum: ce1a8492995b6c9000fc4b5245fe0882 Description: RAID 1 over tcp/ip for Linux utilities Drbd is a block device which is designed to build high availability clusters by providing a virtual shared device which keeps disks in nodes synchronised using TCP/IP. This simulates RAID 1 but avoiding the use of uncommon hardware (shared SCSI buses or Fibre Channel). It is currently limited to fail-over HA clusters. . This package contains the programs that will control the drbd kernel module provided in the Linux kernel. Package: drbdlinks Version: 1.19-1 Installed-Size: 128 Maintainer: Thierry Randrianiriana Architecture: all Depends: python Suggests: drbd8-utils Size: 13170 SHA256: 98af4fd9b14810f20f92702850d5f50a382fecf0469b5e62ab2b0b6522c705c9 SHA1: 39d9494cfe6980df1b65d04933216f126f55cc6d MD5sum: b3c5d73e3dbae2cca001db13dfd942d6 Description: Manages symlinks into a shared DRBD partition Manages symlinks into a DRBD partition which is shared among several machines. A simple configuration file, "/etc/drbdlinks.conf", specifies the links. This can be used to manage links for /etc/apache, /var/lib/pgsql, and other system directories that need to appear as if they are local to the system when running applications after a drbd shared partition has been mounted. . DRBD is a RAID 1 over TCP/IP solution, please have a look at the packages drbd-utils and drbd-module-source for more information. Homepage: http://www.tummy.com/Community/software/drbdlinks/ Tag: admin::configuring, implemented-in::python, role::program Section: admin Priority: optional Filename: pool/main/d/drbdlinks/drbdlinks_1.19-1_all.deb Package: drbl Version: 1.10.90-1 Installed-Size: 7280 Maintainer: Georges Khaznadar Architecture: all Depends: perl, ash, ipcalc, wakeonlan, syslinux, syslinux-common Size: 2417864 SHA256: 5b567551a7a5dea3bd86eff12061785cca6cd2302cd9216c5c322f4503cb9112 SHA1: 654b9c2012f05ef4bb1bc4fbf11066f3ec9863ad MD5sum: a515ba3fe830b52bf54816c5c1c88a87 Description: diskless remote boot, and a disk cloning utility DRBL (Diskless Remote Boot in Linux) provides a diskless or systemless environment for client machines. It works on Debian, Ubuntu, Mandriva, Red Hat, Fedora, CentOS and OpenSuSE. DRBL uses distributed hardware resources and makes it possible for clients to fully access local hardware. It also includes Clonezilla, a partition and disk cloning utility similar to Symantec Ghost(TM) or True Image(TM). . For more details, check 1. http://drbl.sourceforge.net (English) 2. http://drbl.nchc.org.tw (Chinese Big5 - Taiwan) Homepage: http://drbl.sourceforge.net/ Section: admin Priority: extra Filename: pool/main/d/drbl/drbl_1.10.90-1_all.deb Package: drc Version: 3.2.0~dfsg0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1265 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Homepage: http://drc-fir.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/d/drc/drc_3.2.0~dfsg0-1_armhf.deb Size: 880366 SHA256: 8e903c703ba2f5144a506a248a982bf094df61d6f179f2bdbac09306f55d0261 SHA1: 455976a4f830ae090674496786257b5d2d67c770 MD5sum: ff94641d28c7c6f7c4e43249fae06f2b Description: digital room correction DRC is program used to generate correction filters for acoustic compensation of HiFi and audio systems in general, including listening room compensation. Program generates just the FIR correction filters, which can be used with a real time or offline convolver to provide real time or offline correction. . DRC doesn't provide convolution features, and provides only some simplified, although really accurate, measuring tools. Package: dreamchess Version: 0.2.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 483 Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libmxml1, libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), dreamchess-data (= 0.2.0-3) Homepage: http://www.dreamchess.org/ Priority: optional Section: games Filename: pool/main/d/dreamchess/dreamchess_0.2.0-3_armhf.deb Size: 143176 SHA256: 48284c6afee3039bc18385ee36ca707aa90e47dc0d20b70790f962a1e8fcf8ee SHA1: 0899b884553d11612e9a4ac925f9df503663391d MD5sum: ece5ae8132108b408b0d6c163b23b40b Description: 3D chess game DreamChess features 3D OpenGL graphics and provides various chess board sets, ranging from classic wooden to flat. . A moderately strong chess engine is included: Dreamer. However, should this engine be too weak for you, then you can use any other XBoard-compatible chess engine, including the popular Crafty and GNU Chess. . Other features include on-screen move lists using SAN notation, undo functionality, and savegames in PGN format. Package: dreamchess-data Source: dreamchess Version: 0.2.0-3 Installed-Size: 6140 Maintainer: Debian Games Team Architecture: all Size: 5032726 SHA256: a74ae3fe2b7d06a05857a82a7459eaa380ad8b426a559a518a670e8be61dfa70 SHA1: 9f61d8a131636f1d15348c6e9ad6e2dca208d1fd MD5sum: c80ccb9a1a61d5b55ab0ceadeef0e331 Description: data files for dreamchess This package provides the data files for DreamChess including various chess board sets ranging from classic wooden to flat, 3D models of the chess pieces, graphics and audio. Homepage: http://www.dreamchess.org/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/d/dreamchess/dreamchess-data_0.2.0-3_all.deb Package: dreampie Version: 1.1.1-2 Installed-Size: 684 Maintainer: Luca Falavigna Architecture: all Depends: python (>= 2.6.6-7~), python-gtk2, python-gtksourceview2, python-glade2 Suggests: jython, ironpython Size: 137760 SHA256: 2c92a83502ee85e1425fa5cc9640a43f20b1044d66ec3bf59ddb9d4606d02950 SHA1: dc1488f6f20e473ff2f622c4adfa5ab6911988bb MD5sum: b913e746a698b54d586e8b408e44bf17 Description: advanced graphical interactive Python shell This Python shell permits one to work in a more productive way with Python interpreter providing features not yet implemented in standard Python IDE: * automatic completion of attributes and file names * display of function arguments and documentation * result history to execute a given code at a later time * history dump on HTML files for future reference * support for Python 2.5, Python 2.6, Python 3.1, Jython 2.5, IronPython 2.6 Homepage: http://dreampie.sourceforge.net/ Section: python Priority: optional Filename: pool/main/d/dreampie/dreampie_1.1.1-2_all.deb Package: drgeo Version: 1.1.0-10 Architecture: armhf Maintainer: Francisco Manuel Garcia Claramonte Installed-Size: 3159 Depends: guile-1.6-libs, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libguile-ltdl-1, libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.3.0), libxml2 (>= 2.7.4), imagemagick Recommends: drgeo-doc Replaces: drgenius Homepage: http://www.gnu.org/software/dr_geo/dr_geo.html Priority: extra Section: math Filename: pool/main/d/drgeo/drgeo_1.1.0-10_armhf.deb Size: 815374 SHA256: 808c85949080f26f3c7ee654561962dbf75ead69de3ba02b60bc77265d64ebc7 SHA1: 931efc79ff4f18b03db4f545d451ba1a8108f3dd MD5sum: 302a114e6466ef5337f810094ef09570 Description: interactive geometry software This is the Gtk interactive geometry software. It allows one to create geometric figure plus the interactive manipulation of such figure in respect with their geometric constraints. It is usable in teaching situation with students from primary or secondary level. . Dr. Geo comes with a complete set of tools arranged in different categories: . * points * lines * geometric transformations * numeric function * macro-construction * DGS object - Dr. Geo Guile Script * DSF - Dr Geo Scheme Figure, it is interactive figure defined in a file and evaluated with the embedded Scheme interpretor, awesome! * Export facilities in the LaTeX and EPS formats . Several figures and macro-constructions examples are available in the /usr/share/drgeo/examples folder. . More information about Dr. Geo can be found at its web site http://www.gnu.org/software/dr_geo/dr_geo.html . Installing the drgeo-doc package is also encouraged to get more of Dr. Geo. Package: drgeo-doc Version: 1.5-7 Installed-Size: 4087 Maintainer: Francisco Manuel Garcia Claramonte Architecture: all Depends: iceweasel | www-browser | x-www-browser Recommends: drgeo Size: 2586180 SHA256: 7ddc70a6f29dd12e852ea7588dd6416db0ddf131663ea7e05e8cbb7647c24943 SHA1: ca086a60a2f07cc56366b6686151deef8fe4abbb MD5sum: 353b83204f706f494c8ad5fd0611eea6 Description: Dr. Geo online user manual Install this package if you want the on-line help for the Dr. Geo software. The on-line help can be used directly from Dr. Geo. However you may need to instruct Dr. Geo about your preferred browser from the Edit->Preferences menu. . The documentation comes in Dutch, English, French, Italian & Spanish. . Dr. Geo is an interactive geometry software. More information about Dr. Geo can be found at its web site http://www.gnu.org/software/dr_geo/dr_geo.html Homepage: http://www.gnu.org/software/dr_geo/dr_geo.html Tag: field::mathematics, made-of::html, role::documentation, suite::gnu, use::editing, use::learning, works-with::image, works-with::image:vector Section: doc Priority: optional Filename: pool/main/d/drgeo-doc/drgeo-doc_1.5-7_all.deb Package: driconf Version: 0.9.1-2 Installed-Size: 412 Maintainer: Michel Dänzer Architecture: all Depends: xdriinfo | xbase-clients (>> 6.8.0), python-gtk2 (>> 2.4.0), python (>= 2.3), python-support (>= 0.2) Recommends: libgl1-mesa-dri | xlibmesa-gl (>> 6.8.0), libgl1-mesa-dri | xlibmesa-dri (>> 6.8.0) Suggests: mesa-utils | xbase-clients (<< 7.0.0) Size: 96888 SHA256: a78464c350203bf6cdff6037b7b41e65ddc5e9f21699445fb3886318efbe7805 SHA1: 444eaa95e81aa3edcde865ab6db5232c5ad821ff MD5sum: 6528d5adf14686d5c11ce602ffd26c5f Description: DRI configuration applet Driconf is a configuration applet for the Direct Rendering Infrastructure (DRI). It allows customizing performance and visual quality settings of OpenGL drivers on a per-driver, per-screen and/or per-application level. . Driconf is implemented in Python. It uses the GTK+ toolkit bindings and the xdriinfo program (from the xdriinfo or xbase-clients package) to retrieve configuration information from OpenGL drivers. . Homepage: http://dri.freedesktop.org/wiki/DriConf Tag: admin::configuring, hardware::opengl, implemented-in::python, role::program, scope::utility, uitoolkit::gtk, use::configuring, x11::application, x11::library Section: x11 Priority: optional Filename: pool/main/d/driconf/driconf_0.9.1-2_all.deb Package: driftnet Version: 0.1.6+cvs20040426-3 Architecture: armhf Maintainer: David Suarez Installed-Size: 96 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgif4 (>= 4.1.4), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libjpeg8 (>= 8c), libpango1.0-0 (>= 1.14.0), libpcap0.8 (>= 0.9.8), libpng12-0 (>= 1.2.13-4) Homepage: http://www.ex-parrot.com/~chris/driftnet/ Priority: extra Section: admin Filename: pool/main/d/driftnet/driftnet_0.1.6+cvs20040426-3_armhf.deb Size: 31448 SHA256: 0244fa89b1c589e02f81bbca1b1f3929c5f46efb5f43d817692992f35610e77b SHA1: 3b772bf7bda62d9c883fe6a7aa9eca6bcd3f9bab MD5sum: 965b022de7d4944f543d860199ee5988 Description: picks out and displays images from network traffic Inspired by EtherPEG, Driftnet is a program which listens to network traffic and picks out images from TCP streams it observes. It is interesting to run it on a host which sees a lot of web traffic. . (Obviously, this is an invasion of privacy of a fairly blatant sort. Also, if you are possessed of Victorian sensibilities, and share an unswitched network with others who are not, you should probably not use it.) Package: drivel Version: 3.0.3-1 Architecture: armhf Maintainer: Neil Williams Installed-Size: 1576 Depends: gconf-service, libc6 (>= 2.13-28), libdbus-glib-1-2 (>= 0.78), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.16.5), libgtksourceview2.0-0 (>= 2.10.0), libgtkspell0 (>= 2.0.10), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.7.4), gconf2 (>= 2.28.1-2) Homepage: http://drivel.sourceforge.net/ Priority: optional Section: net Filename: pool/main/d/drivel/drivel_3.0.3-1_armhf.deb Size: 422456 SHA256: ba80d7caf00c0ae869ad779fc7dd9aa02d3b499ae04e041df4287d0bd0c89d93 SHA1: 426199b1ef939330c161824d49655cb8d036b4d6 MD5sum: 7dbca8ba6f659aa990a623a88a897c6e Description: Blogging client for the GNOME desktop Drivel is a GTK+ client for working with online journals, also known as weblogs or blogs. It retains an elegant design while supporting LiveJournal, Blogger, MovableType, Advogato, and Atom journals, as well as derivatives such as WordPress and Drupal. . It allows you to perform most functions that are supported by the server (posting, friends editing, friend page checking, post editing etc). Package: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 8295 Pre-Depends: adduser (>= 3.40) Depends: drizzle-client (>= 1:7.1.36-stable-1), libdrizzledmessage0 (= 1:7.1.36-stable-1), lsb-base (>= 3.0-10), passwd, psmisc, debconf (>= 0.5) | debconf-2.0, libaio1 (>= 0.3.93), libboost-date-time1.49.0 (>= 1.49.0-1), libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libpcre3 (>= 8.10), libprotobuf7, libstdc++6 (>= 4.6), libuuid1 (>= 2.16), zlib1g (>= 1:1.2.0) Conflicts: drizzle-server Replaces: drizzle-server Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle_7.1.36-stable-1_armhf.deb Size: 3525716 SHA256: e917617114404f9b13afe4ce0db6e48f2c84fa62c7461a675b4a7a7887f2f3c0 SHA1: 88ba89316f5f3cdc9cba05f449ef81a956d1df56 MD5sum: db8fbe025705e35fc0ab1cf03e2fc4f3 Description: Server binaries for Drizzle Database The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the server binaries. Package: drizzle-client Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 3319 Depends: debianutils (>= 1.6), libaio1 (>= 0.3.93), libboost-date-time1.49.0 (>= 1.49.0-1), libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.2.0) Homepage: http://launchpad.net/drizzle Priority: optional Section: database Filename: pool/main/d/drizzle/drizzle-client_7.1.36-stable-1_armhf.deb Size: 1857114 SHA256: bb3321dd502cb6e48377edb8bf3ed551448686ae6f9ce311605ba3e0eaaba4b3 SHA1: 57bf71824ca5d8774bbf6ec19530d589f8662cb4 MD5sum: b9ec66f802374025162b8e1efb524636 Description: Client binaries for Drizzle Database The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the client binaries. Package: drizzle-dbg Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 178081 Depends: drizzle (= 1:7.1.36-stable-1), drizzle-client (= 1:7.1.36-stable-1) Homepage: http://launchpad.net/drizzle Priority: extra Section: debug Filename: pool/main/d/drizzle/drizzle-dbg_7.1.36-stable-1_armhf.deb Size: 71037264 SHA256: 4635fe81f9d146b1beeb5717ce5d01da2ec8b6ed2a42d883e6104d4ed96ad941 SHA1: 38adf0ef8a3a05ac3c6a2a97bbff7bf4ca24d660 MD5sum: 7fd7a60ba68a55d7e19aa7a639db3829 Description: Debugging symbols for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package provides debugging symbols. Package: drizzle-dev-doc Source: drizzle Version: 1:7.1.36-stable-1 Installed-Size: 270536 Maintainer: Tobias Frost Architecture: all Depends: libjs-jquery Size: 36624198 SHA256: faaf14c83807ef4663c157fc2d32a31a24ee628fe7253e892bbfc5e3d24dc3f6 SHA1: cde78bef059b891fe571a3f2e0ac3fbc13b11a22 MD5sum: bd8ed67f49f58182cb19b5c47e4977a2 Description: API Documentation for drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the doxygen documentation for drizzle's API. Homepage: http://launchpad.net/drizzle Section: doc Priority: extra Filename: pool/main/d/drizzle/drizzle-dev-doc_7.1.36-stable-1_all.deb Package: drizzle-doc Source: drizzle Version: 1:7.1.36-stable-1 Installed-Size: 4701 Maintainer: Tobias Frost Architecture: all Depends: libjs-jquery, libjs-underscore, libjs-sphinxdoc (>= 1.0) Size: 1133498 SHA256: 889f59835a51998e1d09965a46e33f575f8eb340c598fbe3d87dabee0d2391ef SHA1: 30226bc56448b4b6e91baf4d01a086aee858bafe MD5sum: a2a29118d0966195ec3367cfd11d9be0 Description: Documentation for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the manual for drizzle and its plugins and also the libdrizzle API reference. Homepage: http://launchpad.net/drizzle Tag: devel::doc, role::documentation Section: doc Priority: extra Filename: pool/main/d/drizzle/drizzle-doc_7.1.36-stable-1_all.deb Package: drizzle-plugin-auth-file Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 737 Depends: drizzle (= 1:7.1.36-stable-1), pwgen, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-auth-file_7.1.36-stable-1_armhf.deb Size: 632568 SHA256: ba8b47888d4859c8c9d9750056b3122eebd1b5fa2a02a7afbed809280c7665c6 SHA1: 2806bbcad4818f33f42706c74d335aa6988cd179 MD5sum: fdc490cb40968bbc8ee72fbba6581b16 Description: File-based authentication for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the File-based Authentication plugin. Package: drizzle-plugin-auth-http Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 728 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-auth-http_7.1.36-stable-1_armhf.deb Size: 629732 SHA256: 87d8ca4bd21cb5ae760eea8078f7e5ffd9265a79785fb64bff4075adcf7a3e53 SHA1: 95a165f3937faa3849df7f81d273e7387d615c49 MD5sum: e7ea1c9274375156cf39ce4d38339c1b Description: HTTP authentication for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the HTTP Authentication plugin. Package: drizzle-plugin-auth-ldap Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 772 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libstdc++6 (>= 4.4.0) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-auth-ldap_7.1.36-stable-1_armhf.deb Size: 649286 SHA256: 2508125186af47f9f8bf31626ec990d63a8e37163dc9ded5cac7dde81c7350b2 SHA1: 0e30af5190783971ed42e9786c20b5e8ea75a2bb MD5sum: c53b113921fb832f9a55743e0938b43c Description: LDAP authentication for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the LDAP Authentication plugin. Package: drizzle-plugin-auth-pam Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 712 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpam0g (>= 0.99.7.1), libstdc++6 (>= 4.4.0) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-auth-pam_7.1.36-stable-1_armhf.deb Size: 622938 SHA256: fda888c581179e67bc9e18c121e49c4c34b007e3183632dabd01274a702d3f35 SHA1: 3e2ec949a26be32ed6b0195b867501227bee2b7a MD5sum: ffc8c39d241b1eff28d92e1154a02f15 Description: PAM authentication for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the PAM Authentication plugin. Package: drizzle-plugin-auth-schema Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 736 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-auth-schema_7.1.36-stable-1_armhf.deb Size: 637748 SHA256: dccf5f8f973c2d584644576652bcbb70ea7465fb491d4a3a3447ab4aacab1db7 SHA1: 06501ffe435e69d9b9292990afa2171589937b74 MD5sum: 2c5e9c1311c860989d27844d8c63326c Description: Schema authentication for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the Schema Authentication plugin. Package: drizzle-plugin-debug Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 1278 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-debug_7.1.36-stable-1_armhf.deb Size: 793584 SHA256: 8d2f627ec1d5c9333e8a3521ae5b90a1ed8581612b7d4f1f40f45ff009588a8f SHA1: c0fbbdff3ab4409244ac096e8d7b898d563e6844 MD5sum: cdd125ec6aee9d12bb11f8bbead0cae7 Description: Plugin that facilitates debugging Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the Debug plugin. Package: drizzle-plugin-dev Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 3092 Depends: autoconf, automake, build-essential, gettext, intltool, libaio-dev, libboost-date-time-dev, libboost-dev, libboost-filesystem-dev, libboost-iostreams-dev, libboost-program-options-dev, libboost-regex-dev, libboost-thread-dev, libcurl4-gnutls-dev, libdrizzledmessage-dev, libgearman-dev (>= 0.27-2), libldap2-dev, libmemcached-dev (>= 0.39), libpam0g-dev, libpcre3-dev, libprotobuf-dev, libreadline-dev (>> 5.0), libtool, lsb-base (>= 3.0-10), pandora-build, python, uuid-dev, zlib1g-dev (>= 1:1.1.3-5) Recommends: protobuf-compiler Homepage: http://launchpad.net/drizzle Priority: extra Section: devel Filename: pool/main/d/drizzle/drizzle-plugin-dev_7.1.36-stable-1_armhf.deb Size: 1034142 SHA256: f1f3b141ad4d069e340e3f3da7a225a7402d2844c02fb74f777c9f882a51378f SHA1: 3d7bc0859407a1b68f7ab95ebecd0e6a20115b63 MD5sum: b0bb296514c583d7f0d39638b20fe4f5 Description: Development files for Drizzle plugin development The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the headers needed to develop plugins. Package: drizzle-plugin-gearman-udf Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 748 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgearman6, libstdc++6 (>= 4.4.0) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-gearman-udf_7.1.36-stable-1_armhf.deb Size: 634320 SHA256: 5819943c923fc8a8a599a8256abf2f6f91ce0573c9f550aa96ca3059b1356a20 SHA1: aa23cf04ffb91f5606ee90efaf6f56869043fa07 MD5sum: bf7e646805fd6eaa01bc62b8c14df998 Description: Gearman User Defined Functions for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the Gearman User Defined Functions plugin. Package: drizzle-plugin-http-functions Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 724 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-http-functions_7.1.36-stable-1_armhf.deb Size: 627112 SHA256: fe38ea2f44e8aa3a6faab1274d46df4c3fc04b1e1c064cbe7ea5cf0ded8baf1d SHA1: fa1664e68685d6e6705db307573b1e44bdf2c436 MD5sum: 0883b7035e476516ec91ae9f724ea03b Description: HTTP Functions for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the HTTP Functions plugin. Package: drizzle-plugin-js Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 716 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libv8-3.8.9.20 Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-js_7.1.36-stable-1_armhf.deb Size: 628212 SHA256: ffd1f1e96e10460d388b512be64bb5fd7fada6244e46b0cafcdc2842e7d3c4f2 SHA1: ce7a3a3e1cb4e0f3689d8f1f2b7ee215859f9ea9 MD5sum: d2ce13bebd295c9cb194f3302eb3aaf3 Description: Javascript plugin for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the Javascript plugin. Package: drizzle-plugin-json-server Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 836 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libevent-2.0-5 (>= 2.0.10-stable), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-json-server_7.1.36-stable-1_armhf.deb Size: 679704 SHA256: ca51da7d42d5b218d3e03fac6c0ed78bdf5255c4de12bb9442259907299a5af4 SHA1: c17edabb1648f12663c09b9b068693c1fd75a5b9 MD5sum: 7ad0499423ec66cb4c935778d057f104 Description: JSON HTTP (NoSQL) interface for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the JSON Server plugin. Package: drizzle-plugin-logging-gearman Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 732 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgearman6, libstdc++6 (>= 4.4.0) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-logging-gearman_7.1.36-stable-1_armhf.deb Size: 631580 SHA256: 9bf1c4de53b53f0e1b366555e0650c1fcf2b637039243212634f57eccfd183e7 SHA1: d9b4a24af9f5819ea10fcc74e72878971831ff62 MD5sum: 917ed5e1a8a34a386eb7fe2cf0b6701e Description: Gearman Logging for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the Gearman Logging plugin. Package: drizzle-plugin-logging-query Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 804 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-logging-query_7.1.36-stable-1_armhf.deb Size: 656260 SHA256: ba21b28500b16ac5cd3ff41e6f6b3b3499cf8aa39cd6048c9a6b5dc7a0f835d0 SHA1: 8f5ef8660a8dcd00e93ac7a231bc86265d884d1b MD5sum: 0f493a724ae32be08097141549eb22ce Description: Query Logging for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the Query Logging plugin. Package: drizzle-plugin-perf-dictionary Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 732 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Conflicts: drizzle-plugin-performance-dictionary Replaces: drizzle-plugin-performance-dictionary Provides: drizzle-plugin-performance-dictionary Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-perf-dictionary_7.1.36-stable-1_armhf.deb Size: 629730 SHA256: 82cf3efbbc1e931eb1814ca42c49129534d81e2636b6a329fe56492d97dd65da SHA1: 366dd1b518e816770d47d09c9dba74b3a711d971 MD5sum: b2a9560c7bcecfd810dfd3feaa32813b Description: Performance Dictionary for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the Performance Dictionary plugin. Package: drizzle-plugin-query-log Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 764 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-query-log_7.1.36-stable-1_armhf.deb Size: 643898 SHA256: 91f3eef0075961afd08a21d09fa1b7ddd4840e52f077822cb087393184670356 SHA1: 24b1bfbd58edb25cbae1447a7f255dd61125c246 MD5sum: 29bc71d258ae79aba00420cd80d3dd3e Description: Query logging for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the Query Log plugin. Package: drizzle-plugin-rabbitmq Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 752 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), librabbitmq0 (>= 0.0.1.hg216), libstdc++6 (>= 4.4.0) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-rabbitmq_7.1.36-stable-1_armhf.deb Size: 638436 SHA256: 3acc57f0d4768f2b5fd7137bc517d45b2768f4f9100c2b4c266a191d0e62cb19 SHA1: ad883cd970b7e4eca12ff5e2157d8f14943fb421 MD5sum: 029dd877e3aa1e335aa5334aa62eefc1 Description: RabbitMQ Transaction Log for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the RabbitMQ Transaction Log plugin. Package: drizzle-plugin-regex-policy Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 820 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-regex-policy_7.1.36-stable-1_armhf.deb Size: 668280 SHA256: 4e0497bc854e91f4cb266ff87d95ef400dc24654c8f21e3f2c2e9a9e17d3851d SHA1: c6bfec37fdfbc1e329f32905be3f334c42be993c MD5sum: ca13c477c033d20bb5b1e664d2a1794e Description: Regex based authorization rules for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the Regex Policy plugin. Package: drizzle-plugin-simple-user-policy Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 724 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-simple-user-policy_7.1.36-stable-1_armhf.deb Size: 627632 SHA256: ad2f3584f5b9b265bc0b2c5021b2e64d12759b03179956aac2f8ad2a3af0d7fb SHA1: 2b7182ba278b30f4626257f4474a272cd26c3c3b MD5sum: e47f06b4340e92ee483ad8373c10ee81 Description: Simple User Policy for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes a plugin implementing a simple schema-per-user authorization policy. Package: drizzle-plugin-slave Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 952 Depends: drizzle (= 1:7.1.36-stable-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://launchpad.net/drizzle Priority: extra Section: database Filename: pool/main/d/drizzle/drizzle-plugin-slave_7.1.36-stable-1_armhf.deb Size: 726440 SHA256: 2c5bd2c790f9c72c09d80f1a8f72f4d005afc546641b17f3dfab6da3c1c2844d SHA1: 075ca275b9800544480da4d724d1f7b223b4b818 MD5sum: e1fd8a2bce0d8e44aec5791156dc4138 Description: Replication Slave Plugin for Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the replication slave plugin. Package: drobo-utils Version: 0.6.1+repack-1 Installed-Size: 316 Maintainer: Chris AtLee Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0) Recommends: parted, sudo Suggests: gparted, python-qt4 Size: 129716 SHA256: 578b046a21f869119eea40b434662fefc7cad5bfcf8e990e5a645102e195b4e9 SHA1: e2ca9835822be5dfb1fb634049bd444beb5149b3 MD5sum: a12fd271072d883c67bdab1218e426b5 Description: manage data robotics storage units (drobos) This package provides a command line interface for managing a Drobo, as well as a graphical dashboard interface. These tools allow you to setup a new Drobo, and monitor the status of connected Drobos. . To enable the graphical interface, please be sure to install the suggested python-qt4 package, as well as gparted. . Data Robotics storage units ("Drobos") are external hard drive enclosures that connect to your PC via USB or Firewire and provide RAID-like features. Drobos provide automatic data redundancy, hotswappable drive bays, and the size of the RAID can be easily expanded. Different sized hard drives can be mixed on the same Drobo. See http://drobo.com for more information. Homepage: http://drobo-utils.sourceforge.net Python-Version: 2.5 Tag: hardware::storage, role::program, scope::utility Section: utils Priority: extra Filename: pool/main/d/drobo-utils/drobo-utils_0.6.1+repack-1_all.deb Package: dropbear Version: 2012.55-1.3+deb7u2 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 483 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4) Suggests: openssh-client, udev, runit, xauth Provides: ssh-server Priority: optional Section: net Filename: pool/main/d/dropbear/dropbear_2012.55-1.3+deb7u2_armhf.deb Size: 257304 SHA256: 0cacc330d8f6b2c7576c7feee7a75123bce27f50962f0b14a575a1874c699c02 SHA1: b78b03468b9ae8058969092d0fc2b81015f5ece8 MD5sum: 58a7fd7cb0856c9017addf25e8ee3d25 Description: lightweight SSH2 server and client dropbear is a SSH 2 server and client designed to be small enough to be used in small memory environments, while still being functional and secure enough for general use. . It implements most required features of the SSH 2 protocol, and other features such as X11 and authentication agent forwarding. . See http://matt.ucc.asn.au/dropbear/dropbear.html Package: drpython Version: 1:3.11.1-2 Installed-Size: 2316 Maintainer: William Vera Architecture: all Depends: python, python-support (>= 0.90.0), python-wxgtk2.8 Suggests: python-doc, wx2.8-doc, python-tk Size: 495448 SHA256: 68d5f2a3793c8e973a25da28badd5901d84567dd4c3cb640f93470cf23b540dd SHA1: 0381a751cec84dfbc07cb9b23e284d4829cf9699 MD5sum: 42bc51c00e683c714fc43a528239990c Description: simple and customizable editor for the Python language DrPython is a clean and simple yet powerful and highly customizable editor/environment for developing programs written in Python. . DrPython features: * a high degree of customization through themes, which can change look and feel, behavior, shortcuts and more * DrScript: Write custom Python scripts with access to DrPython internals, and access them from the menu * bookmarks to quickly jump to files and directories * Python debugger support * a class browser * printing support Homepage: http://drpython.sourceforge.net Tag: devel::editor, devel::examples, devel::lang:python, implemented-in::python, role::program Section: devel Priority: optional Filename: pool/main/d/drpython/drpython_3.11.1-2_all.deb Package: drraw Version: 2.2b2-4 Installed-Size: 290 Maintainer: Mohammad Ebrahim Mohammadi Panah Architecture: all Depends: librrds-perl Recommends: httpd | apache2, librcs-perl Suggests: collectd Size: 56036 SHA256: 39c519863c4de302814338c33989928b71fa62312a1f8d8390e1addfe372c0b3 SHA1: 6b70450660c2bb43b21a7aa9f726eb2fe9f8067b MD5sum: 7d2d6ba5f45bfe35f35c884c7a775977 Description: simple web based presentation front-end for RRDtool drraw is a simple web based presentation front-end for RRDtool that allows you to interactively build graphs of your own design. A graph definition can be turned into a template which may be applied to many Round Robin Database files. . drraw specializes in providing an easy mean of displaying data stored with RRDtool and does not care about how the data is collected, making it a great complement to other RRDtool front-ends. Homepage: http://web.taranis.org/drraw/ Tag: admin::monitoring, implemented-in::perl, interface::web, use::monitor, use::viewing, web::cgi Section: web Priority: extra Filename: pool/main/d/drraw/drraw_2.2b2-4_all.deb Package: drslib Version: 0.3.0a3-3 Installed-Size: 49 Maintainer: Alastair McKinstry Architecture: all Depends: python-drslib, python-pkg-resources, python Conflicts: python-drslib (<= 0.3.0a3-2) Size: 5032 SHA256: 231145e3a0d0a797e6b17e9ec2e3265341f8f70097412ba123630a68c41dc4bb SHA1: 7095bd50998aa62e5e8c3f8d5f0a714d44b9bdf9 MD5sum: 3d6636ffda89c59786035e086a42ff9d Description: Command-line tools for the Data Reference Syntax library This is a set of command-line tools for using the DRSLIB python API. Homepage: http://esgf.org/esgf-drslib-site/ Section: science Priority: optional Filename: pool/main/d/drslib/drslib_0.3.0a3-3_all.deb Package: drumstick-tools Source: libdrumstick Version: 0.5.0-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1020 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libdrumstick0, libgcc1 (>= 1:4.4.0), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6 Homepage: http://drumstick.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/libd/libdrumstick/drumstick-tools_0.5.0-3_armhf.deb Size: 420298 SHA256: 5e0f7d1c4e35153777cfb4eb86eb879a853ad1ec2ce777d77d5b5002194e1466 SHA1: bdd93356c213009cb3da885950ee66ca5f5687c2 MD5sum: 27ef1162e8bbd52ca56ea947656db289 Description: Qt4/C++ wrapper for ALSA Sequencer - utilities This library is a C++ wrapper around the ALSA library sequencer interface, using Qt4 objects, idioms and style. ALSA sequencer provides software support for MIDI technology on Linux. Complementary classes for SMF and WRK file processing are also included. . This package provides utilities shipped with the library: - drumstick-buildsmf: Standard MIDI File creation from scratch. - drumstick-dumpgrid: Simple Qt drum sequencer. - drumstick-dumpmid: Write ALSA MIDI events to STDOUT. - drumstick-dumpsmf: Write MIDI events from a MIDI file to STDOUT. - drumstick-dumpwrk: Write MIDI events from a Cakewalk WRK file to STDOUT. - drumstick-guiplayer: Simple Qt MIDI file player. - drumstick-metronome: Headless MIDI metronome. - drumstick-playsmf: Headless MIDI file player. - drumstick-sysinfo: Display information about ALSA MIDI. - drumstick-timertest: Test performance of the ALSA timer. - drumstick-vpiano: Virtual Piano Keyboard GUI application. Package: drupal6 Version: 6.26-1.1 Installed-Size: 3655 Maintainer: Luigi Gangitano Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, apache2 | httpd, php5, php5-mysql | php5-pgsql, php5-gd, default-mta | mail-transport-agent, wwwconfig-common (>= 0.0.37), mysql-client | virtual-mysql-client | postgresql-client, dbconfig-common, curl Recommends: mysql-server | postgresql Size: 1129594 SHA256: 6efd9e6fdfb4971391c271a1a63a8a765c54da96603d14663af44bf15c229c66 SHA1: 928eee202bd153377f991b6a606d8de4820a0635 MD5sum: f7719ff0cde094834f8df887ec8cf0c6 Description: fully-featured content management framework Drupal is a dynamic web site platform which allows an individual or community of users to publish, manage and organize a variety of content, Drupal integrates many popular features of content management systems, weblogs, collaborative tools and discussion-based community software into one easy-to-use package. . This package contains version 6 of Drupal. Homepage: http://www.drupal.org/ Tag: implemented-in::php, interface::web, role::program, scope::application, web::application, web::blog, web::cms, works-with-format::html, works-with::text Section: web Priority: extra Filename: pool/main/d/drupal6/drupal6_6.26-1.1_all.deb Package: drupal6-mod-addtoany Version: 3.4-1 Installed-Size: 104 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Suggests: drupal6-mod-views Size: 12114 SHA256: 555c26fff019e69e8094a0bc7733d7071924a7876f1df721365728b4eb678997 SHA1: 3a77a8fbc0d865c7ce48a69773403b4d7635ea5f MD5sum: 542111d17fb5a761b2e11efe6849730e Description: addtoany module for Drupal 6 AddToAny's Share/Bookmark widget helps readers share, bookmark, and email your pages and stories using any service, such as Facebook, Twitter, Delicious, Digg, and over 100 more sharing and social bookmarking sites. Services are updated automatically. Homepage: http://drupal.org/project/addtoany Tag: role::plugin, web::cms Section: web Priority: extra Filename: pool/main/d/drupal6-mod-addtoany/drupal6-mod-addtoany_3.4-1_all.deb Package: drupal6-mod-cck Version: 2.9-1 Installed-Size: 2428 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Suggests: drupal6-mod-views, drupal6-mod-panels Size: 458738 SHA256: 9422c64c56d9a769ce6df09524f691b48b2c5a0868b3ee988ccdeb5e384b160c SHA1: 30dc04ee9dd6a64a56f071a6736840a4097d321f MD5sum: ae360b48cfeaed0d120c4843715a207e Description: cck module for Drupal 6 The Content Construction Kit allows you to add custom fields to nodes using a web browser. . CCK is a very powerful system with many different contributed modules to extend its functionality. Homepage: http://drupal.org/project/cck Tag: role::plugin Section: web Priority: extra Filename: pool/main/d/drupal6-mod-cck/drupal6-mod-cck_2.9-1_all.deb Package: drupal6-mod-commentrss Version: 2.3-1 Installed-Size: 76 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Size: 7570 SHA256: 428aa26099b3d23df8fa4d93d5768a1548ca11bc9e8fb3f757ccaf789dea4475 SHA1: 769027f6bdbb16878884b02c7ea303f40a645af1 MD5sum: 8d6ebc245ff68579abffff6497b7ebd0 Description: commentrss modules for Drupal 6 This module provides RSS feeds for comments. This is useful for allowing readers to subscribe to comments for a blog post, article, or forum topic. It currently provides three types of feeds which pair up to the RSS features provided by Drupal built-in. Each type of comment feed may be disabled if unneeded. . * complete site feed at /crss * per node feeds at eg. /crss/node/12 * per term feeds at eg. /crss/term/14 . Comment feeds provide an alternative to email subscriptions, allowing users to monitor discussions without having to provide their email address. Due to the limited capabilities of RSS, threading is not preserved and the comments are listed in reversed time order. Homepage: http://drupal.org/project/commentrss Tag: role::plugin, works-with-format::xml:rss Section: web Priority: extra Filename: pool/main/d/drupal6-mod-commentrss/drupal6-mod-commentrss_2.3-1_all.deb Package: drupal6-mod-contemplate Version: 1.2-1 Installed-Size: 216 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Size: 33040 SHA256: ebf7c5b3d835ff624ec6d0e74224c534fa1f5f5aa42bc5e29f2b16f943f56f19 SHA1: 614c8a291254d30b834c49b3dc139bd89ce42ac9 MD5sum: 7798b91025376b225049383851d5f7ca Description: contemplate module for Drupal 6 The Content Template (a.k.a. ConTemplate) module allows modification of Drupal's teaser and body fields using administrator defined templates. These templates use PHP code and all of the node object variables are available for use in the template. An example node object is displayed and it is as simple as clicking on its properties to add them to the current template. Homepage: http://drupal.org/project/contemplate Tag: role::plugin Section: web Priority: extra Filename: pool/main/d/drupal6-mod-contemplate/drupal6-mod-contemplate_1.2-1_all.deb Package: drupal6-mod-filefield Version: 3.10-1 Installed-Size: 380 Maintainer: Al Nikolov Architecture: all Depends: drupal6, drupal6-mod-cck Suggests: drupal6-mod-imagefield, drupal6-mod-token, drupal6-mod-imagecache, drupal6-mod-transliteration, drupal6-mod-mimedetect Size: 52772 SHA256: 993fa949c6e73b58f9f9352ce7b045dcffa4a84626a76b14a4bcf7e24ece9b3a SHA1: 46dd6739dbae25c0b36390b186f2415e1ec48cd5 MD5sum: 51b51a6f9917e6b3a0cddd77c81987be Description: filefield module for Drupal 6 FileField provides a universal file upload field for CCK. It is a robust alternative to core's Upload module and an absolute must for users uploading a large number of files. Great for managing video and audio files for podcasts on your own site. Homepage: http://drupal.org/project/filefield Tag: role::plugin Section: web Priority: extra Filename: pool/main/d/drupal6-mod-filefield/drupal6-mod-filefield_3.10-1_all.deb Package: drupal6-mod-i18n Version: 1.10-1 Installed-Size: 326 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Size: 66826 SHA256: cd93ac87697001f895a98d865fbc9608296a34177422c76def9f38444040bb7e SHA1: 253bfa766a28ebaafacd1d151126f91014093915 MD5sum: 8335843a5aefbd32ea340c05467b8da5 Description: i18n module for Drupal 6 This is a collection of modules to extend Drupal core multilingual capabilities and be able to build real life multilingual sites. Some features: * Taxonomy translation (both, per language terms and translatable terms) * Multilingual variables * Multilingual blocks (control visibility per language and translate title and content) * Language selection (when you switch the site language you'll see only the content for that language) Homepage: http://drupal.org/project/i18n Tag: implemented-in::php, role::plugin, web::cms Section: web Priority: extra Filename: pool/main/d/drupal6-mod-i18n/drupal6-mod-i18n_1.10-1_all.deb Package: drupal6-mod-imageapi Version: 1.10-1 Installed-Size: 124 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Size: 17618 SHA256: 1f860ad5b57c64207c4f12a6c74ffb94b8886f7cf4ca3518d874ceb871b18c30 SHA1: 7011d04acf6b069837304ddbecaae89d4c8c3266 MD5sum: 48402b1d2381cd1334912797bc524d5a Description: imageapi module for Drupal 6 This API is meant to be used in place of the API provided by image.inc. You probably do not need to install this module unless another module are you using requires it. It provides no new features to your Drupal site. It only provides an API other modules can leverage. Currently GD2 and ImageMagick support are distributed with ImageAPI. Homepage: http://drupal.org/project/imageapi Tag: role::plugin, web::cms Section: web Priority: extra Filename: pool/main/d/drupal6-mod-imageapi/drupal6-mod-imageapi_1.10-1_all.deb Package: drupal6-mod-imagecache Version: 2.0~beta10-1 Installed-Size: 300 Maintainer: Al Nikolov Architecture: all Depends: drupal6, drupal6-mod-imageapi Size: 63416 SHA256: 75109f9262f96db5dc51bec56256ff798a581aa9988ea1dd296a92af2959bada SHA1: d826014ea4b989901e660eacc2fc64a0dade4be0 MD5sum: 73f12bd2ca8f4665c0148cd95947b770 Description: imagecache module for Drupal 6 This module lets you make different sized alternatives of the same images. It requires an image manipulation library such as GD2 or ImageMagick and requires clean urls to be enabled. You can use imagecache with any image uploaded to Drupal, so you can use it with Image module as well as normally uploaded images using the Upload module, but the most common way is to use it with CCK and Imagefield. Homepage: http://drupal.org/project/imagecache Tag: role::plugin, web::cms Section: web Priority: extra Filename: pool/main/d/drupal6-mod-imagecache/drupal6-mod-imagecache_2.0~beta10-1_all.deb Package: drupal6-mod-imagecache-actions Version: 1.8-1 Installed-Size: 1324 Maintainer: Al Nikolov Architecture: all Depends: drupal6, drupal6-mod-imagecache Size: 1028226 SHA256: c923515c915378943006a53d611cc8b4f87b483e087d16daaf70b58d40be04fe SHA1: e85057f9b65fe7e655cbd83620ae81507dc64087 MD5sum: 30110e1ae8deb7eddb5674766ccf611f Description: imagecache_actions module for Drupal 6 Imagecache.module provides the most commonly-used processes needed for basic image manipulation. . This package provides a suite of additional processes that can be added to the imagecache pipeline, including: . * Watermarking * Overlays * Text overlay * Color-shifting * Brighten/Darken * Alpha blending * Canvas manipulation * Background * File Format switcher * Rounded corners (transparent) * Aspect Switcher new 2009-08 * Custom Actions Homepage: http://drupal.org/project/imagecache_actions Tag: role::plugin Section: web Priority: extra Filename: pool/main/d/drupal6-mod-imagecache-actions/drupal6-mod-imagecache-actions_1.8-1_all.deb Package: drupal6-mod-imagefield Version: 3.10-1 Installed-Size: 128 Maintainer: Al Nikolov Architecture: all Depends: drupal6, drupal6-mod-cck, drupal6-mod-filefield Size: 16198 SHA256: 537634d93f1fb09dda0eb97bb1ae59f849d91b772ea4cf6d0fffee0febf3ef4a SHA1: fd861e401ae305c63f5ac18131126e158d1e33a4 MD5sum: b61b1048dae06dde362189fa38449c80 Description: imagefield module for Drupal 6 ImageField provides an image upload field for CCK. ImageField is a CCK-based alternative to the legacy Image project. It boasts features such as multiple images per node, resolution restrictions, default images, and extensive Views support. Homepage: http://drupal.org/project/imagefield Tag: role::plugin, web::cms Section: web Priority: extra Filename: pool/main/d/drupal6-mod-imagefield/drupal6-mod-imagefield_3.10-1_all.deb Package: drupal6-mod-imagefield-assist Version: 1.0~beta3-1 Installed-Size: 272 Maintainer: Al Nikolov Architecture: all Depends: drupal6, drupal6-mod-imagefield, drupal6-mod-imagecache Size: 33736 SHA256: 965dedcb87d749ec01d0901b5a5ec704fe4d0a72aa65b73374a56a109fc24d48 SHA1: eb2f90002059796eb36f98bb423f37992b9c671e MD5sum: 94022f61026406d91cdb42d15710f3a6 Description: imagefield_assist module for Drupal 6 This module can use every single ImageField field added to any node in a Drupal 6 website, and uses ImageCache presets to display it. . Now ImageField Assist supports Lightbox2. Homepage: http://drupal.org/project/imagefield_assist Tag: role::plugin, web::cms Section: web Priority: extra Filename: pool/main/d/drupal6-mod-imagefield-assist/drupal6-mod-imagefield-assist_1.0~beta3-1_all.deb Package: drupal6-mod-inactive-user Version: 1.0~beta2-1 Installed-Size: 118 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Size: 14604 SHA256: 4af30d443f3e97726e8b37468931baebf836942bf76e18598d231fc76e6001b9 SHA1: 5bcb073c0124d3f67706320f14cac18a8551bcab MD5sum: 0ed216f20f71842a64e7744314226db6 Description: inactive_user modules for Drupal 6 Provides Drupal administrators with a way to automatically manage inactive user accounts. This module has two goals: to help keep users coming back to your site by reminding them when they've been away for a configurable period of time, and to cleanup unused accounts. Homepage: http://drupal.org/project/inactive_user Section: web Priority: extra Filename: pool/main/d/drupal6-mod-inactive-user/drupal6-mod-inactive-user_1.0~beta2-1_all.deb Package: drupal6-mod-inline Version: 1.0-2 Installed-Size: 132 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Size: 16736 SHA256: 1363ac992d9722d39ff099baf963b3990b4ef5aaede9dffb109cbd173780bc46 SHA1: c50630fe6c2b3a5f40437c75118e8aad1434ef47 MD5sum: 948a4e055ffbf87964341c3fa9d03384 Description: inline module for Drupal 6 Inline module allows users to display uploaded files and images inline, i.e. place links to files into a content using a simple [inline:filename.jpg] tag. The effect is a link to the file or the display of an image, depending on the file type. Homepage: http://drupal.org/project/inline Tag: implemented-in::php, role::plugin, web::cms, works-with::file, works-with::image Section: web Priority: extra Filename: pool/main/d/drupal6-mod-inline/drupal6-mod-inline_1.0-2_all.deb Package: drupal6-mod-ldap-integration Version: 1.0~beta2-2 Installed-Size: 276 Maintainer: Al Nikolov Architecture: all Depends: drupal6, php5-ldap Size: 40028 SHA256: 8512f17fa24e8ab64b5732ed83faef0133ac5499d0c632121a938afd55f40962 SHA1: 0fc4ee4ca75bae3eaaee8b8dc423de31ce93a3d9 MD5sum: e950be0e9097ca63c5553b70cd02dcac Description: ldap_integration module for Drupal 6 This LDAP integration module allows users to authenticate against a configurable Lightweight Directory Access Protocol directory. This is useful for organizations which have an existing organizational directory with usernames and passwords, and want Drupal to be able to authenticate against it. . Users can read and modify their LDAP entries, and the administrator is able to limit configuration. Homepage: http://drupal.org/project/ldap_integration Tag: implemented-in::php, protocol::ldap, role::plugin, web::cms, works-with::people Section: web Priority: extra Filename: pool/main/d/drupal6-mod-ldap-integration/drupal6-mod-ldap-integration_1.0~beta2-2_all.deb Package: drupal6-mod-lightbox2 Version: 1.11-1 Installed-Size: 908 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Suggests: drupal6-mod-image, drupal6-mod-inline, drupal6-mod-flickr, drupal6-mod-acidfree, drupal6-mod-img-assist, drupal6-mod-emfield, drupal6-mod-imagefield, drupal6-mod-img-views, gallery2 Size: 157496 SHA256: ab69866a517ae5ac654060e2a0e0071530a36a4960d1717a4a15d4806bb28ef7 SHA1: 92c8279e65d4772f0a13e6a30edb3828007a084f MD5sum: d1f9b420dfca317798fbb584a8f13b29 Description: lightbox2 module for Drupal 6 The Lightbox2 module is a simple, unobtrusive script used to overlay images on the current page. It's a snap to setup and works on most modern browsers. . The module places images above your current page, not within. This frees you from the constraints of the layout, particularly column widths. It keeps users on the same page. Clicking to view an image and then having to click the back button to return to your site is bad for continuity (and no fun!). Homepage: http://drupal.org/project/lightbox2 Tag: role::plugin Section: web Priority: extra Filename: pool/main/d/drupal6-mod-lightbox2/drupal6-mod-lightbox2_1.11-1_all.deb Package: drupal6-mod-masquerade Version: 1.7-1 Installed-Size: 72 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Size: 10594 SHA256: 449d861b8e1205f2efd3321a8b0e47cd825e367ed1e7560b6a4dc7fd680e9832 SHA1: fbf60aa9818791a3b923426bae7a938639ce2e71 MD5sum: 6ea97a25bd49daeb13b6afbad62826a8 Description: masquerade module for Drupal 6 The masquerade module is designed as a tool for site designers and site administrators. It allows a user with the right permissions to switch users. While masquerading, a field is set on the $user object, and a menu item appears allowing the user to switch back. Watchdog entries are made any time a user masquerades or stops masquerading. Homepage: http://drupal.org/project/masquerade Tag: implemented-in::php, role::plugin, web::cms, works-with::people Section: web Priority: extra Filename: pool/main/d/drupal6-mod-masquerade/drupal6-mod-masquerade_1.7-1_all.deb Package: drupal6-mod-openid-provider Version: 1.0~beta2-1 Installed-Size: 120 Maintainer: Al Nikolov Architecture: all Depends: drupal6, drupal6-mod-xrds-simple Size: 12558 SHA256: 26d4b49fb60c0bd9d076adc892dc07d899afc4af9e501edb639eda62b53e8f48 SHA1: 81023b2aa64de1b441c4ee42493d8e5eb13f9eba MD5sum: 6e3e38cca175e30f2bb623fbf957e44b Description: openid_provider modules for Drupal 6 This module implements OpenID Provider support based on the OpenID Authentication 2.0 spec. Homepage: http://drupal.org/project/openid_provider Tag: role::plugin, security::authentication, web::cms Section: web Priority: extra Filename: pool/main/d/drupal6-mod-openid-provider/drupal6-mod-openid-provider_1.0~beta2-1_all.deb Package: drupal6-mod-pingback Version: 1.0-1 Installed-Size: 88 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Size: 9834 SHA256: 0ee25b8d599e13750130c1adff4d8f1bf112eaf4bc1ee85b301482b0da470505 SHA1: 8ba5185deb811902465582569196b2a974269bd2 MD5sum: 448f05f88bb1a3ee6c3dd8bbaa25d0a5 Description: pingback modules for Drupal 6 The Pingback module enables Drupal to send and receive pingbacks to and from nodes. It is a pingback user agent as specified in the Pingback 1.0 specification. . Features: * Send and receive pingbacks * Enable pingbacks per content type * Send pingbacks on node creation or on cron (in case you post many links and want to queue up the pingbacks) . Unlike the trackback module for Drupal, this module register pingbacks as comments. You can manage received pingbacks using the normal comment pages. The one drawback to this approach is that anonymous users must be able to post comments (not a problem for most blogs). Homepage: http://drupal.org/project/pingback Tag: role::plugin, web::cms Section: web Priority: extra Filename: pool/main/d/drupal6-mod-pingback/drupal6-mod-pingback_1.0-1_all.deb Package: drupal6-mod-site-verify Version: 1.0-1 Installed-Size: 76 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Size: 7218 SHA256: 06f1f9992228553c5fc991c1d71026ebffcd86e8589498bab3e7bd4157c3527b SHA1: dfe41baed9a7e0a7131b4aadcfe94c6f893e55cc MD5sum: 096998b4a9a2da84747edc8ed6e75751 Description: site_verify module for Drupal 6 This module assists with the site/domain ownership authentication/verification for search engines. There are two types of verification methods supported: adding meta tags, or uploading a specific file. If you are provided with a file to upload, this module makes it easy because you can upload the file in the module's interface and the proper filename and file contents will be saved and used in the authentication process. . This module is useful for the following search engine verifications: . * Google Webmaster Tools * Google Apps * Bing Webmaster Central (formerly Live Search) * Yahoo! Site Explorer * Yandex.ru * Any search engine or web service that uses META tags or file upload to verify that you are the owner of a site. Homepage: http://drupal.org/project/site_verify Tag: role::plugin Section: web Priority: extra Filename: pool/main/d/drupal6-mod-site-verify/drupal6-mod-site-verify_1.0-1_all.deb Package: drupal6-mod-tagadelic Version: 1.3-1 Installed-Size: 148 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Size: 17012 SHA256: 9a191e7f82a8fe615bc5f63597102ae988b19f8a3548d44c9895db6c9c052083 SHA1: ee61b6bff83f1e614752e854a658c5bb8dc203b0 MD5sum: 8beffb393fb4524ea5a9f124610b90f3 Description: tagadelic module for Drupal 6 Tagadelic is a small module, without any databases, or configuration, that generates a page with weighted tags. The cool thing is that by merely altering font sizes, these lists suddenly gain a dimension. Homepage: http://drupal.org/project/tagadelic Tag: role::plugin, web::cms Section: web Priority: extra Filename: pool/main/d/drupal6-mod-tagadelic/drupal6-mod-tagadelic_1.3-1_all.deb Package: drupal6-mod-trackback Version: 1.2-1 Installed-Size: 156 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Suggests: drupal6-mod-akismet, drupal6-mod-mollom, drupal6-mod-spam Size: 19776 SHA256: b53ba5dbddcfbdb7ef65d0086e0eabe740e9d26a34ae2cc32b204aa79c25a9d4 SHA1: 7b97e01fa3b91c8cea0b51edfde5bf4352e3d24d MD5sum: 014889f3a6380d49f4d4df972161d93d Description: trackback module for Drupal 6 Adds TrackBack support to Drupal. Sending and retrieval of TrackBacks are supported either using POST or GET. Homepage: http://drupal.org/project/trackback Tag: role::plugin, web::cms Section: web Priority: extra Filename: pool/main/d/drupal6-mod-trackback/drupal6-mod-trackback_1.2-1_all.deb Package: drupal6-mod-views Version: 2.16-1 Installed-Size: 2453 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Size: 1274430 SHA256: f62f5031a395f497bd5685cc11a9d992a4981d8833ccd6a00d2659339b508c91 SHA1: 86c1df5c4939f07edb5254fb5ec6ee60561e2f15 MD5sum: 0449cef296b4bf60c697567af782164e Description: views modules for Drupal 6 The Views module provides a flexible method for Drupal site designers to control how lists and tables of content (nodes in Views 1, almost anything in Views 2) are presented. Traditionally, Drupal has hard-coded most of this, particularly in how taxonomy and tracker lists are formatted. . This tool is essentially a smart query builder that, given enough information, can build the proper query, execute it, and display the results. It has four modes, plus a special mode, and provides an impressive amount of functionality from these modes. . Among other things, Views can be used to generate reports, create summaries, and display collections of images and other content. . You need Views if: . * You like the default front page view, but you find you want to sort it differently. * You like the default taxonomy/term view, but you find you want to sort it differently; for example, alphabetically. * You use /tracker, but you want to restrict it to posts of a certain type. * You like the idea of the 'article' module, but it doesn't display articles the way you like. * You want a way to display a block with the 5 most recent posts of some particular type. * You want to provide 'unread forum posts'. * You want a monthly archive similar to the typical Movable Type/Wordpress archives that displays a link to the in the form of "Month, YYYY (X)" where X is the number of posts that month, and displays them in a block. The links lead to a simple list of posts for that month. . Views can do a lot more than that, but those are some of the obvious uses of Views. Homepage: http://drupal.org/project/views Tag: role::plugin, web::cms Section: web Priority: extra Filename: pool/main/d/drupal6-mod-views/drupal6-mod-views_2.16-1_all.deb Package: drupal6-mod-views-groupby Version: 1.0~rc2-1 Installed-Size: 72 Maintainer: Al Nikolov Architecture: all Depends: drupal6, drupal6-mod-views Size: 5076 SHA256: 4e32c8d446928215eea3b33d0d11491db5516f63dd1b677a9b24c837e1b8a77c SHA1: dc28c7e7629d35e3322dc33ccb4b0e84100bca68 MD5sum: 4079f027cc62e7bb55730172ccd898ca Description: views_groupby modules for Drupal 6 This module enriches Views2 functionality with SQL Grouping and Aggregation capabilities. Homepage: http://drupal.org/project/views_groupby Tag: role::plugin, web::cms Section: web Priority: extra Filename: pool/main/d/drupal6-mod-views-groupby/drupal6-mod-views-groupby_1.0~rc2-1_all.deb Package: drupal6-mod-xmlsitemap Version: 2.0~beta1-1 Installed-Size: 568 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Recommends: drupal6-mod-i18n Size: 77088 SHA256: f1634419c8162673ebecfb5b245c5ca3bd08d0d90412fb762bf7a6e8bffc95e1 SHA1: d0386c9827b6770fa1a5e0e6d4984406ddec1189 MD5sum: ee8eaebf58a83be74292515f9942258b Description: xmlsitemap module for Drupal 6 This module creates a sitemap that conforms to the sitemaps.org specification. This helps search engines to more intelligently crawl a website and keep their results up to date. The sitemap created by the module can be automatically submitted to Ask, Google, Bing (formerly Windows Live Search), and Yahoo! search engines. The module also comes with several submodules that can add sitemap links for content, menu items, taxonomy terms, and user profiles. Homepage: http://drupal.org/project/xmlsitemap Tag: role::plugin, web::cms Section: web Priority: extra Filename: pool/main/d/drupal6-mod-xmlsitemap/drupal6-mod-xmlsitemap_2.0~beta1-1_all.deb Package: drupal6-mod-xrds-simple Version: 1.0-1 Installed-Size: 60 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Size: 3158 SHA256: 34c96c22babf6d17264a2fb1b127e8effe8a7c5dff03abc5099dfb8f972b5952 SHA1: ddb4d6b7b2d0e5034dd922e99879f9c503026bb7 MD5sum: 917f66ac6ab4320115bff789132d7d20 Description: xrds_simple modules for Drupal 6 This module is a simple implementation of the XRDS-Simple spec: http://xrds-simple.net/core/1.0/ . XRDS-Simple provides a format and workflow for the discovery of resources metadata, and other linked resources. . XRDS-Simple is an important part of the DiSo project (http://diso-project.org/) and used by OpenID and OAuth for service discovery. Homepage: http://drupal.org/project/xrds_simple Tag: role::plugin Section: web Priority: extra Filename: pool/main/d/drupal6-mod-xrds-simple/drupal6-mod-xrds-simple_1.0-1_all.deb Package: drupal6-thm-arthemia Version: 2.0-1 Installed-Size: 144 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Size: 25396 SHA256: 3a719c998a50c33d9482f812714177e77a9f00cbf0cd90db1cea66dfd3830773 SHA1: 2a0ae0dbb8f43d9455e3550ff05f6793992e2958 MD5sum: 2c1d378c3af7ee45cbb3270d0c304077 Description: arthemia theme for Drupal 6 A port and modification of the Arthemia Free Wordpress theme converted to Drupal. . The theme is of high quality, has 11 configurable regions and can be used in one and two column layouts. Homepage: http://drupal.org/project/arthemia Tag: role::app-data, web::cms Section: web Priority: extra Filename: pool/main/d/drupal6-thm-arthemia/drupal6-thm-arthemia_2.0-1_all.deb Package: drupal6-trans-ru Version: 1.3-2 Installed-Size: 1612 Maintainer: Al Nikolov Architecture: all Depends: drupal6 Size: 218484 SHA256: 000ececbe97add5963af352a10ffe0baaf219d7aaf09509036efbf9468889854 SHA1: d0a8c0b0dc519bb1fc816c29cbd08eae3b89cdd5 MD5sum: 5812355ae5956f006fbc8e21dcfcc49e Description: Russian translation for Drupal 6 Drupal uses English by default, but may be translated to many other languages. . This is the Russian translation. Homepage: http://drupal.org/project/ru Tag: culture::russian, role::app-data, web::cms Section: web Priority: extra Filename: pool/main/d/drupal6-trans-ru/drupal6-trans-ru_1.3-2_all.deb Package: drupal7 Version: 7.14-2+deb7u19 Installed-Size: 12139 Maintainer: Luigi Gangitano Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, apache2 | httpd, php5, php5-mysql | php5-pgsql, php5-gd, default-mta | mail-transport-agent, wwwconfig-common (>= 0.0.37), mysql-client | virtual-mysql-client | postgresql-client, dbconfig-common, curl Size: 3204556 SHA256: 9b3f2e318446c2795abce81fabbfb6af6b4f2d9b8f87d56af4923131c58ada04 SHA1: bf089fa4927ce3a795085eb6725ffae755c3a74b MD5sum: cf1848847afdfbdbf0f2e3307b920152 Description: fully-featured content management framework Drupal is a dynamic web site platform which allows an individual or community of users to publish, manage and organize a variety of content, Drupal integrates many popular features of content management systems, weblogs, collaborative tools and discussion-based community software into one easy-to-use package. . This package contains version 7 of Drupal. Homepage: http://www.drupal.org/ Recommends: mysql-server | postgresql Section: web Priority: extra Filename: pool/main/d/drupal7/drupal7_7.14-2+deb7u19_all.deb Package: drush Version: 5.4-1 Installed-Size: 1344 Maintainer: Antoine Beaupré Architecture: all Depends: php5-cli (>= 5.2), php-console-table Recommends: wget | curl, php5-mysql | php5-pgsql Suggests: git, mysql-client Size: 341104 SHA256: 5c623e70152527c409495d085acd58541f73b3eb7404840dea8d7dacfe5e8658 SHA1: 1acce03144f246a5fb7138097b214e36beb0324d MD5sum: abd4d509f6a8917177fcf2cb71e9e5e4 Description: command line shell and Unix scripting interface for Drupal drush is a command line shell and Unix scripting interface for Drupal, a veritable Swiss Army knife designed to make life easier for Drupal power users who spend a lot of time hacking away at the command prompt. . Drush core ships with lots of useful commands for interacting with code like modules/themes/profiles. Similarly, it runs update.php, executes sql queries and DB migrations, and misc utilities like run cron or clear cache. Homepage: http://drupal.org/project/drush Tag: admin::configuring, admin::package-management, devel::lang:php, devel::web, implemented-in::php, interface::commandline, role::program, scope::utility, use::configuring, web::scripting, works-with::db, works-with::file, works-with::software:package, works-with::vcs Section: php Priority: optional Filename: pool/main/d/drush/drush_5.4-1_all.deb Package: drush-make Version: 2.3-1 Installed-Size: 186 Maintainer: Christopher Gervais Architecture: all Depends: drush (>= 4.4) Size: 42014 SHA256: 085f9547ff0d9e9891ba3f7139f83273709ad339980e8fadf6a1b99efc69de4c SHA1: 4917afdaff52c5b9483e17d02ca63cba5eef0910 MD5sum: c2bbdd6155f0c3e93d71bbbffca7bd35 Description: Drupal source code deployment tool Drush Make is an extension to drush that can create a ready-to-use Drupal code-base, pulling sources from various locations. It does this by parsing a flat text file (similar to a Drupal .info file) and downloading the sources it describes. In practical terms, this means that it is possible to distribute a complicated Drupal distribution as a single text file. . Among Drush Make's capabilities are: . * Downloading Drupal core, as well as contrib modules from drupal.org. * Checking code out from CVS, SVN, git, and bzr repositories. * Getting plain .tar.gz and .zip files (particularly useful for libraries that can not be distributed directly with Drupal core or modules). * Fetching and applying patches. * Fetching modules, themes, and installation profiles, but also external libraries. * Drush make does not turn modules on automatically: it only assembles Drupal directories -- it does not touch a database. Homepage: https://drupal.org/project/drush_make Section: php Priority: optional Filename: pool/main/d/drush-make/drush-make_2.3-1_all.deb Package: dsbltesters Version: 0.9.5-4 Architecture: armhf Maintainer: Al Nikolov Installed-Size: 151 Depends: libc6 (>= 2.7), libfiredns0.9, libfirestring0.9 Homepage: http://dsbl.org Priority: extra Section: net Filename: pool/main/d/dsbltesters/dsbltesters_0.9.5-4_armhf.deb Size: 44774 SHA256: ab1f1b19e6ce3711329cf466739f4a72feef77cd6f2f9fe99e66dfcdde586bf9 SHA1: dae0aaa0533347e4b3fa5968982d089cffda825c MD5sum: af5a65f1f29e218fef2aa2d0ee38aa67 Description: open proxy/relay testing utilities This package contains testing software configured to work with the DSBL (http://dsbl.org/) or DSBL-compliant services. It enables you to send tests to servers based on spam that you receive. If those tests succeed, the results will reach the DSBL host in question, and the relay will be listed. . Note: the original DSBL service has gone, it's not possible to submit nor place a query there. Package: dsc-statistics-collector Source: dsc-statistics Version: 201203250530-2 Architecture: armhf Maintainer: Debian dsc Maintainer Team Installed-Size: 460 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgeoip1 (>= 1.4.8+dfsg), libpcap0.8 (>= 0.9.8), libstdc++6 (>= 4.6), libproc-pid-file-perl, adduser, iproute, geoip-database, cron Suggests: rsync Conflicts: dsc-collector Homepage: http://dns.measurement-factory.com/tools/dsc/index.html Priority: optional Section: utils Filename: pool/main/d/dsc-statistics/dsc-statistics-collector_201203250530-2_armhf.deb Size: 322750 SHA256: eee3aa9656a9bce7a3e942bd45d459560aa02470dff0537a1f234c4d8b1c21c8 SHA1: 28e7aca15e73de8418afbfdd55ad0f2868b2cbbb MD5sum: 68f8f138b4c0510ab0b3d04cebe6cfdf Description: DNS Statistics Collector - Collector component dsc (A DNS Statistics Collector) is a system for collecting and exploring statistics from busy DNS servers. It currently has two major components, the Collector and the Presenter, each of which being its own binary package built from the same source. . This package contains the Collector, which uses libpcap to sniff DNS messages sent and received on a network interface. It may run on the same machine as the DNS server, or on another system connected to a switch configured with port mirroring. Datasets are dumped to disk every 60 seconds as XML files. These can then be moved to a separate server running dsc's presenter component for archiving and further processing. Package: dsc-statistics-presenter Source: dsc-statistics Version: 201203250530-2 Installed-Size: 524 Maintainer: Debian dsc Maintainer Team Architecture: all Depends: libcgi-untaint-perl, libfile-flock-perl, libfile-nfslock-perl, libhash-merge-perl, libgeo-ip-perl, libmath-calc-units-perl, libnet-dns-perl, libtext-template-perl, liburi-perl, libproc-pid-file-perl, libxml-simple-perl, ploticus, liblockfile1, adduser, cron Recommends: apache2 | httpd Suggests: rsync, openssh-client Conflicts: dsc-presenter Size: 295270 SHA256: ca195265c4dcb5cf4e37b7cedc574e44fcaf4990ab18278d0913fd75d3fbb051 SHA1: 8846b99a7e41a9b1c1496a59c807a29fd837d38d MD5sum: f30225dd17b1ee16f6c60388afd0233e Description: DNS Statistics Collector - Presenter component dsc (A DNS Statistics Collector) is a system for collecting and exploring statistics from busy DNS servers. It currently has two major components, the Collector and the Presenter, each of which being its own binary package built from the same source. . This package contains the Presenter. A variety of methods moves XML datasets from the hosts running the collector. Next, an extractor process converts them to line-based text files. . To display data in a web browser, dsc uses a CGI script. The interface allows you to change time scales, select particular nodes within a server cluster, and isolate individual dataset keys. Homepage: http://dns.measurement-factory.com/tools/dsc/index.html Section: utils Priority: optional Filename: pool/main/d/dsc-statistics/dsc-statistics-presenter_201203250530-2_all.deb Package: dsdp Version: 5.8-9.1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 90 Depends: libc6 (>= 2.13-28), libdsdp-5.8gf Homepage: http://www-unix.mcs.anl.gov/DSDP/ Priority: extra Section: science Filename: pool/main/d/dsdp/dsdp_5.8-9.1_armhf.deb Size: 29882 SHA256: 7eb0baccdd5faf6a6ec0e6b592629a94e6f274f4ef66267a6ce6725b0f752c64 SHA1: db1befcbb26bce52f408869693f227d2790360f8 MD5sum: 36cf7da69b86b5f088bcb8d275d0fcfe Description: Software for Semidefinite Programming The DSDP software is a free open source implementation of an interior-point method for semidefinite programming. It provides primal and dual solutions, exploits low-rank structure and sparsity in the data, and has relatively low memory requirements for an interior-point method. It allows feasible and infeasible starting points and provides approximate certificates of infeasibility when no feasible solution exists. The dual-scaling algorithm implemented in this package has a convergence proof and worst-case polynomial complexity under mild assumptions on the data. Furthermore, the solver offers scalable parallel performance for large problems and a well documented interface. Some of the most popular applications of semidefinite programming and linear matrix inequalities (LMI) are model control, truss topology design, and semidefinite relaxations of combinatorial and global optimization problems. . This package contains the binaries. Package: dsdp-doc Source: dsdp Version: 5.8-9.1 Installed-Size: 7175 Maintainer: Soeren Sonnenburg Architecture: all Recommends: dsdp Size: 947746 SHA256: a3d6376b8d97070fae1597e8c861cf92757b37b7b5a95248b48d8df4981ed486 SHA1: 9ca52a6ba099dc16abc21a91d4f95bbba2512891 MD5sum: 79322518e24395c8c0211754f2c7a550 Description: Software for Semidefinite Programming The DSDP software is a free open source implementation of an interior-point method for semidefinite programming. It provides primal and dual solutions, exploits low-rank structure and sparsity in the data, and has relatively low memory requirements for an interior-point method. It allows feasible and infeasible starting points and provides approximate certificates of infeasibility when no feasible solution exists. The dual-scaling algorithm implemented in this package has a convergence proof and worst-case polynomial complexity under mild assumptions on the data. Furthermore, the solver offers scalable parallel performance for large problems and a well documented interface. Some of the most popular applications of semidefinite programming and linear matrix inequalities (LMI) are model control, truss topology design, and semidefinite relaxations of combinatorial and global optimization problems. . This package contains the documentation and examples. Homepage: http://www-unix.mcs.anl.gov/DSDP/ Tag: devel::doc, devel::examples, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/d/dsdp/dsdp-doc_5.8-9.1_all.deb Package: dselect Source: dpkg Version: 1.16.18+rpi1 Architecture: armhf Origin: debian Bugs: debbugs://bugs.debian.org Maintainer: Dpkg Developers Installed-Size: 1976 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncursesw5 (>= 5.6+20070908), libstdc++6 (>= 4.4.0), libtinfo5, dpkg (>= 1.13.1) Suggests: perl Conflicts: dpkg-ftp, dpkg-multicd Replaces: dpkg-ftp, dpkg-multicd, manpages-it (<< 2.80-4) Multi-Arch: foreign Homepage: http://wiki.debian.org/Teams/Dpkg Priority: optional Section: admin Filename: pool/main/d/dpkg/dselect_1.16.18+rpi1_armhf.deb Size: 1147334 SHA256: 1e75531cb981b69f9a25d34cbe6029b99aec0b971ed858b69eb3a922da82e8ce SHA1: d6b42a00bcc72757e92651c40882077efe7bd247 MD5sum: 22b586b3768b2bc925d7ee2447efced6 Description: Debian package management front-end dselect is a high-level interface for managing the installation and removal of Debian software packages. . Many users find dselect intimidating and new users may prefer to use apt-based user interfaces. Package: dsh Version: 0.25.10-1 Architecture: armhf Maintainer: Junichi Uekawa Installed-Size: 135 Depends: libc6 (>= 2.4), libdshconfig1 (>= 0.20.13) Suggests: update-cluster Priority: optional Section: net Filename: pool/main/d/dsh/dsh_0.25.10-1_armhf.deb Size: 36970 SHA256: 0b0c3780ea0c279ae69804f736e4cd41fa92aa7c287346cabab0551821b9af9d SHA1: 619109f34a7c6cfc00800c578576f22ec2bfe616 MD5sum: df17dd9dd379b5e558b534e6a5fa6a1b Description: dancer's shell, or distributed shell Executes specified command on a group of computers using remote shell methods such as rsh or ssh. . dsh can parallelise job submission using several algorithms, such as using fan-out method or opening as much connections as possible, or using a window of connections at one time. It also supports "interactive mode" for interactive maintenance of remote hosts. . This tool is handy for administration of PC clusters, and multiple hosts. . http://www.netfort.gr.jp/~dancer/software/dsh.html Package: dsniff Version: 2.4b1+debian-22 Architecture: armhf Maintainer: William Vera Installed-Size: 283 Depends: libc6 (>= 2.13-28), libdb5.1, libice6 (>= 1:1.0.0), libnet1 (>= 1.1.2.1), libnids1.21, libpcap0.8 (>= 0.9.8), libsm6, libssl1.0.0 (>= 1.0.0), libx11-6, libxmu6, openssl Homepage: http://www.monkey.org/~dugsong/dsniff/ Priority: extra Section: net Filename: pool/main/d/dsniff/dsniff_2.4b1+debian-22_armhf.deb Size: 123208 SHA256: 70f020652fb33d476046753e8541d45987839dc707306d2aa6de6d4a0f4c1730 SHA1: d56df0cb26c0908f3b59b16300c81169f1874223 MD5sum: 918532673f4c2a1fe890b4ea27cca324 Description: Various tools to sniff network traffic for cleartext insecurities This package contains several tools to listen to and create network traffic: . * arpspoof - Send out unrequested (and possibly forged) arp replies. * dnsspoof - forge replies to arbitrary DNS address / pointer queries on the Local Area Network. * dsniff - password sniffer for several protocols. * filesnarf - saves selected files sniffed from NFS traffic. * macof - flood the local network with random MAC addresses. * mailsnarf - sniffs mail on the LAN and stores it in mbox format. * msgsnarf - record selected messages from different Instant Messengers. * sshmitm - SSH monkey-in-the-middle. proxies and sniffs SSH traffic. * sshow - SSH traffic analyser. * tcpkill - kills specified in-progress TCP connections. * tcpnice - slow down specified TCP connections via "active" traffic shaping. * urlsnarf - output selected URLs sniffed from HTTP traffic in CLF. * webmitm - HTTP / HTTPS monkey-in-the-middle. transparently proxies. * webspy - sends URLs sniffed from a client to your local browser (requires libx11-6 installed). . Please do not abuse this software. Package: dspam Version: 3.10.1+dfsg-11 Architecture: armhf Maintainer: Debian DSPAM Maintainers Installed-Size: 821 Depends: libc6 (>= 2.13-28), libdspam7 (>= 3.9.0), libgcc1 (>= 1:4.4.0), libpq5, perl, lsb-base (>= 3.0-6), libdspam7-drv-hash (= 3.10.1+dfsg-11) | libdspam7-drv-mysql (= 3.10.1+dfsg-11) | libdspam7-drv-pgsql (= 3.10.1+dfsg-11) | libdspam7-drv-sqlite3 (= 3.10.1+dfsg-11) Recommends: procmail | maildrop | courier-maildrop | sensible-mda, dspam-doc Suggests: clamav-daemon, dspam-webfrontend Homepage: http://dspam.sourceforge.net Priority: optional Section: mail Filename: pool/main/d/dspam/dspam_3.10.1+dfsg-11_armhf.deb Size: 412942 SHA256: e0e8007d4924eabe5de935be9085112721e640bba8113083d31b02fdf655b47e SHA1: c44c142f6f7857b573a526c149dfa4079c8f89bd MD5sum: 0b838673c58c9cf6e3e7fa212135e5ec Description: Scalable, fast and statistical anti-spam filter DSPAM is a dedicated statistical filter with minimal resources. It includes many new algorithms to fight against spam including: * Concept Identification * Message Inoculation * Advanced de-obfuscation techniques * Bayesian Noise Reduction . This package includes the DSPAM agent which provides. Package: dspam-dbg Source: dspam Version: 3.10.1+dfsg-11 Architecture: armhf Maintainer: Debian DSPAM Maintainers Installed-Size: 630 Depends: dspam (= 3.10.1+dfsg-11) Homepage: http://dspam.sourceforge.net Priority: extra Section: debug Filename: pool/main/d/dspam/dspam-dbg_3.10.1+dfsg-11_armhf.deb Size: 452260 SHA256: ad329f0a93c6c8f9e20d76ee736f3f77b447a64230a7abb460e31bd07d08f7dd SHA1: 1e16fa3c069d5f70e7fa6df7758e10247244724c MD5sum: 4d19931a69595abd2d7c1b62d2702c0a Description: debugging symbols for dspam DSPAM is a dedicated statistical filter with minimal resources. It includes many new algorithms to fight against spam including: * Concept Identification * Message Inoculation * Advanced de-obfuscation techniques * Bayesian Noise Reduction . This package includes the debugging symbols for the dspam package. Package: dspam-doc Source: dspam Version: 3.10.1+dfsg-11 Installed-Size: 139 Maintainer: Debian DSPAM Maintainers Architecture: all Size: 127206 SHA256: c847898a62aa10615dc6938e93ee5490b84903795cf5bc1ab1f62301101144e4 SHA1: 1046fe8f57b10382e548da01c1b686e3daa549c3 MD5sum: 877bee8de019b96e16db995d99dd61b7 Description: Documentation for DSPAM anti-spam filter DSPAM is a dedicated statistical filter with minimal resources. It includes many new algorithms to fight against spam including: * Concept Identification * Message Inoculation * Advanced de-obfuscation techniques * Bayesian Noise Reduction . This package contains the documentation for DSPAM. Homepage: http://dspam.sourceforge.net Tag: made-of::TODO, mail::filters, role::documentation Section: doc Priority: optional Filename: pool/main/d/dspam/dspam-doc_3.10.1+dfsg-11_all.deb Package: dspam-webfrontend Source: dspam Version: 3.10.1+dfsg-11 Installed-Size: 627 Maintainer: Debian DSPAM Maintainers Architecture: all Depends: perl, dspam (>= 3.10.1+dfsg-11), ucf (>= 0.28), libgd-gd2-perl | libgd-gd2-noxpm-perl, libgd-graph3d-perl, libhtml-parser-perl Suggests: apache2, apache2-suexec (>= 2.2.9-3), libapache2-mod-perl2, libapache2-mod-auth-pam | libapache2-mod-auth-mysql | libapache2-mod-auth-pgsql | libapache2-mod-auth-openid | libapache2-mod-auth-kerb | libapache2-mod-auth-plain | libapache2-mod-auth-radius | libapache2-mod-auth-sys-group, ttf-dejavu-core | ttf-bitstream-vera | ttf-freefont Size: 200704 SHA256: 67332b3eae6cb3e9a73b01f6242e2cfe2f928db45a577204195cac384dd915b7 SHA1: 5fbfce156e1a7490d4fd7b1f824bab25c8ba4d28 MD5sum: c2f6a23cb005770b34aec09fb9938dfe Description: Webfrontend for DSPAM anti-spam filter DSPAM is a dedicated statistical filter with minimal resources. It includes many new algorithms to fight against spam including: * Concept Identification * Message Inoculation * Advanced de-obfuscation techniques * Bayesian Noise Reduction . This package includes the webfrontend for DSPAM. This can be used together with several database backends including PostgreSQL, MySQL, and sqlite3. Homepage: http://dspam.sourceforge.net Section: mail Priority: extra Filename: pool/main/d/dspam/dspam-webfrontend_3.10.1+dfsg-11_all.deb Package: dssi-dev Source: dssi Version: 1.1.1~dfsg0-1 Installed-Size: 76 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: ladspa-sdk, libasound2-dev | libdssialsacompat-dev, pkg-config Suggests: libjack-dev Size: 28436 SHA256: 70ba780fa0904820e8933a4b501580b852c25fe463d5ea336726ba00790df3bb SHA1: c82dd7dfd1942f3ec1fe5a396b36701e6adbea4d MD5sum: d0424a29341314b294c1d3ad43828b81 Description: Header file for compiling DSSI plugins and hosts DSSI is an API for audio plugins, with particular application for software synthesis plugins with native user interfaces. . DSSI is an open specification developed for use in Linux audio applications, although it is portable to other platforms. It may be thought of as LADSPA-for-instruments, or something comparable to VSTi. . This package contains the header file required for compiling hosts and plugins. Homepage: http://dssi.sourceforge.net/ Tag: role::devel-lib Section: libdevel Priority: optional Filename: pool/main/d/dssi/dssi-dev_1.1.1~dfsg0-1_all.deb Package: dssi-example-plugins Source: dssi Version: 1.1.1~dfsg0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 149 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblo7 (>= 0.26~repack), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0), libx11-6 Recommends: dssi-utils Homepage: http://dssi.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/d/dssi/dssi-example-plugins_1.1.1~dfsg0-1_armhf.deb Size: 54456 SHA256: a080f3bcc0e145f28b8c39bdb25537254ce5523a1a269563a0ab775faca84bbd SHA1: b7ecb931b1f035518e0b566d7c417460f2cb419f MD5sum: 9a1402d1ecfa96080688aea935ae2630 Description: Examples of DSSI plugin DSSI is an API for audio plugins, with particular application for software synthesis plugins with native user interfaces. . DSSI is an open specification developed for use in Linux audio applications, although it is portable to other platforms. It may be thought of as LADSPA-for-instruments, or something comparable to VSTi. . This is a set containing three example DSSI plugins. Package: dssi-host-jack Source: dssi Version: 1.1.1~dfsg0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 74 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblo7 (>= 0.26~repack) Recommends: dssi-utils Homepage: http://dssi.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/d/dssi/dssi-host-jack_1.1.1~dfsg0-1_armhf.deb Size: 24968 SHA256: 11f79808274cef9c227b9b10473dfd383528a596d6a0d06b727fcbde1fabce46 SHA1: a9c2ed2e8fe27178086923636630d38036074e2a MD5sum: 7f35e7bed44265aae99fef95631757c6 Description: Example of DSSI host DSSI is an API for audio plugins, with particular application for software synthesis plugins with native user interfaces. . DSSI is an open specification developed for use in Linux audio applications, although it is portable to other platforms. It may be thought of as LADSPA-for-instruments, or something comparable to VSTi. . This package contains an example DSSI host which is useful for testing new plugins. It listens for MIDI events on an ALSA sequencer port, delivers them to DSSI synths and outputs the result via JACK. It does not currently support audio input (e.g. for DSSI effects plugins). Package: dssi-utils Source: dssi Version: 1.1.1~dfsg0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 78 Depends: libc6 (>= 2.13-28), liblo7 (>= 0.26~repack) Homepage: http://dssi.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/d/dssi/dssi-utils_1.1.1~dfsg0-1_armhf.deb Size: 23326 SHA256: c7e1648cba5c9e7702bc88011617270d034dbd4f39478b22ac081f3b61c5fa11 SHA1: e5f021ce0e5abff500542add7eaaf0838563b060 MD5sum: 94d22e6b73c1f6824facde27dbd0f274 Description: Command-line utilities for sending commands to DSSI plugins DSSI is an API for audio plugins, with particular application for software synthesis plugins with native user interfaces. . DSSI is an open specification developed for use in Linux audio applications, although it is portable to other platforms. It may be thought of as LADSPA-for-instruments, or something comparable to VSTi. . This package contains the dssi_osc_send and dssi_osc_update utilities which can, for instance, be used to send commands to the DSSI trivial synth plugin. Package: dssp Version: 2.0.4-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 448 Depends: libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.cmbi.ru.nl/dssp.html Priority: optional Section: science Filename: pool/main/d/dssp/dssp_2.0.4-2_armhf.deb Size: 176686 SHA256: 9625ff2aa02cd860af1363bd89d7a88c6664be521826e4e811d72005e93c37ac SHA1: 112c11591404517df65d7c2b822a27030fa32042 MD5sum: 87dea408f4185867c0e82ee8483249da Description: protein secondary structure assignment based on 3D structure DSSP is an application you use to assign the secondary structure of a protein based on its solved three dimensional (3D) structure. . This version (2) of DSSP is a rewrite that produces the same output as the original DSSP, but deals better with exceptions in PDB files and is much faster. Package: dssp-dbg Source: dssp Version: 2.0.4-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 4734 Depends: dssp (= 2.0.4-2) Homepage: http://www.cmbi.ru.nl/dssp.html Priority: extra Section: debug Filename: pool/main/d/dssp/dssp-dbg_2.0.4-2_armhf.deb Size: 1495994 SHA256: b489314192099cfe40f2259982599d40cbef2534f339929e212c2426bca6865d SHA1: 54c2f2c2beca26f2ad69087e5b12091e34b4d063 MD5sum: bbab5f0a376dfddb49002e23d4b1ac8d Description: debugging symbols for dssp DSSP is an application to assign the secondary structure of a protein based on its three dimensional (3D) structure. . This version (2) of DSSP is a rewrite that produces the same output as the original DSSP, but deals better with exceptions in PDB files and is much faster. . This package provides the detached debug symbols for dssp. Package: dstat Version: 0.7.2-3 Installed-Size: 343 Maintainer: Andrew Pollock Architecture: all Depends: python (>= 2.6.6-7~) Conflicts: sleuthkit (<< 1.73-2) Size: 79262 SHA256: 927ec3671c2d978dc3d242da1807ce8d20c2494b08577345aa349ec7c743fbb8 SHA1: 1c7e328b4a6a2a84717690fa7848daa36e33d2b9 MD5sum: 10cd34b765f8b355d9c7ef7d2de0baee Description: versatile resource statistics tool Dstat is a versatile replacement for vmstat, iostat and ifstat. Dstat overcomes some of the limitations of these programs and adds some extra features. . Dstat allows you to view all of your network resources instantly, you can for example, compare disk usage in combination with interrupts from your IDE controller, or compare the network bandwidth numbers directly with the disk throughput (in the same interval). . Dstat also cleverly gives you the most detailed information in columns and clearly indicates in what magnitude and unit the output is displayed. . Dstat is also unique in letting you aggregate block device throughput for a certain diskset or network bandwidth for a group of interfaces, i.e. you can see the throughput for all the block devices that make up a single filesystem or storage system. . Dstat's output, in its current form, is not suited for post-processing by other tools, it's mostly meant for humans to interpret real-time data as easy as possible. Homepage: http://dag.wieers.com/home-made/dstat/ Tag: admin::accounting, implemented-in::python, interface::commandline, role::program, scope::utility, use::monitor, works-with::network-traffic Section: admin Priority: optional Filename: pool/main/d/dstat/dstat_0.7.2-3_all.deb Package: dsyslog Version: 0.6.0 Architecture: armhf Maintainer: William Pitcock Installed-Size: 158 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0) Recommends: logrotate Suggests: dsyslog-module-mysql, dsyslog-module-postgresql, dsyslog-module-gnutls Conflicts: linux-kernel-log-daemon, system-log-daemon Provides: linux-kernel-log-daemon, system-log-daemon Homepage: http://nenolod.net/dsyslog Priority: extra Section: admin Filename: pool/main/d/dsyslog/dsyslog_0.6.0_armhf.deb Size: 36314 SHA256: c4dc5d7c8b525c83aea201f14d108fef300298d69eff1bb6cc5b714bd8b25a28 SHA1: 2eab95725a6d1578c3935de261f46ca949c79144 MD5sum: ac8804d553ea7bb18bbc95d758d38b94 Description: advanced modular syslog daemon dsyslog is an advanced, modular syslog daemon which allows for infinite expandability, scalability and customization through advanced rulesets, rewrite filters, and output sinks. The default configuration is comparable to the syslogd configuration provided by sysklogd. . This package provides the daemon and core modules. Package: dsyslog-dbg Source: dsyslog Version: 0.6.0 Architecture: armhf Maintainer: William Pitcock Installed-Size: 253 Depends: dsyslog (= 0.6.0), dsyslog-module-mysql (= 0.6.0), dsyslog-module-postgresql (= 0.6.0) Homepage: http://nenolod.net/dsyslog Priority: extra Section: debug Filename: pool/main/d/dsyslog/dsyslog-dbg_0.6.0_armhf.deb Size: 74022 SHA256: 1b9ece05d7f187c2cb63e1eae6efbc4af54572debc313d9b670bb850a496dee2 SHA1: 4d9fb3a35c55b694ca48ecfe3a69d7659d75e99d MD5sum: fb79d47602656b5fd7e223c17718415d Description: advanced modular syslog daemon - debug dsyslog is an advanced, modular syslog daemon which allows for infinite expandability, scalability and customization through advanced rulesets, rewrite filters, and output sinks. The default configuration is comparable to the syslogd configuration provided by sysklogd. . This package provides the debugging symbols. Package: dsyslog-module-gnutls Source: dsyslog Version: 0.6.0 Architecture: armhf Maintainer: William Pitcock Installed-Size: 42 Depends: libc6 (>= 2.13-28), dsyslog (= 0.6.0) Homepage: http://nenolod.net/dsyslog Priority: extra Section: admin Filename: pool/main/d/dsyslog/dsyslog-module-gnutls_0.6.0_armhf.deb Size: 6776 SHA256: 8dcec86429c889a168b34d9fedd2fdf1d8606c2e8c0cfdafc9b8e29b8b026179 SHA1: b51f65d83a60e5eb9abd96119889ff5f563a151b MD5sum: f034802e0de0c771d551de9fcb6f2de9 Description: advanced modular syslog daemon - GnuTLS support dsyslog is an advanced, modular syslog daemon which allows for infinite expandability, scalability and customization through advanced rulesets, rewrite filters, and output sinks. The default configuration is comparable to the syslogd configuration provided by sysklogd. . This package provides the GnuTLS output module. Package: dsyslog-module-mysql Source: dsyslog Version: 0.6.0 Architecture: armhf Maintainer: William Pitcock Installed-Size: 65 Depends: libc6 (>= 2.13-28), libmysqlclient16 (>= 5.1.50-1), dsyslog (= 0.6.0) Recommends: mysql-server Homepage: http://nenolod.net/dsyslog Priority: extra Section: admin Filename: pool/main/d/dsyslog/dsyslog-module-mysql_0.6.0_armhf.deb Size: 9632 SHA256: df04c6cb8eb0fd6ac8b77e8bb1f424a227074ff35c69be81de401470a747dfdf SHA1: c9e70ef2ba225489f8cee7edbb669611fbb63760 MD5sum: 108d3e4429cf18aaf6827971ebf91cab Description: advanced modular syslog daemon - MySQL support dsyslog is an advanced, modular syslog daemon which allows for infinite expandability, scalability and customization through advanced rulesets, rewrite filters, and output sinks. The default configuration is comparable to the syslogd configuration provided by sysklogd. . This package provides the MySQL module. Package: dsyslog-module-postgresql Source: dsyslog Version: 0.6.0 Architecture: armhf Maintainer: William Pitcock Installed-Size: 46 Depends: libc6 (>= 2.13-28), libpq5, dsyslog (= 0.6.0) Recommends: postgresql Homepage: http://nenolod.net/dsyslog Priority: extra Section: admin Filename: pool/main/d/dsyslog/dsyslog-module-postgresql_0.6.0_armhf.deb Size: 6484 SHA256: 5af11c33a25554df98df020019dd2c3bc4d17d4c2536a71ea44af869d7eb8a9b SHA1: c8f65190b8f5b2ff3fe65044de4f30f3cb7d646d MD5sum: 51d5554ed44b30e4fa9e9e77bfa19da8 Description: advanced modular syslog daemon - PostgreSQL support dsyslog is an advanced, modular syslog daemon which allows for infinite expandability, scalability and customization through advanced rulesets, rewrite filters, and output sinks. The default configuration is comparable to the syslogd configuration provided by sysklogd. . This package provides the PostgreSQL module. Package: dtach Version: 0.8-2.1 Architecture: armhf Maintainer: Stefan Völkel Installed-Size: 55 Depends: libc6 (>= 2.13-28) Homepage: http://dtach.sourceforge.net/ Priority: optional Section: misc Filename: pool/main/d/dtach/dtach_0.8-2.1_armhf.deb Size: 14944 SHA256: b8bb6a43bb70b64f6c7436a00824964f014ca26681bfa0d32a68d08176eb8b9b SHA1: b6219e1a5ecc23cd8341116790efa5553a3b6c1d MD5sum: ccd5d3e73d1c766b1c95175a02a405fe Description: emulates the detach/attach feature of screen dtach is a tiny program that emulates the detach feature of screen, allowing you to run a program in an environment that is protected from the controlling terminal and attach to it later. dtach does not keep track of the contents of the screen, and thus works best with programs that know how to redraw themselves. . dtach does not, however, have the other features of screen, such as its support of multiple terminals or its terminal emulation support. This makes dtach extremely tiny compared to screen, making it more easily audited for bugs and security holes, and also allows it to fit in environments where space is limited, such as on rescue disks. Package: dtaus Version: 0.9-1 Architecture: armhf Maintainer: Martin Schulze Installed-Size: 88 Depends: libc6 (>= 2.7) Priority: extra Section: misc Filename: pool/main/d/dtaus/dtaus_0.9-1_armhf.deb Size: 31666 SHA256: 994c20f35a15bcb38fe897af7057d522ed79b9c29e82ddcad59a1bd676cdffa0 SHA1: ee6867c2b7de09cda3e1489e992b57d3e6ffc3aa MD5sum: f07db0134487b0b4258abf72e3a0fc18 Description: Paperless money transfer with German banks on floppies This package contains a library that can read and write German DTAUS files. DTAUS is an acronym for DatenTraegerAUStausch. It is used by German credit institutes in order to transfer commands for money exchanges between accounts. This format is used both between banks and between banks and their customers. One mainly wants to use it to be able to do automatic "Bankeinzuege". . This package probably will only be useful in Germany. Package: dtc-xen Version: 0.5.17-1 Installed-Size: 337 Maintainer: Thomas Goirand Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, python (>= 2.6.6-7~), apache2-utils, debconf, rrdtool, python-soappy, python-crypto, python-sqlite, openssh-server, m2crypto, debootstrap, openssl, sudo, adduser, sysstat, lsb-base, yum, net-tools, lvm2, ipcalc, makedev Recommends: dtc-xen-firewall, sysfsutils Suggests: xen-utils-common Size: 94444 SHA256: 0dcb94c6be8e152862d6462a27679961b82b5d4add33cc73e08744c8270efba2 SHA1: 95173ed3f97692cd0d1fd12996a3e3e98d6423fd MD5sum: f66496dfb72ee86b5ba205168539f644 Description: SOAP daemon and scripts to allow control panel management for Xen VMs Dtc-xen is a SOAP server running over HTTPS with authentication, so that a web GUI tool can manage, create and destroy domUs under Xen. This package should be used in the dom0 of a Xen server. It integrates itself within the DTC web hosting control panel. Homepage: http://www.gplhost.com/software-dtc-xen.html Tag: admin::accounting, admin::automation, admin::configuring, admin::virtualization, implemented-in::python, interface::commandline, interface::daemon, protocol::http, protocol::soap, protocol::ssl, role::program, system::server, web::server Section: web Priority: optional Filename: pool/main/d/dtc-xen/dtc-xen_0.5.17-1_all.deb Package: dtc-xen-firewall Source: dtc-xen Version: 0.5.17-1 Installed-Size: 59 Maintainer: Thomas Goirand Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, lsb-base, debconf, iptables Size: 13360 SHA256: d69d3c9f3e20d7be234b68397536968bfd4ec85ba4546a9ec22cda309fac2def SHA1: c43f125a35e11f855127bb9e5785e2132dbf923c MD5sum: de9f0a7a32d3ebd2a6981c1fbd26d808 Description: small firewall script for your dom0 If running in a production environment, you might want to have a basic firewall running on your dom0 to avoid having DoS attack. This is not the state-of-the-art, but just another attempt to make things a bit more smooth. Comments and contribution are more than welcome! . The main principle of this firewall script is to rate limit connections to both your dom0 and your VPSes. It's principle is NOT block any connection. For example, dtc-xen-firewall denies ssh for 300 seconds after 10 attempts on your dom0, rate limit ping to 5 per seconds on your dom0 and to 50/s globally for all your VPS, and does the same kind of thing for SYN flood attacks. Take care, it also blocks any connection to the port 25, as in a normal dom0, you would install a mail server to send system messages to the administrators, but you don't want to accept any incoming message. Homepage: http://www.gplhost.com/software-dtc-xen.html Section: web Priority: optional Filename: pool/main/d/dtc-xen/dtc-xen-firewall_0.5.17-1_all.deb Package: dtd-ead Version: 2002-1.1 Installed-Size: 249 Maintainer: Jack Bates Architecture: all Depends: sgml-base (>= 1.26+nmu2), xml-core (>= 0.12) Size: 25348 SHA256: 4f2626602dc4d17ec9049568b17fa46853382a4caef173059640cba055c3d7c4 SHA1: c17827fca40f5c4ce8b2dde80c51417029df3b0f MD5sum: 43d9a336eb56eaf8e20ae61fc6f2557c Description: Encoded Archival Description Document Type Definition encodes that class of archival finding aids known as inventories and registers, which are reference tools produced by archives, libraries, universities, associations, agencies, and other organizations to describe, control, and provide access to archival and manuscript materials. Homepage: http://loc.gov/ead/ead2002a.html Section: text Priority: extra Filename: pool/main/d/dtd-ead/dtd-ead_2002-1.1_all.deb Package: dtdinst Source: jing-trang Version: 20091111-5 Installed-Size: 44 Maintainer: Debian XML/SGML Group Architecture: all Depends: default-jre | java2-runtime, libdtdinst-java Size: 5482 SHA256: f42e5f0584649a639c06db36bcab8386cd2e1f9350331288e1133c9f8c92bded SHA1: 29ba876a41e2ccfac1d92259adb73498e48d2ec0 MD5sum: 792718f82e4a459c0e4e027d3e14b9cc Description: XML DTD to XML instance format converter dtdinst converts XML DTDs into XML instance format. The XML instance can be in either a format specific to DTDinst or RELAX NG format. Homepage: http://code.google.com/p/jing-trang/ Tag: implemented-in::java, role::program, works-with-format::xml Section: text Priority: extra Filename: pool/main/j/jing-trang/dtdinst_20091111-5_all.deb Package: dtrx Version: 6.6-1.1 Installed-Size: 132 Maintainer: Miguelangel Jose Freitas Loreto Architecture: all Depends: python (>= 2.4), python-central (>= 0.6.11), bzip2, unzip, cpio, rpm, binutils, p7zip-full, cabextract, unshield, lzma, xz-utils Size: 23040 SHA256: 5b36901f0f2a497f8a9f4f327600c88bc92d76ba5a418c1f9a9ac2c78e064b9b SHA1: 6bfd0bb25a8f08b0eb66af292bbb9235323f34d9 MD5sum: dfdca92d7dd5b28c8b7b9c5b2e957b71 Description: intelligently extract multiple archive types dtrx is basically the same as tar -zxf or tar -xjf except you don't have to remember the flags for each file. But there's more to it than that. You know those really annoying files that don't put everything in a dedicated directory, and have the permissions all wrong? dtrx takes care of all those problems for you, too. dtrx is simple and powerful. Just use the same command for all your archive files, and they'll never frustrate you again. Homepage: http://brettcsmith.org/2007/dtrx/ Python-Version: >= 2.4 Tag: implemented-in::python, interface::commandline, interface::text-mode, role::program, scope::utility, works-with-format::tar, works-with-format::zip, works-with::archive Section: utils Priority: optional Filename: pool/main/d/dtrx/dtrx_6.6-1.1_all.deb Package: duende Source: maradns Version: 1.4.12-5 Architecture: armhf Maintainer: Nicholas Bamber Installed-Size: 116 Depends: libc6 (>= 2.13-28) Breaks: maradns (<< 1.4.06) Replaces: maradns (<< 1.4.06) Homepage: http://maradns.org Priority: optional Section: admin Filename: pool/main/m/maradns/duende_1.4.12-5_armhf.deb Size: 69714 SHA256: a8eb77fb40477a5ee2c0fb54d1c41ef8753576c281a97b21ae8edc5c34c1df50 SHA1: 4703a4526905dbad80f4603c93fcc4c3f48cbf5e MD5sum: c2b0e8d51c0063848241f584d05820da Description: logging daemonizer The duende program starts a child process as specified on the command line and handles some aspects of maintaining it as a daemon process. In particular it passes the standard output and error from the child process to syslog. If the child process exits with a configurable exit status it will be restarted. Optionally duende will provide a pid file, and other features are configurable. Package: duff Version: 0.5.2-1 Architecture: armhf Maintainer: Kamal Mostafa Installed-Size: 134 Depends: libc6 (>= 2.4) Homepage: http://duff.sourceforge.net/ Priority: extra Section: utils Filename: pool/main/d/duff/duff_0.5.2-1_armhf.deb Size: 33964 SHA256: d6ef3553ffc86177664c372afe508e3fd8d6b71fed7853099817215acf1b167d SHA1: f2bcc193dce1affdbbf2190d154296ad0c3c047a MD5sum: 9c1f7e25dd8f36d010f2c9e47f6582ee Description: Duplicate file finder Duff is a command-line utility for identifying duplicates in a given set of files. It attempts to be usably fast and uses the SHA family of message digests as a part of the comparisons. Package: duma Version: 2.5.15-1.1 Architecture: armhf Maintainer: Julien Danjou Installed-Size: 246 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: http://duma.sf.net Priority: extra Section: devel Filename: pool/main/d/duma/duma_2.5.15-1.1_armhf.deb Size: 73124 SHA256: ccb6305a715053b0ee9cb43ac6a93e2697aabce60e02eb78e3d60f6ab1f61ce8 SHA1: 08815e36788a0febd7122c829a82d50f6f11e38d MD5sum: 4c28d7087560118f349249f66fcc5e55 Description: library to detect buffer overruns and under-runs in C and C++ programs Open-source library to detect buffer overruns and under-runs in C and C++ programs. . This library is a fork of Bruce Perens Electric Fence library and adds some new features to it. Features of the DUMA library: * "overloads" all standard memory allocation functions like malloc(), calloc(), memalign(), strdup(), operator new, operator new[] and also their counterpart deallocation functions like free(), operator delete and operator delete[] * utilizes the MMU (memory management unit) of the CPU: allocates and protects an extra memory page to detect any illegal access beyond the top of the buffer (or bottom, at the user's option) * stops the program at exactly that instruction, which does the erroneous access to the protected memory page, allowing location of the defective source code in a debugger detects erroneous writes at the non-protected end of the memory block at deallocation of the memory block * detects mismatch of allocation/deallocation functions: f.e. allocation with malloc() but deallocation with operator delete * leak detection: detect memory blocks which were not deallocated until program exit * preloading of the library * allowing tests without necessity of changing source code or recompilation Package: dump Version: 0.4b44-1 Architecture: armhf Maintainer: Bdale Garbee Installed-Size: 596 Depends: e2fslibs (>= 1.37), libblkid1 (>= 2.16), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0), libselinux1 (>= 1.32), libtinfo5, libuuid1 (>= 2.16), tar (>= 1.13.92-3) Priority: optional Section: utils Filename: pool/main/d/dump/dump_0.4b44-1_armhf.deb Size: 298112 SHA256: cd832850adccb14d52052f3e4cbc5e66f899a2b9b14f0a901e11ff01bf793696 SHA1: 26ef65ee891ba1665766aa5d8b7b6f84a415ba7d MD5sum: f6edc4f72eb865580c376454b9f31445 Description: 4.4bsd dump and restore for ext2 filesystems Dump examines files on a filesystem and determines which files need to be backed up. These files are copied to the given disk, tape or other storage medium for safe keeping. . The restore command performs the inverse function of dump. A full backup of a file system may be restored and subsequent incremental backups layered on top of it. Single files and directory subtrees may be restored from full or partial backups. Package: dumpasn1 Version: 20120521-1 Architecture: armhf Maintainer: Mathieu Malaterre Installed-Size: 274 Depends: libc6 (>= 2.13-28) Homepage: http://www.cs.auckland.ac.nz/~pgut001/ Priority: optional Section: utils Filename: pool/main/d/dumpasn1/dumpasn1_20120521-1_armhf.deb Size: 50528 SHA256: 1433083a3a90f1630f7092ac683e14ed3589fb971d846b26f983d2119fbf0c83 SHA1: ce8ce3aa7f4ea8f2da71c62adbb5667c4ee60758 MD5sum: ec4066c971a9e43dec23be305856109b Description: ASN.1 object dump program An ASN.1 object dump program which will dump data encoded using any of the ASN.1 encoding rules in a variety of user-specified formats. . dumpasn1 employs a user-editable configuration file dumpasn1.cfg which provides information on ASN.1 object identifiers. Currently it covers all known security-related OIDs. Package: dumpet Version: 2.1-4 Architecture: armhf Maintainer: Colin Watson Installed-Size: 57 Depends: libc6 (>= 2.8), libpopt0 (>= 1.14), libxml2 (>= 2.7.4) Homepage: https://fedorahosted.org/dumpet/ Priority: optional Section: otherosfs Filename: pool/main/d/dumpet/dumpet_2.1-4_armhf.deb Size: 11200 SHA256: f3de85edd18ef1f364d56c16f6951ae3c783886ae3dda8fcde26e450e84618be SHA1: 324844a2b08e618eadb4dcf14cdd4c6ebb3c1178 MD5sum: 671d51a8419727e00da3d11cf7d697f6 Description: dump information about bootable CDs and other similar formats A tool for debugging El Torito boot images. This can dump the El Torito structure in various readable output formats. Package: dunst Version: 0.2.0-3 Architecture: armhf Maintainer: Michael Stapelberg Installed-Size: 83 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libx11-6, libxdg-basedir1, libxft2 (>> 2.1.1), libxinerama1, libxss1 Provides: notification-daemon Homepage: http://knopwob.github.com/dunst/ Priority: extra Section: x11 Filename: pool/main/d/dunst/dunst_0.2.0-3_armhf.deb Size: 22978 SHA256: ee847ddf94c40c77e79451d8f89ceb000cd969a6606bd24a1527de0120198b9b SHA1: 063d55fa72a3f5431fdd5de238a12f48aec607c6 MD5sum: 99018585f83cd66204a27ef550cf0022 Description: minimalistic notification daemon Dunst is a notification daemon for Linux with a minimalistic appearance: The only thing it displays is a colored box with unformatted text. The whole notification specification (non-optional parts and the "body" capability) is supported as long as it fits into this look & feel. . Dunst is designed to fit nicely into minimalistic windowmanagers like dwm, but it should work on any Linux desktop. Package: duplicity Version: 0.6.18-3 Architecture: armhf Maintainer: Alexander Zangerl Installed-Size: 1326 Depends: libc6 (>= 2.13-28), librsync1 (>= 0.9.6), python2.7, python (>= 2.7), python (<< 2.8), python-gnupginterface (>= 0.3.2-9.1) Recommends: rsync, python-paramiko Suggests: python-boto, ncftp, python-pexpect (>= 2.3-1), python-cloudfiles, lftp, python-gdata, tahoe-lafs Homepage: http://duplicity.nongnu.org/ Priority: optional Section: utils Filename: pool/main/d/duplicity/duplicity_0.6.18-3_armhf.deb Size: 302424 SHA256: 9d619de3415e2191e3047cccc20e6c5080595843d9d29fcbb2eb51c4dc14a09e SHA1: 208f855469bfa06d08191b8a33462d521590002f MD5sum: 34156756fd03dbe073440cbb22e79f62 Description: encrypted bandwidth-efficient backup Duplicity backs directories by producing encrypted tar-format volumes and uploading them to a remote or local file server. Because duplicity uses librsync, the incremental archives are space efficient and only record the parts of files that have changed since the last backup. Because duplicity uses GnuPG to encrypt and/or sign these archives, they will be safe from spying and/or modification by the server. Package: dupload Version: 2.7.0 Installed-Size: 140 Maintainer: Josip Rodin Architecture: all Depends: perl, libnet-perl Recommends: openssh-client | ssh Suggests: lintian, mail-transport-agent Size: 31146 SHA256: a00822075e1a5e883c43d67d04e57ec725fc33d9da4123f877ec6a23a9540b80 SHA1: 327304ad7d39500a431980b788cf7bd37556c00f MD5sum: 8c8e7c0363d596795e61307009bd9af9 Description: utility to upload Debian packages dupload will automagically upload Debian packages to a remote host with a Debian upload queue. The default host is configurable, along with the upload method and a lot of other things. All uploads are logged. . It's intended only for Debian package maintainers. Tag: admin::file-distribution, devel::debian, devel::packaging, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:package Section: devel Priority: optional Filename: pool/main/d/dupload/dupload_2.7.0_all.deb Package: duply Version: 1.5.5.5-1 Installed-Size: 65 Maintainer: Joachim Wiedorn Architecture: all Depends: duplicity (>= 0.4.11), gnupg Suggests: openssh-client Size: 32308 SHA256: dc4d24dfb84adb87a8ec91120a419621302a59a1d9c70485a21f2a2a52907761 SHA1: d3555670ecd3eb0b6bfd13b0925276cdf0954127 MD5sum: d1cc4d10c35d5ad9cc56149fbe25a751 Description: easy to use frontend to the duplicity backup system duply is a shell front end to duplicity that simplifies the usage by managing settings for each backup job in profiles. It supports executing multiple commands in a batch mode to enable single line cron entries and allows the user to use pre/post backup scripts. All duplicity backends are supported. The previous name of duply was ftplicity. Homepage: http://duply.net Tag: admin::backup, role::program Section: utils Priority: optional Filename: pool/main/d/duply/duply_1.5.5.5-1_all.deb Package: durep Version: 0.9-2.3 Installed-Size: 146 Maintainer: Eduard Bloch Architecture: all Depends: perl, debconf (>= 0.5) | debconf-2.0 Recommends: libmldbm-perl Size: 34924 SHA256: b21b932aa3bae921223dc1142e59960a4899d2da1ec176b8e66703cb0ac393d3 SHA1: 7dcb4ce7b47ee80342ba43183603b5094c8c02e5 MD5sum: 6ae6f18f02d41f241f96ec63a428241e Description: create disk usage reports durep is a perl script used for disk usage reports. It can generate text output with bar graphs to allow easy comparisons of disk usage between directories. It can also generate web pages which can be navigated through the directory structure. This allows easy visual monitoring of disk usage. Tag: hardware::storage, implemented-in::perl, interface::commandline, role::program, scope::utility, use::monitor Section: utils Priority: optional Filename: pool/main/d/durep/durep_0.9-2.3_all.deb Package: dv4l Version: 1.0-5 Architecture: armhf Maintainer: Nobuhiro Iwamatsu Installed-Size: 96 Depends: libc6 (>= 2.13-28), libdv4, libiec61883-0 (>= 1.2.0), libraw1394-11 Homepage: http://dv4l.berlios.de/ Priority: optional Section: graphics Filename: pool/main/d/dv4l/dv4l_1.0-5_armhf.deb Size: 27098 SHA256: 4926a07f859e03845b9275f6580688414f1f754bb69b5c9df554570c9d5982fd SHA1: b87398e58156dae71c7eb950387833731568fd91 MD5sum: 4b8bc19416726b77be5cecf64eab02f6 Description: Redirect V4L API to access a camcorder from a V4L program dv4l enables programs to access a dv1394 (Firewire) camcorder by using the video4linux (V4L) API. dv4lstart uses the LD_PRELOAD facility to intercept calls to the V4L API and make the camcorder's videostream available. Package: dvb-apps Source: linuxtv-dvb-apps Version: 1.1.1+rev1483-1 Architecture: armhf Maintainer: Debian VDR Team Installed-Size: 3002 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libx11-6, libzvbi0 (>= 0.2.11), zlib1g (>= 1:1.1.4), makedev | udev Conflicts: alevt, libxbase2.0-bin, libxdb-dev, nmh Replaces: dvb-utils Provides: alevt Homepage: http://www.linuxtv.org/wiki/index.php/LinuxTV_dvb-apps Priority: extra Section: video Filename: pool/main/l/linuxtv-dvb-apps/dvb-apps_1.1.1+rev1483-1_armhf.deb Size: 1109294 SHA256: 026eded2ad553ade09fc08baa4d5d82669c2f27707e11de920fa2c16396c73d6 SHA1: ba46c4a0c3fae2ae4442a0a8ba50f9085fb2180f MD5sum: eac4f7993885d5736d3f86eaafadbadc Description: Digital Video Broadcasting (DVB) applications Applications and utilities geared towards the initial setup, testing and operation of an DVB device supporting the DVB-S, DVB-C, DVB-T, and ATSC standards. . Main User Applications: . scan - Scan for channels on your digital TV device . dvbscan - Another frequency scanning tool . czap, szap, tzap - Tuning utilities for DVB-C, DVB-S, DVB-T . azap - Tuning utility for ATSC . gnutv - Tune, watch and stream your TV . General Utilities: . dvbdate - Set your clock from digital TV . dvbnet - Control digital data network interfaces . dvbtraffic - Monitor traffic on a digital device . femon - Monitor the tuning on a digital TV device . zap - *Just* tunes a digital device . atsc_epg - Display ATSC Electronic Program Guide (next programs) . alevt - Teletext browser . Hardware Specific Utilities: . util/av7110_loadkeys - Load remote keys into an av7110 based card . util/dib3000-watch - Monitor DIB3000 demodulators . util/dst-utils - Utilities for DST based cards . util/ttusb_dec_reset - Reset a TechnoTrends TTUSB DEC device . Libraries: . lib/libdvbapi - Interface library to digital TV devices . lib/libdvbcfg - Library to parse/create digital TV channel . lib/libdvbsec - Library for Satellite Equipment Control operations . lib/libucsi - Fast MPEG2 Transport Stream SI table parsing library . lib/libdvben50221- Implementation of a Cenelec EN 50221 CAM stack . lib/libdvbmisc - Miscellaneous utilities used by the other libraries Package: dvb-tools Source: v4l-utils Version: 0.8.8-3 Architecture: armhf Maintainer: Gregor Jasny Installed-Size: 225 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://linuxtv.org/downloads/v4l-utils/ Priority: optional Section: utils Filename: pool/main/v/v4l-utils/dvb-tools_0.8.8-3_armhf.deb Size: 102402 SHA256: 0c1ee46c9237f2c66c0ec1ce83389711c95cbd718194426d8121a9701e3f87b6 SHA1: 51c4ff82c52bca740f5f1b7c273dc30c8ed3a721 MD5sum: e6b292057a41167bd71c9872030df8f5 Description: Collection of command line DVB utilities This is a series of tools written to help testing and working with DVB, using its latest V5 API. The tools can also work with the DVBv3 API. . The current tools are: . dvb-fe-tool: a simple test application, that reads from the frontend. it also allows one to change the default delivery system. In the future, it may be used to change any property via command line. . dvb-format-convert: converts from zap and scan initial-tuning-data-file into the new format defined to work with DVBv5. . dvbv5-scan: a DVBv5 scan tool. . dvbv5-zap: a DVBv5 zap tool. It allow to tune into a DVB channel, and to watch to a DVB service (e. g. receiving the video and audio streams, via another application using the dvr device). Package: dvbackup Version: 1:0.0.4-7 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 432 Depends: libc6 (>= 2.13-28), libpopt0 (>= 1.14), zlib1g (>= 1:1.1.4), libdv-bin (>= 0.99) Recommends: rsbep (>= 0.0.5) Suggests: star, afio, cpio Homepage: http://dvbackup.sourceforge.net/ Priority: extra Section: admin Filename: pool/main/d/dvbackup/dvbackup_0.0.4-7_armhf.deb Size: 112048 SHA256: d3bb50cb0459b379ae4f04a5444a403eb16d5cef439bf04217a6e936dc63bd44 SHA1: 934927b2b574ec1277ef3d63bb0249a0427c1429 MD5sum: 26e955ab83c8718748e09fb51c51c821 Description: backup tool using MiniDV camcorders Dvbackup hides the data it receives on standard input in a perfectly legal DV (digital video) stream. This allows you to use your DV camcorder and your DV cartridges as a potent mass storage system. Obviously, your system and your camcorder have to be connected via IEEE1394 (aka Firewire, iLink). . Current digital camcorders can save approximately 13 GB of data on those tiny DV cartridges at a speed of 3.6 MB/sec. That's faster than most DAT streamers which only work at 1 MB/sec or less. dvbackup can not use all of the data, but 10 GB should be good enough for everyone. . To bring the data on tape, you have to use an additional utility, called dvconnect, which is included in libdv-bin. Package: dvbcut Version: 0.5.4+svn178-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 559 Depends: liba52-0.7.4, libao4 (>= 1.1.0), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmad0 (>= 0.15.1b-3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.6), libswscale2 (>= 5:0.8-2~) Recommends: mplayer Homepage: http://dvbcut.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/d/dvbcut/dvbcut_0.5.4+svn178-2_armhf.deb Size: 220834 SHA256: 661580dc6f48e106262660ddbf3df925d55de7a0b7d4158ce5121b2489774ba9 SHA1: d246e1158a10691f317db3ce904c778a0e002949 MD5sum: ee2499f989df13b6de6fa494c288b59f Description: Qt application for cutting parts out of DVB streams DVBcut is a Qt application that allows you to select certain parts of an MPEG transport stream (as received via Digital Video Broadcasting, DVB) and save these parts into a single MPEG output file. It follows a `keyhole surgery'' approach where the input video and audio data is mostly kept unchanged, and only very few frames at the beginning and/or end of the selected range are re-encoded in order to obtain a valid MPEG file. Package: dvblast Version: 2.2-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 350 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.videolan.org/projects/dvblast.html Priority: optional Section: video Filename: pool/main/d/dvblast/dvblast_2.2-1_armhf.deb Size: 154494 SHA256: 9645588b5b1a3b7a4df28136490f6b3a8b7abd60aefed59cde62398411236b90 SHA1: 483bf80f844dc03dde014e76fcdae82d7255fcab MD5sum: 0531704df2f62d19292c1b3f4a0948c3 Description: Simple and powerful dvb-streaming application DVBlast is a simple and powerful streaming application based on the linux-dvb API. It opens a DVB device, tunes it, places PID filters, configures a CAM module, and demultiplexes the packets to several RTP outputs. . DVBlast is designed to be the core of a custom IRD or CID, based on a PC with Linux-supported DVB cards. . DVBlast does not do any kind of processing on the elementary streams, such as transcoding, PID remapping or remultiplexing. it does not stream from plain files, only DVB devices. If you were looking for these features, switch to VLC. Package: dvbsnoop Version: 1.4.50-4 Architecture: armhf Maintainer: Debian VDR Team Installed-Size: 852 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://dvbsnoop.sf.net Priority: extra Section: misc Filename: pool/main/d/dvbsnoop/dvbsnoop_1.4.50-4_armhf.deb Size: 206318 SHA256: 3129e9c880f9e742b51e70419e0cee1f121210d7c0eccf3112a0d74bb7288e52 SHA1: c3bd369f279e565c0d674334ce0ec2ecec0bbbe0 MD5sum: ef893927cbd7a31c0535209b8de59a72 Description: DVB / MPEG stream analyzer This sniffer program can monitor, analyze, debug, dump or view DVB / MPEG / DSM-CC / MHP stream information: * ISO/DVB basic sections: BAT, PAT, SDT, NIT, ... * DSM-CC: INT, MPE, MPE FEC, Datagram, ... * TS (Transport Stream), PS (Program Stream), PES (Packetized Elementary Stream) . Input can be a live stream from a DVB card, or a recorded stream. . For DVB cards, it can also dump frontend information and status, and make a PID scan. Package: dvbstream Version: 0.6+cvs20090621-1 Architecture: armhf Maintainer: Mark Purcell Installed-Size: 161 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Suggests: dvbtune, mpg123 Homepage: http://sourceforge.net/projects/dvbtools/ Priority: optional Section: video Filename: pool/main/d/dvbstream/dvbstream_0.6+cvs20090621-1_armhf.deb Size: 70850 SHA256: e7cca4a1f7ff14819f48efc6d89ef0845b1b15c5c1400a081ea7a889fca1d504 SHA1: 65725590e6a5d608314752bc1b2b8b12ed7bf7a6 MD5sum: 0e8817215c99ef2fe38860ca3263742a Description: Broadcast a DVB Transport stream over a LAN DVBstream is based on the ts-rtp package available at http://www.linuxtv.org. It broadcasts a (subset of a) DVB transport stream over a LAN using the rtp protocol. Package: dvbstreamer Version: 2.1.0-2.3 Architecture: armhf Maintainer: A Mennucc1 Installed-Size: 1004 Depends: libc6 (>= 2.13-28), libev4 (>= 1:4.04), libgcc1 (>= 1:4.4.0), libltdl7 (>= 2.4.2), libreadline5 (>= 5.2), libsqlite3-0 (>= 3.5.9), libyaml-0-2, zlib1g (>= 1:1.1.4) Homepage: http://dvbstreamer.sf.net/ Priority: extra Section: video Filename: pool/main/d/dvbstreamer/dvbstreamer_2.1.0-2.3_armhf.deb Size: 312268 SHA256: 89450b16c3c59fc6677e5916bd7ec0b0c72d9646bff4a366a4fab3b723c4a287 SHA1: b7a9bebb0b858fefa2df19f613101b4c17d60747 MD5sum: d3f92e6f62a3da541d96dc2bfd73860e Description: a console based streamer for DVB/ATSC service(s) DVBStreamer is a console based application to stream DVB/ATSC service(s) over UDP or to a file. It is more that just an app to stream AV though and feature a simple plugin architecture to allow more features to be added. Package: dvbtune Version: 0.5.ds-1 Architecture: armhf Maintainer: Debian VDR Team Installed-Size: 94 Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4), udev | makedev (>= 2.3.1) Homepage: http://sourceforge.net/projects/dvbtools/ Priority: optional Section: utils Filename: pool/main/d/dvbtune/dvbtune_0.5.ds-1_armhf.deb Size: 26458 SHA256: b4fc481710a5229648776d22e234c8f1fa4a0f3f1b84fe80bf8416f9331a059a SHA1: f32d8aa6ff4c1d4ebaee50e0b001e30dbeec364f MD5sum: b610829c97de339274142a4d6a5a720e Description: Simple tuning application for DVB cards DVBtune is a simple application to allow the tuning of DVB cards supported by the Linux DVB driver. . It is still very experimental, especially the XML output. Package: dvcs-autosync Version: 0.5 Installed-Size: 136 Maintainer: Rene Mayrhofer Architecture: all Depends: python, python-support (>= 0.90.0), python-pyinotify, python-xmpp Recommends: python-notify Size: 36264 SHA256: 32d9acca0dcf938e6e0e0c1fc297adb350d343ca9faa0f15f78b61c2dc260caa SHA1: 31ada1c74682fcc8542c41d5c78e7390588f930f MD5sum: 965302a3bc2971ea832f379d9bf97984 Description: Automatically synchronize distributed version control repositories dvcs-autosync is an open source replacement for Dropbox/Wuala/Box.net/etc. based on distributed version control systems (DVCS). It offers nearly instantaneous mutual updates when a file is added or changed on one side but with the added benefit of (local, distributed) versioning and that it does not rely on a centralized service provider, but can be used with any DVCS hosting option including a completely separate server. . Synchronization of directories is based on DVCS repositories. Git is used for main development and is being tested most thoroughly as the backend storage, but other DVCS such as Mercurial are also supported. dvcs-autosync is comparable to SparkleShare in terms of overall aim, but takes a more minimalistic approach. A single Python script monitors the configured directory for live changes, commits these changes to the DVCS (such as git) and synchronizes with other instances using XMPP messages. Homepage: http://www.mayrhofer.eu.org/dvcs-autosync Section: utils Priority: optional Filename: pool/main/d/dvcs-autosync/dvcs-autosync_0.5_all.deb Package: dvd+rw-tools Version: 7.1-10 Architecture: armhf Maintainer: Optical Media Tools Team Installed-Size: 270 Depends: libc6 (>= 2.4), libstdc++6 (>= 4.4.0), genisoimage, growisofs (>= 7.1-9) Suggests: cdrskin Homepage: http://fy.chalmers.se/~appro/linux/DVD+RW/ Priority: optional Section: video Filename: pool/main/d/dvd+rw-tools/dvd+rw-tools_7.1-10_armhf.deb Size: 92936 SHA256: 1cea98efe4b5a7181d39eb9a0cf0ec78dbadfef2a009549e208b76188dc57274 SHA1: 2aa682a91a6251be893156a9fe412fb9bf348e40 MD5sum: d10ddd4bb64c5cece9a9cebb26d8b0c4 Description: DVD+-RW/R tools The dvd+rw-tools suite makes it possible to burn DVD images created by dvdauthor or genisoimage to DVD+R, DVD+RW, DVD-R, and DVD-RW disks, replacing cdrecord-proDVD in many cases. . This package contains dvd+rw-mediainfo (to give details about DVD disks), and some programs to control the write speed and obtain information from DVD-RAM. . Additionally, it depends on the growisofs package to provide the main front-end for burning DVD-like media. Package: dvd+rw-tools-dbg Source: dvd+rw-tools Version: 7.1-10 Architecture: armhf Maintainer: Optical Media Tools Team Installed-Size: 106 Depends: dvd+rw-tools (= 7.1-10) Homepage: http://fy.chalmers.se/~appro/linux/DVD+RW/ Priority: extra Section: debug Filename: pool/main/d/dvd+rw-tools/dvd+rw-tools-dbg_7.1-10_armhf.deb Size: 28512 SHA256: 6a3f622a3458740d6a09cea64d72c2f02b637498122079fc14c7025eca091bca SHA1: 17230da9ef4d698a77a172632f594d3eca6f3788 MD5sum: 35bbe635632d5493dc91685d6f6c5c03 Description: DVD+-RW/R tools (debug) dvd+rw-tools makes it possible to burn DVD images created by dvdauthor or genisoimage to DVD+R, DVD+RW, DVD-R, and DVD-RW disks, replacing cdrecord-proDVD in many cases. . This package contains the debugging symbols for the programs in the growisofs and dvd+rw-tools packages. Package: dvdauthor Version: 0.7.0-1.1 Architecture: armhf Maintainer: Marc Leeman Installed-Size: 488 Depends: libbz2-1.0, libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libgraphicsmagick3 (>= 1.3.5), libice6 (>= 1:1.0.0), libjasper1, libjbig0, libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), libltdl7 (>= 2.4.2), libpng12-0 (>= 1.2.13-4), libsm6, libtiff4 (>> 3.9.5-3~), libwmf0.2-7 (>= 0.2.8.4), libx11-6, libxext6, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Priority: optional Section: otherosfs Filename: pool/main/d/dvdauthor/dvdauthor_0.7.0-1.1_armhf.deb Size: 218010 SHA256: 067bcea21ed660ad138bbe6a0d920b102d19c231e07b1ad3b1eecfec09aa4c18 SHA1: 6d1331d3940661ed46f9d054ffcda19cdd7890dd MD5sum: b02dd4b5252b1af62af338a199a547a8 Description: create DVD-Video file system dvdauthor is a program that will generate a DVD movie from a valid mpeg2 stream that should play when you put it in a DVD player. Package: dvdbackup Version: 0.4.2-1 Architecture: armhf Maintainer: Stephen Gran Installed-Size: 346 Depends: libc6 (>= 2.13-28), libdvdread4 Suggests: libdvdcss2 Homepage: http://dvdbackup.sourceforge.net Priority: optional Section: video Filename: pool/main/d/dvdbackup/dvdbackup_0.4.2-1_armhf.deb Size: 52702 SHA256: ab009eb95018eeae57086687e7994781ef79a0ee6074e2882a15c3c3982e467c SHA1: 74a34fbe1b030fbb6b81829f9c01287ea224c61c MD5sum: 5d14025fdfb463c42da5c6ddd97c6606 Description: tool to rip DVD's from the command line dvdbackup will extract all (or optionally only selected) titles as found on the dvd. It will structure the extracted files in a format suitable for burning at a later time with genisoimage and dvdrecord. Has the advantage of being very easy to use, small, and fast. Package: dvdbackup-dbg Source: dvdbackup Version: 0.4.2-1 Architecture: armhf Maintainer: Stephen Gran Installed-Size: 80 Depends: dvdbackup (= 0.4.2-1) Homepage: http://dvdbackup.sourceforge.net Priority: extra Section: debug Filename: pool/main/d/dvdbackup/dvdbackup-dbg_0.4.2-1_armhf.deb Size: 36232 SHA256: 675c06095fe5049fb5569be83ff2f76c5ab3ee588c6a0bdda321b99831491ffd SHA1: cb8db5f87f69f0b52d5c67e3e959240a98bb1391 MD5sum: a3572ea741c564ffa608ebd97939d832 Description: debug files for dvdbackup dvdbackup will extract all (or optionally only selected) titles as found on the dvd. It will structure the extracted files in a format suitable for burning at a later time with genisoimage and dvdrecord. Has the advantage of being very easy to use, small, and fast. . This package contains the stripped debugging symbols from dvdbackup. Package: dvdisaster Version: 0.72.4-1 Architecture: armhf Maintainer: Optical Media Tools Team Installed-Size: 1468 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), xdg-utils Recommends: dvdisaster-doc Homepage: http://dvdisaster.net/ Priority: optional Section: otherosfs Filename: pool/main/d/dvdisaster/dvdisaster_0.72.4-1_armhf.deb Size: 532920 SHA256: e9f319a39127c84950b00faf21fc6ea62081d6824310c2f45d51c9092e91b88b SHA1: b915be89dfb263c2e5590417fb7c9ba9fadbf5e5 MD5sum: e2b602b1ff13bdd695d545d97042223d Description: data loss/scratch/aging protection for CD/DVD media dvdisaster provides a margin of safety against data loss on CD and DVD media caused by scratches or aging media. It creates error correction data which is used to recover unreadable sectors if the disc becomes damaged at a later time. Package: dvdisaster-doc Source: dvdisaster Version: 0.72.4-1 Installed-Size: 22460 Maintainer: Optical Media Tools Team Architecture: all Suggests: dvdisaster Size: 13418798 SHA256: 1d4a25b8fd70eaa484e698b6ab5ae62fa3ad8f14b37b9bd20719d239be8ac4f6 SHA1: 187c58ca714bfb3367290c679c61954db49ec9fd MD5sum: febaf6fa2baba71ed23bb16a486fb1a6 Description: data loss/scratch/aging protection for CD/DVD media (documentation) dvdisaster provides a margin of safety against data loss on CD and DVD media caused by scratches or aging media. It creates error correction data which is used to recover unreadable sectors if the disc becomes damaged at a later time. . This package contains the documentation. Homepage: http://dvdisaster.net/ Tag: admin::hardware, role::documentation Section: doc Priority: optional Filename: pool/main/d/dvdisaster/dvdisaster-doc_0.72.4-1_all.deb Package: dvdtape Version: 1.6-1 Architecture: armhf Maintainer: Steve McIntyre <93sam@debian.org> Installed-Size: 54 Depends: libc6 (>= 2.7) Priority: extra Section: utils Filename: pool/main/d/dvdtape/dvdtape_1.6-1_armhf.deb Size: 13312 SHA256: 9b9228bcafa34dd8def749956c82313cb58b0e0d37bf4ea3fb63cba3986b6ad9 SHA1: d764cb1c9fd83585f11d11a5b6f4dc1696ee1e4b MD5sum: 0ea3583effdd9315ab1a3ca40fb28878 Description: Create DVD master filesystems on DLT media This tool will create the control files needed when mastering DVDs for manufacturing (DDP information, DDPMS information, "lead in") and output them to DLT tape(s) or disk files as desired. Package: dvgrab Version: 3.5-2 Architecture: armhf Maintainer: Erik Schanze Installed-Size: 287 Depends: libavc1394-0 (>= 0.5.3), libc6 (>= 2.13-28), libdv4, libgcc1 (>= 1:4.4.0), libiec61883-0 (>= 1.2.0), libjpeg8 (>= 8c), libquicktime2 (>= 2:1.2.2), libraw1394-11, libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Homepage: http://www.kinodv.org/ Priority: extra Section: video Filename: pool/main/d/dvgrab/dvgrab_3.5-2_armhf.deb Size: 132648 SHA256: dc04ba9190165435b50eac0697fbfdbd08a62d6730971087f79f051682e96a86 SHA1: c8add856330480c8315fb814ac13137eebdfe45d MD5sum: 2452e20fa06a6bbd7cbd2e04b8ef734a Description: grab digital video data via IEEE1394 and USB links dvgrab receives audio and video data from a digital camcorder via an IEEE1394 (widely known as FireWire) or USB link and stores them into one of several file formats. It features autosplit of long video sequences, and supports saving the data as raw frames, AVI type 1, AVI type 2, Quicktime DV, a series of JPEG stills or MPEG2-TS. Package: dvhtool Version: 1.0.1-5 Architecture: armhf Maintainer: Guido Guenther Installed-Size: 61 Depends: libc6 (>= 2.7) Priority: optional Section: utils Filename: pool/main/d/dvhtool/dvhtool_1.0.1-5_armhf.deb Size: 13402 SHA256: 8ab9c03a242f95376069c7c511c3ea42a498f680072ef32bcce01228e7b76cff SHA1: dc7b0ef3cca93efad0414d9a1c3f1c1ea07a1540 MD5sum: a0db779c67f63d397506b2105e121642 Description: Manipulate the volume header on sgi partition layouts This tool is used to manipulate volume headers of devices using sgi disk labels, like moving files into and out of the volume header. Package: dvi2dvi Source: dvi2dvi (2.0alpha-9.2) Version: 2.0alpha-9.2+b1 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 126 Depends: libc6 (>= 2.13-28), libkpathsea6 Recommends: dvi2ps-fontdata-ja, dvi2ps-fontdata-n2a Priority: optional Section: tex Filename: pool/main/d/dvi2dvi/dvi2dvi_2.0alpha-9.2+b1_armhf.deb Size: 38430 SHA256: 0f068a4a461040b9e95cc386bcb785e46b0389175ce4852306fa2d56e98e5835 SHA1: aaa8a8d8d529cc79dca27729d5e25751a74b197a MD5sum: f42c46a1898e5deb3d6a4dc40fe40f8f Description: Tweak DVI files dvi2dvi can: - expand virtual fonts in given DVI files, - change font names in given DVI files, - decompose 2-byte fonts into 1-byte subfonts. With dvi2dvi, you can convert DVI files generated by NTT jTeX to those of ASCII pTeX, and vice versa. Package: dvi2ps Source: dvi2ps (5.1j-1) Version: 5.1j-1+b1 Architecture: armhf Maintainer: OHURA Makoto Installed-Size: 483 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libkpathsea6, zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, texlive-base-bin | tetex-bin Suggests: dvi2ps-fontdata-ja Conflicts: dvi2ps-fontdata-a2n (<< 1.0-6), dvi2ps-fontdata-ja (<< 1.0-6), dvi2ps-fontdata-rrs (<< 1.0-6), dvi2ps-fontdata-rsp (<< 1.0-6), dvi2ps-fontdata-tbank (<< 1.0-6), dvi2ps-j Replaces: dvi2ps-j Priority: optional Section: tex Filename: pool/main/d/dvi2ps/dvi2ps_5.1j-1+b1_armhf.deb Size: 216732 SHA256: c53def4d7fb04d3156a914eef1d6edd0891bf1a034f11f5486056e22b8a5ea0a SHA1: 6f83bd7c9d6219fc4a498684c09df50910dcd116 MD5sum: 49bd78493b18237100de1272a6193819 Description: TeX DVI-driver for NTT JTeX, MulTeX and ASCII pTeX dvi2ps is another converter of DVI file to PostScript file. dvi2ps can handle dvi files of NTT JTeX, MulTeX and ASCII pTeX. Package: dvi2ps-fontdata-a2n Source: dvi2ps-fontdata Version: 1.0.1-3 Installed-Size: 1436 Maintainer: OHURA Makoto Architecture: all Depends: dvi2ps-fontdata-ja, dvi2dvi Conflicts: dvi2ps (<< 3.2j), fontdata-a2n, fontdata-j Size: 432876 SHA256: ffdb9ed4be86299b6e6a3b7d1cc5cf2d11a9d11027fa68ad58a5e11558257b33 SHA1: 896eaef2b60b5dceca483129ddc80809335c638e MD5sum: 1ee93d8320061b80e58e1a5e78a65b85 Description: Font data to convert pTeX's dvi file to jTeX's dvi file Virtual font for converting dvi files of ASCII pTeX to dvi files to NTT jTeX. . If you want to convert pTeX dvi to jTeX dvi, install this package and use dvi2dvi. Tag: culture::japanese, interface::commandline, made-of::font, role::app-data, use::converting, works-with-format::postscript, works-with-format::tex, works-with::text Section: fonts Priority: optional Filename: pool/main/d/dvi2ps-fontdata/dvi2ps-fontdata-a2n_1.0.1-3_all.deb Package: dvi2ps-fontdata-ja Source: dvi2ps-fontdata Version: 1.0.1-3 Installed-Size: 3628 Maintainer: OHURA Makoto Architecture: all Conflicts: dvi2ps (<< 3.2j), fontdata-j Size: 992064 SHA256: d90e8b894a899954d7981664f9ad2eb334ed303385b9c9dafa11824fece63392 SHA1: d4f55e1763688d8f22f1b2fd228811dad7f22015 MD5sum: cabd4158923bc292e3d9f5108027eac4 Description: Font data for dvi2ps-j and dvi2dvi Virtual fonts and TFM files for Morisawa fonts, and VFlib. . If you want to convert pTeX dvi and/or jTeX dvi to Postscript using dvi2ps, install this package. Tag: culture::japanese, interface::commandline, made-of::font, role::app-data, use::converting, works-with-format::postscript, works-with-format::tex, works-with::text Section: fonts Priority: optional Filename: pool/main/d/dvi2ps-fontdata/dvi2ps-fontdata-ja_1.0.1-3_all.deb Package: dvi2ps-fontdata-n2a Source: dvi2ps-fontdata Version: 1.0.1-3 Installed-Size: 4164 Maintainer: OHURA Makoto Architecture: all Depends: dvi2ps-fontdata-ja, dvi2dvi Conflicts: dvi2ps (<< 3.2j), fontdata-j, fontdata-n2a Size: 417818 SHA256: 57504a3170a23389dc7f6d1946b55a81a01de7f9300ae7fee39a5598f2f4ec48 SHA1: 228de78928f3754e0d86ab98c9ffb75d11f09d14 MD5sum: a351d3283d7fdbce5ffc8cb75c1cc5e1 Description: Font data to convert jTeX dvi file to pTeX dvi file Virtual font for converting dvi files of NTT jTeX to dvi files of ASCII pTeX. . If you want to convert jTeX dvi to pTeX dvi, install this package and use dvi2dvi. Tag: culture::japanese, interface::commandline, made-of::font, role::app-data, use::converting, works-with-format::postscript, works-with-format::tex, works-with::text Section: fonts Priority: optional Filename: pool/main/d/dvi2ps-fontdata/dvi2ps-fontdata-n2a_1.0.1-3_all.deb Package: dvi2ps-fontdata-ptexfake Source: dvi2ps-fontdata Version: 1.0.1-3 Installed-Size: 92 Maintainer: OHURA Makoto Architecture: all Depends: dvi2ps-fontdata-ja Conflicts: dvi2ps (<< 3.2j), fontdata-j, fontdata-ptex, ptex-base Size: 2344 SHA256: 43d612109280ff98205dbb9e9f1a17d47caef5a7ae63356b79fe270b1ce49f8b SHA1: cc5e86b9a1f5bb83f3f84af31b494ddf34a1118b MD5sum: f2f79ee8de51585a89a7a080558aa64a Description: Fake pTeX TFM files Monometric TFM files for min and goth fonts of ASCII pTeX. . If you want only to convert pTeX dvi to Postscript and/or to preview pTeX dvi with xdvik-ja, install this package instead of ptex-base. Tag: culture::japanese, interface::commandline, made-of::font, role::app-data, use::converting, works-with-format::postscript, works-with-format::tex, works-with::text Section: fonts Priority: extra Filename: pool/main/d/dvi2ps-fontdata/dvi2ps-fontdata-ptexfake_1.0.1-3_all.deb Package: dvi2ps-fontdata-rsp Source: dvi2ps-fontdata Version: 1.0.1-3 Installed-Size: 3144 Maintainer: OHURA Makoto Architecture: all Depends: dvi2ps-fontdata-ja Conflicts: dvi2ps (<< 3.2j), fontdata-j, fontdata-rsp Size: 902890 SHA256: dc3e6a05ee176011db5768508e0ba16ced9b3ec9b4d51cb3459ba9253628d121 SHA1: e30a03101dba1a690c4c5f362134a550dd221419 MD5sum: 75860a38d20ee50347501da1a6c6d19e Description: Font data of RICOH SP10 printer Virtual font and TFM files for RICOH SP-10 printer. . If you want to print out to RICOH PC LASER SP-10PS, install this package. Tag: culture::japanese, hardware::printer, interface::commandline, made-of::font, role::app-data, use::converting, works-with-format::postscript, works-with-format::tex, works-with::text Section: fonts Priority: optional Filename: pool/main/d/dvi2ps-fontdata/dvi2ps-fontdata-rsp_1.0.1-3_all.deb Package: dvi2ps-fontdata-tbank Source: dvi2ps-fontdata Version: 1.0.1-3 Installed-Size: 3144 Maintainer: OHURA Makoto Architecture: all Depends: dvi2ps-fontdata-ja Conflicts: dvi2ps (<< 3.2j), fontdata-j, fontdata-tbank Size: 902988 SHA256: ec600fef84e727ba90656435567da6a7a8d565d102b022c0cbbb77dd8adac2de SHA1: 87485f94fa2c8dfcdb05c3da32517987cff35e54 MD5sum: 7f689bdb6ce854c161a145e9dec5f210 Description: Font data of Typebank font Virtual font and TFM files for Typebank font. . If you want to print out to QMS printer, install this package. Tag: culture::japanese, hardware::printer, interface::commandline, made-of::font, role::app-data, use::converting, works-with-format::postscript, works-with-format::tex, works-with::text Section: fonts Priority: optional Filename: pool/main/d/dvi2ps-fontdata/dvi2ps-fontdata-tbank_1.0.1-3_all.deb Package: dvi2ps-fontdata-three Source: dvi2ps-fontdata Version: 1.0.1-3 Installed-Size: 2536 Maintainer: OHURA Makoto Architecture: all Depends: dvi2ps-fontdata-ja Conflicts: dvi2ps (<< 3.2j), fontdata-j, fontdata-three Size: 685500 SHA256: 9c7cf8a129222094611fda8b49cea8db4912a56ac2fc10e3d34f0eb1f9ffe062 SHA1: 80409b36aaae6633793890716d8198cff2bc9694 MD5sum: 0be50c421cbe6415379bfe6a853f2378 Description: Font data of Adobe Japanese fonts (futomin, futogo, jun101) Virtual font and TFM files for Adobe postscript fonts: FutoMinA101-Bold-H, FutoGoB101-Bold-H, Jun101-Light-H Also style file and fontdesc file for these fonts. Tag: culture::japanese, interface::commandline, made-of::font, role::app-data, use::converting, works-with-format::postscript, works-with-format::tex, works-with::text Section: fonts Priority: optional Filename: pool/main/d/dvi2ps-fontdata/dvi2ps-fontdata-three_1.0.1-3_all.deb Package: dvi2ps-fontdesc-morisawa5 Version: 0.5 Installed-Size: 52 Maintainer: Atsuhito KOHDA Architecture: all Depends: dvi2ps (>= 5.1j), texlive-lang-cjk Recommends: ptex-bin, okumura-clsfiles Size: 3400 SHA256: 912e29d508782bf93aad4c44a1234ff0e64e7b88499d762c7d81bfab34652a8e SHA1: 0fbc34d030d6f71db95f98af878d8538d7dd06fe MD5sum: 6522b368c96d221aa0b492dbc3b43f8d Description: fontdesc files of dvi2ps for Morisawa Basic-5 type faces You can convert DVI file with Morisawa Basic-5 type faces of vfdata-morisawa5 to PS file by dvi2ps with this package. . You should run 'dvi2ps -F morisawa' for Morisawa Basic-5 type faces. Tag: culture::japanese, role::app-data, use::converting, use::editing, use::text-formatting, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/d/dvi2ps-fontdesc-morisawa5/dvi2ps-fontdesc-morisawa5_0.5_all.deb Package: dvidvi Version: 1.0-8etch2 Architecture: armhf Maintainer: Lionel Elie Mamane Installed-Size: 64 Depends: libc6 (>= 2.7) Replaces: texlive-extra-utils (<< 2005.dfsg.2-12) Priority: optional Section: tex Filename: pool/main/d/dvidvi/dvidvi_1.0-8etch2_armhf.deb Size: 16662 SHA256: 53508873e0e399932a511d593111ba264e92e9e90b5ef5b2c5ed0709fa7d3b35 SHA1: f45e80206b412dea61f411d457fcf86383528b83 MD5sum: 1f6cfbc027c352ab53b2bd5b2327551a Description: Manipulate .dvi files Allows you to select, change the order, and/or shift the pages in a .dvi file. . This can for example be used to print an A5 booklet on A4 paper, in such a way that you can put a staple through the bundle. A shell script that does just that is provided. Package: dvifb Source: tmview (1:01.03-14.1) Version: 1:01.03-14.1+b1 Architecture: armhf Maintainer: Sam Hocevar (Debian packages) Installed-Size: 272 Depends: libc6 (>= 2.13-28), libkpathsea6, gpm, texlive Priority: optional Section: tex Filename: pool/main/t/tmview/dvifb_01.03-14.1+b1_armhf.deb Size: 135846 SHA256: ef87bc1fd82c22a472c6bb6fc1ac134790e3334b10b0dcba605a1e845fb2a4e7 SHA1: 4c5bc32de4c55c58f92e3f9259f69b62ac87ae64 MD5sum: a3a4daa827103355e7e605604e511149 Description: dvi viewer for framebuffer devices dvifb is a previewer for .dvi-files compiled by TeX. It lets you see what your printed output will look like. Package: dvilx Source: tmview (1:01.03-14.1) Version: 1:01.03-14.1+b1 Architecture: armhf Maintainer: Sam Hocevar (Debian packages) Installed-Size: 268 Depends: libc6 (>= 2.13-28), libkpathsea6, libx11-6, texlive Priority: optional Section: tex Filename: pool/main/t/tmview/dvilx_01.03-14.1+b1_armhf.deb Size: 137772 SHA256: 73ad66d8a5be24633cede391ae97804eca135119d4c001ac8f20187626b67b3b SHA1: 3059249e4457e886597e6193d74f32f2acfe1dd9 MD5sum: 53a7294766b984eed27461db92d56a12 Description: dvi viewer for X dvilx is a screen-previewer for .dvi-files compiled by TeX. It lets you see what your printed output will look like. You can choose between a black-and-white representation and greyscaling. You can choose an arbitrary zoom factor (at some cost of performance). You can set marks to measure distances. You can search for text strings. You may visit lots of DVI files, set bookmarks and get them saved to a startup-file. dvilx does not support pxl-files. dvilx ignores all 'special'-commands and has no font-replacing mechanism. Package: dvipng Source: dvipng (1.14-1) Version: 1.14-1+b1 Architecture: armhf Maintainer: Varun Hiremath Installed-Size: 175 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libkpathsea6, libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4), dpkg (>= 1.15.4) | install-info, texlive-base-bin, ghostscript Homepage: http://www.nongnu.org/dvipng/ Priority: optional Section: utils Filename: pool/main/d/dvipng/dvipng_1.14-1+b1_armhf.deb Size: 89956 SHA256: e179ea6216bb3fe211d4516b99e5ab603e3c545d3d8ab0497de7a71af6aee4d0 SHA1: 7a41b44f52cfe35db496ae129622af3879d9765c MD5sum: 8fdbdad138be7ded7680525e608f10c9 Description: convert DVI files to PNG graphics dvipng makes PNG graphics from DVI files as obtained from TeX and its relatives. It is intended to produce anti-aliased screen-resolution images as fast as is possible. This makes dvipng suitable for generating large amounts of images on-the-fly. . dvipng does not read the postamble, so it can be started before TeX finishes. It can read options interactively through stdin, and all options are usable. One can even change the input file through this interface. . dvipng supports PK, VF, PostScript Type1, and TrueType fonts, color specials and simple PostScript inclusion specials. Package: dvipost Version: 1.1-4 Architecture: armhf Maintainer: Debian LyX Maintainers Installed-Size: 91 Depends: libc6 (>= 2.13-28), dpkg (>= 1.14.18), tex-common (>= 2.00) Recommends: texlive-base-bin Homepage: http://efeu.cybertec.at/ Priority: optional Section: tex Filename: pool/main/d/dvipost/dvipost_1.1-4_armhf.deb Size: 22024 SHA256: 8e2730e850befb32750f599a8add2eb28e041f0d2fb938bbfeb210c31d9993af SHA1: f77e02470423709bbc08e3e2aa6ef099896458ee MD5sum: 77b5087e2b9c000c3680a7addb7eb3db Description: Post processor for dvi files supporting change bars Dvipost is a post processor for dvi files, created by LaTeX or TeX. If the command is invoked as pplatex, it integrates the call of latex and the post processing of the dvi file. . Dvipost is used for special modes, which normally need the support of dvi drivers (such as dvips). With dvipost, these features could be implemented independent of the preferred driver. Currently, the post processor supports layout raster, change bars and overstrike mode. Package: dvips-fontdata-n2bk Version: 0.0.2001.12.12-3 Installed-Size: 4172 Maintainer: Atsuhito KOHDA Architecture: all Size: 491722 SHA256: 7b054ed68a077878cac81d43fbc2c08b522fa3e0ef0ea7fc73a474f7159e411c SHA1: 71dcc9620bd87464056ac24853550bfb6d05d119 MD5sum: 2c57db4fa6f7adcb0a8dfb3314690435 Description: Virtual font data to process dvi files generated by NTT-JTeX This package contains virtual font data to convert Dai-Nippon fonts, which are used in dvi files generated by NTT-JTeX, to Japanese built-in fonts in PostScript printer. Tag: culture::japanese, made-of::font, role::app-data, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/d/dvips-fontdata-n2bk/dvips-fontdata-n2bk_0.0.2001.12.12-3_all.deb Package: dvipsk-ja Version: 5.98+p1.7b-1.1 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 329 Depends: libc6 (>= 2.13-28), libkpathsea6, dpkg (>= 1.14.18), tex-common (>= 3), texlive-binaries, texlive-base Recommends: dvi2ps-fontdata-ja Suggests: ptex-bin | jtex-bin Priority: optional Section: tex Filename: pool/main/d/dvipsk-ja/dvipsk-ja_5.98+p1.7b-1.1_armhf.deb Size: 156318 SHA256: f02901d2a3877a0c43a25c4ee89842302745aceec90176a989809cacc9498df2 SHA1: 4745973fea6869de44e2a277b6a7f4000942cef4 MD5sum: 29393b332e3f105c346c83990e6ab732 Description: DVI-to-PostScript translator with Japanese support This localized version of Tom Rokicki's dvips can handle Japanese DVI files. It can even output in Tate-Gaki(means "write vertically") style. . This is part of the ASCII pTeX distribution. Package: dvorak7min Version: 1.6.1-13.1 Architecture: armhf Maintainer: Martin Kelly Installed-Size: 81 Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5 Priority: optional Section: games Filename: pool/main/d/dvorak7min/dvorak7min_1.6.1-13.1_armhf.deb Size: 24746 SHA256: e35d34a3e9254205042aa72bdca85499102b09a66543c73ac53da65f7206e9ca SHA1: 916faf75716af774317d5631ec8c883d89609a4f MD5sum: ed65d1f4ff6fc4cf80270f32ff485dd3 Description: typing tutor for Dvorak keyboards dvorak7min is a typing tutor to help you learn the Dvorak keyboard layout. . It consists of 29 short lessons and will gives immediate feedback on typing speed and accuracy. Package: dvsink Source: dvswitch Version: 0.8.3.6-1 Architecture: armhf Maintainer: Ben Hutchings Installed-Size: 70 Depends: libc6 (>= 2.13-28) Homepage: http://dvswitch.alioth.debian.org/ Priority: extra Section: video Filename: pool/main/d/dvswitch/dvsink_0.8.3.6-1_armhf.deb Size: 18662 SHA256: 1ed776df5d2ed2539df85157ad422006e364ce7b1710fc2ae44bc98f191576b8 SHA1: 166d3d2d2b51a85fb1f0215266b30fbc3bb52205 MD5sum: bd451099c5c87936feda1565a98f2f82 Description: programs to sink streams from dvswitch This packages provides two sinks for dvswitch: . dvsink-command runs a command with the DV stream on its standard input. . dvsink-files stores DV frames in files named according to a pattern. Package: dvsource Source: dvswitch Version: 0.8.3.6-1 Architecture: armhf Maintainer: Ben Hutchings Installed-Size: 97 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), dvgrab Homepage: http://dvswitch.alioth.debian.org/ Priority: extra Section: video Filename: pool/main/d/dvswitch/dvsource_0.8.3.6-1_armhf.deb Size: 29776 SHA256: ce48b5cd98d53ef2fbfb27dbe2803d779096358253817027e12aac34ab6b9aad SHA1: e9eabe90885720a25509713747f4833514a35eef MD5sum: ceef1ea60681a10079eef62b49c510af Description: programs to provide source streams for dvswitch This package provides sources for dvswitch: . dvsource-alsa captures audio from an ALSA device. . dvsource-file reads DV frames from a file and sends them at the normal frame rate. . dvsource-firewire reads DV frames from a camera or other device connected by Firewire (1394). . dvsource-v4l2-dv reads DV frames from a Video4Linux2 device such as a USB-connected DV camera. Package: dvswitch Version: 0.8.3.6-1 Architecture: armhf Maintainer: Ben Hutchings Installed-Size: 345 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.24.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libx11-6, libxext6, libxv1 Suggests: dvsink, dvsource Homepage: http://dvswitch.alioth.debian.org/ Priority: extra Section: video Filename: pool/main/d/dvswitch/dvswitch_0.8.3.6-1_armhf.deb Size: 126086 SHA256: 2cc9ab31fcb44a2bd88955258d62e8d1471783932fa226789cf6e1c8ee9724ee SHA1: 1e06d95953912c07d2287484fe73f22885801054 MD5sum: e4eaa60ebf45515f4a08616a53917e0c Description: basic video mixer for live DV streams dvswitch is a very basic video mixer that receives DV streams from sources and sends a DV stream to sinks over a TCP/IP network. It has a graphical interface in which all source streams can be viewed and selected from. . It is intended to be used for live editing at conferences. Package: dvtm Version: 0.6-1 Architecture: armhf Maintainer: Albin Tonnerre Installed-Size: 107 Depends: libc6 (>= 2.4), libncursesw5 (>= 5.6+20070908), libtinfo5 Recommends: ncurses-term Homepage: http://www.brain-dump.org/projects/dvtm Priority: extra Section: utils Filename: pool/main/d/dvtm/dvtm_0.6-1_armhf.deb Size: 26482 SHA256: 01a02c010e3c7c227adf22b8997e11e68e65fd9765f31a75c6268993a1c53f76 SHA1: 80eea30f607e213e7d66f104879fcf8e6e237b90 MD5sum: c47adde4c8db5073151dc3208bfb65dd Description: Tiling window management for the console dvtm (dynamic virtual terminal manager) brings dwm and it's concept of tiling window management to the console. As a console window manager it tries to make it easy to work with multiple console based programs. Package: dwarfdump Source: dwarfutils Version: 20120410-2+deb7u2 Architecture: armhf Maintainer: Fabian Wolff Installed-Size: 456 Depends: libc6 (>= 2.13-28), libelf1 (>= 0.131), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Priority: optional Section: utils Filename: pool/main/d/dwarfutils/dwarfdump_20120410-2+deb7u2_armhf.deb Size: 221372 SHA256: e48eeb6e61f23a361e71807189e3cd44a6e923985f215ce499fd9609a9c3e3e9 SHA1: 57e83fcee1bd6f4fde27d3c73250c1b463cb3698 MD5sum: 310755e0fcc411d73dbdac5eac797b96 Description: utility to dump DWARF debug information from ELF objects This package contains the newer implementation of dwarfdump written in C++, sometimes called dwarfdump2. . This utility is part of dwarfutils. Package: dwarves Source: dwarves-dfsg Version: 1.10-2 Architecture: armhf Maintainer: Thomas Girard Installed-Size: 866 Depends: libc6 (>= 2.13-28), libdw1 (>= 0.148), libelf1 (>= 0.142), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4) Homepage: http://acmel.wordpress.com Priority: extra Section: utils Filename: pool/main/d/dwarves-dfsg/dwarves_1.10-2_armhf.deb Size: 427700 SHA256: 7e65fa4194ea569c09b6026943d4bb653b2abac4bd372b22a6193e526a456352 SHA1: c80886d77c75fec91188d1c2bf37deecb773f814 MD5sum: 62afa7b4d7e6baa6de7b1272ee4461bf Description: set of advanced DWARF utilities This package contains tools that use the DWARF debugging information inserted in ELF binaries by the compiler. This information is already used by debuggers (e.g. GDB), and more recent tools such as systemtap. . Utilities in the dwarves suite include: . - pahole: finds alignment holes in structs and classes in languages such as C/C++, CPU cacheline alignment. Helps repack those structures to achieve more cache hits. - codiff: a diff like tool to compare the effects changes in source code generate on the resulting binaries - pfunct: displays all sorts of information about functions, inlines, etc. - pdwtags: pretty-prints DWARF information - pglobal: lists global symbols - prefcnt: counts DWARF tags usage - syscse: system call sign extender - dtagnames: lists tag names Package: dwb Version: 20120628hg-1 Architecture: armhf Maintainer: Nathan Owens Installed-Size: 560 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.0.0), libjavascriptcoregtk-3.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.37.92), libwebkitgtk-3.0-0 (>= 1.3.13) Recommends: wget, xterm Homepage: http://portix.bitbucket.org/dwb/ Priority: extra Section: web Filename: pool/main/d/dwb/dwb_20120628hg-1_armhf.deb Size: 178918 SHA256: 160f306afae9266fd376ff7cf19a097c438ccd60cd141679f1562c8ca3fc20aa SHA1: 5905cefdcfa5c232f2e7a6eb03ec4282c52c65eb MD5sum: ce94c14debfb60870590640e0edb0cdc Description: lightweight webkit browser Highly customizable browser that can be easily configured through a web interface. Intends to be mostly keyboard driven. . Features: - vi like shortcuts - Link followed by keyboard hints - Bookmarks - Quickmarks - Cookie support - Proxy support - Userscript support - Tab completion for histroy, bookmarks, userscripts - Custom CSS Stylesheets - Tiling layouts - and more... Package: dwdiff Version: 2.0.4-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 196 Depends: libc6 (>= 2.13-28), libicu48 (>= 4.8-1) Homepage: http://os.ghalkes.nl/dwdiff.html Priority: extra Section: utils Filename: pool/main/d/dwdiff/dwdiff_2.0.4-1_armhf.deb Size: 59102 SHA256: 024b038705bfb53bea87ef5efb495c98c64a3b773e88b7c71385f64d6af57ba6 SHA1: 219e0857055b839668775c05bea650aa189981b2 MD5sum: 6353713a0415f516c59c24d9f7882a5a Description: diff program that operates word by word dwdiff is a diff program that operates at the word level instead of the line level. It is different from wdiff in that it allows the user to specify what should be considered whitespace, and in that it takes an optional list of characters that should be considered delimiters. Delimiters are single characters that are treated as if they are words, even when there is no whitespace separating them from preceding words or delimiters. dwdiff is mostly commandline compatible with wdiff. Only the --autopager, --terminal and --avoid-wraps options are not supported. . The default output from dwdiff is the new text, with the deleted and inserted parts annotated with markers. Command line options are available to change both what is printed, and the markers. Package: dwm Version: 6.0-4+deb7u1 Architecture: armhf Maintainer: Vasudev Kamath Installed-Size: 204 Depends: libc6 (>= 2.13-28), libx11-6, libxinerama1 Recommends: suckless-tools, x11-xserver-utils Provides: x-window-manager Homepage: http://www.suckless.org/ Priority: optional Section: x11 Filename: pool/main/d/dwm/dwm_6.0-4+deb7u1_armhf.deb Size: 79808 SHA256: 9b829b44fa5235b5e3e2bc0599712ddcd95392dd20a8d153eca9312f1110e701 SHA1: 8fd696072d2dee81c9a85b2ef714f6f3c8b61197 MD5sum: 72adb30b1c6f719b293b62989668dc21 Description: dynamic window manager dwm is a minimalistic window manager. It manages windows in tiling and floating modes. Either mode can be applied dynamically, depending on the application in use and the task performed. . In tiling mode windows are managed in a master and stacking column. The master column contains the window which needs most attention at a time, whereas the stacking column contains all other windows in a stack. Dialog windows are managed floating, however. . In floating mode windows can be resized and moved freely. Windows are grouped by tags. All windows with a specific tag can be viewed at a time. But each window may contain more than one tag, which makes it visible in several views. . Please notice that dwm is currently customized through editing its source code, so you probably want to build your own dwm packages. This package is compiled with the default configuration and should just give you an idea about what dwm brings to your desktop. Package: dwoo Version: 1.1.1-1 Installed-Size: 6272 Maintainer: Penny Leach Architecture: all Depends: php5 | php5-cgi | php5-cli Size: 475518 SHA256: 14046efc8c2f1226316f55a09c04c93c87180586f53870480a6e6fdacdf48502 SHA1: 81b1aec3d6c9e6ab6135b2246775fb07cb61c634 MD5sum: c0a60a22f67708890cf0a9a17fa00718 Description: PHP5 template engine Dwoo is a PHP5 template engine positioned as an alternative to Smarty. It is (nearly) fully compatible with Smarty's templates and plugins, but it is written from scratch and aimed at going one step further with a cleaner codebase. Homepage: http://dwoo.org/ Section: php Priority: optional Filename: pool/main/d/dwoo/dwoo_1.1.1-1_all.deb Package: dwww Version: 1.11.8 Architecture: armhf Maintainer: Robert Luberda Installed-Size: 440 Depends: apache2 | httpd-cgi, debianutils (>= 2.5), doc-base (>= 0.8.12), file, libfile-ncopy-perl, libmime-types-perl (>= 1.15), man-db (>> 2.5.2), mime-support, ucf (>= 3.12), debconf (>= 0.5) | debconf-2.0, perl, libc6 (>= 2.4) Recommends: apt, dlocate (>= 0.5-0.1), info2www, swish++ Suggests: doc-debian, dpkg-www, links | www-browser Priority: optional Section: doc Filename: pool/main/d/dwww/dwww_1.11.8_armhf.deb Size: 131372 SHA256: 47067651ce5ee35522e3e462289837d6928a9f98883dbd6ec7c07dbbbd87ae1c SHA1: 4ebf9715fd71c10e00c4327209799aa7e0c21107 MD5sum: ac1d6979af047fa6e49986cf7f29e8ca Description: Read all on-line documentation with a WWW browser All installed on-line documentation will be served via a local HTTP server. When possible, dwww converts the documentation to HTML. You need to install both a CGI-capable HTTP server and a WWW browser to read the documentation. Package: dwz Version: 0.4-1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 198 Depends: libc6 (>= 2.13-28), libelf1 (>= 0.131) Homepage: http://sourceware.org/git/?p=dwz.git;a=summary Priority: extra Section: devel Filename: pool/main/d/dwz/dwz_0.4-1_armhf.deb Size: 86674 SHA256: 36dd95a8f744280ece340e7d678d789b318a6fa9cb215f34bee17ce21b05d6d0 SHA1: ec73a2b450db06c6ca61d449a04dd212091b22df MD5sum: dd38742ccbae50599ad0cda9ad6367ab Description: DWARF compression tool DWARF optimizer and duplication removal utility. Package: dx Version: 1:4.4.4-4 Architecture: armhf Maintainer: Daniel Kobras Installed-Size: 10833 Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libdx4, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libgomp1 (>= 4.2.1), libhdf4-0-alt, libice6 (>= 1:1.0.0), libmagickcore5 (>= 8:6.7.4.0), libnetcdfc7, libsm6, libstdc++6 (>= 4.4.0), libtiff4 (>> 3.9.5-3~), libx11-6, libxext6, libxmu6, libxp6, libxpm4, libxt6 Recommends: dx-doc Suggests: dxsamples Homepage: http://www.opendx.org/ Priority: optional Section: science Filename: pool/main/d/dx/dx_4.4.4-4_armhf.deb Size: 3916826 SHA256: aa50b0406014a6af08dd1ee20ee69f50e9c1e8760c49800b7bb393be4e09f153 SHA1: 72f18d06fe5bc01a8410fa7eae15a2d04e313d34 MD5sum: f057c3fa4bb87d987931b0bc3d842837 Description: OpenDX (IBM Visualization Data Explorer) - main package Data Explorer is a system of tools and user interfaces for visualizing data. In general terms the visualization of data can be considered a 3-stage process: 1. Describing and importing data 2. Processing the data through a visualization program 3. Presenting the resulting image. This is the main package. Package: dx-doc Source: dx Version: 1:4.4.4-4 Installed-Size: 17128 Maintainer: Daniel Kobras Architecture: all Depends: doc-base Recommends: dxsamples Size: 4403642 SHA256: ec1891b85be46145b2abafa240cd3e5856243ab67893ad9a92106a7e48824a1b SHA1: c132c756ddee8e5d903380ac9e1246402a5c9946 MD5sum: fb578da1bb401c6f7506ab68dbd47530 Description: OpenDX (IBM Visualization Data Explorer) - documentation Data Explorer is a system of tools and user interfaces for visualizing data. In general terms the visualization of data can be considered a 3-stage process: 1. Describing and importing data 2. Processing the data through a visualization program 3. Presenting the resulting image. This is the documentation package. It includes online help and html documentation. Homepage: http://www.opendx.org/ Tag: made-of::html, role::documentation, uitoolkit::motif, use::viewing, works-with::image Section: doc Priority: optional Filename: pool/main/d/dx/dx-doc_4.4.4-4_all.deb Package: dxpc Version: 3.9.2-3 Architecture: armhf Maintainer: Jay Berkenbilt Installed-Size: 182 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblzo2-2, libstdc++6 (>= 4.4.0) Priority: optional Section: x11 Filename: pool/main/d/dxpc/dxpc_3.9.2-3_armhf.deb Size: 84912 SHA256: 523c9e0bc0c83a42159c108c4a21f5d5cdc1aeba5edbd291da18dd25c26dd257 SHA1: a045e31ef442c54b7cae364e9b783d65594f439d MD5sum: 1e6e391d89005b096625f085235e1566 Description: differential X protocol compressor dxpc is an X protocol compressor designed to improve the speed of X11 applications run over low-bandwidth links (such as dialup PPP connections). The executable in this package can run as either a client or a server. . This version is not compatible with versions older than 3.9.0. For this package to be useful, you need to have a compatible dxpc executable running on another computer. If the other computer is a non-debian machine, you may need to obtain the dxpc archive from ftp.x.org and compile it yourself for the non-debian computer. Precompiled binaries for many systems are available from http://www.vigor.nu/dxpc/. . For more information on dxpc, see http://www.vigor.nu/dxpc/. Package: dxsamples Version: 4.2.0-1 Installed-Size: 25196 Maintainer: Daniel Kobras Architecture: all Recommends: dx, tcsh | c-shell Size: 7456482 SHA256: 183828f5c5d056be5bd38ddd208474f6c8c522c1891a7a94120694adc630b8ac SHA1: cd476130ff4cb9d261141ab228c9011a97104bc2 MD5sum: a6796312a6a7e5fdef54c2b0e88f3d9e Description: Sample programs for the OpenDX Data Explorer This package contains examples of scripts and networks for the OpenDX Data Explorer. They are referenced in the OpenDX tutorial, but can also be used stand-alone to browse and investigate. Tag: devel::examples, interface::commandline, role::documentation, role::program, scope::utility, use::viewing, works-with::image Section: science Priority: optional Filename: pool/main/d/dxsamples/dxsamples_4.2.0-1_all.deb Package: dynalogin-client-php Source: dynalogin Version: 0.9.14-2 Installed-Size: 31 Maintainer: Debian Authentication Maintainers Architecture: all Size: 2608 SHA256: faa623df168e66b43b53e621833179bbc6af11535ee511f2447eebd1962b8be2 SHA1: e93a0f25bb3945757c614eb43fb65a91b817f523 MD5sum: ea173e5bd73729366a00199302afa586 Description: two-factor HOTP authentication - PHP client dynalogin is a two-factor authentication framework based on the HOTP (Open Authentication) algorithm. Dynalogin can store credentials in any database supported by UNIXODBC, which makes the solution robust and scalable. It can also store credentials in flat files if desired. dynalogin has been successfully integrated in solutions for OpenID, making it possible to use two-factor authentication with hundreds of other web applications and public web sites. There is a dynalogin soft-token for Android. . This package provides a PHP client for the dynalogin server. It allows PHP applications to validate a user login attempt. It is intended as a foundation for login forms, OpenID providers and similar code. Homepage: http://www.dynalogin.org/ Section: web Priority: optional Filename: pool/main/d/dynalogin/dynalogin-client-php_0.9.14-2_all.deb Package: dynalogin-server Source: dynalogin Version: 0.9.14-2 Architecture: armhf Maintainer: Debian Authentication Maintainers Installed-Size: 70 Depends: libapr1 (>= 1.2.7), libc6 (>= 2.13-28), libdynalogin-1-0 Homepage: http://www.dynalogin.org/ Priority: optional Section: admin Filename: pool/main/d/dynalogin/dynalogin-server_0.9.14-2_armhf.deb Size: 12702 SHA256: 70806879adc258d2850b6a1b88a7a830f7f6490efff71c4d5ad8d263eab2c99d SHA1: 6b5a8c9ed6c5ddd9686816a6b7b9865b030367d3 MD5sum: 2cac600cf3552390e6e010c8bd689059 Description: two-factor HOTP authentication - server daemon dynalogin is a two-factor authentication framework based on the HOTP (Open Authentication) algorithm. Dynalogin can store credentials in any database supported by UNIXODBC, which makes the solution robust and scalable. It can also store credentials in flat files if desired. dynalogin has been successfully integrated in solutions for OpenID, making it possible to use two-factor authentication with hundreds of other web applications and public web sites. There is a dynalogin soft-token for Android. . This package provides dynalogind, the server daemon for a dynalogin two-factor authentication server. Package: dynamite Version: 0.1.1-2 Architecture: armhf Maintainer: Evgeni Golov Installed-Size: 50 Depends: libc6 (>= 2.4), libdynamite0 Homepage: http://sourceforge.net/projects/synce Priority: optional Section: utils Filename: pool/main/d/dynamite/dynamite_0.1.1-2_armhf.deb Size: 8402 SHA256: ea0f79a0d21f5a921a891ff2660654f53efdc6d1bc6b9851ce81df90d0637605 SHA1: ca4a469949bc6626fa36bec46c5013f6b5f1c94c MD5sum: 5630da7a6e072dd6346d7bd63d7290c6 Description: PKWARE Data Compression decompressor Dynamite is a tool and library for decompressing data compressed with PKWARE Data Compression Library and it was created from the specification provided by a post in the comp.compression newsgroup. Package: dynare Version: 4.3.0-2 Architecture: armhf Maintainer: Debian Octave Group Installed-Size: 4193 Depends: octave, dynare-common, libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), libgl1-mesa-glx | libgl1, libgsl0ldbl (>= 1.9), liblapack3, libmatio0, liboctave1, libstdc++6 (>= 4.6) Recommends: liboctave-dev, octave-optim, octave-io, octave-statistics, octave-control Suggests: dynare-doc, dynare-matlab Homepage: http://www.dynare.org/ Priority: optional Section: math Filename: pool/main/d/dynare/dynare_4.3.0-2_armhf.deb Size: 1842364 SHA256: 405d639953feafb5172b65c8ffb116f2362539ef1f947a5df796716cd2d1ce5c SHA1: 088b24398ba64dd5bf6c562a21e1605b370a61af MD5sum: 07e01f1aad4421c707942931f41ae6e6 Description: platform for handling a wide class of economic models Dynare is a software platform for handling a wide class of economic models, in particular dynamic stochastic general equilibrium (DSGE) and overlapping generations (OLG) models. The models solved by Dynare include those relying on the rational expectations hypothesis, wherein agents form their expectations about the future in a way consistent with the model. But Dynare is also able to handle models where expectations are formed differently: on one extreme, models where agents perfectly anticipate the future; on the other extreme, models where agents have limited rationality or imperfect knowledge of the state of the economy and, hence, form their expectations through a learning process. In terms of types of agents, models solved by Dynare can incorporate consumers, productive firms, governments, monetary authorities, investors and financial intermediaries. Some degree of heterogeneity can be achieved by including several distinct classes of agents in each of the aforementioned agent categories. . Dynare offers a user-friendly and intuitive way of describing these models. It is able to perform simulations of the model given a calibration of the model parameters and is also able to estimate these parameters given a dataset. In practice, the user will write a text file containing the list of model variables, the dynamic equations linking these variables together, the computing tasks to be performed and the desired graphical or numerical outputs. . This package provides a full installation of Dynare, to be run on top of GNU Octave. . It contains: * the binary preprocessor * dynamic loadable libraries for faster computation, compiled for Octave * the standalone program Dynare++ for higher-order approximations Package: dynare-common Source: dynare Version: 4.3.0-2 Installed-Size: 3755 Maintainer: Debian Octave Group Architecture: all Size: 838930 SHA256: f3bf8f178ace16358e28dfe48b067900fbb7bbf993306d601972cce8c108dfa8 SHA1: 9d10e400042efd81205d8b4ca7aa791700fb5a5e MD5sum: bc083e5101cf8d89f5accdc803f84e38 Description: platform for handling a wide class of economic models Dynare is a software platform for handling a wide class of economic models, in particular dynamic stochastic general equilibrium (DSGE) and overlapping generations (OLG) models. The models solved by Dynare include those relying on the rational expectations hypothesis, wherein agents form their expectations about the future in a way consistent with the model. But Dynare is also able to handle models where expectations are formed differently: on one extreme, models where agents perfectly anticipate the future; on the other extreme, models where agents have limited rationality or imperfect knowledge of the state of the economy and, hence, form their expectations through a learning process. In terms of types of agents, models solved by Dynare can incorporate consumers, productive firms, governments, monetary authorities, investors and financial intermediaries. Some degree of heterogeneity can be achieved by including several distinct classes of agents in each of the aforementioned agent categories. . Dynare offers a user-friendly and intuitive way of describing these models. It is able to perform simulations of the model given a calibration of the model parameters and is also able to estimate these parameters given a dataset. In practice, the user will write a text file containing the list of model variables, the dynamic equations linking these variables together, the computing tasks to be performed and the desired graphical or numerical outputs. . This package contains the various M-files which can be run under both GNU Octave and MATLAB. Homepage: http://www.dynare.org/ Tag: role::app-data Section: math Priority: optional Filename: pool/main/d/dynare/dynare-common_4.3.0-2_all.deb Package: dynare-doc Source: dynare Version: 4.3.0-2 Installed-Size: 8265 Maintainer: Debian Octave Group Architecture: all Depends: dpkg (>= 1.15.4) | install-info Size: 7837980 SHA256: 2c987ced4087f83a35722bb726ccf150ae296fcf097687ea83d1e2959bbae611 SHA1: 01277178c4d1de2d95061a74be45d49e8b942b20 MD5sum: a50597652b474a9b5f0e8d11598c1c38 Description: documentation for Dynare Dynare is a software platform for handling a wide class of economic models, in particular dynamic stochastic general equilibrium (DSGE) and overlapping generations (OLG) models. The models solved by Dynare include those relying on the rational expectations hypothesis, wherein agents form their expectations about the future in a way consistent with the model. But Dynare is also able to handle models where expectations are formed differently: on one extreme, models where agents perfectly anticipate the future; on the other extreme, models where agents have limited rationality or imperfect knowledge of the state of the economy and, hence, form their expectations through a learning process. In terms of types of agents, models solved by Dynare can incorporate consumers, productive firms, governments, monetary authorities, investors and financial intermediaries. Some degree of heterogeneity can be achieved by including several distinct classes of agents in each of the aforementioned agent categories. . Dynare offers a user-friendly and intuitive way of describing these models. It is able to perform simulations of the model given a calibration of the model parameters and is also able to estimate these parameters given a dataset. In practice, the user will write a text file containing the list of model variables, the dynamic equations linking these variables together, the computing tasks to be performed and the desired graphical or numerical outputs. . This package contains full Dynare documentation, including a user guide and the reference manual. Homepage: http://www.dynare.org/ Tag: made-of::html, made-of::info, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/d/dynare/dynare-doc_4.3.0-2_all.deb Package: dyndns Version: 2012.0112-1 Installed-Size: 267 Maintainer: Jari Aalto Architecture: all Depends: perl, net-tools, libwww-perl, libsys-syslog-perl Size: 76404 SHA256: ed2545c00047c81d2b71c9bcedffb3db915ce764a54edd4e5f1c97b91e3e605c SHA1: 985ad23851281ea1e254feeea2db333910f5ef94 MD5sum: e313b9dfe53203537862f5e12dc93f8c Description: dynamic DNS (DDNS) update client implemented in Perl Map dynamic IP address into your.hostname.example.org. A cross-platform solution for DHCP ISP-connected users to obtain permanent DNS, MX, and Web hosting service from a DDNS provider (e.g. dyndns.org). Works anywhere where Perl is installed. Homepage: http://freshmeat.net/projects/perl-dyndns Section: web Priority: optional Filename: pool/main/d/dyndns/dyndns_2012.0112-1_all.deb Package: dzen2 Version: 0.8.5-4 Architecture: armhf Maintainer: Petr Rockai Installed-Size: 113 Depends: libc6 (>= 2.13-28), libx11-6, libxinerama1, libxpm4 Priority: optional Section: x11 Filename: pool/main/d/dzen2/dzen2_0.8.5-4_armhf.deb Size: 39586 SHA256: c1dade980b06ad0c62b79a9daa5ba0c9c1009707e819be9a064ac8ebe4b76927 SHA1: e705787f10a15acadf7ab144fd855dfb12785b4a MD5sum: 4843c66977107eb4dc1bdbbf0ad80d60 Description: General-purpose messaging and notification program for X11 Dzen was designed to be scriptable in any language and integrate well with window managers like dwm, wmii and xmonad though it will work with any window manger. Package: e00compr Version: 1.0.1-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 101 Depends: libc6 (>= 2.4) Suggests: avce00 Enhances: grass Homepage: http://avce00.maptools.org/e00compr/ Priority: optional Section: science Filename: pool/main/e/e00compr/e00compr_1.0.1-2_armhf.deb Size: 26336 SHA256: 08dae76c8b978b79c9b7eae1732addb1197be17bc5bfa54ec810182443001575 SHA1: e46702ebb2572368685ace6f420ca07efd5f626e MD5sum: de63713d46447422cef1396c8699739d Description: A program to read/write Arcinfo compressed E00 files E00compr is an ANSI C library that reads and writes Arcinfo compressed E00 files. Both "PARTIAL" and "FULL" compression levels are supported. E00 files are the vector import/export format for Arcinfo. It is plain ASCII and is meant as an interchange format. ESRI considers the format to be proprietary, so this package may not read all E00 files as ESRI may change the format. . This package is useful for importing E00 files into the grass GIS system. . It contains the e00conv command-line program, which takes a E00 file as input (compressed or not) and copies it to a new file with the requested compression level (NONE, PARTIAL or FULL). The library is not included at this stage. Package: e17 Version: 0.16.999.70492-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 5834 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libecore-con1 (>= 1.2.0), libecore-evas1 (>= 1.2.0), libecore-file1 (>= 1.2.0), libecore-imf1 (>= 1.2.0), libecore-input1 (>= 1.2.0), libecore-ipc1 (>= 1.2.0), libecore-x1 (>= 1.2.0), libecore1 (>= 1.2.0), libedbus1 (>= 1.0.0~), libedje1 (>= 1.0.0~beta), libeet1 (>= 1.2.3), libefreet1 (>= 1.2.0), libeina1 (>= 1.2.0), libevas1 (>= 1.2.0), libgcc1 (>= 1:4.4.0), libpam0g (>= 0.99.7.1), libxcb-shape0, libxcb1, e17-data (= 0.16.999.70492-2), libevas1-engine-software-x11, libedje-bin, dbus-x11 Conflicts: enlightenment Provides: x-window-manager Homepage: http://www.enlightenment.org Priority: optional Section: x11 Filename: pool/main/e/e17/e17_0.16.999.70492-2_armhf.deb Size: 2361110 SHA256: 05af945699f80bbed5808c90e3edec52805edf0e886d2dcc36452cf7fbd3c4c4 SHA1: c6cac5a83f13dccd0e5c57b1061104a871bb9f94 MD5sum: 6a598c627fca316078e749dfe2a58494 Description: Enlightenment DR17 Window Manager Enlightenment is an advanced window manager for X11. Unique features include: a fully animated background, nice drop shadows around windows, backed by an extremely clean and optimized foundation of APIs. . This package contains the core files for Enlightenment DR17. Package: e17-data Source: e17 Version: 0.16.999.70492-2 Installed-Size: 5486 Maintainer: Debian Pkg-e Team Architecture: all Enhances: e17 Conflicts: enlightenment-data Size: 3014516 SHA256: 894c15a7248249adf86bf11f0912650a2fb0ccaec14643fbb93be24d9273546e SHA1: afecbfc2c796a5aefee4fab39ee6af62e3758ca4 MD5sum: 78d554e2d73879038be6af5650085a60 Description: Enlightenment Window Manager Run Time Data Files Enlightenment is an advanced window manager for X11. Unique features include: a fully animated background, nice drop shadows around windows, backed by an extremely clean and optimized foundation of APIs. . These are the architecture independent runtime support files for the Enlightenment DR17 Window Manager. Homepage: http://www.enlightenment.org Tag: role::app-data, suite::TODO Section: x11 Priority: optional Filename: pool/main/e/e17/e17-data_0.16.999.70492-2_all.deb Package: e17-dbg Source: e17 Version: 0.16.999.70492-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 13796 Depends: e17 (= 0.16.999.70492-2) Homepage: http://www.enlightenment.org Priority: extra Section: debug Filename: pool/main/e/e17/e17-dbg_0.16.999.70492-2_armhf.deb Size: 5516126 SHA256: 41a991b49b75f5facbcd12aa5fbe6e2019b437fabd65b8e5c4cf17084d7d69f0 SHA1: a6f2e0c9a6fc15f0482901e74de648c39171974b MD5sum: 567a5f713fd5f1fa724368d8f671a2ec Description: Enlightenment DR17 Window Manager - debugging symbols Enlightenment is an advanced window manager for X11. Unique features include: a fully animated background, nice drop shadows around windows, backed by an extremely clean and optimized foundation of APIs . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: e17-dev Source: e17 Version: 0.16.999.70492-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 343 Depends: e17 (= 0.16.999.70492-2), libeet-dev (>= 1.4.0~), libedje-dev (>= 1.2.0), libefreet-dev (>= 1.2.0), libecore-dev (>= 1.2.0), libedbus-dev (>= 1.2.0), libevas-dev (>= 1.2.0), libeina-dev (>= 1.0.0~) Homepage: http://www.enlightenment.org Priority: optional Section: devel Filename: pool/main/e/e17/e17-dev_0.16.999.70492-2_armhf.deb Size: 77708 SHA256: dfba7d09de12330a473e8f94c89679f7d46d6fec07cf12aa88726e6ca4b4bc36 SHA1: 9f8245da379aa000b8a3981e1fda571bdec6def2 MD5sum: c166d96ead9fdef8901f049e6de3f03a Description: Enlightenment headers, static libraries and documentation Enlightenment is an advanced window manager for X11. Unique features include: a fully animated background, nice drop shadows around windows, backed by an extremely clean and optimized foundation of APIs. . This package contains headers, static libraries and documentation for enlightenment. Package: e2fsck-static Source: e2fsprogs Version: 1.42.5-1.1+deb7u1 Architecture: armhf Maintainer: Theodore Y. Ts'o Installed-Size: 969 Recommends: sash | bash-static | zsh-static | busybox-static | zsh30-static Homepage: http://e2fsprogs.sourceforge.net Priority: optional Section: admin Filename: pool/main/e/e2fsprogs/e2fsck-static_1.42.5-1.1+deb7u1_armhf.deb Size: 510384 SHA256: 10101bcc7cd32458e265139fb019f3e1276db91bfeaf08297474bf85f3842638 SHA1: dd02c1a6612353d27b1dbcea94799d1b6b71d658 MD5sum: b45895ebb7313eadda2da3414dc00ae6 Description: statically-linked version of the ext2/ext3/ext4 filesystem checker This may be of some help to you if your filesystem gets corrupted enough to break the shared libraries used by the dynamically linked checker. . This binary takes much more space than its dynamic counterpart located in e2fsprogs, though. . You may want to install a statically-linked shell as well, to be able to run this program if something like your C library gets corrupted. Package: e2fslibs Source: e2fsprogs Version: 1.42.5-1.1+deb7u1 Architecture: armhf Maintainer: Theodore Y. Ts'o Installed-Size: 351 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Replaces: e2fsprogs (<< 1.34-1) Provides: libe2p2, libext2fs2 Multi-Arch: same Homepage: http://e2fsprogs.sourceforge.net Priority: required Section: libs Filename: pool/main/e/e2fsprogs/e2fslibs_1.42.5-1.1+deb7u1_armhf.deb Size: 185772 SHA256: 432847017eb512778da9ef76f524c4233b687044dc9014d4b84cf221ed5c1495 SHA1: 1f3ea6429b3be268cebecd9c9eb5912ab86c1cc1 MD5sum: 96e0681f6b12ce40d65c0eb8144074b0 Description: ext2/ext3/ext4 file system libraries The ext2, ext3 and ext4 file systems are successors of the original ext ("extended") file system. They are the main file system types used for hard disks on Debian and other Linux systems. . This package provides the ext2fs and e2p libraries, for userspace software that directly accesses extended file systems. Programs that use libext2fs include e2fsck, mke2fs, and tune2fs. Programs that use libe2p include dumpe2fs, chattr, and lsattr. Package: e2fslibs-dbg Source: e2fsprogs Version: 1.42.5-1.1+deb7u1 Architecture: armhf Maintainer: Theodore Y. Ts'o Installed-Size: 991 Depends: e2fslibs (= 1.42.5-1.1+deb7u1) Homepage: http://e2fsprogs.sourceforge.net Priority: extra Section: debug Filename: pool/main/e/e2fsprogs/e2fslibs-dbg_1.42.5-1.1+deb7u1_armhf.deb Size: 356342 SHA256: c3f8911ddb133f1f6c037e311040ffafe600ff1173466c851554d19ca421c3e3 SHA1: 0fef646e99e0be804c944be44d896df289e4d571 MD5sum: ce0a8c8a61e9358aa2c048032ea5ca20 Description: debugging information for e2fslibs This package includes the debug information useful for debugging the ext2fs and e2p libraries, contained in the e2fslibs package. The debug information is used for execution tracing and core dump analysis. Package: e2fslibs-dev Source: e2fsprogs Version: 1.42.5-1.1+deb7u1 Architecture: armhf Maintainer: Theodore Y. Ts'o Installed-Size: 787 Depends: libc6-dev | libc-dev, comerr-dev, e2fslibs (= 1.42.5-1.1+deb7u1), dpkg (>= 1.15.4) | install-info Suggests: doc-base Replaces: libkrb5-dev (<< 1.3) Provides: e2p-dev, ext2fs-dev Homepage: http://e2fsprogs.sourceforge.net Priority: extra Section: libdevel Filename: pool/main/e/e2fsprogs/e2fslibs-dev_1.42.5-1.1+deb7u1_armhf.deb Size: 231484 SHA256: caf3c6bab313ede30a98249395456172e5f78194896dbae8019a073eb26a3475 SHA1: 3685721dec49831682a99bcbd849fb547c6b2a5b MD5sum: 61c9f77baf1aec8d9ab5dfbab533a261 Description: ext2/ext3/ext4 file system libraries - headers and static libraries The ext2, ext3 and ext4 file systems are successors of the original ext ("extended") file system. They are the main file system types used for hard disks on Debian and other Linux systems. . This package contains the development environment for the ext2fs and e2p libraries. Package: e2fsprogs Version: 1.42.5-1.1+deb7u1 Architecture: armhf Essential: yes Maintainer: Theodore Y. Ts'o Installed-Size: 2294 Pre-Depends: e2fslibs (= 1.42.5-1.1+deb7u1), libblkid1 (>= 2.17.2), libc6 (>= 2.13-28), libcomerr2 (>= 1.42~WIP-2011-10-05-1), libgcc1 (>= 1:4.4.0), libss2 (>= 1.34-1), libuuid1 (>= 2.16), util-linux (>= 2.15~rc1-1) Suggests: gpart, parted, e2fsck-static Conflicts: dump (<< 0.4b4-4), initscripts (<< 2.85-4), quota (<< 1.55-8.1), sysvinit (<< 2.85-4) Replaces: hurd (<= 20040301-1), libblkid1 (<< 1.38+1.39-WIP-2005.12.10-2), libuuid1 (<< 1.38+1.39-WIP-2005.12.10-2) Multi-Arch: foreign Homepage: http://e2fsprogs.sourceforge.net Priority: required Section: admin Filename: pool/main/e/e2fsprogs/e2fsprogs_1.42.5-1.1+deb7u1_armhf.deb Size: 983688 SHA256: 129ae7c015e70b814f004f01f9baf12ec9df369ba2f9d40c3c0439f199a456fa SHA1: cad04f538fd78c8471b44cc09595f33e66463288 MD5sum: d261091af9ec93905309710ab6070a5d Description: ext2/ext3/ext4 file system utilities The ext2, ext3 and ext4 file systems are successors of the original ext ("extended") file system. They are the main file system types used for hard disks on Debian and other Linux systems. . This package contains programs for creating, checking, and maintaining ext2/3/4-based file systems. Package: e2fsprogs-dbg Source: e2fsprogs Version: 1.42.5-1.1+deb7u1 Architecture: armhf Maintainer: Theodore Y. Ts'o Installed-Size: 3233 Depends: e2fsprogs (= 1.42.5-1.1+deb7u1) Homepage: http://e2fsprogs.sourceforge.net Priority: extra Section: debug Filename: pool/main/e/e2fsprogs/e2fsprogs-dbg_1.42.5-1.1+deb7u1_armhf.deb Size: 1299738 SHA256: d0a37570c1d7ee867a183e2169084ce4fabbdac62fb13b75c818f0b6e0d263aa SHA1: 7e1cf8428663a4ed9f3262d739ba6ead70fad05a MD5sum: 4d158fec6b6437563c7e1d8d0357e633 Description: debugging information for e2fsprogs This package includes the debug information useful for debugging e2fsprogs and its libraries, contained in the e2fsprogs and e2fsck-static packages. The debug information is used for execution tracing and core dump analysis. Package: e2ps Version: 4.34-4 Architecture: armhf Maintainer: Atsuhito KOHDA Installed-Size: 163 Depends: libc6 (>= 2.4), libpaper1 Recommends: lpr Suggests: gv | postscript-viewer Priority: optional Section: text Filename: pool/main/e/e2ps/e2ps_4.34-4_armhf.deb Size: 46268 SHA256: e2828369b3515941740c1963cc1a3b9143c9216e5bdc65dffdc2e3580075df96 SHA1: c988a471e64dfcbbdde57d9c869aa05c86523eaf MD5sum: 9c01c05a68d36586bffe6e4d859ff88d Description: Convert plain text into PostScript Plain text into PostScript converter with automatically detection of EUC, JIS and SJIS but may be weak with SJIS. Package: e2tools Version: 0.0.16-6.1 Architecture: armhf Maintainer: William Vera Installed-Size: 90 Depends: e2fslibs (>= 1.37), libc6 (>= 2.4), libcomerr2 (>= 1.01) Homepage: http://home.earthlink.net/~k_sheff/sw/e2tools/index.html Priority: extra Section: misc Filename: pool/main/e/e2tools/e2tools_0.0.16-6.1_armhf.deb Size: 33724 SHA256: bf585e96d88b556ab813a9a5b98d9ba8506bd395e193a672417c6c3d09e810a4 SHA1: b57e2164a77bf43a62262024840375d10ab85342 MD5sum: a53f3595aaa931951efa1641b5e91c28 Description: utilities for manipulating files in an ext2/ext3 filesystem E2tools is a simple set of utilities to read, write, and manipulate files in an ext2/ext3 filesystem. . The purpose of these utilities is manipulating ext2/ext3 filesystems from userspace without the need for mounting them, so that they can be used directly by normal users. . Included utilities are e2cp, e2mv, e2rm, e2mkdir, e2ln, e2ls and e2tail. Package: e2undel Version: 0.82-1.1 Architecture: armhf Maintainer: Javier Fernandez-Sanguino Pen~a Installed-Size: 207 Depends: e2fslibs (>= 1.37), libc6 (>= 2.4), libcomerr2 (>= 1.01) Homepage: http://e2undel.sourceforge.net Priority: optional Section: admin Filename: pool/main/e/e2undel/e2undel_0.82-1.1_armhf.deb Size: 58844 SHA256: bdffa101bf65532932685f15a5ee76c0ab7918ab7123774c3cda0c329f00b277 SHA1: 5c2e32323f66942db2277423ecc870cca6965ef3 MD5sum: d06870bca130de1bffb3d28c6ac778a0 Description: Undelete utility for the ext2 file system Interactive console tool to recover the data of deleted files on an ext2 file system under Linux. It does not require knowledge about how ext2 file systems works and should be usable by most people. . This tools searches all inodes marked as deleted on a file system and lists them as sorted by owner and time of deletion. Additionally, it gives you the file size and tries to determine the file type in the way file(1) does. If you did not just delete a whole bunch of files with a 'rm -r *', this information should be helpful to find out which of the deleted files you would like to recover. . E2undel will not work on ext3 (journaling) filesystems. Package: e2wm Version: 1.2+git20120601-1 Installed-Size: 170 Maintainer: KURASHIKI Satoru Architecture: all Depends: emacs-window-layout, emacs23 | emacs22 Recommends: imagemagick, wget Suggests: magit Size: 47188 SHA256: ca2cb2bb22ce2b38f6c56201fa1fdc32c92f5822ca016e8e44b66e515ca67b6b SHA1: 98a5e2e955601d0f676a1981369cbc5528f4aa1b MD5sum: 84247b73be8c77cc1b3fb5afa377b4a5 Description: simple window manager for emacs This is an implementation of introducing window management to Emacs. * Management of list of editable buffers * Assignment of windows for pop-up buffers * Switching window layout like the perspective in eclipse * Plug-in extension . The current implementation has following perspectives: * code : main coding layout * two : side by side layout * doc : reading documentation layout * dashboard : showing plug-ins like dashboard in Mac OSX * array : selecting buffers like expose in Mac OSX Homepage: https://github.com/kiwanami/emacs-window-manager Section: lisp Priority: extra Filename: pool/main/e/e2wm/e2wm_1.2+git20120601-1_all.deb Package: eancheck Version: 1.0-1.1 Architecture: armhf Maintainer: Joe Baldwin Installed-Size: 52 Depends: libc6 (>= 2.4), libstdc++6 (>= 4.4.0) Priority: optional Section: misc Filename: pool/main/e/eancheck/eancheck_1.0-1.1_armhf.deb Size: 6576 SHA256: f9d7a564e8bf9992be5a2f7de42724294c62732dae955009fabf9bd37b35b315 SHA1: 9f97c51495d49853cfaf66e9ec075d9f84d06c0e MD5sum: 533119e71cf0639259865a07ed9ef19d Description: Check digit validator for EAN/PLU/UPC barcode numbers Eancheck is a simple program for checking EAN, PLU and UPC check digits. It also includes a header file for incorporating such functions into your own programs. Package: earcandy Version: 0.9+bzr12-2 Installed-Size: 528 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: python (>= 2.6), python-support (>= 0.90.0), python-glade2, python-gtk2, python-gobject, python-dbus, python-notify, pulseaudio, python-gconf, python-wnck Size: 52800 SHA256: 028bde964729f3e7cbd41bea10de2d7c107eb541c447afcd04ef41d5e17f2b3f SHA1: c1d7026605b45080b551112ef2a4464a09ebaccc MD5sum: 1d3a2f650bac64a1caf89f2603159acf Description: Sound level manager for PulseAudio A sound level manager that nicely fades applications in and out based on their profile and window focus. It controls PulseAudio in order to create a seamless sound experience. . Features include: fade out music/video players on Skype call, fade to focused music player when more than one are opened, fade out music player while playing video, push sound to USB headsets on plugin. Homepage: https://launchpad.net/earcandy Tag: role::program, scope::utility, works-with::audio Section: sound Priority: optional Filename: pool/main/e/earcandy/earcandy_0.9+bzr12-2_all.deb Package: ears Version: 1.0.1-2.1 Installed-Size: 24 Maintainer: Decklin Foster Architecture: all Depends: python, python-musicbrainz2 Recommends: cdparanoia, vorbis-tools Suggests: lastfmsubmitd Conflicts: lastfmsubmitd (<= 1.0.0) Size: 10148 SHA256: 1c6860126706813ecf1cf90b6e76cc9bc1afd1fae8728c14d9b9ecb2c23197e6 SHA1: 96098e6dbf757b1e5c550de30963dc289f1f008f MD5sum: cb1e6d5645f5acaf6d3856f933ba5c85 Description: collection of Last.fm clients and CD-ripping tools Ears contains a number of scripts originally distributed with lastfmsubmitd for querying MusicBrainz (mbfind, mbget, mbsubmit) and using that data to send submissions to Last.fm (lastcd) or rip CDs (peel), or both. The unix-pipe design makes it easy to alter data or work offline. . lastfmsubmitd and a Last.fm account are not required; peel functions as a perfectly good CD ripper without them. The author welcomes contributions of additional scripts utilizing the lastfmsubmitd serialization format. Homepage: http://www.red-bean.com/decklin/ears/ Section: sound Priority: optional Filename: pool/main/e/ears/ears_1.0.1-2.1_all.deb Package: earth3d Version: 1.0.5-3 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 508 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libpng12-0 (>= 1.2.13-4), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.6), libx11-6, libxext6, libxmu6 Priority: optional Section: utils Filename: pool/main/e/earth3d/earth3d_1.0.5-3_armhf.deb Size: 241836 SHA256: 0bec9070b6a560ebce6af3c7d62f97ab1fb7bf411dbc2c30d16ca1904a376c27 SHA1: 5a3a3bdab6fa413a0a5c4bcb9a79667ac8436f6f MD5sum: 1cb6f68bbb1bdb92b481331d4a120791 Description: Map client displaying a 3D model of the world The map data is fetched from a server on the net, and the client will display recent satellite images and map data. Package: easychem Version: 0.6-7 Architecture: armhf Maintainer: Debichem Team Installed-Size: 709 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://easychem.sourceforge.net Priority: optional Section: science Filename: pool/main/e/easychem/easychem_0.6-7_armhf.deb Size: 280738 SHA256: f0b3518ab69490a184219c0a4e47ea8d20933b1feffdc2601e2ee1120b39e628 SHA1: 994c9fffa86c6105f8cf29ccfc2dcfacdd3751c5 MD5sum: 4a4c3a1eb6204968673cd3f8ef501bfc Description: Draw high-quality molecules and 2D chemical formulas EasyChem is a program that helps you creating high quality diagrams of molecules and 2D chemical formulas that can be exported to PDF, PS, LaTeX and fig. . EasyChem was originally developed to create diagrams for chemistry books and is now frequently used for this purpose in commercial and non-commercial chemistry-related books. Package: easygit Version: 0.99-1 Installed-Size: 340 Maintainer: Ryan Niebur Architecture: all Depends: perl, git-core, less Size: 78144 SHA256: 831ecbab3026849e502c153f6417580ee62cd16b1d189d8c4cd02ceaff7308de SHA1: 8c05730b6d2efa69bca1cf9431dddfc4a9b9c98f MD5sum: 5090cb96ca6b122dd9ad198d23363169 Description: git for mere mortals In short, Easy GIT is a single-file wrapper script for git, designed to make git easy to learn and use. . Features: * eg focuses on documentation and examples * eg removes many principle-of-least-surprise violations that catch git newbies unaware * eg provides subcommands that are a natural extension of capabilities users know from cvs/svn (eg also takes care to make sure the modifications to its subcommands are easily discoverable and error-avoiding for existing git users as well!) Homepage: http://www.gnome.org/~newren/eg/ Tag: devel::rcs, implemented-in::perl Section: vcs Priority: optional Filename: pool/main/e/easygit/easygit_0.99-1_all.deb Package: easyh10 Version: 1.5-1 Architecture: armhf Maintainer: Benjamin Seidenberg Installed-Size: 306 Depends: libc6 (>= 2.4), libid3tag0 (>= 0.15.1b) Priority: extra Section: sound Filename: pool/main/e/easyh10/easyh10_1.5-1_armhf.deb Size: 57980 SHA256: 833dbc05ec24a846f96a873ef157ae0096124e1a510e0d65666d900f42c34a71 SHA1: a9e90bc2605bfa74b29e35304a462c7a086fe5c0 MD5sum: 1c9f6a6b5b7bed6f861357f7df660795 Description: Utility to manage the iRiver H10 music player Easyh10 is a command-line utility to generate a media database and playlist for an iRiver H10 digital audio player. Actually transferring the music files must be done manually. . Homepage: http://easyh10.sourceforge.net/ Package: easymp3gain-gtk Source: easymp3gain Version: 0.5.0+svn135-1 Architecture: armhf Maintainer: Matthias Klumpp Installed-Size: 3922 Depends: mp3gain (>= 1.4.6), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6 Recommends: vorbisgain Homepage: http://easymp3gain.sourceforge.net Priority: optional Section: sound Filename: pool/main/e/easymp3gain/easymp3gain-gtk_0.5.0+svn135-1_armhf.deb Size: 1370206 SHA256: da3c6e2eb0347b96f2e591f2c11384baa0a30eaef86c231973c86fb260606768 SHA1: e77199dc7c7d41c6fb708186c20203020548970a MD5sum: ac631956a5360f28781e83bf6cecd813 Description: GUI for MP3Gain, VorbisGain and AACGain A simple GUI frontend for MP3Gain, VorbisGain and AACGain, which allows modifying the loudness of MP3, Ogg Vorbis and MP4 audio files. . This package contains the GTK+ version. Package: easymp3gain-gtk-dbg Source: easymp3gain Version: 0.5.0+svn135-1 Architecture: armhf Maintainer: Matthias Klumpp Installed-Size: 15605 Depends: easymp3gain-gtk (= 0.5.0+svn135-1) Homepage: http://easymp3gain.sourceforge.net Priority: extra Section: debug Filename: pool/main/e/easymp3gain/easymp3gain-gtk-dbg_0.5.0+svn135-1_armhf.deb Size: 3984824 SHA256: d11a887863a68949a0e9aa0f8793276a93979220ab68c508de1dbe2b9c0fb126 SHA1: 24112f4150f08fea2ea8b3fb801f6c10fa405c33 MD5sum: 1506ff574769d38006b665ef94da89ce Description: Debugging symbols for easyMp3Gain-GTK This package includes debugging symbols for easyMp3Gain, a simple GUI frontend for MP3Gain, VorbisGain and AACGain, which allows modifying the loudness of MP3, Ogg Vorbis and MP4 audio files. . Most people will not need this package. Package: easypg Version: 0.0.16-2.1 Installed-Size: 312 Maintainer: OHASHI Akira Architecture: all Depends: emacs | emacsen, gnupg (>= 1.4.3) Size: 47208 SHA256: 21f48613ea5786a930c4d5d51d2713cbb7fec496d338b2528f18038e025e2abf SHA1: 4383c86826db5395ee89e640eb4f4e4491933539 MD5sum: af8e586f08e1a3f0d5e05eb656f95325 Description: yet another GnuPG interface for Emacs EasyPG is an all-in-one GnuPG interface for Emacs. It has two aspects: convenient tools which allow to use GnuPG from Emacs (EasyPG Assistant), and a fully functional interface library to GnuPG (EasyPG Library). Tag: implemented-in::lisp, interface::commandline, role::plugin, role::program, security::authentication, security::cryptography, suite::emacs, suite::gnu, works-with-format::tex, works-with::text Section: utils Priority: optional Filename: pool/main/e/easypg/easypg_0.0.16-2.1_all.deb Package: easytag Version: 2.1.7-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 2814 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libflac8 (>= 1.2.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libid3-3.8.3c2a, libid3tag0 (>= 0.15.1b), libogg0 (>= 1.0rc3), libpango1.0-0 (>= 1.14.0), libspeex1 (>= 1.2~beta3-1), libstdc++6 (>= 4.4.0), libtag1c2a (>= 1.5), libtagc0 (>= 1.5), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libwavpack1 (>= 4.40.0), zlib1g (>= 1:1.1.4) Homepage: http://easytag.sourceforge.net Priority: optional Section: sound Filename: pool/main/e/easytag/easytag_2.1.7-2_armhf.deb Size: 1015468 SHA256: 4a7ca85b8ec6f98dd293c5e600b240e403d96d05760cb65a8929b50285ed24c2 SHA1: 979c056ae660df907de9ad0c599ea441a7d1a1f9 MD5sum: fa29ef83758624c6f4d36d15343ec2ce Description: viewing, editing and writing ID3 tags EasyTAG is an utility for viewing, editing and writing the ID3 tags of different audio files, using a GTK+ interface. . Currently EasyTAG supports the following: - View, edit, write tags of MP3, MP2 files (ID3 tag), FLAC files (FLAC Vorbis tag), Ogg Vorbis files (Ogg Vorbis tag), MP4/M4A/AAC files (MPEG-4 Part 10 tag), and MusePack, Monkey's Audio files (APE tag), - Auto tagging: parse filename and directory to complete automatically the fields (using masks), - Ability to rename files from the tag (using masks) or by loading a text file, - Process selected files of the selected directory, - Ability to browse subdirectories, - Recursion for tagging, removing, renaming, saving..., - Can set a field (artist, title,...) to all other selected files, - Read file header information (bitrate, time, ...) and display it, - Undo and redo last changes, - Ability to process fields of tag and file name (convert letters into uppercase, downcase, ...), - Ability to open a directory or a file with an external program, - CDDB support (from http protocol), - A tree based browser, - A list to select files, - A playlist generator window, - A file searching window, - Simple and explicit interface!, - French, German, Russian, Dutch, Hungarian, Swedish, Italian, Japanese, Ukrainian, Czech, Spanish, Polish and Romanian translation language, Package: eatmydata Source: libeatmydata Version: 26-2 Architecture: armhf Maintainer: Modestas Vainius Installed-Size: 60 Depends: libc6 (>= 2.4) Provides: libeatmydata Homepage: https://launchpad.net/libeatmydata Priority: optional Section: utils Filename: pool/main/libe/libeatmydata/eatmydata_26-2_armhf.deb Size: 7838 SHA256: f78fd58cd8e4c4b21aa4509234d6566629bacb6aa134084ebc1ec1c83d0fcdf3 SHA1: bd039b39b88b58d37b27561aadae8064659884d2 MD5sum: 12cf6bd3946399449e040961e2569bdd Description: library and utilities designed to disable fsync and friends This package contains a small LD_PRELOAD library (libeatmydata) and a couple of helper utilities designed to transparently disable fsync and friends (like open(O_SYNC)). This has two side-effects: making software that writes data safely to disk a lot quicker and making this software no longer crash safe. . You will find eatmydata useful if particular software calls fsync(), sync() etc. frequently but the data it stores is not that valuable to you and you may afford losing it in case of system crash. Data-to-disk synchronization calls are typically very slow on modern file systems and their extensive usage might slow down software significantly. It does not make sense to accept such a hit in performance if data being manipulated is not very important. . On the other hand, do not use eatmydata when you care about what software stores or it manipulates important components of your system. The library is called libEAT-MY-DATA for a reason. Package: eb-doc Source: eb Version: 4.4.3-6 Installed-Size: 1329 Maintainer: Tatsuya Kinoshita Architecture: all Suggests: libeb16, w3m | www-browser Size: 316866 SHA256: afdedb8a853bd8c93b5f8bbd04747d84ce05b429a0c46e768ccb5c9f56eddd4c SHA1: 585396e0cc07769c33955aac2dc51b6df1d58ac0 MD5sum: 85e0ab86427d6a66e5927a9025112f97 Description: C library for accessing electronic books (documents) EB Library is a C library for accessing CD-ROM books. . EB Library supports to access CD-ROM books of EB, EBG, EBXA, EBXA-C, S-EBXA and EPWING formats. CD-ROM books of those formats are popular in Japan. Since CD-ROM books themseves are stands on the ISO 9660 format, you can mount the discs by the same way as other ISO 9660 discs. . This package provides document files of EB Library. Homepage: http://www.sra.co.jp/people/m-kasahr/eb/ Tag: culture::japanese, hardware::storage, hardware::storage:cd, made-of::info, role::documentation, use::viewing, works-with-format::zip, works-with::archive, works-with::text Section: doc Priority: optional Filename: pool/main/e/eb/eb-doc_4.4.3-6_all.deb Package: eb-utils Source: eb Version: 4.4.3-6 Architecture: armhf Maintainer: Tatsuya Kinoshita Installed-Size: 259 Depends: libc6 (>= 2.13-28), libeb16, libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4) Homepage: http://www.sra.co.jp/people/m-kasahr/eb/ Priority: optional Section: utils Filename: pool/main/e/eb/eb-utils_4.4.3-6_armhf.deb Size: 85508 SHA256: b041e009d412a01e89cecbf4a8df44c06523349d1ebd86566ae4d43fac6af7e3 SHA1: e3f8e2a2e0f0127d5dbaa79a4fe0cba6a5866789 MD5sum: 679fe7cc03be74a098677b69ca7be4b7 Description: C library for accessing electronic books (utilities) EB Library is a C library for accessing CD-ROM books. . EB Library supports to access CD-ROM books of EB, EBG, EBXA, EBXA-C, S-EBXA and EPWING formats. CD-ROM books of those formats are popular in Japan. Since CD-ROM books themseves are stands on the ISO 9660 format, you can mount the discs by the same way as other ISO 9660 discs. . This package provides utility commands of EB Library. Package: ebhttpd Source: ebnetd Version: 1:1.0.dfsg.1-4.3 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 199 Depends: libc6 (>= 2.13-28), libeb16, zlib1g (>= 1:1.1.4), ebnetd-common, update-inetd Provides: httpd Priority: optional Section: text Filename: pool/main/e/ebnetd/ebhttpd_1.0.dfsg.1-4.3_armhf.deb Size: 80008 SHA256: d243bf73c3c43ba0f85f409ea25fc324d0431f42ba64de0037ee7dbe330bb609 SHA1: 58e0cf5476987d4a4e994283917cfe3f14f4bae7 MD5sum: 67098fc8bb4c6173b3fc0b3b298e524b Description: specialized HTTP server to access CD-ROM books The EBNETD distribution is a series of servers which provide ways to access so-called "CD-ROM books" via TCP/IP, using EB Library. The CD-ROM books is a popular form to provide dictionaries and multimedia references in Japan. Various formats such as EB, EBG, EBXA, EBXA-C, S-EBXA and EPWING are supported. . ebhttpd is a specialized HTTP server which provides a way to access CD-ROM books on remote servers via HTTP/1.0 and HTTP/1.1. Note that you can not use ebhttpd for generic WWW purposes. Package: eblook Version: 1:1.6.1-12 Architecture: armhf Maintainer: Tatsuya Kinoshita Installed-Size: 120 Depends: libc6 (>= 2.13-28), libeb16, zlib1g (>= 1:1.1.4), dpkg (>= 1.15.4) | install-info Suggests: lookup-el Homepage: http://openlab.jp/edict/eblook/ Priority: optional Section: text Filename: pool/main/e/eblook/eblook_1.6.1-12_armhf.deb Size: 50362 SHA256: 9f4f4c93d28fded5e3e1efd9c2fd53fa0b09884b97418e4b17d54dac317abedf SHA1: 3ff6c979e5276832b1b72d018ed4d2b180718861 MD5sum: 38669061b26889f5594aaeadeff9687c Description: electronic dictionary search command using EB Library eblook is a command for accessing electronic dictionaries using EB Library. By this command, you can easily use CD-ROM books of EPWING format (EPWING is popular in Japan). . For emacsen, please install the lookup-el package. It works as an interface to eblook on emacsen. Package: ebnetd Version: 1:1.0.dfsg.1-4.3 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 183 Depends: libc6 (>= 2.13-28), ebnetd-common, update-inetd Priority: optional Section: text Filename: pool/main/e/ebnetd/ebnetd_1.0.dfsg.1-4.3_armhf.deb Size: 67820 SHA256: 66eca05a8eb41d4599754ff1dfb53ead3eb826150b44f53696dc91824e04f1ec SHA1: 08d96d6f954f0c868a53d6c475e9d3fb9a4ca1ed MD5sum: 1e463e4858548f3167d8e9e84533450b Description: the server of EBNET protocol The EBNETD distribution is a series of servers which provide ways to access so-called "CD-ROM books" via TCP/IP, using EB Library. The CD-ROM books is a popular form to provide dictionaries and multimedia references in Japan. Various formats such as EB, EBG, EBXA, EBXA-C, S-EBXA and EPWING are supported. . ebnetd speaks EBNET protocol. With ebnetd, applications using EB Library can access CD-ROM books on a remote server on which ebnetd runs. Package: ebnetd-common Source: ebnetd Version: 1:1.0.dfsg.1-4.3 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 159 Depends: adduser, update-inetd Conflicts: edict-fpw (<= 1.2.1-4.1), ndtpd (<= 3.1.5) Priority: optional Section: text Filename: pool/main/e/ebnetd/ebnetd-common_1.0.dfsg.1-4.3_armhf.deb Size: 62034 SHA256: 7862ad5c0358d98ce6fab2d0803ae476a25d739eb189d4b66c9bae00aa06d175 SHA1: a20f7d31db762dda60dac076d8b327462b6b96aa MD5sum: bd27dd1c6eeddd9f81f638d786112684 Description: Common files for the EBNETD suite The EBNETD distribution is a series of servers which provide ways to access so-called "CD-ROM books" via TCP/IP, using EB Library. The CD-ROM books is a popular form to provide dictionaries and multimedia references in Japan. Various formats such as EB, EBG, EBXA, EBXA-C, S-EBXA and EPWING are supported. . This package provides common files for EBNETD servers, including the configuation framework and documents. Package: eboard Version: 1.1.1-5 Architecture: armhf Maintainer: Vincent Legout Installed-Size: 1059 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6) Recommends: sox, xfonts-75dpi Suggests: gnuchess | crafty, eboard-extras-pack1 Breaks: eboard-extras-pack1 (<< 2-1) Replaces: eboard-extras-pack1 (<< 2-1) Homepage: http://www.bergo.eng.br/eboard/ Priority: optional Section: games Filename: pool/main/e/eboard/eboard_1.1.1-5_armhf.deb Size: 502728 SHA256: 6646aaae5bc75bc2c36162c4015fc3c7dfc99bc33a0c73709f256321465bd081 SHA1: 1d8f3ff6e821140c7e3795cbbf8247d894690b32 MD5sum: 1cc7f3c970020cecc32a3e0f061087ee Description: GTK+ chessboard program eboard is a graphical chess program which can function as an interface to Internet chess servers such as FICS and to chess engines such as Crafty. . eboard has a themeable and freely resizable board, a tabbed or multi-window display, and supports multiple simultaneous boards. . This package contains a chess interface, which means that you can play against another human on the same computer, or play on an Internet server. If you would like to play against a computer, you should install a chess engine such as gnuchess in addition. Package: eboard-extras-pack1 Version: 2-3 Installed-Size: 371 Maintainer: Vincent Legout Architecture: all Recommends: eboard (>= 0.3.2pl1) Size: 342604 SHA256: ed4dd09e74c24b5846c5e3c86d3e652cd8dbf7a07659c07ffcffd062385b7a42 SHA1: cd137250541f3fd70475fcce6159fe415a89a670 MD5sum: c4d4f5f8ce3d6ce82599524f09e4f34a Description: additional piece sets and sounds for eboard (pack 1) This is the first extras pack for eboard, a graphical chess program which can function as an interface to Internet chess servers such as FICS and to chess engines such as Crafty. It provides additional piece sets and sounds. Homepage: http://www.bergo.eng.br/eboard/ Tag: game::board, game::board:chess, interface::x11, role::app-data, uitoolkit::gtk, use::gameplaying, x11::application, x11::theme Section: games Priority: optional Filename: pool/main/e/eboard-extras-pack1/eboard-extras-pack1_2-3_all.deb Package: ebook-speaker Version: 2.0-3 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 158 Depends: libc6 (>= 2.13-28), libidn11 (>= 1.13), libmagic1, libncursesw5 (>= 5.6+20070908), libsox2 (>= 14.4.0), libtinfo5, libzip2 (>= 0.10), espeak Recommends: zip, convlit Multi-Arch: foreign Homepage: http://web.inter.nl.net/users/lemmensj/ Priority: optional Section: sound Filename: pool/main/e/ebook-speaker/ebook-speaker_2.0-3_armhf.deb Size: 48476 SHA256: 0689302d5e2e111ace12218d48b825cb5e0cc4eb68ec4ced9c04c62f149dbcdd SHA1: 3249ac378bc6acd3f1edcde9ec2ca0a269f6b522 MD5sum: e98a22d61e0dd51f7c1209f33442b849 Description: eBook reader that reads aloud in a synthetic voice This package provides a command-line e-reader that reads out electronic books using speech synthesis. It has a simple user interface appropriate for Braille terminals. . Currently the EPUB and Microsoft Reader eBook (lit) format are supported. Package: ebook-speaker-dbg Source: ebook-speaker Version: 2.0-3 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 94 Depends: ebook-speaker (= 2.0-3) Homepage: http://web.inter.nl.net/users/lemmensj/ Priority: extra Section: debug Filename: pool/main/e/ebook-speaker/ebook-speaker-dbg_2.0-3_armhf.deb Size: 26910 SHA256: 2e255557d1a12366c2ba5149d5335d982f390a05c540c1a75b987a3f1d669306 SHA1: 2c2aa315f9e1eceacdc57e0f2c22c9479069a632 MD5sum: c121edbc09a62df777634194238f3495 Description: ebook-speaker debugging symbols This package contains the debugging symbols for ebook-speaker. . Ebook-speaker provides a command-line e-reader that reads out electronic books using speech synthesis. It has a simple user interface appropriate for Braille terminals. Package: ebook-tools-dbg Source: ebook-tools Version: 0.2.1-2 Architecture: armhf Maintainer: Pino Toscano Installed-Size: 62 Depends: libepub0 (= 0.2.1-2) Homepage: http://sourceforge.net/projects/ebook-tools Priority: extra Section: debug Filename: pool/main/e/ebook-tools/ebook-tools-dbg_0.2.1-2_armhf.deb Size: 8686 SHA256: 63d868ab0064d0c5b9fdd3c3fd36e96d474a25ea05c84cb90faa4dfeb131a117 SHA1: 03b2a4bd00a5c09f2616f0656075697e01ceff28 MD5sum: b824cfee6f8ffca4b593c290980bc1ed Description: library and tools to work with the EPub file format - debug symbols The ebook-tools provide ways for accessing and converting different ebook file formats. . This C library is free and portable. It includes methods to parse EPub files and extract their contents. . This package provides the debug symbols for the epub library. Package: ebtables Version: 2.0.10.4-1 Architecture: armhf Maintainer: Jochen Friedrich Installed-Size: 325 Depends: libc6 (>= 2.13-28) Recommends: iptables, module-init-tools Homepage: http://ebtables.sourceforge.net Priority: optional Section: net Filename: pool/main/e/ebtables/ebtables_2.0.10.4-1_armhf.deb Size: 98802 SHA256: a719be9d3ad0f5a0dda1c08e8d981c40702ee45ec2fe815b6980681669685360 SHA1: c8850b189c686a3a998a5b309ae804f29beaf353 MD5sum: bb07b02ac0759a2e71a93d662f9f8c44 Description: Ethernet bridge frame table administration Ebtables is used to set up, maintain, and inspect the tables of Ethernet frame rules in the Linux kernel. It is analogous to iptables, but operates at the MAC layer rather than the IP layer. Package: ebumeter Version: 0.1.0~dfsg-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 122 Depends: libc6 (>= 2.13-28), libclthreads2, libclxclient3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpng12-0 (>= 1.2.13-4), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.3.0), libx11-6, libxft2 (>> 2.1.1) Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/downloads/ Priority: optional Section: sound Filename: pool/main/e/ebumeter/ebumeter_0.1.0~dfsg-2_armhf.deb Size: 43878 SHA256: d1f49778d3b76ccdd36dfc805f059e7c39c0aa8e2e890766076f06829eb7b0bb SHA1: fea3243196c108ae50946faa2267588959c25528 MD5sum: 6a4459cf6d01a46d3ec177539a6b5510 Description: Loudness measurement according to EBU-R128 These tools help controlling loudness of audio material aimed primarily for broadcasting, but they can be useful in other situation. . ebumeter and ebur158 allow users to measure Momentary (400 ms), Short term (3s) and Integrated Loudness (from start to stop). They can be used on audio files and signals through JACK. . This package contains two programs: * ebumeter - interactive meter working via jackd * ebur158 - the command line app to measure sound files Package: ebview Version: 0.3.6.2-1.2 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 587 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libeb16, libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, zlib1g (>= 1:1.1.4) Suggests: edict-fpw Homepage: http://ebview.sourceforge.net/ Priority: extra Section: text Filename: pool/main/e/ebview/ebview_0.3.6.2-1.2_armhf.deb Size: 212806 SHA256: ada8fd7f50197fc2248b2ee72197600feb8705a81469191822e343afa658eb67 SHA1: 4ab641d8ca4116a56e1961a50846ac756c3e2ce5 MD5sum: 3422d8662edbaab45b8e1529745ab330 Description: EPWING dictionary viewer This tool can read multiple dictionaries at the same time and provides a search facility supporting the X11 cut-and-paste buffer. Package: ecaccess Version: 4.0.0-3 Installed-Size: 352 Maintainer: Alastair McKinstry Architecture: all Depends: debhelper (>= 8.1.3), perl, libclass-inspector-perl, libgetopt-long-descriptive-perl, libnet-http-perl, perl-modules, liburi-encode-perl, libterm-readkey-perl, libterm-readline-perl-perl, libterm-progressbar-perl, libterm-prompt-perl, libnumber-bytes-human-perl, libmime-base64-urlsafe-perl, libcrypt-ssleay-perl, libsoap-lite-perl, libio-socket-inet6-perl, libio-socket-ssl-perl Size: 156380 SHA256: 7a63c88ccfbc311608690f6c4939b77d2b0a8c936b20282792411947244e7d85 SHA1: eb675561b0787ccf954ef5ebca99742389cfe002 MD5sum: d5b5e210fe879fa67aa4bef0031cf689 Description: clients to access ECMWF facilities ecaccess is a suite of client tools to enable access to the computing and data archive facilities of the European Centre for Medium-Range Forecasts (ECMWF). . Strict authentication is performed in a uniform way using SecurID cards and standard (X509) certificates. SSL is used to guarantee the integrity of the application data, the transferred jobs and the monitoring information. Homepage: http://www.ecmwf.int/services/ecaccess Section: science Priority: optional Filename: pool/main/e/ecaccess/ecaccess_4.0.0-3_all.deb Package: ecasound Version: 2.9.0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1655 Depends: libasound2 (>= 1.0.16), libaudiofile1 (>= 0.3.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libkvutils10, liblilv-0-0 (>= 0.4.2), liblo7 (>= 0.26~repack), libncurses5 (>= 5.5-5~), liboil0.3 (>= 0.3.10), libreadline6 (>= 6.0), libsamplerate0 (>= 0.1.7), libserd-0-0 (>= 0~svn155), libsndfile1 (>= 1.0.20), libsord-0-0 (>= 0.4.2), libsratom-0-0, libstdc++6 (>= 4.6), libtinfo5 Recommends: faad, lame, mikmod, mpg123, timidity, vorbis-tools Suggests: ecatools, swh-plugins | ladspa-plugin, nama Homepage: http://www.eca.cx/ecasound/ Priority: extra Section: sound Filename: pool/main/e/ecasound/ecasound_2.9.0-1_armhf.deb Size: 747456 SHA256: 29a4b8c046924da01de779997734554a8ae2e55e6a3ddfbce1a50f1104692429 SHA1: 851ebf7eeecaa5f5290966ba0067564cdf53e5d1 MD5sum: b5eef3172b90433fa9adb0ddf09eda40 Description: multitrack-capable audio recorder and effect processor Ecasound is a software package designed for multitrack audio processing. It can be used for simple tasks like audio playback, recording and format conversions, as well as for multitrack effect processing, mixing, recording and signal recycling. . Ecasound supports a wide range of audio inputs, outputs and effect algorithms. Effects and audio objects can be combined in various ways, and their parameters can be controlled by operator objects like oscillators and MIDI-CCs. . This package provides the ecasound executable. Package: ecasound-doc Source: ecasound Version: 2.9.0-1 Installed-Size: 840 Maintainer: Debian Multimedia Maintainers Architecture: all Replaces: ecasound (<< 2.8.0-2) Breaks: ecasound (<< 2.8.0-2) Size: 717178 SHA256: 5c2ce35caecb2f95930dfdb51b47d152aed3ceed20206e762827a37bc535fb63 SHA1: 6fbef5c02a0ee92fcd0e95a0204078cac2f35004 MD5sum: 92e50f5c80d2ee13775402d385f82f45 Description: documentation files for Ecasound Ecasound is a software package designed for multitrack audio processing. It can be used for simple tasks like audio playback, recording and format conversions, as well as for multitrack effect processing, mixing, recording and signal recycling. . Ecasound supports a wide range of audio inputs, outputs and effect algorithms. Effects and audio objects can be combined in various ways, and their parameters can be controlled by operator objects like oscillators and MIDI-CCs. . This package provides the documentation files for ecasound. Homepage: http://www.eca.cx/ecasound/ Tag: made-of::html, made-of::pdf, made-of::postscript, made-of::tex, role::documentation Section: doc Priority: extra Filename: pool/main/e/ecasound/ecasound-doc_2.9.0-1_all.deb Package: ecasound-el Source: ecasound Version: 2.9.0-1 Installed-Size: 215 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: ecasound, emacs23 | xemacs21 | emacsen Size: 87388 SHA256: 2d4e71a4923ec06ceb16102d77977c15989342973b6d8ef811c85ab5c1f048fe SHA1: a868db71ac62ce8b6f0f0cef39a77a5b567a152d MD5sum: acb3125d8ed4607e4966a43e368629a7 Description: multitrack-capable audio recorder and effect processor (emacs) Ecasound is a software package designed for multitrack audio processing. It can be used for simple tasks like audio playback, recording and format conversions, as well as for multitrack effect processing, mixing, recording and signal recycling. . Ecasound supports a wide range of audio inputs, outputs and effect algorithms. Effects and audio objects can be combined in various ways, and their parameters can be controlled by operator objects like oscillators and MIDI-CCs. . This package provides an interactive mode for running ecasound sessions from within Emacs as well as Emacs Lisp bindings to the Ecasound Control Interface. Homepage: http://www.eca.cx/ecasound/ Tag: implemented-in::lisp, role::plugin, sound::mixer, suite::emacs, use::editing, works-with::audio Section: lisp Priority: extra Filename: pool/main/e/ecasound/ecasound-el_2.9.0-1_all.deb Package: ecatools Source: ecasound Version: 2.9.0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 241 Depends: libc6 (>= 2.13-28), libecasoundc1, libgcc1 (>= 1:4.4.0), libkvutils10, libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.4.0), libtinfo5, python, python-ecasound (= 2.9.0-1) Homepage: http://www.eca.cx/ecasound/ Priority: extra Section: sound Filename: pool/main/e/ecasound/ecatools_2.9.0-1_armhf.deb Size: 118688 SHA256: 157ab7827954cde27e6f8757bce40f333c8b0d24c8c17885a23c4c5797453cf0 SHA1: 514fdc79e92333693f5245e61b10a5deb3298420 MD5sum: 3bbeeb609d2c3d56e48f9c31ceb893c8 Description: multitrack-capable audio recorder and effect processor (tools) Ecasound is a software package designed for multitrack audio processing. It can be used for simple tasks like audio playback, recording and format conversions, as well as for multitrack effect processing, mixing, recording and signal recycling. . Ecasound supports a wide range of audio inputs, outputs and effect algorithms. Effects and audio objects can be combined in various ways, and their parameters can be controlled by operator objects like oscillators and MIDI-CCs. . This package provides the following tools: * ecaconvert: tool for converting a set of files to a common target format * ecafixdc: command-line tool for fixing DC-offset * ecalength: tool for printing audio file length and other information * ecamonitor: tool for monitoring an active ecasound session * ecanormalize: command-line tool for normalizing audio files * ecaplay: command-line tool for playing audio files Package: ecb Version: 2.40+cvs20110608-3 Installed-Size: 3585 Maintainer: Mike O'Connor Architecture: all Depends: emacs23 | emacsen, dpkg (>= 1.15.4) | install-info Conflicts: cedet, cedet-common, cogre Size: 829312 SHA256: cb780c40480ada69170757232c0653804b0fa579124ffe8e09fb880f820bce94 SHA1: ea577b613c7402cc3ca2fcd251e1cfb56585b65e MD5sum: dc485bc7290d403ee3a2b0ea04d13082 Description: code browser for Emacs supporting several languages ECB is source code browser for Emacs. It is a global minor-mode which displays a couple of windows that can be used to browse directories, files and methods. It supports method parsing for Java, C, C++, Elisp etc. Homepage: http://ecb.sourceforge.net Tag: devel::buildtools, implemented-in::lisp, role::plugin, suite::emacs, use::browsing, use::editing, works-with::software:source Section: devel Priority: optional Filename: pool/main/e/ecb/ecb_2.40+cvs20110608-3_all.deb Package: echolot Version: 2.1.8-8 Installed-Size: 428 Maintainer: Peter Palfrader Architecture: all Depends: gnupg (>= 1.0.7), postfix | mail-transport-agent, mixmaster, libdigest-md5-perl, libhtml-template-perl, libgnupg-interface-perl (>= 0.33), adduser Size: 88978 SHA256: 3dd74c80e5feab878ce5bb06d58763337e5ce7c393d5b5008eb388284f69e259 SHA1: b493aa962bcd6f7bd7210c523e0296ffc1c77021 MD5sum: 8b8bd05b22fe6082ec11d97667159b8e Description: Pinger for anonymous remailers such as Mixmaster A Pinger in the context of anonymous remailers is a program that regularly sends messages through remailers to determine their status. Based on the responses, the Pinger calculates reliability statistics which may be used by remailer clients to choose a chain of remailers to use. . Furthermore, Echolot collects configuration parameters and keys of remailers and offers the collected information in a format readable by remailer clients. This helps reduce the administration effort required to use or host remailers. Tag: interface::daemon, mail::smtp, network::scanner, network::server, protocol::smtp, role::program, use::monitor, use::proxying Section: mail Priority: extra Filename: pool/main/e/echolot/echolot_2.1.8-8_all.deb Package: echoping Version: 6.0.2-6 Architecture: armhf Maintainer: Dario Minnucci Installed-Size: 180 Depends: libc6 (>= 2.13-28), libgnutls26 (>= 2.12.17-0), libidn11 (>= 1.13), libpopt0 (>= 1.14) Homepage: http://echoping.sourceforge.net/ Priority: optional Section: net Filename: pool/main/e/echoping/echoping_6.0.2-6_armhf.deb Size: 67230 SHA256: e08a83dc819226ed1d74821cba90f17a2b391ed6387fe878bbd49d839c21b8a1 SHA1: 54049e96a1ef7550c7a24bae33995a2baf7c356e MD5sum: c08ae27a70eb9a170b8c60a4d37f3969 Description: Small test tool for TCP servers echoping is a small program to test (approximatively) performances of a remote host by sending it requests such as HTTP requests. . In any case, be polite: don't bother the remote host with many repeated requests, especially with large size. Ask for permission if you often test hosts which aren't yours. . Current features: * plugins, so you can extend echoping with any protocol you like and/or use, * Supports IPv6 as well as IPv4, * Supports IDN (Unicode domain names), * uses the protocols echo, discard, chargen or HTTP, * can use cryptographic connections with HTTP, * uses UDP instead of TCP for the protocols which accept it (like echo), * can repeat the test and display various measures about it, Package: ecj Source: ecj (3.5.1-3) Version: 3.5.1-3+b1 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 59 Depends: libecj-java (>= 3.5.1-3), gcj-4.6-jre-headless (>= 4.4.6), gcj-4.6-jre-lib (>= 4.4.6), java-common (>= 0.23) Recommends: ecj-gcj Suggests: ant Conflicts: ecj-bootstrap (<< 3.2.2), eclipse-ecj Replaces: ecj-bootstrap (<< 3.2.2), eclipse-ecj Priority: optional Section: java Filename: pool/main/e/ecj/ecj_3.5.1-3+b1_armhf.deb Size: 14694 SHA256: 6e77c3cc48637022f99874468ddecc4f5809cf10fe079b397cffc1307e135b9e SHA1: f5827fef9b7ed5a1a05dcb3460b380dbbc597ebc MD5sum: 88233fff9da8a970805e84a7bc2a07bc Description: standalone version of the Eclipse Java compiler This package provides a standalone version of the Eclipse JDT compiler which is distributed as part of Eclipse. It passes the JCK (Java Compatibility Kit) and is compatible with Java 1.3, 1.4, 1.5, 1.6 and 1.7. Package: ecj-gcj Source: ecj Version: 3.5.1-3 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 4038 Depends: ecj (>= 3.5.1-3), libecj-java-gcj (>= 3.5.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcj-bc (>= 4.4.5-1~), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Recommends: java-gcj-compat (>= 1.0.69) Conflicts: eclipse-ecj-gcj Replaces: ecj-bootstrap-gcj (<< 3.2.2), eclipse-ecj-gcj Provides: libecj-java-gcj Priority: optional Section: java Filename: pool/main/e/ecj/ecj-gcj_3.5.1-3_armhf.deb Size: 1605598 SHA256: 0b42a1bd82778bd8b8a244c465bc042c262f9c7ef70acc390201e85ac36e9310 SHA1: 978c1df51f80f0417f0cf289fa3e37149ebd7c1e MD5sum: 163f5df61d671b4ff2355b5510085927 Description: standalone version of the Eclipse Java compiler (native version) This package provides a standalone version of the Eclipse JDT compiler which is distributed as part of Eclipse. It passes the JCK (Java Compatibility Kit) and is compatible with Java 1.3, 1.4, 1.5, 1.6 and 1.7. . This package contains a native version of ecj built using gcj. Package: ecj1 Source: ecj Version: 3.5.1-3 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 4034 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcj-bc (>= 4.4.5-1~), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Priority: optional Section: java Filename: pool/main/e/ecj/ecj1_3.5.1-3_armhf.deb Size: 1605274 SHA256: e3c48eeaaa2c1d0c0545866b656df47af3cb712e86ab991e890ea8d8a1537e8f SHA1: 7141736afebd971626f7ee9e178e95adc809f1db MD5sum: cfa8d17f7ea9a45fc9933ba43e83dae4 Description: java byte code compiler used by gcj This package provides a standalone version of the java byte code compiler used by gcj. Package: ecl Version: 11.1.1+dfsg1-2 Architecture: armhf Maintainer: Debian Common Lisp Team Installed-Size: 10304 Depends: libc6 (>= 2.13-28), libgc1c2 (>= 1:7.1), libgmp10, libgmp3-dev, libncurses5-dev, libgc-dev, gcc Suggests: slime, ecl-doc Conflicts: common-lisp-controller (<< 6.15) Provides: lisp-compiler Homepage: http://ecls.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/e/ecl/ecl_11.1.1+dfsg1-2_armhf.deb Size: 3360604 SHA256: e496214db470b1fed9c39c9517090385b66ae6d952343da82a93747aea99df05 SHA1: 431013b522419c2e91c02fefa994868d52aedd97 MD5sum: c3ce170b46086a7162343212a1ae93c3 Description: Embeddable Common-Lisp: has an interpreter and can compile to C ECL stands for Embeddable Common-Lisp. The ECL project is an effort to modernize Giuseppe Attardi's ECL environment to produce an implementation of the Common-Lisp language which complies to the ANSI X3J13 definition of the language. . The current ECL implementation features: * A bytecodes compiler and interpreter. * A translator to C. * A UFFI-compatible interface to C code. * A dynamic loader. * The possibility to build standalone executables and DLLs. * The Common-Lisp Object System (CLOS). * Conditions and restarts for handling errors. * Sockets as ordinary streams. * The GNU Multiprecision library for fast bignum operations. * A simple conservative mark & sweep garbage collector. * The Boehm-Weiser garbage collector. Package: ecl-doc Source: ecl Version: 11.1.1+dfsg1-2 Installed-Size: 6 Maintainer: Debian Common Lisp Team Architecture: all Suggests: ecl Size: 5926 SHA256: aee78725d6263d66c54d01e7feb2eed5f902ee36ca95fa34c532048112dbac7f SHA1: bf1e8a05a8d2d108f9ed906a68ef734fdf157d30 MD5sum: f2059ae9e37a7b51ff51c630aea2a3eb Description: documentation for Embeddable Common-Lisp Contains the Info and HTML documentation. Homepage: http://ecls.sourceforge.net/ Tag: devel::doc, devel::lang:lisp, made-of::html, made-of::info, role::documentation Section: doc Priority: optional Filename: pool/main/e/ecl/ecl-doc_11.1.1+dfsg1-2_all.deb Package: eclipse Version: 3.8.0~rc4-1 Installed-Size: 105 Maintainer: Debian Orbital Alignment Team Architecture: all Depends: eclipse-jdt (>= 3.8.0~rc4-1), eclipse-pde (>= 3.8.0~rc4-1) Size: 55912 SHA256: 992ae79d0a569b4d9b1af3d12b64b3a51a8ed6cd2198975a703eed5847e443fc SHA1: 047f012de977d40c3c9158f162855a499a117c5e MD5sum: a8e33430ece001dbc4f87bff7470f609 Description: Extensible Tool Platform and Java IDE The Eclipse Platform is an open and extensible platform for anything and yet nothing in particular. It provides a foundation for constructing and running integrated software-development tools. The Eclipse Platform allows tool builders to independently develop tools that integrate with other people's tools so seamlessly you can't tell where one tool ends and another starts. . This package provides the whole Eclipse SDK that contains Eclipse Platform, Java development tools and Plug-in Development Environment, including source and both user and programmer documentation. Homepage: http://www.eclipse.org/ Tag: devel::ide, devel::lang:java, implemented-in::java, interface::x11, role::program, suite::eclipse, uitoolkit::TODO, use::editing, works-with::software:source, x11::application Section: devel Priority: optional Filename: pool/main/e/eclipse/eclipse_3.8.0~rc4-1_all.deb Package: eclipse-anyedit Version: 2.4.2-1 Installed-Size: 307 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-platform (>= 3.7.0) Suggests: eclipse-jdt Size: 280268 SHA256: 80c41ac4c6c155b7f509bb0548db0b6780b93599041a2354a4da1dd3621b05e5 SHA1: e2b23da4a42cee65594bc55e363fc407cd3ec0e6 MD5sum: 0f3e03f2b25fd673c3a6d1b2542ad9fd Description: Adds additional tools to the context menu of text-based Eclipse editors AnyEdit plugin adds several new tools to the context menu of text-based Eclipse editors, to output consoles, to Eclipse main menu and editor toolbar. AnyEdit contributes also Import/Export working sets wizards. . AnyEdit can: . * show the whitespace (tabs vs. spaces) in editors and may use custom project settings for tab <-> spaces auto-convert-on-save feature. * perform tabs <-> spaces convert on multiple files or entire directories and supports also file exclusion filter for tabs <-> spaces action. * automatically remove trailing whitespaces and/or perform tabs <-> spaces conversion on a "save" action in all text-based Eclipse editors. It can automatically create a new line at the end of the file if the last line was not terminated by new line. . Also adds additional actions to menus and toolbars: . * "Save All", "Open File" and "Show whitespace in editor" buttons to the global Eclipse toolbar. * "Open File", "Open Type" and "Save to file..." actions to supported output consoles and "Save to file..." toolbar button to the Console view. * four new context menu actions to "Compare With" and "Replace With" menus in both editors and files. Homepage: http://andrei.gmxhome.de/anyedit/ Section: devel Priority: optional Filename: pool/main/e/eclipse-anyedit/eclipse-anyedit_2.4.2-1_all.deb Package: eclipse-cdt Version: 8.1.0+dfsg-2 Installed-Size: 36555 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-platform (>= 3.8~), eclipse-rse, eclipse-cdt-jni (>= 8.1.0+dfsg-2), gcc, make Suggests: g++ Size: 34768878 SHA256: 16f06125126ab18c08f230886e91f462ffc43e82349bed4f18d55a6ccc76a548 SHA1: a3fad96772b3106a0821b8641d61d06546f803de MD5sum: 808b7412d63de22b4113671c67ebbb06 Description: C/C++ Development Tools for Eclipse The eclipse-cdt package contains Eclipse features and plugins that are useful for C and C++ development. . The current release function includes: * C/C++ Editor (basic functionality, syntax highlighting, code completion, etc.) * C/C++ Debugger (APIs & Default implementation, using GDB) * C/C++ Launcher (APIs & Default implementation, launches and external application) * Parser * Search Engine * Content Assist Provider * Makefile generator Homepage: http://www.eclipse.org/cdt/ Tag: devel::buildtools, devel::code-generator, devel::debugger, devel::editor, devel::ide, devel::lang:c, devel::lang:c++, implemented-in::java, interface::x11, role::plugin, scope::application, suite::eclipse, uitoolkit::gtk Section: devel Priority: optional Filename: pool/main/e/eclipse-cdt/eclipse-cdt_8.1.0+dfsg-2_all.deb Package: eclipse-cdt-autotools Source: eclipse-cdt Version: 8.1.0+dfsg-2 Installed-Size: 2899 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-cdt (= 8.1.0+dfsg-2), autoconf, automake Size: 2677564 SHA256: 9cff3d12731470fef057749a0b9f0ab93b26166da820700752dc49beb0af6310 SHA1: bd7f4592bd59fc6f1e731f667aa33582d3f71ac2 MD5sum: 89c99155de4f9016ba992c424cc58c7d Description: Autotools support for Eclipse CDT The Autotools suite of plugins adds to the CDT a support for building and maintaining C/C++ projects that use Autotools. With this additional support, a vast repository of C/C++ code can be checked out, built, and maintained under the CDT rather easily without having to resort to the command line. . In conjunction with the CDT, the plugin can do the following: * Build a C/C++ project that uses a configure script or an autogen script or a Makefile.cvs script * Create a simple C or C++ hello world Autotools project via a template * Allow configuration parameters to be set via a gui and to rebuild when configuration parameters are changed * Colorized editing of configuration files such as configure.in, configure.ac, Makefile.am, and Makefile.in with full hover help for autoconf/automake macros * Allow specification of multiple build configurations for a single project * Run autotools such as autoconf, automake, or aclocal directly using a gui interface * Includes hover help for autoconf and automake macros in the editors Homepage: http://www.eclipse.org/cdt/ Section: devel Priority: optional Filename: pool/main/e/eclipse-cdt/eclipse-cdt-autotools_8.1.0+dfsg-2_all.deb Package: eclipse-cdt-jni Source: eclipse-cdt Version: 8.1.0+dfsg-2 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 94 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Homepage: http://www.eclipse.org/cdt/ Priority: optional Section: devel Filename: pool/main/e/eclipse-cdt/eclipse-cdt-jni_8.1.0+dfsg-2_armhf.deb Size: 19720 SHA256: f80a9e8c8d31129f37bdba619378ae9033f7486349ca90665f8d9ba051ede3c1 SHA1: 5475bf7c8e034bd865dbe3b71a8bb8f68094850a MD5sum: c90ce253ab147d6b9e35842a9d8bb2cb Description: C/C++ Development Tools for Eclipse (JNI) The eclipse-cdt package contains Eclipse features and plugins that are useful for C and C++ development. . The current release function includes: * C/C++ Editor (basic functionality, syntax highlighting, code completion, etc.) * C/C++ Debugger (APIs & Default implementation, using GDB) * C/C++ Launcher (APIs & Default implementation, launches and external application) * Parser * Search Engine * Content Assist Provider * Makefile generator . This package contains architecture specific JNI libraries Package: eclipse-cdt-pkg-config Version: 0.5.4+svn212-1 Installed-Size: 80 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-cdt (>= 8.0.0) Size: 66224 SHA256: 95952be97715c4b796c1607f3ccc6d96c26d2e85a6437e4ae7e595c3326765f0 SHA1: f23e5a8f2eadd953dc9633a5b975bde913406c36 MD5sum: da76d6fa8e3229edaf4926dbf1d8ee9b Description: pkg-config support for Eclipse C/C++ development tools The aim of the Eclipse plug-in is to provide automation of configuration needed for projects using pkg-config, such as GTK+ and gtkmm. . The plug-in will set options and switches automatically that gcc needs to add in the selected packages - specifically the header file paths, the libraries, and some other gcc switches. Homepage: http://code.google.com/p/pkg-config-support-for-eclipse-cdt/ Section: devel Priority: optional Filename: pool/main/e/eclipse-cdt-pkg-config/eclipse-cdt-pkg-config_0.5.4+svn212-1_all.deb Package: eclipse-cdt-valgrind Source: eclipse-linuxtools Version: 1.0.0-1 Installed-Size: 1466 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-cdt, valgrind, libswtchart-java (>= 0.8.0) Size: 1397644 SHA256: 0e96c7f1e2a9f3e51268a0957d4b326132cf7b6d85bbcd489832554b0d136995 SHA1: d43189197506fec85dd95586cc980daec41b9634 MD5sum: c8e89690125437e77668f15c45f15847 Description: Valgrind integration for Eclipse CDT The Linux Tools Project Valgrind plugin aims to provide simple and effective profiling for the C/C++ Development Tools. . Integration of these tools from Valgrind suite is provided: . * Memcheck * . Memcheck is a tool that detects memory management problems. It is Valgrind's most popular tool and seemingly the most mature. Memcheck's output is rather straight forward - it is a series of errors along with stack trace information. . The Valgrind View presents this output using a TreeViewer with the errors as top-level elements. . The stack trace is presented underneath the error and when a frame is double-clicked an editor will open and go to the line in question. . Markers represent memcheck errors inside the editor and are linked to the Problems View. . Includes an editor for Valgrind suppressions files featuring syntax highlighting, folding and code completion. . * Massif * . Massif is a heap profiling tool. It takes several "snapshots" during execution of your program detailing the various heap allocations throughout. It's output for each snapshot primarily consists the time of the snapshot, how many bytes were allocated that can be used, and how much extra was allocated than was asked. The unit of time can be configured to be instructions, milliseconds or bytes. At specified intervals, "detailed" snapshots are produced. These detailed snapshots contain a tree of heap allocations that comprise that snapshot. Unlike Memcheck, Massif does not yet support XML output. Massif's output is complex and as such requires creative ways of displaying it. Valgrind includes the ms_print program that is used to display Massif's output in a visually appealing manner. ms_print was used as a template for how to display this output. . The default view of the output is with a TableViewer. Snapshots are displayed as tabular data, just as ms_print does. . For detailed snapshots, an icon indicates the snapshot can be double-clicked and the View will display a TreeViewer of the detailed snapshots' heap allocation trees. . ms_print also provides a bar chart of bytes allocated throughout execution. In perhaps a more appropriate style, there is an option to display a detailed line chart of this data. . * Cachegrind * . Cachegrind performs cache and branching profiling. A Cachegrind profile run measures the number of cache misses and branch mispredictions performed by an application. The results of a Cachegrind profile run are displayed in the Valgrind view. These results show Cachegrind's cache/branch data in different levels of granularity. . Double-clicking on any file, function, or line will open the corresponding source file and place the cursor on the appropriate location (if the source can be resolved). . Integration with the CDT's parsed code model provides a user interface that resembles what you see in the Outline view. Homepage: http://www.eclipse.org/linuxtools/ Section: devel Priority: optional Filename: pool/main/e/eclipse-linuxtools/eclipse-cdt-valgrind_1.0.0-1_all.deb Package: eclipse-cdt-valgrind-remote Source: eclipse-linuxtools Version: 1.0.0-1 Installed-Size: 93 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-cdt-valgrind, eclipse-rse Size: 55886 SHA256: 9e90d5c2ca42eaf4dc0ca984e2a697c1124f1040e54495d289a609afbde82bc7 SHA1: e33ae877e0e0efc1c25639025064feaa676e2258 MD5sum: da811ee0b81fe0c759e3307995255c6c Description: Valgrind integration for Eclipse CDT (remote launch) The Linux Tools Project Valgrind plugin aims to provide simple and effective profiling for the C/C++ Development Tools. . This package allows one to launch Valgrind on a remote target configured in Eclipse Remote Systems Explorer. Homepage: http://www.eclipse.org/linuxtools/ Section: devel Priority: optional Filename: pool/main/e/eclipse-linuxtools/eclipse-cdt-valgrind-remote_1.0.0-1_all.deb Package: eclipse-egit Version: 2.0.0-1 Installed-Size: 5502 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-platform (>= 3.7~exp), libjgit-java (>= 2.0.0) Size: 5263044 SHA256: d7ff887ea32058963c007bc2575ab4c636cff4a21f6c24743da51019c57c32c1 SHA1: 15ee17fcf37988693f3da6e979b89be6667c221b MD5sum: 9d98a5378e52112f2b78a54701c6130d Description: Eclipse Team provider for the Git version control system The EGit project is implementing Eclipse tooling on top of the JGit Java implementation of Git. Homepage: http://www.eclipse.org/egit/ Section: devel Priority: optional Filename: pool/main/e/eclipse-egit/eclipse-egit_2.0.0-1_all.deb Package: eclipse-egit-mylyn Source: eclipse-egit Version: 2.0.0-1 Installed-Size: 61 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-egit (= 2.0.0-1), eclipse-mylyn Size: 29506 SHA256: 0f3c3751056b511b9149139f0699c14079f79d7a1b81766e21f35c8fde1711a0 SHA1: b21bf896f4bde6eb0d590e836904d253ba4f49d1 MD5sum: 15bf54203b93a71d8a2ac0126141b8d6 Description: Mylyn integration for EGit This plugin allows one to create links between Git commits and Mylyn tasks. It includes these functions: . * Pre-populating of the commit message with name, ID and status of active Mylyn task. * Add files changed in a particular commit into active task's context. * From Git History view, open a task corresponding to a commit. Homepage: http://www.eclipse.org/egit/ Section: devel Priority: optional Filename: pool/main/e/eclipse-egit/eclipse-egit-mylyn_2.0.0-1_all.deb Package: eclipse-emf Version: 2.5.0-2 Installed-Size: 5207 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre | java5-runtime | java6-runtime, eclipse-platform (>= 3.5.2) Size: 4423808 SHA256: ac90c2241312a70ad241c19f7c87758e409946a7e01007f03fd36a317ee563bf SHA1: 4e1032393891e99375442e49e6a36f78106c53e4 MD5sum: 5f8daf31b8ad2d2df7eb2f74554573b2 Description: Eclipse Modeling Framework (EMF) The Eclipse Modeling Framework (EMF) allows developers to build tools and other applications based on a structured data model. From a model specification described in XMI, EMF provides tools and runtime support to produce a set of Java classes for the model, along with a set of adapter classes that enable viewing and command-based editing of the model, and a basic editor. Homepage: http://www.eclipse.org/emf Section: java Priority: optional Filename: pool/main/e/eclipse-emf/eclipse-emf_2.5.0-2_all.deb Package: eclipse-emf-examples Source: eclipse-emf Version: 2.5.0-2 Installed-Size: 862 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre | java5-runtime | java6-runtime, eclipse-emf (= 2.5.0-2), eclipse-xsd (= 2.5.0-2) Size: 694262 SHA256: 1246d10f343e6267bbc7e353496ce175b6b6ae3ac570a870edcb5f990fb13f1a SHA1: 2d8ea60b532e8486764a445955a5fcf29eac50de MD5sum: d0d927493dcbb5ce37ce3305001dff19 Description: Eclipse EMF/XSD examples Installable versions of the example projects from the SDKs that demonstrate how to use the Eclipse Modeling Framework (EMF) and XML Schema Definition (XSD) plugins. Homepage: http://www.eclipse.org/emf Section: java Priority: optional Filename: pool/main/e/eclipse-emf/eclipse-emf-examples_2.5.0-2_all.deb Package: eclipse-emf-sdk Source: eclipse-emf Version: 2.5.0-2 Installed-Size: 5241 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre | java5-runtime | java6-runtime, eclipse-emf (= 2.5.0-2), eclipse-pde (>= 3.5.2) Size: 4428116 SHA256: 5f233802d49ae8c5fa49e02e3a307274457812a679b57cf5990dca6b7ee7a922 SHA1: c9598dd7201db5774d5e29e2b3b6689eeef8b7b3 MD5sum: 6229b189d4c8fd17041599611a486588 Description: Eclipse Modeling Framework (EMF) - (Source/Documentation) The Eclipse Modeling Framework (EMF) allows developers to build tools and other applications based on a structured data model. From a model specification described in XMI, EMF provides tools and runtime support to produce a set of Java classes for the model, along with a set of adapter classes that enable viewing and command-based editing of the model, and a basic editor. . Documentation and source for the Eclipse Modeling Framework (EMF). Homepage: http://www.eclipse.org/emf Section: java Priority: optional Filename: pool/main/e/eclipse-emf/eclipse-emf-sdk_2.5.0-2_all.deb Package: eclipse-gef Version: 3.7.1-1 Installed-Size: 1759 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-platform (>= 3.7~exp) Suggests: eclipse-gef-doc Size: 1502922 SHA256: dac65a930280f126edc945f2993189bfc16cf03b44b0544e590d64f0c718c01c SHA1: 8e59e3c2ae3823961f05f94da5b34f3a24ebedba MD5sum: 7eddd74700b0ac61ffa22e3a6085849d Description: Eclipse Graphical Editing Framework The Graphical Editing Framework (GEF) provides technology to create rich graphical editors and views for the Eclipse Workbench UI. It bundles three components: . * Draw2d (org.eclipse.draw2d) - A layout and rendering toolkit for displaying graphics on an SWT Canvas. * GEF (MVC) (org.eclipse.gef) - An interactive model-view-controler (MVC) framework, which fosters the implementation of SWT-based tree and Draw2d-based graphical editors for the Eclipse Workbench UI. * Zest (org.eclipse.zest) - A visualization toolkit based on Draw2d, which enables implementation of graphical views for the Eclipse Workbench UI. Homepage: http://www.eclipse.org/gef/ Section: devel Priority: optional Filename: pool/main/e/eclipse-gef/eclipse-gef_3.7.1-1_all.deb Package: eclipse-gef-doc Source: eclipse-gef Version: 3.7.1-1 Installed-Size: 290 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-platform (>= 3.7~exp) Suggests: eclipse-gef Size: 286578 SHA256: 668a5c64ef82ed6b7bde683eee5477ba1915b7bf322ad9700cde68ae8b4ffaa7 SHA1: 1ded331e6a2db4a7c4f9271adaa5d20963569cc2 MD5sum: c90190b2a5cf3a91227e25de00698e96 Description: Eclipse Graphical Editing Framework (documentation) The Graphical Editing Framework (GEF) provides technology to create rich graphical editors and views for the Eclipse Workbench UI. It bundles three components: . * Draw2d (org.eclipse.draw2d) - A layout and rendering toolkit for displaying graphics on an SWT Canvas. * GEF (MVC) (org.eclipse.gef) - An interactive model-view-controler (MVC) framework, which fosters the implementation of SWT-based tree and Draw2d-based graphical editors for the Eclipse Workbench UI. * Zest (org.eclipse.zest) - A visualization toolkit based on Draw2d, which enables implementation of graphical views for the Eclipse Workbench UI. . Plugin documentation that can be viewed within Eclipse. Homepage: http://www.eclipse.org/gef/ Section: doc Priority: optional Filename: pool/main/e/eclipse-gef/eclipse-gef-doc_3.7.1-1_all.deb Package: eclipse-jdt Source: eclipse Version: 3.8.0~rc4-1 Installed-Size: 22659 Maintainer: Debian Orbital Alignment Team Architecture: all Replaces: eclipse-jdt-gcj Depends: default-jre | java5-runtime | java6-runtime, eclipse-platform (>= 3.8.0~rc4-1), junit (>= 3.8.2-4), junit4 (>= 4.10-2), libhamcrest-java (>= 1.1-8~) Recommends: default-jdk | sun-java6-jdk Suggests: eclipse Size: 21209546 SHA256: 5fc09aad999ccfcd78238a4d288bcd295ddb58b82f14d1ecc404b75eceb76739 SHA1: 3979ffd45cdee54b99fe451b6fae3608381d907b MD5sum: 4f5e6e94cbb877ae37f8b1361bfb758b Description: Eclipse Java Development Tools (JDT) The Eclipse Platform is an open and extensible platform for anything and yet nothing in particular. It provides a foundation for constructing and running integrated software-development tools. The Eclipse Platform allows tool builders to independently develop tools that integrate with other people's tools so seamlessly you can't tell where one tool ends and another starts. . This package provides Java Development Tools, a complete and powerful Java IDE along with several editors, a refactoring engine, Ant and JUnit integration, a debugger, and many more features. Homepage: http://www.eclipse.org/ Tag: devel::compiler, devel::editor, devel::ide, devel::lang:java, implemented-in::java, interface::x11, role::plugin, suite::eclipse, uitoolkit::TODO, use::editing, works-with::software:source, x11::application Section: devel Priority: optional Filename: pool/main/e/eclipse/eclipse-jdt_3.8.0~rc4-1_all.deb Package: eclipse-mercurialeclipse Version: 1.9.4-2 Installed-Size: 1641 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-platform (>= 3.8~), eclipse-mylyn, mercurial Size: 1485510 SHA256: afda29a193b00a3e319256469919e5c843ece77348316cb527477177736dc3f5 SHA1: 5c3cc971f1a34e391851b13a7b4bc82ec28b36ab MD5sum: b592feaac64ba20874c097cff3eaa254 Description: Mercurial DVCS integration for Eclipse MercurialEclipse is a plugin providing support for the highly popular Mercurial distributed version control system within the Eclipse IDE. . MercurialEclipse supports: . * cloning repositories and importing them as Eclipse projects * push, pull and convenient syncronization * working with branches (branch, merge and rebase) * tags * undo (backout, rollback and strip) * diffs * histories * patches * Mercurial extensions (mqueue, transplant and such) Homepage: http://www.javaforge.com/project/HGE Section: devel Priority: optional Filename: pool/main/e/eclipse-mercurialeclipse/eclipse-mercurialeclipse_1.9.4-2_all.deb Package: eclipse-mylyn Version: 3.8.0-2 Installed-Size: 4841 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-platform (>= 3.7~exp), eclipse-emf, libaxis-java (>= 1.4-16), libcommons-discovery-java (>= 0.5-3), libcommons-lang-java (>= 2.6-3), libgnujaf-java (>= 1.1.1-8), libgnumail-java (>= 1.1.2-7), libjaxp1.3-java (>= 1.3.05-2), libjdom1-java (>= 1.1.2+dfsg-2), librome-java (>= 1.0-3), libws-commons-util-java (>= 1.0.1-7), libwsdl4j-java (>= 1.6.2-4), libxmlrpc3-client-java (>= 3.1.3-5), libxmlrpc3-common-java (>= 3.1.3-5) Size: 3922010 SHA256: 97dab654a9dbdf0f1316074fc3f2881facb3bd8f8c2c15257b8c41ba9add786b SHA1: 47349dff55603460319def446c6379d9f4d6563b MD5sum: 0f39bdcb8ee3a5a514e0baeba3a4cc01 Description: Task-Focused Interface for Eclipse Mylyn is a Task-Focused Interface for Eclipse that reduces information overload and makes multi-tasking easy. It does this by making tasks a first class part of Eclipse, and integrating rich and offline editing for repositories such as Bugzilla, Trac, and JIRA. . Once your tasks are integrated, Mylyn monitors your work activity to identify information relevant to the task-at-hand, and uses this task context to focus the Eclipse UI on the interesting information, hide the uninteresting, and automatically find what's related. This puts the information you need to get work done at your fingertips and improves productivity by reducing searching, scrolling, and navigation. By making task context explicit Mylyn also facilitates multitasking, planning, reusing past efforts, and sharing expertise. Homepage: http://www.eclipse.org/mylyn Section: devel Priority: optional Filename: pool/main/e/eclipse-mylyn/eclipse-mylyn_3.8.0-2_all.deb Package: eclipse-mylyn-builds-hudson Source: eclipse-mylyn Version: 3.8.0-2 Installed-Size: 208 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-mylyn (= 3.8.0-2), libgoogle-gson-java (>= 2.1-2), libhttpclient-java (>= 4.1.1-2), libhttpcore-java (>= 4.1.4-2.1), libjaxme-java (>= 0.5.2+dfsg-6) Size: 106538 SHA256: f7c5c245a2c79336128d399b18eb2027badc14c4374248272af42f9d9c647b15 SHA1: f4dfe5cffa7680765129d0edd762e563746d02c4 MD5sum: 013cb83d3fb1901a6f3164871ee99138 Description: Mylyn Hudson/Jenkins Connector Mylyn integrates task support into Eclipse. It supports offline editing for certain task repositories and monitors work activity to hide information that is not relevant to the current task. Also included is the Mylyn Focused UI for reducing information overload when working with tasks and the Bugzilla task connector. . This package provides integration with Hudson and Jenkins continuous integration servers. Homepage: http://www.eclipse.org/mylyn Section: devel Priority: optional Filename: pool/main/e/eclipse-mylyn/eclipse-mylyn-builds-hudson_3.8.0-2_all.deb Package: eclipse-mylyn-context-cdt Source: eclipse-mylyn Version: 3.8.0-2 Installed-Size: 165 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-mylyn (= 3.8.0-2), eclipse-cdt (>= 8.0.0) Size: 72202 SHA256: e10a3807753f5602e149a75531bc82e714b136f1b869e61a1a8fd2c6e1517477 SHA1: 624389c8223b685701612767f0c8cb20fbb66db4 MD5sum: e08851fbed30e0aefd037039c2a74dc9 Description: Mylyn context integration for Eclipse CDT Mylyn context provides usage monitoring, degree-of-interested modeling and the task-focused user extensions implementation for the Eclipse UI, which re-aligns the user experience around tasks and provides features such as workspace focusing and one-click multitasking. . This package provides Mylyn context integration for Eclipse C/C++ Development Tools. Homepage: http://www.eclipse.org/mylyn Section: devel Priority: optional Filename: pool/main/e/eclipse-mylyn/eclipse-mylyn-context-cdt_3.8.0-2_all.deb Package: eclipse-mylyn-context-jdt Source: eclipse-mylyn Version: 3.8.0-2 Installed-Size: 312 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-mylyn (= 3.8.0-2), eclipse-jdt (>= 3.7~exp) Size: 201234 SHA256: 40c59ad818eea8d6ff0ac057221b0ab15e442ae3c75b7636f1587e5c368d2ece SHA1: 7f068333d1ced785f613ff8d91e5477462f7a976 MD5sum: c1d1aca1efc94c740ad7fb985072f69c Description: Task support for Eclipse JDT Mylyn integrates task support into Eclipse. It supports offline editing for certain task repositories and monitors work activity to hide information that is not relevant to the current task. Also included is the Mylyn Focused UI for reducing information overload when working with tasks and the Bugzilla task connector. . Mylyn Task-Focused UI extensions for JDT. Provides focusing of Java element views and editors. Homepage: http://www.eclipse.org/mylyn Section: devel Priority: optional Filename: pool/main/e/eclipse-mylyn/eclipse-mylyn-context-jdt_3.8.0-2_all.deb Package: eclipse-mylyn-context-pde Source: eclipse-mylyn Version: 3.8.0-2 Installed-Size: 161 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-platform (>= 3.7~exp), eclipse-pde (>= 3.7~exp), eclipse-mylyn-context-jdt (= 3.8.0-2) Size: 66780 SHA256: 5d24eb8cc02334f4ad343b0ec60210c594c5b6d3e48f680fccbc3984cef94f0d SHA1: 0c986711007b5c363f2bbc7d522562701ac55b55 MD5sum: 6a29cb290059323eef25ad5231a4cb4c Description: Task support for Eclipse PDE Mylyn integrates task support into Eclipse. It supports offline editing for certain task repositories and monitors work activity to hide information that is not relevant to the current task. Also included is the Mylyn Focused UI for reducing information overload when working with tasks and the Bugzilla task connector. . Mylyn Task-Focused UI extensions for PDE. Homepage: http://www.eclipse.org/mylyn Section: devel Priority: optional Filename: pool/main/e/eclipse-mylyn/eclipse-mylyn-context-pde_3.8.0-2_all.deb Package: eclipse-mylyn-tasks-bugzilla Source: eclipse-mylyn Version: 3.8.0-2 Installed-Size: 594 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-mylyn (= 3.8.0-2) Size: 466966 SHA256: a192962d10d65ec4fa9563428227c7d3e567b52a8892d3b49817dc81d05b5be1 SHA1: 3b5b91597953c6f8ebc6c297c9ac00ef20f5497b MD5sum: 7c6a1841fcb1e1e69ad2d12217a60123 Description: Mylyn Bugzilla Connector Mylyn integrates task support into Eclipse. It supports offline editing for certain task repositories and monitors work activity to hide information that is not relevant to the current task. Also included is the Mylyn Focused UI for reducing information overload when working with tasks and the Bugzilla task connector. . Bugzilla client integrated with Eclipse and Mylyn Homepage: http://www.eclipse.org/mylyn Section: devel Priority: optional Filename: pool/main/e/eclipse-mylyn/eclipse-mylyn-tasks-bugzilla_3.8.0-2_all.deb Package: eclipse-mylyn-tasks-trac Source: eclipse-mylyn Version: 3.8.0-2 Installed-Size: 317 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-mylyn (= 3.8.0-2), libgoogle-gson-java (>= 2.1-2) Size: 210930 SHA256: 489eb95a0c5978b990c8fb171b32e2ac5a03b4790558930e1cb7e7fca597a90a SHA1: 029351813a0f3fd4d9169ee8b8759bb12218885f MD5sum: aeb6aad15ea8f7bc3cba29b577891761 Description: Mylyn Trac Connector Mylyn integrates task support into Eclipse. It supports offline editing for certain task repositories and monitors work activity to hide information that is not relevant to the current task. Also included is the Mylyn Focused UI for reducing information overload when working with tasks and the Bugzilla task connector. . Trac client integrated with Eclipse and Mylyn; can be used standalone. Homepage: http://www.eclipse.org/mylyn Section: devel Priority: optional Filename: pool/main/e/eclipse-mylyn/eclipse-mylyn-tasks-trac_3.8.0-2_all.deb Package: eclipse-mylyn-versions-cvs Source: eclipse-mylyn Version: 3.8.0-2 Installed-Size: 108 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-mylyn (= 3.8.0-2) Size: 19102 SHA256: bb0a5a7d24e058c5249d026fe39f9b6a8aa54c64261440f76fb04ff981538915 SHA1: 023d327c642adfd7d1597c22a5b8a3150284a47a MD5sum: a8a8be4d373415b6ab1649f4d54f7ab4 Description: Mylyn version control integration for CVS Mylyn integrates task support into Eclipse. It supports offline editing for certain task repositories and monitors work activity to hide information that is not relevant to the current task. Also included is the Mylyn Focused UI for reducing information overload when working with tasks and the Bugzilla task connector. . Mylyn version control integration for CVS. Homepage: http://www.eclipse.org/mylyn Section: devel Priority: optional Filename: pool/main/e/eclipse-mylyn/eclipse-mylyn-versions-cvs_3.8.0-2_all.deb Package: eclipse-mylyn-wikitext Source: eclipse-mylyn Version: 3.8.0-2 Installed-Size: 2439 Maintainer: Debian Java Maintainers Architecture: all Depends: eclipse-mylyn (= 3.8.0-2), libjsoup-java (>= 1.6.2) Size: 2226238 SHA256: 632f0db6a3f11ad0840d22f36909876d4f6a0df7db6a90449391fec92a8412e8 SHA1: 0ebfd8c558eaaea8ba9fae7ca1011e1664e4d9c2 MD5sum: 3138cee344e5f17534df1d7f9ae4f295 Description: Mylyn WikiText Mylyn integrates task support into Eclipse. It supports offline editing for certain task repositories and monitors work activity to hide information that is not relevant to the current task. Also included is the Mylyn Focused UI for reducing information overload when working with tasks and the Bugzilla task connector. . Enables parsing and display of lightweight markup (wiki text). Extends the Mylyn task editor to create a markup-aware editor. Homepage: http://www.eclipse.org/mylyn Section: devel Priority: optional Filename: pool/main/e/eclipse-mylyn/eclipse-mylyn-wikitext_3.8.0-2_all.deb Package: eclipse-pde Source: eclipse Version: 3.8.0~rc4-1 Architecture: armhf Maintainer: Debian Orbital Alignment Team Installed-Size: 73213 Depends: default-jre | java5-runtime | java6-runtime, eclipse-jdt (>= 3.8.0~rc4-1), eclipse-platform (>= 3.8.0~rc4-1), libasm3-java (>= 3.3) Suggests: eclipse Replaces: eclipse-pde-gcj Homepage: http://www.eclipse.org/ Priority: optional Section: devel Filename: pool/main/e/eclipse/eclipse-pde_3.8.0~rc4-1_armhf.deb Size: 68482806 SHA256: e2b3b4d66b3dc016fb0d1e55c299e0cc2d6597d8f428eb7bfc95493f97e347be SHA1: 5d06f22d4cb942fc73b15328cd00ee00feb2318d MD5sum: b4c65714c74a76a08f099e8bbbaddbcf Description: Eclipse Plug-in Development Environment (PDE) The Eclipse Platform is an open and extensible platform for anything and yet nothing in particular. It provides a foundation for constructing and running integrated software-development tools. The Eclipse Platform allows tool builders to independently develop tools that integrate with other people's tools so seamlessly you can't tell where one tool ends and another starts. . This package provides tools for easy development of plug-ins for Eclipse. Package: eclipse-platform Source: eclipse Version: 3.8.0~rc4-1 Architecture: armhf Maintainer: Debian Orbital Alignment Team Installed-Size: 504 Pre-Depends: multiarch-support Depends: ant (>= 1.8.2), ant-optional, default-jre | java5-runtime | java6-runtime, eclipse-platform-data (>= 3.8.0~rc4-1), eclipse-rcp (= 3.8.0~rc4-1), java-common (>= 0.23), libcommons-codec-java (>= 1.4-2), libcommons-httpclient-java (>= 3.1-9), libcommons-logging-java (>= 1.1.1-6), libjetty8-java, libjsch-java (>= 0.1.37-3), liblucene2-java (>= 2.9.4+ds1-3~), liblucene2-java (<< 2.9.5), libservlet3.0-java, sat4j (>= 2.3.0), sat4j (<< 2.4.0), gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.12.0) Recommends: eclipse-pde Suggests: eclipse-jdt Conflicts: eclipse-common-nls, eclipse-platform-common, eclipse-platform-nls, libeclipse-jni Breaks: eclipse-source (<= 3.1.1-3) Replaces: eclipse-jdt (<< 3.5.1+repack~3), eclipse-platform-common, eclipse-platform-gcj, eclipse-source (<= 3.1.1-3), libeclipse-jni Homepage: http://www.eclipse.org/ Priority: optional Section: devel Filename: pool/main/e/eclipse/eclipse-platform_3.8.0~rc4-1_armhf.deb Size: 167654 SHA256: e2fcf823e137b2e1796cb059e7545742f74d3be7ad592a6840cc797ed171a583 SHA1: 2df1c3af23e231aef116424d71bb95bf19f4f7f9 MD5sum: e1230bf3ea6aa89d179e5bd28d85dc6e Description: Eclipse platform without development plug-ins The Eclipse Platform is an open and extensible platform for anything and yet nothing in particular. It provides a foundation for constructing and running integrated software-development tools. The Eclipse Platform allows tool builders to independently develop tools that integrate with other people's tools so seamlessly you can't tell where one tool ends and another starts. . This package provides the Eclipse Platform and is the base for all Eclipse development plug-ins, but it does not include any. These are available in different packages, for example: . * eclipse-jdt Java Development Tools * eclipse-pde Plug-in Development Tools * eclipse-cdt C/C++ Development Tools Package: eclipse-platform-data Source: eclipse Version: 3.8.0~rc4-1 Installed-Size: 38072 Maintainer: Debian Orbital Alignment Team Architecture: all Replaces: eclipse (<< 3.5.2), eclipse-platform (<< 3.8.0~), eclipse-platform-gcj, eclipse-plugin-cvs Depends: libtomcat7-java Breaks: eclipse-platform (<< 3.8.0~), eclipse-plugin-cvs Size: 34250368 SHA256: a82255285a81d85db308469b1642a8781bfe18c4d105532fa9e6cc5076712460 SHA1: 13586ee79fb700e13a69c8f09048cdb90a5533ec MD5sum: 40c9c47c21738f91658983e9510a217f Description: Eclipse platform without development plug-ins (data) The Eclipse Platform is an open and extensible platform for anything and yet nothing in particular. It provides a foundation for constructing and running integrated software-development tools. The Eclipse Platform allows tool builders to independently develop tools that integrate with other people's tools so seamlessly you can't tell where one tool ends and another starts. . This package provides the Eclipse Platform and is the base for all Eclipse development plug-ins, but it does not include any except CVS support for source code management. Other plugins are available in different packages, for example: . * eclipse-jdt Java Development Tools * eclipse-pde Plug-in Development Tools * eclipse-cdt C/C++ Development Tools . This package contains the architecture-independent data files. Homepage: http://www.eclipse.org/ Tag: role::app-data Section: devel Priority: optional Filename: pool/main/e/eclipse/eclipse-platform-data_3.8.0~rc4-1_all.deb Package: eclipse-rcp Source: eclipse Version: 3.8.0~rc4-1 Architecture: armhf Maintainer: Debian Orbital Alignment Team Installed-Size: 8150 Depends: default-jre | java5-runtime | java6-runtime, libequinox-osgi-java (= 3.8.0~rc4-1), libfelix-gogo-command-java, libfelix-gogo-shell-java, libicu4j-4.4-java (>= 4.4.2.2), libswt-gtk-3-java (<< 3.9.0~), libswt-gtk-3-java (>= 3.8.0~), libswt-cairo-gtk-3-jni (>= 3.8.0~), libswt-webkit-gtk-3-jni (>= 3.8.0~), libc6 (>= 2.13-28) Recommends: libswt-glx-gtk-3-jni, libswt-gnome-gtk-3-jni Suggests: eclipse Replaces: eclipse-rcp-gcj, libswt-gtk-3.4-java, libswt-gtk-3.4-jni, libswt-gtk-3.5-jni (<< 3.5.1+repack), libswt3.2-gtk-gcj, libswt3.2-gtk-java, libswt3.2-gtk-jni Homepage: http://www.eclipse.org/ Priority: optional Section: devel Filename: pool/main/e/eclipse/eclipse-rcp_3.8.0~rc4-1_armhf.deb Size: 7158212 SHA256: 8ddbb5286a26a3902d45fe61f5fe5a549dde8ac0f9b02f43215a748679ec85bd SHA1: 5aba28f31db191a907218006196bf536158a3450 MD5sum: c7f4a957932fb1c0222458603e3143a7 Description: Eclipse Rich Client Platform (RCP) The Eclipse Platform is an open and extensible platform for anything and yet nothing in particular. It provides a foundation for constructing and running integrated software-development tools. The Eclipse Platform allows tool builders to independently develop tools that integrate with other people's tools so seamlessly you can't tell where one tool ends and another starts. . This package includes the Eclipse Rich Client Platform (RCP), the basis upon which Eclipse RCP applications are built. Package: eclipse-rse Version: 3.1.2-1 Installed-Size: 19216 Maintainer: Debian Orbital Alignment Team Architecture: all Depends: default-jre | java5-runtime | java6-runtime, eclipse-emf (>= 2.0.8), eclipse-platform (>= 3.5.2), libcommons-net2-java (>= 2.0-2) Size: 16578692 SHA256: dfdd6dc089a71fd727527e99c74879fde07dad650c55886ffc6e1d789d702ff4 SHA1: 070b87c15b7e8691d7bd42525f79e7be4ce30643 MD5sum: 4e67a947d5f7b21725da2ab8c85dc7ce Description: Eclipse Remote System Explorer (RSE) Remote System Explorer is a framework and toolkit in Eclipse Workbench that allows you to connect and work with a variety of remote systems. . RSE is a framework and toolkit in Eclipse Workbench, that allows you to connect and work with a variety of remote systems, including . * remote file systems through SSH, FTP or dstore agents, * remote shell access (compiling with error navigation), * remote process handling through dstore agents, * and remote debugging through CDT / gdb. Homepage: http://www.eclipse.org/dsdp/tm/ Section: java Priority: optional Filename: pool/main/e/eclipse-rse/eclipse-rse_3.1.2-1_all.deb Package: eclipse-xsd Source: eclipse-emf Version: 2.5.0-2 Installed-Size: 1568 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre | java5-runtime | java6-runtime, eclipse-emf (= 2.5.0-2) Size: 1311664 SHA256: 3e1afaea6894c1d12845fbb97fb6dd9c23c6084655d6b8c89238d943770eaa22 SHA1: 021d5c59ce8b859c68c3b24b5fd79abf3cac072c MD5sum: c7a1b7f241869b23b33480ef351d7a27 Description: XML Schema Definition (XSD) for Eclipse The XML Schema Definition (XSD) plugin is a library that provides an API for manipulating the components of an XML Schema as described by the W3C XML Schema specifications, as well as an API for manipulating the DOM-accessible representation of XML Schema as a series of XML documents. Homepage: http://www.eclipse.org/emf Section: java Priority: optional Filename: pool/main/e/eclipse-emf/eclipse-xsd_2.5.0-2_all.deb Package: eclipse-xsd-sdk Source: eclipse-emf Version: 2.5.0-2 Installed-Size: 2680 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre | java5-runtime | java6-runtime, eclipse-emf-sdk (= 2.5.0-2), eclipse-pde (>= 3.5.2), eclipse-xsd (= 2.5.0-2) Size: 2503678 SHA256: fea29857684122102b311c206559a723d68575af15a896db247b9f35a1bdd69e SHA1: b26dddd631a8b1944d41aab005eed1f2ef0d7c12 MD5sum: d098ae7e333013350bf316edc6c52df2 Description: XML Schema Definition (XSD) for Eclipse - (Source/Documentation) The XML Schema Definition (XSD) plugin is a library that provides an API for manipulating the components of an XML Schema as described by the W3C XML Schema specifications, as well as an API for manipulating the DOM-accessible representation of XML Schema as a series of XML documents. . Documentation and source for the Eclipse XML Schema Definition (XSD) plugin. Homepage: http://www.eclipse.org/emf Section: java Priority: optional Filename: pool/main/e/eclipse-emf/eclipse-xsd-sdk_2.5.0-2_all.deb Package: ecm Source: cmdpack Version: 1.03-1 Architecture: armhf Maintainer: Bart Martens Installed-Size: 68 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.neillcorlett.com/ecm/ Priority: optional Section: utils Filename: pool/main/c/cmdpack/ecm_1.03-1_armhf.deb Size: 16608 SHA256: 7ad23cb90d2c0b5445d700bcf54b61c2fe2f669ab5b1de9de542140943c64672 SHA1: be2a21750de6fa2c1cf095aa5d887011f9713de9 MD5sum: 68eef54798decdf87b43cefa5999350f Description: prepares CD image files so they compress better The ECM format allows you to reduce the size of a typical CD image file (BIN, CDI, NRG, CCD, or any other format that uses raw sectors). It works by eliminating the Error Correction/Detection Codes (ECC/EDC) from each sector whenever possible. The encoder automatically adjusts to different sector types and automatically skips any headers it encounters. Since the data skipped is nearly impossible to compress with traditional tools, the resulting ECM file will compress far better than the raw CD image. Package: ecryptfs-utils Version: 99-1+deb7u1 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 241 Depends: libc6 (>= 2.13-28), libecryptfs0, libgpg-error0 (>= 1.10), libgpgme11 (>= 1.1.2), libkeyutils1, libpam0g (>= 0.99.7.1), libtspi1 (>= 0.3.1), gettext-base, keyutils, libnss3-1d, libpam-runtime Suggests: cryptsetup Homepage: https://launchpad.net/ecryptfs Priority: optional Section: misc Filename: pool/main/e/ecryptfs-utils/ecryptfs-utils_99-1+deb7u1_armhf.deb Size: 89822 SHA256: 197220b67bdafb7b02270eac91be2865e4336cb7ff07468d7f0cb9386b4dd800 SHA1: ef8f255abf6562a98312a5e088dfd17462eca7bc MD5sum: 14aa8b1fb2d5f87ec9a7da53b753dd63 Description: ecryptfs cryptographic filesystem (utilities) eCryptfs is a POSIX-compliant enterprise-class stacked cryptographic filesystem for Linux. . It provides advanced key management and policy features. eCryptfs stores cryptographic metadata in the header of each file written, so that encrypted files can be copied between hosts; the file will be decryptable with the proper key, and there is no need to keep track of any additional information aside from what is already in the encrypted file itself. Think of eCryptfs as a sort of "gnupgfs". . eCryptfs is a native Linux filesystem. The kernel module component of eCryptfs is part of the Linux kernel since 2.6.19. . This package contains the userland utilities. Package: ecryptfs-utils-dbg Source: ecryptfs-utils Version: 99-1+deb7u1 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 275 Depends: ecryptfs-utils (= 99-1+deb7u1), libecryptfs0 (= 99-1+deb7u1), libecryptfs-dev (= 99-1+deb7u1) Homepage: https://launchpad.net/ecryptfs Priority: extra Section: debug Filename: pool/main/e/ecryptfs-utils/ecryptfs-utils-dbg_99-1+deb7u1_armhf.deb Size: 178232 SHA256: 23af992813a15c1302f26a2264eddd95094abff6859e74b29e1b45647d28576e SHA1: c842e3a02629cb9b227b40ac77500a5afc339367 MD5sum: 53ae5585dc6a2c585b78ad36da722ab2 Description: ecryptfs cryptographic filesystem (utilities; debug) eCryptfs is a POSIX-compliant enterprise-class stacked cryptographic filesystem for Linux. . This package contains the debugging symbols. Package: ed Version: 1.6-2 Architecture: armhf Maintainer: Martin Zobel-Helas Installed-Size: 115 Depends: libc6 (>= 2.13-28), dpkg (>= 1.15.4) | install-info Homepage: http://www.gnu.org/software/ed/ Priority: optional Section: editors Filename: pool/main/e/ed/ed_1.6-2_armhf.deb Size: 53506 SHA256: 9d506b6e29aa04e9f164fa4f2804fa9d777348dd61dbf2c4c2c297ddb84f806d SHA1: e75c52a516fbe34fce21e626cfeb7b9017580459 MD5sum: cc39ab5ffc43a9af3f67c8d944a9f26f Description: classic UNIX line editor ed is a line-oriented text editor. It is used to create, display, modify and otherwise manipulate text files. . red is a restricted ed: it can only edit files in the current directory and cannot execute shell commands. Package: ed2k-hash Version: 0.3.3+deb2-1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 56 Depends: libc6 (>= 2.4) Homepage: http://ed2k-tools.sourceforge.net/ed2k_hash.shtml Priority: optional Section: utils Filename: pool/main/e/ed2k-hash/ed2k-hash_0.3.3+deb2-1_armhf.deb Size: 10758 SHA256: a0962e364d83d2a3c1a295a4f3d83da5e1e84222739b75d7262738fb6c78fc8b SHA1: 3e57d0925a7240ac709c55643079d7e995e90fc1 MD5sum: 9fd8a368f0e92907ca600388822411fc Description: A tool for generating ed2k-links This tool is useful for people who want to know the ed2k-links of files they are not currently sharing, or who want the ed2k-links for all files in certain directories etc. You can hash single files, multiple files, whole directories, or whole directory trees. Package: edac-utils Version: 0.18-1 Architecture: armhf Maintainer: Yaroslav Halchenko Installed-Size: 109 Depends: libc6 (>= 2.13-28), libedac1, lsb-base (>= 3.0-6) Recommends: dmidecode Homepage: http://sourceforge.net/projects/edac-utils Priority: extra Section: admin Filename: pool/main/e/edac-utils/edac-utils_0.18-1_armhf.deb Size: 27874 SHA256: d69982503444f9403672ba02018aa0696e3cdade5660bfdad776ec2dd0fc8d16 SHA1: 911bb97b9684a3571d1486aff28c4ca6d60673c5 MD5sum: 2b943e35812fb2f7e60415fa46555c25 Description: report kernel-detected PCI and ECC RAM errors This package contains the user-space utilities for use with the EDAC kernel subsystem. EDAC (Error Detection and Correction) is a set of Linux kernel modules for handling hardware-related errors. Currently its major focus is ECC memory error handling. However it also detects and reports PCI bus parity errors. . PCI parity errors are supported on all architectures (and are a mandatory part of the PCI specification). . Main memory ECC drivers are memory controller specific. At the time of writing, drivers exist for many x86-specific chipsets and CPUs, and some PowerPC, and MIPS systems. . This package provides command lines tools Package: edb Version: 1.31-2 Installed-Size: 1556 Maintainer: Jari Aalto Architecture: all Depends: dpkg (>= 1.15.4) | install-info, emacs23 | emacs22 | emacs-snapshot | emacs, make Size: 418820 SHA256: 2c280df649b9ba0ed1c380b26dba45c8389ce4511d7b9eac487800a8999d7cfe SHA1: 7c4d967863194c8e51aa40aa26e1df26676c566d MD5sum: c32cde7c59e2a0ccb358a3606f63c57a Description: database program for GNU Emacs EDB is a database program for GNU Emacs. It permits you to manipulate structured (or not-so-structured) data within Emacs and provides many of the usual database features. Homepage: http://www.gnuvola.org/software/edb Tag: implemented-in::lisp, role::plugin, suite::emacs, use::editing, works-with::db Section: lisp Priority: optional Filename: pool/main/e/edb/edb_1.31-2_all.deb Package: edbrowse Version: 3.4.8-2 Architecture: armhf Maintainer: Jean-Philippe MENGUAL Installed-Size: 982 Depends: libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libmozjs10d, libpcre3 (>= 8.10), libreadline6 (>= 6.0), libssl1.0.0 (>= 1.0.0) Recommends: poppler-utils | xpdf-utils Provides: editor, mail-reader, www-browser Homepage: http://the-brannons.com/ Priority: extra Section: net Filename: pool/main/e/edbrowse/edbrowse_3.4.8-2_armhf.deb Size: 351832 SHA256: cd78963cf48943a3dbcd37ca677192db1be0a40a792c61b0e2de1bdfcf25a509 SHA1: a2b53557f8ad950deb22de04d3879efcb4f2224c MD5sum: 8a6b6abb1ca2bd154da3031155bd6d0d Description: /bin/ed-alike webbrowser written in C edbrowse is a reimplementation of /bin/ed, with some basic differences (it uses Perl regular expressions) with the ability to visit webpages and ftp sites. edbrowse performs basic transformations on the html source to produce a readable representation. edbrowse supports Forms, Frames, Netscape-style cookies, HTTPS connections and JavaScript. Package: edenmath.app Version: 1.1.1a-7 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 173 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1) Recommends: helpviewer.app Homepage: http://www.eskimo.com/~pburns/EdenMath/ Priority: optional Section: math Filename: pool/main/e/edenmath.app/edenmath.app_1.1.1a-7_armhf.deb Size: 32656 SHA256: b2be207421715dea32028c758d1659d9e918dc5e197fb1d4656f7b35fdb90ae3 SHA1: 3e76c503cfe2fdbbe7e702ca0f416c6281515df7 MD5sum: 0072f637af385b4c7bd87fe792f7d747 Description: Scientific calculator for GNUstep EdenMath is a scientific calculator. It does standard arithmetic, probability, and trigonometric functions. Package: edfbrowser Version: 1.48-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 1191 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://www.teuniz.net/edfbrowser/ Priority: extra Section: science Filename: pool/main/e/edfbrowser/edfbrowser_1.48-1_armhf.deb Size: 552378 SHA256: d3d089d801d52ae13f9b05320cd042cd127124465865c2e38cc8526d5b1fa538 SHA1: 445252e2ca85eabc4d2305c207cdcb459a4ca218 MD5sum: 05890b8a11e73d4748857ba93470c7d6 Description: viewer for biosignal storage files such as bdf and edf EDFbrowser is a viewer for medical timeseries storage files containing data such as EEG, EMG, and ECG signals. It supports EDF(+) and BDF(+) file formats. Apart from viewing the files, it also supports some editing operations and can convert the biomedical files to other formats. Package: edict Version: 2012.05.09-1 Installed-Size: 13400 Maintainer: Ludovic Drolez Architecture: all Suggests: lookup | xjdic | sdic-edict Size: 4610966 SHA256: 4d84678fa1fc524e8fda80652c15de0b5ad8f9c050708e5d77ac46cca4118fb8 SHA1: 12e860762d28f1f63b23e79fff03bf5403c6ea90 MD5sum: afb471b456f1f88d63d4e21a0a624159 Description: English / Japanese dictionary The EDICT file is the outcome of a voluntary project to produce a freely available Japanese / English dictionary in machine-readable form. This package also contains the compdic, computing and communications dictionary file. Homepage: http://www.csse.monash.edu.au/~jwb/edict.html Tag: culture::TODO, culture::japanese, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/e/edict/edict_2012.05.09-1_all.deb Package: edict-el Version: 1.06-9 Installed-Size: 304 Maintainer: Roland Mas Architecture: all Depends: emacs23 | emacsen, edict Size: 61180 SHA256: 37d2177a2f5caf30e6cd9f973d67766a3087f755be8af6233728345983c1ee24 SHA1: 84c136bbddfeec34f4e393888bec11fd285051c3 MD5sum: 88d8088222719634a18d4f85c324a07b Description: An Emacs interface to Edict This package provides edict.el and associated files, thus allowing to query the Edict Japanese-English dictionary from within Emacs. . Using the region and couple of keystrokes, edict.el will look up the Japanese key and return all the Edict entries containing that key, in a pop-up buffer. English is even easier, you just put point anywhere in the word you want to look up. Tag: culture::japanese, implemented-in::lisp, role::plugin, suite::emacs, use::checking, works-with::dictionary Section: lisp Priority: optional Filename: pool/main/e/edict-el/edict-el_1.06-9_all.deb Package: edisplay Source: exactimage Version: 0.8.5-5+deb7u4 Architecture: armhf Maintainer: Daniel Stender Installed-Size: 1004 Depends: libc6 (>= 2.13-28), libevas1 (>= 1.2.0), libexpat1 (>= 2.0.1), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgif4 (>= 4.1.4), libgomp1 (>= 4.4), libilmbase6 (>= 1.0.1), libjasper1, libjpeg8 (>= 8c), libopenexr6 (>= 1.6.1), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libx11-6, libxrender1, zlib1g (>= 1:1.1.4), libevas1-engines-x Homepage: http://www.exactcode.de/site/open_source/exactimage/ Priority: optional Section: graphics Filename: pool/main/e/exactimage/edisplay_0.8.5-5+deb7u4_armhf.deb Size: 564936 SHA256: 39e7db6e0992043959aca37f7cf1e3c887833fc1f3efcc75f42695305d972029 SHA1: 3886c6699bb3c7f9f362db98a3623b3f75e5d51c MD5sum: 6537490953b855fa8ec59902dd910cea Description: fast image manipulation programs (image viewer) ExactImage is a fast C++ image processing library. Unlike many other library frameworks it allows operation in several color spaces and bit depths natively, resulting in low memory and computational requirements. . This package contains the image viewer. Package: editmoin Version: 1.17-1 Installed-Size: 176 Maintainer: Martin Pitt Architecture: all Depends: python Suggests: vim-addon-manager Size: 14018 SHA256: 0166c0aebeb41d6a0c829a5a39245e58b509a9e85e2e231e4634d31110a29ae2 SHA1: 8b15e59ea7b36c48da151ed8c7e345f6e8f05a20 MD5sum: 30ef30e2f2fa6a48818d2a482a3c0933 Description: edit MoinMoin wiki pages with your favourite editor editmoin allows you to edit pages in MoinMoin wikis with your preferred editor instead of the (usually quite limited) web browser text areas. . It also supports configuration files to define shortcuts for URLs that you edit often. . This package also includes a Vim syntax file. Install vim-addon-manager and use "vim-addons install editmoin" to activate. . However, you can use any other editor by setting the standard $EDITOR environment variable. Homepage: http://labix.org/editmoin Tag: implemented-in::python, interface::commandline, role::program, scope::utility, use::editing, web::wiki Section: text Priority: optional Filename: pool/main/e/editmoin/editmoin_1.17-1_all.deb Package: editra Version: 0.6.58-1 Installed-Size: 13136 Maintainer: Alejandro Garrido Mota Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-wxgtk2.8 Size: 2641082 SHA256: 6c520da1981c7d0635ba776a934506dcee7641e8d3ac77fad645a8df10e7528f SHA1: 29ae5a8980331bab37fb32a5f00639f77926fd03 MD5sum: 83dbbd3b8c46ccac2deb78938ff409a6 Description: simple multi-platform text editor Editra is a multi-platform text editor with an implementation that focuses on creating an easy to use interface and features that aid in code development. Currently it supports syntax highlighting and variety of other useful features for over 60 programming languages. Homepage: http://editra.org Tag: devel::editor, implemented-in::python, interface::x11, role::program, uitoolkit::gtk, use::editing, x11::application Section: editors Priority: extra Filename: pool/main/e/editra/editra_0.6.58-1_all.deb Package: edos-debcheck Version: 1.0-9 Installed-Size: 32 Maintainer: Ralf Treinen Architecture: all Depends: edos-distcheck (>= 1.4.2-2) Size: 3176 SHA256: 263053a7c0cd6e8de3ec65e83e9875cc34f6cccca3af68d3502d8ac485423ad9 SHA1: 29a7bc39292b141469aca224b004cf290eaa2f82 MD5sum: d58f5b356423b5843a2af205bebb4889 Description: Check package dependencies (transitional package) This is a transitional package which can be safely removed, its only purpose is to pull in the dependency on edos-distcheck Homepage: http://www.edos-project.org/xwiki/bin/view/Main/debcheck_home Tag: admin::package-management, devel::debian, devel::packaging, devel::testing-qa, implemented-in::ocaml, interface::commandline, role::dummy, role::program, scope::utility, suite::debian, use::checking, works-with::software:package Section: devel Priority: optional Filename: pool/main/e/edos-debcheck/edos-debcheck_1.0-9_all.deb Package: edos-distcheck Source: pkglab Version: 1.4.2-13 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 629 Depends: libbz2-ocaml-1qq81, libcalendar-ocaml-880d5, libdose2-ocaml-utah0, libpcre-ocaml-werc3, libzip-ocaml-tcv11, ocaml-base-nox-3.12.1, python-debian (>= 0.1.15~), python, perl Conflicts: edos-debcheck (<= 1.0-8), edos-rpmcheck (<= 1.0-8) Replaces: edos-debcheck, edos-rpmcheck Provides: edos-debcheck, edos-rpmcheck Homepage: http://sodiac.gforge.inria.fr/ Priority: extra Section: devel Filename: pool/main/p/pkglab/edos-distcheck_1.4.2-13_armhf.deb Size: 131640 SHA256: e4ac1ba25611e54bf7ea3ab82a88082b8f2a076b64d29239ce600ef73b6b2bb1 SHA1: 907056797b1287423fb59aae7d6701c1ffa7a1ff MD5sum: 8aa923a2e09e84d75de483c680c5f202 Description: Checks whether dependencies of packages can be satisfied This software checks for every package of a distribution whether it is possible to satisfy its dependencies and conflicts within this distribution. It comes in several versions: - edos-debcheck for Debian packages - edos-rpmcheck for rpm packages - edos-pscheck for pkg_summary package descriptions - edos-builddebcheck for build-dependencies of Debian packages. Package: edos-rpmcheck Source: edos-debcheck Version: 1.0-9 Installed-Size: 32 Maintainer: Ralf Treinen Architecture: all Depends: edos-distcheck (>= 1.4.2-2) Size: 3182 SHA256: 7084f4703e8d2ce1cde7095fb450b9aa338d0d6341814fdd55794e9e315df140 SHA1: efc1382b477eb61dad3e0e6da2a5c10847e3104a MD5sum: c9277b8791f372beed7ba2800c10c889 Description: Check package dependencies (transitional package) This is a transitional package which can be safely removed, its only purpose is to pull in the dependency on edos-distcheck Homepage: http://www.edos-project.org/xwiki/bin/view/Main/debcheck_home Tag: admin::package-management, devel::packaging, devel::testing-qa, implemented-in::ocaml, interface::commandline, role::dummy, role::program, scope::utility, use::checking, works-with::software:package Section: devel Priority: optional Filename: pool/main/e/edos-debcheck/edos-rpmcheck_1.0-9_all.deb Package: education-astronomy Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: celestia-gnome | celestia-glut | celestia, education-menus, gpredict, kstars, stellarium, xplanet, xplanet-images Suggests: celestia-kde, sunclock, xtide, xtide-data Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-astronomy_1.713+deb7u1_armhf.deb Size: 46948 SHA256: 50e3e4b89a550d1708fcb2dae373632d2ecc5267dc16eb1dd8c0ad16914b1644 SHA1: ad16c2b0225f2f8b9d644befa95e08ddf01cbe48 MD5sum: 43e26c5260e23e1d48e27914cd9a8dc0 Description: Debian Edu astronomy related applications This metapackage depends on various applications that can be used to teach astronomy. Package: education-chemistry Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: chemtool, easychem, education-menus, gchempaint, gdis, ghemical, gperiodic, kalzium, pymol, viewmol Suggests: rasmol Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-chemistry_1.713+deb7u1_armhf.deb Size: 46936 SHA256: 1d45384a97b6880f40e262ca47ae1f107be221960a8d2144a442f6dd1c94c831 SHA1: 5997e4e48072fe5e29c72c476091bb38f0bae7ae MD5sum: b362e5497efb508a0344ac0362ff62ca Description: Debian Edu chemistry related applications This metapackage depends on various applications that can be used to teach chemistry. Package: education-common Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: apt-listchanges, bash-completion, bc, bind9-host, cfengine2, cifs-utils, command-not-found, consolekit, convmv, cpufrequtils, cups, debconf-utils, debian-archive-keyring, debian-edu-archive-keyring, debian-edu-config, debian-edu-doc-en, debian-edu-install, deborphan, dhcping, dmidecode, eject, etherwake, ethtool, finger, foomatic-db, foomatic-db-engine, foomatic-db-gutenprint, foomatic-filters, fping, gdb, gdebi-core | gdebi, hddtemp, hdparm, hpijs-ppds, hplip, htop, hwinfo, iftop, ijsgutenprint, iotop, iproute, iputils-arping | arping, less, libpam-ck-connector, libpam-tmpdir, libwww-perl, lshw, lsscsi, man-db, manpages, mc, memtest86+, mii-diag, mlocate, mtools, mtr-tiny | mtr, ncftp, nictools-pci, nmap, nullidentd, openbsd-inetd, openssh-client, pciutils, printer-driver-hpijs, printer-driver-pnm2ppa, procinfo, procmail, psmisc, python-gtk2, python-vte, readahead-fedora, reportbug, resolvconf, rsync, rsyslog, screen, strace, sysfsutils, system-config-lvm, tcpdump, tcptraceroute, traceroute, valgrind, vim, wget Suggests: apticron | cron-apt, arrayprobe, cpqarrayd, cupsomatic-ppd, debian-goodies, debsecan, dpt-i2o-raidutils | raidutils, dpt-raidutil, emacs, firmware-bnx2, firmware-ipw2x00, firmware-iwlwifi, firmware-qlogic, foo2zjs, ident-server, isag, kexec-tools, modconf, mpt-status, nictools-nopci, nvram-wakeup, popularity-contest, rsyslog-doc, wireshark Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-common_1.713+deb7u1_armhf.deb Size: 47538 SHA256: d6ecf72e46af667d5066937c28b8f58bffc679abee8eaf28972b15480840fbed SHA1: 50a10762604620cddad1630697e82792ae89a24d MD5sum: 548cf2be2598cf0d6203c503cf996689 Description: Debian Edu common packages A metapackage containing dependencies for packages required on all installations in the Debian Edu Blend. Package: education-desktop-gnome Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: education-common, gdm3, gimp, gnome, gstreamer0.10-ffmpeg, gstreamer0.10-pulseaudio, inkscape, libreoffice-base, libreoffice-calc, libreoffice-draw, libreoffice-filter-binfilter, libreoffice-gnome, libreoffice-gtk, libreoffice-impress, libreoffice-java-common, libreoffice-math, libreoffice-writer, ssh-askpass-gnome | ssh-askpass, synaptic, totem | dragonplayer | vlc | kaffeine | audacious | xine-ui | mplayer2 Suggests: dasher, gnochm, gnome-accessibility-themes, gnome-mag, gnome-orca, gok, iceweasel-gnome-support, x-display-manager Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-desktop-gnome_1.713+deb7u1_armhf.deb Size: 47140 SHA256: 35c598dc6be8d96d4ed0e8ecc6dcc5e92b006ee1267620a9a801cc1617ee4e15 SHA1: 9fcb1ad18ddb61e00c4f6505e6c444411d80fbc3 MD5sum: 72d35011f32e0f04ceb4a64b1a488941 Description: Debian Edu GNOME desktop applications This metapackage depends on various GNOME office and desktop applications that are useful for teachers and their students. Package: education-desktop-kde Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: amarok, ark, bluedevil, digikam, dragonplayer | totem | vlc | kaffeine | audacious | xine-ui | mplayer2, freespacenotifier, kaddressbook, kamera, kcalc, kcharselect, kde-l10n-ca, kde-l10n-de, kde-l10n-el, kde-l10n-es, kde-l10n-fr, kde-l10n-it, kde-l10n-lv, kde-l10n-nl, kde-l10n-ptbr, kde-l10n-sv, kde-standard, kdeaccessibility, kdeedu, kdegraphics-strigi-analyzer, kdegraphics-thumbnailers, kdemultimedia-kio-plugins, kdepasswd, kdepim-groupware, kdepimlibs-kio-plugins, kdeutils, kdewallpapers, kdf, kdm, kfloppy, kinfocenter, kipi-plugins, kmail, kmix, knotes, konq-plugins, konqueror, konsole, konversation | kopete, korganizer, krdc, krfb, kscreensaver, ksnapshot, ksshaskpass | ssh-askpass, kturtle, kwalletmanager, libreoffice-kde, menu, printer-applet, update-notifier-kde, virtuoso-minimal Suggests: digikamimageplugins, kaddressbook-plugins, kate-plugins, kcron, kde, kde-full, kde-l10n-se, kdeaddons-kfile-plugins, kdebase, kdenetwork-kfile-plugins, kdepim-kfile-plugins, kedit, kfilereplace, kfind, kiconedit, kiosktool, kmid, kmidi, knode, kpaint, kpm, krecord, kruler, kscd, kschoolmenu, kuser, kview, noatun, noatun-plugins, quanta, x-display-manager Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-desktop-kde_1.713+deb7u1_armhf.deb Size: 47448 SHA256: df6f21f880d5fd5530b65d7e910003021ff93a08ecd86c19bad5d302d9fd6568 SHA1: 3ab54547b309194c8e34c3c1b459d3b5a2ccbbe1 MD5sum: ad706a148f86b7c236b96f4f65f51088 Description: Debian Edu KDE desktop applications This metapackage depends on various KDE office and desktop applications that are useful for teachers and their students. Package: education-desktop-lxde Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: aqualung, blueman, desktop-file-utils, education-common, evince-gtk, fotoxx, galculator, gimp, gstreamer0.10-ffmpeg, gstreamer0.10-pulseaudio, inkscape, lightdm, lxde, mtpaint, osmo, parcellite, pidgin, ssh-askpass, synaptic, totem | dragonplayer | vlc | kaffeine | audacious | xine-ui | mplayer2, xchat Suggests: x-display-manager Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-desktop-lxde_1.713+deb7u1_armhf.deb Size: 47114 SHA256: 8f7b7d1bd2e997953e74cf6f61c8b39ed8687da6175593e5984674132bcd8157 SHA1: 1576f78ff93693d813e87589837e203e1c1ff46d MD5sum: c83f13c404c6bbefd41ee18eeb4bfce1 Description: Debian Edu LXDE desktop applications This metapackage depends on various LXDE components and lightweight desktop applications that are useful for teachers and their students. Package: education-desktop-other Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: alsa-base, alsa-utils, avahi-daemon, browser-plugin-gnash | mozilla-plugin-gnash, bzip2, cheese, chromium, cups-bsd, cups-client, debian-edu-artwork, default-jre | openjdk-6-jre, djview-plugin, dvgrab, education-astronomy, education-chemistry, education-electronics, education-geography, education-graphics, education-language, education-logic-games, education-mathematics, education-misc, education-music, education-physics, ffmpeg, fonts-freefont-ttf, fonts-liberation, fonts-linex, fonts-mgopen, freerdp-x11 | rdesktop, gcj-jre-headless, gcompris, gecko-mediaplayer | mozilla-plugin-vlc | totem-mozilla, gimp, gimp-data-extras, gimp-ufraw, gnome-themes, gnucap, gnuchess, gnupg-agent, gnupg2 | gnupg, gsfonts-x11, gstreamer0.10-alsa, gstreamer0.10-ffmpeg, gstreamer0.10-fluendo-mp3, gstreamer0.10-plugins-base, gstreamer0.10-plugins-good, gstreamer0.10-plugins-ugly, gstreamer0.10-x, gtk-recordmydesktop, icedtea-6-plugin | icedtea6-plugin, iceweasel, iceweasel-l10n-de, iceweasel-l10n-el, iceweasel-l10n-en-gb, iceweasel-l10n-es-ar, iceweasel-l10n-es-es, iceweasel-l10n-eu, iceweasel-l10n-fr, iceweasel-l10n-it, iceweasel-l10n-pt-br, iceweasel-l10n-sv-se, inkscape, isc-dhcp-client, k3b | brasero, k3b-i18n | brasero, kdenlive, konqueror-plugin-gnash, ktuberling, libgl1-mesa-dri, libreoffice, libreoffice-help-el, libreoffice-help-es, libreoffice-help-fr, libreoffice-help-pt-br, libreoffice-help-sv, libreoffice-l10n-ca, libreoffice-l10n-de, libreoffice-l10n-el, libreoffice-l10n-es, libreoffice-l10n-fr, libreoffice-l10n-nl, libreoffice-l10n-pt-br, libreoffice-l10n-sv, myspell-ca, myspell-de-de, myspell-el-gr, myspell-en-gb, myspell-en-us, myspell-es, myspell-fi, myspell-fr, myspell-it, myspell-nl, myspell-pt-br, myspell-pt-pt, numlockx, ocrad, openclipart, openclipart-libreoffice, openshot, pavucontrol, planner, sane, sane-utils, skanlite, stopmotion, synaptic, tuxmath, tuxpaint, tuxpaint-config, unifont, unzip, vgrabbj, xfonts-75dpi-transcoded, xorg, xserver-xorg-input-all, xserver-xorg-video-all, zip Suggests: adept, atlantik, atlantikdesigner, auctex, avahi-autoipd, avahi-discover, browser-plugin-libreoffice, controlaula, dbdesigner, dhcp3-client, dia, fet, flashplugin-nonfree, flashplugin-nonfree-pulse, fonts-arphic-uming, fonts-larabie-deco, fonts-mlym, foomatic-gui, gcompris-sound-cs, gcompris-sound-de, gcompris-sound-en, gcompris-sound-es, gcompris-sound-eu, gcompris-sound-fi, gcompris-sound-fr, gcompris-sound-hu, gcompris-sound-it, gcompris-sound-mr, gcompris-sound-nl, gcompris-sound-pt, gcompris-sound-ptbr, gcompris-sound-ru, gcompris-sound-so, gcompris-sound-sv, gcompris-sound-tr, geg, gettext, gnupg-doc, gnuplot, goplay, gstreamer0.10-audiosink, gstreamer0.10-esd, gtablix, gtk-qt-engine, gv, icedove, icedove-l10n-ca, icedove-l10n-de, icedove-l10n-el, icedove-l10n-es-es, icedove-l10n-fr, icedove-l10n-it, iceweasel-l10n-af, iceweasel-l10n-ar, iceweasel-l10n-be, iceweasel-l10n-bg, iceweasel-l10n-ca, iceweasel-l10n-cs, iceweasel-l10n-cy-gb, iceweasel-l10n-dz-bt, iceweasel-l10n-et-ee, iceweasel-l10n-fa-ir, iceweasel-l10n-fi, iceweasel-l10n-fur-it, iceweasel-l10n-fy-nl, iceweasel-l10n-ga-ie, iceweasel-l10n-gu-in, iceweasel-l10n-he, iceweasel-l10n-hu, iceweasel-l10n-ka, iceweasel-l10n-ko, iceweasel-l10n-ku, iceweasel-l10n-lt, iceweasel-l10n-mk, iceweasel-l10n-mn, iceweasel-l10n-nl, iceweasel-l10n-pa-in, iceweasel-l10n-pl, iceweasel-l10n-pt-pt, iceweasel-l10n-ro, iceweasel-l10n-roa-es-val, iceweasel-l10n-ru, iceweasel-l10n-sk, iceweasel-l10n-sl, iceweasel-l10n-tr, iceweasel-l10n-uk-ua, iceweasel-l10n-zh-cn, iceweasel-l10n-zh-tw, im-switch, kaffeine-mozilla, kasteroids, katomic, kbabel, kbackgammon, kbattleship, kblackbox, kbounce, kchart, kdnssd, kernel-package, keuklid, kformula, kfouleggs, kgeo, kgoldrunner, kgraph, kig, kino, kivio, kjumpingcube, klearnspelling, klickety, klogic, kmahjongg, kmines, kmultiply, knorskverbs, kolf, kolourpaint, konquest, koshell, kpaint, kpat, kpercentage, kpoker, kppp, kreversi, ksame, kshisen, ksirtet, ksmiletris, ksnake, kspaceduel, ktron, kugar, kuser, kworldclock, lacheck, libdvdcss2, libflashsupport, libncurses5-dev, libreoffice-filter-binfilter, libreoffice-filter-mobiledev, libreoffice-help-en, libreoffice-help-zh-tw, libreoffice-l10n-common, libreoffice-l10n-en-us, libreoffice-l10n-en-za, libreoffice-l10n-zh-tw, libreoffice-style-crystal, libreoffice-style-default, libwine-alsa, libwine-oss, lightspeed, lyx, mdns-scan, monopd, mozilla-mplayer, myspell-de-at, myspell-de-ch, myspell-fr-gut, mythes-en-us, ncftp, netpbm, ogle-gui, openmovieeditor, pgdesigner, pitivi, postgresql, postgresql-client, pppoeconf, rsibreak | workrave, scim-chewing, scim-tables-zh, smbclient, sodipodi, sql-ledger, tablix2, texlive, texlive-doc-base, texlive-latex-base, texmacs, wget, wine, wine-doc, xfonts-100dpi-transcoded, xfonts-base-transcoded, xine-ui Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-desktop-other_1.713+deb7u1_armhf.deb Size: 48688 SHA256: 55381999f8a7f73229e029df06fd57d6dbf780e8019d14525f9ae0e28e6f9572 SHA1: 5288baf4ddb201b6b23fdc7b9392e09f604ace5d MD5sum: f09bedb88774c63a3b57913a4d1da343 Description: Debian Edu non-GNOME- and non-KDE-specific desktop applications This metapackage depends on various office and desktop applications that are useful for teachers and their students. Package: education-desktop-sugar Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: avahi-daemon, education-common, gdm3, gstreamer0.10-plugins-good, net-tools, network-manager, openssh-client, python-gst0.10, sugar-calculate-activity, sugar-irc-activity, sugar-physics-activity, sugar-pippy-activity, sugar-record-activity, sugar-turtleart-activity, ttf-dejavu-extra, x11-xserver-utils, xinit, xorg, xserver-xephyr, xserver-xorg Suggests: etoys, etoys-doc, squeak-vm, sucrose-0.88, sugar-browse-activity-0.86, sugar-chat-activity-0.86, sugar-doc, sugar-emulator-0.88, sugar-read-activity-0.86, sugar-session-0.88, sugar-write-activity-0.88 Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-desktop-sugar_1.713+deb7u1_armhf.deb Size: 47296 SHA256: 5980d8459b50b2e26a82357bbca2cd7cb294ccf9c598077d012e8af3c8dd5185 SHA1: 26938cf13f2582d90b20487fc4a437e80d00be10 MD5sum: 0d01c0b2aea243eb7f0dcca494db94ee Description: Debian Edu sugar desktop environment This metapackage depends on various sugar applications. Sugar is a graphical user interface aimed at children which promotes sharing and collaborative learning. It was introduced on the One Laptop Per Child (OLPC) XO laptop but is useful on other devices as well. Package: education-desktop-xfce Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: blueman, dbus-x11, education-common, lightdm, ssh-askpass, system-config-printer, tango-icon-theme, xfce4, xfce4-goodies Suggests: x-display-manager Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-desktop-xfce_1.713+deb7u1_armhf.deb Size: 46992 SHA256: df9e04df2d9fdec99d6b65da3a53d72978a43f4bfe5a76af15ef44f3016e3bf2 SHA1: 0bd51a02fc90d44739a5531b03bbc4ec6ac43443 MD5sum: cf2dfc56e15e4235b6f196bb3d0cf987 Description: Debian Edu Xfce desktop applications This metapackage depends on various XFCE components that are useful for teachers and their students. Package: education-development Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: autoconf, bluefish, build-essential, bwbasic, ddd, drpython, education-menus, fp-compiler, fp-docs, fp-ide, fp-units-base, fp-units-fcl, fp-units-fv, fp-units-gfx, fp-units-gtk2, fp-units-misc, fp-units-multimedia, fp-units-net, fp-units-rtl, fp-utils, fpc-source, gvrng, kdevelop, kommander, kturtle, lazarus, python, python-easygui, squeak-vm, subversion, umbrello Suggests: eclipse, fp-units-i386, gambas2, quanta, swi-prolog, swi-prolog-clib, swi-prolog-doc, swi-prolog-http, swi-prolog-semweb, swi-prolog-sgml, swi-prolog-table, swi-prolog-xpce, tcl8.5-dev, tk8.5-dev Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-development_1.713+deb7u1_armhf.deb Size: 47220 SHA256: 4924bbc6391f0b5b99d803227b897519ace3fd73c6f13aa96132e3efe736dfa0 SHA1: 5872e8587ea9c930ad7acc5e0facffc4c756bcf0 MD5sum: caa29bdc2b5f00e52e96ae29e77a7181 Description: Debian Edu software development related educational applications This metapackage depends on various applications that can be used to develop applications in schools. The idea is to support integrated development environments that are easy to learn and lead to quick results for beginners. Package: education-electronics Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: education-menus, gpsim, oregano, xoscope Suggests: electric, freehdl, gpsim-lded, gpsim-led, gpsim-logic, gtkwave, kicad, kicad-doc-de, kicad-doc-en, kicad-doc-es, kicad-doc-fr, ksimus, ksimus-boolean, ksimus-datarecorder, ksimus-floatingpoint, ktechlab, pcb, qucs, vipec, xcircuit Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-electronics_1.713+deb7u1_armhf.deb Size: 47010 SHA256: ecbe97f755ade018809603a070a9143b061563691a0a5eff869a82cc86f62fdd SHA1: 6609509277fb131477fa3ceb6a597a401ea62a5f MD5sum: 39a89ef3642a6fa89f2894565e7a03f4 Description: Debian Edu electronics related applications This metapackage depends on various applications that can be used to teach electronics. Package: education-geography Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: education-menus, kgeography, marble Suggests: earth3d, gmt, google-earth, googleearth-package, gpscorrelate, grass, mapserver, openscenegraph, qgis, qlandkartegt, thuban, viking Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-geography_1.713+deb7u1_armhf.deb Size: 46966 SHA256: 289667747ae7cbb913dd66a8ec831ca062f7432295374e47f3bd7cc66126248b SHA1: a5c3e50b1474e24ac9230d0842e0a4430ca5d7b6 MD5sum: a5b628c0824f8ffe90a56712983cf765 Description: Debian Edu applications for geography This metapackage depends on various applications that can be used to teach geography. Package: education-graphics Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: dia-gnome, education-menus, gimp, inkscape, librecad, scribus, xpaint Suggests: blender, hugin, kontour, panorama, partlibrary, tgif, xaos, xfig Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-graphics_1.713+deb7u1_armhf.deb Size: 46962 SHA256: 1b78ae8a28fe3764be7fe10ab503c943ba2fa9806ab68eecd87ad02d6eec32d1 SHA1: 9149bc321b5883b25a5121a2c463bc65aaa6b09c MD5sum: 773277744cc1aef540bfcb02fb52d20f Description: Debian Edu graphics related applications This metapackage depends on various applications that can be used to teach graphics and pictural art. Package: education-language Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: aspell, dict, education-menus, kanagram, khangman, klettres, ktouch, parley, trans-de-en, wordnet Suggests: brazilian-conjugate, collatinus, festival, gnome-utils, hanzim, i2e, kiten, klatin, kmessedwords, kverbos, kwordquiz, malted, typespeed, verbiste-gnome, wordtrans-console, wordtrans-data, wordtrans-kde Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-language_1.713+deb7u1_armhf.deb Size: 47058 SHA256: 7793505698b6363cb7f61294745cc009028ce6e258e5391f4095796d90164650 SHA1: 401349c81e43c8f26d08961a1bd51882560da12a MD5sum: 268588b6ad86a8dfea9d31f256ea91dd Description: Debian Edu language related educational applications This metapackage depends on various applications that can be used to teach a (foreign) language. It includes some typing applications. Package: education-laptop Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: kde-config-touchpad, laptop-mode-tools, powertop, unison, usb-modeswitch, xserver-xorg-input-synaptics Suggests: bluez-cups, bluez-utils, kdebluetooth Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-laptop_1.713+deb7u1_armhf.deb Size: 46960 SHA256: 4d94d340275e7971d31f14a093986a054eb17a086f711dac7882c0c23c738994 SHA1: cb9240197fdf73b9249b2f2ca27d7336d4a0656b MD5sum: e417e70c8d8439be1794c3e5c4907bea Description: Debian Edu laptop packages A metapackage containing dependencies for packages required on all laptop installations in the Debian Edu Blend. These packages extend the Debian laptop task. Package: education-logic-games Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: atomix, blinken, cgoban, education-menus, gnuchess, gnugo, gtans, lmemory, xboard Suggests: crafty, kdegames | gnome-games, ksokoban Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-logic-games_1.713+deb7u1_armhf.deb Size: 46948 SHA256: dd68b1e7b5cc1af10f7cb79b8ddfb8cecc82d22b4875d8d23aec5c607edf00dc SHA1: 86df24c13b014dc360df654479b20df5bda27b73 MD5sum: 12ba4df29de442f5740ca92c994a697c Description: Debian Edu logic games This metapackage depends on various logic games that can be used to teach logic to children. Package: education-main-server Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: apache2, atftpd | tftpd-hpa, bind9 | pdns-backend-ldap, debian-installer-7.0-netboot-amd64, debian-installer-7.0-netboot-i386, dovecot-gssapi, dovecot-imapd, education-networked, exim4-daemon-heavy, gosa, gosa-help-de, gosa-help-en, gosa-help-fr, gosa-help-nl, gosa-plugin-dhcp, gosa-plugin-dhcp-schema, gosa-plugin-dns, gosa-plugin-dns-schema, gosa-plugin-goto, gosa-plugin-ldapmanager, gosa-plugin-samba, gosa-plugin-sudo, gosa-plugin-sudo-schema, gosa-schema, iptables, isc-dhcp-server-ldap, krb5-admin-server, krb5-kdc, krb5-kdc-ldap, ldap2zone | pdns-recursor, libapache2-mod-auth-kerb, libsasl2-modules-gssapi-mit, links, makepasswd, memtest86+, munin, nagios-nrpe-plugin, nagios-plugins-standard, nagios3, nfs-kernel-server, ntp, openssl, samba, samba-common-bin, sitesummary, slapd, slbackup, slbackup-php, smbclient, smbldap-tools, squid, syslinux, tdb-tools Suggests: apache2-doc, calamaris, debian-edu-fr-all, debian-edu-fr-skels, dlint, dnswalk, dovecot-pop3d, dsh, krb5-doc, libsasl2-modules-ldap, mysql-client, nagios3-doc, nfs-server, nslint, ocsinventory-reports, ocsinventory-server, samba-doc, sarg, squidguard, webalizer Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-main-server_1.713+deb7u1_armhf.deb Size: 47358 SHA256: db9a5be73f0d2fdbcc24af3f43c2c582cbb2b59d8fee70b756274872b89e082e SHA1: 616247d3fa40f1ceaea9e76ce04b1f7523c68b33 MD5sum: 04f62abef5ad3e1b90e047b8b4c0e5db Description: Debian Edu main server packages A metapackage containing dependencies for packages required on all main server installations in the Debian Edu Blend. Package: education-mathematics Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: drgeo, education-menus, geogebra, geomview, gnuplot, grace, graphmonkey, graphthing, kalgebra, kbruch, kig, kmplot, mathwar, rocs, xabacus Suggests: abakus, euler, geg, geogebra-kde, geonext, gretl, k3dsurf, kile, kpercentage, kregexpeditor, kseg, lybniz, magicsquare, mathomatic, octave, pari-gp, qliss3d, r-cran-rcmdr, rkward, scilab, xaos, xarith, yacas Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-mathematics_1.713+deb7u1_armhf.deb Size: 47098 SHA256: 1b874603c7da48f8c69ed231cc32d9e30d09dc35c749975a92b044ae531189d8 SHA1: 4cbcd6d23e4f2afaa99ec23f5b43a5cb3e722ec3 MD5sum: c5b86f21599e3f196207b9ac7fbcef37 Description: Debian Edu mathematical applications This metapackage depends on various applications that can be used to teach mathematics, geometry, and statistics. Package: education-menus Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 170 Depends: desktop-profiles Homepage: http://www.skolelinux.org Priority: extra Section: misc Filename: pool/main/d/debian-edu/education-menus_1.713+deb7u1_armhf.deb Size: 81326 SHA256: c46a2fcdd4cdda8083dce16ddf791f89e7e5964ee575e565557d327776d290a7 SHA1: ce75d3f959940a0bbc8650bb0324f35e62bcca5b MD5sum: f875131226c39c60ccb68d63fb17efae Description: Debian Edu menu reorganization A package to reorganizate menu branches in order to get a structure easy to use for teachers and students. . To activate the menu reorganization, a teachers or students group must exist in the system and the user has to be a member of one of these two groups. Package: education-misc Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: childsplay, education-menus, freemind, gcompris, pysycache, scratch, tilp2, tuxpaint, tuxtype Suggests: blinken, flashkard, flashplugin-nonfree, freeplane, ggradebook, jclic, kdissert, keduca, ksociograma, vym Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-misc_1.713+deb7u1_armhf.deb Size: 46984 SHA256: 3dcc7c6b7341f4bc25580c72d96fd71184971512b34eea2d78cd632b48a94371 SHA1: df8d82b3affe00115f79b7d69c1f1f2b10d311fd MD5sum: afb5527553dcaacdb57cad05ea0ad9e3 Description: Debian Edu miscellaneous applications for education This metapackage depends on miscellaneous applications that can be useful for teaching purpose. Package: education-music Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: audacious, audacity, denemo, education-menus, fluid-soundfont-gm, fluidsynth, freebirth, gtick, hydrogen, jackd, lingot, lmms, mcp-plugins, pianobooster, qjackctl, qsynth, rosegarden, solfege, swh-plugins, terminatorx Suggests: beast, festival, kguitar, lenmus, lilypond, noteedit, score-reading-trainer, ultrastar-ng-xine Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-music_1.713+deb7u1_armhf.deb Size: 47050 SHA256: 22624f55c1cfa463553c147c2ead12b9a2d284e32b38dddb36475b222eb13c4a SHA1: 881ae7033b9e94b028f189fe18ef65575a796c06 MD5sum: 629be375343e7174f2cd3049bbf47260 Description: Debian Edu music and sound applications This metapackage depends on various applications that can be used to teach music. Package: education-networked Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: education-networked-common, gosa-desktop, libpam-krb5, network-manager, nscd Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-networked_1.713+deb7u1_armhf.deb Size: 46952 SHA256: 319bebecca5d76585828147eddc76341fc32019842f7e832969e5ace9abae78c SHA1: 3e11d63e3a5154933db96bfcd11960b731082eb0 MD5sum: 9d87984dad06dc59daa8eb891eb4640b Description: Debian Edu networked minimal packages A metapackage containing dependencies for packages required on all networked installations (workstation and main-server, but not standalone) in the Debian Edu Blend. Package: education-physics Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: education-menus, planets, step Suggests: ejs, etoys, kturtle, lum, mek, pyode, xem, xoscope Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-physics_1.713+deb7u1_armhf.deb Size: 46910 SHA256: 15d88f5a5c3290a057183b061a61512b14e5cff3d375c01a5a21c608c4fb60c3 SHA1: 20ce4b878661ef142c086f662619355d04bd035e MD5sum: 96659d59a6874a8131f5667392287f7e Description: Debian Edu physics related applications This metapackage depends on various applications that can be used to teach physics. Package: education-services Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: dansguardian Suggests: wims Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-services_1.713+deb7u1_armhf.deb Size: 46944 SHA256: 6a2e7ddff5732144cd62ad00e06025fe0fde6c00a7e09c5874a9a7bb4137ca91 SHA1: c659ddde047ae0bf945e39a80cf1b906ee0fa454 MD5sum: f02631ae822baf37e89bb9ee0e5ff833 Description: Debian Edu services for educational institutions This metapackage depends on several applications providing useful infrastructure and services in an educational context: e-learning services, online documentation repository, web content filter... Package: education-standalone Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: education-common, education-desktop-other, libnss-mdns, plasma-widget-networkmanagement | network-manager-gnome, sudo Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-standalone_1.713+deb7u1_armhf.deb Size: 46944 SHA256: fe2d8e725fd47c39e32e8cb6c9f626c5a9e6794ddbc8bfc7f95f7a182722afa4 SHA1: 65d49d381f909a33db801ea686d80cc7b3d75e3f MD5sum: c02f21f811eacdabd7ceb1e9cafa9724 Description: Debian Edu standalone workstation packages A metapackage containing dependencies for packages required on all small standalone workstation installations in the Debian Edu Blend. Package: education-tasks Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 133 Depends: tasksel (>= 3.00) Homepage: http://www.skolelinux.org Priority: extra Section: misc Filename: pool/main/d/debian-edu/education-tasks_1.713+deb7u1_armhf.deb Size: 49480 SHA256: 02d31aaa369749d1a15b4b5108ba21bf050c1279b6b689a611730e1c91a856a3 SHA1: 4b21fe4c84865248e078466a4ee2dfe6b361de3d MD5sum: 3bb15885eafa790d1543e6946419e103 Description: Debian Edu tasks for tasksel This package provides Debian Edu tasks in tasksel. Package: education-thin-client-server Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: atftpd | tftpd-hpa, education-workstation, gstreamer0.10-pulseaudio, iptables, isc-dhcp-server-ldap, ltsp-server-standalone, ltspfs, nagios-nrpe-server, nagios-plugins-standard, nbd-server, pulseaudio, pulseaudio-esound-compat, pulseaudio-utils, rdiff-backup, tftp-hpa, tightvncserver, xrdp Suggests: italc-client, italc-master, libpulse-mainloop-glib0, ltsp-controlaula Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-thin-client-server_1.713+deb7u1_armhf.deb Size: 47096 SHA256: 08e0bf9d6d5b26a2e67c208b26be5284c41811e2f91747c3f12358cf7b7b061a SHA1: 238fe0ba6edd36369e6203c62c825364b6628e0e MD5sum: aa35a7fb528013bc8be1c4b2322499c5 Description: Debian Edu networked thin client server packages A metapackage containing dependencies for packages required on all networked thin client server installations in the Debian Edu Blend. Package: education-workstation Source: debian-edu Version: 1.713+deb7u1 Architecture: armhf Maintainer: Debian Edu Developers Installed-Size: 94 Depends: education-tasks (= 1.713+deb7u1) Recommends: education-desktop-other, education-networked, krb5-auth-dialog Suggests: kredentials Homepage: http://www.skolelinux.org Priority: extra Section: metapackages Filename: pool/main/d/debian-edu/education-workstation_1.713+deb7u1_armhf.deb Size: 46928 SHA256: 0c76e9e1e58fb18cbbbcb5452c4c2a009f011dd92b5306ee14a820670e166cc0 SHA1: fae73d1e518b3a5e41344ab7c1ed039a3aa34e3d MD5sum: 7780513c763f4d83d816f03383240ded Description: Debian Edu networked workstation packages A metapackage containing dependencies for packages required on all networked workstation (thick client) installations in the Debian Edu Blend. Package: eeepc-acpi-scripts Version: 1.1.12 Installed-Size: 142 Maintainer: Debian Eee PC Team Architecture: all Depends: acpid (>= 1:2.0.0-1), acpi-support-base, acpi-support, initscripts (>= 2.88dsf-13.3), pm-utils, rfkill (>= 0.3-3) Suggests: alsa-utils, libnotify-bin Size: 26064 SHA256: f9e9f8f07b6aae4c4129756ff0b6f7e6634be42a904f2379f7812c3fc60f8b56 SHA1: 697ff2176503af4d2531e21b2fcf70b00056336d MD5sum: f2187aefabf873425dcc665800c69bb1 Description: Scripts to support suspend and hotkeys on the Asus Eee PC laptop This package adds support to the special features of Asus Eee PC series of laptops. These include sleep (suspend) and hotkeys such as wireless, brightness, mute, volume, video output toggle and the 'soft' keys available in some models. Tag: hardware::laptop, hardware::power:acpi, implemented-in::shell, role::plugin Section: utils Priority: extra Filename: pool/main/e/eeepc-acpi-scripts/eeepc-acpi-scripts_1.1.12_all.deb Package: eegdev-plugins-free Source: eegdev Version: 0.2-3 Architecture: armhf Maintainer: Nicolas Bourdaud Installed-Size: 116 Pre-Depends: multiarch-support Depends: libeegdev0 (= 0.2-3), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libusb-1.0-0 (>= 2:1.0.8), libxdffileio0 (>= 0.0) Multi-Arch: same Homepage: http://cnbi.epfl.ch/software/eegdev.html Priority: extra Section: libs Filename: pool/main/e/eegdev/eegdev-plugins-free_0.2-3_armhf.deb Size: 25492 SHA256: 535f07d3ee2476023228c96dcf5a0e2aed8b18607f25ec18bde82c3b42eb73af SHA1: f3c374b46265dfb66f7a7a2fe20873151e6d5735 MD5sum: c89ee83e6343420b077e7ca002adab88 Description: Biosignal acquisition device library (free plugins) eegdev is a library that provides a unified interface for accessing various EEG (and other biosignals) acquisition systems. This interface has been designed to be both flexible and efficient. The device specific part is implemented by the mean of plugins which makes adding new device backend fairly easy even if the library does not support them yet officially. . The core library not only provides to users a unified and consistent interfaces to the acquisition device but it also provides many functionalities to the device backends (plugins) ranging from configuration to data casting and scaling making writing new device backend an easy task. . This library is particularly useful to handle the acquisition part of a Brain Computer Interface (BCI) or any realtime multi-electrode acquisition in neurophysiological research. . This package contains the devices plugins that depends only on free components. Package: eekboek Version: 2.00.04-1 Installed-Size: 1285 Maintainer: Debian Perl Group Architecture: all Depends: perl, libarchive-zip-perl, libcarp-assert-perl, libdbd-sqlite3-perl, libdbi-perl, libterm-readline-gnu-perl Suggests: eekboek-gui Size: 455474 SHA256: e9a34152d2d7d579909f5f83194eabae9f6dc7cd40511ea716fde4b82bf39ea4 SHA1: 165e97ee85312b9f235003937e6280237ce71d2c MD5sum: 75cabe8f09520b7f340c4a260b6d5919 Description: Bookkeeping software for small and medium-size businesses EekBoek is a bookkeeping package for Dutch users; it creates reports such as a balance sheet ("Balans"), a general journal ("Journaal"), a general ledger ("Grootboek") and a VAT report ("BTW-aangifte"). . Unlike other accounting software, EekBoek has a command-line interface: The EekBoek Shell (with tab completion). It uses a database as back end: either PostgreSQL (using the eekboek-db-postgresql package) or SQLite. Interoperability is a key feature of EekBoek: editable text dumps of all your data are possible at any time. EekBoek can generate an "XML Auditfile Financieel" (XAF) as used by Dutch tax office. Furthermore, it has a complete Perl API to create your own custom applications. . The EekBoek documentation is available in Dutch only. . If you'd like to use EekBoek with a PostgreSQL back end (instead of the default SQLite), install the package eekboek-db-postgresql. If you'd like to use the Eekboek GUI, install the suggested package eekboek-gui. Homepage: http://www.eekboek.nl/ Tag: culture::dutch, field::finance, implemented-in::perl, interface::commandline, interface::shell, office::finance, role::program, scope::application Section: perl Priority: extra Filename: pool/main/e/eekboek/eekboek_2.00.04-1_all.deb Package: eekboek-db-postgresql Source: eekboek Version: 2.00.04-1 Installed-Size: 35 Maintainer: Debian Perl Group Architecture: all Depends: perl, eekboek (= 2.00.04-1), libdbd-pg-perl Suggests: postgresql Size: 30822 SHA256: 01491aac16df6d95c88367ab21114065898122a77c96808e7fb66ac93f379955 SHA1: 0bfa104db69dbdd3d3c0ce119a50ec16ee3f5201 MD5sum: a8813c7f8b91417728c391164fb2b41e Description: PostgreSQL database driver for EekBoek This package includes EB::DB::Postgres, the PostgreSQL database driver for EekBoek. It also makes sure a PostgreSQL database back end is available for EekBoek. Install it if you'd like to use EekBoek with PostgreSQL (instead of the default SQLite). Homepage: http://www.eekboek.nl/ Tag: culture::dutch, field::finance, implemented-in::perl, office::finance, protocol::db:psql, role::plugin Section: perl Priority: extra Filename: pool/main/e/eekboek/eekboek-db-postgresql_2.00.04-1_all.deb Package: eekboek-gui Source: eekboek Version: 2.00.04-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl, eekboek (= 2.00.04-1), libwx-perl, libwx-perl-processstream-perl Size: 62628 SHA256: 19cfe2143af9bb401f4325e3f298ee9eb1a36597f2ffefaf77292c0fcce7e032 SHA1: e4cdc4f404083f106d505f01d99dd51b5d3c2e81 MD5sum: 436b40bec4e3ade864a6075f2c327037 Description: Graphical User Interface for EekBoek This package includes ebwxshell, the EekBoek GUI. It offers an EekBoek browser, useful for viewing the administrative data. It's especially useful for generating, printing and pinpointing reports. Furthermore, it offers a raw interface to the EekBoek Shell. Finally, it offers a Wizard to help configuring new bookkeeping administrations. . Install it if you need a friendly interface to the EekBoek reporting facilities, or if you would like help in configuring EekBoek. However, if you prefer working in pure text mode, or don't like to install the WX graphical packages, you should not install this package. Homepage: http://www.eekboek.nl/ Tag: culture::dutch, field::finance, implemented-in::perl, interface::x11, office::finance, role::program, scope::application, uitoolkit::wxwidgets, x11::application Section: perl Priority: extra Filename: pool/main/e/eekboek/eekboek-gui_2.00.04-1_all.deb Package: efax Version: 1:0.9a-19 Architecture: armhf Maintainer: MJ Ray (Debian) Installed-Size: 261 Depends: libc6 (>= 2.7), libpaper-utils, debconf (>= 0.5) | debconf-2.0, make Suggests: gs, xloadimage | imagemagick | mgetty-viewfax Priority: optional Section: comm Filename: pool/main/e/efax/efax_0.9a-19_armhf.deb Size: 116140 SHA256: c3228675619319051308502ca644e2544f9c3aee6c07c8e2fd519eb2f13f01a6 SHA1: 6f929d98e1a438aaeaf27c7161f13e853030913e MD5sum: fde5ae16693ce7ce7b636e38540a0a58 Description: programs to send and receive fax messages efax is a small ANSI C/POSIX program that provides the data transport function for fax applications using any Class 1 or Class 2 fax modem. Another program, efix, converts between fax, text, bitmap and grayscale formats. fax, a shell script, provides a simple user interface to the efax and efix programs. It allows you to send text or Postscript files as faxes and receive, print or preview received faxes. . The ghostscript package is needed to fax Postscript files, and an image viewing program like xloadimage is needed to view incoming faxes. . Note that you probably have to adapt /etc/efax.rc to your needs. Package: efax-gtk Version: 3.2.8-1 Architecture: armhf Maintainer: Lior Kaplan Installed-Size: 1684 Depends: ghostscript, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.20.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libx11-6 Recommends: heirloom-mailx Homepage: http://efax-gtk.sourceforge.net/ Priority: optional Section: comm Filename: pool/main/e/efax-gtk/efax-gtk_3.2.8-1_armhf.deb Size: 651090 SHA256: c6742b3a7cc497671d73d01df1a2fff841d98535fb4c93f76e29d82d9c066147 SHA1: 2241b1c8b9dd55b999faaac6c4f422b513b3137f MD5sum: 7cc3daf4bc8189734fc09a6d48d021ef Description: front end in GTK+ for the efax program efax-gtk is a GTK+ front end for the efax program. It can be used to send and receive faxes with a fax modem, and to view, print and manage faxes received. . It also has a socket interface to provide a "virtual printer" for sending faxes from word processors and similar programs, and can automatically e-mail a received fax to a designated user, and automatically print a received fax. Package: eficas Version: 6.4.0-1-1.1 Installed-Size: 12317 Maintainer: Debian Science Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pmw, python-numpy, python-qt4, xpdf, qt-assistant-compat Size: 2086096 SHA256: 7207b15133fcd3d3abc431da47c1027e601f3823282aea7903e1cee561aa1993 SHA1: bb9488d7f0ae7807feb081a6fa3d5cd501b765b4 MD5sum: 7b737e68f1b653006d668715d795fb98 Description: Graphical editor for Code Aster command files This package provides an application to help the creation of Code Aster command files. Thanks to this graphical user interface, a user can intuitively create a Aster command file without knowing the syntax. . Code Aster is all-purpose Finite Element Method (FEM) simulation software for structural analysis. Homepage: http://www.code-aster.org/ Tag: role::program Section: science Priority: optional Filename: pool/main/e/eficas/eficas_6.4.0-1-1.1_all.deb Package: efingerd Version: 1.6.2.7+nmu1 Architecture: armhf Maintainer: Radovan Garabík Installed-Size: 118 Depends: libc6 (>= 2.13-28), libident (>= 0.22-3), debconf | debconf-2.0, netbase, adduser, update-inetd Suggests: finger Conflicts: cfingerd, ffingerd, fingerd, xfingerd Priority: optional Section: net Filename: pool/main/e/efingerd/efingerd_1.6.2.7+nmu1_armhf.deb Size: 29768 SHA256: 49405a39dca19ee087695dcbff24541896bc5d3ab7f6edd7167dffef4b5e5e9b SHA1: 4068d4bd5db3bfa378c24071f7ca790acd0ac18f MD5sum: 8294b7e8f99274eb8a0dfd6512fed8c7 Description: Another finger daemon for unix capable of fine-tuning your output. efingerd is a finger daemon, which executes programs and displays their output. This gives you complete control over what to display and to who, and an extreme configurability. Package: eflite Version: 0.4.1-6 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 94 Depends: libc6 (>= 2.13-28), libflite1 Suggests: emacspeak, yasr Multi-Arch: foreign Homepage: http://eflite.sourceforge.net/ Priority: extra Section: sound Filename: pool/main/e/eflite/eflite_0.4.1-6_armhf.deb Size: 23144 SHA256: e7e207abd44372b6158524e06706c612bbddd78799cf1b7fd2e11b76dbcc76e9 SHA1: 39aa16fe54d695a2a4fda56c723f554ffc4cd40d MD5sum: 63f977571280936fdc50782be57fdfab Description: Festival-Lite based emacspeak speech server EFlite is a speech server for Emacspeak and other screen readers that allows them to interface with Festival Lite, a free text-to-speech engine developed at the CMU Speech Center as an off-shoot of Festival. . Due to limitations inherited from its backend, EFlite does only provide support for the English language at the moment. Package: efp Version: 1.4-2 Installed-Size: 112 Maintainer: Joe Nahmias Architecture: all Depends: fceu | nes-emulator Size: 8358 SHA256: e5f6cd2bd63edede6254581456c4b37566ede03be52113d03a4cc2d5fb9a6b78 SHA1: 9bf94a0421fc813274574b3938364e18415403d3 MD5sum: 7f2536bbdbf4150d07397513f124800a Description: Escape from Pong NES game Escape from Pong is a very small NES game which introduces the concept of playing Pong from the ball's point of view. You mission is to escape from 13 difficult levels, despite the paddle trying to beat you at every turn. . This game is distributed as an NES ROM. You will need an NES emulator in order to play it. A list of tested emulators is in README.Debian. FCE Ultra is recommended Tag: game::arcade, hardware::emulation, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/e/efp/efp_1.4-2_all.deb Package: efte Version: 1.1-1 Architecture: armhf Maintainer: Xavier Oswald Installed-Size: 2944 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.4.0), libtinfo5, libx11-6, libxpm4 Homepage: http://efte.cowgar.com Priority: extra Section: editors Filename: pool/main/e/efte/efte_1.1-1_armhf.deb Size: 851554 SHA256: a0019bfef2ab03097b4b6f262638c54194e10c01d359fd25a9ce5cb0d76af060 SHA1: 7cd89c76013b5653b3652ef7ba45b9d3c7d76a85 MD5sum: 2b1d4136db5335042f800b0815987509 Description: Advanced lightweight configurable editor eFTE is an advanced programmers editor with goals of being lightweight, yet totally configurable. Support for user defined programming languages, menu systems and key bindings are provided with many common defaults already defined. . eFTE is still a new project, however, extended from the FTE editor which was first released in 1995, so eFTE is tried and true with many features for the programmer/text editor. Package: egg Version: 4.0.6+0.20041122cvs-19 Installed-Size: 867 Maintainer: ISHIKAWA Mutsumi Architecture: all Depends: emacs23 | emacs23-lucid | emacs23-nox | emacs22 | emacs22-gtk | emacs22-nox | emacs-snapshot-gtk | emacs-snapshot-lucid | emacs-snapshot | emacs-snapshot-nox Pre-Depends: make Suggests: canna, freewnn-jserver, freewnn-cserver, freewnn-kserver, anthy Conflicts: emacs-dl-wnn Size: 167612 SHA256: c7c8a15ebbb21c2e8dfd8924d5634284375a4ef4ff2667898e41dbede2cdd8fe SHA1: 712ba722bd38e4f197efeace94bd7d7add6af953 MD5sum: b64579fed805c540b2af8adad9d1d5cc Description: Tamago Ver. 4 -- EGG Input Method Architecture for Emacsen Tamago version 4 (aka egg4) is Input Method Architecture for emacsen. All of these is written by elisp (you don't need to modify emacsen). It can use with FreeWnn (jserver, cserver, tserver), Wnn6/Wnn7/Wnn8 jserver, Canna server and Anthy server. Tag: role::plugin, suite::emacs, use::editing Section: utils Priority: extra Filename: pool/main/e/egg/egg_4.0.6+0.20041122cvs-19_all.deb Package: eggdrop Version: 1.6.20-1 Architecture: armhf Maintainer: Guilherme de Siqueira Pastore Installed-Size: 1060 Depends: libc6 (>= 2.7), tcl8.5 (>= 8.5.0), zlib1g (>= 1:1.1.4), eggdrop-data (= 1.6.20-1) Homepage: http://www.eggheads.org/ Priority: extra Section: net Filename: pool/main/e/eggdrop/eggdrop_1.6.20-1_armhf.deb Size: 551112 SHA256: 6849a9584bdfc54ef0805d68787648577db69bcd76d7ec66ec84820bed4d3e26 SHA1: 6ed9ad7dd2c981b7b95048d144527ae3dc1839a0 MD5sum: ec939ecf6048cf05747c03aa94a830f5 Description: Advanced IRC Robot Eggdrop is an IRC bot written in C, which sits on channels and takes protective measures, such as preventing it from being taken over (in the few ways that anything can), recognizing banned users to reject them, recognizing privileged users to give them operator status, punishing users for things like flooding, among innumerable others. . All of this is completely configurable, and can be disabled or enabled as you wish in the configuration file. Also, it's very easy to expand and customize with Tcl scripts and C modules, making it possible to adapt Eggdrop to any special need you might have. Package: eggdrop-data Source: eggdrop Version: 1.6.20-1 Installed-Size: 1548 Maintainer: Guilherme de Siqueira Pastore Architecture: all Replaces: eggdrop (>= 1.6.16-2) Size: 456970 SHA256: c762682f775d502567ff4386d01c5464773b2daceda70d1eaf798e05eb64a272 SHA1: f69bc613c0bdde5357c6ec27e4b3d8676e7a220b MD5sum: ddb0641deaef60bac807ef87113359c5 Description: Architecture independent files for eggdrop This package contains all the files that eggdrop places on /usr/share. These files are not specific to a single processor architecture once the package is built, so a single package can serve all the archs Debian supports. . This package is not useful at all if eggdrop is not installed. Homepage: http://www.eggheads.org/ Tag: protocol::dcc, protocol::irc, role::app-data, use::chatting Section: net Priority: extra Filename: pool/main/e/eggdrop/eggdrop-data_1.6.20-1_all.deb Package: eglibc-source Source: eglibc Version: 2.13-38+rpi2+deb7u12 Architecture: all Maintainer: GNU Libc Maintainers Installed-Size: 20911 Recommends: xz-utils Multi-Arch: foreign Homepage: http://www.eglibc.org Priority: optional Section: devel Filename: pool/main/e/eglibc/eglibc-source_2.13-38+rpi2+deb7u12_all.deb Size: 13458784 SHA256: 639aea6affbb5bb87ff39dac7b4eee14caee7463102e88c3e46d9e7eb989fb6b SHA1: a5fd6dd4502ca779f80dda43008b7ebaae6af23c MD5sum: 6d89caa1b26e010501d249dac16cb2d4 Description: Embedded GNU C Library: sources This package contains the sources and patches which are needed to build eglibc. Package: eiciel Version: 0.9.8.1-3 Architecture: armhf Maintainer: Michael Biebl Installed-Size: 872 Depends: libacl1 (>= 2.2.51-7), libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk-3-0 (>= 3.0.0), libgtkmm-3.0-1 (>= 3.4.0), libnautilus-extension1a (>= 2.91), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0) Recommends: nautilus, yelp Enhances: nautilus Homepage: http://rofi.pinchito.com/eiciel/ Priority: optional Section: gnome Filename: pool/main/e/eiciel/eiciel_0.9.8.1-3_armhf.deb Size: 455980 SHA256: c57442e7909d4a9002e1963c01954f4136346c38d29febd38b72d9c3e2777c11 SHA1: 29cc63071dc86c2030859d21e5412d34833c1ea0 MD5sum: e08533a3b34de73b1cc2fe1e7730e5aa Description: graphical editor for POSIX ACLs and extended user attributes A graphical tool to manipulate POSIX ACLs and extended user attributes for the GNOME environment. It integrates nicely into the Nautilus file manager but also features a standalone application. Package: eikazo Version: 0.5.2-8 Architecture: armhf Maintainer: Julien BLACHE Installed-Size: 992 Depends: python-gtk2, python-imaging-sane, python-webkit, python2.7, python (>= 2.7), python (<< 2.8), libc6 (>= 2.13-28) Suggests: python-mysqldb, python-pygresql Priority: optional Section: graphics Filename: pool/main/e/eikazo/eikazo_0.5.2-8_armhf.deb Size: 538918 SHA256: 12f7e0bd9f39e9816f8942fe1f2ce5dcf1f5e4c5741629399632fe22c2014b21 SHA1: 1f32bce7326996c31749de21c1142998da36be67 MD5sum: 1db3004dcfb936a7219262dd9fa2a0cc Description: graphical frontend for SANE designed for mass-scanning Eikazo is a graphical frontend for SANE (Scanner Access Now Easy) designed for mass-scanning, especially with scanners equiped with and ADF (Automatic Document Feeder). Its major goal is to be fast. . Eikazo uses a plugin mechanism for post-processing, storage and to support device-specific options and features. . Install the python-mysqldb or the python-pygresql packages if you intend to use the (demonstration) SQL output plugin. Package: einstein Version: 2.0.dfsg.2-9 Architecture: armhf Maintainer: Bart Martens Installed-Size: 1371 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Homepage: http://games.flowix.com/ Priority: optional Section: games Filename: pool/main/e/einstein/einstein_2.0.dfsg.2-9_armhf.deb Size: 1038832 SHA256: ed6d7181d563a1091f9d510679054e1663e07fba9410d75269c93fc8cb9b7c90 SHA1: fa5b5e01da1640ef5c9156a183ce2c60c38e719e MD5sum: 3fe76961f103a9cca2623475d8cc23fb Description: Puzzle game inspired on Einstein's puzzle This puzzle is a remake of old DOS game Sherlock which was inspired by Albert Einstein's puzzle. The game goal is to open all cards in square of 6x6 cards. For this, a number of hints describing relations between card positions are given. Use them to find the correct layout. Package: eiskaltdcpp Version: 2.2.6-4 Installed-Size: 53 Maintainer: Boris Pek Architecture: all Replaces: eiskaltdcpp-unstable Depends: eiskaltdcpp-qt (>= 2.2.6-4) | eiskaltdcpp-gtk (>= 2.2.6-4), eiskaltdcpp-emoticons, eiskaltdcpp-scripts, eiskaltdcpp-sounds Conflicts: eiskaltdcpp-unstable Size: 19514 SHA256: 5fd18a854df080b3044a5a452ddf64c8d39028bc9175955a2f5ff1974de060d1 SHA1: 1840ea84fb5494c0ff8d7b7c20c5a0b89753d56e MD5sum: 001cb0009bc1f64bde594ec28c12739d Description: EiskaltDC++ meta-package This package installs the most popular and recommended combination of EiskaltDC++ related packages. . EiskaltDC++ is a cross-platform program that uses the Direct Connect and ADC protocols. It is compatible with other DC clients, such as the original DC from Neomodus, DC++ and derivatives. EiskaltDC++ also interoperates with all common DC hub software. Homepage: http://code.google.com/p/eiskaltdc/ Tag: role::metapackage Section: net Priority: optional Filename: pool/main/e/eiskaltdcpp/eiskaltdcpp_2.2.6-4_all.deb Package: eiskaltdcpp-common Source: eiskaltdcpp Version: 2.2.6-4 Installed-Size: 182 Maintainer: Boris Pek Architecture: all Replaces: eiskaltdcpp-common-unstable Conflicts: eiskaltdcpp-common-unstable Breaks: eiskaltdcpp (<< 2.1.0) Size: 83074 SHA256: 1efea869232a69834f0685fc9ecf2550420c53ef4401ebcde40ee473907d0932 SHA1: 6277dd11bc8f109a288543bed265982e0bd0af68 MD5sum: a0c5cb7c4711af454d56d94eed565436 Description: common files for EiskaltDC++ This package contains the common files for the different EiskaltDC++ versions. . EiskaltDC++ is a cross-platform program that uses the Direct Connect and ADC protocols. It is compatible with other DC clients, such as the original DC from Neomodus, DC++ and derivatives. EiskaltDC++ also interoperates with all common DC hub software. Homepage: http://code.google.com/p/eiskaltdc/ Tag: made-of::icons, role::app-data Section: net Priority: optional Filename: pool/main/e/eiskaltdcpp/eiskaltdcpp-common_2.2.6-4_all.deb Package: eiskaltdcpp-daemon Source: eiskaltdcpp Version: 2.2.6-4 Architecture: armhf Maintainer: Boris Pek Installed-Size: 393 Depends: libc6 (>= 2.13-28), libeiskaltdcpp2.2 (>= 2.2.6), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Conflicts: eiskaltdcpp-daemon-unstable Replaces: eiskaltdcpp-daemon-unstable Homepage: http://code.google.com/p/eiskaltdc/ Priority: optional Section: net Filename: pool/main/e/eiskaltdcpp/eiskaltdcpp-daemon_2.2.6-4_armhf.deb Size: 163446 SHA256: 4ee8adf7ad42c0d831381abf8d02af808fd29205c9a00649c3c3aa18a3fa618e SHA1: 76a7b273d803e5eede0f821642a54613bfc869c9 MD5sum: 2699f27c4cf2e4079c2f4db1edca93a4 Description: EiskaltDC++ daemon This package installs only EiskaltDC++ daemon (without any GUI). Support of control via JSON-RPC is enabled. . EiskaltDC++ is a cross-platform program that uses the Direct Connect and ADC protocols. It is compatible with other DC clients, such as the original DC from Neomodus, DC++ and derivatives. EiskaltDC++ also interoperates with all common DC hub software. Package: eiskaltdcpp-daemon-dbg Source: eiskaltdcpp Version: 2.2.6-4 Architecture: armhf Maintainer: Boris Pek Installed-Size: 4085 Depends: eiskaltdcpp-daemon (= 2.2.6-4), libeiskaltdcpp2.2-dbg (= 2.2.6-4) Conflicts: eiskaltdcpp-daemon-unstable-dbg Replaces: eiskaltdcpp-daemon-unstable-dbg Homepage: http://code.google.com/p/eiskaltdc/ Priority: extra Section: debug Filename: pool/main/e/eiskaltdcpp/eiskaltdcpp-daemon-dbg_2.2.6-4_armhf.deb Size: 1326762 SHA256: 5952c087752ed9fe051748c7ebc1e59343c807dc28cacb4ec5ad08049783fd9a SHA1: 9142704af8f6ec7eebb65db57c1df2769a80b6a2 MD5sum: a297673ecbee2492197a716651b16296 Description: EiskaltDC++ daemon (debug symbols) This package contains the debugging symbols for EiskaltDC++ daemon. . EiskaltDC++ is a cross-platform program that uses the Direct Connect and ADC protocols. It is compatible with other DC clients, such as the original DC from Neomodus, DC++ and derivatives. EiskaltDC++ also interoperates with all common DC hub software. Package: eiskaltdcpp-emoticons Source: eiskaltdcpp Version: 2.2.6-4 Installed-Size: 809 Maintainer: Boris Pek Architecture: all Replaces: eiskaltdcpp-emoticons-unstable Suggests: php5-cli Conflicts: eiskaltdcpp-emoticons-unstable Size: 449976 SHA256: 4d7b057ce91675b80178f7c497185f3cb9684cf4d21dd2d2968b4813368b7aec SHA1: 203d788826682ad8e86fd015c525b8f54a74dbbe MD5sum: a804e415b025b437c0e37472bb545167 Description: emoticons for EiskaltDC++ This package contains the emoticon packs for EiskaltDC++. . EiskaltDC++ is a cross-platform program that uses the Direct Connect and ADC protocols. It is compatible with other DC clients, such as the original DC from Neomodus, DC++ and derivatives. EiskaltDC++ also interoperates with all common DC hub software. Homepage: http://code.google.com/p/eiskaltdc/ Section: net Priority: optional Filename: pool/main/e/eiskaltdcpp/eiskaltdcpp-emoticons_2.2.6-4_all.deb Package: eiskaltdcpp-gtk Source: eiskaltdcpp Version: 2.2.6-4 Architecture: armhf Maintainer: Boris Pek Installed-Size: 1528 Depends: libc6 (>= 2.13-28), libeiskaltdcpp2.2 (>= 2.2.6), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6), libx11-6, eiskaltdcpp-gtk-data (= 2.2.6-4), eiskaltdcpp-common Conflicts: eiskaltdcpp-gtk-unstable Replaces: eiskaltdcpp-gtk-unstable Homepage: http://code.google.com/p/eiskaltdc/ Priority: optional Section: net Filename: pool/main/e/eiskaltdcpp/eiskaltdcpp-gtk_2.2.6-4_armhf.deb Size: 690390 SHA256: e382c9efcfbe3b9234225719a34bbd81622503c033659cdd3b59027ab7f026a4 SHA1: 00cd0c6f65d9abb5e817a913ba55b1c85971a884 MD5sum: 8b96fda4abcb815b42641e2fb791e318 Description: EiskaltDC++ GUI on Gtk This package installs only EiskaltDC++ with GUI based on Gtk (based on FreeDC++ and LinuxDC++). . EiskaltDC++ is a cross-platform program that uses the Direct Connect and ADC protocols. It is compatible with other DC clients, such as the original DC from Neomodus, DC++ and derivatives. EiskaltDC++ also interoperates with all common DC hub software. Package: eiskaltdcpp-gtk-data Source: eiskaltdcpp Version: 2.2.6-4 Installed-Size: 2265 Maintainer: Boris Pek Architecture: all Replaces: eiskaltdcpp-gtk-data-unstable Suggests: php5-cli, eiskaltdcpp-emoticons, eiskaltdcpp-scripts, eiskaltdcpp-sounds Conflicts: eiskaltdcpp-gtk-data-unstable Size: 436904 SHA256: 03dec1e19ac011453bb036625642982b01fd97ec41c755c8ed51ee97dd667bd3 SHA1: 393df5c1af9edb548368f31a9c6c92f2ee9ecc81 MD5sum: 78be58f1fbc70df5ed1faf5e6e9982b9 Description: data files for EiskaltDC++ Gtk This package contains the data files for EiskaltDC++ Gtk. . EiskaltDC++ is a cross-platform program that uses the Direct Connect and ADC protocols. It is compatible with other DC clients, such as the original DC from Neomodus, DC++ and derivatives. EiskaltDC++ also interoperates with all common DC hub software. Homepage: http://code.google.com/p/eiskaltdc/ Tag: made-of::icons, role::app-data Section: net Priority: optional Filename: pool/main/e/eiskaltdcpp/eiskaltdcpp-gtk-data_2.2.6-4_all.deb Package: eiskaltdcpp-gtk-dbg Source: eiskaltdcpp Version: 2.2.6-4 Architecture: armhf Maintainer: Boris Pek Installed-Size: 18312 Depends: eiskaltdcpp-gtk (= 2.2.6-4), libeiskaltdcpp2.2-dbg (= 2.2.6-4) Conflicts: eiskaltdcpp-gtk-unstable-dbg Replaces: eiskaltdcpp-gtk-unstable-dbg Homepage: http://code.google.com/p/eiskaltdc/ Priority: extra Section: debug Filename: pool/main/e/eiskaltdcpp/eiskaltdcpp-gtk-dbg_2.2.6-4_armhf.deb Size: 6867854 SHA256: a4d6ee3004ab57e97307de805d83d44e6fcc46eec53e8da88df200221f515af3 SHA1: 91bc182d6a7fe333450917e83026d89a9bda6dac MD5sum: cadfe7c6dc351157f365dd427755dc5c Description: EiskaltDC++ GUI on Gtk (debug symbols) This package contains the debugging symbols for EiskaltDC++ Gtk. . EiskaltDC++ is a cross-platform program that uses the Direct Connect and ADC protocols. It is compatible with other DC clients, such as the original DC from Neomodus, DC++ and derivatives. EiskaltDC++ also interoperates with all common DC hub software. Package: eiskaltdcpp-qt Source: eiskaltdcpp Version: 2.2.6-4 Architecture: armhf Maintainer: Boris Pek Installed-Size: 3029 Depends: libaspell15 (>= 0.60.7~20110707), libc6 (>= 2.13-28), libeiskaltdcpp2.2 (>= 2.2.6), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-declarative (>= 4:4.7.0~beta1), libqt4-script (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), eiskaltdcpp-qt-data (= 2.2.6-4), eiskaltdcpp-common, libqt4-sql-sqlite Recommends: libqtscript4-core, libqtscript4-gui, libqtscript4-network, libqtscript4-xml Conflicts: eiskaltdcpp-qt-unstable Breaks: eiskaltdcpp (<< 2.1.0) Replaces: eiskaltdcpp (<< 2.1.0), eiskaltdcpp-qt-unstable Homepage: http://code.google.com/p/eiskaltdc/ Priority: optional Section: net Filename: pool/main/e/eiskaltdcpp/eiskaltdcpp-qt_2.2.6-4_armhf.deb Size: 1274396 SHA256: 6a314fdc4e23a14128de93addf16ab4782592221e9bfdb6c0cfcf3fbece6b73d SHA1: c28bcc149496d6da193c11e83db00de5209ba37f MD5sum: e93ed9ffa3d1fd15e825f6a02d72a742 Description: EiskaltDC++ GUI on Qt This package installs only EiskaltDC++ with GUI based on Qt. . EiskaltDC++ is a cross-platform program that uses the Direct Connect and ADC protocols. It is compatible with other DC clients, such as the original DC from Neomodus, DC++ and derivatives. EiskaltDC++ also interoperates with all common DC hub software. Package: eiskaltdcpp-qt-data Source: eiskaltdcpp Version: 2.2.6-4 Installed-Size: 2727 Maintainer: Boris Pek Architecture: all Replaces: eiskaltdcpp-qt-data-unstable Suggests: php5-cli, eiskaltdcpp-emoticons, eiskaltdcpp-scripts, eiskaltdcpp-sounds Conflicts: eiskaltdcpp-qt-data-unstable Breaks: eiskaltdcpp (<< 2.1.0) Size: 1130130 SHA256: 639164d73dcfa4bff3fa2d35804ddc650f50f8ed71d8db4fd60f6b63677a2c2a SHA1: d14dbf14d311a0224d51b8849c69d19d64b24930 MD5sum: 99db84c68a359d74543c26c52b5d61df Description: data files for EiskaltDC++ Qt This package contains the data files for EiskaltDC++ Qt. . EiskaltDC++ is a cross-platform program that uses the Direct Connect and ADC protocols. It is compatible with other DC clients, such as the original DC from Neomodus, DC++ and derivatives. EiskaltDC++ also interoperates with all common DC hub software. Homepage: http://code.google.com/p/eiskaltdc/ Tag: made-of::icons, role::app-data Section: net Priority: optional Filename: pool/main/e/eiskaltdcpp/eiskaltdcpp-qt-data_2.2.6-4_all.deb Package: eiskaltdcpp-qt-dbg Source: eiskaltdcpp Version: 2.2.6-4 Architecture: armhf Maintainer: Boris Pek Installed-Size: 60217 Depends: eiskaltdcpp-qt (= 2.2.6-4), libeiskaltdcpp2.2-dbg (= 2.2.6-4) Conflicts: eiskaltdcpp-qt-unstable-dbg Replaces: eiskaltdcpp-qt-unstable-dbg Homepage: http://code.google.com/p/eiskaltdc/ Priority: extra Section: debug Filename: pool/main/e/eiskaltdcpp/eiskaltdcpp-qt-dbg_2.2.6-4_armhf.deb Size: 25893524 SHA256: d80f545aced57727435de46b31cca65afd5e3a18ecf211a591dea442e48e55a5 SHA1: b26ddd46154be020dd25e0e83b43d229075a0e04 MD5sum: 80c6a58f172cc28cfb488ad9073f55da Description: EiskaltDC++ GUI on Qt (debug symbols) This package contains the debugging symbols for EiskaltDC++ Qt. . EiskaltDC++ is a cross-platform program that uses the Direct Connect and ADC protocols. It is compatible with other DC clients, such as the original DC from Neomodus, DC++ and derivatives. EiskaltDC++ also interoperates with all common DC hub software. Package: eiskaltdcpp-scripts Source: eiskaltdcpp Version: 2.2.6-4 Installed-Size: 208 Maintainer: Boris Pek Architecture: all Replaces: eiskaltdcpp-scripts-unstable Suggests: php5-cli Conflicts: eiskaltdcpp-scripts-unstable Breaks: eiskaltdcpp (<< 2.1.0) Size: 56700 SHA256: 89dcc17c13476e191450de5808a0c305383d35d611f04990bc69f701a0c82271 SHA1: c5a39cb6694d9aafa52851ff30b8fb29f6f79181 MD5sum: 30ffd25be99c533e3ff4f324691467b3 Description: scripts examples for EiskaltDC++ This package contains the scripts examples for EiskaltDC++. It used by /sh and /alias chat commands (see man-page or /help in chat). . EiskaltDC++ is a cross-platform program that uses the Direct Connect and ADC protocols. It is compatible with other DC clients, such as the original DC from Neomodus, DC++ and derivatives. EiskaltDC++ also interoperates with all common DC hub software. Homepage: http://code.google.com/p/eiskaltdc/ Section: net Priority: optional Filename: pool/main/e/eiskaltdcpp/eiskaltdcpp-scripts_2.2.6-4_all.deb Package: eiskaltdcpp-sounds Source: eiskaltdcpp Version: 2.2.6-4 Installed-Size: 374 Maintainer: Boris Pek Architecture: all Replaces: eiskaltdcpp-sounds-unstable Conflicts: eiskaltdcpp-sounds-unstable Size: 229996 SHA256: 86e90e2f03161999767b10f264c9530b756023c63d30c188ec249947075bea32 SHA1: 696feb5893c60feffdc0d659dd45db216f8a9ef6 MD5sum: 33735feed42d216088b0913487a8b864 Description: sound files for EiskaltDC++ This package contains the sound files for EiskaltDC++. . EiskaltDC++ is a cross-platform program that uses the Direct Connect and ADC protocols. It is compatible with other DC clients, such as the original DC from Neomodus, DC++ and derivatives. EiskaltDC++ also interoperates with all common DC hub software. Homepage: http://code.google.com/p/eiskaltdc/ Tag: made-of::audio, role::app-data Section: net Priority: optional Filename: pool/main/e/eiskaltdcpp/eiskaltdcpp-sounds_2.2.6-4_all.deb Package: ejabberd Version: 2.1.10-4+deb7u2 Architecture: armhf Maintainer: Konstantin Khomoutov Installed-Size: 3589 Depends: adduser, erlang-asn1 (>= 1:15.b.1-dfsg), erlang-base (>= 1:15.b.1-dfsg) | erlang-base-hipe (>= 1:15.b.1-dfsg), erlang-crypto (>= 1:15.b.1-dfsg), erlang-inets (>= 1:15.b.1-dfsg), erlang-mnesia (>= 1:15.b.1-dfsg), erlang-odbc (>= 1:15.b.1-dfsg), erlang-public-key (>= 1:15.b.1-dfsg), erlang-ssl (>= 1:15.b.1-dfsg), erlang-syntax-tools (>= 1:15.b.1-dfsg), erlang-abi-15.b, openssl, ucf, debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libpam0g (>= 0.99.7.1), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4) Suggests: libunix-syslog-perl, imagemagick | graphicsmagick-imagemagick-compat Conflicts: ejabberd-mod-shared-roster-ldap Homepage: http://www.process-one.net/en/projects/ejabberd/ Priority: optional Section: net Filename: pool/main/e/ejabberd/ejabberd_2.1.10-4+deb7u2_armhf.deb Size: 1789384 SHA256: ff38ccd0732a4255bd4f8010c1952f1c01c0cbfe3743015e69a41f79ff0d14c1 SHA1: 9f6ee6133b94a6492b8a7fa10c9b58f95bcf0bf5 MD5sum: e5cd543f589d8c8d80f5474b04707335 Description: distributed, fault-tolerant Jabber/XMPP server written in Erlang ejabberd is a distributed, fault-tolerant Jabber/XMPP server written in Erlang. Its features contain: - XMPP-compliant - Web based administration - Load balancing: can run in a cluster of machines - Fault-tolerance: database can be replicated and stored on multiple nodes (nodes can be added or replaced 'on the fly') - Virtual hosting: several virtual domains can be served using single ejabberd instance - SSL/TLS support - Multi-User Chat (MUC/conference) - IRC transport - Jabber Users Directory, based on users vCards - Service Discovery - Shared roster Package: eject Version: 2.1.5+deb1+cvs20081104-13+deb7u1 Architecture: armhf Maintainer: Frank Lichtenheld Installed-Size: 168 Depends: libc6 (>= 2.13-28), libdevmapper1.02.1 (>= 2:1.02.20) Suggests: cdtool, setcd Multi-Arch: foreign Homepage: http://www.pobox.com/~tranter/eject.html Priority: optional Section: utils Filename: pool/main/e/eject/eject_2.1.5+deb1+cvs20081104-13+deb7u1_armhf.deb Size: 60776 SHA256: 16af148285a0b4fd497288f08f27b317ca974e61baebb9335cebd866373dd8c5 SHA1: 3a846abeaf7b169fb2f7d1fd412cb9a5478f16e9 MD5sum: 6033792dcdfc74d6e998f49f3ccdde90 Description: ejects CDs and operates CD-Changers under Linux This little program will eject CD-ROMs (assuming your drive supports the CDROMEJECT ioctl). It also allows setting the autoeject feature. . On supported ATAPI/IDE multi-disc CD-ROM changers, it allows changing the active disc. . You can also use eject to properly disconnect external mass-storage devices like digital cameras or portable music players. Package: ekeyd Version: 1.1.5-4 Architecture: armhf Maintainer: Simtec Electronics Installed-Size: 293 Depends: libc6 (>= 2.13-28), liblua5.1-0, lua5.1, liblua5.1-socket2 Recommends: udev Suggests: munin-node Priority: optional Section: utils Filename: pool/main/e/ekeyd/ekeyd_1.1.5-4_armhf.deb Size: 113444 SHA256: 784e6b9b947d0a450e52bc10299c58906afec94310b098b40e3812d7dc5e8795 SHA1: 76b607b40bcc7f120c017e3e8400b02bc2d3f7ce MD5sum: 09f7ddcab1d86ba3032fc87c5215595e Description: Simtec Electronics UDEKEY01 Entropy Key Daemon This is a driver for the Simtec Electronics Entropy Key. It is only needed if you have such a device (or devices). It provides a daemon and tools to drive and control it, as well as options for injecting entropy directly into the kernel's pool, or serving it via the EGD protocol. Package: ekeyd-egd-linux Source: ekeyd Version: 1.1.5-4 Architecture: armhf Maintainer: Simtec Electronics Installed-Size: 74 Depends: libc6 (>= 2.13-28) Suggests: ekeyd Priority: optional Section: utils Filename: pool/main/e/ekeyd/ekeyd-egd-linux_1.1.5-4_armhf.deb Size: 17960 SHA256: 069e299e0f3b8e4a4e1d325f6d00f208b176677c5d062dc8b2ad374487e01bc2 SHA1: ca9342410107e7ff6940aab7b8a8578e4c65c996 MD5sum: 83ce007b4ab1e8b0525b379763aec57a Description: Transfers entropy from an EGD to the Linux kernel pool This utility reads from an EGD capable service over TCP and writes the entropy retrieved to the Linux kernel random pool. Typically this will be used on clusters or virtual hosts where direct access to useful entropy is hard. Package: ekg Version: 1:1.9~pre+r2854-1 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 692 Depends: libaspell15 (>= 0.60.7~20110707), libc6 (>= 2.13-28), libgadu3 (>= 1:1.8.0+r592), libgsm1 (>= 1.0.13), libncurses5 (>= 5.5-5~), libpython2.7 (>= 2.7), libssl1.0.0 (>= 1.0.0), libtinfo5, zlib1g (>= 1:1.1.4) Recommends: perl, libglib2.0-0 (>= 2.12.0) Suggests: aspell-pl | aspell-dictionary Homepage: http://ekg.chmurka.net/ Priority: optional Section: net Filename: pool/main/e/ekg/ekg_1.9~pre+r2854-1_armhf.deb Size: 319250 SHA256: 8faf9727451e8b8f593c478e5c8d85930675580344cfa14c2ecf983f709f00d1 SHA1: 0deb2d2c5167bb58934d197ed9d1511154bed0d3 MD5sum: a7cf6aa4144ee2e2951567fe81fe56e9 Description: console Gadu Gadu client for UNIX systems - ncurses UI EKG ("Eksperymentalny Klient Gadu-Gadu") is an open source Gadu-Gadu client for UNIX systems. Gadu-Gadu is an instant messaging program, very popular in Poland. . EKG features include: - irssi-like ncurses interface with mouse support - sending and receiving files - voice conversations - launching shell commands on certain events - reading input from pipe - Python scripting support - speech synthesis (using an external program) - encryption support . Please note that the program is not internationalized and all messages are in Polish (although the commands are in English). . This package contains the program built with just the (text) ncurses user interface. If you want to use the GTK+ graphical interface, install the ekg-gtk package as well. Package: ekg-gtk Source: ekg Version: 1:1.9~pre+r2854-1 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 512 Depends: ekg (= 1:1.9~pre+r2854-1), libaspell15 (>= 0.60.7~20110707), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgadu3 (>= 1:1.8.0+r592), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgsm1 (>= 1.0.13), libgtk2.0-0 (>= 2.24.0), libncurses5 (>= 5.5-5~), libpango1.0-0 (>= 1.14.0), libpython2.7 (>= 2.7), libssl1.0.0 (>= 1.0.0), libtinfo5, libx11-6, zlib1g (>= 1:1.1.4) Enhances: ekg Homepage: http://ekg.chmurka.net/ Priority: optional Section: net Filename: pool/main/e/ekg/ekg-gtk_1.9~pre+r2854-1_armhf.deb Size: 236574 SHA256: 56813a8203a4487f8534eacebd1077b9db0f6f156eb85afaba500f3f6e65ba19 SHA1: 605985fa4d9bd442808b089c191289085fa95463 MD5sum: a3a4489e48a01883745bdf5c457c1e86 Description: Gadu Gadu client for UNIX systems - GTK+ UI EKG ("Eksperymentalny Klient Gadu-Gadu") is an open source Gadu-Gadu client for UNIX systems. Gadu-Gadu is an instant messaging program, very popular in Poland. . EKG features include: - irssi-like ncurses interface with mouse support - sending and receiving files - voice conversations - launching shell commands on certain events - reading input from pipe - Python scripting support - speech synthesis (using an external program) - encryption support . Please note that the program is not internationalized and all messages are in Polish (although the commands are in English). . This package contains the program built with the (graphical) GTK+ user interface. Package: ekg2 Version: 1:0.3.1-3 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 21 Depends: ekg2-core (= 1:0.3.1-3), ekg2-ui-ncurses (= 1:0.3.1-3), ekg2-jabber (= 1:0.3.1-3) Recommends: ekg2-dbg Suggests: ekg2-gnupg, ekg2-scripting-python, ekg2-scripting-perl, ekg2-ui-gtk, ekg2-xosd Homepage: http://ekg2.org/ Priority: optional Section: net Filename: pool/main/e/ekg2/ekg2_0.3.1-3_armhf.deb Size: 1614 SHA256: 7346face6938d1096bc7902d09ab2774ee66247cc5ca3eede76410bbabdf2f0f SHA1: f2c0073698f1e35558b3a621ef0a0842a00adece MD5sum: 9e29ac3a16bb19c13a705db7cd462c0c Description: instant messenger and IRC client for UNIX systems EKG2 is an open source instant messenger program for UNIX systems. The program has a plugin-based structure, and supports multiple protocols, currently Jabber, ICQ, Gadu-Gadu, IRC, RivChat, PolChat, NNTP and RSS. Also a generic filesystem-based communication mechanism called "xmsg" is supported. . The program has many useful features. Here is a list - unless specified they are included in the ekg2-core package. - irssi-like ncurses interface, with mouse support [ekg2-ui-ncurses] - experimental GTK+ interface [ekg2-ui-gtk] - experimental HTTP interface - experimental 'remote' interface [ekg2-remote] - spell checking [ekg2-ui-ncurses] - "remote control" via pipe or socket - XOSD support [ekg2-xosd] - jogger.pl blog update support - a simple CAPTCHA (autoresponder) - Python and Perl scripting [ekg2-scripting-python, ekg2-scripting-perl] - launching shell commands on certain events - encryption support (SIM, GnuPG, ROT13) [ekg2-core, ekg2-gnupg] - logging to SQLite, plain text or XML files - sending SMs (using an external program such as sms-pl) - mail checking . This is a meta-package which depends on a set of commonly used EKG2 packages. Package: ekg2-api-docs Source: ekg2 Version: 1:0.3.1-3 Installed-Size: 21599 Maintainer: Marcin Owsiany Architecture: all Size: 2865872 SHA256: 355e6a65de2f1a508535081f973b872cb9800d2bb31ca9d392da0e3437ab3a03 SHA1: 9af81f2f143085ac8d8a45b012bb84eba27b5727 MD5sum: 2961b41756b476d39f0c561a8ac86fac Description: instant messenger and IRC client for UNIX systems - API documentation EKG2 is an open source instant messenger program for UNIX systems. The program has a plugin-based structure, and supports multiple protocols, currently Jabber, ICQ, Gadu-Gadu, IRC, RivChat, PolChat, NNTP and RSS. Also a generic filesystem-based communication mechanism called "xmsg" is supported. . This package contains the API documentation, useful for developers who want to create or improve plugins. Homepage: http://ekg2.org/ Tag: devel::doc, made-of::html, network::client, role::documentation, use::chatting Section: doc Priority: optional Filename: pool/main/e/ekg2/ekg2-api-docs_0.3.1-3_all.deb Package: ekg2-core Source: ekg2 Version: 1:0.3.1-3 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 1780 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgadu3 (>= 1:1.8.0+r592), libgcc1 (>= 1:4.4.0), libidn11 (>= 1.13), libsqlite3-0 (>= 3.5.9), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4) Recommends: ekg2 Suggests: sms-pl Homepage: http://ekg2.org/ Priority: optional Section: net Filename: pool/main/e/ekg2/ekg2-core_0.3.1-3_armhf.deb Size: 611404 SHA256: 8f9b0120fe8154b5c9e71720e2dedded6198b75a22c7bab47946d24992f2b5f1 SHA1: 1ee81dedc3f0b949ae6d1b334b22d80901768ce4 MD5sum: 310bf195b4f07ee86a0727b3d0a51f33 Description: instant messenger and IRC client for UNIX systems - main program EKG2 is an open source instant messenger program for UNIX systems. The program has a plugin-based structure, and supports multiple protocols, currently Jabber, ICQ, Gadu-Gadu, IRC, RivChat, PolChat, NNTP and RSS. Also a generic filesystem-based communication mechanism called "xmsg" is supported. . This package contains the main program those plugins which do not have large dependencies. It does NOT contain the text or graphical user interface plugins. Package: ekg2-dbg Source: ekg2 Version: 1:0.3.1-3 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 3426 Depends: ekg2-core (= 1:0.3.1-3) | ekg2-remote (= 1:0.3.1-3), ekg2-core Homepage: http://ekg2.org/ Priority: extra Section: debug Filename: pool/main/e/ekg2/ekg2-dbg_0.3.1-3_armhf.deb Size: 1481998 SHA256: a86e90cc2e7c508d228a005b4d1340d8e7d6e7f32d6d784d29270d34d6d2d6c5 SHA1: f19d6fb92e639bb199535d63001450df97a999b2 MD5sum: de549f5f0852fd395104de17dc784855 Description: instant messenger and IRC client for UNIX systems - debugging symbols EKG2 is an open source instant messenger program for UNIX systems. The program has a plugin-based structure, and supports multiple protocols, currently Jabber, ICQ, Gadu-Gadu, IRC, RivChat, PolChat, NNTP and RSS. Also a generic filesystem-based communication mechanism called "xmsg" is supported. . This package contains the debugging symbols for executable code. Package: ekg2-gnupg Source: ekg2 Version: 1:0.3.1-3 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 62 Depends: ekg2-core (= 1:0.3.1-3), libc6 (>= 2.13-28), libgpg-error0 (>= 1.10), libgpgme11 (>= 1.2.0) Homepage: http://ekg2.org/ Priority: optional Section: net Filename: pool/main/e/ekg2/ekg2-gnupg_0.3.1-3_armhf.deb Size: 9322 SHA256: 3f21fe59a1ccb464b9107eebba60f20bbb45eb19fd877595dba32112c8222471 SHA1: c1d8467a5ea200b24ab338e790e9462171e116fb MD5sum: 893f6c9dbb57255a82ce2e1375907019 Description: instant messenger and IRC client for UNIX systems - GnuPG EKG2 is an open source instant messenger program for UNIX systems. The program has a plugin-based structure, and supports multiple protocols, currently Jabber, ICQ, Gadu-Gadu, IRC, RivChat, PolChat, NNTP and RSS. Also a generic filesystem-based communication mechanism called "xmsg" is supported. . This package contains the plugin which uses GnuPG to provide encrypted communication. Package: ekg2-jabber Source: ekg2 Version: 1:0.3.1-3 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 233 Depends: ekg2-core (= 1:0.3.1-3), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgnutls26 (>= 2.12.17-0), zlib1g (>= 1:1.1.4) Homepage: http://ekg2.org/ Priority: optional Section: net Filename: pool/main/e/ekg2/ekg2-jabber_0.3.1-3_armhf.deb Size: 85296 SHA256: 17fa1e2609695950d2a23aec4c2d21beabb78d106b82460e7f71e0b58a6aa89c SHA1: 36187bc99c78b65fa67318724a84248fb42fe9bf MD5sum: ff2ae084ed74b48ca7f257c8a4033bc8 Description: instant messenger and IRC client for UNIX systems - Jabber/XMPP EKG2 is an open source instant messenger program for UNIX systems. The program has a plugin-based structure, and supports multiple protocols, currently Jabber, ICQ, Gadu-Gadu, IRC, RivChat, PolChat, NNTP and RSS. Also a generic filesystem-based communication mechanism called "xmsg" is supported. . This package contains the plugin which lets you communicate on the Jabber or XMPP IM networks. Package: ekg2-remote Source: ekg2 Version: 1:0.3.1-3 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 143 Depends: ekg2-ui-ncurses (= 1:0.3.1-3) | ekg2-ui-gtk (= 1:0.3.1-3) | ekg2-core (= 1:0.3.1-3), libc6 (>= 2.13-28), libgnutls26 (>= 2.12.17-0) Homepage: http://ekg2.org/ Priority: optional Section: net Filename: pool/main/e/ekg2/ekg2-remote_0.3.1-3_armhf.deb Size: 50298 SHA256: 4a3d2e06b37ed48f17730305c9cf8f23c1419a492e88113453c9ae263a13b953 SHA1: 868f36916b888ae0f334794a3374c39596fb77b8 MD5sum: a297e12d84e06b77599ca72e9ccfae28 Description: instant messenger and IRC client for UNIX systems - remote interface EKG2 is an open source instant messenger program for UNIX systems. The program has a plugin-based structure, and supports multiple protocols, currently Jabber, ICQ, Gadu-Gadu, IRC, RivChat, PolChat, NNTP and RSS. Also a generic filesystem-based communication mechanism called "xmsg" is supported. . This package contains the remote control program, which lets you use an instance of the main ekg2 program which runs on a different machine. Package: ekg2-scripting-perl Source: ekg2 Version: 1:0.3.1-3 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 215 Depends: ekg2-core (= 1:0.3.1-3), libc6 (>= 2.13-28), libperl5.14 (>= 5.14.2), perl (>= 5.14.2-17+rpi1), perlapi-5.14.2 Homepage: http://ekg2.org/ Priority: optional Section: net Filename: pool/main/e/ekg2/ekg2-scripting-perl_0.3.1-3_armhf.deb Size: 61380 SHA256: c26b883ca416bda39bcf3b9a32634afd8c0876096222519385bcea667a97807c SHA1: a385bd0819db3e656a9becf382ac5e9dd6231051 MD5sum: df7adb237759c4e235ef82ad6fe93393 Description: instant messenger and IRC client for UNIX systems - Perl scripting EKG2 is an open source instant messenger program for UNIX systems. The program has a plugin-based structure, and supports multiple protocols, currently Jabber, ICQ, Gadu-Gadu, IRC, RivChat, PolChat, NNTP and RSS. Also a generic filesystem-based communication mechanism called "xmsg" is supported. . This package contains the plugin which embeds a Perl interpreter inside EKG2. Package: ekg2-scripting-python Source: ekg2 Version: 1:0.3.1-3 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 102 Depends: ekg2-core (= 1:0.3.1-3), libc6 (>= 2.13-28), libpython2.7 (>= 2.7), zlib1g (>= 1:1.1.4), python, python-central (>= 0.6.11) Homepage: http://ekg2.org/ Priority: optional Section: net Filename: pool/main/e/ekg2/ekg2-scripting-python_0.3.1-3_armhf.deb Size: 20514 SHA256: b365fa30d55c4f2fd9f23a874c0e552f388d79f049a34be30333fe439f8a424c SHA1: 24345d340a8d9c58b8f33497b1cca9baa20f044b MD5sum: 859f5cc0bad0823b8e2e34ad9a2dcfca Description: instant messenger and IRC client for UNIX systems - Python scripting EKG2 is an open source instant messenger program for UNIX systems. The program has a plugin-based structure, and supports multiple protocols, currently Jabber, ICQ, Gadu-Gadu, IRC, RivChat, PolChat, NNTP and RSS. Also a generic filesystem-based communication mechanism called "xmsg" is supported. . This package contains the plugin which embeds a Python interpreter inside EKG2. Python-Version: current Package: ekg2-ui-gtk Source: ekg2 Version: 1:0.3.1-3 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 199 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0) Recommends: ekg2-core (= 1:0.3.1-3) | ekg2-remote (= 1:0.3.1-3) Homepage: http://ekg2.org/ Priority: optional Section: net Filename: pool/main/e/ekg2/ekg2-ui-gtk_0.3.1-3_armhf.deb Size: 78902 SHA256: ca9e3d0893f963f8780844634f61e9c5b4f1342111dc1abfa1da1ee0b20fa784 SHA1: 7c180e54ea3161d0365006685b5a5e6ccae8ea7a MD5sum: 7c499be706d69d435b0e7edf0ec5cccd Description: instant messenger and IRC client for UNIX systems - GTK+ interface EKG2 is an open source instant messenger program for UNIX systems. The program has a plugin-based structure, and supports multiple protocols, currently Jabber, ICQ, Gadu-Gadu, IRC, RivChat, PolChat, NNTP and RSS. Also a generic filesystem-based communication mechanism called "xmsg" is supported. . This package contains the graphical GTK+ user interface plugin. Package: ekg2-ui-ncurses Source: ekg2 Version: 1:0.3.1-3 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 249 Depends: libaspell15 (>= 0.60.7~20110707), libc6 (>= 2.13-28), libgpm2 (>= 1.20.4), libncursesw5 (>= 5.6+20070908), libtinfo5 Recommends: ekg2-core (= 1:0.3.1-3) | ekg2-remote (= 1:0.3.1-3) Suggests: aspell-dictionary Homepage: http://ekg2.org/ Priority: optional Section: net Filename: pool/main/e/ekg2/ekg2-ui-ncurses_0.3.1-3_armhf.deb Size: 87362 SHA256: de95736c4515ef4e7f133a6a4185db7f5047355eba693710c83dc5c96e9e669e SHA1: b24137c924548b8c3de56ed9a25bbabbd9a93163 MD5sum: 00f8032ce4b6c9a5652bd2c7c3ef8fab Description: instant messenger and IRC client for UNIX systems - ncurses interface EKG2 is an open source instant messenger program for UNIX systems. The program has a plugin-based structure, and supports multiple protocols, currently Jabber, ICQ, Gadu-Gadu, IRC, RivChat, PolChat, NNTP and RSS. Also a generic filesystem-based communication mechanism called "xmsg" is supported. . This package contains the text-based ncurses user interface plugin. Package: ekg2-xosd Source: ekg2 Version: 1:0.3.1-3 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 59 Depends: ekg2-core (= 1:0.3.1-3), libc6 (>= 2.13-28), libx11-6, libxext6, libxinerama1, libxosd2 (>= 2.2.14) Homepage: http://ekg2.org/ Priority: optional Section: net Filename: pool/main/e/ekg2/ekg2-xosd_0.3.1-3_armhf.deb Size: 7610 SHA256: 97b07ab1db278484033c000ea4e2178f29cca57312f2d5c4e0b182ee4f48b64d SHA1: 9748a2c6b2a115bab9eae43dd0af6fa73284a44f MD5sum: 70ca34829c01ffd355455781f251a3a5 Description: instant messenger and IRC client for UNIX systems - X OSD EKG2 is an open source instant messenger program for UNIX systems. The program has a plugin-based structure, and supports multiple protocols, currently Jabber, ICQ, Gadu-Gadu, IRC, RivChat, PolChat, NNTP and RSS. Also a generic filesystem-based communication mechanism called "xmsg" is supported. . This package contains the plugin which uses X On-Screen-Display library to notify about events by showing them on an X Window display. Package: ekiga Version: 3.2.7-6 Architecture: armhf Maintainer: Kilian Krause Installed-Size: 17077 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libdbus-glib-1-2 (>= 0.88), libebook-1.2-13 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libldap-2.4-2 (>= 2.4.7), libnotify4 (>= 0.7.0), libopal3.10.4, libpango1.0-0 (>= 1.14.0), libpt2.10.4, libsasl2-2 (>= 2.1.24), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libx11-6, libxext6, libxml2 (>= 2.7.4), libxv1, gconf2 (>= 2.28.1-2), evolution-data-server Recommends: gvfs, yelp Suggests: siproxd, gnugk, mediaproxy, ser, rtpproxy, asterisk, yate Homepage: http://www.ekiga.org/ Priority: optional Section: gnome Filename: pool/main/e/ekiga/ekiga_3.2.7-6_armhf.deb Size: 9128908 SHA256: 2dcedbda68c80d1006db091eb0b0ee90c41ebe5899d873a0b6576d71553d87ee SHA1: 5210914c076892747689ce6e18859e5bd9678c4c MD5sum: e8aba4805a08bf5c94a32308e715784e Description: H.323 and SIP compatible VoIP client H.323 and SIP compatible videoconferencing and VoIP/IP-Telephony application that allows you to make audio and video calls to remote users with H.323 hardware or software (such as Microsoft Netmeeting) as well as SIP endpoints. . It supports all modern videoconferencing features, such as contact roster, presence status, high-quality audio and video codecs, various video resolutions, registering to an LDAP directory, gatekeeper support, making multi-user conference calls using an external MCU, using modern Quicknet telephony cards, and making PC-To-Phone calls. Package: ekiga-dbg Source: ekiga Version: 3.2.7-6 Architecture: armhf Maintainer: Kilian Krause Installed-Size: 26946 Depends: ekiga (= 3.2.7-6), libpt-dbg, libopal-dbg Suggests: libc6-dbg Homepage: http://www.ekiga.org/ Priority: extra Section: debug Filename: pool/main/e/ekiga/ekiga-dbg_3.2.7-6_armhf.deb Size: 9913832 SHA256: da855767234ff9d55f74cd53bea713b0328f554098e2a80f42ea63b35103264c SHA1: c5b21788cff418f26ab8070983060b7257e3496a MD5sum: d9d103b1b7ce6fd8b644b0d350077575 Description: H.323 and SIP compatible VoIP client - debug symbols H.323 and SIP compatible videoconferencing and VoIP/IP-Telephony application that allows you to make audio and video calls to remote users with H.323 hardware or software (such as Microsoft Netmeeting) as well as SIP endpoints. . This package contains the debugging symbols for Ekiga. Package: el-get Version: 3.1-1 Installed-Size: 348 Maintainer: Julien Danjou Architecture: all Depends: emacs23 | emacsen Size: 96002 SHA256: 65681eb331fa7e048e8ee490cf763f24b32859e25c556252bb5d2977d2961c39 SHA1: 05c8bbdf5c3ff0aa903c282e07bbd52ba2c89ce1 MD5sum: 6c2a6d7a6954e4a2a9a2d7dd554f74d0 Description: install and manage elisp code for Emacs Allows you to install and manage elisp code for Emacs. It supports lots of differents types of sources and is able to 'install' them, 'update' them and 'remove' them, but more importantly it will 'init' them for you. . That means it will care about requiring the 'features' you need, loading the files, setting the 'Info' paths so that C-h i shows the new documentation you now depend on, and finally call your own :after function for you to setup the extension. Homepage: http://github.com/dimitri/el-get/ Section: editors Priority: extra Filename: pool/main/e/el-get/el-get_3.1-1_all.deb Package: elastix Version: 4.5-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 31034 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdcm2.2, libinsighttoolkit3.20, libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), zlib1g (>= 1:1.1.4) Suggests: elastix-doc Homepage: http://elastix.isi.uu.nl/ Priority: optional Section: science Filename: pool/main/e/elastix/elastix_4.5-2_armhf.deb Size: 8298502 SHA256: efe4b340505a06f23962c1a2eae55e1ee8e9d6fbbd5efc9497dffe8799ae41b1 SHA1: 139beba8a9e9df1006d8d43678b7c733c0fc56fe MD5sum: 0c1b6935b3650fa35e2703c8ae2b7ed6 Description: toolbox for rigid and nonrigid registration of images Image registration based on the well-known Insight Segmentation and Registration Toolkit (ITK). The software consists of a collection of algorithms that are commonly used to solve (medical) image registration problems. The modular design of elastix allows the user to quickly configure, test, and compare different registration methods for a specific application. A command-line interface enables automated processing of large numbers of data sets, by means of scripting. Package: elastix-doc Source: elastix Version: 4.5-2 Installed-Size: 64181 Maintainer: Debian Med Packaging Team Architecture: all Size: 34529154 SHA256: 6c7702b3dbed4973b957f9741d584008a48b1608f11d8d29f52d6b098ff88240 SHA1: 0690daca546fa0b82efc4b4629582169dfe56337 MD5sum: 721f9b1bbbfdb53212f59360d1ac905d Description: toolbox for rigid and nonrigid registration of images - docs Image registration based on the well-known Insight Segmentation and Registration Toolkit (ITK). The software consists of a collection of algorithms that are commonly used to solve (medical) image registration problems. The modular design of elastix allows the user to quickly configure, test, and compare different registration methods for a specific application. A command-line interface enables automated processing of large numbers of data sets, by means of scripting. Homepage: http://elastix.isi.uu.nl/ Tag: role::documentation Section: doc Priority: optional Filename: pool/main/e/elastix/elastix-doc_4.5-2_all.deb Package: eldav Version: 0.8.1-5 Installed-Size: 60 Maintainer: TANIGUCHI Takaki Architecture: all Depends: emacs23 | emacsen, apel (>= 10.4+cvs.2003.05.29-1), nd (>= 0.7.0) Size: 22514 SHA256: 855c18648800f9fbba2b3477d09c5c20e124c586c92cb4c5ae80a776b1c615e6 SHA1: bf98fb7c12145707b437f053cab74fd56fe6b29d MD5sum: 6a157784777028506105691bb2a4b3f5 Description: interface to the WebDAV servers for Emacs. WebDAV files can be treated just like a normal file in Emacsen. Emacs/w3 is not required. External program is used for WebDAV access. Tag: implemented-in::lisp, network::client, protocol::webdav, role::plugin, role::program, suite::emacs, use::editing Section: net Priority: optional Filename: pool/main/e/eldav/eldav_0.8.1-5_all.deb Package: electric Version: 8.10-2 Installed-Size: 11860 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre, bsh, java-wrappers Recommends: libjava3d-java Size: 11235316 SHA256: ffbd0aea051af0b851480bdd65380e948aee3f71b8b235981d143a7ea8d2497e SHA1: ea8345de0ebf844eccb11d5e01697dc02ce4ff57 MD5sum: cb1e74569d8f0ad214fa2f9a1cd877bd Description: electrical CAD system Electric is a sophisticated electrical CAD system that can handle many forms of circuit design, including custom IC layout (ASICs), schematic drawing, hardware description language specifications, and electro-mechanical hybrid layout. Homepage: http://www.staticfreesoft.com/productsFree.html Tag: field::electronics, interface::x11, role::program, scope::application, suite::gnu, uitoolkit::motif, use::editing, x11::application Section: electronics Priority: optional Filename: pool/main/e/electric/electric_8.10-2_all.deb Package: electric-fence Version: 2.2.4 Architecture: armhf Maintainer: Matthew Vernon Installed-Size: 81 Depends: libc6 (>= 2.13-28) Priority: extra Section: devel Filename: pool/main/e/electric-fence/electric-fence_2.2.4_armhf.deb Size: 21604 SHA256: 16ad89e54367c52fcbe9f92d93b7f6715c6983e7acb0de92415b58b9f31e2d8c SHA1: a7dc0eb33c0193d42759744e0838f868289dbf60 MD5sum: 86334b28cd3b4bb62858b3e337dbc047 Description: A malloc(3) debugger Electric Fence is a debugger that uses virtual memory hardware to detect illegal memory accesses. It can detect two common programming bugs: software that overruns or underruns the boundaries of a malloc() memory allocation, and software that touches a memory allocation that has been released by free(). . Unlike other malloc() debuggers, Electric Fence will detect read accesses as well as writes, and it will stop and pinpoint the exact instruction that causes an error. It is not as thorough as Purify, however. . In order to debug a program it needs to be linked with Electric Fence's library or dynamic linking needs to be used; README.Debian explains that in detail. Package: electricsheep Version: 2.7~b12+svn20091224-1.1 Architecture: armhf Maintainer: Roberto C. Sanchez Installed-Size: 279 Depends: mplayer, flam3 (>= 2.7.19), debconf | debconf-2.0, curl, xloadimage, libjpeg-progs, libatk1.0-0 (>= 1.12.4), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4), gconf2 (>= 2.28.1-2) Homepage: http://www.electricsheep.org Priority: optional Section: x11 Filename: pool/main/e/electricsheep/electricsheep_2.7~b12+svn20091224-1.1_armhf.deb Size: 85130 SHA256: f2f6a85d76cf2414c3800bd7cea9cacd6370c5a791b29bd0225b79ac493aee34 SHA1: 2fcc813b7979293c933ff944a5b5dcd5ca829a40 MD5sum: b78b2f14ef212d5d7f9b24b6e06ad5e3 Description: screensaver showing collective dream of sleeping computers Electric sheep is the collective dream of sleeping computers from all over the internet. Less poetically, it is an Internet server and xscreensaver module that displays MPEG video of an animated fractal flame. In the background, it contributes render cycles to the next animation. Periodically, it uploads completed frames to the server, where they are compressed for distribution to all clients. Package: eleeye Source: gmchess Version: 0.29.6-2 Architecture: armhf Maintainer: Debian Chinese Team Installed-Size: 113 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1), libeval0 Replaces: gmchess (<< 0.20.3-2) Homepage: http://code.google.com/p/gmchess/ Priority: optional Section: games Filename: pool/main/g/gmchess/eleeye_0.29.6-2_armhf.deb Size: 44048 SHA256: 9e0290d2dd747904bd1760b58cc05254811e7b386949f5aa209406f9aaced9fb SHA1: 8b6ec1b6a785d2baccd4d863e90fc944fc74b390 MD5sum: b471b5fc1909e005bfa3b44f23febb18 Description: Chinese chess (Xiangqi) engine elephant eye (eleeye) is a Chinese chess (Xiangqi) engine providing a set of functions for developing Xiangqi games using the Universal Chess Interface, which is an open communication protocol that enables a chess program engine to communicate with its user interface. . Chinese chess (Xiangqi) is one of the most popular chess games to have originated in China. Package: elektra-bin Source: elektra Version: 0.7.1-1 Architecture: armhf Maintainer: Pino Toscano Installed-Size: 92 Depends: libc6 (>= 2.13-28), libelektra3 (>= 0.7.0), libltdl7 (>= 2.4.2) Conflicts: kernel-patch-kdb Homepage: http://www.libelektra.org/ Priority: optional Section: misc Filename: pool/main/e/elektra/elektra-bin_0.7.1-1_armhf.deb Size: 40802 SHA256: 983942fa86d8697fea2bba887fc4419b452baad0b154647b825aafdbdf736d0e SHA1: 22d833d9feb1f6fe6e0269a6eb91907d030d7344 MD5sum: 605b21d77805741fbd8a3bd85c93e143 Description: elektra configuration store, binaries Elektra provides a universal and secure framework to store configuration parameters in a hierarchical key-value pair mechanism, instead of each program using its own text configuration files. This allows any program to read and save its configuration with a consistent API, and allows them to be aware of other applications' configurations, permitting easy application integration. While architecturally similar to other OS registries, Elektra does not have most of the problems found in those implementations. . This package contains command line utilities for Elektra. Package: elektra-doc Source: elektra Version: 0.7.1-1 Installed-Size: 784 Maintainer: Pino Toscano Architecture: all Size: 179378 SHA256: 8d4a49d6dd2a5de882e6804c146f28c8d7d99319b9213dee983e5d73b6ae8a44 SHA1: c9ba24e33245b58fcabe959657c6ebe8720f952d MD5sum: 77e8d16b69b7153f0766d44d9f3672c4 Description: elektra configuration store, API documentation Elektra provides a universal and secure framework to store configuration parameters in a hierarchical key-value pair mechanism, instead of each program using its own text configuration files. This allows any program to read and save its configuration with a consistent API, and allows them to be aware of other applications' configurations, permitting easy application integration. While architecturally similar to other OS registries, Elektra does not have most of the problems found in those implementations. . This package contains the API documentation for the Elektra libraries. Homepage: http://www.libelektra.org/ Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/e/elektra/elektra-doc_0.7.1-1_all.deb Package: elfrc Version: 0.7-1 Architecture: armhf Maintainer: Krzysztof Burghardt Installed-Size: 55 Depends: libc6 (>= 2.4) Homepage: http://ktown.kde.org/~frerich/elfrc.html Priority: optional Section: utils Filename: pool/main/e/elfrc/elfrc_0.7-1_armhf.deb Size: 11110 SHA256: 56c50aa5202786b99fc0482760c28f471886b3121cf0e457b6e05ac331a156a7 SHA1: d182139c7fcbb68f43808bb644ee4529e0f86581 MD5sum: 737bcb79893343a9ea4289cedecffb81 Description: convert arbitrary files into elf objects elfrc is a program which can turn arbitrary files into ELF object files which can then be linked into your program directly and accessed via simple, user-defined symbol names. . For instance, it's possible to embed even huge (16MB+) files directly into the executable and then access the data in constant time without making the compiler or linker eat loads of memory. Package: elfutils Version: 0.152-1+wheezy1 Architecture: armhf Maintainer: Kurt Roeckx Installed-Size: 689 Depends: libasm1 (>= 0.132), libc6 (>= 2.13-28), libdw1 (= 0.152-1+wheezy1), libelf1 (>= 0.144), libgcc1 (>= 1:4.4.0) Homepage: https://fedorahosted.org/elfutils/ Priority: optional Section: utils Filename: pool/main/e/elfutils/elfutils_0.152-1+wheezy1_armhf.deb Size: 321966 SHA256: 742dc11513333caa542329e48baedaa27f38947412bbb5e3fc62ae31bf033a21 SHA1: bee7d286c6984f5d730b4c47b1d25832cf61ea40 MD5sum: 17a3153fed0e3c7987b778bd7d160bd6 Description: collection of utilities to handle ELF objects Elfutils is a collection of utilities, including eu-ld (a linker), eu-nm (for listing symbols from object files), eu-size (for listing the section sizes of an object or archive file), eu-strip (for discarding symbols), eu-readelf (to see the raw ELF file structures), and eu-elflint (to check for well-formed ELF files). Package: elib Version: 1.0-11.1+deb7u1 Installed-Size: 319 Maintainer: Joerg Jaspert Architecture: all Depends: emacs21 | emacsen, make Size: 100218 SHA256: e57ef9e6e50ce3e02385901f9a82cff89b17164df0c58377e393142e6245b2dd SHA1: b9ebf6a3d1fee498c8aa57ae407b222ae8de4dba MD5sum: be92ce7ca46f57f8d67d2536bc1d4308 Description: Library of commonly-used Emacs functions Elib is designed to be for Elisp programs what libg++ is for C++ programs: a collection of useful routines which don't have to be reinvented each time a new program is written. . Elib contains code for: - container data structures (queues, stacks, AVL trees, etc) - string handling functions missing in standard emacs - minibuffer handling functions missing in standard emacs - routines for handling lists of so called cookies in a buffer. Tag: devel::examples, devel::lang:lisp, devel::library, implemented-in::lisp, role::devel-lib, suite::emacs, suite::gnu, use::editing Section: lisp Priority: optional Filename: pool/main/e/elib/elib_1.0-11.1+deb7u1_all.deb Package: elida Version: 0.4+nmu1 Installed-Size: 116 Maintainer: Anibal Monsalve Salazar Architecture: all Depends: bzip2, devscripts, diffstat, lintian, mpack, patchutils, pbuilder, piuparts, sudo Size: 8522 SHA256: 7fda366abfa0cff61a03bca6041a8483f046af1a14f0dd0b3ed52a09818a6724 SHA1: 4f53201ceda7a7cd649a19fd23a9221849bf057c MD5sum: 186c81f38763ce6069248761cf4d6738 Description: pbuilder mail interface elida accepts the URL of a .dsc file from the subject of a email message and downloads the rest of the files of the corresponding Debian source package. If there is a previous version in Debian, a diff between the old and new source packages is reported. It then runs pbuilder, piuparts, and lintian. The reports are compressed and emailed back to the user. Section: devel Priority: optional Filename: pool/main/e/elida/elida_0.4+nmu1_all.deb Package: elinks Version: 0.12~pre5-9 Architecture: armhf Maintainer: Y Giridhar Appaji Nag Installed-Size: 1246 Depends: libbz2-1.0, libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libexpat1 (>= 2.0.1), libfsplib0 (>= 0.9), libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0), libgpm2 (>= 1.20.4), libgssapi-krb5-2 (>= 1.10+dfsg~), libidn11 (>= 1.13), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libperl5.14 (>= 5.14.2), libtre5, zlib1g (>= 1:1.1.4), elinks-data (= 0.12~pre5-9) Suggests: elinks-doc Conflicts: elinks-lite Provides: www-browser Homepage: http://elinks.cz/ Priority: optional Section: web Filename: pool/main/e/elinks/elinks_0.12~pre5-9_armhf.deb Size: 558244 SHA256: f3ea2c9078edbf20c523f423afda7561cc144590590f9061b4e142995c6ea35b SHA1: c75c7b6c94c73c4ddb3ed398b9fa208175070fce MD5sum: 8b0242e8abd3845f5fb69c063510ff30 Description: advanced text-mode WWW browser ELinks is a feature-rich program for browsing the web in text mode. It is like enhanced Lynx and Links. The most noteworthy features of ELinks are: . * Lots of protocols (local files, finger, HTTP(S), FTP, IPv4/6 etc.) * Internationalized domain names * Persistent cookies, HTTP authentication and proxy authentication * Tabbed browsing, good looking menus and dialogs, and key-binding manager * History browsing and typeahead searches * Forms history and completion, and history in commonly used input dialogs * CSS support and support for browser scripting (Perl, Lua, Guile etc.) * Tables and frames rendering, and configurable color support * Compressed and background (non-blocking) downloads, and download resuming Package: elinks-data Source: elinks Version: 0.12~pre5-9 Installed-Size: 1729 Maintainer: Y Giridhar Appaji Nag Architecture: all Replaces: elinks (<< 0.11.3-1) Recommends: elinks (= 0.12~pre5-9) Conflicts: elinks (<< 0.11.3-1), elinks-lite Size: 615952 SHA256: 735280de37d79187dd942cf6a662114d83e7d97dadebf86cab223ea94f4837fe SHA1: e07d3ace1c8ef3df2d03fdf4f8f0ff4dca79369e MD5sum: 244d43db0b16b33ce266000d4fe2c96a Description: advanced text-mode WWW browser - data files ELinks is a feature-rich program for browsing the web in text mode. It is like enhanced Lynx and Links. The most noteworthy features of ELinks are: . * Lots of protocols (local files, finger, HTTP(S), FTP, IPv4/6 etc.) * Internationalized domain names * Persistent cookies, HTTP authentication and proxy authentication * Tabbed browsing, good looking menus and dialogs, and key-binding manager * History browsing and typeahead searches * Forms history and completion, and history in commonly used input dialogs * CSS support and support for browser scripting (Perl, Lua, Guile etc.) * Tables and frames rendering, and configurable color support * Compressed and background (non-blocking) downloads, and download resuming . This package contains the data files that are necessary to use ELinks. Homepage: http://elinks.cz/ Tag: role::app-data Section: web Priority: optional Filename: pool/main/e/elinks/elinks-data_0.12~pre5-9_all.deb Package: elinks-doc Source: elinks Version: 0.12~pre5-9 Installed-Size: 1259 Maintainer: Y Giridhar Appaji Nag Architecture: all Suggests: elinks Conflicts: elinks (<< 0.11.3-1), elinks-lite (<< 0.11.3-1) Size: 624998 SHA256: 216909bf6f3e92e007945e7e51b56dc0b86eaf058b8439106257c23fc95fce39 SHA1: 2340da09be0156b1439f5859178925c6a3310c04 MD5sum: 6bffca1b7f17517ee4cee3284b517a74 Description: advanced text-mode WWW browser - documentation ELinks is a feature-rich program for browsing the web in text mode. It is like enhanced Lynx and Links. The most noteworthy features of ELinks are: . * Lots of protocols (local files, finger, HTTP(S), FTP, IPv4/6 etc.) * Internationalized domain names * Persistent cookies, HTTP authentication and proxy authentication * Tabbed browsing, good looking menus and dialogs, and key-binding manager * History browsing and typeahead searches * Forms history and completion, and history in commonly used input dialogs * CSS support and support for browser scripting (Perl, Lua, Guile etc.) * Tables and frames rendering, and configurable color support * Compressed and background (non-blocking) downloads, and download resuming . This package contains the documentation and manuals for ELinks. Homepage: http://elinks.cz/ Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/e/elinks/elinks-doc_0.12~pre5-9_all.deb Package: elinks-lite Source: elinks Version: 0.12~pre5-9 Architecture: armhf Maintainer: Y Giridhar Appaji Nag Installed-Size: 831 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0) Suggests: elinks-doc Conflicts: elinks Provides: www-browser Homepage: http://elinks.cz/ Priority: extra Section: web Filename: pool/main/e/elinks/elinks-lite_0.12~pre5-9_armhf.deb Size: 390496 SHA256: a5b26572e33a2434ba0a1040083830b53f57a133acae032b8094b00225864447 SHA1: 6a1a99b693f3f1b3a13b963d32070f41c7b7f8e2 MD5sum: 3530b490ef72e6bf4b5033c7359fe072 Description: advanced text-mode WWW browser - lightweight version ELinks is a feature-rich program for browsing the web in text mode. It is like enhanced Lynx and Links. The most noteworthy features of ELinks are: . * Lots of protocols (local files, finger, HTTP(S), FTP, IPv4/6 etc.) * Persistent cookies, HTTP authentication and proxy authentication * Tabbed browsing, good looking menus and dialogs, and key-binding manager * History browsing and typeahead searches * Tables and frames rendering, and configurable color support * Compressed and background (non-blocking) downloads, and download resuming . This lite version contains no scripting or extra features but doesn't depend on other libraries either, so it is useful for small systems. Package: elisa Source: moovida Version: 1.0.9+bzr1614-1.1 Installed-Size: 92 Maintainer: Maintainers of GStreamer packages Architecture: all Depends: moovida, python-moovida (= 1.0.9+bzr1614-1.1), moovida-plugins-good, moovida-plugins-bad Recommends: moovida-plugins-ugly Size: 43938 SHA256: 78a0e1557455dace663df309a6eea2252e88117a9ea6db71fbd7175a075dc155 SHA1: c9180a588b99e5d2561053367afa0ad808a9758e MD5sum: a46d202d4f26242d2a849c534105d034 Description: The Elisa media center application (transitional package) Moovida is much more than a simple media player... it is a cutting edge media center bringing the best of the internet to your TV screen. Automatically creating your own digital library you can browse from your sofa with a remote control. The elegant and easy to use interface automatically displays artwork and fan art throughtout and gives you access to movie synopsis and artist info. . This is a dummy transitional package to ensure clean upgrades from old releases (the package elisa is replaced by moovida). If nothing depends on it, this package can be safely removed after upgrade. Tag: implemented-in::python, interface::x11, role::dummy, role::program, uitoolkit::gtk, use::playing, works-with-format::oggtheora, works-with-format::oggvorbis, works-with::audio, works-with::video, x11::application Section: video Priority: optional Filename: pool/main/m/moovida/elisa_1.0.9+bzr1614-1.1_all.deb Package: elk Version: 3.99.8-2 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 1094 Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libelk0, libgcc1 (>= 1:4.4.0), libgdbm3 (>= 1.8.3), libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.1.1), libx11-6, libxaw7, libxext6, libxmu6, libxt6 Conflicts: pact (<= 980714-1) Priority: optional Section: interpreters Filename: pool/main/e/elk/elk_3.99.8-2_armhf.deb Size: 368724 SHA256: 474c0dde36194182cf5de65d1d591c818375781d18131b95c83d5ce68d746227 SHA1: 8068e84b5b1d26f1a077c0529cb6728336fbce2a MD5sum: 355fc1b16c786cb8af2bd2f699f3e4dc Description: scheme interpreter Elk is an implementation of the Scheme programming language, designed specifically as an embeddable, reusable extension language subsystem for applications written in C or C++. . This package contains the Elk Scheme interpreter, as well as several plugins shipped with Elk. They provide hooks for Unix system calls, the X Window System, as well as the X Athena Widgets and the Motif toolkits. Example scripts on how to use these plugins are provided in the elkdoc package. Package: elkdoc Source: elk Version: 3.99.8-2 Installed-Size: 764 Maintainer: Sam Hocevar Architecture: all Size: 428128 SHA256: 79c6feaf0a79b6a6536045e91a878fa666577e9e2c6bae89f53d6afbe947f998 SHA1: e30068adb96c6609efb79ca8a5cb10c791d26c04 MD5sum: 288e69675ed9d308a5202d3b9346e400 Description: documentation for the Extension Language Kit Elk is an implementation of the Scheme programming language, designed specifically as an embeddable, reusable extension language subsystem for applications written in C or C++. . This package contains the Elk documentation. Tag: devel::doc, devel::examples, devel::lang:scheme, made-of::postscript, role::documentation Section: doc Priority: optional Filename: pool/main/e/elk/elkdoc_3.99.8-2_all.deb Package: elki Version: 0.5.0-1 Installed-Size: 5485 Maintainer: Erich Schubert Architecture: all Depends: openjdk-7-jre | java6-runtime, fop, libtrove3-java, java-wrappers Suggests: openjdk7-jdk | java6-sdk Size: 4840184 SHA256: 8cb7a6da22e2673ec9d1929eee32507fd2b688ad731fc9c545b5e957833bd605 SHA1: 7c3551c27495e934c33e0b0ac3244033aeafeb0c MD5sum: 6d87c2d1176c8fb3d7c831ac4748b9aa Description: Data mining algorithm development framework ELKI: "Environment for Developing KDD-Applications Supported by Index-Structures" is a development framework for data mining algorithms written in Java. It includes a large variety of popular data mining algorithms, distance functions and index structures. . Its focus is particularly on clustering and outlier detection methods, in contrast to many other data mining toolkits that focus on classification. Additionally, it includes support for index structures to improve algorithm performance such as R*-Tree and M-Tree. . The modular architecture is meant to allow adding custom components such as distance functions or algorithms, while being able to reuse the other parts for evaluation. . This package also includes the source code, since this software is meant for the rapid development of such algorithms, not so much for end users. Homepage: http://elki.dbs.ifi.lmu.de/ Tag: devel::lang:java, devel::library, field::statistics, implemented-in::java, interface::commandline, interface::x11, role::devel-lib, role::program, role::source, science::calculation, science::visualisation, scope::utility, uitoolkit::gtk, use::analysing, works-with-format::TODO, works-with-format::svg, works-with::db, x11::application Section: science Priority: extra Filename: pool/main/e/elki/elki_0.5.0-1_all.deb Package: elks-libc Source: linux86 Version: 0.16.17-3.1 Installed-Size: 1052 Maintainer: Juan Cespedes Architecture: all Replaces: bcc (<< 0.14.9), linux86 Recommends: bcc (= 0.16.17-3.1) Conflicts: linux86 Size: 231008 SHA256: b318f5ef527c86515b574353286afdeeab2f51ee928ea29c2a9382d438b735ea SHA1: 9a3c41dafb20c03aeffffbc012249b643147e1ca MD5sum: d852c93eb11db83beaaabb188b1eb310 Description: 16-bit x86 C library and include files This is the C library used to compile with bcc. It includes all the headers and static libraries needed to build 16-bit applications, for Linux/8086, Linux/i386 and DOS .COM executables. Tag: devel::lang:c, devel::lang:scheme, devel::library, devel::machinecode, role::devel-lib Section: devel Priority: optional Filename: pool/main/l/linux86/elks-libc_0.16.17-3.1_all.deb Package: elmer Source: elmerfem Version: 6.1.0.svn.5396.dfsg2-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 4439 Depends: elmer-common (= 6.1.0.svn.5396.dfsg2-2), libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libelmersolver-6.1, libfreetype6 (>= 2.2.1), libftgl2 (>= 2.1.3~rc5), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libscotch-5.1, libstdc++6 (>= 4.6), libtinfo5, libx11-6, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), zlib1g (>= 1:1.1.4), mpi-default-bin Suggests: elmer-doc Conflicts: elmer-common (<< 5.5.0.svn.4499.dfsg-1) Homepage: http://www.csc.fi/elmer/ Priority: extra Section: science Filename: pool/main/e/elmerfem/elmer_6.1.0.svn.5396.dfsg2-2_armhf.deb Size: 1276942 SHA256: eb473dc0c130c6ad14dd7b7a8eff86951714a00d387f445007bcc422e5438967 SHA1: d43bc4d408e2fc4010ee24ac5eead5ecd96cd323 MD5sum: 06f9789ef9c4a61f80da7eb4abb41f9f Description: finite element multiphysics suite - binaries The Elmer multiphysics simulation package includes physical models of fluid dynamics, structural mechanics, electromagnetics, heat transfer, and acoustics, among others. These are described by partial differential equations which Elmer solves by the Finite Element Method (FEM). . Elmer uses METIS (or its free counterpart Scotch) for mesh partitioning, and (P)ARPACK, UMFPACK, BLAS/LAPACK, and hypre to solve the sparse linear systems resulting from FEM discretization. It includes pre- and post-processors, and several examples illustrating simulation of various physical phenomena. . Elmer's lead institution is CSC in collaboration with Finnish universities, research institutes, and industry. . This package provides the Elmer binaries, including the solver (single- and multi-processor versions), GUI, and post-processor. Package: elmer-common Source: elmerfem Version: 6.1.0.svn.5396.dfsg2-2 Installed-Size: 3715 Maintainer: Debian Science Maintainers Architecture: all Depends: ttf-freefont Recommends: elmer (>= 6.1.0.svn.5396.dfsg2-2) Size: 1500932 SHA256: 9ad5eeec270d51ba97619a061184a2c052c80432eaaf9b05859f8f9e06cb9213 SHA1: 3687c6b1a7c10fb36150bd21aa7fd85fc3e9eaaa MD5sum: d0a5e24f43c9a920e6847cc2ead73ff5 Description: finite element multiphysics suite - architecture-independent files The Elmer multiphysics simulation package includes physical models of fluid dynamics, structural mechanics, electromagnetics, heat transfer, and acoustics, among others. These are described by partial differential equations which Elmer solves by the Finite Element Method (FEM). . Elmer uses METIS (or its free counterpart Scotch) for mesh partitioning, and (P)ARPACK, UMFPACK, BLAS/LAPACK, and hypre to solve the sparse linear systems resulting from FEM discretization. It includes pre- and post-processors, and several examples illustrating simulation of various physical phenomena. . Elmer's lead institution is CSC in collaboration with Finnish universities, research institutes, and industry. . This package provides the architecture-independent files for Elmer. Homepage: http://www.csc.fi/elmer/ Tag: role::app-data Section: science Priority: extra Filename: pool/main/e/elmerfem/elmer-common_6.1.0.svn.5396.dfsg2-2_all.deb Package: elmer-dbg Source: elmerfem Version: 6.1.0.svn.5396.dfsg2-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1602 Depends: elmer (= 6.1.0.svn.5396.dfsg2-2) Homepage: http://www.csc.fi/elmer/ Priority: extra Section: debug Filename: pool/main/e/elmerfem/elmer-dbg_6.1.0.svn.5396.dfsg2-2_armhf.deb Size: 374164 SHA256: e2d0e584d8098e6b52c76e47799d5db2c6b90bbfb6f85f75d3201399e7e19410 SHA1: d7782088e17c0300733299be6b6bf2d4f016286b MD5sum: defd52b1582e6cdffb24554113ffc240 Description: finite element multiphysics suite - debugging symbols The Elmer multiphysics simulation package includes physical models of fluid dynamics, structural mechanics, electromagnetics, heat transfer, and acoustics, among others. These are described by partial differential equations which Elmer solves by the Finite Element Method (FEM). . Elmer uses METIS (or its free counterpart Scotch) for mesh partitioning, and (P)ARPACK, UMFPACK, BLAS/LAPACK, and hypre to solve the sparse linear systems resulting from FEM discretization. It includes pre- and post-processors, and several examples illustrating simulation of various physical phenomena. . Elmer's lead institution is CSC in collaboration with Finnish universities, research institutes, and industry. . This package provides the debugging symbols for binaries in the elmer package. Package: elscreen Version: 1.4.6-5 Installed-Size: 199 Maintainer: Masayuki Hatta (mhatta) Architecture: all Depends: apel Suggests: speedbar, w3m-el Size: 42248 SHA256: bfc2bcff7b907ceb51b86c352b6a58bff321fed12c17ea1a263c33ab3c22274b SHA1: 64540f7872061586940db6a18cddc6f2028ad908 MD5sum: b2a9b29465a2e05c81980bbe665441c6 Description: Screen for Emacsen This Emacs Lisp enables you to have multiple screens (window-configuration) on your Emacsen, just like GNU screen does on the shell environment. Homepage: http://www.morishima.net/~naoto/software/elscreen/index.php.en Tag: implemented-in::lisp, interface::commandline, role::plugin, suite::emacs, use::editing Section: utils Priority: optional Filename: pool/main/e/elscreen/elscreen_1.4.6-5_all.deb Package: elserv Version: 0.4.0+0.20011203cvs-17.1 Installed-Size: 516 Maintainer: Junichi Uekawa Architecture: all Depends: emacs23 | emacsen, flim, ruby Suggests: emacs-wiki, mhc Size: 82166 SHA256: 146c22c09238d309bd62b82781c1e8135f5d9397be24f012ceab4e099d0dbae0 SHA1: 550a3626aeb1a6bd0e5f38cc247a2dd9b4fce13c MD5sum: 7ba88049cc6e2e8752bd549c045764a1 Description: HTTP server that runs on Emacsen Elserv is an HTTP server which runs on Emacs, as a background process. Since Emacs does not support server sockets, Elserv runs dedicated server process (ruby script) as a backend process and communicate with it to provide a server feature on Emacs. Tag: implemented-in::lisp, implemented-in::ruby, interface::daemon, network::server, protocol::http, role::plugin, role::program, suite::emacs, use::editing, web::server Section: web Priority: optional Filename: pool/main/e/elserv/elserv_0.4.0+0.20011203cvs-17.1_all.deb Package: elvis Version: 2.2.0-11.1 Architecture: armhf Maintainer: Kapil Hari Paranjape Installed-Size: 660 Depends: elvis-common (= 2.2.0-11.1), libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5, libx11-6 Suggests: elvis-tools Conflicts: elvis-console Provides: editor, man-browser, www-browser Homepage: http://elvis.vi-editor.org Priority: optional Section: editors Filename: pool/main/e/elvis/elvis_2.2.0-11.1_armhf.deb Size: 320362 SHA256: fcac927a6c1068ddae34aa4743c388489623109645544936f5a5a1b2199810ba SHA1: 8551c5c0ed1e34e2e2faae392742a5a94f3df4f9 MD5sum: 0e741b6c0003fb60b73078ae78a0a9cd Description: powerful clone of the vi/ex text editor (with X11 support) This package contains Elvis compiled with support for X11, Xft and background images. If you don't need the X11 interface, install elvis-console instead. See also: elvis-tools . Elvis is a clone of vi/ex, the standard UNIX editor. It supports nearly all of the vi/ex commands, in both visual mode and ex mode. Elvis adds support for multiple files, multiple windows, a variety of display modes (including syntax highlighting, man, tex, html and hex), an optional X11 user interface, on-line help, folding, spell checking, new options, auto commands, regions, enhanced tags, printing, aliases and an improved :map command. . Like vi/ex, Elvis stores most of the text in a temporary file, instead of RAM. This allows it to edit files that are too large to fit in a single process' data space. Also, the edit buffer can survive a power failure or crash. Package: elvis-common Source: elvis Version: 2.2.0-11.1 Installed-Size: 3040 Maintainer: Kapil Hari Paranjape Architecture: all Conflicts: elvis (<< 2.2.0-2) Size: 677568 SHA256: 731337b435c075d2c36323b78e896fa5e3acc0d8be628687d3485c505ee2750c SHA1: c57011a43f47430f2d16340bbe63e47081dc68b8 MD5sum: a7718993b8c05712318fe5ac86327464 Description: common files for elvis, elvis-console and elvis-tools This package provides the common files (manual, ex scripts, digraph files, etc.) that are needed by the packages elvis, elvis-console and elvis-tools. Homepage: http://elvis.vi-editor.org Tag: devel::editor, interface::text-mode, role::app-data, uitoolkit::ncurses, use::editing, works-with::text Section: editors Priority: optional Filename: pool/main/e/elvis/elvis-common_2.2.0-11.1_all.deb Package: elvis-console Source: elvis Version: 2.2.0-11.1 Architecture: armhf Maintainer: Kapil Hari Paranjape Installed-Size: 594 Depends: elvis-common (= 2.2.0-11.1), libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Suggests: elvis-tools Conflicts: elvis Provides: editor, man-browser, www-browser Homepage: http://elvis.vi-editor.org Priority: extra Section: editors Filename: pool/main/e/elvis/elvis-console_2.2.0-11.1_armhf.deb Size: 284132 SHA256: 8cc4f56da0921d6d2556fb42d622beb39b3571a59f336d2bcf7ad42d32e3729b SHA1: c06962c9975c5de5adce6e2965f601997a91ead2 MD5sum: 9e7afba036ab7b295ced3480b0103afa Description: powerful clone of the vi/ex text editor (without X11 support) This package contains Elvis compiled without X11 support. If you want to use Elvis' X11 interface then install the package elvis instead. See also: elvis-tools . Elvis is a clone of vi/ex, the standard UNIX editor. It supports nearly all of the vi/ex commands, in both visual mode and ex mode. Elvis adds support for multiple files, multiple windows, a variety of display modes (including syntax highlighting, man, tex, html and hex), an optional X11 user interface (see elvis-x11), on-line help, folding, spell checking, new options, auto commands, regions, enhanced tags, printing, aliases and an improved :map command. . Like vi/ex, Elvis stores most of the text in a temporary file, instead of RAM. This allows it to edit files that are too large to fit in a single process' data space. Also, the edit buffer can survive a power failure or crash. Package: elvis-tiny Version: 1.4-23 Architecture: armhf Maintainer: Miquel van Smoorenburg Installed-Size: 132 Pre-Depends: libc6 (>= 2.13-28), libtinfo5 Priority: standard Section: base Filename: pool/main/e/elvis-tiny/elvis-tiny_1.4-23_armhf.deb Size: 51452 SHA256: 56fa49bd6506be6a42e7411672d5dc7d5e06624b47c60e3e2d38779db52d6e3d SHA1: 841ff526c4c5511d503ed3aa1f0f29f84562a2b4 MD5sum: d4b431f7cb37a5e7b024c708651e3080 Description: Tiny vi compatible editor for the base system Elvis-tiny is based on a 1991 Minix version of elvis. You should install another vi-editor (such as "vim", "elvis" or "nvi") if you want a vi editor that is full featured and has no bugs. Package: elvis-tools Source: elvis Version: 2.2.0-11.1 Architecture: armhf Maintainer: Kapil Hari Paranjape Installed-Size: 79 Depends: elvis-common (= 2.2.0-11.1), libc6 (>= 2.13-28) Enhances: elvis, elvis-console Conflicts: elvis (<< 2.2.0-2) Homepage: http://elvis.vi-editor.org Priority: optional Section: editors Filename: pool/main/e/elvis/elvis-tools_2.2.0-11.1_armhf.deb Size: 21958 SHA256: b28ac4b6eebea808b8d1a23256fe3a7ce5b3fc455cc25b1d13db5b3bb7d1b121 SHA1: 6228017807205a11fdb247003104d90f9d4d7348 MD5sum: cbd0386c29de50bd30b9c0ecd01e18a4 Description: text editing tools for programmers (elvfmt, elvtags, ref) This package contains elvtags (for generating tags and refs files), ref (for quickly locating/displaying the header of a function) and elvfmt (for adjusting paragraphs of text). . These tools actually belong to the Elvis editor but can be used without it. Package: elyxer Version: 1.2.3-1 Installed-Size: 1100 Maintainer: Debian LyX Maintainers Architecture: all Depends: python Size: 337394 SHA256: 664c695e1e08af02a25899e7643bf22698e38bf1a8ed0f40fd28dce781743541 SHA1: ee47a425e0028fd1581ae2fa5aca40498fe52eeb MD5sum: def28adff9c3543834e8730af9f5b60d Description: standalone LyX to HTML converter eLyXer (pronounced elixir) is a standalone LyX to HTML converter written in Python. eLyXer has a focus on flexibility and elegant output. It's not yet possible to convert every LyX document but a lot of document types already work. Homepage: http://www.nongnu.org/elyxer/ Tag: implemented-in::python, role::program, scope::utility, use::converting, works-with-format::html, works-with-format::tex Section: text Priority: optional Filename: pool/main/e/elyxer/elyxer_1.2.3-1_all.deb Package: elza Version: 1.4.3-16 Installed-Size: 127 Maintainer: Sebastien Delafond Architecture: all Depends: perl Suggests: stunnel, libnet-socks-perl (>= 0.03-1) Size: 42192 SHA256: 8dfa603de62274db65a5699ed018ad428ac597d42ecd77122de671f2e877c527 SHA1: 751eee33629212ef91345ae715f34e44328103cb MD5sum: 43dd4a08dd2b16315dd131072d868262 Description: Script language for automating HTTP requests Elza is a set of perl scripts which can be used as an interpreter for automating requests on web pages. It can extract dynamic URLs from a page, handle forms, cookies, HTTP authentication, redirects / refreshes, etc. Tag: devel::interpreter, devel::testing-qa, implemented-in::perl, interface::commandline, protocol::http, role::program, scope::utility, web::cgi, works-with-format::html, works-with::text Section: interpreters Priority: optional Filename: pool/main/e/elza/elza_1.4.3-16_all.deb Package: emacs Source: emacs-defaults Version: 45.0 Installed-Size: 25 Maintainer: Rob Browning Architecture: all Depends: emacs23 | emacs23-lucid | emacs23-nox Size: 1494 SHA256: 5a3712d2d6033ddfe7575e5edcc0ceffcbfb8579d60ef7a7352c827949a5dd8b SHA1: c97f530561883f709bc149663c6ebcc34814d62e MD5sum: 2b757c0469e9f54d0e2d41efffa17243 Description: GNU Emacs editor (metapackage) GNU Emacs is the extensible self-documenting text editor. This is a metapackage that will always depend on the latest recommended Emacs release. Tag: devel::editor, role::dummy, role::metapackage, suite::emacs, suite::gnu, use::editing Section: editors Priority: optional Filename: pool/main/e/emacs-defaults/emacs_45.0_all.deb Package: emacs-calfw Version: 1.3+git20111208-1 Installed-Size: 206 Maintainer: KURASHIKI Satoru Architecture: all Depends: emacs23 Suggests: org-mode, emacs-calfw-howm Breaks: emacs-calfw-howm (<= 1.2-1) Size: 39296 SHA256: f4fced0535b91d47d7e4baa3037439e8110b888f5d40ccec3b51f1c1d14a64a4 SHA1: 80feda0f41c2d94d524d52423651fb1beeeed8bf MD5sum: 8c1f53814e36cf500c0686057342ba94 Description: calendar framework for Emacs This program displays a calendar view in the Emacs buffer, which also work with org-agenda, google calendar, and ical. Homepage: https://github.com/kiwanami/emacs-calfw/ Section: lisp Priority: extra Filename: pool/main/e/emacs-calfw/emacs-calfw_1.3+git20111208-1_all.deb Package: emacs-calfw-howm Source: emacs-calfw Version: 1.3+git20111208-1 Installed-Size: 82 Maintainer: KURASHIKI Satoru Architecture: all Depends: emacs-calfw (= 1.3+git20111208-1), howm Suggests: elscreen Size: 6510 SHA256: 95ec8a300d52cd829c9a9147c64da51b431be9d5db232d7c99faa4205ab3fda6 SHA1: e20e41ec42786e190caa81e07e92f25cfca256b4 MD5sum: 5a004c2c3fa122ab869a7a79e42ea791 Description: calendar framework for Emacs (howm add-on) This program displays a calendar view in the Emacs buffer, which also work with org-agenda, google calendar, and ical. . This sub package provides support for using with howm. Homepage: https://github.com/kiwanami/emacs-calfw/ Section: lisp Priority: extra Filename: pool/main/e/emacs-calfw/emacs-calfw-howm_1.3+git20111208-1_all.deb Package: emacs-chess Version: 2.0b6-1.1 Installed-Size: 1544 Maintainer: Mario Lang Architecture: all Depends: emacs23 | emacsen, dpkg (>= 1.15.4) | install-info Suggests: gnuchess | phalanx | crafty Size: 238048 SHA256: 6629ee094a977df3c1a8e9b56392d1da9fe905ce47b426636e2b080e0e6668f6 SHA1: ff601e4e0e0ee13f8eac81c7886376e261c7bc66 MD5sum: 229a1415c21de9f80392c0df48137338 Description: a client and library for playing Chess from Emacs emacs-chess is a chessboard display for Emacs which allows to play Chess from within Emacs. It can also be used to play via Internet Chess Servers against other human opponents. . emacs-chess is still in beta, read the Info manual to get started. Homepage: http://freshmeat.net/projects/emacs-chess Tag: game::board, game::board:chess, implemented-in::lisp, interface::commandline, role::plugin, suite::emacs, use::gameplaying Section: games Priority: extra Filename: pool/main/e/emacs-chess/emacs-chess_2.0b6-1.1_all.deb Package: emacs-goodies-el Version: 35.2+nmu1 Installed-Size: 3373 Maintainer: Peter S Galbraith Architecture: all Replaces: emacs-goodies-extra-el Provides: emacs-goodies-extra-el Depends: emacs23 | emacsen, bash (>= 2.05a) | bash-static, dpkg (>= 1.15.4) | install-info Recommends: dict, wget, perl-doc Size: 850384 SHA256: cccf4531d3c6ddc577ce945fb2552ddccbb4984c06c2982903bba85a70aae1ed SHA1: e8232608474bc77497a6dedbfc6ff98a0304c728 MD5sum: c6cbe19b7c44eb1bc7090ad0b8e3383e Description: Miscellaneous add-ons for Emacs This package contains: align-string - align string components over several lines; all - edit all lines matching a given regexp; apache-mode - major mode for editing Apache configuration files; ascii - ASCII code display for character under point; auto-fill-inhibit - finer grained control over auto-fill-mode; bar-cursor - change your cursor to a bar instead of a block; bm - visible bookmarks in buffers; boxquote - quote texts in nice boxes; browse-huge-tar - browse tar files without reading them into memory; browse-kill-ring - browse, search, modify the kill ring; clipper - save strings of data for further use; coffee - now Emacs can even brew coffee; color-theme - changes the colors used within Emacs; csv-mode - major mode for comma-separated value files; ctypes - enhanced Font lock support for custom defined types; dedicated - make a window dedicated to a single buffer; df - display in the mode line space left on devices; dict - wrapper around the 'dict' command. (Depends on bash and dict) diminish - shorten or erase modeline presence of minor modes; dir-locals - provides directory-wide local variables; edit-env - display, edit, delete and add environment variables; egocentric - highlight your name inside emacs buffers; eproject - assign files to projects, programatically ff-paths - $PATH-like searching in C-x C-f; filladapt - enhances Emacs's built-in adaptive fill; floatbg - slowly modify background color; framepop - display temporary buffers in a dedicated frame; graphviz-dot-mode.el - mode for the dot-language used by graphviz (att). highlight-beyond-fill-column - highlight lines that are too long; highlight-completion - highlight completions in the minibuffer; highlight-current-line - highlight line where the cursor is; home-end - alternative Home and End commands; htmlize - HTML-ize font-lock buffers; initsplit - split customizations into different files; joc-toggle-buffer - fast switching between two buffers; joc-toggle-case - a set of functions to toggle the case of characters; keydef - a simpler way to define key mappings; keywiz - Emacs key sequence quiz; lcomp - list-completion hacks; maplev - major mode for Maple; map-lines - map a command over lines matching a regexp; markdown-mode - major mode for editing Markdown files; marker-visit - navigate through a buffer's marks in order; matlab - major mode for MatLab dot-m files; minibuf-electric - electric minibuffer behavior from XEmacs; minibuffer-complete-cycle - cycle through the *Completions* buffer; miniedit - enhanced editing for minibuffer fields; mutt-alias - lookup and insert the expansion of mutt mail aliases; muttrc-mode - major mode for editing Mutt config files; obfusurl - obfuscate an URL; pack-windows - resize all windows to display as much info as possible; perldoc - show help for Perl functions and modules. (Depends on perl-doc); pod-mode - major mode for editing POD files; pp-c-l - display Control-l characters in a pretty way; projects - create project-based meaningful buffer names; prot-buf - protect buffers from accidental killing; protocols - perform lookups in /etc/protocols; quack - enhanced support for editing and running Scheme code; rfcview - view IETF RFCs with readability-improved formatting; services - perform lookups in /etc/services; session - saves settings between Emacs invocations and visits to a file; setnu - setnu-mode, a vi-style line number mode; shell-command - enables tab-completion for shell-command; show-wspace - highlight whitespaces of various kinds; silly-mail - generate bozotic mail headers; slang-mode.el - a major-mode for editing S-Lang scripts; sys-apropos - interface for the *nix apropos command; tabbar - Display a tab bar in the header line; tail - "tail -f" a file or a command from within Emacs; tc - cite text with proper filling; thinks - quote texts in cartoon-like think bubbles; tlc - major mode for editing Target Language Compiler scripts; tld - explain top-level domain names; todoo - major mode for editing TODO files; toggle-option - easily toggle frequently toggled options; twiddle - mode line hacks to keep you awake; under - underline a region with ^ characters; upstart-mode - mode for editing upstart files; xrdb-mode - mode for editing X resource database files. . See /usr/share/doc/emacs-goodies-el/README.Debian.gz for a short description of all files, or the Info node `emacs-goodies-el' for details. Tag: devel::editor, implemented-in::lisp, role::plugin, suite::debian, suite::emacs, use::editing, works-with::text Section: lisp Priority: optional Filename: pool/main/e/emacs-goodies-el/emacs-goodies-el_35.2+nmu1_all.deb Package: emacs-intl-fonts Source: intlfonts Version: 1.2.1-8 Installed-Size: 11028 Maintainer: Debian QA Group Architecture: all Depends: emacsen-common Suggests: xfonts-intl-arabic, xfonts-intl-asian, xfonts-intl-chinese, xfonts-intl-chinese-big, xfonts-intl-european, xfonts-intl-japanese, xfonts-intl-japanese-big, xfonts-intl-phonetic Enhances: emacs21 Size: 2523942 SHA256: 1a83d12560dcb80de679164adb9708a77d379ed7538c7a2438ab6ae3a7afb53c SHA1: e85a1992a39ea08eb2030b7b9a2cd18a49db3490 MD5sum: e687f0729eb2376db00e4e4cd5e81e4d Description: Fonts to allow multi-lingual PostScript printing from Emacs This package includes BDF fonts to print Amharic, Arabic, Cantonese, Chinese, Czech, Danish, Esperanto, Estonian, Finnish, French, German, Greek, Hebrew, Hindi, Italian, Japanese, Korean, Maltese, Nederlands, Norwegian, Polish, Russian, Slovak, Spanish, Swedish, Thai, Tigrigna, Turkish and Vietnamese text as bit-mapped PostScript. To see these languages in X, you can use the xfonts-intl-* packages (among others). Tag: devel::editor, made-of::font, role::app-data, suite::emacs, use::editing, works-with::text, works-with::unicode Section: fonts Priority: optional Filename: pool/main/i/intlfonts/emacs-intl-fonts_1.2.1-8_all.deb Package: emacs-jabber Version: 0.8.0-3 Installed-Size: 657 Maintainer: Debian QA Group Architecture: all Depends: emacs23 | emacsen | xemacs21-basesupport (>= 2004.02.02), dpkg (>= 1.15.4) | install-info Recommends: xprintidle, gnutls-bin | starttls Size: 182836 SHA256: 48fdd67cefa539ac46aad791ac50e304a75ff920691bec4595220fe0a853fad5 SHA1: 95516e7467f81678b901452ca0ce15378695fd0a MD5sum: b2f7120fc31920cb3eca17ae379128ef Description: Jabber client for Emacsen jabber.el (emacs-jabber) is a Jabber client for Emacs and XEmacs. While this is still a development version, it offers all the basic instant messaging functions and is highly customizable. Homepage: http://emacs-jabber.sourceforge.net/ Tag: network::client, protocol::jabber, suite::emacs, use::chatting Section: net Priority: optional Filename: pool/main/e/emacs-jabber/emacs-jabber_0.8.0-3_all.deb Package: emacs-window-layout Version: 1.1-2 Installed-Size: 105 Maintainer: KURASHIKI Satoru Architecture: all Depends: emacs23 | emacs22 Size: 10996 SHA256: 3b7691ba5aa450bad1e474b20f27324de4ab76aa4051735e39e8f596db229f8b SHA1: f56e464c6307a696a9b97c63d1cc57c5394f7895 MD5sum: 9a0cf8d2b564c2676241cccb2c6a625b Description: window layout manager for emacs This elisp library provides functions to split a frame or window into some windows according to a layout recipe. Homepage: https://github.com/kiwanami/emacs-window-layout Section: lisp Priority: extra Filename: pool/main/e/emacs-window-layout/emacs-window-layout_1.1-2_all.deb Package: emacs23 Version: 23.4+1-4+deb7u1 Architecture: armhf Maintainer: Rob Browning Installed-Size: 8898 Depends: emacs23-bin-common (= 23.4+1-4+deb7u1), gconf-service, libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.1.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgif4 (>= 4.1.4), libglib2.0-0 (>= 2.16.0), libgpm2 (>= 1.20.4), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libm17n-0 (>= 1.6.1), libncurses5 (>= 5.5-5~), libotf0 (>= 0.9.11), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), librsvg2-2 (>= 2.14.4), libsm6, libtiff4 (>> 3.9.5-3~), libtinfo5, libx11-6, libxft2 (>> 2.1.1), libxpm4, libxrender1, zlib1g (>= 1:1.1.4) Suggests: emacs23-common-non-dfsg Conflicts: emacs23-gtk, emacs23-lucid, emacs23-nox Replaces: emacs23-gtk, emacs23-lucid, emacs23-nox Provides: editor, emacs23-gtk, emacsen, info-browser, mail-reader, news-reader Homepage: http://www.gnu.org/software/emacs/ Priority: optional Section: editors Filename: pool/main/e/emacs23/emacs23_23.4+1-4+deb7u1_armhf.deb Size: 3113226 SHA256: e93acc5ae29ba540c88ca4f134a670244e4154a826daf75c9c4c583b322717a4 SHA1: 96dd6cadfb5e9c5eafdc9d71b820127d4289b8b9 MD5sum: 0cece92991101e399ca1e931474b9ccb Description: The GNU Emacs editor (with GTK+ user interface) GNU Emacs is the extensible self-documenting text editor. This package contains a version of Emacs with a GTK+ user interface. Package: emacs23-bin-common Source: emacs23 Version: 23.4+1-4+deb7u1 Architecture: armhf Maintainer: Rob Browning Installed-Size: 471 Depends: emacs23-common (= 23.4+1-4+deb7u1), libc6 (>= 2.13-28), liblockfile1 (>= 1.0) Homepage: http://www.gnu.org/software/emacs/ Priority: optional Section: editors Filename: pool/main/e/emacs23/emacs23-bin-common_23.4+1-4+deb7u1_armhf.deb Size: 243226 SHA256: 7d8d9598abfbc220ee93916c4b1a2104f420fa54999487c18e63b5807cbc7cfe SHA1: 2156b6b715f5e9b32e46ed0a68d389f1f2e16a29 MD5sum: 821278fcc2c7953b4468b5a8c29ebb08 Description: The GNU Emacs editor's shared, architecture dependent files GNU Emacs is the extensible self-documenting text editor. This package contains the architecture dependent infrastructure that's shared by emacs23, emacs23-lucid, and emacs23-nox. Package: emacs23-common Source: emacs23 Version: 23.4+1-4+deb7u1 Installed-Size: 58190 Maintainer: Rob Browning Architecture: all Depends: emacsen-common (>= 1.4.10), dpkg (>= 1.15.4) | install-info Suggests: emacs23-el, emacs23-common-non-dfsg Conflicts: cedet, eieio, emacs23-el (<< 23.4+1-4+deb7u1), speedbar Size: 18650590 SHA256: 00054c3f696dfd8535f227e0d2f8060f09aef0b82c3d2cc129152c6b54744489 SHA1: 3a5738adc0b63c2e54c77affec1635b26d4081bb MD5sum: 080b87e25c341237954df93a9b6e04ee Description: The GNU Emacs editor's shared, architecture independent infrastructure GNU Emacs is the extensible self-documenting text editor. This package contains the architecture independent infrastructure that's shared by emacs23, emacs23-lucid, and emacs23-nox. Homepage: http://www.gnu.org/software/emacs/ Section: editors Priority: optional Filename: pool/main/e/emacs23/emacs23-common_23.4+1-4+deb7u1_all.deb Package: emacs23-el Source: emacs23 Version: 23.4+1-4+deb7u1 Installed-Size: 13552 Maintainer: Rob Browning Architecture: all Depends: emacs23-common (= 23.4+1-4+deb7u1) Size: 13794714 SHA256: d2e828cd7d30da77b5cdcc526023f975f34fc9f945c6ec43b29713e043e9a843 SHA1: 449a58416d3a4816fac7c0a3ab4695a5525f2e93 MD5sum: b8ad169eca8bcc3fde1d7c3c2173f50e Description: GNU Emacs LISP (.el) files GNU Emacs is the extensible self-documenting text editor. This package contains the elisp sources for the convenience of users, saving space in the main package for small systems. Homepage: http://www.gnu.org/software/emacs/ Section: editors Priority: optional Filename: pool/main/e/emacs23/emacs23-el_23.4+1-4+deb7u1_all.deb Package: emacs23-lucid Source: emacs23 Version: 23.4+1-4+deb7u1 Architecture: armhf Maintainer: Rob Browning Installed-Size: 8890 Depends: emacs23-bin-common (= 23.4+1-4+deb7u1), libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.1.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgif4 (>= 4.1.4), libglib2.0-0 (>= 2.16.0), libgpm2 (>= 1.20.4), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libm17n-0 (>= 1.6.1), libncurses5 (>= 5.5-5~), libotf0 (>= 0.9.11), libpng12-0 (>= 1.2.13-4), librsvg2-2 (>= 2.14.4), libsm6, libtiff4 (>> 3.9.5-3~), libtinfo5, libx11-6, libxext6, libxft2 (>> 2.1.1), libxmu6, libxpm4, libxrender1, libxt6, xaw3dg (>= 1.5+E-1), zlib1g (>= 1:1.1.4) Suggests: emacs23-common-non-dfsg Conflicts: emacs23, emacs23-gtk, emacs23-nox Replaces: emacs23, emacs23-gtk, emacs23-nox Provides: editor, emacs23, emacsen, info-browser, mail-reader, news-reader Homepage: http://www.gnu.org/software/emacs/ Priority: optional Section: editors Filename: pool/main/e/emacs23/emacs23-lucid_23.4+1-4+deb7u1_armhf.deb Size: 3112994 SHA256: 4c5076bcc8aa1233dc652430fde52ccf28c0a3b51404a142cdcec93341a2dee8 SHA1: 011e9ab42589cb79ea676a249c61f827e0a77857 MD5sum: c837617a02fba93c52a38b0906562c17 Description: The GNU Emacs editor GNU Emacs is the extensible self-documenting text editor. This package contains a version of Emacs with a Lucid user interface. Package: emacs23-nox Source: emacs23 Version: 23.4+1-4+deb7u1 Architecture: armhf Maintainer: Rob Browning Installed-Size: 8405 Depends: emacs23-bin-common (= 23.4+1-4+deb7u1), libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), libgcc1 (>= 1:4.4.0), libgpm2 (>= 1.20.4), libncurses5 (>= 5.5-5~), libtinfo5 Suggests: emacs23-common-non-dfsg Conflicts: emacs23, emacs23-gtk, emacs23-lucid Replaces: emacs23, emacs23-gtk, emacs23-lucid Provides: editor, emacs23, emacsen, info-browser, mail-reader, news-reader Homepage: http://www.gnu.org/software/emacs/ Priority: optional Section: editors Filename: pool/main/e/emacs23/emacs23-nox_23.4+1-4+deb7u1_armhf.deb Size: 2801574 SHA256: e198f5a24a255d3cb8d6bf48392b1d88dcf7cc4c1df51a4d409f2c06464c65e8 SHA1: 52e40384068cd5bc259adf54d9d76ba634d82786 MD5sum: 857f82efb10e1ab9f1496133b850db39 Description: The GNU Emacs editor (without X support) GNU Emacs is the extensible self-documenting text editor. This package contains a version of Emacs compiled without support for X. Package: emacsen-common Version: 2.0.5 Installed-Size: 135 Maintainer: Rob Browning Architecture: all Size: 20888 SHA256: dde44fe0ce3af3eb0caff89359da6093a801fe23b4ee44c8370ee73254a45e40 SHA1: 3635838586544d7698e562f7bcf7dc1766a41ea8 MD5sum: d63ac0b928adade2afceafe857482890 Description: Common facilities for all emacsen This package contains code that is needed by all the (x)emacs packages. It will be automatically installed when needed. Tag: admin::configuring, devel::editor, implemented-in::lisp, role::app-data, suite::emacs, use::configuring, works-with::text Section: editors Priority: optional Filename: pool/main/e/emacsen-common/emacsen-common_2.0.5_all.deb Package: emacspeak Version: 29.0-9 Installed-Size: 8710 Maintainer: Debian QA Group Architecture: all Depends: tclx8.4, tcl8.4, perl, emacsen-common, make, emacs23 | emacs22, adduser, debconf, debconf (>= 0.5) | debconf-2.0, dpkg (>= 1.15.4) | install-info Suggests: emacspeak-ss, psgml, xsltproc, eflite, espeak, w3m-el Conflicts: emacspeak-dt (<= 0.30), emacspeak-ss (<< 1.12-2) Size: 2264392 SHA256: a040419e4fbe8218f073a2d1c9478be29a4dd6ed7cf27ade0ef4bb9440bd361f SHA1: bfaddedba341db46c1bff279aadb5da509af26bc MD5sum: ff083825b870a0f9fcef1fe8bbb2a1e9 Description: speech output interface to Emacs Emacspeak is a speech output system that will allow someone who cannot see to work directly on a UNIX system. . Emacspeak is built on top of Emacs. Once Emacs is started with Emacspeak loaded, users get spoken feedback for all actions. As Emacs can do everything, they get speech feedback for everything they do. . This package includes speech servers written in Tcl to support the DECtalk Express and DECtalk MultiVoice speech synthesizers. For other synthesizers, look for separate speech server packages such as emacspeak-ss and eflite. Homepage: http://emacspeak.sf.net Tag: accessibility::screen-reader, accessibility::speech, implemented-in::lisp, implemented-in::perl, implemented-in::tcl, interface::commandline, role::plugin, suite::emacs, use::editing, works-with::audio Section: editors Priority: extra Filename: pool/main/e/emacspeak/emacspeak_29.0-9_all.deb Package: email-reminder Version: 0.7.6-5 Installed-Size: 205 Maintainer: Francois Marier Architecture: all Depends: perl, debconf (>= 0.5) | debconf-2.0, libxml-dom-perl, libgtk2-perl, libdate-manip-perl, libemail-valid-perl, cron, adduser, debconf Recommends: anacron, exim4 | mail-transport-agent, libemail-mime-encodings-perl, libauthen-sasl-perl, libnet-smtp-ssl-perl Size: 51470 SHA256: 6d2951cee2e16cdbd152e3b0d4e971c41d69c55da6bd50f108b864c38703dd05 SHA1: 22e70b09fe82131a100da58370d5a0635135b900 MD5sum: 485b80789e0b4814aeeda84e26ea0223 Description: Send event reminders by email Email-reminder allows users to define events that they want to be reminded of by email. Possible events include birthdays, anniversaries and yearly events. Reminders can be sent on the day of the event and/or a few days beforehand. . This package includes the cron job that checks for events and send reminders once a day, and a simple GUI allowing users to edit the reminders they want to receive. . Make sure you install the anacron package if your computer is turned off at night or the reminders will never get sent. Homepage: http://www.email-reminder.org.nz Tag: implemented-in::perl, interface::x11, mail::smtp, protocol::smtp, role::program, scope::application, uitoolkit::gtk, use::timekeeping, works-with::mail, x11::application Section: mail Priority: optional Filename: pool/main/e/email-reminder/email-reminder_0.7.6-5_all.deb Package: embassy-domainatrix Version: 0.1.0+20110714-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 488 Depends: emboss-lib (>= 6.4.0-1~), libc6 (>= 2.13-28), libx11-6, emboss-lib (<< 6.4.1~) Recommends: emboss Suggests: embassy Homepage: http://emboss.sourceforge.net/apps/cvs/embassy/index.html#DOMAINATRIX Priority: optional Section: science Filename: pool/main/e/embassy-domainatrix/embassy-domainatrix_0.1.0+20110714-1_armhf.deb Size: 96140 SHA256: a62bce7be11ae3de28bc896caa27d9ea12272a61df8374b552126a37ed25edd2 SHA1: 6013ac1845ad40fd3ed9af59eead07f37a4a161a MD5sum: 196e9ed029d2fc44270ab326d56b82d9 Description: Extra EMBOSS commands to handle domain classification file The DOMAINATRIX programs were developed by Jon Ison and colleagues at MRC HGMP for their protein domain research. They are included as an EMBASSY package as a work in progress. . Applications in the current domainatrix release are cathparse (generates DCF file from raw CATH files), domainnr (removes redundant domains from a DCF file), domainreso (removes low resolution domains from a DCF file), domainseqs (adds sequence records to a DCF file), domainsse (adds secondary structure records to a DCF file), scopparse (generates DCF file from raw SCOP files) and ssematch (searches a DCF file for secondary structure matches). Package: embassy-domalign Version: 0.1.0+20110714-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 627 Depends: emboss-lib (>= 6.4.0-1~), libc6 (>= 2.13-28), libx11-6, emboss-lib (<< 6.4.1~) Recommends: emboss Suggests: embassy Homepage: http://emboss.sourceforge.net/apps/cvs/embassy/index.html#DOMALIGN Priority: optional Section: science Filename: pool/main/e/embassy-domalign/embassy-domalign_0.1.0+20110714-1_armhf.deb Size: 114972 SHA256: 9638d422ecf0fb336f3c64dbd26d01c177fe374e7b8bb3279586b306740d1a54 SHA1: 168cef555157efe9aec610873cd9e45ab69848bf MD5sum: 187e4835f19711f077757592483c820e Description: Extra EMBOSS commands for protein domain alignment The DOMALIGN programs were developed by Jon Ison and colleagues at MRC HGMP for their protein domain research. They are included as an EMBASSY package as a work in progress. . Applications in the current domalign release are allversusall (sequence similarity data from all-versus-all comparison), domainalign (generates alignments (DAF file) for nodes in a DCF file), domainrep (reorders DCF file to identify representative structures) and seqalign (extend alignments (DAF file) with sequences (DHF file)). Package: embassy-domsearch Version: 1:0.1.0+20110714-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 604 Depends: emboss-lib (>= 6.4.0-1~), libc6 (>= 2.13-28), libx11-6, emboss-lib (<< 6.4.1~) Recommends: emboss Suggests: embassy Homepage: http://emboss.sourceforge.net/apps/cvs/embassy/index.html#DOMSEARCH Priority: optional Section: science Filename: pool/main/e/embassy-domsearch/embassy-domsearch_0.1.0+20110714-1_armhf.deb Size: 128146 SHA256: 1210d63880bce87b758bafb0a35adca69d0ee48d9f7db75781325925e38e63d4 SHA1: 10ab1856f7380951f80f98bfa0b4301882c229f3 MD5sum: 9d6e0d6a839ff229fa2736c3e37e1ea9 Description: Extra EMBOSS commands to search for protein domains The DOMSEARCH programs were developed by Jon Ison and colleagues at MRC HGMP for their protein domain research. They are included as an EMBASSY package as a work in progress. . Applications in this DOMSEARCH release are seqfraggle (removes fragment sequences from DHF files), seqnr (removes redundancy from DHF files), seqsearch (generates PSI-BLAST hits (DHF file) from a DAF file), seqsort (Remove ambiguous classified sequences from DHF files) and seqwords (Generates DHF files from keyword search of UniProt). Package: ember Version: 0.6.2+dfsg-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 5941 Pre-Depends: dpkg (>= 1.15.6~) Depends: ember-media (>= 0.6.2), libalut0 (>= 1.0.1), libatlas-cpp-0.6-1 (>= 0.6.2), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcegui-mk2-0.7.6, libcurl3-gnutls (>= 7.16.2), liberis-1.3-19 (>= 1.3.19), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, liblua5.1-0, libmercator-0.3-1 (>= 0.3.0), libogre-1.7.4, libopenal1, libsdl1.2debian (>= 1.2.11), libsigc++-2.0-0c2a (>= 2.0.2), libskstream-0.3-6 (>= 0.3.8), libstdc++6 (>= 4.6), libtinyxml2.6.2, libvarconf-1.0-7 (>= 0.6.7), libwfmath-0.3-6 (>= 0.3.11), libwfut-0.2-1, libx11-6 Homepage: http://www.worldforge.org/ Priority: optional Section: games Filename: pool/main/e/ember/ember_0.6.2+dfsg-2_armhf.deb Size: 1561588 SHA256: 1c59e20105d8bb869811b9b74486a438dc365f7b843c28522b9492fd46efa6fa SHA1: 465304447969b917a2d732ee09ed39cdbcd294fd MD5sum: eab6619c1d22a52ab542a558444e767f Description: 3D client framework for the WorldForge project Ember is a 3D client framework for WorldForge. It's not meant to be a standalone game, instead its purpose is to provide a solid and extensible base from which to build game-specific clients. One of the goals of Ember is to allow for easy customization of the codebase. Currently it supports the game world of Mason. Package: ember-media Version: 0.6.2.1-1 Installed-Size: 309438 Maintainer: Debian Games Team Architecture: all Depends: ttf-dejavu-core Pre-Depends: dpkg (>= 1.15.6~) Recommends: ember Size: 258311466 SHA256: e4951ec501d35d1e04a60da414cc9038a94a9e161c30c34fc3b71c74c9ffff10 SHA1: 4373904c6da47469ac0ccd48e125ef2c53b70096 MD5sum: 39e04e13beccbabab133688340370904 Description: 3D client framework for the WorldForge project -- media files Ember is a 3D client framework for WorldForge. It's not meant to be a standalone game, instead its purpose is to provide a solid and extensible base from which to build game-specific clients. One of the goals of Ember is to allow for easy customization of the codebase. Currently it supports the game world of Mason. . This package contains the media used at runtime by Ember. Homepage: http://www.worldforge.org/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/e/ember-media/ember-media_0.6.2.1-1_all.deb Package: emboss Version: 6.4.0-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 3353 Depends: emboss-lib (= 6.4.0-2), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libhpdf-2.2.1, libmysqlclient18 (>= 5.5.24+dfsg-1), libpng12-0 (>= 1.2.13-4), libpq5, libx11-6, zlib1g (>= 1:1.1.4), emboss-data (= 6.4.0-2) Recommends: primer3, dialign Suggests: emboss-doc, emboss-test, embassy, clustalw Homepage: http://emboss.sourceforge.net Priority: optional Section: science Filename: pool/main/e/emboss/emboss_6.4.0-2_armhf.deb Size: 989220 SHA256: ef3c74afdeea359edf52a228ba5699d7b6bc6ababacc9795d34b8b962a29d149 SHA1: 8ca1bacabd7fde36184b5d2556358c5005e9198b MD5sum: 4dbf97beb27d9d9d0326b20cb3ef1be5 Description: european molecular biology open software suite EMBOSS is a free Open Source software analysis package specially developed for the needs of the molecular biology (e.g. EMBnet) user community. The software automatically copes with data in a variety of formats and even allows transparent retrieval of sequence data from the web. Also, as extensive libraries are provided with the package, it is a platform to allow other scientists to develop and release software in true open source spirit. EMBOSS also integrates a range of currently available packages and tools for sequence analysis into a seamless whole. EMBOSS breaks the historical trend towards commercial software packages. Package: emboss-data Source: emboss Version: 6.4.0-2 Installed-Size: 305559 Maintainer: Debian Med Packaging Team Architecture: all Size: 39003302 SHA256: 6f6feb9ba71c77c53959b08051ec4e1bbb8655e8b97e22aef620aba1f848f659 SHA1: 79728496484c1e402390b340d8101bf76d59e202 MD5sum: e813c011a84df34ef84916b28534b9b5 Description: data files for the EMBOSS package This package includes data files needed by EMBOSS, the European Molecular Biology Open Software Suite. Homepage: http://emboss.sourceforge.net Tag: field::biology, field::biology:bioinformatics, made-of::TODO, role::app-data Section: science Priority: optional Filename: pool/main/e/emboss/emboss-data_6.4.0-2_all.deb Package: emboss-doc Source: emboss Version: 6.4.0-2 Installed-Size: 15538 Maintainer: Debian Med Packaging Team Architecture: all Suggests: pdf-viewer | postscript-viewer Size: 3695184 SHA256: 1f5e7d007c95ad2b2b0d7342f9ecc61fb7fa61bd422c8a7b03f255de476af9c9 SHA1: 3ce2e8b50416965cb3b55db66465a35ea282b995 MD5sum: 95b30baaf6911cc0716779889c514c71 Description: documentation for EMBOSS This package includes non-essential user documentation for EMBOSS, the European Molecular Biology Open Software Suite. Homepage: http://emboss.sourceforge.net Tag: field::biology, field::biology:bioinformatics, field::biology:molecular, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/e/emboss/emboss-doc_6.4.0-2_all.deb Package: emboss-explorer Version: 2.2.0-7+deb7u1 Installed-Size: 240 Maintainer: Debian-Med Packaging Team Architecture: all Depends: perl, libmailtools-perl, libemboss-acd-perl, emboss (>= 5.0.0), emboss-data, apache2 | httpd-cgi Recommends: emboss-doc Size: 46616 SHA256: 7c185788640dfd700e8fcaca40d07ce2e84375c75a8c465be3ee4bc2e792fc81 SHA1: adc723fe2360c01e492392700d98fbe6a9e487e5 MD5sum: 59aa1b3b5ca22396eea96b1de1ff0be2 Description: web-based GUI to EMBOSS EMBOSS explorer is a web-based graphical user interface to the EMBOSS suite of bioinformatics tools. It is written in Perl. . If you use the Apache HTTP server, you will at most have to restart it before using EMBOSS explorer. For other web servers, you will have to do the configuration by yourself. Homepage: http://embossgui.sourceforge.net/ Tag: biology::emboss, field::biology, field::biology:bioinformatics, implemented-in::perl, interface::web, role::plugin, suite::TODO, web::application, web::cgi Section: science Priority: optional Filename: pool/main/e/emboss-explorer/emboss-explorer_2.2.0-7+deb7u1_all.deb Package: emboss-lib Source: emboss Version: 6.4.0-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 10253 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libhpdf-2.2.1, libmysqlclient18 (>= 5.5.24+dfsg-1), libpng12-0 (>= 1.2.13-4), libpq5, zlib1g (>= 1:1.1.4) Conflicts: libajax5, libajax5-dev, libajax6, libajax6-dev, libnucleus5, libnucleus5-dev, libnucleus6, libnucleus6-dev Breaks: embassy-domainatrix (<= 0.1.0+20100721-3), embassy-domalign (<= 0.1.0+20100721-3), embassy-domsearch (<= 0.1.0++20100721-3), embassy-phylip (<= 3.69+20100721-3) Replaces: libajax5, libajax5-dev, libajax6, libajax6-dev, libnucleus5, libnucleus5-dev, libnucleus6, libnucleus6-dev Homepage: http://emboss.sourceforge.net Priority: optional Section: science Filename: pool/main/e/emboss/emboss-lib_6.4.0-2_armhf.deb Size: 2243366 SHA256: 8486bdf0a20b179a873e05d557bcdd098096e91614f963831422ad1fe2d5630d SHA1: 6f26315c3631c73365d2c1ec8867a6f0e3d12814 MD5sum: 614662e876326cf763a7e212bf385c8d Description: EMBOSS Libraries This package contains the core libraries of the European Molecular Biology Open Software Suite, EMBOSS. . AJAX covers standard data structures, including strings, sequences, file handles, queues, hashes, heaps, lists, dictionaries, trees and dynamic arrays. It also covers standard algorithms including comparisons, pattern matching, sorting, and iterators. AJAX will also provide a set of high level graphics functions suited to the needs of sequence analysis applications. . The NUCLEUS library provides higher-level functions specific to molecular sequence analysis, including sequence comparisons, translation, codon usage and annotation. . It also contains a eplplot, an EMBOSS, a fork of the plplot library. . In Debian, these libraries are to be considered private. Package: emboss-test Source: emboss Version: 6.4.0-2 Installed-Size: 29232 Maintainer: Debian Med Packaging Team Architecture: all Recommends: tcsh | csh | c-shell Suggests: valgrind Size: 4431600 SHA256: d11beb08a92f2dafe5d70dc9fe85b4d456c818ef03b5a4c9849c9c0a4db9a567 SHA1: 777416cbc50bdca87fc1bdd3f3487199fe70c3b3 MD5sum: 626853b118d9c3dff250f931dd2ab71d Description: test files for the EMBOSS package This package includes test files for EMBOSS, the European Molecular Biology Open Software Suite. . It is a good idea to use the data from this package for your bug reports when possible. Homepage: http://emboss.sourceforge.net Tag: field::biology, field::biology:bioinformatics, role::app-data Section: misc Priority: optional Filename: pool/main/e/emboss/emboss-test_6.4.0-2_all.deb Package: emdebian-archive-keyring Version: 2.0.3 Installed-Size: 39 Maintainer: Neil Williams Architecture: all Depends: apt, gnupg Size: 6012 SHA256: 82c24abd54aad5edf961a3c8310ec0ecf1fb4fe6b151f3ab88eb79e40341713a SHA1: 85ce10a62c6ca6972ac47354af1f3aca065e1dab MD5sum: e0e3816e8888b932e670bfef007418bf Description: GnuPG archive keys for the emdebian repository Emdebian digitally signs its Release files. This package contains the archive key used by both Emdebian Crush and Emdebian Grip. . The key is also available via the Emdebian website and as a udeb for debian-installer support. Homepage: http://www.emdebian.org/crush/keys.html Tag: admin::file-distribution, role::data, security::cryptography Section: utils Priority: extra Filename: pool/main/e/emdebian-archive-keyring/emdebian-archive-keyring_2.0.3_all.deb Package: emdebian-crush Version: 2.2.19 Installed-Size: 153 Maintainer: Neil Williams Architecture: all Replaces: dpkg-cross (<= 2.3.2), emdebian-buildsupport (<= 2.2.2), emdebian-qa (<= 2.2.0), emdebian-tools (<= 2.1.3), libemdebian-tools-perl Provides: emdebian-qa, emdebian-tools, libemdebian-tools-perl Depends: perl, dpkg-dev, emdebian-grip, libconfig-auto-perl, pdebuild-cross, sudo Suggests: svn-buildpackage Conflicts: emdebian-buildsupport, emdebian-qa, emdebian-tools Size: 47998 SHA256: acd9f56f7c6248c1c900c949ce7cb435d47be748d560878079a86dab9e91c836 SHA1: 3b90025334d05f707c5262ec88956ff37bf6b889 MD5sum: 6d039a10881b897134b6d86ab55b62b8 Description: emdebian crossbuilding helpers A collection of scripts and checks to ease cross-building Debian packages for Emdebian to make functional changes that benefit embedded systems and reducing the length of dependency chains. . This package primarily serves to support Emdebian Crush, the cross-built distribution using busybox and lacking perl support. For Emdebian Grip or Emdebian Baked support, see the emdebian-grip package. Homepage: http://www.emdebian.org/crush/ Tag: admin::package-management, devel::packaging, hardware::embedded, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:package Section: utils Priority: extra Filename: pool/main/e/emdebian-crush/emdebian-crush_2.2.19_all.deb Package: emdebian-grip Version: 3.0.7 Installed-Size: 312 Maintainer: Neil Williams Architecture: all Replaces: emdebian-grip-server (<= 2.1.0) Depends: perl, apt, debhelper, devscripts, dpkg-dev (>= 1.15.2), emdebian-tdeb, libparse-debian-packages-perl Recommends: fakeroot, libparse-debianchangelog-perl Suggests: deb-gview, meld Size: 85430 SHA256: 47f240d839598726de8d80ce6e5e89024ba8abb597ae5ecd2f6a9b4ac71b2fd0 SHA1: 6fe064b6684937810e0a282402e8f8fa9d9aad7f MD5sum: 186943d7a22f93bd2bc3f12d8f0456ce Description: support for the Grip flavour of Emdebian Supports on-the-fly conversion of regular Debian packages into 'gripped' packages that have no Debian documentation, manpages or infopages using DEB_BUILD_OPTIONS. . Supports the extension of Emdebian Grip to packages that are not currently available from the Grip repository, by machines already running Emdebian Grip. . This package does not depend on the rest of emdebian-tools. Homepage: http://www.emdebian.org/grip/ Tag: admin::package-management, devel::packaging, hardware::embedded, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:package Section: utils Priority: extra Filename: pool/main/e/emdebian-grip/emdebian-grip_3.0.7_all.deb Package: emdebian-grip-server Source: emdebian-grip Version: 3.0.7 Installed-Size: 500 Maintainer: Neil Williams Architecture: all Replaces: emdebian-grip (<= 2.0.1) Depends: perl, debhelper, devscripts, dpkg-dev, emdebian-grip, edos-debcheck, emdebian-tdeb, emdebian-archive-keyring, reprepro, wget, libdebian-packages-compare-perl (= 3.0.7), liblocale-gettext-perl, libwww-perl Recommends: dctrl-tools, fakeroot Size: 176924 SHA256: 62987a9f315873e4aeba0bb01016ffb22f3b84b50aadcbce0d073d80819f87a5 SHA1: ade142351244177f52b5e222c97879fb8a85cd3a MD5sum: c84ea333f675c08cd0de0fe00ce6c314 Description: server-side support for Emdebian Grip Provides server-side scripts to manage and update the conversion of Debian packages into 'gripped' packages that have no Debian documentation, manpages or infopages. . Includes PHP support to process grip update logs and an example cron script to run on the buildd. . Also includes the grip-config replacements for install-info and update-alternatives to handle gripped packages without errors and a brief guide to preparing the grip-config package to replace the dpkg default scripts. . This package does not depend on the rest of emdebian-tools. Homepage: http://www.emdebian.org/grip/ Tag: admin::package-management, devel::packaging, hardware::embedded, implemented-in::perl, implemented-in::php, interface::commandline, interface::web, network::server, network::service, role::program, scope::utility, suite::debian, use::converting, use::filtering, web::scripting, works-with::software:package Section: utils Priority: extra Filename: pool/main/e/emdebian-grip/emdebian-grip-server_3.0.7_all.deb Package: emdebian-tdeb Source: emdebian-grip Version: 3.0.7 Installed-Size: 236 Maintainer: Neil Williams Architecture: all Replaces: emdebian-tools (<= 1.4.10) Depends: perl, debhelper, devscripts, dpkg-dev, gettext, libparse-debcontrol-perl Recommends: fakeroot, qt4-linguist-tools Size: 92510 SHA256: ec0c3c81852e20c9f9092772d48d4dadccf97d08661c199a7cc32b2dc793bf2d SHA1: 766a17f9fec91dd22e0a8d71feeb59f138b9b0ef MD5sum: 8142581b2a1be0f5a3f1c41e17187b9b Description: Debian TDeb handling scripts Experimental scripts to implement TDeb support. . Includes a debhelper wrapper as well as the main script, dpkg-gentdeb which can be configured to generate one or more TDeb packages containing the debconf templates, runtime translations (gettext and linguist) and translated manpages. Homepage: http://www.emdebian.org/grip/ Tag: devel::debian, devel::i18n, devel::packaging, hardware::embedded, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, use::converting, works-with::software:package Section: utils Priority: extra Filename: pool/main/e/emdebian-grip/emdebian-tdeb_3.0.7_all.deb Package: emelfm2-svg-icons Version: 20100219-2 Installed-Size: 703 Maintainer: Jari Aalto Architecture: all Size: 112882 SHA256: 126de735e01b36f860e3c748c07bdd82fcecb5c33f1ba7f9af01406480bab0e5 SHA1: c4c1a9aa1e1b599c9ed778c77536d1f4cd7d9612 MD5sum: 4acadd9d0da886a990d6db22c00de3ac Description: icon SVG files from emelfm2 project The scalable vector graphics (SVG) icon sources of the small, memory efficient, GTK+ file manager program. The icons include graphics for open, close, output, terminal, several actions like proceed, add mark, move, split etc. Homepage: http://emelfm2.net/attachment/wiki/OtherDownLoads/emelfm2-svg-icons.tar.bz2 Section: x11 Priority: optional Filename: pool/main/e/emelfm2-svg-icons/emelfm2-svg-icons_20100219-2_all.deb Package: emerillon Source: emerillon (0.1.90-1) Version: 0.1.90-1+b2 Architecture: armhf Maintainer: Mathieu Trudel Installed-Size: 751 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libchamplain-0.12-0 (>= 0.11.0), libchamplain-gtk-0.12-0 (>= 0.11.0), libclutter-1.0-0 (>= 1.10.0), libclutter-gtk-1.0-0 (>= 0.91.8), libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.7.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdrm2 (>= 2.3.1), libffi5 (>= 3.0.9), libgdk-pixbuf2.0-0 (>= 2.22.0), libgeoclue0 (>= 0.11.1), libgirepository-1.0-1 (>= 0.9.2), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libjson-glib-1.0-0 (>= 0.12.0), libpango1.0-0 (>= 1.14.0), libpeas-1.0-0 (>= 1.0.0), librest-0.7-0 (>= 0.7), libsoup2.4-1 (>= 2.4.0), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6, libxml2 (>= 2.6.27), dconf-gsettings-backend | gsettings-backend, geoclue Homepage: http://projects.gnome.org/emerillon/ Priority: optional Section: utils Filename: pool/main/e/emerillon/emerillon_0.1.90-1+b2_armhf.deb Size: 104902 SHA256: ebc461e4b4a5a5ac393498dbba029ea3340058f42c66ebb8fe69dfc187e8704b SHA1: b6de5538ce26fbe0a952c503a143f09dde34058d MD5sum: 999861ddef77f4c461b1e5a3084ef44b Description: map viewer for the GNOME desktop Emerillon is a map viewer. Aiming at simple user interface, Emerillon is a powerful, extensible application. It features OpenStreetMap based maps. Use it to browse maps, search the map for places, placemark places for later quick access and more! . Emerillon takes its name from one of the three vessels commanded by Jacques Cartier for his trip to New France in 1535. Package: emerillon-dev Source: emerillon (0.1.90-1) Version: 0.1.90-1+b2 Architecture: armhf Maintainer: Mathieu Trudel Installed-Size: 197 Depends: emerillon (>= 0.1.90-1), gir1.2-emerillon-0.2 (= 0.1.90-1+b2), libclutter-1.0-dev, libgtk-3-dev (>= 3.0.0), libchamplain-0.12-dev Breaks: emerillon (<< 0.1.2-2~) Replaces: emerillon (<< 0.1.2-2~) Homepage: http://projects.gnome.org/emerillon/ Priority: optional Section: devel Filename: pool/main/e/emerillon/emerillon-dev_0.1.90-1+b2_armhf.deb Size: 31598 SHA256: 4f8c9b3642aaf717aec7f10c8af657ede4fb2312825da59929c06f65aa05a3d1 SHA1: d528a57cd02783312e49a379a080e429d60e951b MD5sum: 846349d7318bab9cab92978458f6c33f Description: map viewer for the GNOME desktop (development files) Emerillon is a map viewer. Aiming at simple user interface, Emerillon is a powerful, extensible application. It features OpenStreetMap based maps. Use it to browse maps, search the map for places, placemark places for later quick access and more! . Emerillon takes its name from one of the three vessels commanded by Jacques Cartier for his trip to New France in 1535. . This package contains development files used to create emerillon plugins. Package: emesene Version: 2.12.5+dfsg-1 Installed-Size: 8371 Maintainer: Devid Antonio Filoni Architecture: all Depends: python (>= 2.6.6-7~), python-gtk2 (>= 2.12), python-cairo, python-notify, python-dbus, python-webkit, python-gobject, python-openssl (>= 0.6), python-crypto, python-gst0.10, python-dnspython Recommends: python-gupnp-igd Suggests: python-gtkspell Size: 2477772 SHA256: 9d6a9956559a098342252519ebe34426388d6b82fc4bd9cc3ae87693fd2ea8c1 SHA1: 504f3427c64c06a1d2b26d4d711e71bc4785a1e9 MD5sum: dcea10c22586d05eb7f6d58d10b4ac43 Description: instant messaging client emesene tries to be a simple but feature complete program that allows one to chat using MSN and Jabber based networks. . From it's roots emesene 2 provides a UI that is easy to use by users coming from Windows Live Messenger but doing special focus on consistency and simplicity. Homepage: http://www.emesene.org/ Tag: implemented-in::python, interface::x11, network::client, protocol::msn-messenger, role::program, scope::application, uitoolkit::gtk, use::chatting, works-with::im, x11::application Section: net Priority: optional Filename: pool/main/e/emesene/emesene_2.12.5+dfsg-1_all.deb Package: emil Version: 2.1.0-beta9.dfsg-1.1 Architecture: armhf Maintainer: Roland Rosenfeld Installed-Size: 494 Depends: libc6 (>= 2.7) Priority: optional Section: mail Filename: pool/main/e/emil/emil_2.1.0-beta9.dfsg-1.1_armhf.deb Size: 126094 SHA256: 997bc7c0650758c39521240a39fce8b08f3b9ae60135f49231bc0c69128dd45e SHA1: 2823e55fcea0aedc7ddb66d6fd3f74aa6fca7a20 MD5sum: cb4840c1c72a257dc36d3998cdb97b6c Description: Conversion Filter for Internet Messages Emil v2 is a filter for converting Internet Messages. It supports three basic formats: MIME, SUN Mailtool and plain old style RFC822. It can be used with sendmail, as a mailer, or as a prefilter or backend program with a mail client program, or as a plain filter. Package: emma Version: 0.6-4 Installed-Size: 588 Maintainer: Python Applications Packaging Team Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0), python-mysqldb (>= 1.2.1-p2-2), python-gtk2 (>= 2.8.6-1), python-glade2 (>= 2.8.6-1) Size: 66634 SHA256: 5a8fc67281793a5718b96aed203dde958565c9e79ed4cc3a0c14bb76f84d8d4a SHA1: 32766e316298954806cea3d5c45c7920fb241da3 MD5sum: 35b21e16f990f76f69d790497502d698 Description: extendable MySQL managing assistant emma is a graphical toolkit for MySQL database developers and administrators, it is the successor of yamysqlfront. . Its main features are: * Dialogs to create/modify MySQL databases, tables and associated indexes * Result sets grouped in tabs * SQL editor: + Tabs + Built-in syntax highlighting + Table and field name tab-completion + Automatic SQL statement formatting * Export to CSV files * Multiple simultaneously opened MySQL connections Homepage: http://www.fastflo.de/projects/emma Tag: implemented-in::python, interface::x11, role::program, uitoolkit::gtk, use::editing, use::viewing, works-with::db, x11::application Section: gnome Priority: optional Filename: pool/main/e/emma/emma_0.6-4_all.deb Package: emms Version: 3.0+20110425+1.git298e022-4 Architecture: armhf Maintainer: Arnaud Fontaine Installed-Size: 1005 Depends: libc6 (>= 2.13-28), libtagc0 (>= 1.5), dpkg (>= 1.15.4) | install-info, emacsen-common (>= 2.0.5), w3m-el | w3m-el-snapshot, emacs23 | xemacs21 | emacs-snapshot Recommends: vorbis-tools | mpg321 | mplayer | vlc | mpd (>= 0.12.0) | xine-ui Suggests: mp3info Homepage: http://www.gnu.org/software/emms/ Priority: optional Section: sound Filename: pool/main/e/emms/emms_3.0+20110425+1.git298e022-4_armhf.deb Size: 338476 SHA256: 7d30b3860c95db00ecc2a1f9f50acdeb9a49cf7a08eddbf85ab4050acdca3cbb SHA1: c10ba7fff055af06b574cad2a4b1922c24f86d79 MD5sum: 81db35f2500971829fdecdff7c070a0a Description: Emacs MultiMedia System EMMS is the Emacs Multi-Media System. It tries to be a clean and small application to play multimedia files from Emacs using external players. Package: empathy Source: empathy (3.4.2.3-2+deb7u1) Version: 3.4.2.3-2+deb7u1+b1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 3993 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libchamplain-0.12-0 (>= 0.11.0), libchamplain-gtk-0.12-0 (>= 0.11.0), libcheese-gtk21 (>= 3.4.0), libclutter-1.0-0 (>= 1.10.0), libclutter-gst-1.0-0 (>= 0.10.0), libclutter-gtk-1.0-0 (>= 0.91.8), libcogl9 (>= 1.7.4), libdbus-glib-1-2 (>= 0.88), libebook-1.2-13 (>= 3.4.4), libenchant1c2a (>= 1.6), libfarstream-0.1-0 (>= 0.1.1), libfolks-telepathy25 (>= 0.6.6), libfolks25 (>= 0.6.2), libgcc1 (>= 1:4.4.0), libgcr-3-1 (>= 3.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libgeoclue0 (>= 0.11.1+git20091217), libgeocode-glib0 (>= 0.99.0), libglib2.0-0 (>= 2.31.8), libgnome-keyring0 (>= 3.2.2-2~), libgnutls26 (>= 2.12.17-0), libgoa-1.0-0 (>= 3.3.0), libgstreamer-plugins-base0.10-0 (>= 0.10.31), libgstreamer0.10-0 (>= 0.10.32), libgtk-3-0 (>= 3.3.6), libgudev-1.0-0 (>= 146), libmission-control-plugins0 (>= 1:5.5.4), libnm-glib4 (>= 0.7.999), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.18.0), libpulse-mainloop-glib0 (>= 0.99.1), libpulse0 (>= 0.99.1), libsoup2.4-1 (>= 2.4.0), libtelepathy-farstream2 (>= 0.2.1), libtelepathy-glib0 (>= 0.18.0), libtelepathy-logger2 (>= 0.2.10), libwebkitgtk-3.0-0 (>= 1.3.10), libx11-6, libxml2 (>= 2.7.4), dconf-gsettings-backend | gsettings-backend, empathy-common (= 3.4.2.3-2+deb7u1), telepathy-mission-control-5 (>= 1:5.12.0), gsettings-desktop-schemas, gnome-icon-theme (>= 2.30.0), geoclue, telepathy-logger (>= 0.2.13), dbus-x11 Recommends: telepathy-gabble, telepathy-salut, telepathy-haze, gvfs-backends, nautilus-sendto-empathy, sound-theme-freedesktop Suggests: vino, telepathy-idle Replaces: libempathy-gtk-common Homepage: http://live.gnome.org/Empathy Priority: optional Section: gnome Filename: pool/main/e/empathy/empathy_3.4.2.3-2+deb7u1+b1_armhf.deb Size: 1454268 SHA256: 38574c0de09e704e8a821c1ecdfca80df85347f7839ed0942e13acb146319c7b SHA1: 2d2996cafd030dab949aaf148ac1b82c1101942b MD5sum: 37ae9471229f3b07d5f7262eb0cfce46 Description: GNOME multi-protocol chat and call client Instant messaging program supporting text, voice, video, file transfers and inter-application communication over many different protocols, including: AIM, Windows Live (MSN), Google Talk (Jabber/XMPP), Facebook, Yahoo!, Salut, Gadu-Gadu, Groupwise and ICQ. . This package contains the Empathy IM application and account manager. Package: empathy-common Source: empathy Version: 3.4.2.3-2+deb7u1 Installed-Size: 12200 Maintainer: Debian Telepathy maintainers Architecture: all Replaces: empathy (<< 2.27.92), empathy-doc, libempathy-common, libempathy-gtk-common Provides: empathy-doc, libempathy-common, libempathy-gtk-common Recommends: yelp Conflicts: empathy-doc, libempathy-common, libempathy-gtk-common Size: 2451912 SHA256: 90dae84f38dd38545a90dbb115770d5fc9eeb2b6cb7c4b89f2b6e7c14c86fd46 SHA1: e876fac1e7a93cef2b2418ac6b4ba6a2818d0cc1 MD5sum: 09b9e188fc5168e596f6d9da70e10470 Description: GNOME multi-protocol chat and call client (common files) Instant messaging program supporting text, voice, video, file transfers and inter-application communication over many different protocols, including: AIM, Windows Live (MSN), Google Talk (Jabber/XMPP), Facebook, Yahoo!, Salut, Gadu-Gadu, Groupwise and ICQ. . This package contains the architecture independent files for Empathy IM application. Homepage: http://live.gnome.org/Empathy Tag: role::app-data Section: gnome Priority: optional Filename: pool/main/e/empathy/empathy-common_3.4.2.3-2+deb7u1_all.deb Package: empathy-dbg Source: empathy (3.4.2.3-2+deb7u1) Version: 3.4.2.3-2+deb7u1+b1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 12310 Depends: empathy (= 3.4.2.3-2+deb7u1+b1) Homepage: http://live.gnome.org/Empathy Priority: extra Section: debug Filename: pool/main/e/empathy/empathy-dbg_3.4.2.3-2+deb7u1+b1_armhf.deb Size: 2558492 SHA256: 0c62762bef5962418ab3be8b7c0de71546711e28084ab9c5a95131c77d49fdfb SHA1: 8c89c3f21c420d63731b2f91c990e7f3e9aaee14 MD5sum: e9472f64015ce4bdfc8682fc96eda883 Description: GNOME multi-protocol chat and call client (debug symbols) Instant messaging program supporting text, voice, video, file transfers and inter-application communication over many different protocols, including: AIM, Windows Live (MSN), Google Talk (Jabber/XMPP), Facebook, Yahoo!, Salut, Gadu-Gadu, Groupwise, ICQ and QQ. . This package contains debug symbols for the Empathy IM application and account manager. Package: empire Version: 1.7-3 Architecture: armhf Maintainer: Peter Palfrader Installed-Size: 151 Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5 Priority: extra Section: games Filename: pool/main/e/empire/empire_1.7-3_armhf.deb Size: 66550 SHA256: 7e0b400286a65231f29b8830247fdf7d9174b14f7d7d56c07c12a40eff660378 SHA1: 6997368d1d6b4b71bf5d812580e9f32f08791c1d MD5sum: cb51f6015db764ae27c2f83595bb1019 Description: the war game of the century Empire is a console game simulation of a full-scale war between two emperors, the computer and you. Naturally, there is only room for one, so the object of the game is to destroy the other. . The world on which the game takes place is a square rectangle containing cities, land, and water. Cities are used to build armies, planes, and ships which can move across the world destroying enemy pieces, exploring, and capturing more cities. . The classic game from the 1980s uses text mode graphical output, drawing your units, cities and the world in color. Commands are issued using they keyboard. Package: empire-hub Version: 1.0.2.1 Architecture: armhf Maintainer: Drake Diedrich Installed-Size: 59 Depends: libc6 (>= 2.7) Priority: optional Section: games Filename: pool/main/e/empire-hub/empire-hub_1.0.2.1_armhf.deb Size: 13862 SHA256: 86a766ccbf0f9ecd03031c73de6a621d8cdf585ed848a7d221dc2703ee87ab53 SHA1: 3540ca40b963b6d86ad59ba81ed823009ea5bf92 MD5sum: 74794a1abf88ba7b5d0d84298130cdcc Description: Empire protocol multiplexer This package allows multiple clients to connect to an Empire server over a single connection. Package: empire-lafe Version: 1.1-1 Architecture: armhf Maintainer: Drake Diedrich Installed-Size: 79 Depends: libc6 (>= 2.7), libreadline6 (>= 6.0), libtinfo5 Priority: optional Section: games Filename: pool/main/e/empire-lafe/empire-lafe_1.1-1_armhf.deb Size: 22166 SHA256: c986cf26e2724158035d08e4a1be73f97463678c34df54a317710f076e85998b SHA1: b9dde1a5aba0d3a169799142e732445f864b940b MD5sum: 8b0184e792dd46b7adccc981a08f63ac Description: Fast line-mode Empire client Lafe is an empire client optimized for high latency links. You can type, edit, and send several commands before the server replies with output from the first command. This allows you to make better use of the existing bandwidth than most other clients. Package: empty-expect Version: 0.6.18b-3 Architecture: armhf Maintainer: Bart Martens Installed-Size: 75 Depends: libc6 (>= 2.13-28) Homepage: http://empty.sourceforge.net/ Priority: optional Section: interpreters Filename: pool/main/e/empty-expect/empty-expect_0.6.18b-3_armhf.deb Size: 20382 SHA256: d6b983fa49175436ef320682a104c6ef45918ef813e39e37f078b4c35a59c7ee SHA1: aa7d7442384bbbc51f65431beb90bb728498bc25 MD5sum: d604686c7daf16d39b1b0693f8b9423c Description: Run processes and applications under pseudo-terminal empty is a utility that provides an interface to execute and/or interact with processes under pseudo-terminal sessions (PTYs). This tool is useful in programming of shell scripts designed to communicate with interactive programs like telnet, ssh, ftp, etc. In some cases empty can be the simplest replacement for Tcl/expect or other similar programming tools because empty can be easily invoked directly from shell prompt or script, and does not use Tcl, Perl, PHP, Python or anything else as an underlying language. Package: emu8051 Version: 1.1.0-1 Architecture: armhf Maintainer: Bhavani Shankar Installed-Size: 125 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://www.hugovil.com/fr/emu8051/index.html Priority: optional Section: electronics Filename: pool/main/e/emu8051/emu8051_1.1.0-1_armhf.deb Size: 36156 SHA256: a6a8c2084deeb9ce76e48d55ec3a9f37551b89917af42555a831cd90a662c4d7 SHA1: a3c58a30e923de6951c2b8f9a833931c9b26703f MD5sum: 67b4888f2179c04e01b00600b1e68ec6 Description: Emulator and simulator for 8051 microcontrollers Emu8051 is a simulator/emulator for the Intel 8051 family of microcontrollers. It allows the developers to simulate 8051 microcontrollers to test their code and debug it. The program can load Intel HEX files. Package: enamdict Source: edict Version: 2012.05.09-1 Installed-Size: 25551 Maintainer: Ludovic Drolez Architecture: all Size: 7462436 SHA256: 967a7c9860db1768d96e25b14661d51096e0a456f910db7cb99b48c80746331c SHA1: 3d5531fe20355cad7c0618dfd058789b795fcc4a MD5sum: d6b6a533551322e563d4ab2883299bad Description: Dictionary of Japanese proper names The ENAMDICT file contains Japanese proper names; place-names, surnames, given names, (some) company names and product names.. These were originally included in the EDICT file, along with other non-name entries. Homepage: http://www.csse.monash.edu.au/~jwb/enamdict_doc.html Tag: culture::japanese, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/e/edict/enamdict_2012.05.09-1_all.deb Package: enblend Source: enblend-enfuse Version: 4.0+dfsg-4 Architecture: armhf Maintainer: Debian PhotoTools Maintainers Installed-Size: 4118 Depends: freeglut3, libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libgomp1 (>= 4.2.1), libilmbase6 (>= 1.0.1), libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), libopenexr6 (>= 1.6.1), libplot2c2, libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), zlib1g (>= 1:1.1.4), dpkg (>= 1.15.4) | install-info Recommends: hugin Enhances: hugin Homepage: http://enblend.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/e/enblend-enfuse/enblend_4.0+dfsg-4_armhf.deb Size: 1822192 SHA256: b365a838e61ab680e5cae2c4a4864b375001e01c88de6426fa99a49a262e6291 SHA1: 1fe3891fc97329746fd2362e89d4a7e41c0417a5 MD5sum: efe21dc782fbed5998f5ab35b11b2557 Description: image blending tool Enblend is a tool for compositing images. Given a set of images that overlap in some irregular way, Enblend overlays them in such a way that the seam between the images is invisible, or at least very difficult to see. It can, for example, be used to blend a panorama composed of several images. . It uses a Burt & Adelson multi-resolution spline. This technique tries to make the seams between the input images invisible. The basic idea is that image features should be blended across a transition zone proportional in size to the spatial frequency of the features. For example, objects like trees and windowpanes have rapid changes in color. By blending these features in a narrow zone, you will not be able to see the seam because the eye already expects to see color changes at the edge of these features. Clouds and sky are the opposite. These features have to be blended across a wide transition zone because any sudden change in color will be immediately noticeable. . Enblend does not align images for you. Use a tool like Hugin or PanoTools to do this. The TIFFs produced by these programs are exactly what Enblend is designed to work with. Package: enca Version: 1.13-4 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 176 Depends: libc6 (>= 2.13-28), libenca0 (>= 1.9), librecode0 (>= 3.6) Suggests: cstocs Homepage: http://cihar.com/software/enca/ Priority: optional Section: text Filename: pool/main/e/enca/enca_1.13-4_armhf.deb Size: 88348 SHA256: 7153a41b61e905fcb1977bde20fe7cc21e56206976089d2cd65e52c7c78544b8 SHA1: 3a5c6db9ff8b1996a8b47cf61b836528417ae08c MD5sum: d23fa5f04fe9020c6cd6db6848add799 Description: Extremely Naive Charset Analyser - binaries Enca is an Extremely Naive Charset Analyser. It detects the character set and the encoding of text files and can also convert them to other encodings using either a built-in converter or external libraries and tools like libiconv, librecode, or cstocs. . Currently it supports Belarusian, Bulgarian, Croatian, Czech, Estonian, Hungarian, Latvian, Lithuanian, Polish, Russian, Slovak, Slovene, Ukrainian, Chinese, and some multibyte encodings independently on language. Package: encfs Version: 1.7.4-2.4 Architecture: armhf Maintainer: Eduard Bloch Installed-Size: 1698 Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-serialization1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), librlog5, libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), fuse Homepage: http://www.arg0.net/encfs Priority: optional Section: utils Filename: pool/main/e/encfs/encfs_1.7.4-2.4_armhf.deb Size: 413762 SHA256: 7ec0209c16045db9a01d557b78e084ffd0f3f5fa9317dac19033a6e3261e6565 SHA1: 5af271d2659e6e03825ff071c91811193a9d40ce MD5sum: 4c7c6eb60982df714ec3b3ec92312bf2 Description: encrypted virtual filesystem EncFS integrates file system encryption into the Unix(TM) file system. Encrypted data is stored within the native file system, thus no fixed-size loopback image is required. . EncFS uses the FUSE kernel driver and library as a backend. Package: enchant Version: 1.6.0-7 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 71 Depends: libc6 (>= 2.13-28), libenchant1c2a (>= 1.6), libglib2.0-0 (>= 2.12.0) Breaks: libenchant1c2a (<< 1.6.0-4) Replaces: libenchant1c2a (<< 1.6.0-4) Homepage: http://www.abisource.com/projects/enchant/ Priority: optional Section: text Filename: pool/main/e/enchant/enchant_1.6.0-7_armhf.deb Size: 18780 SHA256: d46fb2137784cd3b933e8dc92d179e74df3749fd36757f90d5fbd1a0a2063260 SHA1: 63c1e6ad676c8685b366a8115b35d3438d3e90b3 MD5sum: 7319e45364ed8f93184d922106275eef Description: Wrapper for various spell checker engines (binary programs) Enchant is a generic spell checking library which uses existing spell checker engines such as ispell, aspell and myspell as its backends. . Enchant steps in to provide uniformity and conformity on top of these libraries, and implement certain features that may be lacking in any individual provider library. . This package contains standalone runtime binary programs. Package: enemies-of-carlotta Version: 1.2.6-4 Installed-Size: 732 Maintainer: Dave Holland Architecture: all Depends: python (>= 2.1), procmail, python-support (>= 0.2) Size: 74072 SHA256: 27d9cb757153b124b2787abdb58ed611609441b2e2c87b796f0566f1cd435591 SHA1: a92b9869eeee09f0cc02f2cf3a5589c0f249723e MD5sum: 3b03a0c5a0cf46676236ac00e69c8edc Description: mailing list manager Enemies of Carlotta is a simple manager for mailing lists that mimics the ezmlm (http://www.ezmlm.org/) mail commands, but does not rely on Qmail to work. . Supports English, Finnish, French, Spanish, and Swedish: messages the list manager sends are in one of these languages. Language is configurable per list. Tag: culture::finnish, culture::french, culture::spanish, culture::swedish, implemented-in::python, interface::commandline, mail::list, role::program, scope::application, works-with::mail Section: mail Priority: optional Filename: pool/main/e/enemies-of-carlotta/enemies-of-carlotta_1.2.6-4_all.deb Package: enemylines3 Version: 1.2-7 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 652 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), ttf-dejavu Homepage: http://proj.phk.at/el/3/ Priority: optional Section: games Filename: pool/main/e/enemylines3/enemylines3_1.2-7_armhf.deb Size: 451122 SHA256: 08bf83a4c8e2e64cf5d8573dbc1e3a084a41e88e9318c53e8c53fad3b0584f31 SHA1: 4a84d76d198ce7cfacfca8ad5bd1bf315d531553 MD5sum: 768a59f02ef52dfa3474c989c5743cc1 Description: semi-abstract first person 3d-shooter game single-player game. You have to shoot evil robots before they get too close, you can use your jetpack to escape. The robos can't jump but they tear down walls. Package: enemylines7 Version: 0.6-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 798 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6) Homepage: http://proj.phk.at/el/7/ Priority: optional Section: games Filename: pool/main/e/enemylines7/enemylines7_0.6-3_armhf.deb Size: 570612 SHA256: 3f09eff932da955a79e3ca86c1cd009c69e2934144a9f91db981e36058b50933 SHA1: 6be0f05438437fd3b98f751ec89375a06b267d36 MD5sum: 3c0cb84370d0874c0d30b2e0c0a83380 Description: first person 3d-shooter game Enemy Lines 7 is a Single-player game. You have to Shoot down enemy bombers threatening your city. Package: enfuse Source: enblend-enfuse Version: 4.0+dfsg-4 Architecture: armhf Maintainer: Debian PhotoTools Maintainers Installed-Size: 5156 Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libilmbase6 (>= 1.0.1), libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), libopenexr6 (>= 1.6.1), libplot2c2, libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), zlib1g (>= 1:1.1.4), dpkg (>= 1.15.4) | install-info Recommends: hugin Enhances: hugin Homepage: http://enblend.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/e/enblend-enfuse/enfuse_4.0+dfsg-4_armhf.deb Size: 2357340 SHA256: 3b81bd71a804a7cf361153be487a980f0bcba8cb27083efb712767863ce54e70 SHA1: 7de367b4865a685d73c03005ced7456e84a013ab MD5sum: 1ac41a00eba44dd314aae6b4ffe1c439 Description: image exposure blending tool Enfuse blends differently exposed images of the same scene into a nice output image, without producing intermediate HDR images that are then tonemapped to a viewable image. This simplified process often works much better and quicker than the currently known tonemapping algorithms. . The exposure blending is done using the Mertens-Kautz-Van Reeth exposure fusion algorithm. The basic idea is that pixels in the input images are weighted according to qualities such as proper exposure, good contrast, and high saturation. These weights determine how much a given pixel will contribute to the final image. . Enfuse does not align images for you. Use a tool like Hugin or PanoTools to do this. The TIFFs produced by these programs are exactly what Enfuse is designed to work with. Package: engauge-digitizer Version: 5.0-3 Architecture: armhf Maintainer: Tobias Winchen Installed-Size: 991 Depends: libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Suggests: engauge-digitizer-doc Homepage: http://digitizer.sourceforge.net/ Priority: optional Section: science Filename: pool/main/e/engauge-digitizer/engauge-digitizer_5.0-3_armhf.deb Size: 320378 SHA256: c2fcb452f09cf76c1ff0d65104b4de7a5a01b3edc5c24f507b0eeee330acb2de SHA1: 2e4920af85357eb660c8b3e3f5b491e5c44c43a7 MD5sum: 700455ea524171f9f234f60852af9180 Description: interactively extracts numbers from bitmap graphs or maps This digitizing software converts an image file showing a graph or map into xy data (coordinates). Some people use it for obtaining the original data from graphs in scientific publications. . Features: * Automatic grid line removal * Automatic point matching * Automatic curve tracing * Automatic axes matching * Handles cartesian, polar, linear and logarithmic graphs * Support for drag-and-drop and copy-and-paste * Context sensitive help, user manual and tutorials explain every feature * Preview windows give immediate feedback while modifying settings Package: engauge-digitizer-doc Source: engauge-digitizer Version: 5.0-3 Installed-Size: 4318 Maintainer: Tobias Winchen Architecture: all Recommends: engauge-digitizer Size: 4229992 SHA256: 0773cbaac7b61fb5902048a04f9b3355cd8abba5f4f55d56a80b5e1592ecc552 SHA1: 8cfe9b25d6b01f907161bcbd92cf699e2033d117 MD5sum: 2f03c3f238ff58bc6ea57d6d6e6a89fd Description: engauge-digitizer user manual and tutorial engauge-digitizer converts an image file showing a graph or map into numbers. . This package contains the HTML user guide and tutorial, which is accessible from within the main program. Homepage: http://digitizer.sourceforge.net/ Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/e/engauge-digitizer/engauge-digitizer-doc_5.0-3_all.deb Package: enigma Version: 1.10~~pre-alpha+r2236-1 Architecture: armhf Maintainer: Erich Schubert Installed-Size: 2112 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libxerces-c3.1, libzipios++0c2a, enigma-data (= 1.10~~pre-alpha+r2236-1) Recommends: enigma-doc Breaks: enigma-data (<< 1.10~~pre-alpha+r2210-1.1) Replaces: enigma-data (<< 1.10~~pre-alpha+r2210-1.1) Homepage: http://enigma.nongnu.org/ Priority: extra Section: games Filename: pool/main/e/enigma/enigma_1.10~~pre-alpha+r2236-1_armhf.deb Size: 984264 SHA256: b25b10723ffbe1a4b0d9394bffdf8f99efee68e02968e8686dd2fae9b89c3b65 SHA1: 078d5e7d886ba77c44798434ddc9201c24670f0f MD5sum: 57aecda68759bec9d222320e0a45a501 Description: A game where you control a marble with the mouse Enigma is a puzzle game similar to Oxyd on the Atari ST or Rock'n'Roll on the Amiga and good old Marble Madness. It also has Sokoban levels, and some interesting twists on the original sokoban concept. . In Enigma, your objective is to locate and uncover matching pairs of Oxyd stones. Simple as it sounds, this task is made more difficult by the fact that Oxyd stones tend to be hidden, inaccessible or protected by unexpected traps. Overcoming these obstacles often requires a lot of dexterity and wit (and can be quite addictive). . This package contains the game engine. Package: enigma-data Source: enigma Version: 1.10~~pre-alpha+r2236-1 Installed-Size: 26729 Maintainer: Erich Schubert Architecture: all Depends: ttf-dejavu-core, ttf-dejavu-extra Enhances: enigma Size: 19432918 SHA256: b38ac13f6a990f1361d91ecd778722b9e916a1f0b3842e8fbc3e55c99490c26a SHA1: c0845fb0eed7c97ab52bd809cabde0356d2aa19e MD5sum: d88e056206df06a466936ea1e203ac22 Description: Data file for the game enigma Enigma is a puzzle game similar to Oxyd on the Atari ST or Rock'n'Roll on the Amiga and good old Marble Madness. It also has Sokoban levels, and some interesting twists on the original sokoban concept. . In Enigma, your objective is to locate and uncover matching pairs of Oxyd stones. Simple as it sounds, this task is made more difficult by the fact that Oxyd stones tend to be hidden, inaccessible or protected by unexpected traps. Overcoming these obstacles often requires a lot of dexterity and wit (and can be quite addictive). . This package contains the data files (levels, graphics, sounds). Homepage: http://enigma.nongnu.org/ Tag: game::puzzle, implemented-in::lua, interface::x11, made-of::TODO, made-of::xml, role::app-data, uitoolkit::sdl, use::gameplaying, x11::application Section: games Priority: extra Filename: pool/main/e/enigma/enigma-data_1.10~~pre-alpha+r2236-1_all.deb Package: enigma-doc Source: enigma Version: 1.10~~pre-alpha+r2236-1 Installed-Size: 5832 Maintainer: Erich Schubert Architecture: all Recommends: enigma Size: 3369072 SHA256: 7602d2465375a04076f0fd7c6ca0bcd3d09a9738c18a0616bb5e88036dd92483 SHA1: 718770ee5432c20adf7e7da555c4cc67e9550707 MD5sum: b38eff374d67d15645e716478f805dee Description: Documentation for the game enigma Enigma is a puzzle game similar to Oxyd on the Atari ST or Rock'n'Roll on the Amiga and good old Marble Madness. It also has Sokoban levels, and some interesting twists on the original sokoban concept. . In Enigma, your objective is to locate and uncover matching pairs of Oxyd stones. Simple as it sounds, this task is made more difficult by the fact that Oxyd stones tend to be hidden, inaccessible or protected by unexpected traps. Overcoming these obstacles often requires a lot of dexterity and wit (and can be quite addictive). . This package contains the manual and level creating guide Homepage: http://enigma.nongnu.org/ Tag: game::TODO, game::puzzle, made-of::html, role::documentation, use::gameplaying Section: doc Priority: extra Filename: pool/main/e/enigma/enigma-doc_1.10~~pre-alpha+r2236-1_all.deb Package: enigma-level-previews Version: 1.01-1 Installed-Size: 6812 Maintainer: Erich Schubert Architecture: all Enhances: enigma, enigma-data Size: 4637484 SHA256: 3a65c72679796041adc37ebdb490d23cc15136f31a74c3b7633dcc9457418e8e SHA1: 7275c3b713960ebf95485b167bdc03eb8062e302 MD5sum: 52c81bfd8e8c3c65d7d7cc8a7c72b60c Description: Pregenerated level previews for Enigma Enigma is a puzzle game similar to Oxyd on the Atari ST or Rock'n'Roll on the Amiga and good old Marble Madness. It also has Sokoban levels, and some interesting twists on the original sokoban concept. . In Enigma, your objective is to locate and uncover matching pairs of Oxyd stones. Simple as it sounds, this task is made more difficult by the fact that Oxyd stones tend to be hidden, inaccessible or protected by unexpected traps. Overcoming these obstacles often requires a lot of dexterity and wit (and can be quite addicting). . This package contains thumbnails for enigma levels. If you have excess diskspace but a slow CPU or multiple users, you can install this package. It is however not needed for enigma operation, as thumbnails will be generated by the user on demand. Since generated thumbnails are stored in the users home directory, it is recommended to install this package on multi-user systems, to avoid having multiple copies. Tag: game::puzzle, role::app-data, use::gameplaying Section: games Priority: extra Filename: pool/main/e/enigma-level-previews/enigma-level-previews_1.01-1_all.deb Package: enigmail Version: 2:1.9.9-1~deb7u1 Installed-Size: 5829 Maintainer: Debian Mozilla Extension Maintainers Architecture: all Provides: iceape-enigmail, icedove-enigmail, xul-ext-enigmail Depends: gnupg (>= 2) | gnupg2, gnupg-agent, icedove (>= 1:52.0) | iceape (>= 2.46) Breaks: iceape (>> 2.52+), iceape (<< 2.46), icedove (<< 1:52.0) Size: 1739346 SHA256: 14c1af503a804013541bc4e474e8acc72af6ecb9bfc10b2ddda84d393ff9996b SHA1: c8472c8bedd3a4cda68c374d853078024b8d4c9f MD5sum: 6bdce0f7ca3d41254d656b8d2252d021 Description: GPG support for Thunderbird and Debian Icedove OpenPGP extension for Thunderbird. Enigmail allows users to access the features provided by the popular GnuPG software from within Thunderbird. . Enigmail is capable of signing, authenticating, encrypting and decrypting email. Additionally, it supports both the inline PGP format, as well as the PGP/MIME format as described in RFC 3156. Homepage: https://www.enigmail.net/ Enhances: iceape, icedove Recommends: pinentry-x11 Section: mail Priority: optional Filename: pool/main/e/enigmail/enigmail_1.9.9-1~deb7u1_all.deb Package: enna Version: 0.4.1~r3557-2.1 Architecture: armhf Maintainer: Davide Cavalca Installed-Size: 599 Depends: enna-theme (= 0.4.1~r3557-2.1), libevas1-engines-x (>= 0.9.9.49898), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libecore-evas1 (>= 1.0.0), libecore-file1 (>= 1.0.0), libecore-input1 (>= 1.0.0), libecore-ipc1 (>= 1.0.0), libecore-x1 (>= 1.0.0), libecore1 (>= 1.0.0), libedje1 (>= 1.0.0~beta), libeet1 (>= 1.0.0), libeina1 (>= 1.0.0~beta), libelementary-svn-09, libevas1 (>= 1.0.0), libglib2.0-0 (>= 2.12.0), libgssdp-1.0-3 (>= 0.12.0), libgupnp-1.0-4 (>= 0.18.0), libgupnp-av-1.0-2 (>= 0.6.0), liblircclient0, libplayer2 (>= 2.0.0), libsoup2.4-1 (>= 2.4.0), libudev0 (>= 146), libvalhalla2 (>= 2.0.0), libx11-6, libxdg-basedir1, libxml2 (>= 2.7.4), libxrandr2 (>= 2:1.2.0) Suggests: lirc Homepage: http://enna.geexbox.org Priority: optional Section: video Filename: pool/main/e/enna/enna_0.4.1~r3557-2.1_armhf.deb Size: 176088 SHA256: ddded6ec69cab08fd4d004c0ead7a97fcc64b961728d8d94c17ebabe1ca3beb3 SHA1: 80fe40021fe2076bb22e597ab801ea71800a5b7a MD5sum: def73d96bb44d2d5771e7ec56c228c4e Description: a powerful MediaCenter application based on EFL Enna is a Media Center application. Featuring a simple user interface, Enna allows the user to browse and play music and video files, browse pictures and play photo slideshows, build a database of the available media retrieve information from the Internet (such as covers, fan art, song lyrics, and much more). Enna is based on the powerful Enlightenment Foundations Libraries (EFL) for its graphical user interface and GeeXboX libraries for multimedia playback and information retrieval. Package: enna-dbg Source: enna Version: 0.4.1~r3557-2.1 Architecture: armhf Maintainer: Davide Cavalca Installed-Size: 759 Depends: enna (= 0.4.1~r3557-2.1) Homepage: http://enna.geexbox.org Priority: extra Section: debug Filename: pool/main/e/enna/enna-dbg_0.4.1~r3557-2.1_armhf.deb Size: 280000 SHA256: 0e3749f4751c20d400b1ce30148886bb9c4868ff4bd853ba762343b1a1c1cb84 SHA1: 200a0c027b75b3b0859b85cea3dfcfcde8ccd97a MD5sum: 0d4372033ace2c183e6933312617b72b Description: debugging symbols for enna Enna is a Media Center application. Featuring a simple user interface, Enna allows the user to browse and play music and video files, browse pictures and play photo slideshows, build a database of the available media retrieve information from the Internet (such as covers, fan art, song lyrics, and much more). Enna is based on the powerful Enlightenment Foundations Libraries (EFL) for its graphical user interface and GeeXboX libraries for multimedia playback and information retrieval. . This package contains the debugging symbols for enna. Package: enna-theme Source: enna Version: 0.4.1~r3557-2.1 Installed-Size: 4993 Maintainer: Davide Cavalca Architecture: all Size: 4551632 SHA256: 0b359a4593d2e429f219210883accbed21eb0a73f2bbb4e5bfa2a670bbf5d287 SHA1: 37f50cc863c95f65e46e067df08a933c8f667d6f MD5sum: 0aa8c9a3b1ddd5b7d97f7cb01df4fa18 Description: data files for Enna, a MediaCenter application Enna is a Media Center application. Featuring a simple user interface, Enna allows the user to browse and play music and video files, browse pictures and play photo slideshows, build a database of the available media retrieve information from the Internet (such as covers, fan art, song lyrics, and much more). Enna is based on the powerful Enlightenment Foundations Libraries (EFL) for its graphical user interface and GeeXboX libraries for multimedia playback and information retrieval. . This package contains the Enna user interface theme data files. Homepage: http://enna.geexbox.org Tag: role::app-data Section: video Priority: optional Filename: pool/main/e/enna/enna-theme_0.4.1~r3557-2.1_all.deb Package: enscribe Version: 0.1.0-1.1 Architecture: armhf Maintainer: Nick Rusnov Installed-Size: 76 Depends: libc6 (>= 2.13-28), libgd2-xpm (>= 2.0.36~rc1~dfsg), libsndfile1 (>= 1.0.20) Priority: extra Section: sound Filename: pool/main/e/enscribe/enscribe_0.1.0-1.1_armhf.deb Size: 18736 SHA256: 99459c82420668839edd7f7f2c425a6ee0c487cfc5214de3f3ea2578a022cd3a SHA1: d015b4272162362a5a1aba34f276a1de769175d1 MD5sum: a6de3cbccc054cd54948a34d34995f00 Description: convert images into sounds Enscribe converts the scanlines of the input image into frequency components and then using an inverse Fast Fourier Transform, converts them into sound. The left side of the image is the low frequency end, and the right is the high end, up to just under the Nyquist limit if you want it to. There are several tunable parameters as to how colour is converted into stereo sound and the frequency range to be used. This conversion can be used to create resilient audio watermarks or to simply create interesting sounds from images. Package: enscript Version: 1.6.5.90-2 Architecture: armhf Maintainer: Tim Retout Installed-Size: 1983 Depends: dpkg (>= 1.15.4) | install-info, libc6 (>= 2.13-28), libpaper1, perl Suggests: gv | postscript-viewer, lpr Replaces: octave3.0 Homepage: http://www.gnu.org/software/enscript/ Priority: optional Section: text Filename: pool/main/e/enscript/enscript_1.6.5.90-2_armhf.deb Size: 565254 SHA256: 352582eb359ecb31e070b70f559151b4bb986af2dc79047c5bcfcb1bbd90d296 SHA1: cf8a5ac2ed1685dbf2e7fa1c7e8bff493177cd9a MD5sum: 92d7351bdfbbb8bc41651b46bf3104ed Description: converts text to Postscript, HTML or RTF with syntax highlighting GNU Enscript takes ASCII files (often source code) and converts them to PostScript, HTML or RTF. It can store generated output to a file or send it directly to the printer. . It is often used for its syntax highlighting, as it comes with rules for a wide range of programming languages. New rules can be added using an awk-like stateful scripting language. Package: ensymble Version: 0.28-2 Installed-Size: 380 Maintainer: Dominic Hargreaves Architecture: all Depends: python, python-central (>= 0.6.11), openssl Size: 83410 SHA256: 3fa42495a1781c90a2669a2372aded9db646062bc56b8fc1d1f03246a6f0af69 SHA1: 504751c7d75fa7067863f9e93a28703216ab7706 MD5sum: 16da7043c8e136bbd865a86a732c9a90 Description: developer utilities for Symbian OS This is the Ensymble developer utilities for Symbian OS(TM), a collection of Python modules and command line programs for Symbian OS software development. . Current focus of Ensymble development is to provide useful tools for making "Python for S60" (also called PyS60) programs. Supported functions include generation of SIS (installation) packages, merging several SIS packages into one, (re-)signing existing SIS packages and modifying extension DLL headers. Support for other Symbian OS software development tasks will follow. Homepage: http://code.google.com/p/ensymble/ Python-Version: all Section: devel Priority: extra Filename: pool/main/e/ensymble/ensymble_0.28-2_all.deb Package: ent Version: 1.1debian-2 Architecture: armhf Maintainer: Thorsten Alteholz Installed-Size: 52 Depends: libc6 (>= 2.13-28) Homepage: http://www.fourmilab.ch/random/ Priority: optional Section: science Filename: pool/main/e/ent/ent_1.1debian-2_armhf.deb Size: 13602 SHA256: b902886dc2a429d831b61ed6567ee42f2e00830f2ed5111b9e02e27ac6884b12 SHA1: 37811b61ad499a7b2b80437420ad57b5fd063a68 MD5sum: 5389bb20c7c7dd50237593c47a141d4b Description: pseudorandom number sequence test program This program applies various tests to sequences of bytes stored in files and reports the results of those tests. The program is useful for those evaluating pseudorandom number generators for encryption and statistical sampling applications, compression algorithms, and other applications where the information density of a file is of interest. . Original Homepage: http://www.fourmilab.ch/random/ (Now maintained primarily in Debian.) Package: entagged Version: 0.35-4 Installed-Size: 1588 Maintainer: Debian Java Maintainers Architecture: all Depends: openjdk-6-jre | java6-runtime, libhsqldb-java, squareness Size: 1378020 SHA256: 8a5612851c0b035cd4a81d97c31594411eb79c40800e05d752885e091d9c9551 SHA1: 030c8be394de2be34a92c0224852e746882b0fd2 MD5sum: dbed98154692361aa8bdd2a9af921954 Description: Java Audio File Tagger Entagged is a audiofile tagger, using the freedb online database for the retrieval of the tags. It supports custom file renaming from tags (with any directory stucture) and vice versa. Supports: mp3, ogg, flac, mpc, ape, wma. Homepage: http://sourceforge.net/projects/entagged Tag: implemented-in::java, role::program, scope::utility, use::organizing, works-with-format::mp3, works-with-format::oggvorbis, works-with-format::wav, works-with::audio Section: editors Priority: optional Filename: pool/main/e/entagged/entagged_0.35-4_all.deb Package: enum Version: 1.1-1 Architecture: armhf Maintainer: Jan Hauke Rahm Installed-Size: 76 Depends: libc6 (>= 2.4) Homepage: https://fedorahosted.org/enum Priority: optional Section: utils Filename: pool/main/e/enum/enum_1.1-1_armhf.deb Size: 25616 SHA256: 8aeda8c76aa5f6a1075c7abdc97ca287181f4dc039d489aa186d2ada1f8b73af SHA1: ad982339f4be38089cbdac61b9a2351a29aa3ead MD5sum: a6633cf33c3274c5942484c0aac179ca Description: seq- and jot-like enumerator enum enumerates values (numbers) between two values, possibly further adjusted by a step and/or a count, all given on the command line. Before printing, values are passed through a formatter. Very fine control over input interpretation and output is possible. . enum is designed to be a drop-in replacement for GNU seq while still providing (almost) the same feature set jot (on BSD) provides. Package: environment-modules Source: modules Version: 3.2.9c-3 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 262 Depends: debhelper (>= 8.1.3), tcl8.5 (>= 8.5.0), libc6 (>= 2.13-28) Homepage: http://modules.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/m/modules/environment-modules_3.2.9c-3_armhf.deb Size: 119464 SHA256: f27f0e63fd712706554438fa6bdd3b07d42954a8fc9315a60c7cd394997ec5b8 SHA1: 69cc1c0af7fec6ed8449283377fb0be6f3746280 MD5sum: 854f7fd544edf337e21d80894ff35234 Description: Modular system for handling environment variables The Modules package provides for the dynamic modification of a user's environment via modulefiles. Each modulefile contains the information needed to configure the shell for an application. Once the Modules package is initialized, the environment can be modified dynamically on a per-module basis using the module command which interprets modulefiles. Typically modulefiles instruct the module command to alter or set shell environment variables such as PATH, MANPATH, etc. modulefiles may be shared by many users on a system and users may have their own collection to supplement or replace the shared modulefiles. The modules environment is common on SGI/Crays and many workstation farms. Package: envstore Version: 2.0.4-1 Architecture: armhf Maintainer: Maximilian Gass Installed-Size: 51 Depends: libc6 (>= 2.7) Homepage: https://derf.homelinux.org/~derf/projects/envstore Priority: optional Section: utils Filename: pool/main/e/envstore/envstore_2.0.4-1_armhf.deb Size: 7934 SHA256: 15ad09ff40a28553fdeff4c9bfd0e658e53c49246307791c4974927b74b3c951 SHA1: 15e880f06535f3f5baf53f51a3a7f4eaea0c8f4b MD5sum: a0831e0a2bb95f5b5dc2ccff4ac6f927 Description: save and restore environment variables envstore allows you to save environment variables into a separate store, list them, and reload them into the shell again. Package: eog Version: 3.4.2-1+build1+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 7216 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.6.0), libexempi3 (>= 2.2.0), libexif12, libffi5 (>= 3.0.9), libgdk-pixbuf2.0-0 (>= 2.22.0), libgirepository-1.0-1 (>= 0.9.3), libglib2.0-0 (>= 2.31.8), libgnome-desktop-3-2 (>= 3.2.0), libgtk-3-0 (>= 3.3.18), libjpeg8 (>= 8c), liblcms2-2, libpango1.0-0 (>= 1.14.0), libpeas-1.0-0 (>= 1.0.0), librsvg2-2 (>= 2.26.0), libx11-6, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), dconf-gsettings-backend | gsettings-backend, gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, gnome-icon-theme (>= 2.19.1), shared-mime-info (>= 0.20), gsettings-desktop-schemas (>= 2.91.92), gir1.2-peas-1.0 Recommends: librsvg2-common, yelp Conflicts: gir1.2-eog-3.0 Breaks: eog-plugins (<< 2.91) Replaces: gir1.2-eog-3.0 Homepage: http://projects.gnome.org/eog Priority: optional Section: gnome Filename: pool/main/e/eog/eog_3.4.2-1+build1+deb7u1_armhf.deb Size: 2556280 SHA256: 4b7d32c4a30779b77a7147ffceca27a5d71c16be651ad01b3563afc2f1264413 SHA1: 6c0c2205cd87b564183e79801a11f3d694e374ef MD5sum: 943a2a2b01671e9d0c5a903313527e34 Description: Eye of GNOME graphics viewer program eog or the Eye of GNOME is a simple graphics viewer for the GNOME desktop which uses the gdk-pixbuf library. It can deal with large images, and zoom and scroll with constant memory usage. Its goals are simplicity and standards compliance. Package: eog-dbg Source: eog Version: 3.4.2-1+build1+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1376 Depends: eog (= 3.4.2-1+build1+deb7u1) Homepage: http://projects.gnome.org/eog Priority: extra Section: debug Filename: pool/main/e/eog/eog-dbg_3.4.2-1+build1+deb7u1_armhf.deb Size: 515300 SHA256: 3725a9472b8ba199606909383da16745f8e67a459216bd853145ad8b8399fee7 SHA1: ebbe938e235463556c37cc8d8150d3d189a9c4eb MD5sum: 145c6f4e7ba28886b90df49781ffe5fc Description: Eye of GNOME graphics viewer program - debugging symbols eog or the Eye of GNOME is a simple graphics viewer for the GNOME desktop which uses the gdk-pixbuf library. It can deal with large images, and zoom and scroll with constant memory usage. Its goals are simplicity and standards compliance. . This package contains the debugging symbols for eog. Package: eog-dev Source: eog Version: 3.4.2-1+build1+deb7u1 Installed-Size: 1271 Maintainer: Debian GNOME Maintainers Architecture: all Depends: eog (>= 3.4.2-1+build1+deb7u1), libgtk-3-dev (>= 3.0.0) Size: 243308 SHA256: b1d607a8eddd759c279a49eb2acf155899b7a111525793f401a1b96aeed417cf SHA1: ead4421221933f92de115681f57530832b678d9e MD5sum: 3f65d79d05cf05389eadb1c601e918ed Description: Development files for the Eye of GNOME eog or the Eye of GNOME is a graphics viewer for the GNOME desktop which uses the gdk-pixbuf library. . This package contains header files and development information, which is needed to build plugins for the Eye of GNOME. Homepage: http://projects.gnome.org/eog Section: gnome Priority: optional Filename: pool/main/e/eog/eog-dev_3.4.2-1+build1+deb7u1_all.deb Package: eog-plugins Source: eog-plugins (3.4.1-1) Version: 3.4.1-1+b1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 905 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libchamplain-0.12-0 (>= 0.11.0), libchamplain-gtk-0.12-0 (>= 0.11.0), libclutter-1.0-0 (>= 1.10.0), libclutter-gtk-1.0-0 (>= 1.1.2), libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.7.4), libdrm2 (>= 2.3.1), libexif12, libffi5 (>= 3.0.9), libgdata13 (>= 0.10.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgirepository-1.0-1 (>= 0.9.2), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libjson-glib-1.0-0 (>= 0.12.0), libpango1.0-0 (>= 1.14.0), libpeas-1.0-0 (>= 1.0.0), libsoup2.4-1 (>= 2.4.0), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6, libxml2 (>= 2.6.27), dconf-gsettings-backend | gsettings-backend, python (>= 2.6.6-7~), python2.7, eog (>= 3.3.6), python-gi, gir1.2-gtk-3.0, gsettings-desktop-schemas Suggests: postr Enhances: eog Homepage: https://live.gnome.org/EyeOfGnome/Plugins Priority: optional Section: gnome Filename: pool/main/e/eog-plugins/eog-plugins_3.4.1-1+b1_armhf.deb Size: 104816 SHA256: 5b122b83279e0a57c16d57f6ad88fa5a59b41ba8a50850d044fc7eeb8034995f SHA1: a8474e170d29047aed1d5048dfdb97c4d153939b MD5sum: 631b4bbd8f70e89acb7003e8e27b062a Description: set of plugins for eog eog-plugins contain a set of plugins for eog, Eye of GNOME. . The following plugins are included: * Send By Mail: Sends an image attached to a new mail * Flickr Uploader: Upload your pictures to Flickr * Slideshow Shuffle: Shuffles images in slideshow mode * Exif display: Displays camera settings and histogram * Python Console: Python console for Eye of GNOME * PicasaWeb Uploader: Upload your pictures to PicasaWeb * Fullscreen Background: Enables changing background in fullscreen mode * Map: Display the geolocation of the image on a map * Zoom to fit image width: Zoom to fit image width Package: eot-utils Version: 1.0-1 Architecture: armhf Maintainer: Jérémy Lal Installed-Size: 70 Depends: libc6 (>= 2.4) Homepage: http://www.w3.org/Tools/eot-utils/ Priority: extra Section: web Filename: pool/main/e/eot-utils/eot-utils_1.0-1_armhf.deb Size: 17582 SHA256: 873adbfeffdd2f8320f1923585112c3a40bb001ab6219631c6031ab0d8128f01 SHA1: 14b651d5f2445d5a9039df31043b7021d62623c4 MD5sum: 68c21dacbe129cfe7305427003d08549 Description: Tools to convert from OTF or TTF to EOT font format The eot-utils are the two programs mkeot and eotinfo. The former creates an EOT (Embedded OpenType) file from an OpenType or TrueType font and the URLs of one or more Web pages. mkeot respects the TrueType embedding bits. The eotinfo program displays the contents of an EOT header in a human-readable way. Package: epdfview Version: 0.1.8-3 Architecture: armhf Maintainer: Yves-Alexis Perez Installed-Size: 613 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libcups2 (>= 1.4.0), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpoppler-glib8 (>= 0.18), libstdc++6 (>= 4.3.0) Suggests: poppler-data Provides: pdf-viewer Homepage: http://trac.emma-soft.com/epdfview/ Priority: optional Section: x11 Filename: pool/main/e/epdfview/epdfview_0.1.8-3_armhf.deb Size: 129924 SHA256: d3ef2d1f4165089adf830510b7adc7c1cfb30a23952c494bc966fcfef3942e09 SHA1: 5516d2098fa3d708f1dbea76a431862579d44ee4 MD5sum: 5a6fa33d0d510b8469f35d17bb333d06 Description: Lightweight pdf viewer based on poppler libs The aim of ePDFView is to make a simple PDF document viewer, in the lines of Evince but without using the GNOME libraries. Package: eperl Version: 2.2.14-18 Architecture: armhf Maintainer: Debian WML Packaging Team Installed-Size: 320 Depends: libc6 (>= 2.13-28), libperl5.14 (>= 5.14.2), perl (>= 5.14.2-9), perlapi-5.14.2 Suggests: libapache2-mod-perl2 Homepage: http://www.ossp.org/pkg/tool/eperl/ Priority: optional Section: devel Filename: pool/main/e/eperl/eperl_2.2.14-18_armhf.deb Size: 135052 SHA256: a6bb368f9e4b535bf8ed5344020dc343ee3a1df77eb8f9f3e6acc66b085f225d SHA1: 88aadf5ff05873d5d6396391360ce73e77bb1765 MD5sum: 65e054eda544df76f262bc755687c04d Description: Embedded Perl 5 Language ePerl interprets an ASCII file bristled with Perl 5 program statements by evaluating the Perl 5 code while passing through the plain ASCII data. It can operate in various ways: As a stand-alone Unix filter or integrated Perl 5 module for general file generation tasks and as a powerful Webserver scripting language for dynamic HTML page programming. Package: epic4 Version: 1:2.10.1-1 Architecture: armhf Maintainer: Kurt Roeckx Installed-Size: 1046 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libperl5.14 (>= 5.14.2), libssl1.0.0 (>= 1.0.0), libtinfo5, epic4-help (>= 1:1.1.7.20020907) Suggests: epic4-script Provides: irc Homepage: http://www.epicsol.org/ Priority: optional Section: net Filename: pool/main/e/epic4/epic4_2.10.1-1_armhf.deb Size: 460206 SHA256: a5574a61e00b5ca1205ca7ba72b32ba81698465bbe67f984f3b962c3b657c846 SHA1: efb51859e358325a2af310debbb5882c0a97db87 MD5sum: 7c38200974aebc0138dfb1ca6e5d058e Description: epic irc client, version 4 epic4 is an irc client based on ircII. It is primarily suited to users who wish to write their own irc scripts or have irc scripts written by others. . Previous versions of epic were 100% compatible with ircII 2.8.2, though this is no longer the case. Instead we've taken a new direction and chosen to find places where compatibility with ircII is undesirable and fix them. No gratuitous incompatibilities have been added, though many new features have been. Package: epic4-help Version: 1:2.0+20050315-2 Installed-Size: 3896 Maintainer: Kurt Roeckx Architecture: all Suggests: epic4 (>= 1:1.2.8) Conflicts: epic4 (<= 1:1.0.2) Size: 362022 SHA256: 3c743dfbb27cf7ed8a092dd3405191bda7abeea6b6c7bb7e9f08135f1534c576 SHA1: 2542bdb43498c43be471d2fd843c685480844601 MD5sum: 3f8950db754fdb87c925068e083499aa Description: help files for epic4 IRC client Documentation for the epic4 irc client. Used from within epic4 with the /help command. Tag: interface::text-mode, protocol::dcc, protocol::irc, protocol::ssl, role::documentation, uitoolkit::ncurses, use::chatting Section: net Priority: optional Filename: pool/main/e/epic4-help/epic4-help_2.0+20050315-2_all.deb Package: epic4-script-lice Source: lice Version: 1:4.2.5i-1 Installed-Size: 1512 Maintainer: Kurt Roeckx Architecture: all Provides: epic4-script Depends: epic4 (>= 1.1.7.20020907-1) Size: 275022 SHA256: 910d3072aaeb15dcaa9fd1f986a7c161b308f81fe405db389ff75c2a514106e8 SHA1: 4e5ed9db4dbb8f0c5b5286a68fc452e210d759d0 MD5sum: a6a735883b4f5ef9fbf58581c18a161b Description: Very functional script for epic LiCe is a script designed for the ircII and EPIC irc clients; it radically improves the usability of these clients, providing features and enhancements that pioneered the way for a whole crowd of imitators. Though there is now much diversity, thousands of LiCe users still declare it the best script ever. Tag: interface::text-mode, protocol::dcc, protocol::irc, protocol::ssl, role::app-data, uitoolkit::ncurses, use::chatting Section: net Priority: optional Filename: pool/main/l/lice/epic4-script-lice_4.2.5i-1_all.deb Package: epic5 Version: 1.1.2-2 Architecture: armhf Maintainer: Kurt Roeckx Installed-Size: 1310 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libperl5.14 (>= 5.14.2), libruby1.8 (>= 1.8.7.352), libssl1.0.0 (>= 1.0.0), libtinfo5, tcl8.5 (>= 8.5.0) Suggests: epic5-script Provides: irc Homepage: http://www.epicsol.org/ Priority: optional Section: net Filename: pool/main/e/epic5/epic5_1.1.2-2_armhf.deb Size: 606856 SHA256: f8c8b041d2bef2287d3a415bb1d2be768812b872173a8038423ab8c6f5f52b54 SHA1: a6fe5a066c4fcaa7fb2807cf3200369c5e574cc2 MD5sum: e525c00727b53ae5e08aeb34c92e2e14 Description: epic irc client, version 5 epic5 is an irc client based on ircII. It is primarily suited to users who wish to write their own irc scripts or have irc scripts written by others. . Previous versions of epic were 100% compatible with ircII 2.8.2, though this is no longer the case. Instead we've taken a new direction and chosen to find places where compatibility with ircII is undesirable and fix them. No gratuitous incompatibilities have been added, though many new features have been. Package: epic5-script-lice Source: lice5 Version: 1:5.2.3-1 Installed-Size: 667 Maintainer: Kurt Roeckx Architecture: all Provides: epic5-script Depends: epic5 (>= 1.1.2) Size: 181216 SHA256: a7c3efc82e7490587bd19c91db01fb26023707cb3a1fd34147eea8aaff8de136 SHA1: ee4ce70ecb572433f1b8dccaab1ca7f7ab385189 MD5sum: cbee1255ec380630c2533f86c2e0b7af Description: Very functional script for epic LiCe is a script designed for the ircII and EPIC irc clients; it radically improves the usability of these clients, providing features and enhancements that pioneered the way for a whole crowd of imitators. Though there is now much diversity, thousands of LiCe users still declare it the best script ever. Section: net Priority: optional Filename: pool/main/l/lice5/epic5-script-lice_5.2.3-1_all.deb Package: epigrass Version: 2.0.4-3 Installed-Size: 1568 Maintainer: Debian Med Packaging Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-numpy, python-networkx, python-sqlobject (>= 0.12.4-2), python-visual, python-qt4-gl, python-qwt5-qt4 (>= 5.2.0), python-gdal, python-formencode, python-mysqldb, python-pysqlite2, python-matplotlib, python-pkg-resources Suggests: grass, epigrass-doc Size: 318946 SHA256: 70b7a3cf80d7766fedd1eb3149fde0cb226763242e7cdfe32b243c62b32cbca4 SHA1: 55e8b775c9dbe27e6e8ede1839b5f9b554485f1f MD5sum: e353bafa52f9e5e414c8b2fc7f73db6f Description: scientific tool for simulations and scenario analysis in network epidemiology Epigrass is a software for visualizing, analyzing and simulating of epidemic processes on geo-referenced networks. . EpiGrass can interact with the GRASS GIS from which it can obtain maps and other geo-referenced information. However, EpiGrass does not require an installation of the GRASS GIS for most of its features. Homepage: http://pypi.python.org/pypi/epigrass/ Tag: field::medicine, implemented-in::python, interface::x11, role::program, scope::application, uitoolkit::qt, use::analysing, use::viewing, works-with::db, x11::application Section: science Priority: optional Filename: pool/main/e/epigrass/epigrass_2.0.4-3_all.deb Package: epigrass-doc Source: epigrass Version: 2.0.4-3 Installed-Size: 1062 Maintainer: Debian Med Packaging Team Architecture: all Enhances: epigrass Size: 1064020 SHA256: 45dab4b2b57c75f42c9948caa4d643bbda37c2a7fd3a7b7ba0b5be541c8bdf81 SHA1: 7f42862d9466c6a7ffb9e21371b42d2357655a00 MD5sum: d7577f4c9c666d8eee5f556972f9e85f Description: Documentation for EpiGrass, a network epidemiology tool Epigrass is a software for visualizing, analyzing and simulating of epidemic processes on geo-referenced networks. . EpiGrass can interact with the GRASS GIS from which it can obtain maps and other geo-referenced information. However, EpiGrass does not require an installation of the GRASS GIS for most of its features. . This package contains the documentation. Homepage: http://pypi.python.org/pypi/epigrass/ Tag: made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/e/epigrass/epigrass-doc_2.0.4-3_all.deb Package: epiphany Version: 0.7.0-6 Architecture: armhf Maintainer: Joerg Jaspert Installed-Size: 201 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.13-4), libstdc++6 (>= 4.4.0), epiphany-data (>= 0.7.0) Homepage: http://epiphany.sourceforge.net/site/ Priority: optional Section: games Filename: pool/main/e/epiphany/epiphany_0.7.0-6_armhf.deb Size: 72776 SHA256: 9d4ece3e5c05630c9b1cd8bb2697a8d35fe1a9ad807e46e64e38d9f0de63ba19 SHA1: bd247613e0f8c6b24e2ea6823e00bed1b765a5ea MD5sum: e421e70fc32a77d2f6ffdba11a75cb3e Description: clone of Boulder Dash game Epiphany is a multi-platform clone of Boulder Dash. In this game, the player must collect all the valuable minerals scattered in each level, while avoiding being hit by a falling boulder or, worse, by a bomb. . Boulder Dash was one of the best games ever made for the Commodore 64. Package: epiphany-browser Version: 3.4.2-2.1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 1861 Depends: epiphany-browser-data (>= 3.4), epiphany-browser-data (<< 3.5), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-gobject0 (>= 0.6.22), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libgirepository-1.0-1 (>= 0.9.2), libglib2.0-0 (>= 2.31.18), libgnome-keyring0 (>= 2.26.0), libgtk-3-0 (>= 3.3.16), libice6 (>= 1:1.0.0), libnotify4 (>= 0.7.0), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libpango1.0-0 (>= 1.14.0), libseed-gtk3-0, libsm6, libsoup-gnome2.4-1 (>= 2.37.1), libsoup2.4-1 (>= 2.33.92), libsqlite3-0 (>= 3.5.9), libwebkitgtk-3.0-0 (>= 1.7.92), libx11-6, libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), gnome-icon-theme (>= 2.9.90), dbus-x11, iso-codes, gsettings-desktop-schemas Recommends: yelp, evince, ca-certificates Suggests: epiphany-extensions Conflicts: epiphany-gecko (<< 2.28), epiphany-webkit (<< 2.28), swfdec-mozilla Breaks: epiphany-extensions (<< 2.30.0-4), gnome-session (<< 3.4.2.1-3), gnome-shell (<< 3.4.2-1) Replaces: epiphany-gecko (<< 2.28), epiphany-webkit (<< 2.28) Provides: gnome-www-browser, www-browser Homepage: http://www.gnome.org/projects/epiphany/ Priority: optional Section: gnome Filename: pool/main/e/epiphany-browser/epiphany-browser_3.4.2-2.1_armhf.deb Size: 1357146 SHA256: e8f83f0fe9c1e66eb8a1f86ff139aea746746c1a546f677c996593112ad2c2ac SHA1: e9e17a58da1a44f8b0868beff186d2692084b57b MD5sum: b79ca44c6715b054f66248c3b0639fa7 Description: Intuitive GNOME web browser Epiphany is a simple yet powerful GNOME web browser targeted at non-technical users. Its principles are simplicity and standards compliance. . Simplicity is achieved by a well designed user interface and reliance on external applications for performing external tasks (such as reading email). Simplicity does not mean less features; Epiphany has everything a modern web browser is expected to have, and can have more through its extensions. . Standards compliance is achieved on the HTML side by using the WebKitGTK+ rendering engine (which is based on the engine used by Apple Safari and Google Chrome); and on the user interface side by closely following the GNOME Human Interface Guidelines (HIG) and by close integration with the GNOME desktop. Package: epiphany-browser-data Source: epiphany-browser Version: 3.4.2-2.1 Installed-Size: 11267 Maintainer: Josselin Mouette Architecture: all Depends: dconf-gsettings-backend | gsettings-backend Recommends: epiphany-browser Size: 4332608 SHA256: 9d5f839f73d5dcf94e2966e4de00f1357a1d579b9e5d4590fe83769673f8265e SHA1: 09cc27eb3b343cce1f3762cde8f0a763550b9965 MD5sum: 6b6d6f9d6483211897fece6b6863d69f Description: Data files for the GNOME web browser Epiphany is a simple yet powerful GNOME web browser targeted at non-technical users. Its principles are simplicity and standards compliance. . This package contains the common files, artwork and translations for Epiphany. Homepage: http://www.gnome.org/projects/epiphany/ Tag: role::app-data, suite::gnome Section: gnome Priority: optional Filename: pool/main/e/epiphany-browser/epiphany-browser-data_3.4.2-2.1_all.deb Package: epiphany-browser-dbg Source: epiphany-browser Version: 3.4.2-2.1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 3306 Depends: epiphany-browser (= 3.4.2-2.1) Recommends: libwebkitgtk-3.0-0-dbg (>= 1.7.92) Homepage: http://www.gnome.org/projects/epiphany/ Priority: extra Section: debug Filename: pool/main/e/epiphany-browser/epiphany-browser-dbg_3.4.2-2.1_armhf.deb Size: 1693276 SHA256: 989e0c6f23a8938c519163926997a64e94ba344ddd0b1344e4e26466e01a46b9 SHA1: 5d2f30ad6d6ca9bcac526bba6f39bad034e821fb MD5sum: fdff52785acdc5164cd3eac0edb03b74 Description: Debugging symbols for the GNOME web browser Epiphany is a simple yet powerful GNOME web browser targeted at non-technical users. Its principles are simplicity and standards compliance. . This package contains detached debugging symbols. Package: epiphany-browser-dev Source: epiphany-browser Version: 3.4.2-2.1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 1858 Depends: epiphany-browser (= 3.4.2-2.1), epiphany-browser-data (= 3.4.2-2.1), gir1.2-epiphany-3.4 (= 3.4.2-2.1), libgtk-3-dev (>= 3.3.11), libwebkitgtk-3.0-dev (>= 1.7.92) Homepage: http://www.gnome.org/projects/epiphany/ Priority: optional Section: devel Filename: pool/main/e/epiphany-browser/epiphany-browser-dev_3.4.2-2.1_armhf.deb Size: 1182934 SHA256: 0b360572862fca522479abc04e813bb3e4236ae7e29b71c0452bb016405f2666 SHA1: 6750b7b07007ae1ac76d815cc81a51f99d84bed9 MD5sum: 3c18ca341bf24076aa3f87543a509578 Description: Development files for the GNOME web browser Epiphany is a simple yet powerful GNOME web browser targeted at non-technical users. Its principles are simplicity and standards compliance. . This package is required to develop extensions for Epiphany/WebKitGTK+. Package: epiphany-data Source: epiphany Version: 0.7.0-6 Installed-Size: 5816 Maintainer: Joerg Jaspert Architecture: all Replaces: epiphany (<< 0.5.1-2) Recommends: epiphany (>= 0.7.0-6) Conflicts: epiphany (<< 0.5.1-2) Size: 2443218 SHA256: 51d98ee43abadfded297c9bdfddd6ff51efa30f96f7979b5f26912625b73e2ff SHA1: a223352d31d93eefb4e600d72f15217df098bc57 MD5sum: c580c8a407c9e7a84b2d40ae3780114c Description: required data files for epiphany game This package provides all architecture-independent data files required for playing with epiphany. . Epiphany is a multi-platform clone of Boulder Dash, one of the best games ever made for the Commodore 64. Homepage: http://epiphany.sourceforge.net/site/ Tag: game::arcade, implemented-in::c++, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/e/epiphany/epiphany-data_0.7.0-6_all.deb Package: epiphany-extensions Version: 3.4.0-2 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 3069 Depends: dconf-gsettings-backend | gsettings-backend, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libjavascriptcoregtk-3.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libwebkitgtk-3.0-0 (>= 1.3.10), epiphany-browser (>= 3.4), epiphany-browser (<< 3.5) Homepage: http://projects.gnome.org/epiphany/extensions Priority: optional Section: gnome Filename: pool/main/e/epiphany-extensions/epiphany-extensions_3.4.0-2_armhf.deb Size: 1279354 SHA256: 1d48710356ac0b773b49fc78a3bca1e09a7917c0a7e22d4ec16ccf19cfea1e64 SHA1: fb396b8dedf9ce39f12a53ac284087ff5b6fe5b8 MD5sum: 22b1e06114ef5f24b4a0750838a04b18 Description: Extensions for Epiphany web browser Adds extra features to GNOME's Epiphany web browser, including actions, adblocking, auto-reload, console, extensions manager, find, greasemonkey, javaconsole, mouse gestures, move tabs between windows, pushscroller, rss extension, error viewer and validator, certificate viewer, sidebar, smart bookmarks, page info, CSS Stylesheet, and tab states. Package: episoder Version: 0.6.5-1 Installed-Size: 144 Maintainer: Stefan Ott Architecture: all Depends: python, python-support (>= 0.90.0), python-beautifulsoup, python-yaml, python-sqlalchemy Suggests: cron Size: 19248 SHA256: 70726df263bf87c52b9cc262f0903118eaf63f8e00d0ac508d533eee3b269c35 SHA1: cd09be0074eb856d55b61eddf94954581e3e4b98 MD5sum: b9052ebfaf544f2056a5557d5b6893eb Description: TV show episode reminder episoder is a tool to tell you about new episodes of your favourite TV shows. It does so by parsing online TV show episode guides. . Currently episoder can parse the tv.com and epguides.com websites thus it tells you whenever a new episode of a show listed on one of those sites is aired. Homepage: http://episoder.googlecode.com/ Tag: role::program, scope::utility Section: python Priority: optional Filename: pool/main/e/episoder/episoder_0.6.5-1_all.deb Package: epix Version: 1.2.10-1 Architecture: armhf Maintainer: Julian Gilbey Installed-Size: 3450 Depends: g++ | c++-compiler, texlive-base-bin, texlive-latex-base, texlive-pictures, ghostscript, imagemagick | graphicsmagick-imagemagick-compat, dpkg (>= 1.15.4) | install-info Recommends: texlive-pstricks Suggests: latex-xcolor Conflicts: epix1 (<< 1.2.6-2) Replaces: epix1 (<< 1.2.6-2) Priority: optional Section: tex Filename: pool/main/e/epix/epix_1.2.10-1_armhf.deb Size: 1903274 SHA256: ec5babf673e94c61770321e96269fa50d86f5ceab0e3828a0b726bb9119a7996 SHA1: 2afd33ea7a98a01acf0633c9c37bd4fe98191d27 MD5sum: ccf8681d9c95400155a357a4e2824941 Description: Create mathematically accurate line figures, plots and movies ePiX provides a system for creating mathematically accurate line figures, plots, and movies using an easy-to-learn C++-like syntax. LaTeX and dvips comprise the typographical rendering engine, while ImageMagick is used to create bitmapped images and animations. Package: epm Version: 4.2-6 Architecture: armhf Maintainer: Jeff Licquia Installed-Size: 504 Depends: libc6 (>= 2.13-28) Homepage: http://epmhome.org/ Priority: optional Section: devel Filename: pool/main/e/epm/epm_4.2-6_armhf.deb Size: 226182 SHA256: d477ee51c59c3bd1c4f5fc1d4bf96adec94117432cbdd99ef7205407e8079a4c SHA1: e231187ef024de481e6ae08088efa24c5c5a558e MD5sum: 315986f33cd6c9d308134efff1f665ca Description: Cross-platform package builder by Easy Software Products This package allows a developer to produce packages for several different platforms from a single specification. Currently, Debian dpkg, RPM, AT&T/Solaris pkg, HP-UX depot/swinstall, and IRIX inst/tardist packages are supported, as well as a "portable" package that includes installation and removal scripts and a GUI setup program. Package: epoptes Version: 0.5.6-1 Installed-Size: 1485 Maintainer: Epoptes Developers Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), adduser, librsvg2-common, notification-daemon | xfce4-notifyd, openssl, python-dbus, python-gtk2, python-notify, python-twisted-core, python-vte, xvnc4viewer | ssvnc Recommends: epoptes-client, xterm Size: 253624 SHA256: 1f823c81625f4a45e833d400a56d45b96a307d35f65b1b6f2d85f8b3dda79ad2 SHA1: d6f02708db655dda7363de2a09f9642547f7543d MD5sum: 22138331b3f987da8670998f6c18bbd9 Description: Computer lab management tool Epoptes is an open source computer lab management and monitoring tool. It allows for screen broadcasting and monitoring, remote command execution, message sending, imposing restrictions like screen locking or sound muting the clients and much more! . Contains the server daemon and a GUI for controlling client PCs. . It supports LTSP installations, but it also works without LTSP. Homepage: http://www.epoptes.org Section: admin Priority: extra Filename: pool/main/e/epoptes/epoptes_0.5.6-1_all.deb Package: epoptes-client Source: epoptes Version: 0.5.6-1 Installed-Size: 74 Maintainer: Epoptes Developers Architecture: all Depends: python, bsdmainutils, iproute, librsvg2-common, procps, python-gtk2, screen, socat, x11vnc, xvnc4viewer | ssvnc Recommends: openssl, xterm Size: 29428 SHA256: 8f9a434bf747263b6a9ddc78da5daf075e79b03870ddc1aa26aea3eea970481b SHA1: 5ae4d1332108319be8f4914e52d59e0760b069a9 MD5sum: 322b107e34bc78221a22833cef108414 Description: Computer lab management tool (client) Epoptes is an open source computer lab management and monitoring tool. It allows for screen broadcasting and monitoring, remote command execution, message sending, imposing restrictions like screen locking or sound muting the clients and much more! . Contains the client daemon and some utilities for getting screenshots etc. Homepage: http://www.epoptes.org Section: admin Priority: extra Filename: pool/main/e/epoptes/epoptes-client_0.5.6-1_all.deb Package: epsilon-bin Source: libepsilon Version: 0.9.1-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 97 Depends: libc6 (>= 2.7), libepsilon0, libpopt0 (>= 1.14) Homepage: http://sourceforge.net/projects/epsilon-project Priority: extra Section: science Filename: pool/main/libe/libepsilon/epsilon-bin_0.9.1-2_armhf.deb Size: 35972 SHA256: 82d86918ea83a2d2e8339dbfcea31c7bb9329c0f1b78ac5dd289c25e312d7bca SHA1: d27c8121fc64e1e740e2d5956a91f7ff995a60cf MD5sum: 6a0129d65166d8a89a2bba868c15336d Description: A library for wavelet image compression - tools Epsilon is C library for Wavelet based lossy image compression. Wavelet-driven compressors are know to be much more effective than traditional DCT-based ones (like JPEG). . At the moment, the program supports about 30 different wavelet filters, runs in parallel in multi-threaded and MPI environments, can process huge images and much more. . This package contains some useful tools to compress/uncompress images. Package: epson-escpr Source: epson-inkjet-printer-escpr Version: 1.1.1-2 Installed-Size: 28 Maintainer: Debian Printing Team Architecture: all Depends: printer-driver-escpr Size: 3830 SHA256: 4cad994ee2df2558986a6a0f38e948b7fe42c5c5abd7b502e0c36257a5e9710a SHA1: b6cb40969b5cd2786b8ee949f94c72c325f4f7a4 MD5sum: ade4ab1877764d5126c3f5cdd8d4106c Description: transitional dummy package for epson-escpr printer driver This is a transitional dummy package to transition to uniformly named printer-driver-escpr. Homepage: http://avasys.jp/eng/linux_driver/download/lsb/epson-inkjet/escpr/ Tag: hardware::printer, role::dummy, use::driver Section: oldlibs Priority: extra Filename: pool/main/e/epson-inkjet-printer-escpr/epson-escpr_1.1.1-2_all.deb Package: epstool Version: 3.08+repack-3 Architecture: armhf Maintainer: Philip Rinn Installed-Size: 271 Depends: libc6 (>= 2.13-28), ghostscript Homepage: http://pages.cs.wisc.edu/~ghost/gsview/epstool.htm Priority: optional Section: graphics Filename: pool/main/e/epstool/epstool_3.08+repack-3_armhf.deb Size: 118442 SHA256: fc068255b6c710d995a6575bd9e59298c12a778854d535e2d650e63c2cf1f978 SHA1: 28c5ecc9005286ff40b73e2adb556fe150edb3cd MD5sum: f7160cfa7b20860ef9c5b458999293c7 Description: edit preview images and fix bounding boxes in EPS files Encapsulated PostScript (EPS) files may contain a preview to be used by programs that can't interpret the PostScript code. epstool can create and extract such previews. . epstool can also calculate an optimal bounding box for an EPS file. Package: ept-cache Source: libept Version: 1.0.9 Installed-Size: 45 Maintainer: Enrico Zini Architecture: all Depends: apt-xapian-index (>= 0.30) Recommends: debtags Size: 14838 SHA256: b54f200d72c98ba7df791e0a9b82ff387276ce3209093c4235ab5fb2dc73feb6 SHA1: 1250df7bf2584cede3b016a095a5b058728178f8 MD5sum: 659333cd672a46653c73302caf8066fc Description: Obsolete commandline tool to search the package archive ept-cache has been superseded by axi-cache, provided by apt-xapian-index. . This package provides a dummy ept-cache script that just call axi-cache. Tag: admin::package-management, implemented-in::c++, interface::text-mode, role::program, suite::debian, works-with::software:package Section: misc Priority: optional Filename: pool/main/libe/libept/ept-cache_1.0.9_all.deb Package: epub-utils Source: ebook-tools Version: 0.2.1-2 Architecture: armhf Maintainer: Pino Toscano Installed-Size: 48 Depends: libc6 (>= 2.13-28), libepub0 (>= 0.1.1) Recommends: convlit, zip Homepage: http://sourceforge.net/projects/ebook-tools Priority: optional Section: graphics Filename: pool/main/e/ebook-tools/epub-utils_0.2.1-2_armhf.deb Size: 8466 SHA256: 91884c59a6c0c235ada6a4966386fe3898477b0a7c163bebcae28110a2b1b94e SHA1: c6af5982a6aaa41bf6eba227fa0e16e563267177 MD5sum: 85f7ed704cfae4df5393c89cc9e07366 Description: tools to work with the EPub file format The ebook-tools provide ways for accessing and converting different ebook file formats. . This package contains the following utilities: - einfo : provides some basic info about an EPub document, such as metadata, reading order, etc. - lit2epub : converts a LIT file to EPub (using convlit and zip). Package: epwutil Version: 1.1-8.1 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 112 Depends: libc6 (>= 2.4) Priority: optional Section: utils Filename: pool/main/e/epwutil/epwutil_1.1-8.1_armhf.deb Size: 43154 SHA256: df8d1625c61fa2980d427f67b841fda4f5eaf672920a7bd51bd196d41a1b1ee6 SHA1: f92850828f90c8a08e9cb340e594024367a0f6dd MD5sum: 44ab05157915a7564427bd09a9a1ed84 Description: Several utilities for EB(Electric Book)/EPWING epwutil is a set of small utilities that can deal with dictionary files in EB (Electric Book) / EPWING format. It contains: . catdump - dump EB / EPWING catalog file bookinfo - Show info about the dictionary squeeze - compress dictionary files . Would be nice to use with ndtpd, lookup-el, etc. Package: epydoc-doc Source: epydoc Version: 3.0.1+dfsg-1 Installed-Size: 14113 Maintainer: Kenneth J. Pronovici Architecture: all Recommends: iceweasel | www-browser Size: 1545396 SHA256: 7408180dbff9ee959738db164d54387881e09723435dbd4aed11ac45bf815a37 SHA1: ca2c7164cdf4d2b9395c7cbf633228fcc9a46718 MD5sum: 26a872fb3ab33d0376c7d4c02278f0ef Description: tool for documenting Python modules (documentation) Epydoc is a tool for generating API documentation for Python modules based on their docstrings. A lightweight markup language called epytext can be used to format docstrings and to add information about specific fields, such as parameters and instance variables. Epydoc also understands docstrings written in ReStructuredText, Javadoc, and plaintext. . This package contains the API reference and usage information for Epydoc, all available through the Debian documentation system (dhelp, dwww, doc-central, etc.) in the Devel section. Homepage: http://epydoc.sourceforge.net/ Tag: devel::doc, devel::docsystem, devel::lang:python, made-of::html, role::documentation, works-with::software:source, x11::application Section: doc Priority: optional Filename: pool/main/e/epydoc/epydoc-doc_3.0.1+dfsg-1_all.deb Package: epylog Version: 1.0.7-1 Installed-Size: 411 Maintainer: Tiago Bortoletto Vaz Architecture: all Depends: python (>= 2.3), python-support (>= 0.90.0), python-libxml2 Size: 91120 SHA256: 49cb161e5c81976f34e34a3ba58e088a8fce956f9abc795b0637585c69c37d81 SHA1: c74c5b52f545683f0a1d8ac09160a812470ca4c8 MD5sum: 5962bff2e41b3db432ea7b93e14869c4 Description: New logs analyzer and parser This is a syslog parser which runs periodically, looks at your logs, processes some of the entries in order to present them in a more comprehensible format, and then mails you the output. Homepage: https://fedorahosted.org/epylog/ Tag: admin::logging, role::program, scope::utility, use::analysing Section: python Priority: extra Filename: pool/main/e/epylog/epylog_1.0.7-1_all.deb Package: eq10q Version: 1.2-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 578 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libplotmm0, libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0) Provides: lv2-plugin Homepage: http://eq10q.sourceforge.net Priority: optional Section: sound Filename: pool/main/e/eq10q/eq10q_1.2-2_armhf.deb Size: 339238 SHA256: 967d4056a1fbdd44571a76929355a9ac7a6ef9d74dd3c5a691cc991b44d643c5 SHA1: f960f82ebc0f1829f7df9498b150c98c17cf0822 MD5sum: 3c70501612bf6b1feb376debace59368 Description: LV2 equalizer EQ10Q is an audio plugin over the LV2 standard (http://lv2plug.in) implementing a powerful and flexible parametric equalizer, currently in development. The goal is to create an equalizer plugin that includes parametric equalization with different filter types like peaking, HPF, LPF, Shelving and Notch with IIR and FIR algorithms and a nice GUI displaying the equalization curve. Package: eql Version: 1.2.ds1-3 Architecture: armhf Maintainer: Roberto Lumbreras Installed-Size: 53 Depends: libc6 (>= 2.13-28) Priority: optional Section: admin Filename: pool/main/e/eql/eql_1.2.ds1-3_armhf.deb Size: 14370 SHA256: a8f26194db1ba23e895e372ed48550ec260b5b5916c7959e04ac1f35d77d5052 SHA1: 70d35bce1ff4e6ebe526122a1f33e3c793979168 MD5sum: 1da5f4a7854cbf146ebb65786e9ed9fe Description: load balancing tool for serial network connections The eql_enslave tool allow you to double, triple, quadruple your network bandwidth with multiple point-to-point links. Works with PPP or SLIP. It needs "EQL (serial line load balancing) support" in the kernel. Package: eqonomize Version: 0.6-7 Architecture: armhf Maintainer: Frank S. Thomas Installed-Size: 1672 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.4), libkdeui5 (>= 4:4.4.4), libkhtml5 (>= 4:4.4.4), libkio5 (>= 4:4.4.4), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0) Recommends: eqonomize-doc Homepage: http://eqonomize.sourceforge.net/ Priority: optional Section: kde Filename: pool/main/e/eqonomize/eqonomize_0.6-7_armhf.deb Size: 598184 SHA256: 3ff1b61d0028d350ee7d35158bbc697a2044e5b130e95136dfb511d4c8182cea SHA1: 65508d3cd95496dd06407a851420377a836bf4b6 MD5sum: 2208d10d484745d0fac64f0fcb598d66 Description: personal accounting software for the small household economy Eqonomize! is a personal accounting software for KDE, with focus on efficiency and ease of use for the small household economy. It provides a complete solution, with bookkeeping by double entry and support for scheduled recurring transactions, security investments, and budgeting. It gives a clear overview of past and present transactions, and development of incomes and expenses, with descriptive tables and charts, as well as an approximation of future account values. Package: eqonomize-doc Source: eqonomize Version: 0.6-7 Installed-Size: 768 Maintainer: Frank S. Thomas Architecture: all Recommends: eqonomize, khelpcenter4 Size: 601554 SHA256: 0ae6de478b7e3ac56dba24ab9926feda92b32043ac9009874baf85cde42512f1 SHA1: cd241997fd77921806ad59467b1abc42a941e03a MD5sum: 29efa1022b3cd556f613cec35c01afa9 Description: documentation for the Eqonomize! accounting software Eqonomize! is a personal accounting software for KDE, with focus on efficiency and ease of use for the small household economy. . This package contains the Eqonomize! Handbook. Homepage: http://eqonomize.sourceforge.net/ Tag: field::finance, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/e/eqonomize/eqonomize-doc_0.6-7_all.deb Package: equivs Version: 2.0.9 Installed-Size: 144 Maintainer: Peter Samuelson Architecture: all Depends: perl, debhelper, dpkg-dev, make, fakeroot Size: 20696 SHA256: 3bf142f7efc7e1a89853d1f1423d048630cf6ad554431f104acf2b5be76a52d2 SHA1: 0107f525cadd21a681cff80635156d1418838e7b MD5sum: 4b3ac16cb43543742ed8d6be6ba62ac1 Description: Circumvent Debian package dependencies This package provides a tool to create trivial Debian packages. Typically these packages contain only dependency information, but they can also include normal installed files like other packages do. . One use for this is to create a metapackage: a package whose sole purpose is to declare dependencies and conflicts on other packages so that these will be automatically installed, upgraded, or removed. . Another use is to circumvent dependency checking: by letting dpkg think a particular package name and version is installed when it isn't, you can work around bugs in other packages' dependencies. (Please do still file such bugs, though.) Tag: admin::package-management, devel::packaging, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:package Section: admin Priority: extra Filename: pool/main/e/equivs/equivs_2.0.9_all.deb Package: erc Version: 5.3-1 Installed-Size: 1144 Maintainer: Michael W. Olson (GNU address) Architecture: all Replaces: erc-speak Depends: emacs22 | xemacs21 | emacsen, emacsen-common (>= 1.4.14), make Suggests: bbdb, emacs-chess, emacspeak, speedbar Conflicts: erc-speak Size: 358382 SHA256: 4cceac9e3b8115ea52675d00e3fadbf97d671163c94ca579c123e0f5abd274f1 SHA1: 01b57f91287eeac0406aaf681efe2a6fd52d32aa MD5sum: 833b94a7dc7e7cc218dc71ee44d3f719 Description: an IRC client for Emacs ERC is a powerful, modular, and extensible IRC client for Emacs. It supports multiple channel-buffers, nick completion, keyword highlighting, and more. Tag: implemented-in::lisp, network::client, protocol::dcc, protocol::irc, role::plugin, role::program, suite::emacs, use::chatting Section: net Priority: optional Filename: pool/main/e/erc/erc_5.3-1_all.deb Package: eric Version: 4.5.3-1 Installed-Size: 23631 Maintainer: Gudjon I. Gudjonsson Architecture: all Depends: python (>= 2.6.6-7~), python-qt4 (<< 4.7.5) | python-qt4 (>= 4.7.6), bicyclerepair (>= 0.9-4.1), python-pygments, python-chardet, python-qscintilla2 (>= 2.4.3) Recommends: eric-api-files Suggests: qt4-designer, qt4-doc-html, pyqt4-dev-tools, qt4-dev-tools, ruby, python-doc, python-qt4-doc, python-kde4-doc, python-profiler, python-qt4-sql Size: 6143230 SHA256: 59d1b99c82acbbc69a5e5f775209796511ec0aef9c9723858d39f1469c3569ad SHA1: 149e9b493f8d5a247d409e275e600eb2fb6f942b MD5sum: 750468ce57c896b0029094a466082dbe Description: full featured Python IDE eric is a full featured Python IDE written in PyQt using the QScintilla editor widget. Some highlights * Any number of editors with configurable syntax highlighting, code folding, auto indenting and brace highlighting. * Integrated Project Management facility to organize your projects. The project browser shows all source files, all forms and all translations each on its own tab. The source browser has built in class browsing capabilities. * Integrated and full featured debuggers for Python and Ruby. * Interactive shells for Python and Ruby. * An explorer window for walking through your directory structure with built in class browsing capabilities for Python files. * Variable windows that display local and global variables in the current scope while debugging a program. * An integrated interface to the Python Module "unittest". * An integrated help viewer to display HTML help files. Alternatively you can choose to use Qt-Assistant to view help files. * Display of the UI in different languages. * The capability to start Qt-Designer and Qt-Linguist from within eric4. * The ability to compile Qt-Designer forms, to produce Qt-Linguist files and release them from within the IDE. Homepage: http://eric-ide.python-projects.org/ Tag: devel::debugger, devel::editor, devel::ide, devel::lang:python, devel::lang:ruby, implemented-in::python, interface::x11, role::program, scope::application, uitoolkit::qt, use::editing, works-with::software:source, x11::application Section: devel Priority: optional Filename: pool/main/e/eric/eric_4.5.3-1_all.deb Package: eric-api-files Source: eric Version: 4.5.3-1 Installed-Size: 6634 Maintainer: Gudjon I. Gudjonsson Architecture: all Depends: eric Size: 950614 SHA256: aaf7c0e11b798eb102f309720b0d5936c34cdf91efa9726385a9fa3d2a43c4c8 SHA1: a2933267ee3d7ed99bd686769bf412ab330232ee MD5sum: 72e00d7e2a1b1cef48df60b62e2c2d83 Description: API description files for use with eric This package contains code completion API files of Python standard modules & builtins, PyQt and PyKDE. . eric is a full featured Python IDE. Homepage: http://eric-ide.python-projects.org/ Tag: role::app-data Section: devel Priority: optional Filename: pool/main/e/eric/eric-api-files_4.5.3-1_all.deb Package: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Installed-Size: 74 Maintainer: Debian Erlang Packagers Architecture: all Depends: erlang-base | erlang-base-hipe, erlang-dev, erlang-appmon, erlang-asn1, erlang-common-test, erlang-corba, erlang-crypto, erlang-debugger, erlang-dialyzer, erlang-diameter, erlang-edoc, erlang-eldap, erlang-erl-docgen, erlang-et, erlang-eunit, erlang-gs, erlang-ic, erlang-inets, erlang-inviso, erlang-megaco, erlang-mnesia, erlang-observer, erlang-odbc, erlang-os-mon, erlang-parsetools, erlang-percept, erlang-pman, erlang-public-key, erlang-reltool, erlang-runtime-tools, erlang-snmp, erlang-ssh, erlang-ssl, erlang-syntax-tools, erlang-test-server, erlang-toolbar, erlang-tools, erlang-tv, erlang-typer, erlang-webtool, erlang-wx, erlang-xmerl Suggests: erlang-manpages, erlang-doc Size: 35870 SHA256: 557e1e8f91ca62343be12f492b03fd90e7d14ef4a23d42c29cecb2261fb7c247 SHA1: 60fff6a9959ef3d1875da34105fc9cc29191a62c MD5sum: 91788aa182d11d3d9b4fb3f52434660a Description: Concurrent, real-time, distributed functional language Open Source Erlang is a functional programming language designed at the Ericsson Computer Science Laboratory. . Some of Erlang main features are: * Clear declarative syntax and is largely free from side-effects; * Built-in support for real-time, concurrent and distributed programming; * Designed for development of robust and continuously operated programs; * Dynamic code replacement at runtime. . The Erlang distribution also includes OTP (Open Telecom Platform) which provides a rich set of libraries and applications. . This package is a dummy package which will install Erlang/OTP runtime, applications, sources, code examples and the Erlang editing mode for Emacs. Homepage: http://www.erlang.org/ Recommends: erlang-jinterface, erlang-ic-java, erlang-mode, erlang-src, erlang-examples Section: interpreters Priority: optional Filename: pool/main/e/erlang/erlang_15.b.1-dfsg-4+deb7u2_all.deb Package: erlang-appmon Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 241 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-inets (= 1:15.b.1-dfsg-4+deb7u2), erlang-gs (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-appmon_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 171140 SHA256: 548d5b147d179fc24850c9fb33d459d8cffac7dec6e3aba85d1fc80011342d26 SHA1: b9334acc91865237d49de8ad27137d1148a09d51 MD5sum: 3334b836a6251f5a808398eb847a396e Description: Erlang/OTP application monitor The Application Monitor, Appmon, is a graphical utility used to supervise Erlang applications executing either locally or on remote Erlang nodes. The process tree of an application can furthermore be monitored. Package: erlang-asn1 Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 1474 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-syntax-tools (= 1:15.b.1-dfsg-4+deb7u2), libc6 (>= 2.13-28) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-asn1_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 1042050 SHA256: 82e9699877e25fe5f7c217e487db628b310fc2597382af6db5872369cbdc0413 SHA1: 05498db159188144696fa350ead6e434731cfbef MD5sum: 76985dfc338e1df20c003a0707598c30 Description: Erlang/OTP modules for ASN.1 support The Asn1 application contains modules with compile-time and run-time support for ASN.1 in Erlang/OTP. Package: erlang-base Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 11404 Depends: procps, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5, zlib1g (>= 1:1.2.3.3) Recommends: libsctp1 (>= 1.0.11+dfsg), erlang-crypto (= 1:15.b.1-dfsg-4+deb7u2), erlang-syntax-tools (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang-tools (= 1:15.b.1-dfsg-4+deb7u2), erlang, erlang-manpages, erlang-doc Conflicts: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe, erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-doc-html (<< 1:13.b.4), erlang-manpages (<= 1:11.b.1-2) Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe, erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-doc-html (<< 1:13.b.4), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Provides: erlang-abi-15.b Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-base_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 6247152 SHA256: f10e6c3d0e5e4dc8d301cf21e2e4905b8fed3b3609df3fc67ac91f11f1a64dcc SHA1: b1a48e549c25499662cb4caec5d3f281e329e8c4 MD5sum: d4a344c77ab55ec7365f745c1339f9dd Description: Erlang/OTP virtual machine and base applications This package contains the Erlang/OTP runtime implementation, which is configured and built without HiPE support (compiles to byte-code only), and minimal set of Erlang applications: compiler - compiles Erlang code to byte-code; erts - the Erlang runtime system application; kernel - code necessary to run the Erlang runtime system itself; sasl - the system architecture support libraries application; stdlib - modules for manipulating lists, strings, files etc. Package: erlang-common-test Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 805 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-crypto (= 1:15.b.1-dfsg-4+deb7u2), erlang-debugger (= 1:15.b.1-dfsg-4+deb7u2), erlang-inets (= 1:15.b.1-dfsg-4+deb7u2), erlang-runtime-tools (= 1:15.b.1-dfsg-4+deb7u2), erlang-snmp (= 1:15.b.1-dfsg-4+deb7u2), erlang-ssh (= 1:15.b.1-dfsg-4+deb7u2), erlang-test-server (= 1:15.b.1-dfsg-4+deb7u2), erlang-tools (= 1:15.b.1-dfsg-4+deb7u2), erlang-webtool (= 1:15.b.1-dfsg-4+deb7u2), erlang-xmerl (= 1:15.b.1-dfsg-4+deb7u2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-common-test_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 558730 SHA256: 02cf02ac79b7ee73335e5d8c3303a79ebf13c50817ebc2e8057d8ef5eda76202 SHA1: 07e6d08d6eacbefa0fc896debdbd88a76c1119b4 MD5sum: 13bd40db5e4e44d2819da7ee501b9988 Description: Erlang/OTP application for automated testing Common Test is a portable application for automated testing. It is suitable for black-box testing of target systems of any type (i.e. not necessarily implemented in Erlang), as well as for white-box testing of Erlang/OTP programs. Black-box testing is performed via standard interfaces (such as SNMP, HTTP, Corba, Telnet, etc) and, if required, via user specific interfaces (often called test ports). White-box testing of Erlang/OTP programs is easily accomplished by calling the target API functions directly from the test case functions. Common Test also integrates usage of the OTP cover tool for code coverage analysis of Erlang/OTP programs. Package: erlang-corba Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 3382 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-inets (= 1:15.b.1-dfsg-4+deb7u2), erlang-mnesia (= 1:15.b.1-dfsg-4+deb7u2), erlang-ssl (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-corba_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 2258696 SHA256: 5c71b3ba5b8ba07b1336faa0e376a647076c9cdea3a80306349596247d2940ed SHA1: d9989b3a948eb8006daa2a988a6134864cf8bcf1 MD5sum: 45d079020f5848c5199870bbdb832283 Description: Erlang/OTP applications for CORBA support The Orber application is an Erlang implementation of a CORBA Object Request Broker. . The cosEvent application is an Erlang implementation of a CORBA Service CosEvent. . The cosEventDomain application is an Erlang implementation of a CORBA Service CosEventDomainAdmin. . The cosFileTransfer Application is an Erlang implementation of the OMG CORBA File Transfer Service. . The cosNotification application is an Erlang implementation of the OMG CORBA Notification Service. . The cosProperty Application is an Erlang implementation of the OMG CORBA Property Service. . The cosTime application is an Erlang implementation of the OMG CORBA Time and TimerEvent Services. . The cosTransactions application is an Erlang implementation of the OMG CORBA Transaction Service. Package: erlang-crypto Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 110 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-crypto_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 64862 SHA256: 653a91102beab814aa21daed17420875b62e9be8535eb963264335cf592152a8 SHA1: 6f14aa83dfaf28eb672b56f5182fed631d9e8ad5 MD5sum: ef6e635cafa3326e4ff6482ea3b9fa36 Description: Erlang/OTP cryptographic modules The Crypto Application provides functions for computation of message digests, encryption and decryption functions. It uses OpenSSL for actual calculations. Package: erlang-debugger Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 738 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-gs (= 1:15.b.1-dfsg-4+deb7u2), erlang-wx (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-debugger_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 547972 SHA256: c125996915f9ab67bd9a3bc72c2b5238ff961d35476c8adb83874185dce58d15 SHA1: 79b91478ed64ea28a8bab2ec2c433aa9db0f0802 MD5sum: bd42d2e93be08ae3cb4c5f0f8a621f37 Description: Erlang/OTP application for debugging and testing Debugger is a graphical tool which can be used for debugging and testing of Erlang programs. For example, breakpoints can be set, code can be single stepped and variable values can be inspected and modified. Package: erlang-dev Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 2355 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-dev_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 323046 SHA256: 63d0ee59c7301e2e36830410cb1deacd9c90e6abb77946599be4136127e1dfad SHA1: 255d8e1bf1b8645b444b8e3fc2ddef408aa91a5c MD5sum: 05be5f0228b2ca50577de3dc65982433 Description: Erlang/OTP development libraries and headers The files for application development in Erlang. They include headers for all applications included into Erlang/OTP distribution and C interface libraries. Package: erlang-dialyzer Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 881 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-syntax-tools (= 1:15.b.1-dfsg-4+deb7u2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Suggests: erlang-gs (= 1:15.b.1-dfsg-4+deb7u2), erlang-wx (= 1:15.b.1-dfsg-4+deb7u2), erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-dialyzer_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 622806 SHA256: 1a267836bcd1c30e7bde56443465b4ab084d4bec79a9d24c935317b9cf500eba SHA1: f7b91f5c7a3d4330e1b68640f8ad9635021c46e2 MD5sum: ae37b61c8993a6514637241301429354 Description: Erlang/OTP discrepancy analyzer application Dialyzer is a static analysis tool that identifies software discrepancies such as type errors, unreachable code, unnecessary tests etc. in single Erlang modules or entire (sets of) applications. Package: erlang-diameter Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 734 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-runtime-tools (= 1:15.b.1-dfsg-4+deb7u2), erlang-ssl (= 1:15.b.1-dfsg-4+deb7u2), erlang-syntax-tools (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-diameter_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 502024 SHA256: c3a105f7917a9c690d3ab07badb394e5adc9ec59e9dd02b11030abd71c021a70 SHA1: 8d36abb19f1bd230845e852f81242cae1cb6be10 MD5sum: 87a50ea61baa6bb9c9b6e708b9292346 Description: Erlang/OTP implementation of RFC 3588 protocol Interface with which a user creates a service that sends and receives messages using the Diameter protocol as defined in RFC 3588. Package: erlang-doc Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Installed-Size: 152472 Maintainer: Debian Erlang Packagers Architecture: all Replaces: erlang-doc-html Provides: erlang-doc-html Suggests: erlang Conflicts: erlang-base (<< 1:13.b.4), erlang-base-hipe (<< 1:13.b.4), erlang-doc-html Size: 13663798 SHA256: 39e7033d21e292e38aedc027ddf354f3d4a5627002756a492de92f0cb7d1bcc7 SHA1: a75abc9e1422336f49c494fb16eb313b3ac99ed0 MD5sum: faf672c030586715d51df269d726bb14 Description: Erlang/OTP HTML/PDF documentation Documentation for the Erlang programming language and OTP library in HTML and PDF formats. Homepage: http://www.erlang.org/ Section: doc Priority: optional Filename: pool/main/e/erlang/erlang-doc_15.b.1-dfsg-4+deb7u2_all.deb Package: erlang-edoc Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 449 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-inets (= 1:15.b.1-dfsg-4+deb7u2), erlang-syntax-tools (= 1:15.b.1-dfsg-4+deb7u2), erlang-xmerl (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-edoc_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 321290 SHA256: aa9e28e240dfd80213e9265d90bcf0d6731b5d9cd14faba18176b9b0f4a3ffc4 SHA1: a04f510df0f3ed8996783a62f45c7de4a9a019b9 MD5sum: 6457f0c3d754f20a0f64e4681096a877 Description: Erlang/OTP module for generating documentation EDoc is the Erlang program documentation generator. Inspired by the Javadoc tool for the Java programming language, EDoc is adapted to the conventions of the Erlang world. Package: erlang-eldap Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 164 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-asn1 (= 1:15.b.1-dfsg-4+deb7u2), erlang-ssl (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-eldap_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 116546 SHA256: dfcbb36b5ba0a7401ef84c3c381524a6d0fac5237ccbdfa9719ebee000f7e397 SHA1: 4e345541ad337a36ba07d79d4d04f3743bbea95a MD5sum: 8e6905c0b3bdd99474ac8fdf84ba24d3 Description: Erlang/OTP LDAP library Eldap is a module which provides a client API to the Lightweight Directory Access Protocol (LDAP). Package: erlang-erl-docgen Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 521 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-edoc (= 1:15.b.1-dfsg-4+deb7u2), erlang-xmerl (= 1:15.b.1-dfsg-4+deb7u2) Suggests: xsltproc, fop, erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-erl-docgen_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 160108 SHA256: 50da27671d4783bff223acfe948458a40557875836b60c81b9bfca79aca98c99 SHA1: aec165e1fec9e1b8e85224ed7a6c7dfca36af36f MD5sum: 81a6fd9f7aa6a22548ac59bb1715ace5 Description: Erlang/OTP documentation stylesheets The erl_docgen package includes XSLT stylesheets and document type definitions used for Erlang/OTP documentation. They allow to transform documentation to manpage, HTML, and PDF formats. Package: erlang-esdl Source: esdl Version: 1.2-2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 172 Depends: erlang-base (>= 1:15.b.1-dfsg) | erlang-base-hipe (>= 1:15.b.1-dfsg), erlang-abi-15.b, libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-image1.2 (>= 1.2.10), libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11) Conflicts: libsdl-erlang Replaces: libsdl-erlang Provides: libsdl-erlang Homepage: http://esdl.sourceforge.net Priority: optional Section: libs Filename: pool/main/e/esdl/erlang-esdl_1.2-2_armhf.deb Size: 59742 SHA256: 7731b27165045658e399842f2b532aaf1514ff5e49ec79d239d58807f6269cd4 SHA1: c4e2db5375732def59d7a291d9f57dda86297669 MD5sum: fc5b636188a6220a8c9bd2aa33e7946f Description: Erlang bindings to the Simple Direct Media Library SDL (Simple DirectMedia Layer) is a generic API that provides low level access to audio, keyboard, mouse, and display framebuffer across multiple platforms. . Open Source Erlang is a functional programming language designed at the Ericsson Computer Science Laboratory. . ESDL is an Erlang binding to the SDL, and might be used to write multimedia application in Erlang. Package: erlang-esdl-dev Source: esdl Version: 1.2-2 Installed-Size: 48 Maintainer: Debian Erlang Packagers Architecture: all Depends: erlang-esdl (>= 1.2-2) Suggests: erlang-esdl-doc Size: 19408 SHA256: 2855151e26f844b96cfb428803629bbc7c3ffb045b701153daeaaf684caa27e1 SHA1: 6404553daf4fec2552a2eeaecdfce147fb67a77c MD5sum: d7c8846a621911d771d542fd00962931 Description: Erlang bindings to the SDL (development files) ESDL is an Erlang binding to the SDL, and might be used to write multimedia application in Erlang. . This package includes ESDL headers which are necessary to build Erlang applications which use ESDL. Homepage: http://esdl.sourceforge.net Tag: devel::library, role::devel-lib Section: devel Priority: optional Filename: pool/main/e/esdl/erlang-esdl-dev_1.2-2_all.deb Package: erlang-esdl-doc Source: esdl Version: 1.2-2 Installed-Size: 601 Maintainer: Debian Erlang Packagers Architecture: all Suggests: erlang-esdl Size: 219964 SHA256: 15dcc30cd36446c1cceddc8cb934b77b13f30b2cccd2d375de785e66c8f42651 SHA1: c313db8a08976df332d5b22eaa3da43293e1bf75 MD5sum: c7c4b18dce3f51d253f3e64eb7a2a0bd Description: Erlang bindings to the SDL (documentation) ESDL is an Erlang binding to the SDL, and might be used to write multimedia application in Erlang. . This package includes ESDL documentation and examples. Homepage: http://esdl.sourceforge.net Tag: devel::doc, devel::examples, made-of::html, role::documentation, role::examples Section: doc Priority: optional Filename: pool/main/e/esdl/erlang-esdl-doc_1.2-2_all.deb Package: erlang-et Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 321 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-gs (= 1:15.b.1-dfsg-4+deb7u2), erlang-runtime-tools (= 1:15.b.1-dfsg-4+deb7u2), erlang-wx (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-et_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 237262 SHA256: 934cdb2de05bd94f1263aac7c438384feb896f43e3e96a079a102d73a7b98029 SHA1: acb940266ce9b5c280423f112616b79a56007444 MD5sum: 742c29d8067b7f61a477cf7e32f58296 Description: Erlang/OTP event tracer application The Event Tracer (ET) uses the built-in trace mechanism in Erlang and provides tools for collecting and examining trace data using GUI. Package: erlang-eunit Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 237 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-eunit_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 158378 SHA256: 4f52b04205bae0ee04c208e9a3b3cde079186fdc7e15e83aa67a305b245e24e9 SHA1: ac94360ed50efa81618602aa8aaeda9839f01dfb MD5sum: 65ecb4b961640af1f8c0ed221b4a18ec Description: Erlang/OTP module for unit testing The EUnit application contains modules with support for unit testing. Package: erlang-examples Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Installed-Size: 2620 Maintainer: Debian Erlang Packagers Architecture: all Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Depends: erlang-base (>= 1:15.b.1-dfsg-4+deb7u2) | erlang-base-hipe (>= 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2.0) | erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2.0) Suggests: erlang, erlang-manpages, erlang-doc Size: 1031764 SHA256: b1eb65790fd4ff88fbb4742837d96cf918b73d39c43dd66308fd7cd11917275e SHA1: 7d3e8f721bb9c1f3e666d8cdf36ca5cc651a02a1 MD5sum: f35f04d1669bea387ca4837603cc35ef Description: Erlang/OTP application examples The examples, included in the Erlang/OTP system distribution. Homepage: http://www.erlang.org/ Recommends: erlang-asn1, erlang-crypto, erlang-gs, erlang-inets, erlang-megaco, erlang-snmp, erlang-ssh, erlang-ssl, erlang-syntax-tools, erlang-wx Section: interpreters Priority: optional Filename: pool/main/e/erlang/erlang-examples_15.b.1-dfsg-4+deb7u2_all.deb Package: erlang-gs Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 965 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), tk | wish Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-gs_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 605078 SHA256: 06a70364eabcb5434e04631231c5aa5f2bb129fa30db53ad29abfbb16a71ff0a SHA1: 4caea052e1f17579b3dc6771cc822af940448c85 MD5sum: 0fa1bc19f9c7e25c325b3ae0462d6a4c Description: Erlang/OTP graphics system The Graphics System application, GS, is a library of routines for writing graphical user interfaces. Programs written using GS work on all Erlang platforms and do not depend upon the underlying windowing system. It uses Tk widgets for visualization. Package: erlang-ic Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 1177 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang-ic-java, erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-ic_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 844404 SHA256: 257fd5fbba6466274a35afdfabe9842ff12b700cce818eebd54583c29930d537 SHA1: 8ddddb420b6a7e8c3f60967544d6e542541d640d MD5sum: c5b826ce601bf856207cab0f12c1e7df Description: Erlang/OTP IDL compiler The IC application is an Erlang implementation of an IDL (CORBA Interface Definition Language) compiler. Depending on the choice of back-end the code will map to Erlang, C, or Java. The compiler generates client stubs and server skeletons. Package: erlang-ic-java Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Installed-Size: 133 Maintainer: Debian Erlang Packagers Architecture: all Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Depends: erlang-jinterface, erlang-ic (>= 1:15.b.1-dfsg-4+deb7u2), erlang-ic (<< 1:15.b.1-dfsg-4+deb7u2.0) Suggests: erlang, erlang-manpages, erlang-doc Size: 68204 SHA256: 3addfc1ac3db9b608543b45c169f4c09edbfca4707550a92a9b4a2717b93d1d3 SHA1: 34fd8c69cd00c1e7a86e979b6c3165a800fe610b MD5sum: 8ea7fd49c7ba337f542d1bf3abe2c60e Description: Erlang/OTP IDL compiler (Java classes) The IC application is an Erlang implementation of an IDL (CORBA Interface Definition Language) compiler. Depending on the choice of back-end the code will map to Erlang, C, or Java. The compiler generates client stubs and server skeletons. . This package includes classes for Java-Erlang communication. Homepage: http://www.erlang.org/ Section: interpreters Priority: optional Filename: pool/main/e/erlang/erlang-ic-java_15.b.1-dfsg-4+deb7u2_all.deb Package: erlang-inets Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 1111 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-mnesia (= 1:15.b.1-dfsg-4+deb7u2), erlang-runtime-tools (= 1:15.b.1-dfsg-4+deb7u2), erlang-ssl (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-inets_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 768708 SHA256: cb5c42478972944c2f3588a806389a262001cb25113c1d8102458418fbbaa68f SHA1: 66cc0cc939ae5df85749d4286493cddddb679b96 MD5sum: f9747fec5c6e7484ff5915fc09ee0493 Description: Erlang/OTP Internet clients and servers Inets is a container for Internet clients and servers. Currently a FTP client, a HTTP client and server, and a TFTP client and server are provided in Inets. Package: erlang-inviso Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 234 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-runtime-tools (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-inviso_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 166462 SHA256: 928b2b2e9c8b320281143eb6d87121b593a43b9f6c8914ef71e0ad6eab852492 SHA1: 41cc44b4ba89ea08d73d92f8fa3c4f6b3a4cbdc4 MD5sum: e4614d7e83807a138ff61e42b25f20f9 Description: Erlang/OTP trace tool Inviso, an Erlang trace tool. With the inviso API, runtime components can be started and tracing managed across a network of distributed Erlang nodes, using a control component also started with inviso API functions. Package: erlang-jinterface Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Installed-Size: 187 Maintainer: Debian Erlang Packagers Architecture: all Depends: default-jre-headless | java2-runtime-headless | java1-runtime-headless | java2-runtime | java1-runtime Suggests: erlang, erlang-manpages, erlang-doc Size: 118988 SHA256: 4dffbfe65a166886ed7eee795daf94d7c5e9ff6283bf81fdd9397b45ef6d310f SHA1: ad678a35c3c28baedf205f938531dbd0fd5fd880 MD5sum: 15de3d3067562f0cf2c0b9ada888e537 Description: Java communication tool to Erlang Jinterface Java package contains java classes, which help you integrate programs written in Java with Erlang. Homepage: http://www.erlang.org/ Section: interpreters Priority: optional Filename: pool/main/e/erlang/erlang-jinterface_15.b.1-dfsg-4+deb7u2_all.deb Package: erlang-manpages Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Installed-Size: 1619 Maintainer: Debian Erlang Packagers Architecture: all Suggests: erlang, erlang-doc Size: 1599316 SHA256: 90c89404c55dc29616f178d8b9c6fbb0a6c9a1b0f244d97bb490f13cbf73b266 SHA1: 04d2c9804a2cb286de58ec89db63c55ef9705a19 MD5sum: e44d7022169efaa336216e263cbeb729 Description: Erlang/OTP manual pages Documentation for the Erlang programming language in `man' format. This documentation can be read using the command `erl -man mod', where `mod' is the name of the module you want documentation on. . This package contains all Erlang/OTP manual pages from sections other than 1. They document Erlang/OTP modules, config files and applications. Homepage: http://www.erlang.org/ Section: doc Priority: optional Filename: pool/main/e/erlang/erlang-manpages_15.b.1-dfsg-4+deb7u2_all.deb Package: erlang-megaco Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 13947 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-asn1 (= 1:15.b.1-dfsg-4+deb7u2), erlang-et (= 1:15.b.1-dfsg-4+deb7u2), erlang-runtime-tools (= 1:15.b.1-dfsg-4+deb7u2), libc6 (>= 2.13-28) Suggests: erlang-debugger (= 1:15.b.1-dfsg-4+deb7u2), erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-megaco_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 7793714 SHA256: b87bf166345fbeb2034cef9d32e23c1b66625589eaab20766f96356b9455d215 SHA1: 943114dfcb405a09aee020e3682cd686859086a6 MD5sum: 791fadb642dba67397e1c96e92436d22 Description: Erlang/OTP implementation of Megaco/H.248 protocol The Megaco application is a framework for building applications on top of the Megaco/H.248 protocol. Package: erlang-mnesia Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 946 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-mnesia_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 684416 SHA256: 81a2159db32ab50d0046dbd07691da7ed26cf02d3604eda254bcb3bbe5f4c32d SHA1: 275cd9097eadc64ce9cfce4b82645f0d906d3fb4 MD5sum: 15c647055b7ffa67b6accd7af55c83be Description: Erlang/OTP distributed relational/object hybrid database Mnesia is a distributed Database Management System (DBMS), appropriate for telecommunications applications and other Erlang applications which require continuous operation and exhibit soft real-time properties. Package: erlang-mode Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Installed-Size: 483 Maintainer: Debian Erlang Packagers Architecture: all Replaces: erlang-base (<< 1:12.b.1-dfsg-2), erlang-base-hipe (<< 1:12.b.1-dfsg-2) Depends: emacsen-common (>= 1.4.14) Suggests: erlang, erlang-manpages, erlang-doc Size: 97162 SHA256: 3666aaed3f9a36fd31f4865848733ede7ea76d2de333a009a42b5aa44a56ed2c SHA1: 572e6081c84e24440a1f8914591ec1094ebb0ecf MD5sum: 46b2026d09a85bc314ce9f40434ac159 Description: Erlang major editing mode for Emacs This package includes the mode for editing Erlang programs in GNU Emacs. It is provided with the default Erlang/OTP distribution. It supports sophisticated indentation, syntax highlighting, electric commands, module name verification, comments, skeletons, tags etc. Homepage: http://www.erlang.org/ Section: interpreters Priority: optional Filename: pool/main/e/erlang/erlang-mode_15.b.1-dfsg-4+deb7u2_all.deb Package: erlang-nox Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Installed-Size: 74 Maintainer: Debian Erlang Packagers Architecture: all Depends: erlang-base | erlang-base-hipe, erlang-asn1, erlang-corba, erlang-crypto, erlang-diameter, erlang-edoc, erlang-eldap, erlang-erl-docgen, erlang-eunit, erlang-ic, erlang-inets, erlang-inviso, erlang-mnesia, erlang-odbc, erlang-os-mon, erlang-parsetools, erlang-percept, erlang-public-key, erlang-runtime-tools, erlang-snmp, erlang-ssh, erlang-ssl, erlang-syntax-tools, erlang-tools, erlang-webtool, erlang-xmerl Suggests: erlang, erlang-manpages, erlang-doc Size: 35492 SHA256: e5fb6038a9356d6043babd962f960a67b84935b94ac7ee593a64a0994b6d652e SHA1: 3affe6354410a13526db56c64c271615ad758a49 MD5sum: f8fd61c341364e8383331eff9c007a77 Description: Erlang/OTP applications that don't require X Window System This package is a dummy package which will install all Erlang/OTP applications which do not require X Window System to run. Homepage: http://www.erlang.org/ Section: interpreters Priority: optional Filename: pool/main/e/erlang/erlang-nox_15.b.1-dfsg-4+deb7u2_all.deb Package: erlang-observer Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 732 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-appmon (= 1:15.b.1-dfsg-4+deb7u2), erlang-et (= 1:15.b.1-dfsg-4+deb7u2), erlang-gs (= 1:15.b.1-dfsg-4+deb7u2), erlang-inets (= 1:15.b.1-dfsg-4+deb7u2), erlang-runtime-tools (= 1:15.b.1-dfsg-4+deb7u2), erlang-webtool (= 1:15.b.1-dfsg-4+deb7u2), erlang-wx (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-observer_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 547654 SHA256: 1144375da4366dd50e3097557c05fc8c95342f55658e17f009259091af61349a SHA1: a4c906dc4024a428daaf74f905b220abe84563e1 MD5sum: 7dbaea1965009160874e6d232dbd4805 Description: Erlang/OTP application for investigating distributed systems The OBSERVER application contains tools for tracing and investigation of distributed systems. They include etop - a tool for presenting information about Erlang processes, and crashdump_viewer - a HTML based tool for browsing Erlang crashdumps. Package: erlang-odbc Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 111 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), libc6 (>= 2.13-28), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-odbc_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 70642 SHA256: 5a13a452b6d68a0fb91eab58541f422d7ea48b6b02ea4862a72295b54bc1be37 SHA1: 20f88ff59718f66990880448a01305cc4cf66688 MD5sum: e58bef500c73d6d62ee5f6be2f0e1e76 Description: Erlang/OTP interface to SQL databases The Erlang ODBC application provides an interface for accessing relational SQL databases from Erlang. Package: erlang-os-mon Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 204 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-mnesia (= 1:15.b.1-dfsg-4+deb7u2), erlang-snmp (= 1:15.b.1-dfsg-4+deb7u2), libc6 (>= 2.13-28) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-os-mon_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 119978 SHA256: ddc920c4ead9f5dd3086ac1366257d7aebd528384e3a2eebc3d9d53bea7080a4 SHA1: 8dc11cbeabe17e3a8fd6160aef6f1e18ae814b2c MD5sum: 76311aa3443eb6eedc35c5d89943b786 Description: Erlang/OTP operating system monitor The operating system monitor, OS_Mon, provides services for monitoring CPU load, disk usage, memory usage and OS messages. Package: erlang-parsetools Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 247 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-parsetools_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 178228 SHA256: f8e868236d304ff0a0103a19c29e92cbe63b5483a568ee3e68a024eac203daa7 SHA1: e587699c3ba428e2534ce813fdaf33949b4038b3 MD5sum: 0c04cdba401355fc94a1b2f1eb4b7006 Description: Erlang/OTP parsing tools The Parsetools application contains utilities for parsing. Currently, it includes yecc module. Yecc is an LALR-1 parser generator for Erlang, similar to yacc. Yecc takes a BNF grammar definition as input, and produces Erlang code for a parser as output. Package: erlang-percept Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 240 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-inets (= 1:15.b.1-dfsg-4+deb7u2), erlang-runtime-tools (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-percept_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 162528 SHA256: 5a91318d262dd0fe9a8ab61c2c67aaa9a4944cf86af0e206c7a818cfce6b17a7 SHA1: 2e8f7ca9e116f7ab4b0a928eed5e073b8251bc73 MD5sum: ba91821643e537c493506632181503ff Description: Erlang/OTP concurrency profiling tool Percept is a tool which visualizes application level concurrency and helps to identify concurrency bottlenecks. Package: erlang-pman Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 174 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-gs (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-pman_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 127038 SHA256: ba65ee8ebaad30e5f1da3444a3c966fe453d0aa583ac2af24403ffafe80433da SHA1: 436355d51d0f1bfd25d1d0e1cd406f25e2b95f42 MD5sum: 98048761b4f64afb78306f53f8d94abe Description: Erlang/OTP process manager The process manager Pman is a graphical tool used to inspect the Erlang processes executing either locally or on remote Erlang nodes. It also allows one to trace events in the individual processes. Package: erlang-public-key Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 658 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-asn1 (= 1:15.b.1-dfsg-4+deb7u2), erlang-crypto (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-public-key_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 435270 SHA256: a29855c03d98165b984e96b3a0707027790b06be6acf2cabc74ac70067ff1dc9 SHA1: e933e33999de6a9f274b76ea873a321589737540 MD5sum: fe3175f77728da4f786b6762389ca0c4 Description: Erlang/OTP public key infrastructure Provides functions to handle public key infrastructure from RFC 3280 (X.509 certificates) and some parts of the PKCS-standard. Package: erlang-reltool Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 477 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-syntax-tools (= 1:15.b.1-dfsg-4+deb7u2), erlang-tools (= 1:15.b.1-dfsg-4+deb7u2), erlang-wx (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-reltool_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 364740 SHA256: fbc3145e84268221351e912b412c0abcebd7628a7f514683a3feef0d3aaa33d0 SHA1: 9200625c8197c68f655643d958c59ac0f0d11f96 MD5sum: b4dd8ad8ef0aba73813030d806708ee6 Description: Erlang/OTP release management tool Reltool is a release management tool. It analyses a given Erlang/OTP installation and determines dependencies between applications. The graphical frontend depicts the dependencies and enables interactive customization of a target system. The backend provides a batch interface for generation of customized target systems. Package: erlang-runtime-tools Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 410 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-mnesia (= 1:15.b.1-dfsg-4+deb7u2), libc6 (>= 2.13-28) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-runtime-tools_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 250740 SHA256: a8e2a1e4d5950e86e7881c28cd5470f144d6a922bb59832e1ae034e67b7e27ec SHA1: b6a5067428684240e1d1878a26e10aa928cdb793 MD5sum: 144c237a3749edd9a3dcc8451e1d768a Description: Erlang/OTP runtime tracing/debugging tools Runtime_Tools provides low-footprint tracing/debugging tools suitable for inclusion in a production system. Package: erlang-snmp Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 2739 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-crypto (= 1:15.b.1-dfsg-4+deb7u2), erlang-mnesia (= 1:15.b.1-dfsg-4+deb7u2), erlang-runtime-tools (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-snmp_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 1491526 SHA256: 219c9402508052643b20b9ee8515873a269956d7959b7418f5142b78d492b84b SHA1: 79bb5cdf4333a7dd7909270eb44e89c79fa73f1e MD5sum: a187c5d879a301381e07d4cdaffab54d Description: Erlang/OTP SNMP applications A multilingual Simple Network Management Protocol application features an Extensible Agent, simple manager, a MIB compiler and facilities for implementing SNMP MIBs etc. . The OTP_Mibs application provides an SNMP management information base for Erlang nodes. Package: erlang-src Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Installed-Size: 54047 Maintainer: Debian Erlang Packagers Architecture: all Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-erl-docgen (<< 1:15.b.1-dfsg-4+deb7u2), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Depends: erlang-base (>= 1:15.b.1-dfsg-4+deb7u2) | erlang-base-hipe (>= 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2.0) | erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2.0) Suggests: erlang, erlang-manpages, erlang-doc Size: 5518642 SHA256: 542bdc4ccdec9f229cc87295195badeb9a19a016f5fbdea02ee8be057f84d0e5 SHA1: 2b7c502eabd7532afcf684d349eabb9dfad5cd1d MD5sum: 3c0185317ea083bfdbce58623889e905 Description: Erlang/OTP applications sources Erlang sources for all the applications in the Erlang/OTP system. They are useful for educational purpose and as a base for creating embedded systems. Homepage: http://www.erlang.org/ Section: interpreters Priority: optional Filename: pool/main/e/erlang/erlang-src_15.b.1-dfsg-4+deb7u2_all.deb Package: erlang-ssh Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 497 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-crypto (= 1:15.b.1-dfsg-4+deb7u2), erlang-public-key (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-ssh_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 359154 SHA256: 7f531995f38606cd4a54f460538e341d12cd2fe74a7a13815026389c2cab2e1e SHA1: d6fc063305e6910ee0deb2f2241cff8f199139c0 MD5sum: 6b37e9c154f043101cf99593ad077fa5 Description: Erlang/OTP implementation of SSH protocol The SSH application is an erlang implementation of the secure shell protocol. Package: erlang-ssl Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 423 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-crypto (= 1:15.b.1-dfsg-4+deb7u2), erlang-public-key (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-ssl_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 333100 SHA256: e5bb42d31332cb267ea2bdf9ac030ad82579ec396ccc54f7ee870f0dd743a642 SHA1: b3620a9ab06e1eff0eb0949b13c79c39efc7e116 MD5sum: cba0cac6d9120f9d7967cee38cfa60ad Description: Erlang/OTP implementation of SSL The SSL application provides secure communication over sockets. Package: erlang-syntax-tools Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 453 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-syntax-tools_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 315952 SHA256: 7e839c2e09ec5a994199973da66650a159539587e01405a99d1f7199a9b8ad2d SHA1: 1153aa66eede20e9fd17434da002fe59cd47a2b7 MD5sum: 93967a71dde8e009e94fdee8f600796a Description: Erlang/OTP modules for handling abstract Erlang syntax trees Syntax_Tools contains modules for handling abstract Erlang syntax trees in a way that is compatible with the "parse trees" of the STDLIB module erl_parse, together with utilities for reading source files in unusual ways and pretty-printing syntax trees. Also it includes a module merger and renamer called Igor, as well as an automatic code-cleaner. Package: erlang-test-server Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 403 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-observer (= 1:15.b.1-dfsg-4+deb7u2), erlang-runtime-tools (= 1:15.b.1-dfsg-4+deb7u2), erlang-tools (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-test-server_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 295534 SHA256: 297fa64efb00c0e01152c3f4ab944cdcf771021e955c57f1e3caf5c209128ff7 SHA1: 6637776f03891d1639cb1dfe10b81cf8f99da5df MD5sum: 8afa69e976ca8eeb15f7de962c9673f8 Description: Erlang/OTP server for automated application testing Test Server is a portable test server for automated application testing. The server can run test suites on local or remote targets and log progress and results to HTML pages. The main purpose of Test Server is to act as engine inside customized test tools. A callback interface for such framework applications is provided. Package: erlang-toolbar Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 98 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-gs (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-toolbar_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 71542 SHA256: 270b673515ff42366ae02240ff0c66914205e840181a61c7e8eaf0c2e82d22b7 SHA1: c70c7c9759918e03df705eb386a336ae47c7223e MD5sum: 60d6f005950245a1a6c187417ba527bb Description: Erlang/OTP graphical toolbar The Toolbar application simplifies access to the Erlang/OTP tools. It consists of a number of buttons, one for each available tool. Package: erlang-tools Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 1067 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-inets (= 1:15.b.1-dfsg-4+deb7u2), erlang-runtime-tools (= 1:15.b.1-dfsg-4+deb7u2), erlang-webtool (= 1:15.b.1-dfsg-4+deb7u2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-tools_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 518320 SHA256: 71f8735f507293a2b84768a308baf3068dd0994034063edd3c24f149430a3e98 SHA1: 4e3cbe8b2eed02f5dc676375d85281ed5152f46d MD5sum: 972901d618749c3cb802806f134e249f Description: Erlang/OTP various tools The Tools application contains a number of stand-alone tools, which are useful when developing Erlang programs. . cover - A coverage analysis tool for Erlang. cprof - A profiling tool that shows how many times each function is called. Uses a kind of local call trace breakpoints containing counters to achieve very low runtime performance degradation. eprof - A time profiling tool; measures how time is used in Erlang programs. Predecessor of fprof (see below). fprof - Another Erlang profiler; measures how time is used in Erlang programs. Uses trace to file to minimize runtime performance impact, and displays time for calling and called functions. instrument - Utility functions for obtaining and analyzing resource usage in an instrumented Erlang runtime system. make - A make utility for Erlang similar to UNIX make. tags - A tool for generating Emacs TAGS files from Erlang source files. xref - A cross reference tool. Can be used to check dependencies between functions, modules, applications and releases. Package: erlang-tv Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 526 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-gs (= 1:15.b.1-dfsg-4+deb7u2), erlang-mnesia (= 1:15.b.1-dfsg-4+deb7u2), erlang-pman (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-tv_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 402492 SHA256: 3cf76dc82436f83c031938d5807df7b496777c19e17ef8ab666c074bebc3060e SHA1: 55cf359b94385c91e9040298168924c02ff9bc8c MD5sum: 21f56d4ffdcff0648e92a2c4aa03fa90 Description: Erlang/OTP table viewer The TV application allows the user to examine ETS and Mnesia tables. Once a certain table has been opened in the tool, its content may be viewed in various levels of detail. Package: erlang-typer Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 136 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-dialyzer (= 1:15.b.1-dfsg-4+deb7u2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-typer_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 81180 SHA256: b315041c9ac0e75d67897c4a5c2eda546299c14e69180d8404d3c1f2ff8a7fb6 SHA1: 46fc666a09418f0974f18e16ef883be864d42421 MD5sum: adaa2de130b1700b359723b6af2fdc41 Description: Erlang/OTP code type annotator TypEr is a tool that displays and automatically inserts type annotations in Erlang code. It uses Dialyzer to infer variable types. Package: erlang-webtool Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 92 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), erlang-inets (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang-observer (= 1:15.b.1-dfsg-4+deb7u2), erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-webtool_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 65858 SHA256: bc033db93ccccac500387395e5c6a4cc21953c0e41891d23297b9281d5e90564 SHA1: cc0a68a903f93c9f9c2119d72d06b972b7080dfc MD5sum: 31107c124268c4ad8a8ec0f258f40b86 Description: Erlang/OTP helper for web-based tools WebTool provides an easy way to use web-based tools with Erlang/OTP. It configures and starts a web server as well as all available tools. Package: erlang-wx Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 5286 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-wx_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 2607530 SHA256: 2b014b1484d9bdc00e6c85ab450ca281b2b19e5491ef3ad5a18723f4c9218909 SHA1: 465a4e57668c30e15ea00f6417b81d50d2010875 MD5sum: 95917f63d9e6d770a338ddc83ba0aa7e Description: Erlang/OTP bindings to wxWidgets The wxErlang application is an API for writing graphical user interfaces with wxWidgets. Package: erlang-x11 Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Installed-Size: 74 Maintainer: Debian Erlang Packagers Architecture: all Depends: erlang-base | erlang-base-hipe, erlang-nox, erlang-appmon, erlang-common-test, erlang-debugger, erlang-dialyzer, erlang-et, erlang-gs, erlang-megaco, erlang-observer, erlang-pman, erlang-reltool, erlang-test-server, erlang-toolbar, erlang-tv, erlang-typer, erlang-wx Suggests: erlang, erlang-manpages, erlang-doc Size: 35458 SHA256: 34d9c855fbb4223adebec45b5feb6b5bc14dc7b1567e91c954ddabac70105bad SHA1: e026499f9d6d039286df65a14e90e2d009163099 MD5sum: c4e3f39c69399f35863fe3ca8c26fe0b Description: Erlang/OTP applications that require X Window System This package is a dummy package which will install all Erlang/OTP applications which use graphical interface and therefore require X Window System to run. Homepage: http://www.erlang.org/ Section: interpreters Priority: optional Filename: pool/main/e/erlang/erlang-x11_15.b.1-dfsg-4+deb7u2_all.deb Package: erlang-xmerl Source: erlang Version: 1:15.b.1-dfsg-4+deb7u2 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 1589 Depends: erlang-base (= 1:15.b.1-dfsg-4+deb7u2) Suggests: erlang, erlang-manpages, erlang-doc Replaces: erlang (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base (<< 1:15.b.1-dfsg-4+deb7u2), erlang-base-hipe (<< 1:15.b.1-dfsg-4+deb7u2), erlang-dev (<< 1:15.b.1-dfsg-4+deb7u2), erlang-doc (>> 1:15.b.1-dfsg-999), erlang-doc (<< 1:15.b.1-dfsg), erlang-examples (<< 1:15.b.1-dfsg-4+deb7u2), erlang-manpages (<= 1:11.b.1-2), erlang-mode (<< 1:12.b.1-dfsg-2), erlang-nox (<< 1:15.b.1-dfsg-4+deb7u2), erlang-src (<< 1:15.b.1-dfsg-4+deb7u2), erlang-x11 (<< 1:15.b.1-dfsg-4+deb7u2) Homepage: http://www.erlang.org/ Priority: optional Section: interpreters Filename: pool/main/e/erlang/erlang-xmerl_15.b.1-dfsg-4+deb7u2_armhf.deb Size: 973594 SHA256: 96fd05c05c6828e6923a6e87c239fa113798c4329d6531ff635547c2ed55bd00 SHA1: 3efccd8c840b4f0b2efa22dcb76213fd1f163459 MD5sum: bb0afad18685287030399f32cfd8083e Description: Erlang/OTP XML tools The xmerl application contains modules for processing XML. Package: erlang-yaws Source: yaws Version: 1.94-1 Architecture: armhf Maintainer: Debian Erlang Packagers Installed-Size: 834 Depends: erlang-abi-15.b, erlang-base (>= 1:15.b.1-dfsg) | erlang-base-hipe (>= 1:15.b.1-dfsg), erlang-crypto (>= 1:15.b.1-dfsg), erlang-inets (>= 1:15.b.1-dfsg), erlang-mnesia (>= 1:15.b.1-dfsg), erlang-ssl (>= 1:15.b.1-dfsg), erlang-tools (>= 1:15.b.1-dfsg), erlang-xmerl (>= 1:15.b.1-dfsg), libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1) Suggests: yaws, yaws-doc, zip, bzip2 Replaces: yaws (<< 1.88-2) Homepage: http://yaws.hyber.org/ Priority: optional Section: httpd Filename: pool/main/y/yaws/erlang-yaws_1.94-1_armhf.deb Size: 441266 SHA256: 0ac47331b75784aed1db7b344ba1eb9b825751c71f7a78a46cd5f8be3079bb26 SHA1: 5443a2173530244b7ec9bd6076f9cf069871dbc9 MD5sum: 278f48a3b0c62b94b15b728ea3de59bc Description: Erlang application which implements HTTP webserver Yaws is a high performance HTTP 1.1 webserver written in Erlang. It is a multithreaded webserver where one Erlang light weight process is used to handle each client. Two separate modes of operation are supported. . This package includes Erlang Yaws application suitable to work in embedded mode where it runs as a webserver in another Erlang application. Package: erubis Source: ruby-erubis Version: 2.7.0-2 Installed-Size: 37 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-erubis Size: 11142 SHA256: 43609847261f712aa7296355194c5bf2f9974e4ef0e62e2bb1b93ffbc8072fcf SHA1: 0a7ca0153a3eccb50b907a974a25868d718ec7b4 MD5sum: bbed29df5576a5ab5c56810c51fac6ee Description: Transitional package for ruby-erubis This is a transitional package to ease upgrades to the ruby-erubis package. It can safely be removed. Homepage: http://www.kuwata-lab.com/erubis/ Tag: role::dummy Section: oldlibs Priority: extra Filename: pool/main/r/ruby-erubis/erubis_2.7.0-2_all.deb Package: erubis-doc Source: ruby-erubis Version: 2.7.0-2 Installed-Size: 37 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-erubis Size: 11148 SHA256: ae116101f93bd36bf46f2712ff71f2d2b6e003a1d69ab3f3581e75315ccf90c1 SHA1: 4a6517337f73c326c4e925216fec7db3edb6ff7e MD5sum: 86339b2092285a62b2669ac0d8421ad7 Description: Transitional package for ruby-erubis This is a transitional package to ease upgrades to the ruby-erubis package. It can safely be removed. Homepage: http://www.kuwata-lab.com/erubis/ Tag: devel::doc, made-of::html, role::documentation Section: oldlibs Priority: extra Filename: pool/main/r/ruby-erubis/erubis-doc_2.7.0-2_all.deb Package: eruby Version: 1.0.5-2.1 Architecture: armhf Maintainer: Shugo Maeda Installed-Size: 76 Depends: libc6 (>= 2.13-28), liberuby (>= 1.0.5-2.1), libruby1.8 (>= 1.8.7.352) Priority: optional Section: interpreters Filename: pool/main/e/eruby/eruby_1.0.5-2.1_armhf.deb Size: 25950 SHA256: c7843209001b654f100e345053ca2f702cec9b7035e9d8107c78c183624cfcec SHA1: d91758d4fdbbe7681dffe93c15110f9327ce5db8 MD5sum: 4cda293839c20098b38e228f7b00d48c Description: Embedded Ruby Language eruby interprets a Ruby code embedded text file. For example, eruby enables you to embed a Ruby code to a HTML file. Package: escputil Source: gutenprint Version: 5.2.9-1 Architecture: armhf Maintainer: Debian Printing Group Installed-Size: 201 Depends: libc6 (>= 2.13-28), libgutenprint2 (>= 5.2.9), libreadline6 (>= 6.0), libtinfo5 Suggests: gutenprint-locales (>= 5.2.9-1) Priority: optional Section: utils Filename: pool/main/g/gutenprint/escputil_5.2.9-1_armhf.deb Size: 102870 SHA256: 5e7b52f38328968f34a4928563fbcd8628b7e977a8a2f2a1e351d05724922d0a SHA1: 0175cb4c7fb7bac9bbb6903352911b28e222a015 MD5sum: b911cddf7e5a6ed9cde9b3e18ca52705 Description: maintenance utility for Epson Stylus printers escputil is a utility to clean and align the heads of Epson Stylus printers. It can also check the current ink levels in the printer. . Gutenprint is the print facility for the GIMP, and in addition a suite of drivers that may be used with common UNIX spooling systems using GhostScript or CUPS. These drivers provide printing quality for UNIX/Linux on a par with proprietary vendor-supplied drivers in many cases, and can be used for many of the most demanding printing tasks. Gutenprint was formerly known as Gimp-Print. Package: esekeyd Version: 1.2.7-1 Architecture: armhf Maintainer: Krzysztof Burghardt Installed-Size: 126 Depends: libc6 (>= 2.4) Homepage: http://freshmeat.net/projects/esekeyd/ Priority: optional Section: misc Filename: pool/main/e/esekeyd/esekeyd_1.2.7-1_armhf.deb Size: 28044 SHA256: ca4d468fbe6d7e2dddf524943512f17b29b2c2205ecc90d194cf2e2a46b8d037 SHA1: 7e801ad9f48f3704f5bab69d7f8371a219202221 MD5sum: 85faffb741339dfc93187dd8f558a5d9 Description: multimedia keyboard daemon for Linux ESE Key Daemon is a multimedia keyboard daemon for Linux. With the 2.6 kernel series it can also handle remote controls, as they are presented as keyboards. No kernel patch is required. It is a userspace program that pools /dev/input/event? interfaces for incoming keyboard key presses. Package: esmtp Version: 1.2-10 Architecture: armhf Maintainer: Salvatore Bonaccorso Installed-Size: 135 Depends: libc6 (>= 2.13-28), libesmtp6, debconf (>= 0.5) | debconf-2.0 Suggests: esmtp-run, procmail | maildrop Homepage: http://esmtp.sourceforge.net/ Priority: extra Section: mail Filename: pool/main/e/esmtp/esmtp_1.2-10_armhf.deb Size: 50030 SHA256: c3c1d3368824a38451bdbd73fbaa7aa68da94311c0e363548dfb4235367e3244 SHA1: 2c47ee5173a57e78b78c124d9585bdedc908ad2b MD5sum: 68f8cc231b06f9421c2110cdec629310 Description: user configurable relay-only MTA ESMTP is a user configurable relay-only Mail Transfer Agent (MTA) with a sendmail-compatible syntax. It's based on libESMTP supporting the AUTH (including the CRAM-MD5 and NTLM SASL mechanisms) and the StartTLS SMTP extensions. . ESMTP does not receive mail, expand aliases or manage a queue but can deliver mail locally via an MDA. . This package contains the esmtp program. Package: esmtp-run Source: esmtp Version: 1.2-10 Installed-Size: 57 Maintainer: Salvatore Bonaccorso Architecture: all Replaces: mail-transport-agent Provides: mail-transport-agent Depends: esmtp Conflicts: mail-transport-agent Size: 8972 SHA256: 10585666c2792f12886816c36f7ce475da8614ce8e9bf63725c6d15a2676b81a SHA1: 67daea6c3ae49df8b1f4d42f098d4f29acafe4b5 MD5sum: 5692b1f3f7d681d2bc7985cf0a63194f Description: user configurable relay-only MTA - the regular MTA ESMTP is a user configurable relay-only Mail Transfer Agent (MTA) with a sendmail-compatible syntax. It's based on libESMTP supporting the AUTH (including the CRAM-MD5 and NTLM SASL mechanisms) and the StartTLS SMTP extensions. . ESMTP does not receive mail, expand aliases or manage a queue but can deliver mail locally via an MDA. . This package provides the regular MTA programs. Homepage: http://esmtp.sourceforge.net/ Tag: interface::daemon, mail::transport-agent, network::server, protocol::smtp, role::program, works-with::mail Section: mail Priority: extra Filename: pool/main/e/esmtp/esmtp-run_1.2-10_all.deb Package: esniper Version: 2.27.0-1 Architecture: armhf Maintainer: Dima Barsky Installed-Size: 110 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), ca-certificates Priority: extra Section: misc Filename: pool/main/e/esniper/esniper_2.27.0-1_armhf.deb Size: 42936 SHA256: c4f6d968c272fc2049c3694951449ce98a4212fd36d2f96639a501d4257ed759 SHA1: 016591194e63960b524efa197cd28d1162e05686 MD5sum: 34cb604a4660e984c32abcea8c423598 Description: simple, lightweight tool for sniping ebay auctions esniper is a lightweight ebay sniping tool. It doesn't have a lot of features, and that is by design. . Auctions are specified on the command line, using the auction number and your bid price. Multiple auctions can be bid on by specifying more auctions and bid prices. esniper stops when the desired quantity has been won (default is 1). Package: esorex Version: 3.9.6-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 138 Depends: libc6 (>= 2.13-28), libcext0, libcplcore20, libcpldfs20, libcplui20, libltdl7 (>= 2.4.2) Homepage: http://www.eso.org/sci/software/cpl/esorex.html Priority: optional Section: science Filename: pool/main/e/esorex/esorex_3.9.6-1_armhf.deb Size: 47806 SHA256: 2a3bde793af7dc75ad8abaf06add1ed9ba4cba7fa59f0ad3e36f056dddf94482 SHA1: 42a7e8483ddc977e7c7db56c0b134f59f548bfdf MD5sum: f56a613453ecf0f89946aed9302fc438 Description: Execution Tool for European Southern Observatory pipelines EsoRex is the European Southern Observatory (ESO) Recipe Execution Tool. It can list, configure and execute CPL-based recipes from the command line. . The Common Pipeline Library (CPL) comprises a set of ISO-C libraries that provide a comprehensive, efficient and robust software toolkit. It forms a basis for the creation of automated astronomical data-reduction tasks. . One of the features provided by the CPL is the ability to create data-reduction algorithms that run as plugins (dynamic libraries). These are called "recipes" and are one of the main aspects of the CPL data-reduction development environment. Package: esound-common Source: esound Version: 0.2.41-10 Installed-Size: 79 Maintainer: Josselin Mouette Architecture: all Conflicts: libesd-alsa0 Size: 46012 SHA256: f11ba6d268e501511f1f3be8aa577d23c302253fe4e8608641dd642e4d42f1fe SHA1: 1dbc10d209e9cddd7750bd5535be030970c88e02 MD5sum: 15db5654b04056ca60f5e2255e55091d Description: Enlightened Sound Daemon - Common files This program is designed to mix together several digitized audio streams for playback by a single device. . This package contains the documentation and configuration files. Multi-Arch: foreign Tag: interface::daemon, role::app-data, works-with::audio Section: sound Priority: optional Filename: pool/main/e/esound/esound-common_0.2.41-10_all.deb Package: espctag Version: 0.3-1 Architecture: armhf Maintainer: Jérôme SONRIER Installed-Size: 50 Depends: libc6 (>= 2.13-28), libspctag1 (>= 0.2) Homepage: https://sourceforge.net/projects/espctag/ Priority: optional Section: misc Filename: pool/main/e/espctag/espctag_0.3-1_armhf.deb Size: 8628 SHA256: f3b381b7eff28f372bc5d5c60d68ad41836a953b26c75c2063e22d0cbcb839a3 SHA1: 13e3e22da0c6e2e35634cd5fe13f7305d6181550 MD5sum: 4f5879a8f3620913f04134247a149ed4 Description: ID666 tags editor espctag can read or write ID666 tags of SPC files (SNES audio files). For the moment, espctag can not edit extended ID666. Package: espeak Version: 1.46.02-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 227 Depends: libc6 (>= 2.13-28), libespeak1 (>= 1.46.02), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.1.1) Multi-Arch: foreign Homepage: http://espeak.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/e/espeak/espeak_1.46.02-2_armhf.deb Size: 75758 SHA256: 56d16f609d6ccd3632a3ca1ff9541aba69b245099817617c60391e682efa9d38 SHA1: 838b0aa85884cf48c7b8f19f9087e056cdf8c9c2 MD5sum: 04ebef8c8430630755184753542b803d Description: Multi-lingual software speech synthesizer eSpeak is a software speech synthesizer for English, and some other languages. . eSpeak produces good quality English speech. It uses a different synthesis method from other open source text to speech (TTS) engines, and sounds quite different. It's perhaps not as natural or "smooth", but some find the articulation clearer and easier to listen to for long periods. . It can run as a command line program to speak text from a file or from stdin. . * Includes different Voices, whose characteristics can be altered. * Can produce speech output as a WAV file. * Can translate text to phoneme codes, so it could be adapted as a front end for another speech synthesis engine. * Potential for other languages. More than 40 languages are included. * Compact size. The program and its data total about 350 kbytes. * Written in C++. Package: espeak-data Source: espeak Version: 1.46.02-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 1506 Multi-Arch: same Homepage: http://espeak.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/e/espeak/espeak-data_1.46.02-2_armhf.deb Size: 805828 SHA256: 8ff465f8c8ef0b4b4c380c858bbd390227f5555613c515417412a4204618cb1a SHA1: cd516bd42f299a42e53ee7ed66e2aa5f67cce36b MD5sum: f95476ea6d764a7e0f64da55e2f8d4c5 Description: Multi-lingual software speech synthesizer: speech data files eSpeak is a software speech synthesizer for English, and some other languages. . This package contains necessary synthesizer data files needed for the espeak program, and the shared library. Package: espeak-dbg Source: espeak Version: 1.46.02-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 126 Depends: espeak (= 1.46.02-2), libespeak1 (= 1.46.02-2) Homepage: http://espeak.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/e/espeak/espeak-dbg_1.46.02-2_armhf.deb Size: 31802 SHA256: 504ab3efb726f1aaad7802b4582309bf01de2fe78b22b7d294c73915bd2b7162 SHA1: faf231a6a7fec6786e8ad098d9d195d084a427b1 MD5sum: 75b7bf284dc0d15de85de182b4d78f7c Description: Multi-lingual software speech synthesizer: debugging symbols eSpeak is a software speech synthesizer for English, and some other languages. . This package contains the debugging symbols. Package: espeak-gui Version: 0.4-3 Installed-Size: 384 Maintainer: Siegfried-Angel Gevatter Pujals Architecture: all Depends: gconf2 (>= 2.28.1-2), python, python-support (>= 0.90.0), python-espeak (>= 0.3), python-gconf, python-gtk2, python-gtksourceview2, python-gtkspell, python-xdg Recommends: libtextcat0 (>= 2.2-8) Size: 30192 SHA256: 1354090139224965c6703a567da175ab1f14d45536971eaac00344671895af4d SHA1: da87ec1554a30931e6c174c922d237552e3b5247 MD5sum: 5c28f18b8d90cf45eeeb2f21fdb4ef69 Description: graphical user interface for eSpeak eSpeak is a software speech synthesizer for English, and some other languages. . eSpeak produces good quality English speech. It uses a different synthesis method from other open source text to speech (TTS) engines, and sounds quite different. It's perhaps not as natural or "smooth", but some find the articulation clearer and easier to listen to for long periods. . This package provides a graphical user interface for using eSpeak. Homepage: https://launchpad.net/espeak-gui Python-Version: 2.6, 2.7 Section: sound Priority: optional Filename: pool/main/e/espeak-gui/espeak-gui_0.4-3_all.deb Package: espeakedit Version: 1.46.02-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 733 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libportaudio2 (>= 19+svn20101113), libsonic0 (>= 0.1.10), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), sox Recommends: espeak-data Multi-Arch: foreign Homepage: http://espeak.sourceforge.net/ Priority: extra Section: sound Filename: pool/main/e/espeakedit/espeakedit_1.46.02-2_armhf.deb Size: 332482 SHA256: 5e7c1604e00af3d8290cb0f48f891445c84ff1f5995be09e11a3e5ee611e34b4 SHA1: c8b0844429a907313ec4a653fa6546581af7c485 MD5sum: 9f6ea4cf4198d17bce34b5ec98f2788b Description: Multi-lingual software speech synthesizer - editor eSpeak is a software speech synthesizer for English, and some other languages. . eSpeakEdit provides a User Interface to edit the eSpeak voices. Package: espeakup Version: 1:0.71-13 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 88 Depends: espeak, libc6 (>= 2.13-28), libespeak1 (>= 1.30) Multi-Arch: foreign Homepage: http://www.github.com/williamh/espeakup Priority: extra Section: admin Filename: pool/main/e/espeakup/espeakup_0.71-13_armhf.deb Size: 23934 SHA256: 4b2e8b2920a9fe45d2d1e0d0ad57100e2b43c0ec789087cdc5bf8167c3fa4c07 SHA1: fb26fa14611340352c645ba0d4ee997f9030300d MD5sum: b37c0549454cccf899a38c333c83d047 Description: Connector between speakup kernel modules and espeak Espeakup is a daemon that connects speakup kernel modules to the espeak software speech synthesizer through the /dev/softsynth device. Package: esperanza Version: 0.4.0+git20091017-2 Architecture: armhf Maintainer: Benjamin Drung Installed-Size: 944 Depends: xmms2-icon, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6), libx11-6, libxmmsclient++4, libxmmsclient6 (>= 0.7DrNo) Suggests: xmms2-core Homepage: http://wiki.xmms2.xmms.se/index.php/Client:Esperanza Priority: extra Section: sound Filename: pool/main/e/esperanza/esperanza_0.4.0+git20091017-2_armhf.deb Size: 368986 SHA256: 8fe99abebd47a0ff57a333006fede6f676903e0252e59209f6483d074b8864ff SHA1: 7d4b5c6437157dfbe9f2180afe80b676b8f02911 MD5sum: 8e322d6377064c07d0b714bb83f3a980 Description: XMMS2 client which aims to be as feature-full and easy-to-use as possible Esperanza is a XMMS2 client written with C++ / Qt4 and aims to be cross-platform goodness for everyone. It has a simple interface with a single playlist and let you search the media lib or add files from other sources easily. It also presents album art. Package: ess Version: 12.04-4-1 Installed-Size: 5147 Maintainer: ESS Debian Maintainers Architecture: all Depends: dpkg (>= 1.15.4) | install-info, emacs23 | emacs22 | emacs21 | emacsen Suggests: xlispstat, r-base | r-base-core, pspp, jags Conflicts: dhelp (<= 0.3.12) Size: 1644998 SHA256: a10839cda3962f40aab92b2d1db5f35e36c4db1c5b9a6a436c5604e0949981a4 SHA1: 37865eb8a12ac583feb12ff2944dcbc9bc1f3051 MD5sum: bfcd7212881c8c40277c5a9065573f67 Description: Emacs mode for statistical programming and data analysis ESS ("Emacs Speaks Statistics") is a GNU Emacs and XEmacs mode for interactive statistical programming and data analysis. Languages supported are the S family (S 3/4, S-PLUS 3/4/5/6/7, and R), SAS, XLispStat, Stata, and BUGS. . ESS grew out of the desire for bug fixes and extensions to S-mode and SAS-mode as well as a consistent union of their features in one package. Homepage: http://ess.r-project.org Tag: devel::lang:r, field::statistics, implemented-in::lisp, role::plugin, suite::emacs, use::editing Section: gnu-r Priority: optional Filename: pool/main/e/ess/ess_12.04-4-1_all.deb Package: estic Version: 1.61-20.1 Architecture: armhf Maintainer: Fabian Guter Installed-Size: 725 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.4.0), libtinfo5 Suggests: isdnutils, x-terminal-emulator Priority: extra Section: utils Filename: pool/main/e/estic/estic_1.61-20.1_armhf.deb Size: 264384 SHA256: 8dd5734586ac89f5f4f3ca6d75f956305063232346a1b14d70e27ccbbf5e031e SHA1: 354a39628ec9bf67350a93413d23089b1e170906 MD5sum: 007a00251cd87fb9857846aaad7926b9 Description: Administration program for ISDN PABX ISTEC 1003/1008 This program allows you the administration of the ISDN private automatic branch exchanges ISTEC 1003 and ISTEC 1008 (produced by the German company Emmerich). Note that the program and its documentation is mostly in German language. Package: esvn Version: 0.6.12+1-1 Architecture: armhf Maintainer: Pierre Chifflier Installed-Size: 837 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.4.0), libx11-6, libxext6, subversion Recommends: esvn-doc, ssh-askpass Priority: optional Section: x11 Filename: pool/main/e/esvn/esvn_0.6.12+1-1_armhf.deb Size: 368384 SHA256: fd3c15e33fa1f560da4625c5b50d36edf5c8b30e7cf09cfa1585f4fdf008fece SHA1: 1fa53601a2a70efb5912d6ab226218491fb04e7c MD5sum: b1173b2c84f5c93816dc7254c6715770 Description: frontend for the Subversion revision system written in Qt eSvn is a graphical client written in Qt for the subversion revision control system (svn). Package: esvn-doc Source: esvn Version: 0.6.12+1-1 Installed-Size: 1243 Maintainer: Pierre Chifflier Architecture: all Suggests: esvn Size: 600636 SHA256: ed65388fbd15634c32d63b632e93857d9a0cf37b5a55a0512f5b776154fdea03 SHA1: 347d587ee50d6d804e0a2967132f952b4940fffe MD5sum: bf4f65af58e47d39fa86c1e4209b9dd3 Description: documentation for esvn eSvn is a graphical client written in Qt for the subversion revision control system (svn). Tag: devel::rcs, role::documentation, use::synchronizing Section: doc Priority: optional Filename: pool/main/e/esvn/esvn-doc_0.6.12+1-1_all.deb Package: esys-particle Version: 2.1-4 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 43401 Depends: mpi-default-bin, python-demgengeo, python (>= 2.7), libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-python1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenmpi1.3, libpython2.7 (>= 2.7), libstdc++6 (>= 4.6) Suggests: paraview Homepage: https://launchpad.net/esys-particle Priority: extra Section: science Filename: pool/main/e/esys-particle/esys-particle_2.1-4_armhf.deb Size: 7921046 SHA256: 5e36d8ea3b5ef05089e9b73bc513bcfe5de5b106eafbf1436a9885eae150fc6c SHA1: 8cbf09ef0c8cd1385b3e019fda405877c97f6414 MD5sum: 6ef284b7354e9b5d152db403a205c90f Description: Software for particle-based numerical modelling. MPI version. ESyS-Particle is Open Source software for particle-based numerical modelling. The software implements the Discrete Element Method (DEM), a widely used technique for modelling processes involving large deformations, granular flow and/or fragmentation. ESyS-Particle is designed for execution on parallel supercomputers, clusters or multi-core PCs running a Linux-based operating system. The C++ simulation engine implements spatial domain decomposition via the Message Passing Interface (MPI). A Python wrapper API provides flexibility in the design of numerical models, specification of modelling parameters and contact logic, and analysis of simulation data. ESyS-Particle has been utilised to simulate earthquake nucleation, comminution in shear cells, silo flow, rock fragmentation, and fault gouge evolution, to name but a few applications. Package: etckeeper Version: 0.63 Installed-Size: 243 Maintainer: Joey Hess Architecture: all Depends: git (>= 1:1.7) | mercurial | bzr (>= 1.5~) | darcs, debconf (>= 0.5) | debconf-2.0 Recommends: cron Suggests: sudo (>= 1.7.4p4) Conflicts: bzr (<< 1.5~) Size: 36326 SHA256: 68b38f227f9d3609f5f8a629f9d049d39134090e67e79783368f1140651b722a SHA1: 913ac9724747c57b861d5a75370c79d425ff2a15 MD5sum: c4cd0f5beaf19a35add3e8f1bdf96034 Description: store /etc in git, mercurial, bzr or darcs The etckeeper program is a tool to let /etc be stored in a git, mercurial, bzr or darcs repository. It hooks into APT to automatically commit changes made to /etc during package upgrades. It tracks file metadata that version control systems do not normally support, but that is important for /etc, such as the permissions of /etc/shadow. It's quite modular and configurable, while also being simple to use if you understand the basics of working with version control. Homepage: http://kitenet.net/~joey/code/etckeeper/ Tag: implemented-in::shell, role::program, scope::utility, use::configuring, use::storing, use::synchronizing, works-with::vcs Section: admin Priority: optional Filename: pool/main/e/etckeeper/etckeeper_0.63_all.deb Package: eterm Version: 0.9.6-1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 972 Pre-Depends: multiarch-support Depends: libast2 (>= 0.7), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libice6 (>= 1:1.0.0), libimlib2, libsm6, libx11-6, libxext6, zlib1g (>= 1:1.1.4) Conflicts: eterm-backgrounds, eterm-ml Replaces: eterm-backgrounds, eterm-ml Provides: eterm-backgrounds, x-terminal-emulator Homepage: http://www.eterm.org/ Priority: optional Section: x11 Filename: pool/main/e/eterm/eterm_0.9.6-1_armhf.deb Size: 459000 SHA256: 6d4b0dcf404c4f8ac0df7d6d9d96b81dfb2da62bad58eaf692a10b0bafe16d63 SHA1: 8aef68ee91e2145b4d6bc5cc0789cda00025e20e MD5sum: 214f619004edd7b6397a34d727a08e23 Description: Enlightened Terminal Emulator A terminal emulator in the spirit of xterm or rxvt, eterm uses an Enlightenment style config file, as well as themes. The Imlib2 graphics engine is used to render images. This version supports background images, pixmapped scrollbars, pseudo-transparency, and POSIX threads. Package: etherape Version: 0.9.12-1 Architecture: armhf Maintainer: Frederic Peters Installed-Size: 3094 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.14.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpcap0.8 (>= 0.9.8), libpopt0 (>= 1.14), libsm6, libxml2 (>= 2.6.27) Recommends: menu Homepage: http://etherape.sourceforge.net Priority: optional Section: gnome Filename: pool/main/e/etherape/etherape_0.9.12-1_armhf.deb Size: 885456 SHA256: 29161bef253e7d258e2eda7326a5177718bef5e7d9d9811f5bc843841736998b SHA1: 5343350ce6b40fad989498a9d8f71067e7dd94eb MD5sum: 4254ae5eebbc722d9e480354a408b555 Description: graphical network monitor EtherApe is a graphical network monitor modeled after etherman. it displays network activity graphically, showing active hosts as circles of varying size, and traffic among them as lines of varying width. . It features link layer, ip and TCP modes, color-color coded protocols display, Ethernet, FDDI, Token Ring, ISDN, PPP and SLIP devices. It can filter traffic to be shown, and can read traffic from a file as well as live from the network. Package: etherpuppet Version: 0.3-2+rpi1 Architecture: armhf Maintainer: Vincent Bernat Installed-Size: 55 Depends: libc6 (>= 2.13-28) Homepage: http://www.secdev.org/projects/etherpuppet/ Priority: optional Section: net Filename: pool/main/e/etherpuppet/etherpuppet_0.3-2+rpi1_armhf.deb Size: 11512 SHA256: a48059620f6dfda0ca4d58a48e3c092415225b3c0f51021bad9ee2168630d847 SHA1: 825bb1aee828884dd949746e8fdee3ab18e9ca6f MD5sum: d5a14060c6818a8f0f9eff2cdfd40613 Description: create a virtual interface from a remote Ethernet interface Etherpuppet is a small program that will create a virtual interface (TUN/TAP) on one machine from the ethernet interface of another machine through a TCP connection. Everything seen by the real interface will be seen by the virtual one. Everything sent to the virtual interface will be emitted by the real one. . It has been designed because one often has a small machine as their Internet gateway, and sometimes want to run some big applications that need raw access to this interface, for sniffing (Wireshark, etc.) or for crafting packets that do not survive being reassembled, translated, routed, etc. Package: etherwake Version: 1.09-3 Architecture: armhf Maintainer: Alexander Reichle-Schmehl Installed-Size: 51 Depends: libc6 (>= 2.7) Recommends: wakeonlan Priority: optional Section: net Filename: pool/main/e/etherwake/etherwake_1.09-3_armhf.deb Size: 9300 SHA256: 1cdd5a9db25dc2fe925507470763d6321e3e2fbc05da40ea24d2ccd7663f5d47 SHA1: cf709c779ca87acf3403a7ed98b500c990c2e5ae MD5sum: 42bdf1ac8a7e939c44f5df55e727d86c Description: A little tool to send magic Wake-on-LAN packets You can wake up WOL compliant Computers which have been powered down to sleep mode or start WOL compliant Computers with a BIOS feature. . WOL is an abbreviation for Wake-on-LAN. It is a standard that allows you to turn on a computer from another location over a network connection. . A feature etherwake seperates from other implementations is, that it also supports WOL passwords. Package: ethstats Version: 1.0-5 Installed-Size: 52 Maintainer: Peter Pentchev Architecture: all Depends: perl Size: 4980 SHA256: db0f05c0399f739100f2df234631c13405b250b9cdc4884b17d801a4f3509707 SHA1: f829e1b236f072e12d2d25646c15dc4ece84b920 MD5sum: 973642c7a2d9a8f345cc59e5b0adf03c Description: script that quickly measures network device throughput ethstats works by parsing the /proc/net/dev file that the Linux kernel maintains, and thus utilizes a negligible amount of CPU time. ethstats shows the throughput of each device in both megabits per second and packets per second. Tag: admin::monitoring, implemented-in::perl, interface::commandline, role::program, scope::utility, use::monitor, works-with::network-traffic Section: net Priority: optional Filename: pool/main/e/ethstats/ethstats_1.0-5_all.deb Package: ethstatus Version: 0.4.3 Architecture: armhf Maintainer: Aurélien GÉRÔME Installed-Size: 58 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Priority: optional Section: net Filename: pool/main/e/ethstatus/ethstatus_0.4.3_armhf.deb Size: 14910 SHA256: 0a7aa64af9504b7b2bc7278e764075ceb3807e8421023a1d4c55ec29d2c48ccb SHA1: 0c7ad173042354023eff2f020315ca9f58ac44c3 MD5sum: f7ba7be5ec0b57b49e9f3826b71bbdf9 Description: console-based ethernet statistics monitor Ethstatus is a console-based monitoring utility for displaying statistical data of the ethernet interface on a quantity basis. It is similar to iptraf but is meant to run as a permanent console task to monitor the network load. Package: ethtool Version: 1:3.4.2-1 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 268 Depends: libc6 (>= 2.13-28) Homepage: http://www.kernel.org/pub/software/network/ethtool/ Priority: optional Section: net Filename: pool/main/e/ethtool/ethtool_3.4.2-1_armhf.deb Size: 98716 SHA256: 9933da01f53f71e557b01dba7c858bcaab41d92b66ac84fa87ec842ced373a9f SHA1: 027a6a2d23b279bd684022e1d4289b8420f934f1 MD5sum: 7ccafd58311f018dd04b387bbcaa958a Description: display or change Ethernet device settings ethtool can be used to query and change settings such as speed, auto- negotiation and checksum offload on many network devices, especially Ethernet devices. Package: etktab Version: 3.2-4 Installed-Size: 388 Maintainer: Roland Stigge Architecture: all Depends: tk8.4 Size: 72024 SHA256: 620e77316c216634cc9f840517cbb58745298d4ff1c7a13d2854878f90cbc001 SHA1: 950814c935fa885bef057eddadfd5ca90fc50ad4 MD5sum: 1ad102c81c4e2076adfe7c6cafeab7ee Description: ASCII guitar tab editor This program is used to write out guitar tablature in the typical style of ASCII tab, often found around the internet. The code is based on TkTab by Giovanni Chierico. Many of the ideas for the alterations found here came from EMACS tablature mode by Mark R. Rubin. Homepage: https://sourceforge.net/projects/etktab/ Tag: interface::x11, role::program, scope::application, uitoolkit::tk, works-with::music-notation, x11::application Section: sound Priority: optional Filename: pool/main/e/etktab/etktab_3.2-4_all.deb Package: etl-dev Source: etl Version: 0.04.15-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 684 Homepage: http://www.synfig.org/ Priority: optional Section: libdevel Filename: pool/main/e/etl/etl-dev_0.04.15-1_armhf.deb Size: 179802 SHA256: cab2a2995f53092908fce8487ab7ed6a638d92588ea4df3deec48d8925fcac28 SHA1: 21c6b75730875ba5621c4981df4b037e5bb195c7 MD5sum: 8cdcbe0a934b950538d96345a5c610f1 Description: Extended Class and Template Library ETL is a multi-platform class and template library designed to add new datatypes and functions which combine well with the existing types and functions from the C++ Standard Template Library (STL). Package: etoolbox Version: 2.1-1 Installed-Size: 324 Maintainer: Debian TeX Task Force Architecture: all Depends: texlive-latex-base, dpkg (>= 1.14.18), tex-common (>= 2.00) Size: 220262 SHA256: 6760e860c6d7beae5cdad49ba6b295ddfd95eac66fa30a7149f160952bc53252 SHA1: 942dfc462a9c7f72330546ee3e519e0163b0a748 MD5sum: b92e64e811bb35d22f4dbebdd5bbf4d6 Description: Toolbox for LaTeX class and package authors The etoolbox package is a toolbox of programming facilities geared primarily towards LaTeX class and package authors. It provides LaTeX frontends to some of the new primitives provided by e-TeX as well as some generic tools which are not related to e-TeX but match the profile of this package. The package is work in progress. Note that previous versions of this package were released under the name elatex. Homepage: http://www.ctan.org/tex-archive/help/Catalogue/entries/etoolbox.html Tag: made-of::tex, role::plugin, scope::utility, use::text-formatting, works-with-format::tex, works-with::text Section: tex Priority: extra Filename: pool/main/e/etoolbox/etoolbox_2.1-1_all.deb Package: etsf-io Version: 1.0.3-4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 516 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libnetcdfc7, libnetcdff5 Homepage: http://www.etsf.eu/resources/software/libraries_and_tools Priority: optional Section: science Filename: pool/main/e/etsf-io/etsf-io_1.0.3-4_armhf.deb Size: 197228 SHA256: c8d24a2a449f09731315a510e2dc1f5cf776134d18c567edddb0e1ccd8ff3de9 SHA1: 37bd9c1a5cdad93bb10fd93638e7a8b40e289dc4 MD5sum: c6243c8a4919b463fe9079f0fc47ec1d Description: Binary tools to check, merge and read ETSF files The European Theoretical Spectroscopy Facility (ETSF) is a European network dedicated to providing support and services for ongoing research in academic, government and industrial laboratories. . The ETSF is divided into 7 beamlines, each of which is concerned with a specific scientific topic: - Optics ; - Energy Loss Spectroscopy ; - Quantum Transport ; - Time-resolved Spectroscopy ; - Photo-emission Spectroscopy ; - Vibrational Spectroscopy ; - X-Rays Spectroscopy. . To allow the adoption of its recommendations about standardization, the ETSF proposes different libraries and tools implementing or using these specifications, as well as widely usable pieces of software. . ETSF_IO is a library of F90 routines to read/write the ETSF file format. This package contains the user tools to: - check file conformance to the specifications; - extract data from files; - merge multiple files from parallel runs, as specified in the specifications. Package: ettercap-common Source: ettercap Version: 1:0.7.4.2-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 826 Depends: libc6 (>= 2.13-28), libpcre3 (>= 8.10), zlib1g (>= 1:1.1.4) Recommends: ettercap-graphical | ettercap-text-only Conflicts: ettercap (<= 1:0.7.3), ettercap-plugins Replaces: ettercap-plugins Homepage: http://ettercap.sourceforge.net Priority: optional Section: net Filename: pool/main/e/ettercap/ettercap-common_0.7.4.2-1_armhf.deb Size: 303978 SHA256: 7af5d144d3aece2aec43ee53fa2607262ea87e0026ef56eb1b30a39b9ce1babe SHA1: 0d09763698938ee8667049173f8a55e49d1f91e5 MD5sum: 0013a5c22cec1699a13f891e8f249e14 Description: Multipurpose sniffer/interceptor/logger for switched LAN Ettercap supports active and passive dissection of many protocols (even encrypted ones) and includes many feature for network and host analysis. . Data injection in an established connection and filtering (substitute or drop a packet) on the fly is also possible, keeping the connection synchronized. . Many sniffing modes are implemented, for a powerful and complete sniffing suite. It is possible to sniff in four modes: IP Based, MAC Based, ARP Based (full-duplex) and PublicARP Based (half-duplex). . Ettercap also has the ability to detect a switched LAN, and to use OS fingerprints (active or passive) to find the geometry of the LAN. . This package contains the Common support files, configuration files, plugins, and documentation. You must also install either ettercap-graphical or ettercap-text-only for the actual GUI-enabled or text-only ettercap executable, respectively. Package: ettercap-graphical Source: ettercap Version: 1:0.7.4.2-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 493 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libltdl7 (>= 2.4.2), libncurses5 (>= 5.5-5~), libnet1 (>= 1.1.2.1), libpango1.0-0 (>= 1.14.0), libpcap0.8 (>= 0.9.8), libpcre3 (>= 8.10), libssl1.0.0 (>= 1.0.0), libtinfo5, zlib1g (>= 1:1.1.4), ettercap-common (= 1:0.7.4.2-1) Recommends: gksu Conflicts: ettercap (<= 1:0.7.3), ettercap-gtk, ettercap-text-only Replaces: ettercap (<= 1:0.7.3), ettercap-gtk, ettercap-text-only Provides: ettercap Homepage: http://ettercap.sourceforge.net Priority: optional Section: net Filename: pool/main/e/ettercap/ettercap-graphical_0.7.4.2-1_armhf.deb Size: 229396 SHA256: 0d65d3bdd88d454c843ec69372e87fecd52dd41a7c95427309a2ba56a96c8ce5 SHA1: 6044ff64e4c37cb3eead2eb9a825a12bcba01c3f MD5sum: bdcf64e9a4c3aa521d0a48cce1c6e8cf Description: Ettercap GUI-enabled executable Ettercap supports active and passive dissection of many protocols (even encrypted ones) and includes many feature for network and host analysis. . Data injection in an established connection and filtering (substitute or drop a packet) on the fly is also possible, keeping the connection synchronized. . Many sniffing modes are implemented, for a powerful and complete sniffing suite. It is possible to sniff in four modes: IP Based, MAC Based, ARP Based (full-duplex) and PublicARP Based (half-duplex). . Ettercap also has the ability to detect a switched LAN, and to use OS fingerprints (active or passive) to find the geometry of the LAN. . This package contains the ettercap GUI-enabled executable. Package: ettercap-text-only Source: ettercap Version: 1:0.7.4.2-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 359 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libltdl7 (>= 2.4.2), libncurses5 (>= 5.5-5~), libnet1 (>= 1.1.2.1), libpcap0.8 (>= 0.9.8), libpcre3 (>= 8.10), libssl1.0.0 (>= 1.0.0), libtinfo5, zlib1g (>= 1:1.1.4), ettercap-common (= 1:0.7.4.2-1) Conflicts: ettercap (<= 1:0.7.3), ettercap-graphical, ettercap-gtk Replaces: ettercap (<= 1:0.7.3), ettercap-graphical, ettercap-gtk Provides: ettercap Homepage: http://ettercap.sourceforge.net Priority: optional Section: net Filename: pool/main/e/ettercap/ettercap-text-only_0.7.4.2-1_armhf.deb Size: 178984 SHA256: f3a4d77af764bac22d32c5cfd19382f1c1e41e2e1787186e99a11e3dcc4ba884 SHA1: 3b3b8558791824b850fd292553a7f4d0cffcd2a5 MD5sum: ff70d48bb962772910d28b923f77ff13 Description: Ettercap console-mode executable Ettercap supports active and passive dissection of many protocols (even encrypted ones) and includes many feature for network and host analysis. . Data injection in an established connection and filtering (substitute or drop a packet) on the fly is also possible, keeping the connection synchronized. . Many sniffing modes are implemented, for a powerful and complete sniffing suite. It is possible to sniff in four modes: IP Based, MAC Based, ARP Based (full-duplex) and PublicARP Based (half-duplex). . Ettercap also has the ability to detect a switched LAN, and to use OS fingerprints (active or passive) to find the geometry of the LAN. . This package contains the ettercap text-mode-only executable. Package: etw Version: 3.6+svn140-4 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 529 Depends: etw-data (>= 3.6+svn140-4), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libsdl1.2debian (>= 1.2.11) Homepage: http://www.ggsoft.org/etw/ Priority: optional Section: games Filename: pool/main/e/etw/etw_3.6+svn140-4_armhf.deb Size: 177986 SHA256: 55df82fd51d90e2574a0c99bde3b2632206f89d0f59f73496839b36851278fde SHA1: bb0f325c7e34577258aa3ab9fc4b1af23dce3cd6 MD5sum: d84885bac639580d0e7847e0ebf3fab0 Description: arcade-style soccer game Eat The Whistle is an arcade soccer game similar to famous Amiga titles such as Kick Off or Sensible Soccer. It features several game modes where you can play either as the whole team or as a single player, and you can also manage teams that take part in cups and leagues. There is even an arcade mode with powerups and bonuses, like in the game SpeedBall 2. . Eat The Whistle features 30 different field types and numerous sound effects. The game is viewed from the side and can be controlled with either a joystick or the keyboard. . Most in-game settings are configurable, such as the pitch, weather and game daytime, which will impact on the gameplay. There is a replay mode that lets you load and save best moments, a game tactics editor, and teams from the game Sensible World of Soccer can be directly imported. Package: etw-data Source: etw Version: 3.6+svn140-4 Installed-Size: 15443 Maintainer: Debian Games Team Architecture: all Size: 8052712 SHA256: e4b5b14a805063ff631e739e68999fea4c6547d6736073a038151597ed41de6e SHA1: c1312a3e57538d02924a07ea8c47ff6bfe9b3eb3 MD5sum: f2895fa7d770052833c063028fc09350 Description: graphics and audio data for etw Eat The Whistle is an arcade soccer game similar to famous Amiga titles such as Kick Off or Sensible Soccer. It features several game modes where you can play either as the whole team or as a single player, and you can also manage teams that take part in cups and leagues. There is even an arcade mode with powerups and bonuses, like in the game SpeedBall 2. . This package contains the architecture-independent data for etw. For more information, see the etw package. Homepage: http://www.ggsoft.org/etw/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/e/etw/etw-data_3.6+svn140-4_all.deb Package: euca2ools Version: 2.0.2-1 Installed-Size: 854 Maintainer: Debian Eucalyptus Maintainers Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-m2crypto (>= 0.20.2), python-boto (>= 2.0~) Size: 152002 SHA256: 223b4f273d6c800802be3eaca981ba68073547a40c76497683336bf18754578a SHA1: 1838e54211f86f684a603573e1d79032931f2bce MD5sum: 3c6a1f32a5ec4aa4bf0478ed0043eb50 Description: managing cloud instances for Eucalyptus EUCALYPTUS is an open source service overlay that implements elastic computing using existing resources. The goal of EUCALYPTUS is to allow sites with existing clusters and server infrastructure to co-host an elastic computing service that is interface-compatible with Amazon's EC2. . Elastic Utility Computing Architecture for Linking Your Programs To Useful Systems - is an open-source software infrastructure for implementing "cloud computing" on clusters. Eucalyptus Systems is the pioneer in open source cloud computing technology that delivers hybrid cloud deployments for enterprise data centers. Leveraging Linux and web service technologies that commonly exist in today's IT infrastructure, Eucalyptus enables customers to quickly and easily create elastic clouds in minutes. This "no lock-in" approach provides users with ultimate flexibility when delivering their SLAs. . Eucalyptus is more than just virtualization. Along with building virtual machines, the technology supports the network and storage infrastructure within the cloud environment. Eucalyptus works with multiple flavors of Linux including Ubuntu, OpenSuse, Debian, and CentOS. Eucalyptus currently supports Xen and KVM hypervisors. These tools are meant to be CLI compatible with the ec2-api-tools. Homepage: http://www.eucalyptus.com/download/euca2ools Section: utils Priority: optional Filename: pool/main/e/euca2ools/euca2ools_2.0.2-1_all.deb Package: eukleides Version: 1.5.4-2 Architecture: armhf Maintainer: Robert Lemmen Installed-Size: 629 Depends: libc6 (>= 2.13-28), libreadline6 (>= 6.0), dpkg (>= 1.15.4) | install-info Recommends: texlive-latex-recommended Suggests: pstoedit, texlive, texlive-pstricks Homepage: http://www.eukleides.org/ Priority: optional Section: math Filename: pool/main/e/eukleides/eukleides_1.5.4-2_armhf.deb Size: 188748 SHA256: fad3dae610119328ac327d981ec698e7abdae928470b3b9b58b50dbb971d9a64 SHA1: 075fbf7f6733d80065552c991373e2196d59da0b MD5sum: 46214a59e08cec80f6315611c7b6c81e Description: Euclidean geometry drawing language Eukleides is a language which allows one to typeset geometric figures within a (La)TeX document. This package includes scripts to convert these figures into EPS and other formats. Eukleides uses a console interface. Package: euler Version: 1.61.0-8.1 Architecture: armhf Maintainer: Frank S. Thomas Installed-Size: 1071 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Suggests: euler-doc Homepage: http://euler.sourceforge.net/ Priority: optional Section: math Filename: pool/main/e/euler/euler_1.61.0-8.1_armhf.deb Size: 404416 SHA256: 9400a52c65a098c94765a7d6ddbaf2075a6f86620fe26db6dfc0e06163bb9845 SHA1: 9ef85326cd61d8b9b08f4c762be7087c40c1007f MD5sum: e3a19ffbe8480ad55938c40d43d8a3cf Description: interactive mathematical programming environment Euler is a powerful numerical laboratory with a programming language. The system can handle real, complex and interval numbers, vectors and matrices. It can produce 2D/3D plots. . Euler features among other things: * real, complex and interval scalars and matrices * a programming language, with local variables, default values for parameters, variable parameter number, passing of functions * two and three dimensional graphs * marker plots * density and contour plots * animations * numerical integration and differentiation * statistical functions and tests * differential equations * interval methods with guaranteed inclusions * function minimizers (Brent, Nelder-Mean) * simplex algorithm * interpolation and approximation * finding roots of polynomials * fast Fourier transform (FFT) * an exact scalar product using a long accumulator * PostScript graphics export . This package contains the main program. Documentation for Euler is included in the euler-doc package. Package: euler-doc Source: euler Version: 1.61.0-8.1 Installed-Size: 656 Maintainer: Frank S. Thomas Architecture: all Suggests: euler Size: 428692 SHA256: bce2d340b7f2b13d7eb8b39d967bd41cc8d45d3bb48b92ab43dd05800687aaa5 SHA1: df1c65e7c4c2d17a5303e85169d5c6063f32c158 MD5sum: 5445d067d63bee4e52ebd0582cffb06d Description: documentation for the mathematical programming environment Euler Euler is a powerful numerical laboratory with a programming language. The system can handle real, complex and interval numbers, vectors and matrices. It can produce 2D/3D plots. . This package contains documentation for Euler. Homepage: http://euler.sourceforge.net/ Tag: field::mathematics, role::documentation, use::viewing Section: doc Priority: optional Filename: pool/main/e/euler/euler-doc_1.61.0-8.1_all.deb Package: eurephia Version: 1.0.1-3 Architecture: armhf Maintainer: Alberto Gonzalez Iniesta Installed-Size: 564 Depends: libc6 (>= 2.13-28), libsqlite3-0 (>= 3.5.9), libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), openvpn (>= 2) Recommends: sqlite3 Homepage: http://www.eurephia.net/ Priority: extra Section: net Filename: pool/main/e/eurephia/eurephia_1.0.1-3_armhf.deb Size: 176174 SHA256: c5b71c27adeb96086ccaf6df549301e3429789b6524ba77cac0e1e7359c71b38 SHA1: 920df5603fa8cb0c81d21ed8da8bad424a9ecc36 MD5sum: 64c912606ee5850a343052e0521b7de7 Description: flexible OpenVPN authentication module This plug-in enhances OpenVPN by adding user name and password authentication. An eurephia user account is a combination of minimum one OpenVPN SSL certificate and a user name with a password assigned. It is also possible to setup several eurephia user names to use a shared OpenVPN certificate. . In addition, eurephia will blacklist IP addresses, certificates and user names on too many failed attempts and it supports dynamic update of iptables rules which restricts network access per connection. . All information is stored in a database and all changes to the accounts will be effective immediately. At the moment eurephia supports the SQLite database. Package: eventstat Version: 0.01.15-1 Architecture: armhf Maintainer: Colin King Installed-Size: 53 Depends: libc6 (>= 2.13-28) Homepage: http://kernel.ubuntu.com/~cking/eventstat Priority: optional Section: admin Filename: pool/main/e/eventstat/eventstat_0.01.15-1_armhf.deb Size: 10066 SHA256: 9efc554d3ef52353d56249f28d94c42c63bbee4183f3f8e2ed5507afffe72782 SHA1: f21150a2451c64d9a6d6a998227105a72de36699 MD5sum: 309aa218bd4422db08156f95ff2636aa Description: kernel event states monitoring tool Eventstat periodically dumps out the current kernel event state. It keeps track of current events and outputs the change in events on each output update. The tool requires sudo to run since it needs to write to /proc/timer_stats to start and stop the event monitoring. Package: evernote-mode Version: 0.41-3 Installed-Size: 9077 Maintainer: Youhei SASAKI Architecture: all Depends: emacs23 | emacs, ruby | ruby-interpreter Suggests: w3m-el | w3m-el-snapshot Size: 895250 SHA256: adce9915993e37f13bba314588241c1f59038f56035215b13a7bb30e7a4cae2a SHA1: f60119145d2eaf7aebc1f2b87db2c4861ccf74ee MD5sum: dc1005d4740d91313f5bb331f729fffa Description: Emacs major mode for editing Evernote directly Emacs evernote mode offers functions to refer and edit Evernote notes directly from Emacs. Features: - Open note - Read an existing note to an Emacs buffer. - Tag search is also available - Save note - Update the modified note in an Emacs buffer. - Create note - Create a new note from the current buffer or from scratch. - Edit tags - Change tags attached to the note - Rename note - Delete note - Search notes - by using a query or a saved search Homepage: http://code.google.com/p/emacs-evernote-mode/ Section: lisp Priority: optional Filename: pool/main/e/evernote-mode/evernote-mode_0.41-3_all.deb Package: evilvte Version: 0.5.1-1 Architecture: armhf Maintainer: Wen-Yen Chuang Installed-Size: 252 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.14.0), libgtk2.0-0 (>= 2.24.0), libvte9 (>= 1:0.24.0) Provides: x-terminal-emulator Homepage: http://www.calno.com/evilvte/ Priority: optional Section: x11 Filename: pool/main/e/evilvte/evilvte_0.5.1-1_armhf.deb Size: 52356 SHA256: ed037d365c780a21cd3b7a56658214ce75f354b1fe7087f587be0ab406f36ccc SHA1: 7f5362669d4da03ba0ef50ebe7bb2d63b132a614 MD5sum: 307891c4cebefbfbb8cf7b9003535a3b Description: lightweight terminal emulator based on VTE evilvte is a terminal emulator based on Virtual Terminal Emulator(VTE) library. It supports almost everything VTE provides. It also supports tabs, tabbar autohide, and switch encoding at runtime. Configuration is via editing source code and recompilation. . This build provides all runtime changeable options in the right-click menu. Package: evilwm Version: 1.0.0-1 Architecture: armhf Maintainer: Ciaran Anscomb Installed-Size: 80 Depends: libc6 (>= 2.4), libx11-6, libxext6, libxrandr2 Priority: optional Section: x11 Filename: pool/main/e/evilwm/evilwm_1.0.0-1_armhf.deb Size: 30970 SHA256: 2a01661dff1e112295673926a83fd2c0b25f0f642366732d0402999d297fa97d SHA1: 91a1275f7613537a4257cbfbacca58339ec91ac5 MD5sum: 36374aa1b91f37771f5c9aaa4581b146 Description: a minimalist window manager for X11 evilwm is based on aewm by Decklin Foster. It further maximises screen real-estate and provides a good set of keyboard controls. Package: evince Version: 3.4.0-3.1+deb7u2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 904 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libevdocument3-4 (= 3.4.0-3.1+deb7u2), libevview3-3 (= 3.4.0-3.1+deb7u2), libgail-3-0 (>= 3.0.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome-keyring0 (>= 2.22.2), libgtk-3-0 (>= 3.0.2), libice6 (>= 1:1.0.0), libnautilus-extension1a (>= 2.91), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), evince-common (>= 3.4), evince-common (<< 3.5), gnome-icon-theme (>= 2.17.1), shared-mime-info Recommends: dbus-x11, gvfs Suggests: unrar, poppler-data, nautilus Conflicts: evince-gtk Provides: djvu-viewer, pdf-viewer, postscript-viewer Homepage: http://www.gnome.org/projects/evince/ Priority: optional Section: gnome Filename: pool/main/e/evince/evince_3.4.0-3.1+deb7u2_armhf.deb Size: 599824 SHA256: 09e7d663f5ec189f26182b65e967dc4aa6017bfc33a96764f8e0cae07d54af32 SHA1: 22e7fd53cb126b8b1e33e9e53d82aa81c7c038d1 MD5sum: 403696d196c513422e19b535339e6d9d Description: Document (PostScript, PDF) viewer Evince is a simple multi-page document viewer. It can display and print PostScript (PS), Encapsulated PostScript (EPS), DjVu, DVI, Portable Document Format (PDF) and XML Paper Specification (XPS) files. When supported by the document, it also allows searching for text, copying text to the clipboard, hypertext navigation, and table-of-contents bookmarks. Package: evince-common Source: evince Version: 3.4.0-3.1+deb7u2 Installed-Size: 14653 Maintainer: Debian GNOME Maintainers Architecture: all Depends: dconf-gsettings-backend | gsettings-backend, gsettings-desktop-schemas Size: 5155990 SHA256: 8127a5728455fb816c327fb379466cec4b553680fc4d4505530d2a3b3b3a3afd SHA1: 54eb6747cd2360d962ee43de15398d964a5d4f59 MD5sum: 25f67b933b911bdeeb41d86ec6e126b0 Description: Document (PostScript, PDF) viewer - common files Evince is a simple multi-page document viewer. It can display and print PostScript (PS), Encapsulated PostScript (EPS), DjVu, DVI, Portable Document Format (PDF) and XML Paper Specification (XPS) files. When supported by the document, it also allows searching for text, copying text to the clipboard, hypertext navigation, and table-of-contents bookmarks. . This package contains shared files for evince and evince-gtk packages. Homepage: http://www.gnome.org/projects/evince/ Section: gnome Priority: optional Filename: pool/main/e/evince/evince-common_3.4.0-3.1+deb7u2_all.deb Package: evince-dbg Source: evince Version: 3.4.0-3.1+deb7u2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 3356 Depends: evince (= 3.4.0-3.1+deb7u2), libevdocument3-4 (= 3.4.0-3.1+deb7u2), libevview3-3 (= 3.4.0-3.1+deb7u2) Homepage: http://www.gnome.org/projects/evince/ Priority: extra Section: debug Filename: pool/main/e/evince/evince-dbg_3.4.0-3.1+deb7u2_armhf.deb Size: 1256546 SHA256: cc2576ba59b95685b34aa07357232e5dce85c272a5d203931cf51fe3c81d56e3 SHA1: b2d422c7d60844488e99b5f556545a72c95ca578 MD5sum: 0159d192bed9ea1add8f02d98b3e9d78 Description: Document (PostScript, PDF) viewer - debugging symbols Evince is a simple multi-page document viewer. It can display and print PostScript (PS), Encapsulated PostScript (EPS), DjVu, DVI, Portable Document Format (PDF) and XML Paper Specification (XPS) files. When supported by the document, it also allows searching for text, copying text to the clipboard, hypertext navigation, and table-of-contents bookmarks. . This package contains the debugging symbols needed by gdb. Package: evince-gtk Source: evince Version: 3.4.0-3.1+deb7u2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 885 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libevdocument3-4 (= 3.4.0-3.1+deb7u2), libevview3-3 (= 3.4.0-3.1+deb7u2), libgail-3-0 (>= 3.0.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.2), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), evince-common (>= 3.4), evince-common (<< 3.5), gnome-icon-theme (>= 2.17.1), shared-mime-info Recommends: dbus-x11 Suggests: gvfs, unrar, poppler-data, nautilus Conflicts: evince Provides: djvu-viewer, pdf-viewer, postscript-viewer Homepage: http://www.gnome.org/projects/evince/ Priority: optional Section: x11 Filename: pool/main/e/evince/evince-gtk_3.4.0-3.1+deb7u2_armhf.deb Size: 596834 SHA256: d4b7f247e0eb7958a8fa14127d245ad3aa23b4b94621ee6864bbb2f052a8ac25 SHA1: 752b427c02792f307770a4b3a0f729b2b2ff4921 MD5sum: 1feaf5246a1910db834b9830dd3ca008 Description: Document (PostScript, PDF) viewer (GTK+ version) Evince is a simple multi-page document viewer. It can display and print PostScript (PS), Encapsulated PostScript (EPS), DjVu, DVI, Portable Document Format (PDF) and XML Paper Specification (XPS) files. When supported by the document, it also allows searching for text, copying text to the clipboard, hypertext navigation, and table-of-contents bookmarks. . This version of evince is built without GNOME keyring support. Package: evolution Source: evolution (3.4.4-3) Version: 3.4.4-3+b1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 5472 Pre-Depends: debconf (>= 1.4.69) | debconf-2.0 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10), libcamel-1.2-33 (>= 3.4), libcamel-1.2-33 (<< 3.5), libclutter-gtk-1.0-0 (>= 0.91.8), libdbus-glib-1-2 (>= 0.78), libebackend-1.2-2 (>= 3.4.4), libebook-1.2-13 (>= 3.4.4), libecal-1.2-11 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libedataserverui-3.0-1 (>= 3.4.4), libenchant1c2a (>= 1.6), libevolution (>= 3.4), libevolution (<< 3.5), libgail-3-0 (>= 3.0.0), libgconf-2-4 (>= 2.31.1), libgdata13 (>= 0.10.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome-desktop-3-2 (>= 3.2.0), libgtk-3-0 (>= 3.4.0), libgtkhtml-4.0-0 (>= 4.4), libgtkhtml-4.0-0 (<< 4.5), libgtkhtml-editor-4.0-0 (>= 4.4), libgtkhtml-editor-4.0-0 (<< 4.5), libgweather-3-0 (>= 3.0.0), libical0 (>= 0.48), libmx-1.0-2 (>= 1.2.0), libnotify4 (>= 0.7.0), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.32.2), libsqlite3-0 (>= 3.5.9), libxml2 (>= 2.7.4), gconf2 (>= 2.28.1-2), evolution-common (= 3.4.4-3), evolution-data-server (>= 3.4), evolution-data-server (<< 3.5), gnome-icon-theme (>= 2.30.2.1), dbus, psmisc Recommends: evolution-plugins, evolution-webcal, yelp, bogofilter | spamassassin Suggests: evolution-exchange, evolution-dbg, evolution-plugins-experimental, gnupg, network-manager Replaces: evolution-common (<< 2.91) Provides: imap-client, mail-reader Homepage: http://projects.gnome.org/evolution/ Priority: optional Section: gnome Filename: pool/main/e/evolution/evolution_3.4.4-3+b1_armhf.deb Size: 1502750 SHA256: d362e16a91ce858e474ec98c827c2ecd019144f7e88e3b8703fc2c93c505dfc5 SHA1: b1dd0e294c983047ddd6b2b39a16e076168716b4 MD5sum: 4f21865722785f74afb30a05f41432b3 Description: groupware suite with mail client and organizer Evolution is a groupware suite which integrates mail, calendar, address book, to-do list and memo tools. . Additional features include integration with Exchange servers, newsgroup client, LDAP support and web calendars . Evolution is a graphical application that is part of GNOME, and is distributed by Novell, Inc. . See http://projects.gnome.org/evolution/ for more information. . The following plugins belonging to the "base" set are included. - calendar-file - calendar-http - itip-formatter - default-source - addressbook-file - mark-all-read - publish-calendar - caldav - imap-features - google-account-setup - webdav-account-setup - calendar-weather - sa-junk-plugin - bogo-junk-plugin Package: evolution-common Source: evolution Version: 3.4.4-3 Installed-Size: 47480 Maintainer: Debian Evolution Maintainers Architecture: all Depends: dconf-gsettings-backend | gsettings-backend Recommends: evolution Size: 8266378 SHA256: 81ad2e47a786966e28574a6c8314adfab7cc5c9d49cb6a6d557a33001f3cb4bd SHA1: 056dc1a6af168af22c883b826ef5199d689fd9c6 MD5sum: 667347c38b48a367cbd4f029d053a5fe Description: architecture independent files for Evolution Evolution is a groupware suite which integrates mail, calendar, address book, to-do list and memo tools. . This package contains the architecture independent files needed by the evolution package. Homepage: http://projects.gnome.org/evolution/ Tag: role::app-data Section: gnome Priority: optional Filename: pool/main/e/evolution/evolution-common_3.4.4-3_all.deb Package: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 1600 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcamel-1.2-33 (= 3.4.4-3+deb7u1), libcomerr2 (>= 1.01), libdb5.1, libdbus-glib-1-2 (>= 0.78), libebackend-1.2-2 (>= 3.4.4), libebook-1.2-13 (>= 3.4.4), libecal-1.2-11 (>= 3.4.4), libedata-book-1.2-13 (>= 3.4.4), libedata-cal-1.2-15 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libgconf-2-4 (>= 2.31.1), libgdata13 (>= 0.11.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.32), libgoa-1.0-0 (>= 3.1.1), libgssapi-krb5-2 (>= 1.6.dfsg.2), libgtk-3-0 (>= 3.2), libgweather-3-0 (>= 3.0.0), libical0 (>= 0.48), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libldap-2.4-2 (>= 2.4.7), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), liboauth0 (>= 0.9.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.32.2), libsqlite3-0 (>= 3.5.9), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), evolution-data-server-common (= 3.4.4-3+deb7u1) Suggests: evolution, evolution-data-server-dbg (= 3.4.4-3+deb7u1) Breaks: libebook-1.2-12 (<< 3.4), libebook1.2-10 (<< 3.2), libebook1.2-9 (<< 2.32), libecal1.2-7 (<< 2.32), libecal1.2-8 (<< 3.2) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: gnome Filename: pool/main/e/evolution-data-server/evolution-data-server_3.4.4-3+deb7u1_armhf.deb Size: 729862 SHA256: 42f180fd96d0daf20b076afd960785e4134d1e672297b5759fe1fd6080dcd5b3 SHA1: b91f8f10c77a4559d4481c067bc7123193d27a8f MD5sum: cb75ad29c6eadfbf60f22e41b3401216 Description: evolution database backend server The data server, called "Evolution Data Server" is responsible for managing mail, calendar, addressbook, tasks and memo information. Package: evolution-data-server-common Source: evolution-data-server Version: 3.4.4-3+deb7u1 Installed-Size: 8409 Maintainer: Debian Evolution Maintainers Architecture: all Depends: dconf-gsettings-backend | gsettings-backend Size: 1358610 SHA256: 2ea271caa1b74edc4bb1b2fbe907efd979f50f368941016f948e5e99e5d4617c SHA1: 37dd0b6ef07d28387a2a42df7d4be8a663dd62e5 MD5sum: ea20dcf48c5cb1d97b7f7ee4bc42fc2b Description: architecture independent files for Evolution Data Server The data server, called "Evolution Data Server" is responsible for managing calendar and addressbook information. . This package contains the architecture independent files needed by the evolution-data-server package. Homepage: http://www.gnome.org/projects/evolution/ Tag: role::app-data Section: gnome Priority: optional Filename: pool/main/e/evolution-data-server/evolution-data-server-common_3.4.4-3+deb7u1_all.deb Package: evolution-data-server-dbg Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 4867 Depends: evolution-data-server (= 3.4.4-3+deb7u1) Homepage: http://www.gnome.org/projects/evolution/ Priority: extra Section: debug Filename: pool/main/e/evolution-data-server/evolution-data-server-dbg_3.4.4-3+deb7u1_armhf.deb Size: 3786762 SHA256: 2c89fbe09daa2b91e4aef3f43fc027c6647f818c8eaaafe091d3ea2d8cffe860 SHA1: f3727d8649ef9313a13831ddd89696e2363ba3ea MD5sum: 7979a77292ad0226ba096173d1402983 Description: evolution database backend server with debugging symbols This package contains unstripped binaries used for debugging. Package: evolution-data-server-dev Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 435 Depends: libglib2.0-dev (>= 2.30), libnss3-dev, libnspr4-dev Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: devel Filename: pool/main/e/evolution-data-server/evolution-data-server-dev_3.4.4-3+deb7u1_armhf.deb Size: 412310 SHA256: fbde7b9ac1052c970874234da16d9e43e6494a27f9cec9977614e1df6afde9dd SHA1: 86f5d501f049834902ed51c502f124f31a147320 MD5sum: 0439af982308ef4c1bc7b0ed7cb95306 Description: Development files for evolution-data-server (metapackage) This package contains header files and static library of evolution-data-server. Package: evolution-data-server-doc Source: evolution-data-server Version: 3.4.4-3+deb7u1 Installed-Size: 9795 Maintainer: Debian Evolution Maintainers Architecture: all Replaces: libcamel1.2-dev (<< 3.4.2), libebackend1.2-dev (<< 3.4.2), libebook1.2-dev (<< 3.4.2), libecal1.2-dev (<< 3.4.2), libedata-book1.2-dev (<< 3.4.2), libedata-cal1.2-dev (<< 3.4.2), libedataserver1.2-dev (<< 3.4.2), libedataserverui-3.0-dev (<< 3.4.2), libedataserverui1.2-dev Suggests: devhelp Breaks: libcamel1.2-dev (<< 3.4.2), libebackend1.2-dev (<< 3.4.2), libebook1.2-dev (<< 3.4.2), libecal1.2-dev (<< 3.4.2), libedata-book1.2-dev (<< 3.4.2), libedata-cal1.2-dev (<< 3.4.2), libedataserver1.2-dev (<< 3.4.2), libedataserverui-3.0-dev (<< 3.4.2), libedataserverui1.2-dev Size: 859874 SHA256: feb04bbd1afce2145f1f65f3d7c38df4a35a9761df2b3499b13391f3c9cb810a SHA1: 744d6df2babe2a4b80b86249b0c5e5b578043bf2 MD5sum: b009c70dfb1ed4c36dcae6bae73fbdef Description: Documentation files for the Evolution Data Server libraries The data server, called "Evolution Data Server" is responsible for managing calendar and addressbook information. . This package contains the API documentation for all the individual Evolution Data Server libraries. Homepage: http://www.gnome.org/projects/evolution/ Section: doc Priority: optional Filename: pool/main/e/evolution-data-server/evolution-data-server-doc_3.4.4-3+deb7u1_all.deb Package: evolution-dbg Source: evolution (3.4.4-3) Version: 3.4.4-3+b1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 9720 Depends: evolution (= 3.4.4-3+b1) Homepage: http://projects.gnome.org/evolution/ Priority: extra Section: debug Filename: pool/main/e/evolution/evolution-dbg_3.4.4-3+b1_armhf.deb Size: 7657100 SHA256: 142fa52d38de2f151520735c6cac59fb374d1a69eb6adb8f5561c0dc1bc9353a SHA1: 5a2c286a7b1a2610d69b952b435280d8e1ece2e0 MD5sum: 525011db347161310bc8607a0aad6ef1 Description: debugging symbols for Evolution Evolution is a groupware suite which integrates mail, calendar, address book, to-do list and memo tools. . This package contains unstripped binaries of evolution for use in debugging. Package: evolution-dev Source: evolution (3.4.4-3) Version: 3.4.4-3+b1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 3296 Depends: libevolution (= 3.4.4-3+b1), libxml2-dev, libcamel1.2-dev, libgtkhtml-4.0-dev, libgtkhtml-editor-4.0-dev, libebackend1.2-dev, libgtk-3-dev, libgconf2-dev, libgnome-desktop-3-dev, libedataserverui-3.0-dev, libedataserver1.2-dev Homepage: http://projects.gnome.org/evolution/ Priority: optional Section: devel Filename: pool/main/e/evolution/evolution-dev_3.4.4-3+b1_armhf.deb Size: 1041626 SHA256: 8143a4229e2e14222b8a88ed94b56590c771148cb83607890ed511f4f5f6450a SHA1: ac688bf438e0f9497bd3406cbfe75233cb0b96f4 MD5sum: 32790ac10e63051065e9715faabca78a Description: development library files for Evolution Evolution is a groupware suite which integrates mail, calendar, address book, to-do list and memo tools. . This package contains header and static library files for developing Evolution components. Package: evolution-ews Version: 3.4.4-2 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 812 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcamel-1.2-33 (>= 3.4), libcamel-1.2-33 (<< 3.5), libebackend-1.2-2 (>= 3.4.4), libebook-1.2-13 (>= 3.4.4), libecal-1.2-11 (>= 3.4.4), libedata-book-1.2-13 (>= 3.4.4), libedata-cal-1.2-15 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libedataserverui-3.0-1 (>= 3.4.4), libenchant1c2a (>= 1.6), libevolution (>= 3.4), libevolution (<< 3.5), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libgtkhtml-4.0-0 (>= 4.4), libgtkhtml-4.0-0 (<< 4.5), libgtkhtml-editor-4.0-0 (>= 4.4), libgtkhtml-editor-4.0-0 (<< 4.5), libical0 (>= 0.42), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.32.2), libsqlite3-0 (>= 3.6.0), libxml2 (>= 2.7.4), evolution (>= 3.4), evolution (<< 3.5) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: gnome Filename: pool/main/e/evolution-ews/evolution-ews_3.4.4-2_armhf.deb Size: 172328 SHA256: 9ff7284346578e84d46596b3968b537b40b996e0433fabd5bcb5c2dcac9ecd44 SHA1: 2468181eeea49201ff748e1dc226e1efb967a3a5 MD5sum: ab26d72f78cce7600160dc2af162a693 Description: Exchange Web Services integration for Evolution This package allows the Evolution mail and groupware suite to connect to Microsoft Exchange servers using the Exchange Web Services interface. . It works in a very similar way to the Evolution Exchange connector, but it supports the Exchange 2007 version. Package: evolution-exchange Version: 3.4.4-1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 5097 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcamel-1.2-33 (>= 3.4), libcamel-1.2-33 (<< 3.5), libcomerr2 (>= 1.01), libdb5.1, libebackend-1.2-2 (>= 3.4.4), libebook-1.2-13 (>= 3.4.4), libecal-1.2-11 (>= 3.4.4), libedata-book-1.2-13 (>= 3.4.4), libedata-cal-1.2-15 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libedataserverui-3.0-1 (>= 3.4.4), libenchant1c2a (>= 1.6), libevolution (>= 3.4), libevolution (<< 3.5), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgssapi-krb5-2 (>= 1.6.dfsg.2), libgtk-3-0 (>= 3.0.0), libgtkhtml-4.0-0 (>= 4.4), libgtkhtml-4.0-0 (<< 4.5), libgtkhtml-editor-4.0-0 (>= 4.4), libgtkhtml-editor-4.0-0 (<< 4.5), libical0 (>= 0.42), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libldap-2.4-2 (>= 2.4.7), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libsqlite3-0 (>= 3.5.9), libxml2 (>= 2.7.4), gconf2 (>= 2.28.1-2), evolution (>= 3.4.4), evolution (<< 3.5) Suggests: evolution-exchange-dbg Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: gnome Filename: pool/main/e/evolution-exchange/evolution-exchange_3.4.4-1_armhf.deb Size: 727950 SHA256: cdb71e6da7de1649713973a7d8a3cb81863304e5f7d00ef656b75ddc79d664c3 SHA1: 6c87b5c478d812c6caa27e93c09ffd3404c43108 MD5sum: f398b06e48844439a3b93165f7b899d2 Description: Exchange plugin for the Evolution groupware suite The Evolution Exchange Connector adds support for Microsoft Exchange 2000 and 2003 to the Evolution groupware suite. . It uses the functionality of Outlook Web Access (OWA) to integrate with Exchange. Therefore, it is a requirement that OWA is enabled on the corporate Exchange infrastructure. Package: evolution-exchange-dbg Source: evolution-exchange Version: 3.4.4-1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 1034 Depends: evolution-exchange (= 3.4.4-1) Homepage: http://www.gnome.org/projects/evolution/ Priority: extra Section: debug Filename: pool/main/e/evolution-exchange/evolution-exchange-dbg_3.4.4-1_armhf.deb Size: 783754 SHA256: c4a214d683efbe09d8842880df8f090ca788338365a1f96d2ba6bb29c8b234c9 SHA1: aea21e6963d2e79dfb732eb968abf24ca284ba4f MD5sum: 7ab853da3f6aa608bfb7448b2a4d2c59 Description: Exchange plugin for Evolution with debugging symbols The Evolution Exchange Connector adds support for Microsoft Exchange 2000 and 2003 to the Evolution groupware suite. . This package contains unstripped binaries used for debugging. Package: evolution-mapi Version: 3.4.4-1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 1611 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcamel-1.2-33 (>= 3.4), libcamel-1.2-33 (<< 3.5), libdcerpc0, libebackend-1.2-2 (>= 3.4.4), libebook-1.2-13 (>= 3.4.4), libecal-1.2-11 (>= 3.4.4), libedata-book-1.2-13 (>= 3.4.4), libedata-cal-1.2-15 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libedataserverui-3.0-1 (>= 3.4.4), libenchant1c2a (>= 1.6), libevolution (>= 3.4), libevolution (<< 3.5), libexchangemapi-1.0-0 (>= 3.4.3), libexchangemapi-1.0-0 (<< 3.5), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libgtkhtml-4.0-0 (>= 4.4), libgtkhtml-4.0-0 (<< 4.5), libgtkhtml-editor-4.0-0 (>= 4.4), libgtkhtml-editor-4.0-0 (<< 4.5), libical0 (>= 0.42), libmapi0, libndr0, libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libpango1.0-0 (>= 1.14.0), libsamba-util0, libsoup2.4-1 (>= 2.32.2), libsqlite3-0 (>= 3.5.9), libtalloc2 (>= 2.0.4~git20101213), libtevent0 (>= 0.9.9), libxml2 (>= 2.6.27), evolution (>= 3.4.4), evolution (<< 3.5) Enhances: evolution Homepage: http://www.go-evolution.org/MAPIProvider Priority: optional Section: gnome Filename: pool/main/e/evolution-mapi/evolution-mapi_3.4.4-1_armhf.deb Size: 281706 SHA256: 26f1004cd7970d2bc36ac098954a1fd398c23f68421868d8cce428f90c6cb582 SHA1: 64272f914b484191f1d00c39f4da1ad8b9327fcd MD5sum: bcdd8de958fa2d62a781ac36338de64c Description: Exchange support for the Evolution groupware suite The Evolution MAPI provider adds support for Microsoft Exchange, including Exchange 2007, to the Evolution groupware suite, using the proprietary MAPI protocol. . Unlike the Exchange connector (in the evolution-exchange package), it does not use Outlook Web Access and requires direct access to the Exchange server. Package: evolution-mapi-dbg Source: evolution-mapi Version: 3.4.4-1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 952 Depends: evolution-mapi (= 3.4.4-1), libexchangemapi-1.0-0 (= 3.4.4-1) Homepage: http://www.go-evolution.org/MAPIProvider Priority: extra Section: debug Filename: pool/main/e/evolution-mapi/evolution-mapi-dbg_3.4.4-1_armhf.deb Size: 777022 SHA256: 947577b9b3a755e2c329aab088b0f6e2478bc13ba5b226fc45d0b1db6dce467f SHA1: 30663b4ae5a68dd98dc7b3e500abe865ed5e48d5 MD5sum: 92a695555c52bf399ae93ed1f19d6226 Description: debugging symbols for the Evolution MAPI provider The Evolution MAPI provider adds support for Microsoft Exchange, including Exchange 2007, to the Evolution groupware suite, using the proprietary MAPI protocol. . This package contains debugging symbols to help debugging issues in the MAPI provider. Package: evolution-plugins Source: evolution (3.4.4-3) Version: 3.4.4-3+b1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 1329 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10), libcamel-1.2-33 (>= 3.4), libcamel-1.2-33 (<< 3.5), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libchamplain-0.12-0 (>= 0.11.0), libchamplain-gtk-0.12-0 (>= 0.11.0), libclutter-1.0-0 (>= 1.10.0), libclutter-gtk-1.0-0 (>= 0.91.8), libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.7.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdrm2 (>= 2.3.1), libebackend-1.2-2 (>= 3.4.4), libebook-1.2-13 (>= 3.4.4), libecal-1.2-11 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libedataserverui-3.0-1 (>= 3.4.4), libenchant1c2a (>= 1.6), libevolution (>= 3.4), libevolution (<< 3.5), libgail-3-0 (>= 3.0.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgeoclue0 (>= 0.11.1), libglib2.0-0 (>= 2.31.8), libgnome-desktop-3-2 (>= 3.2.0), libgstreamer0.10-0 (>= 0.10.0), libgtk-3-0 (>= 3.4.0), libgtkhtml-4.0-0 (>= 4.4), libgtkhtml-4.0-0 (<< 4.5), libgtkhtml-editor-4.0-0 (>= 4.4), libgtkhtml-editor-4.0-0 (<< 4.5), libical0 (>= 0.42), libice6 (>= 1:1.0.0), libjson-glib-1.0-0 (>= 0.12.0), libmx-1.0-2 (>= 1.2.0), libnotify4 (>= 0.7.0), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libpango1.0-0 (>= 1.18.0), libpst4 (>= 0.6.54), libsm6, libsoup2.4-1 (>= 2.4.0), libsqlite3-0 (>= 3.5.9), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6, libxml2 (>= 2.7.4), evolution (= 3.4.4-3+b1) Recommends: notification-daemon Homepage: http://projects.gnome.org/evolution/ Priority: optional Section: gnome Filename: pool/main/e/evolution/evolution-plugins_3.4.4-3+b1_armhf.deb Size: 942680 SHA256: 7538f951fb4394b2f0ffee3d33e504a097d9f3afcb9782558c6b6d93719eae98 SHA1: 1d21fdf33a2f8f76bf756993e4c783e0e98c089c MD5sum: 45b5bb6aa3c7256ad8cb81083aa6dba6 Description: standard plugins for Evolution Evolution is a groupware suite which integrates mail, calendar, address book, to-do list and memo tools. . This package includes plugins for evolution. The plugins belong to the set of "standard" plugins, which are useful, but not necessary for a functioning application. . The following standard plugins are included. - bbdb - save-calendar - mail-to-task - mailing-list-actions - prefer-plain - pst-import - mail-notification - attachment-reminder - backup-restore - email-custom-header - face - templates - vcard-inline - dbx-import - audio-inline - image-inline Package: evolution-plugins-experimental Source: evolution (3.4.4-3) Version: 3.4.4-3+b1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 877 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10), libcamel-1.2-33 (>= 3.4), libcamel-1.2-33 (<< 3.5), libebackend-1.2-2 (>= 3.4.4), libebook-1.2-13 (>= 3.4.4), libecal-1.2-11 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libedataserverui-3.0-1 (>= 3.4.4), libenchant1c2a (>= 1.6), libevolution (>= 3.4), libevolution (<< 3.5), libgail-3-0 (>= 3.0.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome-desktop-3-2 (>= 3.2.0), libgtk-3-0 (>= 3.4.0), libgtkhtml-4.0-0 (>= 4.4), libgtkhtml-4.0-0 (<< 4.5), libgtkhtml-editor-4.0-0 (>= 4.4), libgtkhtml-editor-4.0-0 (<< 4.5), libical0 (>= 0.31), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libsqlite3-0 (>= 3.5.9), libxml2 (>= 2.6.27), libytnef0, evolution (= 3.4.4-3+b1) Homepage: http://projects.gnome.org/evolution/ Priority: optional Section: gnome Filename: pool/main/e/evolution/evolution-plugins-experimental_3.4.4-3+b1_armhf.deb Size: 823616 SHA256: 10524d5030d8880693472302baf6d4e51f708f71a0721bf21cd80df5a6161f29 SHA1: 541661f88bd48f5968e25c2cec451c27d76767d9 MD5sum: 01568a584a2696331d069e8eba1fd9dc Description: experimental plugins for Evolution Evolution is a groupware suite which integrates mail, calendar, address book, to-do list and memo tools. . This package includes plugins for Evolution. The plugins belong to the set of "experimental" plugins, which are unsupported, have undergone little testing, and might cause problems to the rest of Evolution. Use with care. . The following plugins are included. - external-editor - tnef-attachments - contacts-map Package: evolution-rss Version: 0.3.91-2 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 1485 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcamel-1.2-33 (>= 3.4), libcamel-1.2-33 (<< 3.5), libebackend-1.2-2 (>= 3.4.3), libebook-1.2-13 (>= 3.4.3), libedataserver-1.2-16 (>= 3.4.3), libedataserverui-3.0-1 (>= 3.4.3), libenchant1c2a (>= 1.6), libevolution (>= 3.4), libevolution (<< 3.5), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libgtkhtml-4.0-0 (>= 4.2), libgtkhtml-4.0-0 (<< 4.3), libgtkhtml-editor-4.0-0 (>= 4.2), libgtkhtml-editor-4.0-0 (<< 4.3), libjavascriptcoregtk-3.0-0 (>= 1.5.1), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libpango1.0-0 (>= 1.14.0), libsoup-gnome2.4-1 (>= 2.27.4), libsoup2.4-1 (>= 2.26.1), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.1.1), libwebkitgtk-3.0-0 (>= 1.3.10), libxml2 (>= 2.7.4), gconf2 (>= 2.28.1-2), evolution (>= 3.4), evolution (<< 3.5), evolution-data-server (>= 3.4) Homepage: http://gnome.eu.org/evo/index.php/Evolution_RSS_Reader_Plugin Priority: optional Section: gnome Filename: pool/main/e/evolution-rss/evolution-rss_0.3.91-2_armhf.deb Size: 347360 SHA256: d0484001b399510d4eae7c15b5321bb88120a39333fa20f3dbf1ec0073ce8748 SHA1: 207f374cd8c403aa04ca9bf995393f185bf8c84a MD5sum: ea4f0dd47824b8ad934410b603f0f377 Description: Evolution RSS Reader Plugin This plugin adds RSS Feeds support for evolution mail. . With this package you are able to have RSS in same place as mails, eliminating the need of having a separate RSS reader since a RSS Article is like an email message. . Evolution RSS can display an article using summary view or HTML view. Package: evolution-webcal Source: evolution-webcal (2.32.0-2) Version: 2.32.0-2+b1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 1063 Depends: gconf-service, libc6 (>= 2.13-28), libedataserver-1.2-16 (>= 3.4.3), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.12.0), libgtk-3-0 (>= 3.0.0), libical0 (>= 0.42), libsoup2.4-1 (>= 2.4.0), gconf2 (>= 2.28.1-2) Priority: optional Section: gnome Filename: pool/main/e/evolution-webcal/evolution-webcal_2.32.0-2+b1_armhf.deb Size: 106588 SHA256: 8bbd306e991407391ad931063735dc093074f271f5ec08845fbd71d8a5ed7b2b SHA1: 78f68daecbc61dcf47aec0404afb44e1d6310c3e MD5sum: 943ea24210cee5db3164292102a594ad Description: webcal: URL handler for GNOME and Evolution A GNOME URL handler for web-published ical calendar files, which integrates with the Evolution groupware suite. It allows you to subscribe to a published calendar simply by clicking on a webcal: URL. Package: evolver Version: 2.30c.dfsg-3 Architecture: armhf Maintainer: Adam C. Powell, IV Installed-Size: 3555 Depends: freeglut3, libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1 Suggests: evolver-doc, geomview Priority: extra Section: math Filename: pool/main/e/evolver/evolver_2.30c.dfsg-3_armhf.deb Size: 1007996 SHA256: cdbfbc6426803c125cd5616801100e38f89f835830c0b298ed66c8608f15e339 SHA1: 4ed93e3e650fafa6576919c408128ff96923b4ba MD5sum: 884dc2f898ef0eba5b13225b0ab652ea Description: Surface Evolver The Surface Evolver is an interactive program for the study of surfaces shaped by surface tension and other energies, and subject to various constraints. Package: evolver-doc Source: evolver Version: 2.30c.dfsg-3 Installed-Size: 2372 Maintainer: Adam C. Powell, IV Architecture: all Suggests: evolver Size: 816406 SHA256: 6d6a28dd9c7bce02b0036c83bc395fed96c94c6a06953819b6e7622e22811494 SHA1: 6a59e0c47fe4d0a4614933145ce67f4bf7ff191a MD5sum: 698e98ecfb53ce9507963e5511c0398e Description: Surface Evolver documentation and examples The Surface Evolver is an interactive program for the study of surfaces shaped by surface tension and other energies, and subject to various constraints. Tag: field::mathematics, role::documentation, uitoolkit::ncurses, use::viewing, x11::application Section: doc Priority: extra Filename: pool/main/e/evolver/evolver-doc_2.30c.dfsg-3_all.deb Package: evolvotron Version: 0.6.1-1+wheezy1 Architecture: armhf Maintainer: Axel Beckert Installed-Size: 1579 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://www.bottlenose.demon.co.uk/share/evolvotron/ Priority: optional Section: graphics Filename: pool/main/e/evolvotron/evolvotron_0.6.1-1+wheezy1_armhf.deb Size: 488534 SHA256: 5ef0042ec3c05f12fdf2906a542a4393b03eba1377da01e5672785cdec228a6a SHA1: 9283fe899a40e97a2f527c581ad1b8ac90a18e6f MD5sum: 9ae0737407070b505588fe938d5dd325 Description: Generator of textures through interactive evolution This is an interactive generative art application to evolve images/textures/patterns/animations through an iterative process of random mutation and user-selection driven evolution. This process is also often referred to as "evolutionary art" or "genetic art". If you like lava lamps, and still think the Mandelbrot set is cool, this could be the software for you. Package: evtest Version: 1:1.30-1 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 98 Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4) Homepage: http://cgit.freedesktop.org/evtest/ Priority: extra Section: utils Filename: pool/main/e/evtest/evtest_1.30-1_armhf.deb Size: 21898 SHA256: b9da7f6e618d80b153dc264bb45c6c363486db4a9dc1d404a038f83cc4b89f57 SHA1: c99ea1afae5f2246e13be942e794cccb9ee1f365 MD5sum: 83516910b049bcda52b6caef713c7c1f Description: utility to monitor Linux input device events evtest monitors a Linux input device, displaying all the events it generates. . It can be used to determine mice button bindings, keymaps for exotic keyboards... It is commonly used to debug issues with input devices in X.Org. Package: eweouz Source: eweouz (0.7) Version: 0.7+b1 Architecture: armhf Maintainer: Tollef Fog Heen Installed-Size: 127 Depends: emacs23 | emacsen, gconf-service, libc6 (>= 2.13-28), libebook-1.2-13 (>= 3.4.3), libedataserver-1.2-16 (>= 3.4.3), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.12.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.6.27) Suggests: gnus | wl Priority: optional Section: mail Filename: pool/main/e/eweouz/eweouz_0.7+b1_armhf.deb Size: 21058 SHA256: 131df6c7cf0adebb5b5796f5e6b850bd53eaa0e51700309d422c1d2711479fc0 SHA1: 55f5188c10df2428de9502b3047e86823d46c668 MD5sum: 0936eb9c6115a516048335c593f0170f Description: Emacs interface to Evolution Data Server eweouz is an tool for looking up contacts from Evolution Data Server from Emacs. It is similar to BBDB, except much, much simpler. Package: ewf-tools Source: libewf Version: 20100226-1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 429 Depends: libc6 (>= 2.4), libewf1 (= 20100226-1), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libuuid1 (>= 2.16) Conflicts: libewf1 (<< 20090606+debian-1) Replaces: libewf1 (<< 20090606+debian-1) Homepage: http://libewf.sourceforge.net Priority: optional Section: admin Filename: pool/main/libe/libewf/ewf-tools_20100226-1_armhf.deb Size: 195322 SHA256: ed60edb9336f6d20808ac353a6505ec2fced37c58ccc60481df9ca36bd78a1aa SHA1: 05f2f660bb66b10d2ee0879f738724f7e5d6d5a1 MD5sum: 977aed13edfe8fdd9fb5321245191409 Description: collection of tools for reading and writing EWF files Libewf is a library with support for reading and writing the Expert Witness Compression Format (EWF). This library allows you to read media information of EWF files in the SMART (EWF-S01) format and the EnCase (EWF-E01) format. It supports files created by EnCase 1 to 6, linen and FTK Imager. . This package contains tools to acquire, verify and export EWF files. Package: ewipe Version: 1.2.0-8 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 311 Depends: tk8.5 | wish Priority: optional Section: x11 Filename: pool/main/e/ewipe/ewipe_1.2.0-8_armhf.deb Size: 128300 SHA256: 8b71bbd0a924cf821f083ebec4344d5515059786d20daf8a4c574d5b28df74ae SHA1: 88bb7ac016783001243c946ab4433d82f2f2c8a1 MD5sum: 8b04cb139f3b04b41da95f9e6c2d531d Description: Yet another presentation tool based on Tcl/Tk Extended WIPE is a presentation tool written in Tcl/Tk. Unlike MagicPoint, the de facto standard in this realm, you can create resumes for presentation with user-friendly GUI, then save manuscripts in HTML and mgp(Magic Point file format). Package: exabgp Version: 2.0.7-1 Installed-Size: 394 Maintainer: Henry-Nicolas Tourneur Architecture: all Depends: python (>= 2.6.6-7~), adduser Size: 98052 SHA256: a4ca7e7c130945d4fd784c0fe9874a930d01f1bfcbce9cf49be47e9ed424677a SHA1: 389f688049967c11b58fc3f22d87df45ae48c32d MD5sum: a0662473ca4a5e8c31a08617e5f2d1b2 Description: BGP route injector This program is a BGP route injector. The route injector can connect using either IPv4 or IPv6 and announce both IPv4 and IPv6 routes. Potential use are : Injection of service IPs like AS112 announcement Temporary route redirection: more specific routes with different next-hop Injection of flow routes to handle DDOS Homepage: http://code.google.com/p/exabgp/ Section: net Priority: optional Filename: pool/main/e/exabgp/exabgp_2.0.7-1_all.deb Package: exactimage Version: 0.8.5-5+deb7u4 Architecture: armhf Maintainer: Daniel Stender Installed-Size: 7004 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgif4 (>= 4.1.4), libgomp1 (>= 4.4), libilmbase6 (>= 1.0.1), libjasper1, libjpeg8 (>= 8c), libopenexr6 (>= 1.6.1), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), zlib1g (>= 1:1.1.4) Recommends: edisplay Homepage: http://www.exactcode.de/site/open_source/exactimage/ Priority: optional Section: graphics Filename: pool/main/e/exactimage/exactimage_0.8.5-5+deb7u4_armhf.deb Size: 3921248 SHA256: 4d93fe182803983d9fbd4408f4b8be9cff89a94e99903ae951368029f04b3c21 SHA1: ec0ce2272b91c32d277a655975807f05d3b35459 MD5sum: 03091d2855773393503b589bc13c66a8 Description: fast image manipulation programs ExactImage is a fast C++ image processing library. Unlike many other library frameworks it allows operation in several color spaces and bit depths natively, resulting in low memory and computational requirements. . This package contains the utilities. Package: exactimage-dbg Source: exactimage Version: 0.8.5-5+deb7u4 Architecture: armhf Maintainer: Daniel Stender Installed-Size: 61110 Depends: exactimage | edisplay | libexactimage-perl | php5-exactimage | python-exactimage Breaks: edisplay (>> 0.8.5-5+deb7u4), edisplay (<< 0.8.5-5+deb7u4), exactimage (>> 0.8.5-5+deb7u4), exactimage (<< 0.8.5-5+deb7u4), libexactimage-perl (>> 0.8.5-5+deb7u4), libexactimage-perl (<< 0.8.5-5+deb7u4), php5-exactimage (>> 0.8.5-5+deb7u4), php5-exactimage (<< 0.8.5-5+deb7u4), python-exactimage (>> 0.8.5-5+deb7u4), python-exactimage (<< 0.8.5-5+deb7u4) Homepage: http://www.exactcode.de/site/open_source/exactimage/ Priority: extra Section: debug Filename: pool/main/e/exactimage/exactimage-dbg_0.8.5-5+deb7u4_armhf.deb Size: 21341898 SHA256: 7efdf22109191f2e2b6158f955223ee3fe5179263a8e2ba30bc4e898f9bc58be SHA1: 9dc15aef4df467f661fb63c5c99458ae2950d357 MD5sum: 15db4aacc730990c2c3641109afb5223 Description: fast image manipulation library (debug symbols) ExactImage is a fast C++ image processing library. Unlike many other library frameworks it allows operation in several color spaces and bit depths natively, resulting in low memory and computational requirements. . This package contains debug symbols. Package: exaile Version: 0.3.2.2-3 Installed-Size: 4682 Maintainer: Vincent Cheng Architecture: all Depends: gstreamer0.10-plugins-good, librsvg2-common, python (>= 2.6.6-7~), python-dbus, python-gobject (>= 2.18), python-gst0.10, python-gtk2 (>= 2.17), python-mutagen (>= 1.10) Recommends: gstreamer0.10-ffmpeg, python-cddb, python-mmkeys, python-notify Suggests: exaile-plugin-contextinfo, exaile-plugin-ipod, exaile-plugin-moodbar, exfalso, gstreamer0.10-plugins-bad, gstreamer0.10-plugins-ugly, ipython, notify-osd, python-avahi, python-beautifulsoup, python-eggtrayicon, python-pymtp, streamripper Size: 1171694 SHA256: 0d46912e09a833039eaf9a800747c7c097ec19a035ed08e6b0ae410c95f30366 SHA1: 7dd6f7f46e975c714f42a845d1a09a7fcc15cd0c MD5sum: 08a547450e5d04123d497a215edb6be2 Description: flexible, full-featured audio player Exaile is a media player which incorporates many of the cool things from Amarok (and other media players) like automatic fetching of album art, handling of large libraries, lyrics fetching, artist/album information via Wikipedia, last.fm support, and optional iPod support (assuming you have python-gpod installed). . In addition, Exaile also includes a built-in shoutcast directory browser, tabbed playlists (so you can have more than one playlist open at a time), blacklisting of tracks (so they don't get scanned into your library), downloading of guitar tablature from fretplay.com, and submitting played tracks on your iPod to last.fm. . Exaile aims to be similar to AmaroK, but uses Python and GTK+. Homepage: http://www.exaile.org/ Tag: implemented-in::python, interface::x11, role::program, scope::application, sound::player, uitoolkit::gtk, use::playing, works-with-format::mp3, works-with-format::mpc, works-with-format::oggvorbis, works-with::audio, x11::application Section: sound Priority: optional Filename: pool/main/e/exaile/exaile_0.3.2.2-3_all.deb Package: exaile-plugin-contextinfo Source: exaile Version: 0.3.2.2-3 Installed-Size: 287 Maintainer: Vincent Cheng Architecture: all Depends: exaile (= 0.3.2.2-3), python-imaging, python-webkit (>= 1.1.2), python (>= 2.6.6-7~) Size: 58750 SHA256: ec342506eaa36a01a72d7697d5d3d943d3e0c588fd15b092ac8cb0af2d9f8bb7 SHA1: 8a8f346d5a91bdc6b5a30324bbc94bfc2b8608b3 MD5sum: d13df633cbb69a94257e3c07f8aff533 Description: Exaile plugin for contextual information This Exaile plugin adds a sidepanel for contextual information based on the Exaile internal tags and statistics, on lyrics plugins, and on the LastFM API. . Exaile is a media player which incorporates many of the cool things from Amarok (and other media players) like automatic fetching of album art, handling of large libraries, lyrics fetching, artist/album information via Wikipedia, last.fm support, and optional iPod support (assuming you have python-gpod installed). . In addition, Exaile also includes a built-in shoutcast directory browser, tabbed playlists (so you can have more than one playlist open at a time), blacklisting of tracks (so they don't get scanned into your library), downloading of guitar tablature from fretplay.com, and submitting played tracks on your iPod to last.fm. . Exaile aims to be similar to AmaroK, but uses Python and GTK+. Homepage: http://www.exaile.org/ Tag: implemented-in::python, role::plugin Section: sound Priority: optional Filename: pool/main/e/exaile/exaile-plugin-contextinfo_0.3.2.2-3_all.deb Package: exaile-plugin-ipod Source: exaile Version: 0.3.2.2-3 Installed-Size: 62 Maintainer: Vincent Cheng Architecture: all Depends: exaile (= 0.3.2.2-3), python-gpod, python (>= 2.6.6-7~) Size: 10524 SHA256: 7afb8d5e73c38a7b9d41a934015afd3e1fc293533883cc0f9d719e80b835ced0 SHA1: e1d02b7855953a3752b9fcb2363f127fcd029b3c MD5sum: 146242b8a630241f7d8857d11b88f8e3 Description: Exaile plugin for iPod support This Exaile plugin adds support for the iPod portable media players in the Exaile device manager. . Exaile is a media player which incorporates many of the cool things from Amarok (and other media players) like automatic fetching of album art, handling of large libraries, lyrics fetching, artist/album information via Wikipedia, last.fm support, and optional iPod support (assuming you have python-gpod installed). . In addition, Exaile also includes a built-in shoutcast directory browser, tabbed playlists (so you can have more than one playlist open at a time), blacklisting of tracks (so they don't get scanned into your library), downloading of guitar tablature from fretplay.com, and submitting played tracks on your iPod to last.fm. . Exaile aims to be similar to AmaroK, but uses Python and GTK+. Homepage: http://www.exaile.org/ Tag: implemented-in::python, role::plugin Section: sound Priority: optional Filename: pool/main/e/exaile/exaile-plugin-ipod_0.3.2.2-3_all.deb Package: exaile-plugin-moodbar Source: exaile Version: 0.3.2.2-3 Installed-Size: 89 Maintainer: Vincent Cheng Architecture: all Depends: exaile (= 0.3.2.2-3), moodbar, python (>= 2.6.6-7~) Size: 15090 SHA256: ac7aa191148935b485041c63f05a589dd4fc0cdd4ea42ed1ed5091ed680231f1 SHA1: d2b515ffe63522231873f50dc820ffcca7b94117 MD5sum: f4833f36f3251ecc802f3549d704316b Description: Exaile plugin for moodbar This Exaile plugin turns the progress bar of the tracks into a bar which color depends on the tracks' mood. . Exaile is a media player which incorporates many of the cool things from Amarok (and other media players) like automatic fetching of album art, handling of large libraries, lyrics fetching, artist/album information via Wikipedia, last.fm support, and optional iPod support (assuming you have python-gpod installed). . In addition, Exaile also includes a built-in shoutcast directory browser, tabbed playlists (so you can have more than one playlist open at a time), blacklisting of tracks (so they don't get scanned into your library), downloading of guitar tablature from fretplay.com, and submitting played tracks on your iPod to last.fm. . Exaile aims to be similar to AmaroK, but uses Python and GTK+. Homepage: http://www.exaile.org/ Tag: implemented-in::python, role::plugin Section: sound Priority: optional Filename: pool/main/e/exaile/exaile-plugin-moodbar_0.3.2.2-3_all.deb Package: excellent-bifurcation Version: 0.0.20071015-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1886 Depends: liballegro4.4, libc6 (>= 2.13-28), libxdg-basedir1 Priority: extra Section: games Filename: pool/main/e/excellent-bifurcation/excellent-bifurcation_0.0.20071015-5_armhf.deb Size: 808808 SHA256: f2d8f40b3b96f3df969e65535b080761695e4c1b65ff50ad87cae8793c800698 SHA1: 6a7b8fb7170ac9352e921134abbd0ccaa6caf5b5 MD5sum: dda830559d4c8cb3e8f4e647d4668c3c Description: abstract vertical shooter with two sides to play on Excellent Bifurcation is a vertical shooter in which you have two sides available to play on. Its graphics try to mimic the colours, sounds and feeling of the 8-bit games. . In the game, you drive two ships at the same time, on two sides of the screen. The game play is very unique and fun. It might be quite a brain bender anyway. . Excellent Bifurcation was Linley Henzel's entry in the AutoFire 2007 Shooter Competition, and finished in 2nd place. Package: execstack Source: prelink Version: 0.0.20090925-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 193 Depends: libc6 (>= 2.13-28), libelfg0 (>= 0.8.12), libgcc1 (>= 1:4.4.0), libselinux1 (>= 1.32) Conflicts: prelink (<< 0.0.20090311-2) Replaces: prelink Priority: optional Section: admin Filename: pool/main/p/prelink/execstack_0.0.20090925-6_armhf.deb Size: 76368 SHA256: 8a1543b77c359bced0ea9fbd95c0c7707d0f8e01cebecf9b37c5b6ecf60851dc SHA1: 3c78a29010fcfd92c1ca7954ef4d93289de0cc82 MD5sum: 9611938ebf0de8039bf3bb62cc00cd85 Description: ELF GNU_STACK program header editing utility The execstack package contains a utility which modifies (or adds) the GNU_STACK program header to ELF binaries, and allows changing the executable flag. Package: exfalso Source: quodlibet Version: 2.4-1 Installed-Size: 2853 Maintainer: Ondřej Kuzník Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-mutagen (>= 1.14), python-gtk2 (>= 2.12) Recommends: quodlibet-plugins (>= 1:2.3.2) Suggests: python-ctypes, libmodplug1 Size: 738024 SHA256: c29d7c58f889a5067dd0a694a112d387578b2bd551e31e7558688bcbc9655fa0 SHA1: f79b40e1737f570db5b942a2a482a00bd6aa528c MD5sum: 4924dfb712e9a4f7ec285f7ec4202dfe Description: audio tag editor for GTK+ Ex Falso displays and edits audio metadata tags. Supported formats include MP3, Ogg Vorbis, FLAC, Musepack (MPC), WavPack, and MOD/XM/IT. . Notable features include: * Freeform tag editing for most supported formats, including ID3v2 * Multiple values for tag keys * Flexible rename-by-tags and tag-by-filename patterns * Extensible using simple Python-based plugins * Edit multiple files in several formats at once Homepage: http://code.google.com/p/quodlibet/ Tag: implemented-in::python, interface::x11, role::program, scope::application, uitoolkit::gtk, use::editing, works-with-format::mp3, works-with-format::oggvorbis, works-with::audio Section: sound Priority: optional Filename: pool/main/q/quodlibet/exfalso_2.4-1_all.deb Package: exfat-fuse Source: fuse-exfat Version: 0.9.7-2+deb7u1 Architecture: armhf Maintainer: Sven Hoexter Installed-Size: 47 Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), fuse Recommends: exfat-utils Homepage: http://code.google.com/p/exfat/ Priority: optional Section: otherosfs Filename: pool/main/f/fuse-exfat/exfat-fuse_0.9.7-2+deb7u1_armhf.deb Size: 27082 SHA256: 8e41cf2514fc184ee9e88034da2f9ac491c671509df99f9335fb52ba4fb5a6fb SHA1: 48e5c8810685b228f86ac353508b7b6aba25c299 MD5sum: d1e40d43310853e5c77610b4d3f54b05 Description: read and write exFAT driver for FUSE fuse-exfat is a read and write driver implementing the extended file allocation table as a filesystem in userspace. A mounthelper is provided unter the name mount.exfat-fuse. Package: exfat-utils Version: 0.9.7-2+deb7u1 Architecture: armhf Maintainer: Sven Hoexter Installed-Size: 162 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Recommends: exfat-fuse Homepage: http://code.google.com/p/exfat/ Priority: optional Section: otherosfs Filename: pool/main/e/exfat-utils/exfat-utils_0.9.7-2+deb7u1_armhf.deb Size: 83592 SHA256: cdd37609732aa77d6484f524ce75029bfe32abb9c7595a3292ddef4ce349bd42 SHA1: 24581b5041a4503a52434ec665c8352eeefa3d12 MD5sum: 62d44ff47ed83a2a381378f487f3bbe3 Description: utilities to create, check, label and dump exFAT filesystem Utilities to manage extended file allocation table filesystem. This package provides tools to create, check and label the filesystem. It contains - dumpexfat to dump properties of the filesystem - exfatfsck / fsck.exfat to report errors found on a exFAT filesystem - exfatlabel to label a exFAT filesystem - mkexfatfs / mkfs.exfat to create a exFAT filesystem. Package: exif Version: 0.6.20-2 Architecture: armhf Maintainer: Debian PhotoTools Maintainers Installed-Size: 306 Depends: libc6 (>= 2.13-28), libexif12, libpopt0 (>= 1.14) Homepage: http://libexif.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/e/exif/exif_0.6.20-2_armhf.deb Size: 52358 SHA256: 12c8adedb1655bc3b4cc42e615005f85a23d42dbfbc5a61cd67b25a669e9f85b SHA1: 726f866846e9c56205dc7e565c6a5a790ee3cf81 MD5sum: 4daa7152cc6e512b2d4f9916ee317c7b Description: command-line utility to show EXIF information in JPEG files Most digital cameras produce EXIF files, which are JPEG files with extra tags that contain information about the image. . 'exif' is a small command-line utility to show EXIF information hidden in JPEG files. Package: exifprobe Version: 2.0.1-1 Architecture: armhf Maintainer: Martin Albisetti Installed-Size: 425 Depends: libc6 (>= 2.4) Priority: optional Section: graphics Filename: pool/main/e/exifprobe/exifprobe_2.0.1-1_armhf.deb Size: 180566 SHA256: b310d25d44e0cc546faec6a660c41bed692d51587ea829846d6be77b9ca722f4 SHA1: 7db1e1025c04cc4a52359898895478bd2e0703bf MD5sum: ef691e48badfc1aa472a2de98d5c1f6e Description: Read metadata from digital pictures Exifprobe reads image files produced by digital cameras (including several so-called "raw" file formats) and reports the structure of the files and the auxiliary data and metadata contained within them. In addition to TIFF, JPEG, and EXIF, the program understands several formats which may contain "raw" camera data, including MRW, CIFF/CRW, JP2/JPEG2000, RAF, and X3F, as well as most most TIFF-derived "raw" formats, including DNG, ORF, CR2, NEF, K25/KDC/DCR, and PEF. . http://www.virtual-cafe.com/~dhh/tools.d/exifprobe.d/exifprobe.html Package: exiftags Version: 1.01-5 Architecture: armhf Maintainer: Eugene V. Lyubimkin Installed-Size: 246 Depends: libc6 (>= 2.7) Homepage: http://johnst.org/sw/exiftags/ Priority: optional Section: graphics Filename: pool/main/e/exiftags/exiftags_1.01-5_armhf.deb Size: 89402 SHA256: 5d02254ad725409f4ec6b68d29a28ce18d91c1e87143dea1938ecb8af0999f28 SHA1: 775c7e261164e98931b25ffe441f9f412e3d8dcd MD5sum: fb9b04febbb976ff1b73d080b1f3ca02 Description: utility to read Exif tags from a digital camera JPEG file The exiftags utility parses a specified JPEG file or, by default, its standard input, looking for a JPEG APP1 section containing Exif (Exchangeable Image File) data. The properties contained in these data are then printed to the standard output. Digital cameras typically add Exif data to the image files they produce, containing information about the camera and digitized image. Package: exiftran Source: fbi Version: 2.07-10 Architecture: armhf Maintainer: Moritz Muehlenhoff Installed-Size: 82 Depends: libc6 (>= 2.13-28), libexif12, libjpeg8 (>= 8c) Homepage: http://linux.bytesex.org/fbida/ Priority: optional Section: graphics Filename: pool/main/f/fbi/exiftran_2.07-10_armhf.deb Size: 28528 SHA256: 118c0f821f0d2dff774ddff9eb58cc574469fc004f5800b9876f545f3efc136f SHA1: 10be57a11527c2c457795ff2ca1f08ce1bc92fcd MD5sum: d86ea556f1e1444a5e5824b3987ecbea Description: transform digital camera jpeg images exiftran is a command line utility to transform digital image jpeg images. It can do lossless rotations like jpegtran, but unlike jpegtran it cares about the EXIF data: It can rotate images automatically by checking the exif orientation tag, it updates the exif informaton if needed (image dimension, orientation), it also rotates the exif thumbnail. It can process multiple images at once. Package: exim4 Version: 4.80-7+deb7u6 Installed-Size: 44 Maintainer: Exim4 Maintainers Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, debconf (>= 1.4.69) | cdebconf (>= 0.39), exim4-base (>= 4.80), exim4-daemon-light | exim4-daemon-heavy | exim4-daemon-custom Size: 7800 SHA256: 5963562b335a79f409da7f9652db63dfac9a216582557707e25b717e88776f86 SHA1: 6805212e0c604c9cb465cb23b85a07c94c7fdaa9 MD5sum: 427223d183ac9363be89e86254709c5b Description: metapackage to ease Exim MTA (v4) installation Exim (v4) is a mail transport agent. exim4 is the metapackage depending on the essential components for a basic exim4 installation. . The Debian exim4 packages have their own web page, http://wiki.debian.org/PkgExim4. There is also a Debian-specific FAQ list. Information about the way the Debian packages are configured can be found in /usr/share/doc/exim4-base/README.Debian.gz, which additionally contains information about the way the Debian binary packages are built. The very extensive upstream documentation is shipped in /usr/share/doc/exim4-base/spec.txt.gz. To repeat the debconf-driven configuration process in a standard setup, invoke dpkg-reconfigure exim4-config. There is a Debian-centered mailing list, pkg-exim4-users@lists.alioth.debian.org. Please ask Debian-specific questions there, and only write to the upstream exim-users mailing list if you are sure that your question is not Debian-specific. You can find the subscription web page on http://lists.alioth.debian.org/mailman/listinfo/pkg-exim4-users Homepage: http://www.exim.org/ Section: mail Priority: standard Filename: pool/main/e/exim4/exim4_4.80-7+deb7u6_all.deb Package: exim4-base Source: exim4 Version: 4.80-7+deb7u6 Architecture: armhf Maintainer: Exim4 Maintainers Installed-Size: 1460 Depends: libc6 (>= 2.13-28), libdb5.1, debconf (>= 0.5) | debconf-2.0, cron | fcron, exim4-config (>= 4.30) | exim4-config-2, adduser, netbase, lsb-base (>= 3.0-6) Recommends: psmisc, mailx, perl-modules Suggests: mail-reader, eximon4, exim4-doc-html | exim4-doc-info, gnutls-bin | openssl, file, spf-tools-perl, swaks Conflicts: exim, exim-tls Breaks: exim4-daemon-custom (<< 4.80), exim4-daemon-heavy (<< 4.80), exim4-daemon-light (<< 4.80) Replaces: exim, exim-tls, exim4-daemon-custom, exim4-daemon-heavy, exim4-daemon-light Homepage: http://www.exim.org/ Priority: standard Section: mail Filename: pool/main/e/exim4/exim4-base_4.80-7+deb7u6_armhf.deb Size: 1036228 SHA256: 58046d51a05b9cfb161670df5dfd601906a065016b556958a751ac299b1a2ccc SHA1: 69b070fd4ac5220fcfc9a3096f2c9ced3fc5db78 MD5sum: 75ea6170c5d3d529ff41ff273a6ebd92 Description: support files for all Exim MTA (v4) packages Exim (v4) is a mail transport agent. exim4-base provides the support files needed by all exim4 daemon packages. You need an additional package containing the main executable. The available packages are: . exim4-daemon-light exim4-daemon-heavy . If you build exim4 from the source package locally, you can also build an exim4-daemon-custom package tailored to your own feature set. . The Debian exim4 packages have their own web page, http://wiki.debian.org/PkgExim4. There is also a Debian-specific FAQ list. Information about the way the Debian packages are configured can be found in /usr/share/doc/exim4-base/README.Debian.gz, which additionally contains information about the way the Debian binary packages are built. The very extensive upstream documentation is shipped in /usr/share/doc/exim4-base/spec.txt.gz. To repeat the debconf-driven configuration process in a standard setup, invoke dpkg-reconfigure exim4-config. There is a Debian-centered mailing list, pkg-exim4-users@lists.alioth.debian.org. Please ask Debian-specific questions there, and only write to the upstream exim-users mailing list if you are sure that your question is not Debian-specific. You can find the subscription web page on http://lists.alioth.debian.org/mailman/listinfo/pkg-exim4-users Package: exim4-config Source: exim4 Version: 4.80-7+deb7u6 Installed-Size: 1091 Maintainer: Exim4 Maintainers Architecture: all Provides: exim4-config-2 Depends: debconf (>= 0.5) | debconf-2.0, adduser Conflicts: courier-mta, esmtp-run, exim, exim-tls, exim4-config, exim4-config-2, hula-mta, masqmail, mta-dummy, nullmailer, postfix, sendmail-bin, smail, ssmtp, xmail, zmailer Breaks: exim4-daemon-heavy (<< 4.80-7+deb7u2), exim4-daemon-light (<< 4.80-7+deb7u2) Size: 480130 SHA256: f4780a3d2defd0aad969778788ffc379e4226fb951c3d18882251bfe83be1cb5 SHA1: 7ace06e3e9d73e530421d40d0d551b03c12cb322 MD5sum: f5b013482caf8ac2366d2422d869e4c5 Description: configuration for the Exim MTA (v4) Exim (v4) is a mail transport agent. exim4-config provides the configuration for the exim4 daemon packages. The configuration framework has been split off the main package to allow sites to replace the configuration scheme with their own without having to change the actual exim4 packages. . Sites with special configuration needs (having a lot of identically configured machines for example) can use this to distribute their own custom configuration via the packaging system, using the magic available with dpkg's conffile handling, without having to do local changes on all of these machines. . The Debian exim4 packages have their own web page, http://wiki.debian.org/PkgExim4. There is also a Debian-specific FAQ list. Information about the way the Debian packages are configured can be found in /usr/share/doc/exim4-base/README.Debian.gz, which additionally contains information about the way the Debian binary packages are built. The very extensive upstream documentation is shipped in /usr/share/doc/exim4-base/spec.txt.gz. To repeat the debconf-driven configuration process in a standard setup, invoke dpkg-reconfigure exim4-config. There is a Debian-centered mailing list, pkg-exim4-users@lists.alioth.debian.org. Please ask Debian-specific questions there, and only write to the upstream exim-users mailing list if you are sure that your question is not Debian-specific. You can find the subscription web page on http://lists.alioth.debian.org/mailman/listinfo/pkg-exim4-users Homepage: http://www.exim.org/ Section: mail Priority: standard Filename: pool/main/e/exim4/exim4-config_4.80-7+deb7u6_all.deb Package: exim4-daemon-heavy Source: exim4 Version: 4.80-7+deb7u6 Architecture: armhf Maintainer: Exim4 Maintainers Installed-Size: 1241 Depends: exim4-base (>= 4.80), libc6 (>= 2.13-28), libdb5.1, libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0), libldap-2.4-2 (>= 2.4.7), libmysqlclient18 (>= 5.5.24+dfsg-1), libpam0g (>= 0.99.7.1), libpcre3 (>= 8.10), libperl5.14 (>= 5.14.2), libpq5, libsasl2-2 (>= 2.1.24), libsqlite3-0 (>= 3.5.9), debconf (>= 0.5) | debconf-2.0 Conflicts: mail-transport-agent Breaks: clamav-daemon (<< 0.95) Replaces: exim4-base (<= 4.61-1), mail-transport-agent Provides: exim4-localscanapi-1.0, exim4-localscanapi-1.1, mail-transport-agent Homepage: http://www.exim.org/ Priority: optional Section: mail Filename: pool/main/e/exim4/exim4-daemon-heavy_4.80-7+deb7u6_armhf.deb Size: 692514 SHA256: 8f87dc7119053a47c756ff1a22ccf3d70d49f1ca8df94a212e3ac350ac946c8c SHA1: 1b7e5f2c8782cd8bb9fa39b951b25328bcaabe1a MD5sum: 1f389ea691da44b99614af9239419a82 Description: Exim MTA (v4) daemon with extended features, including exiscan-acl Exim (v4) is a mail transport agent. This package contains the exim4 daemon with extended features. In addition to the features already supported by exim4-daemon-light, exim4-daemon-heavy includes LDAP, sqlite, PostgreSQL and MySQL data lookups, SASL and SPA SMTP authentication, embedded Perl interpreter, and the content scanning extension (formerly known as "exiscan-acl") for integration of virus scanners and spamassassin. . The Debian exim4 packages have their own web page, http://wiki.debian.org/PkgExim4. There is also a Debian-specific FAQ list. Information about the way the Debian packages are configured can be found in /usr/share/doc/exim4-base/README.Debian.gz, which additionally contains information about the way the Debian binary packages are built. The very extensive upstream documentation is shipped in /usr/share/doc/exim4-base/spec.txt.gz. To repeat the debconf-driven configuration process in a standard setup, invoke dpkg-reconfigure exim4-config. There is a Debian-centered mailing list, pkg-exim4-users@lists.alioth.debian.org. Please ask Debian-specific questions there, and only write to the upstream exim-users mailing list if you are sure that your question is not Debian-specific. You can find the subscription web page on http://lists.alioth.debian.org/mailman/listinfo/pkg-exim4-users Package: exim4-daemon-heavy-dbg Source: exim4 Version: 4.80-7+deb7u6 Architecture: armhf Maintainer: Exim4 Maintainers Installed-Size: 2336 Depends: exim4-daemon-heavy Homepage: http://www.exim.org/ Priority: extra Section: debug Filename: pool/main/e/exim4/exim4-daemon-heavy-dbg_4.80-7+deb7u6_armhf.deb Size: 1062496 SHA256: 97e277e89ce720d27ae92c0725a59f4f7a2d52ac291d39f2a8fa6193a3bf13d7 SHA1: c7e5e4d9471850f0c2f09ec213c3c50cb496ae33 MD5sum: 90cb8e9b46bafc4c1a7951e3ed129d12 Description: debugging symbols for the Exim MTA "heavy" daemon Exim (v4) is a mail transport agent. This package contains debugging symbols for the binaries contained in the exim4-daemon-heavy package. . The Debian exim4 packages have their own web page, http://wiki.debian.org/PkgExim4. There is also a Debian-specific FAQ list. Information about the way the Debian packages are configured can be found in /usr/share/doc/exim4-base/README.Debian.gz, which additionally contains information about the way the Debian binary packages are built. The very extensive upstream documentation is shipped in /usr/share/doc/exim4-base/spec.txt.gz. To repeat the debconf-driven configuration process in a standard setup, invoke dpkg-reconfigure exim4-config. There is a Debian-centered mailing list, pkg-exim4-users@lists.alioth.debian.org. Please ask Debian-specific questions there, and only write to the upstream exim-users mailing list if you are sure that your question is not Debian-specific. You can find the subscription web page on http://lists.alioth.debian.org/mailman/listinfo/pkg-exim4-users Package: exim4-daemon-light Source: exim4 Version: 4.80-7+deb7u6 Architecture: armhf Maintainer: Exim4 Maintainers Installed-Size: 1120 Depends: exim4-base (>= 4.80), libc6 (>= 2.13-28), libdb5.1, libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0), libpcre3 (>= 8.10), debconf (>= 0.5) | debconf-2.0 Conflicts: mail-transport-agent Replaces: exim4-base (<= 4.61-1), mail-transport-agent Provides: default-mta, exim4-localscanapi-1.0, exim4-localscanapi-1.1, mail-transport-agent Homepage: http://www.exim.org/ Priority: standard Section: mail Filename: pool/main/e/exim4/exim4-daemon-light_4.80-7+deb7u6_armhf.deb Size: 636548 SHA256: b45c86775c0750c140edd9eabf353c6bd826b238889a0517d67894db747aec42 SHA1: 06a36368ada6bf8ffac8fa45be528dc1f7a49178 MD5sum: 1edfcf1e625501a19ffb3d3071766a8c Description: lightweight Exim MTA (v4) daemon Exim (v4) is a mail transport agent. This package contains the exim4 daemon with only basic features enabled. It works well with the standard setups that are provided by Debian and includes support for TLS encryption and the dlopen patch to allow dynamic loading of a local_scan function. . The Debian exim4 packages have their own web page, http://wiki.debian.org/PkgExim4. There is also a Debian-specific FAQ list. Information about the way the Debian packages are configured can be found in /usr/share/doc/exim4-base/README.Debian.gz, which additionally contains information about the way the Debian binary packages are built. The very extensive upstream documentation is shipped in /usr/share/doc/exim4-base/spec.txt.gz. To repeat the debconf-driven configuration process in a standard setup, invoke dpkg-reconfigure exim4-config. There is a Debian-centered mailing list, pkg-exim4-users@lists.alioth.debian.org. Please ask Debian-specific questions there, and only write to the upstream exim-users mailing list if you are sure that your question is not Debian-specific. You can find the subscription web page on http://lists.alioth.debian.org/mailman/listinfo/pkg-exim4-users Package: exim4-daemon-light-dbg Source: exim4 Version: 4.80-7+deb7u6 Architecture: armhf Maintainer: Exim4 Maintainers Installed-Size: 2083 Depends: exim4-daemon-light Homepage: http://www.exim.org/ Priority: extra Section: debug Filename: pool/main/e/exim4/exim4-daemon-light-dbg_4.80-7+deb7u6_armhf.deb Size: 955968 SHA256: cc215eefb6537887f6982106aa71ad887f9f2c1500997882befec77b6bf2742f SHA1: e41ddb761030bc39930a282f111bd9561a2c09d1 MD5sum: 878cc7dec424e655d3bd99003a2a8df5 Description: debugging symbols for the Exim MTA "light" daemon Exim (v4) is a mail transport agent. This package contains debugging symbols for the binaries contained in the exim4-daemon-light package. . The Debian exim4 packages have their own web page, http://wiki.debian.org/PkgExim4. There is also a Debian-specific FAQ list. Information about the way the Debian packages are configured can be found in /usr/share/doc/exim4-base/README.Debian.gz, which additionally contains information about the way the Debian binary packages are built. The very extensive upstream documentation is shipped in /usr/share/doc/exim4-base/spec.txt.gz. To repeat the debconf-driven configuration process in a standard setup, invoke dpkg-reconfigure exim4-config. There is a Debian-centered mailing list, pkg-exim4-users@lists.alioth.debian.org. Please ask Debian-specific questions there, and only write to the upstream exim-users mailing list if you are sure that your question is not Debian-specific. You can find the subscription web page on http://lists.alioth.debian.org/mailman/listinfo/pkg-exim4-users Package: exim4-dbg Source: exim4 Version: 4.80-7+deb7u6 Architecture: armhf Maintainer: Exim4 Maintainers Installed-Size: 756 Depends: exim4-base, exim4-config Recommends: eximon4 Homepage: http://www.exim.org/ Priority: extra Section: debug Filename: pool/main/e/exim4/exim4-dbg_4.80-7+deb7u6_armhf.deb Size: 405826 SHA256: 5331f7e9032c6e255048cf65f4a92649f83b6077f9cafcebafd81ce442e0b3e6 SHA1: 66b04b6ddf63d56c6fc49c78f6ffa9aac34b628a MD5sum: 370c2336f7122664baa0f91d3baf8f48 Description: debugging symbols for the Exim MTA (utilities) Exim (v4) is a mail transport agent. This package contains debugging symbols for the binaries contained in the exim4 packages. The daemon packages have their own debug package. . The Debian exim4 packages have their own web page, http://wiki.debian.org/PkgExim4. There is also a Debian-specific FAQ list. Information about the way the Debian packages are configured can be found in /usr/share/doc/exim4-base/README.Debian.gz, which additionally contains information about the way the Debian binary packages are built. The very extensive upstream documentation is shipped in /usr/share/doc/exim4-base/spec.txt.gz. To repeat the debconf-driven configuration process in a standard setup, invoke dpkg-reconfigure exim4-config. There is a Debian-centered mailing list, pkg-exim4-users@lists.alioth.debian.org. Please ask Debian-specific questions there, and only write to the upstream exim-users mailing list if you are sure that your question is not Debian-specific. You can find the subscription web page on http://lists.alioth.debian.org/mailman/listinfo/pkg-exim4-users Package: exim4-dev Source: exim4 Version: 4.80-7+deb7u6 Architecture: armhf Maintainer: Exim4 Maintainers Installed-Size: 233 Homepage: http://www.exim.org/ Priority: extra Section: mail Filename: pool/main/e/exim4/exim4-dev_4.80-7+deb7u6_armhf.deb Size: 174648 SHA256: 2d7aa75adc202b32fdf0167abca190a8a0c844cf3eb35eac0f5952a450fca852 SHA1: 42bac64efdf447517f3f7a04df57d605234e947f MD5sum: b4a2cc60ded76e0ab65d22acdd9be074 Description: header files for the Exim MTA (v4) packages Exim (v4) is a mail transport agent. This package contains header files that can be used to compile code that is then dynamically linked to exim's local_scan interface. . The Debian exim4 packages have their own web page, http://wiki.debian.org/PkgExim4. There is also a Debian-specific FAQ list. Information about the way the Debian packages are configured can be found in /usr/share/doc/exim4-base/README.Debian.gz, which additionally contains information about the way the Debian binary packages are built. The very extensive upstream documentation is shipped in /usr/share/doc/exim4-base/spec.txt.gz. To repeat the debconf-driven configuration process in a standard setup, invoke dpkg-reconfigure exim4-config. There is a Debian-centered mailing list, pkg-exim4-users@lists.alioth.debian.org. Please ask Debian-specific questions there, and only write to the upstream exim-users mailing list if you are sure that your question is not Debian-specific. You can find the subscription web page on http://lists.alioth.debian.org/mailman/listinfo/pkg-exim4-users Package: exim4-doc-html Source: eximdoc4 Version: 4.80-2 Installed-Size: 3033 Maintainer: Exim4 Maintainers Architecture: all Replaces: eximdoc4-html Size: 640692 SHA256: 393524e5d582d451b6cb8c54f796dc53aa3903b22024051e1c682978f10b08aa SHA1: 976d92afa992045b2989d4e1957b443648fcd5d9 MD5sum: 47565c148e66e88c2ea45ae1238ef992 Description: documentation for the Exim MTA (v4) in html format Contains specification and filtering documentation in HTML format. . The Debian exim4 packages have their own web page, http://pkg-exim4.alioth.debian.org/. There is also a FAQ list. Local information about the way the Debian packages can be configured is can be found in /usr/share/doc/exim4-base/README.Debian.gz. This file has also information about the way the Debian binary packages are built. There is a Debian-centered mailing list, pkg-exim4-users@lists.alioth.debian.org. Please ask Debian-specific questions there, and only write to the upstream exim-users mailing list if you are sure that your question is not Debian-specific. You can find the subscription web page on http://lists.alioth.debian.org/mailman/listinfo/pkg-exim4-users . exim is a drop-in replacement for sendmail/mailq/rsmtp. Homepage: http://www.exim.org/ Tag: made-of::html, mail::smtp, mail::transport-agent, network::service, protocol::ipv6, protocol::smtp, protocol::ssl, role::documentation, works-with::mail Section: doc Priority: optional Filename: pool/main/e/eximdoc4/exim4-doc-html_4.80-2_all.deb Package: exim4-doc-info Source: eximdoc4 Version: 4.80-2 Installed-Size: 554 Maintainer: Exim4 Maintainers Architecture: all Replaces: eximdoc4-info Depends: dpkg (>= 1.15.4) | install-info Size: 540256 SHA256: 19a7c625801dc2e703f167ab4632b32699b2484cda66d2cb17d36502b77d143f SHA1: 4e675562a283f6531e4e2ea0e620377fa05aadff MD5sum: d4cee58d6145330941f1acf63b6d73f6 Description: documentation for the Exim MTA (v4) in info format Contains specification and filtering documentation in info format. . The Debian exim4 packages have their own web page, http://pkg-exim4.alioth.debian.org/. There is also a FAQ list. Local information about the way the Debian packages can be configured is can be found in /usr/share/doc/exim4-base/README.Debian.gz. This file has also information about the way the Debian binary packages are built. There is a Debian-centered mailing list, pkg-exim4-users@lists.alioth.debian.org. Please ask Debian-specific questions there, and only write to the upstream exim-users mailing list if you are sure that your question is not Debian-specific. You can find the subscription web page on http://lists.alioth.debian.org/mailman/listinfo/pkg-exim4-users . exim is a drop-in replacement for sendmail/mailq/rsmtp. Homepage: http://www.exim.org/ Tag: made-of::info, mail::smtp, mail::transport-agent, network::service, protocol::ipv6, protocol::smtp, role::documentation, works-with::mail Section: doc Priority: optional Filename: pool/main/e/eximdoc4/exim4-doc-info_4.80-2_all.deb Package: eximon4 Source: exim4 Version: 4.80-7+deb7u6 Architecture: armhf Maintainer: Exim4 Maintainers Installed-Size: 300 Depends: libc6 (>= 2.13-28), libpcre3 (>= 8.10), libx11-6, libxaw7, libxext6, libxmu6, libxt6, exim4-base (>= 4.10) Conflicts: eximon Replaces: eximon Homepage: http://www.exim.org/ Priority: optional Section: mail Filename: pool/main/e/exim4/eximon4_4.80-7+deb7u6_armhf.deb Size: 210386 SHA256: 224bbdb57bf8e89913497a887d69ddcf68760dd77ac2543a76098756e2597a39 SHA1: afce3ee2e297e16bd71801ae611e4293c84447c3 MD5sum: d002e8be6c33977ae801a1be4a1263a5 Description: monitor application for the Exim MTA (v4) (X11 interface) Eximon is a helper program for the Exim MTA (v4). It allows administrators to view the mail queue and logs, and perform a variety of actions on queued messages, such as freezing, bouncing and thawing messages. Package: exiv2 Version: 0.23-1+deb7u2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 165 Depends: libc6 (>= 2.13-28), libexiv2-12, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.exiv2.org Priority: optional Section: graphics Filename: pool/main/e/exiv2/exiv2_0.23-1+deb7u2_armhf.deb Size: 94114 SHA256: 22d12b49d442f849bf23c3d3c596f82e2c6ff8e72dde1c33b01065d589b26251 SHA1: 7e22a1fd4cf7aed0c70c5c44e240e2d6e4ee0b1e MD5sum: 1192fa85362c070ecf52b460dcc13f64 Description: EXIF/IPTC metadata manipulation tool Exiv2 is a C++ library and a command line utility to manage image metadata. It provides fast and easy read and write access to the Exif, IPTC and XMP metadata of images in various formats . Exiv2 command line utility to: . * print Exif, IPTC and XMP image metadata in different formats: - Exif summary info, interpreted values, or the plain data for each tag * set, add and delete Exif, IPTC and XMP image metadata from command line modify commands or command scripts * adjust the Exif timestamp (that's how it all started...) * rename Exif image files according to the Exif timestamp * extract, insert and delete Exif, IPTC and XMP metadata and JPEG comments * extract previews from RAW images and thumbnails from the Exif metadata * insert and delete the thumbnail image embedded in the Exif metadata * print, set and delete the JPEG comment of JPEG images * fix the Exif ISO setting of picture taken with Canon and Nikon cameras Package: exmh Version: 1:2.8.0~rc1-2 Installed-Size: 3144 Maintainer: Alexander Zangerl Architecture: all Depends: tk8.5 | wish, tcl8.5 | tclsh, nmh | mh, mime-support, xterm Recommends: recode | metamail Suggests: expect, expectk, pgp, compface, gnupg, file, mh-book Size: 925542 SHA256: 9d77d8bd2218fffbdcf111e2b9e3de7a6d4973ae9206856acdde047d9d132b3c SHA1: f06ebabef7c9e3b02a64649ac85b3b485202278e MD5sum: 808cbde9f4eef3f4159f726d667764c7 Description: extensible X user interface for MH mail Exmh uses the regular MH programs to manipulate your mail folders and messages. This means it is compatible with command-line use of MH programs, and its actions should be familiar if you are an experienced MH user. If you are a new MH user, then the details of running MH programs are hidden behind the graphical interface. Homepage: http://www.exmh.org/ Tag: implemented-in::tcl, interface::x11, mail::user-agent, network::client, role::program, uitoolkit::tk, works-with::mail, x11::application Section: mail Priority: optional Filename: pool/main/e/exmh/exmh_2.8.0~rc1-2_all.deb Package: exo-utils Source: exo Version: 0.6.2-5 Architecture: armhf Maintainer: Debian Xfce Maintainers Installed-Size: 261 Depends: libexo-1-0 (= 0.6.2-5), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.27), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0), libxfce4util4 (>= 4.3.99.2) Breaks: xfce4-cddrive-plugin (<= 0.0.1-0ubuntu2), xfce4-volstatus-icon (<= 0.1.0-0ubuntu5) Homepage: http://www.xfce.org Priority: optional Section: xfce Filename: pool/main/e/exo/exo-utils_0.6.2-5_armhf.deb Size: 150450 SHA256: 6c921b58cbe3dcd0bedf34a932a955f62da9a8dab1f4a2e572547299bc9fc455 SHA1: 8a16ba531485e6d61625168fb63960390ee696da MD5sum: 12606d3c25c4c212a13c49321b74649c Description: Utility files for libexo This package contains the Xfce settings plugin and the utility files for libexo-1-0 which are: - exo-csource - exo-desktop-item-edit - exo-open - exo-preferred-applications Package: exo-utils-dbg Source: exo Version: 0.6.2-5 Architecture: armhf Maintainer: Debian Xfce Maintainers Installed-Size: 289 Depends: exo-utils (= 0.6.2-5) Conflicts: libexo-0.3-0-dbg (<< 0.3.105-3) Homepage: http://www.xfce.org Priority: extra Section: debug Filename: pool/main/e/exo/exo-utils-dbg_0.6.2-5_armhf.deb Size: 216978 SHA256: 16e3639efbf53dbca79b8a663346d7be9124b334d2c72070cb6560edd909b4c5 SHA1: 57325d58e27844b1597d7dd7bc2453699f0eb37e MD5sum: 0d080ad67328034a50557548b30d56e0 Description: debugging informations for exo-utils This package contains the debugging symbols for the utilities shipped in exo-utils: - exo-csource - exo-open - exo-desktop-item-edit Package: exonerate Version: 2.2.0-6 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 8285 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0) Suggests: wise Enhances: bioperl-run Homepage: http://www.ebi.ac.uk/~guy/exonerate/ Priority: optional Section: science Filename: pool/main/e/exonerate/exonerate_2.2.0-6_armhf.deb Size: 3438138 SHA256: 7d450cb9180abb52d8e351820c003e751d90e9abdeff57e7e48bd1e2eb882263 SHA1: d89907115bac2d7f8d1db436c0555f8bd4cf66d0 MD5sum: 3d2e9170c583a926a5c91eb7cd2b6dee Description: generic tool for pairwise sequence comparison Exonerate allows you to align sequences using a many alignment models, using either exhaustive dynamic programming, or a variety of heuristics. Much of the functionality of the Wise dynamic programming suite was reimplemented in C for better efficiency. Exonerate is an intrinsic component of the building of the Ensembl genome databases, providing similarity scores between RNA and DNA sequences and thus determining splice variants and coding sequences in general. . An In-silico PCR Experiment Simulation System (see the ipcress man page) is packaged with exonerate. . This package also comes with a selection of utilities for performing simple manipulations quickly on fasta files beyond 2Gb Package: expat Version: 2.1.0-1+deb7u5 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 34 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0) Homepage: http://expat.sourceforge.net Priority: optional Section: text Filename: pool/main/e/expat/expat_2.1.0-1+deb7u5_armhf.deb Size: 25028 SHA256: 39e96594a51f1e9b25dfdda89d580ed2c01f6c035a734bf78cf6bcddcea5b6cc SHA1: 672f5a51290c7a950d4e3cd06aea9297909e93ba MD5sum: 55a5beb7d2d1a52a15d1c7f26a473593 Description: XML parsing C library - example application This package contains xmlwf, an example application of expat, the C library for parsing XML. The arguments to xmlwf are one or more files which are each to be checked for XML well-formedness. Package: expect Version: 5.45-2 Architecture: armhf Maintainer: Sergei Golovan Installed-Size: 311 Depends: libc6 (>= 2.4), tcl8.5 (>= 8.5.0) Homepage: http://sourceforge.net/projects/expect/ Priority: optional Section: interpreters Filename: pool/main/e/expect/expect_5.45-2_armhf.deb Size: 181758 SHA256: 3874b6cb5549c49d01287d8601992fff124ef8efadc615e6af785e4ef07530d3 SHA1: f4891525977390eb2bcff578db24b7bae651b5c1 MD5sum: 171cb43534130468d6da674e605fb9ca Description: Automates interactive applications Expect is a tool for automating interactive applications according to a script. Following the script, Expect knows what can be expected from a program and what the correct response should be. Expect is also useful for testing these same applications. And by adding Tk, you can also wrap interactive applications in X11 GUIs. An interpreted language provides branching and high-level control structures to direct the dialogue. In addition, the user can take control and interact directly when desired, afterward returning control to the script. Package: expect-dev Source: expect Version: 5.45-2 Architecture: armhf Maintainer: Sergei Golovan Installed-Size: 296 Depends: expect (= 5.45-2), tcl8.5-dev Recommends: tk8.5-dev Replaces: expect (<< 5.44.1.14) Homepage: http://sourceforge.net/projects/expect/ Priority: optional Section: devel Filename: pool/main/e/expect/expect-dev_5.45-2_armhf.deb Size: 154746 SHA256: f1586cf92ac3a579f341a49d96e9aded08c2a5962be25ec8d757489097921dfb SHA1: 530e5aecfba405873c9f9d05a6f961783cbcc319 MD5sum: 2ca6d305b5625859e1fda3a3b0271809 Description: Automates interactive applications (development) Expect is a tool for automating interactive applications according to a script. Following the script, Expect knows what can be expected from a program and what the correct response should be. Expect is also useful for testing these same applications. And by adding Tk, you can also wrap interactive applications in X11 GUIs. An interpreted language provides branching and high-level control structures to direct the dialogue. In addition, the user can take control and interact directly when desired, afterward returning control to the script. . This package contains the development files and examples. Package: expeyes Version: 2.0.0-3 Installed-Size: 672 Maintainer: Georges Khaznadar Architecture: all Depends: python (>= 2.6.6-7~), python-expeyes, python-imaging-tk, tix, python-tk Recommends: python-numpy, python-scipy Size: 476134 SHA256: 9161403a963ffb4570e5ae41e0aefa8a7c2b740233cc062851d1b2098d5a9839 SHA1: 1dd2108c0d37241a03830fbe6932550402f2657d MD5sum: c7a8b3e87de0721a8ce5fb0572359062 Description: hardware & software framework for developing science experiments This package provides high level interfaces for making science experiments with an ExpEYES box. . ExpEYES is from the PHOENIX project of Inter-University Accelerator Centre, New Delhi. It is a hardware & software framework for developing science experiments, demonstrations and projects and learn science and engineering by exploration. Capable of doing real time measurements and analysing the data in different ways. Analog voltages are measured with 0.025% resolution and time intervals with one microsecond. This project is based on Free and Open Source software, mostly written in Python programming language. The hardware design is also open. Homepage: http://expeyes.in/ Tag: field::physics, implemented-in::python, interface::x11, role::program, science::data-acquisition, science::modelling, science::plotting, uitoolkit::qt, use::learning, use::measuring, use::simulating, x11::application Section: science Priority: extra Filename: pool/main/e/expeyes/expeyes_2.0.0-3_all.deb Package: expeyes-doc-en Source: expeyes Version: 2.0.0-3 Installed-Size: 3255 Maintainer: Georges Khaznadar Architecture: all Replaces: expeyes-doc (<< 1.0.3) Provides: expeyes-doc Size: 2986900 SHA256: 408ed6f3c22106c1ca3894e855e9f25f7db02a4409545b9b0c07d22a043218ed SHA1: 0697864bca19c3b08a5a527b4f025b3a631f4450 MD5sum: 9ea401263aa0c5b455ab8283c4d9d281 Description: User manual for expeyes library, in English language This package provides a full documentation to use the library python-expeyes, which enables to program new experiments using an ExpEYES box. . ExpEYES is from the PHOENIX project of Inter-University Accelerator Centre, New Delhi. It is a hardware & software framework for developing science experiments, demonstrations and projects and learn science and engineering by exploration. Capable of doing real time measurements and analysing the data in different ways. Analog voltages are measured with 0.025% resolution and time intervals with one microsecond. This project is based on Free and Open Source software, mostly written in Python programming language. The hardware design is also open. Homepage: http://expeyes.in/ Tag: field::physics, made-of::html, made-of::pdf, made-of::tex, role::documentation, science::data-acquisition, science::modelling, science::plotting, use::learning, use::measuring Section: doc Priority: extra Filename: pool/main/e/expeyes/expeyes-doc-en_2.0.0-3_all.deb Package: expeyes-doc-fr Source: expeyes Version: 2.0.0-3 Installed-Size: 11379 Maintainer: Georges Khaznadar Architecture: all Replaces: expeyes-doc (<< 1.0.3) Provides: expeyes-doc Size: 11419702 SHA256: f2514e911e1bcd2b765407687a8a95926d5c5f76133692bcc5d11cf53adefd04 SHA1: 7822b87b617e3679de3d7827a8520308e584dfb2 MD5sum: f952ef9c4190fcd9b7eec9416a3b481c Description: User manual for expeyes library, French translation This package provides a full documentation to use the library python-expeyes, which enables to program new experiments using an ExpEYES box. . ExpEYES is from the PHOENIX project of Inter-University Accelerator Centre, New Delhi. It is a hardware & software framework for developing science experiments, demonstrations and projects and learn science and engineering by exploration. Capable of doing real time measurements and analysing the data in different ways. Analog voltages are measured with 0.025% resolution and time intervals with one microsecond. This project is based on Free and Open Source software, mostly written in Python programming language. The hardware design is also open. Homepage: http://expeyes.in/ Tag: field::physics, made-of::html, made-of::pdf, made-of::tex, role::documentation, science::data-acquisition, science::modelling, science::plotting, use::learning, use::measuring Section: doc Priority: extra Filename: pool/main/e/expeyes/expeyes-doc-fr_2.0.0-3_all.deb Package: expeyes-firmware-dev Source: expeyes Version: 2.0.0-3 Installed-Size: 57 Maintainer: Georges Khaznadar Architecture: all Recommends: gcc-avr, binutils-avr, uisp Size: 11876 SHA256: 264a979519ee5b929063a4546659b206d141cf452e23774d4e32dc5ae56f01f4 SHA1: af21d7d97706c66ff9b074b8de3aa7467de0901d MD5sum: cb4184b81dbb427839e3c546e24819d4 Description: hardware & software framework for developing science experiments This package provides high level interfaces for making science experiments with an ExpEYES box. . ExpEYES is from the PHOENIX project of Inter-University Accelerator Centre, New Delhi. It is a hardware & software framework for developing science experiments, demonstrations and projects and learn science and engineering by exploration. Capable of doing real time measurements and analysing the data in different ways. Analog voltages are measured with 0.025% resolution and time intervals with one microsecond. This project is based on Free and Open Source software, mostly written in Python programming language. The hardware design is also open. Homepage: http://expeyes.in/ Tag: devel::lang:c, devel::library, devel::machinecode, field::physics, implemented-in::c, role::devel-lib, science::data-acquisition, use::learning, works-with::software:source Section: devel Priority: extra Filename: pool/main/e/expeyes/expeyes-firmware-dev_2.0.0-3_all.deb Package: explain Source: libexplain Version: 0.52.D002-1 Architecture: armhf Maintainer: Peter Miller Installed-Size: 755 Depends: libc6 (>= 2.13-28), libexplain30, lsof Homepage: http://libexplain.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/libe/libexplain/explain_0.52.D002-1_armhf.deb Size: 673238 SHA256: 69bb1873f76e314c3691cfeafb47a2f8eea89be12ebe0f027bc14f0c930a7cf2 SHA1: c124c3274890f3b5fa5d56a85630870473306e0d MD5sum: 349318dceb665f2d9a88e7e5826a14ba Description: utility to explain system call errors This package provides an explain(1) command to explain Unix and Linux system call errors, after the fact. Package: exrtools Version: 0.4-1.2 Architecture: armhf Maintainer: Billy Biggs Installed-Size: 144 Depends: libc6 (>= 2.7), libjpeg8 (>= 8c), libopenexr6 (>= 1.6.1), libpng12-0 (>= 1.2.13-4) Suggests: cinepaint, openexr, dcraw Priority: optional Section: graphics Filename: pool/main/e/exrtools/exrtools_0.4-1.2_armhf.deb Size: 45018 SHA256: 705a4e70da5a0c0d6b84ada12a90a0bae4a31d436b0bd2f51cc0282a4551566c SHA1: 371f8d87a6fd3a34e6cb366871ee8595faaa9fda MD5sum: c2a3e1871bfac47ca997eed062663d1a Description: A collection of utilities for manipulating OpenEXR images exrtools is a set of simple command-line utilities for manipulating with high dynamic range images in OpenEXR format. OpenEXR is a high dynamic-range (HDR) image file format developed by Industrial Light & Magic for use in computer imaging applications. . exrtools was developed to help experiment with batch processing of HDR images for tone mapping. Each application is small and reasonably self-contained such that the source code may be of most value to others. . You can find more information at http://scanline.ca/exrtools/ Package: ext3grep Version: 0.10.1-3.2 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 262 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://code.google.com/p/ext3grep/ Priority: extra Section: admin Filename: pool/main/e/ext3grep/ext3grep_0.10.1-3.2_armhf.deb Size: 118776 SHA256: ec77344d1b693e1bd42da288e70adeefe36340120bae2e1aaa71a4c85127ea33 SHA1: 1c9e48e5b81b67ec05ba04839fc4ff835ba70b40 MD5sum: 317819a94a4a7704520d3d30c2886461 Description: Tool to help recover deleted files on ext3 filesystems ext3grep is a simple tool intended to aid anyone who accidentally deletes a file on an ext3 filesystem, only to find that they wanted it shortly thereafter. Package: extace Version: 1.9.9-6 Architecture: armhf Maintainer: Christian Marillat Installed-Size: 184 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libesd0 (>= 0.2.35), libfftw3-3, libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://extace.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/e/extace/extace_1.9.9-6_armhf.deb Size: 78672 SHA256: 3cd77960ee6681cf112e06e515f4100f1e7bc4abfbdc8de0c933e6070616eeee SHA1: 5438508cd0c3345c2545058873d2e9d2b2b8edd8 MD5sum: 993853256ca780ec6c76e19aaa3f24e3 Description: waveform viewer This is the extace waveform viewer. It plugs itself in as an EsounD monitor and samples and displays the output from the EsounD daemon. Package: extcalc Version: 0.9.2-1 Architecture: armhf Maintainer: Varun Hiremath Installed-Size: 2150 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxft2 (>> 2.1.1) Homepage: http://extcalc-linux.sourceforge.net/ Priority: optional Section: math Filename: pool/main/e/extcalc/extcalc_0.9.2-1_armhf.deb Size: 1177380 SHA256: 27eade346503f4b76b51eec3855aecce39649ee29be286842b770383db33bdd7 SHA1: 542fd6d7a132ffb6f19f285d972e8cd3d41fec2f MD5sum: 3e7f9ca38a7f1aae1a458431ed8b0858 Description: multifunctional scientific graphic calculator Extcalc is a multifunctional scientific graphic calculator for Linux with features like graph drawing, graph analysation and calculating scientific functions. Extcalc also provides an integrated programming language. Package: extplorer Version: 2.1.0b6+dfsg.3-4+deb7u5 Installed-Size: 1289 Maintainer: Thomas Goirand Architecture: all Depends: libapache2-mod-php5 | php5-cgi, libjs-edit-area, libjs-extjs, libjs-yui, php-pear, php-net-ftp, php-auth-http, php-auth, php-geshi, php-compat, php-mail-mime, php-mail-mimedecode, php-http-webdav-server, php-services-json, php-mime-type Size: 351162 SHA256: 2e944350126dcdd413d0d57a231583a586ef8c5d7bfcc4a0118f7c6cc5d1ea02 SHA1: ebac6a2300a6f635673d88ba1236aa68ef46ff0e MD5sum: bbbfa3fe4c37b6e037cb5c5164723dc4 Description: web file explorer and manager using Ext JS a web-based File Manager. You can use it to: * browse directories & files on the server and * edit, copy, move, delete files, * search, upload and download files, * create and extract archives, * create new files and directories, * change file permissions (chmod) and much more... . You can even use eXtplorer to login to the FTP server (like net2ftp) and work as if you were using an FTP client. Access via WebDAV is also possible (requires some extra work and a database!). . eXtplorer is released under a dual-license: You can choose wether you want to use eXtplorer under the Mozilla Public License (MPL 1.1) or under the GNU General Public License (GNU/GPL). Note that if you decide to distribute/use eXtplorer under the MPL, you are not allowed to use the ExtJS Javascript library. Homepage: http://extplorer.sourceforge.net/ Section: web Priority: optional Filename: pool/main/e/extplorer/extplorer_2.1.0b6+dfsg.3-4+deb7u5_all.deb Package: extra-xdg-menus Version: 1.0-4 Installed-Size: 192 Maintainer: Peter Clifton Architecture: all Replaces: hamradiomenus Conflicts: hamradiomenus (<< 1.2) Size: 12732 SHA256: e1e5c1bf3fa318b8c219c161fca5340950de6e46024f6ee9619c46de0898da48 SHA1: 7ae80729c6e2945431cd9618ad3e4c4223d61182 MD5sum: ae475ad257cd75ecc92ba416dc3ff232 Description: Extra menu categories for applications under GNOME and KDE This package installs various optional extra desktop menus for grouping applications on GNOME, KDE and other XDG menu-spec compliant desktop environments. The extra menus may be enabled or disbled on a system or per-user basis with the supplied scripts, exmenen and exmendis. Tag: role::app-data Section: misc Priority: optional Filename: pool/main/e/extra-xdg-menus/extra-xdg-menus_1.0-4_all.deb Package: extract Source: libextractor Version: 1:0.6.3-5+deb7u1 Architecture: armhf Maintainer: Bertrand Marc Installed-Size: 231 Depends: libc6 (>= 2.13-28), libextractor3, libextractor-plugins (= 1:0.6.3-5+deb7u1), dpkg (>= 1.15.4) | install-info Homepage: http://www.gnu.org/software/libextractor/ Priority: optional Section: utils Filename: pool/main/libe/libextractor/extract_0.6.3-5+deb7u1_armhf.deb Size: 111000 SHA256: 08e3d519b0674e17fc377c068aaa70096d5e531608556b0a181dab5e07b01981 SHA1: f7298c29a8213ed45c76cb44fb81489086b603cc MD5sum: 9cd4ba89446e6300823ca39d05ef6dd9 Description: displays meta-data from files of arbitrary type Similar to the well-known "file" command, extract can display meta-data from a file and print the results to stdout. . Currently, libextractor supports the following formats: HTML, PDF, PS, OLE2 (DOC, XLS, PPT), OpenOffice (sxw), StarOffice (sdw), DVI, MAN, MKV, MP3 (ID3v1 and ID3v2), OGG, WAV, EXIV2, JPEG, GIF, PNG, TIFF, DEB, RPM, TAR(.GZ), ZIP, ELF, REAL, RIFF (AVI), MPEG, QT and ASF. . Also, various additional MIME types are detected. It can also be used to compute hash functions (SHA-1, MD5, ripemd160). Package: extrema Version: 4.4.5.dfsg-3 Architecture: armhf Maintainer: Christine Spang Installed-Size: 6084 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1) Recommends: ttf-opensymbol Homepage: http://exsitewebware.com/extrema/ Priority: optional Section: science Filename: pool/main/e/extrema/extrema_4.4.5.dfsg-3_armhf.deb Size: 3188012 SHA256: 1eae94f0a8351280fac9b650561b46260e2c9f7933a2d3f147f092b8d5f08728 SHA1: e53de26a1311b346119114818aae190bbddb1690 MD5sum: 08499344a6effe72ccb347aa45115ff0 Description: powerful visualization and data analysis tool Extrema is a mature and robust data analysis application, originally developed at the TRIUMF particle physics laboratory. It is designed to be of great practical use to researchers and aims to be both powerful and easy to use. . Extrema features a rich graphical user interface, as well as an intuitive command language. It provides well-documented tools for both 2D and 3D graphing, data reduction and analysis, and data input/output. Package: extrema-doc Source: extrema Version: 4.4.5.dfsg-3 Installed-Size: 3420 Maintainer: Christine Spang Architecture: all Size: 3463680 SHA256: 61916a284f63c0822bcba55446d39d091e6080497bec08529ec97ec82bbe2407 SHA1: 803c8993daab896f3f7a0fd759eb9bcd7c0a44ea MD5sum: 93805910acecec1271ca9b890516edac Description: Documentation for extrema This package contains additional documentation in PDF format for the extrema software package. Homepage: http://exsitewebware.com/extrema/ Tag: made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/e/extrema/extrema-doc_4.4.5.dfsg-3_all.deb Package: extremetuxracer Version: 0.4-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 526 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libpng12-0 (>= 1.2.13-4), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libsm6, libstdc++6 (>= 4.6), libx11-6, libxext6, libxi6, libxmu6, libxt6, tcl8.5 (>= 8.5.0), zlib1g (>= 1:1.1.4), extremetuxracer-data (>= 0.4-5) Recommends: extremetuxracer-extras Breaks: planetpenguin-racer (<= 0.3.1-11) Replaces: planetpenguin-racer (<= 0.3.1-11) Homepage: http://www.extremetuxracer.com/ Priority: optional Section: games Filename: pool/main/e/extremetuxracer/extremetuxracer_0.4-5_armhf.deb Size: 223600 SHA256: 9a6089068afa3ac6f0aafddbbec7ded78cfd42379cba9441fccef04a34d9c2fd SHA1: 61302fdde6a12f29858c2780410b9ee27dcb48d1 MD5sum: ef94b872ddef1559aee3fce8ea571184 Description: 3D racing game featuring Tux, the Linux penguin Extreme Tux Racer, or etracer as it is called for short, is a simple OpenGL racing game featuring Tux, the Linux mascot. The goal of the game is to slide down a snow- and ice-covered mountain as quickly as possible, avoiding the trees and rocks that will slow you down. . Collect herrings and other goodies while sliding down the hill, but avoid fish bones. . This game is based on the GPL version of the famous game TuxRacer. . You must have working 3D acceleration or this game will run quite slowly. Package: extremetuxracer-data Source: extremetuxracer Version: 0.4-5 Installed-Size: 29985 Maintainer: Debian Games Team Architecture: all Replaces: planetpenguin-racer-data, planetpenguin-racer-extra Recommends: extremetuxracer Size: 28158810 SHA256: 87fc0e44b24f42a3ad81e9347f702c2774229959646cb821e20c1554fedf0e01 SHA1: cbfc9eb08fc89181f93d9301cb3494f2d41026b5 MD5sum: 229555a44c94c1a535138cd21bb7101a Description: data files for the game Extreme Tux Racer Extreme Tux Racer, or etracer as it is called for short, is a simple OpenGL racing game featuring Tux, the Linux mascot. The goal of the game is to slide down a snow- and ice-covered mountain as quickly as possible, avoiding the trees and rocks that will slow you down. . This game is based on the GPL version of famous game TuxRacer. . This package contains data like graphics and courses. If you want to play the game, you need to install the package extremetuxracer. Homepage: http://www.extremetuxracer.com/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/e/extremetuxracer/extremetuxracer-data_0.4-5_all.deb Package: extremetuxracer-dbg Source: extremetuxracer Version: 0.4-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 4950 Depends: extremetuxracer (= 0.4-5) Homepage: http://www.extremetuxracer.com/ Priority: extra Section: debug Filename: pool/main/e/extremetuxracer/extremetuxracer-dbg_0.4-5_armhf.deb Size: 1913868 SHA256: 5f395bab785a7684c58c2ece537df9dfefec3798cd29183d94e0eb8e6c5ba662 SHA1: 718d46010e312f26097a12c366fe5e0ed948f2bc MD5sum: c934940526f6eae038bfe8742e5e44a7 Description: 3D racing game featuring Tux, the Linux penguin (debugging symbols) Extreme Tux Racer, or etracer as it is called for short, is a simple OpenGL racing game featuring Tux, the Linux mascot. The goal of the game is to slide down a snow- and ice-covered mountain as quickly as possible, avoiding the trees and rocks that will slow you down. . Collect herrings and other goodies while sliding down the hill, but avoid fish bones. . This game is based on the GPL version of the famous game TuxRacer. . You must have working 3D acceleration or this game will run quite slowly. . This package contains the debugging symbols for extremetuxracer. Package: extremetuxracer-extras Source: tuxracer-extras Version: 0.6-1 Installed-Size: 33924 Maintainer: Debian Games Team Architecture: all Replaces: planetpenguin-racer-extras (<< 0.6-1~) Recommends: extremetuxracer (>= 0.4) Enhances: extremetuxracer Size: 6676072 SHA256: 2ff75e11640d4cfc6798d055029acfa74d70ef5441c19fc320e34f5109f2da09 SHA1: 1a007d0f9692d0058892c061a00995ea289b467b MD5sum: 0dd0a910f023dc1dede39a41901f692d Description: Additional courses for Extreme Tux Racer This package contains extra courses for the game Extreme Tux Racer. Homepage: http://tuxracer.fubaby.com/courses.php Tag: role::app-data Section: games Priority: optional Filename: pool/main/t/tuxracer-extras/extremetuxracer-extras_0.6-1_all.deb Package: extremetuxracer-gimp-dev Source: extremetuxracer Version: 0.4-5 Installed-Size: 54 Maintainer: Debian Games Team Architecture: all Replaces: planetpenguin-racer-gimp-dev (<= 0.3.1-11) Provides: planetpenguin-racer-gimp-dev Depends: gimp Recommends: extremetuxracer Breaks: planetpenguin-racer-gimp-dev (<= 0.3.1-11) Size: 9386 SHA256: bd2af17141b76f7c83f9a4720b8d1b6c11b3c6dabf38e96f3eb35553260337e7 SHA1: a3e57912df3449592a7c16708c147f4eeb2bfed1 MD5sum: 40b04063d6b9d8202c93cd89be9242da Description: plugins for GIMP for easy development of etracer courses The courses of Extreme Tux Racer, or etracer as it is called for short, are quite easy to create. They consist of three graphic files and one textfile. . This package contains some scripts and colour palettes, which make it easy to create the graphic files of etracer courses with the GIMP. . Details on how to create courses can be found at http://www.extremetuxracer.com/wiki/index.php?title=Creating_Courses Homepage: http://www.extremetuxracer.com/ Section: games Priority: optional Filename: pool/main/e/extremetuxracer/extremetuxracer-gimp-dev_0.4-5_all.deb Package: extsmail Version: 1.4-1 Architecture: armhf Maintainer: Olivier Girondel Installed-Size: 97 Depends: libc6 (>= 2.4) Homepage: http://tratt.net/laurie/src/extsmail/ Priority: extra Section: mail Filename: pool/main/e/extsmail/extsmail_1.4-1_armhf.deb Size: 27520 SHA256: 38f7970d1460d76a82377a6903a3964f676e87f4198a54abf0181a407b3868c0 SHA1: 3c7c1eecf2f291a3c3f371f3b2ff0e7a07ed131a MD5sum: 419cf335345811627ff2704faaf466cf Description: enables the robust sending of e-mail to external commands extsmail masquerades as the standard UNIX sendmail program, reading messages, and later piping them to user-defined commands. . In a sense, extsmail can be thought of as a very simple "tiny" sendmail (Think SSMTP, UUCP, ...) . A typical use is to allow e-mail to be piped via ssh to external servers running a full sendmail-compatible MTA. extsmail is designed to have sensible defaults, and configuring it is a one-off, quick job. Package: extundelete Version: 0.2.0-2.1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 140 Depends: e2fslibs (>= 1.42), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://extundelete.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/e/extundelete/extundelete_0.2.0-2.1_armhf.deb Size: 51556 SHA256: ef1fb2fb25e452b8fd39c1afc3f07bc420428cf342ae5aa83b374883333e9ffa SHA1: 806794e71e12ef5a8a8e4b2186c63b844ead80c6 MD5sum: f454ae962de7652517ff4d72d4a58cb8 Description: utility to recover deleted files from ext3/ext4 partition extundelete uses the information stored in the partition's journal to attempt to recover a file that has been deleted. There is no guarantee that any particular file will be able to be undeleted. Package: exuberant-ctags Version: 1:5.9~svn20110310-4+deb7u1 Architecture: armhf Maintainer: Colin Watson Installed-Size: 270 Depends: libc6 (>= 2.13-28) Suggests: vim | nvi | elvis | vile | emacsen Provides: ctags Multi-Arch: foreign Homepage: http://ctags.sourceforge.net/ Priority: optional Section: editors Filename: pool/main/e/exuberant-ctags/exuberant-ctags_5.9~svn20110310-4+deb7u1_armhf.deb Size: 135946 SHA256: 85505d3a5a8b619844c5d707c48269d478b017c648651bbc6b7bf4fdaa9af897 SHA1: 52d4d99960310bf1be2ab230fbf91c89560aff26 MD5sum: c600c757263672cede7c76ea655c8125 Description: build tag file indexes of source code definitions ctags parses source code and produces a sort of index mapping the names of significant entities (e.g. functions, classes, variables) to the location where that entity is defined. This index is used by editors like vi and emacsen to allow moving to the definition of a user-specified entity. . Exuberant Ctags supports all possible C language constructions and multiple other languages such as assembler, AWK, ASP, BETA, Bourne/Korn/Z shell, C++, COBOL, Eiffel, Fortran, Java, Lisp, Lua, Makefile, Pascal, Perl, PHP, Python, REXX, Ruby, S-Lang, Scheme, Tcl, Verilog, Vim and YACC. Package: eyed3 Version: 0.6.18-1 Installed-Size: 97 Maintainer: Alexander Wirt Architecture: all Depends: python-eyed3 (= 0.6.18-1), python Size: 23778 SHA256: a19fadc9f0440975c9e741d7e61fcf945372cb0600f0f0968b4ec4d3ec031c45 SHA1: 497346de5a8142791b9feb247a1d83021baa1204 MD5sum: b3d76bf25d70543907c99b0cb335cf53 Description: Display and manipulate id3-tags on the command-line A command-line editor to add/edit/remove ID3-tags on mp3 files. It supports version 1.0,1.1,2.3 and 2.4 of the ID3 standard. Additionally it displays several information about the file such as length and bitrate from an MP3 file. Homepage: http://eyed3.nicfit.net/ Tag: implemented-in::python, interface::commandline, role::program, scope::utility, use::organizing, works-with-format::mp3, works-with::audio Section: sound Priority: optional Filename: pool/main/e/eyed3/eyed3_0.6.18-1_all.deb Package: ez-ipupdate Version: 3.0.11b8-13.4 Architecture: armhf Maintainer: Sam Hocevar (Debian packages) Installed-Size: 232 Depends: libc6 (>= 2.13-28), debconf (>= 1.2.0), adduser Provides: dyndns-client Priority: optional Section: net Filename: pool/main/e/ez-ipupdate/ez-ipupdate_3.0.11b8-13.4_armhf.deb Size: 67430 SHA256: 35cbc9e06b1c706e3e8a48e45dafc086520deb73ece0db35d346c4299e47e0ad SHA1: 459ce88aa61c9d23cdc5c7121e26ae7855d1ca93 MD5sum: e9056a11f11535cfd2128d519bafc3b1 Description: client for most dynamic DNS services ez-ipupdate is a quite complete client for the dynamic DNS service offered by http://www.ez-ip.net/ and many more. . Currently supported are: ez-ip (http://www.EZ-IP.Net/), Penguinpowered (http://www.penguinpowered.com/), DHS (http://members.dhs.org/), dynDNS (http://members.dyndns.org/), ODS (http://www.ods.org/), TZO (http://www.tzo.com/), EasyDNS (http://members.easydns.com/), Justlinux (http://www.justlinux.com), Dyns (http://www.dyns.cx), HN (http://dup.hn.org/), ZoneEdit (http://www.zoneedit.com/) and Hurricane Electric's IPv6 Tunnel Broker (http://ipv6tb.he.net/). . All services using GNUDip are also supported. Package: ezgo-accessories Source: ezgo Version: 0.7.1 Installed-Size: 60 Maintainer: Debain EzGo Packaging Team Architecture: all Depends: ezgo-tasks (= 0.7.1) Recommends: convertall, gcalctool, gedit, gnome-utils, gtkwhiteboard, lxterminal, stardict, tomboy, xournal Size: 3228 SHA256: e1aaf2667d82932c34aa447434a8354d5d4906291f848012e10ca816fe59ec40 SHA1: 30ccca1c6132b0d350c71f05b41b56df44802f35 MD5sum: 46d696fbf60357334aa300c3692dc423 Description: EzGo Accessories The EzGo is a LiveCD created by OSS Application Consulting Centre(OSSACC) which is a Ministry of Education Taiwan funded project, and it's executive by the Software Liberty Association of Taiwan(SLAT). . It is a set of free software collection aims to promote the usage of free software on teaching and self-learning. . This is the selection of Accessories. Homepage: http://wiki.debian.org/DebianEzGo Section: misc Priority: extra Filename: pool/main/e/ezgo/ezgo-accessories_0.7.1_all.deb Package: ezgo-education Source: ezgo Version: 0.7.1 Installed-Size: 60 Maintainer: Debain EzGo Packaging Team Architecture: all Depends: ezgo-tasks (= 0.7.1) Recommends: avogadro, bkchem, celestia, drgeo, gchempaint, gcompris, gcu-bin, ghemical, kalgebra, kalzium, kanagram, kbruch, kgeography, khangman, kig, klettres, kmplot, kstars, ktouch, kturtle, kwordquiz, marble, parley, pymol, stellarium, step, wxmaxima Suggests: kayali, scratch Size: 3328 SHA256: 4a6ea2762edf369bfc21a2cbb86d9173edb3be65d4c19053f723dd84ff16cbd3 SHA1: 16d52f15ebf68dbf937105984de29e196b3e153c MD5sum: cd7427005d0a096a1be44cec64989a95 Description: EzGo Education The EzGo is a LiveCD created by OSS Application Consulting Centre(OSSACC) which is a Ministry of Education Taiwan funded project, and it's executive by the Software Liberty Association of Taiwan(SLAT). . It is a set of free software collection aims to promote the usage of free software on teaching and self-learning. . This is the selection of education tools. Homepage: http://wiki.debian.org/DebianEzGo Section: misc Priority: extra Filename: pool/main/e/ezgo/ezgo-education_0.7.1_all.deb Package: ezgo-games Source: ezgo Version: 0.7.1 Installed-Size: 60 Maintainer: Debain EzGo Packaging Team Architecture: all Depends: ezgo-tasks (= 0.7.1) Recommends: frozen-bubble, gnome-games, kblocks, knetwalk, kolf, ktuberling, lbreakout2, pinball, pingus, supertux, supertuxkart, torcs, tuxmath, tuxpuck, tuxtype Suggests: planetpenguin-racer Size: 3270 SHA256: c30123cde11ab4e523a8d69129a1b8fc2b8933217181515593aff3024d4f6671 SHA1: b9c9eec17d2afc64881c6863689cedfd8f01fcf0 MD5sum: 55dab744a29547d643c7ed46d2d7843c Description: EzGo Games The EzGo is a LiveCD created by OSS Application Consulting Centre(OSSACC) which is a Ministry of Education Taiwan funded project, and it's executive by the Software Liberty Association of Taiwan(SLAT). . It is a set of free software collection aims to promote the usage of free software on teaching and self-learning. . This is the selection of games. Homepage: http://wiki.debian.org/DebianEzGo Section: misc Priority: extra Filename: pool/main/e/ezgo/ezgo-games_0.7.1_all.deb Package: ezgo-imaging Source: ezgo Version: 0.7.1 Installed-Size: 60 Maintainer: Debain EzGo Packaging Team Architecture: all Depends: ezgo-tasks (= 0.7.1) Recommends: blender, cheese, digikam, eog, f-spot, gimp, gpaint, gwenview, inkscape, kolourpaint4, ktoon, qcad, scribus, tuxpaint Suggests: pencil Size: 3250 SHA256: 711f1c2b297cbfed290b44e7a753a86ef006e4bddbeeaa4c2f6a523cd4f8907c SHA1: 62f1dfeca259ce4a0a31aed9179629e40045f2b0 MD5sum: e97453bef323b8afa1f281401a56ccda Description: EzGo imaging The EzGo is a LiveCD created by OSS Application Consulting Centre(OSSACC) which is a Ministry of Education Taiwan funded project, and it's executive by the Software Liberty Association of Taiwan(SLAT). . It is a set of free software collection aims to promote the usage of free software on teaching and self-learning. . This is the selection of image tools. Homepage: http://wiki.debian.org/DebianEzGo Section: misc Priority: extra Filename: pool/main/e/ezgo/ezgo-imaging_0.7.1_all.deb Package: ezgo-multimedia Source: ezgo Version: 0.7.1 Installed-Size: 60 Maintainer: Debain EzGo Packaging Team Architecture: all Depends: ezgo-tasks (= 0.7.1) Recommends: amarok, audacious, audacity, brasero, gnome-media, gtk-recordmydesktop, k3b, kdenlive, mplayer, oggconvert, rhythmbox, rosegarden, smplayer, sound-juicer, totem, tuxguitar, vlc Suggests: kino, xvidcap Size: 3292 SHA256: 66fd509deea41a37f1565c190abadf1de0d93b869b729d83ad1d0fb4374f1827 SHA1: ebbf237f9aba95574ed7fe9004f30df81a8a9d92 MD5sum: d0a665a5e246db49d51c36a7716bd9df Description: EzGo multimedia The EzGo is a LiveCD created by OSS Application Consulting Centre(OSSACC) which is a Ministry of Education Taiwan funded project, and it's executive by the Software Liberty Association of Taiwan(SLAT). . It is a set of free software collection aims to promote the usage of free software on teaching and self-learning. . This is the selection of multimedia packages. Homepage: http://wiki.debian.org/DebianEzGo Section: misc Priority: extra Filename: pool/main/e/ezgo/ezgo-multimedia_0.7.1_all.deb Package: ezgo-network Source: ezgo Version: 0.7.1 Installed-Size: 60 Maintainer: Debain EzGo Packaging Team Architecture: all Depends: ezgo-tasks (= 0.7.1) Recommends: ekiga, emesene, evolution, filezilla, gftp, icedove, iceweasel, kompozer, kopete, pcmanx-gtk2, pidgin, transmission, tsclient, vinagre Suggests: exe Size: 3256 SHA256: 74408d5cd4de4894d71cab6eb22349a489789dd10069c9d8dc996d6d8103295f SHA1: 7ac6d9e57d8540f9d5d28a142863267b9c00e89e MD5sum: 6b5c17b20886e71af35e108f4fdf94da Description: EzGo network The EzGo is a LiveCD created by OSS Application Consulting Centre(OSSACC) which is a Ministry of Education Taiwan funded project, and it's executive by the Software Liberty Association of Taiwan(SLAT). . It is a set of free software collection aims to promote the usage of free software on teaching and self-learning. . This is the selection of networking related packages. Homepage: http://wiki.debian.org/DebianEzGo Section: misc Priority: extra Filename: pool/main/e/ezgo/ezgo-network_0.7.1_all.deb Package: ezgo-office Source: ezgo Version: 0.7.1 Installed-Size: 60 Maintainer: Debain EzGo Packaging Team Architecture: all Depends: ezgo-tasks (= 0.7.1) Recommends: dia, okular, openclipart, openoffice.org-base, openoffice.org-calc, openoffice.org-draw, openoffice.org-impress, openoffice.org-writer, pdfedit, planner Suggests: ganttproject, xmind Size: 3236 SHA256: d6ca5045df7d6b47cca85cbbcc1a8dd9a28851ab024dfd1a952f5d464b72ec42 SHA1: cfc4acdb02e2d63038a9828c7134a8be9e85e932 MD5sum: f2f6e36480cc22acda7ab1bddad6bb20 Description: EzGo Office The EzGo is a LiveCD created by OSS Application Consulting Centre(OSSACC) which is a Ministry of Education Taiwan funded project, and it's executive by the Software Liberty Association of Taiwan(SLAT). . It is a set of free software collection aims to promote the usage of free software on teaching and self-learning. . This is the selection of office tools. Homepage: http://wiki.debian.org/DebianEzGo Section: misc Priority: extra Filename: pool/main/e/ezgo/ezgo-office_0.7.1_all.deb Package: ezgo-tasks Source: ezgo Version: 0.7.1 Installed-Size: 44 Maintainer: Debain EzGo Packaging Team Architecture: all Depends: tasksel Size: 2744 SHA256: ca9bc0d2e80197b523ccb6cffab25348c205f62abffc22ccb1a724e68f4e58a9 SHA1: da158cf50a20de9fa97525f300c3c2db7ac0df2e MD5sum: b88b28df4adc81cff7ac6194fcb380d6 Description: EzGo tasks for tasksel This package provides EzGo tasks in tasksel. . These tasks are described in detail at http://blends.alioth.debian.org/ezgo/tasks Homepage: http://wiki.debian.org/DebianEzGo Section: misc Priority: extra Filename: pool/main/e/ezgo/ezgo-tasks_0.7.1_all.deb Package: ezmlm-browse Version: 0.10-3 Architecture: armhf Maintainer: Dominic Hargreaves Installed-Size: 123 Depends: libc6 (>= 2.4), python, python-support (>= 0.90.0) Suggests: ezmlm | ezmlm-idx, qmail Homepage: http://untroubled.org/ezmlm-browse/ Priority: optional Section: web Filename: pool/main/e/ezmlm-browse/ezmlm-browse_0.10-3_armhf.deb Size: 27532 SHA256: 035df22ff8d6e35b280255110c4b7d4a90e787d4f492fae89c71530d1ec5e9da SHA1: 34bad82e024af08a689b2e3a62c7924ff88dc649 MD5sum: d3e34725d3b3dfa4c1869a8780140912 Description: Web browser for ezmlm-idx archives This is ezmlm-browse, a web interface for browsing ezmlm-idx (version 0.40 or later) archives. The default presentation is similar to that of the ezmlm-cgi archive browser that is part of ezmlm-idx, but with the addition of threaded subjects and online posting / replying. However, the output is completely template drive, so you can make it fit into your current web scheme. Package: ezstream Version: 0.5.6~dfsg-1 Architecture: armhf Maintainer: Debian Icecast team Installed-Size: 155 Depends: libc6 (>= 2.13-28), libogg0 (>= 1.0rc3), libshout3, libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libxml2 (>= 2.7.4) Recommends: vorbis-tools Suggests: icecast2, flac, madplay, ffmpeg2theora Homepage: http://www.icecast.org/ezstream.php Priority: optional Section: sound Filename: pool/main/e/ezstream/ezstream_0.5.6~dfsg-1_armhf.deb Size: 67408 SHA256: 85793caea41d5ef2d128b0d82bc0244e1ce014657ce4ed4b368dd68f164bc62a SHA1: 5099dffd237f278594b7f01577b312bfbe10a18c MD5sum: 12f8a503d53e4820c3d9442fb593a640 Description: easy media streaming client over icecast servers Ezstream is an audio and video streaming client which feeds any icecast server with audio data and metadata from a standard input without reencoding. It thus requires very little CPU resources. Some playlists can be looped and composed with MP3, Ogg Vorbis or Ogg Theora media files. Shoutcast metadata are also supported. Package: f-spot Version: 0.8.2-5 Architecture: armhf Maintainer: Debian CLI Applications Team Installed-Size: 9001 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.12.0), libgdk-pixbuf2.0-0 (>= 2.26.1), libglib2.0-0 (>= 2.32.2), libgtk2.0-0 (>= 2.24.0), liblcms1 (>= 1.15-1), libx11-6 (>= 2:1.4.99.1), gconf2 (>= 2.28.1-2), mono-runtime (>= 2.10.1), libflickrnet2.2-cil, libgconf2.0-cil (>= 2.24.0), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgnome-keyring1.0-cil (>= 1.0.0), libgnome2.24-cil (>= 2.24.0), libgnomeui-0 (>= 2.22.0), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-addins-gui0.2-cil (>= 0.6), libmono-addins0.2-cil (>= 0.6), libmono-cairo4.0-cil (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-sharpzip4.84-cil (>= 1.0), libmono-simd4.0-cil (>= 1.0), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-web4.0-cil (>= 2.10.3), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), libndesk-dbus1.0-cil (>= 0.6.0), libpango1.0-0 (>= 1.29.4), libsqlite3-0, libunique-1.0-0 (>= 1.0.2), libxcomposite1 (>= 1:0.3-1), dbus, gvfs-bin Recommends: dcraw, dbus-x11 Homepage: http://www.f-spot.org/ Priority: optional Section: gnome Filename: pool/main/f/f-spot/f-spot_0.8.2-5_armhf.deb Size: 3185812 SHA256: 497b87450337af2f5d5f9f6aeaae8d40993b17b8e4d5a73ce235b84397711d75 SHA1: ad1a19dc15d5045cf262a96829d1fc3e2ceeb8c8 MD5sum: 3031cd2f8938fd76fb3853aa194a75c7 Description: personal photo management application F-Spot is a full-featured personal photo management application for the GNOME desktop. It simplifies digital photography by providing intuitive tools to help you share, touch-up, find and organize your images. It allows for importing of your existing photo collections, tagging photos with identifiers, as well as doing simple edits of photos (e.g. rotating). Package: f2c Version: 20100827-1 Architecture: armhf Maintainer: Alan Bain Installed-Size: 389 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libf2c2-dev Recommends: gcc Suggests: fort77 Homepage: http://www.netlib.org/f2c/ Priority: optional Section: devel Filename: pool/main/f/f2c/f2c_20100827-1_armhf.deb Size: 245988 SHA256: 92be85afcd4fb147a5c332ca5851f619a0c40fadcb0d49c0ae31084a2a5fed28 SHA1: 83061a9f1835ad31914efa789c3b60503c04de90 MD5sum: 3495c06df3302812a5c270cec786afc8 Description: FORTRAN 77 to C/C++ translator Translates FORTRAN 77 (with some extensions) into C, so that it can then be compiled and run on a system with no Fortran compiler. The C files must then be linked against the appropriate libraries. . This is an actively maintained FORTRAN to C translator and with the fort77 frontend provides an ideal way to compile FORTRAN routines as black boxes (for example for invocation from C). Source level debugging facilities are not available, and error messages are not as well developed as in g77. Package: faad Source: faad2 Version: 2.7-8+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 77 Depends: libc6 (>= 2.13-28), libfaad2 (>= 2.7), libgcc1 (>= 1:4.4.0) Conflicts: faad2 Replaces: faad2 Homepage: http://www.audiocoding.com/ Priority: optional Section: sound Filename: pool/main/f/faad2/faad_2.7-8+deb7u1_armhf.deb Size: 40126 SHA256: 6345905ea4764b24df2006c2ab1d07a75b32df532b63ee425f1688423e8e64ba SHA1: 876eac35a1f3cbee3313934ed41f9422871d8a6d MD5sum: eb9815be617d830dfd5c3232839c3e44 Description: freeware Advanced Audio Decoder player FAAD2 is the fastest ISO AAC audio decoder available. FAAD2 correctly decodes all MPEG-4 and MPEG-2 MAIN, LOW, LTP, LD and ER object type AAC files. . This package contains a command line interface to play AAC or MP4 files. Package: faad2-dbg Source: faad2 Version: 2.7-8+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 263 Depends: libfaad2 (= 2.7-8+deb7u1), faad (= 2.7-8+deb7u1) Homepage: http://www.audiocoding.com/ Priority: extra Section: debug Filename: pool/main/f/faad2/faad2-dbg_2.7-8+deb7u1_armhf.deb Size: 225250 SHA256: b1c421188dd6d396dcbec01c69f5fc92d38ed51cc6dd153d11c866da9635ea49 SHA1: 0406aab119acfb440d8b02d6d511da44d97f4aab MD5sum: 35b3198f966785f5f7823bcbb7b13a4e Description: freeware Advanced Audio Decoder - debugging symbols FAAD2 is the fastest ISO AAC audio decoder available. FAAD2 correctly decodes all MPEG-4 and MPEG-2 MAIN, LOW, LTP, LD and ER object type AAC files. . This package contains the debugging symbols for all binary packages. Package: fabric Version: 1.4.2-1.1 Installed-Size: 1432 Maintainer: Chris Lamb Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-paramiko (>= 1.6), python-pkg-resources, python-nose Suggests: libjs-jquery Size: 346332 SHA256: 85bee1b771055c0c0443fcfd0f4627b19bcbd4e6950a7485dd2db62843353260 SHA1: a829af98f5597496462fce13788a45c7bf34b120 MD5sum: 64e81cb978abe314dadc062c72c260b5 Description: Simple Pythonic remote deployment tool Fabric is designed to upload files and run shell commands on a number of servers in parallel or serially. These commands are grouped in tasks (which are regular Python functions) and specified in a 'fabfile.' . It is similar to Capistrano, except it's implemented in Python and doesn't expect you to be deploying Rails applications. Homepage: http://fabfile.org/ Section: net Priority: optional Filename: pool/main/f/fabric/fabric_1.4.2-1.1_all.deb Package: fact++ Version: 1.5.3~dfsg-1 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 910 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), dbus-x11 Suggests: antiword, catdoc, catdvi, djvulibre-bin, poppler-utils | xpdf-utils, rpm, unrtf, unzip Homepage: http://code.google.com/p/fact++-search/ Priority: optional Section: x11 Filename: pool/main/f/fact++/fact++_1.5.3~dfsg-1_armhf.deb Size: 397420 SHA256: 706c71b9f4ba99f470aca1444fda6cba4fcef5018733496d3092a5209d33596a SHA1: c80f570c1e1ba190784737591251c63527621a8b MD5sum: 00bb8f310297445324eb389580fe9007 Description: description logic reasoner for the semantic web FaCT++ is a DL reasoner. It supports OWL DL as well as the forthcoming standard OWL 1.1. FaCT++ is implemented in C++ and uses optimised tableaux algorithms. The tool is probably best known for its compatibility with the tool Protege that helps to formally represent semantics. Package: facter Version: 1.6.10-1 Installed-Size: 214 Maintainer: Puppet Package Maintainers Architecture: all Depends: ruby | ruby-interpreter, ruby-json, net-tools, bind9-host | host Recommends: pciutils, dmidecode Size: 68384 SHA256: 26bfd1ea5aaea4efc7a03c5b3a61d684e83862bfa690a78159e7e9299cf53692 SHA1: eda87c1a8a1f112553f70cf23f3279529fecdfd0 MD5sum: e7c58e9d6fa155cc6bafd84f61551f84 Description: collect and display facts about the system A cross-platform Ruby library for retrieving facts from operating systems. Supports multiple resolution mechanisms, any of which can be restricted to working only on certain operating systems or environments. Facter is especially useful for retrieving things like operating system names, IP addresses, MAC addresses, and SSH keys. . It is easy to extend Facter to include your own custom facts or to include additional mechanisms for retrieving facts. Homepage: http://projects.puppetlabs.com/projects/facter Ruby-Versions: ruby1.8 ruby1.9.1 Tag: devel::lang:ruby, devel::library, implemented-in::ruby, interface::commandline, role::devel-lib, role::program, role::shared-lib Section: admin Priority: optional Filename: pool/main/f/facter/facter_1.6.10-1_all.deb Package: fadecut Version: 0.1.1-1 Installed-Size: 73 Maintainer: Marco Balmer Architecture: all Depends: vorbis-tools | lame, sox, libsox-fmt-mp3, streamripper, bash (>= 3.2-4), id3v2 Size: 15614 SHA256: 9a2b379a9a73b9c5e145f9d2e775afbcf7f514706ca23bcbd8f89c0ba34eb06d SHA1: d10dcdc21e852be8cde82123faa43618141ccdbc MD5sum: d5896966c914ef80fff22083f945a06d Description: toolset to rip audiostreams, cut, fade in/out and tag the resulting audiofiles fadecut is a script to rip audio files from a livestream and to process them automatically. Beginning and ending fo the audio files will be cut and faded in/out. So logos and advertising of radio stations will be automatically removed. . In streaming mode fadecut is ripping audio files using streamripper. The downloaded audio files are cutted using silence detection and then faded in and out. Subsequently, the files are encoded to either ogg or mp3 and tagged with tags (title, artist, genre and comment provided). . In file mode fadecut is just processing already ripped audio files. . An audio file which has already been processed is detected and will not be processed again. Not desired songs can be stored in a separate directory. This files are not processed anymore too. Homepage: http://github.com/micressor/fadecut Tag: implemented-in::shell, role::program, works-with::audio Section: sound Priority: extra Filename: pool/main/f/fadecut/fadecut_0.1.1-1_all.deb Package: fai-client Source: fai Version: 4.0.8~deb7u1 Installed-Size: 303 Maintainer: Thomas Lange Architecture: all Depends: perl, file, libapt-pkg-perl, iproute Recommends: debconf-utils, libgraph-perl Suggests: logtail Size: 128322 SHA256: ec566edb9c84e4ad0400c905e8610ffaafee334df822ed73835614e0244e8488 SHA1: 43c12b0379f799449f5a174439f6e79a2efefea7 MD5sum: bc1d18ca2fb7f19574ce0e9d79f126ad Description: Fully Automatic Installation client package FAI is a non-interactive system to install, customize and manage Linux systems and software configurations on computers as well as virtual machines and chroot environments, from small networks to large infrastructures and clusters. . This is the client package for FAI. It contains the parts necessary to run the softupdate functionality on already installed systems, and is needed for the dirinstall functionality and others on a FAI server. Homepage: http://fai-project.org Tag: admin::automation, admin::cluster, admin::configuring, admin::package-management, implemented-in::perl, implemented-in::shell, interface::commandline, role::program, use::configuring Section: admin Priority: extra Filename: pool/main/f/fai/fai-client_4.0.8~deb7u1_all.deb Package: fai-doc Source: fai Version: 4.0.8~deb7u1 Installed-Size: 1113 Maintainer: Thomas Lange Architecture: all Size: 794858 SHA256: 3404e93fa40dddcfeece1985c79977de8de7cdd38be5becf7ea7a8ca2d537689 SHA1: b644e2f9024da1e385eea98f6624c2c28a7028a8 MD5sum: 4ffd949d235592b69133c2a3a7af838d Description: Documentation for FAI FAI is a non-interactive system to install, customize and manage Linux systems and software configurations on computers as well as virtual machines and chroot environments, from small networks to large infrastructures and clusters. . This is the documentation for FAI in various formats. Homepage: http://fai-project.org Tag: made-of::TODO, made-of::html, made-of::pdf, made-of::postscript, made-of::sgml, role::documentation Section: doc Priority: extra Filename: pool/main/f/fai/fai-doc_4.0.8~deb7u1_all.deb Package: fai-nfsroot Source: fai Version: 4.0.8~deb7u1 Installed-Size: 157 Maintainer: Thomas Lange Architecture: all Depends: fai-client, fai-setup-storage, syslinux-common | syslinux Size: 75266 SHA256: d10633dea731a6c5cd0dd88c97b5856c185c1b28736677b10ab41d78eaced9e8 SHA1: d70bae92d8d3fd924a7a1fa2544b6879a348d57f MD5sum: 708ade3c418530eaf2ff4dee8e9a20a7 Description: Fully Automatic Installation nfsroot package FAI is a non-interactive system to install, customize and manage Linux systems and software configurations on computers as well as virtual machines and chroot environments, from small networks to large infrastructures and clusters. . This package contains the software that is only needed in the FAI nfsroot. Do not install this package on a normal machine. Homepage: http://fai-project.org Tag: role::TODO Section: admin Priority: extra Filename: pool/main/f/fai/fai-nfsroot_4.0.8~deb7u1_all.deb Package: fai-quickstart Source: fai Version: 4.0.8~deb7u1 Installed-Size: 31 Maintainer: Thomas Lange Architecture: all Depends: fai-server, fai-doc, isc-dhcp-server, nfs-kernel-server, tftpd-hpa | atftpd, reprepro, genisoimage, openbsd-inetd | inet-superserver Size: 2050 SHA256: df9e362db1844e10828c94faff9311c4d2fc11efc6feb1719c5b94235500154e SHA1: 1625de5eb7e82351d16c92e07598a44d4d30f8ee MD5sum: b3a8d0a9ab6bc9816a72996a8e335eaa Description: Fully Automatic Installation quickstart package FAI is a non-interactive system to install, customize and manage Linux systems and software configurations on computers as well as virtual machines and chroot environments, from small networks to large infrastructures and clusters. This package setups an install server with some basic examples. It should be removed when creating your own config space. Homepage: http://fai-project.org Tag: role::TODO Section: admin Priority: extra Filename: pool/main/f/fai/fai-quickstart_4.0.8~deb7u1_all.deb Package: fai-server Source: fai Version: 4.0.8~deb7u1 Installed-Size: 214 Maintainer: Thomas Lange Architecture: all Depends: fai-client, debootstrap Recommends: nfs-kernel-server, isc-dhcp-server, tftpd-hpa | atftpd, openssh-server, openssh-client, openbsd-inetd | inet-superserver, libproc-daemon-perl Suggests: debmirror, reprepro, genisoimage, grub, aptitude, perl-tk Size: 61524 SHA256: b2d28e37dbbecbb7247e2763bcf388c1ac673ab22960b33841426063462294f0 SHA1: fe8843efacaab378d00f20fe18087ad5d5620d35 MD5sum: b6cb1aec1f0faf9fd1ed7a88b6e9bb41 Description: Fully Automatic Installation server package FAI is a non-interactive system to install, customize and manage Linux systems and software configurations on computers as well as virtual machines and chroot environments, from small networks to large infrastructures and clusters. . It's a tool for mass unattended Linux installation. You can take one or more virgin systems, turn on the power, and after a few minutes, the systems are installed, and completely configured to your exact needs, without any interaction necessary. . This package is needed for setting up a FAI install server for network installations, for running the dirinstall in a chroot environment, and to build installation CD/DVD's and USB sticks. Homepage: http://fai-project.org Tag: admin::automation, admin::cluster, admin::configuring, admin::install, admin::package-management, implemented-in::perl, implemented-in::shell, interface::commandline, role::TODO, use::configuring Section: admin Priority: extra Filename: pool/main/f/fai/fai-server_4.0.8~deb7u1_all.deb Package: fai-setup-storage Source: fai Version: 4.0.8~deb7u1 Installed-Size: 319 Maintainer: Thomas Lange Architecture: all Depends: perl, libparse-recdescent-perl, liblinux-lvm-perl, parted Recommends: lvm2, mdadm Suggests: dmsetup, cryptsetup, dosfstools, jfsutils, ntfsprogs, reiserfsprogs, xfsprogs Conflicts: fai-client (<< 3.4~) Size: 111116 SHA256: 5db539b9922eae76735ae528f669521af6cec8981d89e94c42b0944367e59713 SHA1: cd90954253ce34aac0f2e85776b0a39eca43d0ed MD5sum: 837c4c53341893c135824a460e068f25 Description: automatically prepare storage devices Using FAI disk_config files, setup-storage computes effective partition and volume sizes and executes the necessary commands to configure storage devices. It manages disk drives as well as RAID and LVM volumes. It handles all file systems supported by parted(8) as well as ntfs but is flexible enough to be extended to further types as well. Once the storage devices are prepared, an appropriate fstab(5) file is generated. . This package provides the setup-storage utility as separate package so it can be used independent from FAI as well. Homepage: http://fai-project.org Tag: admin::configuring, admin::filesystem, admin::install, hardware::storage, implemented-in::perl, interface::commandline, role::program, scope::utility Section: admin Priority: extra Filename: pool/main/f/fai/fai-setup-storage_4.0.8~deb7u1_all.deb Package: faifa Version: 0.2~svn82-1 Architecture: armhf Maintainer: Damien Raude-Morvan Installed-Size: 48 Depends: libc6 (>= 2.13-28), libfaifa0, libpcap0.8 (>= 0.9.8), libssl1.0.0 (>= 1.0.0) Homepage: https://dev.open-plc.org/ Priority: extra Section: net Filename: pool/main/f/faifa/faifa_0.2~svn82-1_armhf.deb Size: 8742 SHA256: 6f25c2baf497fb8479646de668acb3ead9dfff2d9dc7bb07d0fb1b2092dfa651 SHA1: dd30e5eb232a5d48dac3a64ea0826dc7694fa52e MD5sum: c1d347a09ef6267a254bb41b1bb66f77 Description: manage HomePlug 1.0/AV devices via ethernet frames Faifa is a network tool to remotely manage HomePlug 1.0 and HomePlug AV devices. . HomePlug 1.0 and HomePlug AV are specifications of Power Line Communication (PLC). PLC is a system for carrying data - network packets - over power line. . This tool can configure, flash and collect statistics on thoses devices using private and public Ethernet frames. . This package contains Faifa tool. Package: fail2ban Version: 0.8.6-3wheezy3 Installed-Size: 341 Maintainer: Yaroslav Halchenko Architecture: all Depends: python (>= 2.4), python-central (>= 0.6.11), lsb-base (>= 2.0-7) Suggests: mailx Size: 104460 SHA256: 815b28ffdfcfbf0c8983facad46d54edffce63df2269ef9dc79b60886e747794 SHA1: f77ee25d8ecc7b81f986f0630046785b21f0602d MD5sum: e0b428dc54c4911ec1acef7e20040bc0 Description: ban hosts that cause multiple authentication errors Fail2ban monitors log files (e.g. /var/log/auth.log, /var/log/apache/access.log) and temporarily or persistently bans failure-prone addresses by updating existing firewall rules. Fail2ban allows easy specification of different actions to be taken such as to ban an IP using iptables or hostsdeny rules, or simply to send a notification email. . By default, it comes with filter expressions for various services (sshd, apache, qmail, proftpd, sasl etc.) but configuration can be easily extended for monitoring any other text file. All filters and actions are given in the config files, thus fail2ban can be adopted to be used with a variety of files and firewalls. Homepage: http://www.fail2ban.org Python-Version: current, >= 2.4 Recommends: iptables, whois, python-gamin Section: net Priority: optional Filename: pool/main/f/fail2ban/fail2ban_0.8.6-3wheezy3_all.deb Package: failmalloc Version: 1.0-2 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 60 Depends: libc6 (>= 2.4) Homepage: http://www.nongnu.org/failmalloc Priority: optional Section: devel Filename: pool/main/f/failmalloc/failmalloc_1.0-2_armhf.deb Size: 9646 SHA256: 586d336ca1226196e3d9375aa981f7acd52b27998b8aa03f27e09a7c96598f98 SHA1: cc8915e600d308da7d74af92d5fd1b366330f2e7 MD5sum: dd2a96d4636783fd3c5bce8493e578a9 Description: Memory allocation failure crash-test tool The idea behind failmalloc is to demonstrate what really happens if memory allocation fails. Unfortunately, most programs in this world are not robust, mostly because programmers are careless, but sometimes because programmers are too brave. Regardless of whatever reasons, the most critical problem is in that there is no good way to see such failures in reality, until a program is deployed into a heavy production system. Clearly, this is too late! . Failmalloc addresses this problem. Failmalloc inserts hooks into your program, which induces always, often or sometimes failures of memory allocation calls. The functions malloc, realloc and memalign are hooked, but other functions which use one of these functions are also affected. Package: fair Version: 0.5.3-1 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 137 Depends: libavl1, libc6 (>= 2.7), libgcc1 (>= 1:4.4.0) Priority: extra Section: net Filename: pool/main/f/fair/fair_0.5.3-1_armhf.deb Size: 37706 SHA256: cacfad9ac29ea9ca6b867dc18de797b6686bd387fa7764595afd8d91b93d2491 SHA1: 27633b79d93189623982fafe303128c29bb7440b MD5sum: ca725ea5a7c066a4228aa95ab711d6c4 Description: high availability load balancer for TCP connections Fair is a system for implementing load balancers; it consists of two daemons: carrousel and transponder. Carrousel, which performs load balancing services, typically runs on a load balancer; transponder runs on the servers. . Fair understands network topologies where one node is reachable via more than one IP address; this allows not only the servers, but the network to be redundant as well. This distinguishes fair from other load balancers. Package: fairymax Version: 4.8q-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 139 Depends: libc6 (>= 2.7) Homepage: http://home.hccnet.nl/h.g.muller/CVfairy.html Priority: extra Section: games Filename: pool/main/f/fairymax/fairymax_4.8q-2_armhf.deb Size: 42074 SHA256: b6577c73a02891889f4cbf180ae62ee6721adfc766952fdbe941b2e009520f32 SHA1: e5c0953028bec2206e0650900572f7f6610c9784 MD5sum: c6a88558ff082ea02bf4bdd285d31466 Description: xboard compatible chess and chess-variant engine Fairymax is a program that plays chess and chess variants. It uses the xboard/winboard chess-engine protocol to communicate. Apart from 'regular' chess (also known as the Mad-Queen variant), it can play Capablanca chess, gothic chess, knightmate, cylinder chess, berolina chess, superchess and courier chess. Package: fake Version: 1.1.11-1 Architecture: armhf Maintainer: Simon Horman Installed-Size: 115 Depends: libc6 (>= 2.4) Priority: optional Section: admin Filename: pool/main/f/fake/fake_1.1.11-1_armhf.deb Size: 37592 SHA256: b73b94ca386ccf3078586688ad0b0e6d781451e3b7b9badbb6b2d8ce72395436 SHA1: 2977f19633e4a69b276cf6cef5eb1e7986a849a7 MD5sum: 4917e962eb8c045a1518fdfb3832cf37 Description: IP address takeover tool Fake is a utility that enables the IP address be taken over by bringing up a second interface on the host machine and using gratuitous arp. Designed to switch in backup servers on a LAN. Package: fake-hwclock Version: 0.5 Installed-Size: 58 Maintainer: Steve McIntyre <93sam@debian.org> Architecture: all Suggests: cron, ntp Size: 5326 SHA256: cf9603915082341e55e7a556377214ab25cd5d64454dcd61a06a59f9778eed04 SHA1: 1c0a4703018934e191bcfb413466e72f6fd9ea51 MD5sum: 33197bd152cea18f0c851aba34394fe0 Description: Save/restore system clock on machines without working RTC hardware Some machines don't have a working realtime clock (RTC) unit, or no driver for the hardware that does exist. fake-hwclock is a simple set of scripts to save the kernel's current clock periodically (including at shutdown) and restore it at boot so that the system clock keeps at least close to realtime. This will stop some of the problems that may be caused by a system believing it has travelled in time back to 1970, such as needing to perform filesystem checks at every boot. . On top of this, use of NTP is still recommended to deal with the fake clock "drifting" while the hardware is halted or rebooting. Section: admin Priority: extra Filename: pool/main/f/fake-hwclock/fake-hwclock_0.5_all.deb Package: fakechroot Version: 2.16-1 Installed-Size: 101 Maintainer: Piotr Roszatycki Architecture: all Depends: libfakechroot (>= 2.16-1), libfakechroot (<< 2.16-1.1~) Size: 26218 SHA256: 3bd15d08858be7f10d8c1fb8311e8321e50de966010d892162abab5dcadc2a27 SHA1: b497644089e46c0d18d8944aaa3855635dd2ef1b MD5sum: e28b6a4e17dfbef2f14b01ed254d83c1 Description: gives a fake chroot environment - utilities The fakechroot preloads a library which overrides libc functions, so it is possible to use root-specific tools without root privileges. . In fake chroot you can install i.e. Debian bootstrap, create developer's environment and build packages inside chroot'ed system using standard non-root user account. Homepage: http://fakechroot.alioth.debian.org/ Tag: devel::packaging, interface::commandline, role::program, role::shared-lib, scope::utility, suite::debian Section: utils Priority: optional Filename: pool/main/f/fakechroot/fakechroot_2.16-1_all.deb Package: fakepop Version: 11 Architecture: armhf Maintainer: Pedro Zorzenon Neto Installed-Size: 64 Depends: libc6 (>= 2.4), libglib2.0-0 (>= 2.12.0), openbsd-inetd | inet-superserver Priority: extra Section: mail Filename: pool/main/f/fakepop/fakepop_11_armhf.deb Size: 10534 SHA256: fcb8075780c66a2952d85ff31a83aeecdcf1111a6f3778a9504fcd0b38804434 SHA1: 514fe1bf7bb6122c6408ea919ea1198416e5f7e0 MD5sum: 513d7457d6428b1afdad12303bef4887 Description: fake pop3 daemon. delivers same messages to all users fakepop is a fake pop3 daemon. It returns always the same messages to all users, it does not care about usernames and passwords. All user/pass combinations are accepted. . The main purpose of fakepop is to advice users that your server only accepts pop3-ssl and they have wrongly configured pop3 without ssl. You can customize messages in /etc/fakepop/ directory to teach your users how they should configure their mail clients to use pop3-ssl instead of pop3. . WARNING: some pop3-ssl daemons require a pop3 non-ssl package to be installed. That is why fakepop does not conflicts with pop3-server. courier-pop-ssl is one of these packages (it requires courier-pop). Before installing fakepop, be sure to disable other pop3 daemons. For courier, set POP3DSTART=NO in /etc/courier/pop3d Package: fakeroot Version: 1.18.4-2 Architecture: armhf Maintainer: Clint Adams Installed-Size: 301 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Priority: optional Section: utils Filename: pool/main/f/fakeroot/fakeroot_1.18.4-2_armhf.deb Size: 103916 SHA256: 0e8502668c05d069e2887806b75af8bd4c21819387483d62cdefe5fca2b92d1a SHA1: 9c1afc561aead613ad9bbcc6a5e42d5d9b4375c7 MD5sum: d08777ef578503bb3af2b59a732537b4 Description: tool for simulating superuser privileges fakeroot provides a fake "root environment" by means of LD_PRELOAD and SysV IPC (or TCP) trickery. It puts wrappers around getuid(), chown(), stat(), and other file-manipulation functions, so that unprivileged users can (for instance) populate .deb archives with root-owned files; various build tools use fakeroot for this by default. Package: faketime Version: 0.8-1 Architecture: armhf Maintainer: Daniel Kahn Gillmor Installed-Size: 84 Depends: libc6 (>= 2.4) Homepage: http://www.code-wizards.com/projects/libfaketime/ Priority: extra Section: utils Filename: pool/main/f/faketime/faketime_0.8-1_armhf.deb Size: 20906 SHA256: cd67c98bd97af3661910aee550b884949633430a1e4ed834a6ff337a764c0f54 SHA1: 93ab8a797eaacf030d0bdc259d584cf06938b953 MD5sum: eac7abf97caea4a6cfcad84c9bd7e9f2 Description: report faked system time to programs The Fake Time Preload Library (FTPL, a.k.a. libfaketime) intercepts various system calls which programs use to retrieve the current date and time. It can then report faked dates and times (as specified by you, the user) to these programs. This means you can modify the system time a program sees without having to change the time system-wide. FTPL allows you to specify both absolute dates (e.g., 2004-01-01) and relative dates (e.g., 10 days ago). Package: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 248 Depends: libfalcon-engine1 (= 0.9.6.9-git20120606-2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.falconpl.org Priority: optional Section: interpreters Filename: pool/main/f/falconpl/falconpl_0.9.6.9-git20120606-2_armhf.deb Size: 100756 SHA256: b250a5c8cf13fe00b7b5423460f8ec1f89f30200b0e08ed4e6f4bf54db59a62b SHA1: 0aa22cfbfec4e6ca1c178b5369b6c92d173b4953 MD5sum: a0ebaf797b5b502b090afff5e70da911 Description: Falcon P.L. - command line tools Falcon is a small, fast and powerful embeddable programming language. . This is the set of command line tools that can be used to run stand-alone scripts and applications. Package: falconpl-curl Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 174 Depends: falconpl (= 0.9.6.9-git20120606-2), libc6 (>= 2.13-28), libcurl3-nss (>= 7.23.1), libfalcon-engine1 (>= 0.9.6.9-git20120606), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: http://www.falconpl.org Priority: optional Section: devel Filename: pool/main/f/falconpl/falconpl-curl_0.9.6.9-git20120606-2_armhf.deb Size: 68942 SHA256: 2fdd140697d4adade3eefe239a2dbd1c5739a66b2987c289bfefc69c2896fadc SHA1: aa848020f2116c9b5ab0031ca219781fd08839e2 MD5sum: 8a1c90883f731482031736e2e5c8cb3f Description: Curl bindings for Falcon P.L Falcon is a small, fast and powerful embeddable programming language. . This package provides native Curl module for Falcon P.L. which provides binding for famous libcurl library. Package: falconpl-dbg Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 383 Depends: falconpl (= 0.9.6.9-git20120606-2) Suggests: falconpl-dev (= 0.9.6.9-git20120606-2) Homepage: http://www.falconpl.org Priority: extra Section: debug Filename: pool/main/f/falconpl/falconpl-dbg_0.9.6.9-git20120606-2_armhf.deb Size: 307564 SHA256: e9465c1bad2d353bea218f334b1ced6743073995b37668199499798164511e62 SHA1: f528404ad3a0ba3fbe57891a1f9204c5a52a57cf MD5sum: 47fc7aae25016e38e440f85017a39673 Description: Falcon P.L. - debugging symbols Falcon is a small, fast and powerful embeddable programming language. . This is the set of command line tools that can be used to run stand-alone scripts and applications. . This package contains the debugging symbols for the falconpl package. Package: falconpl-dbi Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 150 Depends: falconpl (= 0.9.6.9-git20120606-2), libc6 (>= 2.13-28), libfalcon-engine1 (>= 0.9.6.9-git20120606), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.falconpl.org Priority: optional Section: devel Filename: pool/main/f/falconpl/falconpl-dbi_0.9.6.9-git20120606-2_armhf.deb Size: 60768 SHA256: 24e1c06d14dca63b7f86e5b209343280f028d8e2d63a92959ab1683ce062ff8b SHA1: fa3cb866d2ed8c688abd7ef4dc6c6b14808a242e MD5sum: 36f02e74bc9f7fa588b26c4d2d9f8283 Description: Database Abstraction Layer for Falcon P.L Falcon is a small, fast and powerful embeddable programming language. . This package provides database abstraction layer for Falcon P.L. This is just an abstract module, for specific DB search for falconpl-dbi-dbname package. Package: falconpl-dbi-firebird Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 158 Depends: falconpl-dbi (= 0.9.6.9-git20120606-2), libc6 (>= 2.13-28), libfalcon-engine1 (>= 0.9.6.9-git20120606), libfbclient2 (>= 2.5.0.25784~ReleaseCandidate1.ds2), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.falconpl.org Priority: optional Section: devel Filename: pool/main/f/falconpl/falconpl-dbi-firebird_0.9.6.9-git20120606-2_armhf.deb Size: 64190 SHA256: 9ee222f6d179d0b1e201e49abd8e82732e4b2223b61af90d64b45fb999bfc47e SHA1: b9c32dda89e6af8652638065bfebebd6826afeaf MD5sum: 87f1c595c881b95b7d413025004d618d Description: Firebird SQL database abstraction layer for Falcon P.L Falcon is a small, fast and powerful embeddable programming language. . This package provides Firebird SQL database abstraction layer for Falcon P.L. allowing connection to Firebird Server from Falcon programs. Package: falconpl-dbi-mysql Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 162 Depends: falconpl-dbi (= 0.9.6.9-git20120606-2), libc6 (>= 2.13-28), libfalcon-engine1 (>= 0.9.6.9-git20120606), libgcc1 (>= 1:4.4.0), libmysqlclient18 (>= 5.5.24+dfsg-1), libstdc++6 (>= 4.4.0) Homepage: http://www.falconpl.org Priority: optional Section: devel Filename: pool/main/f/falconpl/falconpl-dbi-mysql_0.9.6.9-git20120606-2_armhf.deb Size: 65256 SHA256: 3c133d4d84e1882334189ec8973f6d3c4d8a96c607e5e2835beb04b44aaafb3c SHA1: 24e43d644f04c78dd268c58b420a1f9d9d89b138 MD5sum: a611ac0abedcd9c680564c7acf2bb3eb Description: MySQL database abstraction layer for Falcon P.L Falcon is a small, fast and powerful embeddable programming language. . This package provides MySQL database abstraction layer for Falcon P.L. allowing connection to MySQL database from Falcon programs. Package: falconpl-dbi-postgresql Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 146 Depends: falconpl-dbi (= 0.9.6.9-git20120606-2), libc6 (>= 2.13-28), libfalcon-engine1 (>= 0.9.6.9-git20120606), libgcc1 (>= 1:4.4.0), libpq5, libstdc++6 (>= 4.4.0) Homepage: http://www.falconpl.org Priority: optional Section: devel Filename: pool/main/f/falconpl/falconpl-dbi-postgresql_0.9.6.9-git20120606-2_armhf.deb Size: 58340 SHA256: e0f231dbfc919d992bc0b2684d8a97a1d892dc7605f3a9dff4455dba0bdc8ef2 SHA1: 7b0948d23ecd79347c9ab9f006b5fff342aab8de MD5sum: c7a2cc0b0475aaecbcbc423766d1199b Description: PostgreSQL database abstraction layer for Falcon P.L Falcon is a small, fast and powerful embeddable programming language. . This package provides PostgreSQL database abstraction layer for Falcon P.L. allowing connection to PostgreSQL database from Falcon programs. Package: falconpl-dbi-sqlite3 Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 150 Depends: falconpl-dbi (= 0.9.6.9-git20120606-2), libc6 (>= 2.13-28), libfalcon-engine1 (>= 0.9.6.9-git20120606), libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0) Homepage: http://www.falconpl.org Priority: optional Section: devel Filename: pool/main/f/falconpl/falconpl-dbi-sqlite3_0.9.6.9-git20120606-2_armhf.deb Size: 58962 SHA256: 8b5d96bc7f5ddb4f4967303ee15c3dc860dfc07a7b857ded1eff68e93014b68b SHA1: 1d9784e2155fc2c851537053220dbcd88aadad21 MD5sum: 76db7940650f93b8413decec2b868a41 Description: SQLite3 database abstraction for Falcon P.L Falcon is a small, fast and powerful embeddable programming language. . This package provides SQLite3 database abstraction layer for Falcon P.L. allowing connection to SQLite3 database from Faclon programs. Package: falconpl-dbus Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 130 Depends: falconpl (= 0.9.6.9-git20120606-2), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libfalcon-engine1 (>= 0.9.6.9-git20120606), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: http://www.falconpl.org Priority: optional Section: devel Filename: pool/main/f/falconpl/falconpl-dbus_0.9.6.9-git20120606-2_armhf.deb Size: 52112 SHA256: adbf229e08ad1392dbb55fddb1c975e303654fd2de922ffea4a5048beb715c9c SHA1: 0aa0ee176ddb3496a813cbde3de5e477477a0ab7 MD5sum: 49594a40d539d4f01e3be615c8f4fe44 Description: DBus client functionality for Falcon scripts This module exposes DBus client functionality to Falcon scripts, more function allowing creation of DBus servers are under development. . This module is under development and subject to sudden changes. Package: falconpl-dev Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 1235 Depends: falconpl (= 0.9.6.9-git20120606-2), libc6 (>= 2.13-28), libfalcon-engine1 (>= 0.9.6.9-git20120606), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.falconpl.org Priority: optional Section: devel Filename: pool/main/f/falconpl/falconpl-dev_0.9.6.9-git20120606-2_armhf.deb Size: 358500 SHA256: 3842603e59dcdcff25f8c50329eea1597dedf619da080d0775da860a6986bf75 SHA1: e3f27722de4e29734f68142c08d402729a36a1ae MD5sum: 4c4d7b339434926f97e5cd94c4184036 Description: Falcon P.L. - development files Falcon is a small, fast and powerful embeddable programming language. . These are the files needed to develop new Falcon modules or to embed the Falcon Programming Language in other applications. Package: falconpl-dmtx Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 109 Depends: falconpl (= 0.9.6.9-git20120606-2), libc6 (>= 2.13-28), libdmtx0a (>= 0.7.0), libfalcon-engine1 (>= 0.9.6.9-git20120606), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.falconpl.org Priority: optional Section: devel Filename: pool/main/f/falconpl/falconpl-dmtx_0.9.6.9-git20120606-2_armhf.deb Size: 44246 SHA256: f07247bbb9e1a35bb204fe69830ba99e19eb39968a01f8a069ae80d3bf65df4a SHA1: 7879772c78854b2933db7c6d94502072b5673286 MD5sum: 25d60cc17f2ffb19645211b32901a362 Description: Falcon module for reading Data Matrix barcodes Data Matrix 2D barcodes are two-dimensional symbols that hold a dense pattern of data with built-in error correction. . This module allows Falcon scripts to read or write Data Matrix barcodes. Package: falconpl-gd2 Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 242 Depends: falconpl (= 0.9.6.9-git20120606-2), libc6 (>= 2.13-28), libfalcon-engine1 (>= 0.9.6.9-git20120606), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgd2-xpm (>= 2.0.36~rc1~dfsg), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Homepage: http://www.falconpl.org Priority: optional Section: devel Filename: pool/main/f/falconpl/falconpl-gd2_0.9.6.9-git20120606-2_armhf.deb Size: 79096 SHA256: 9ee48c66379dce81eea1c186862714214b50f9183f1194e8897f6fbfcd72fbc2 SHA1: 286f1392d7c032bedb604198b146a4c5837acb27 MD5sum: f51848fd8218e3874d6bd7b79b5a3a01 Description: Falcon graphic image manipulation module This module provides wrapper against LibGD graphics manipulation library. Module is prepared by static linking against libGD fully configured with Jpeg, TrueType, FontConfig and every other optional package support. Package: falconpl-gtk Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 1845 Depends: falconpl (= 0.9.6.9-git20120606-2), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfalcon-engine1 (>= 0.9.6.9-git20120606), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.20.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.3.0) Homepage: http://www.falconpl.org Priority: optional Section: devel Filename: pool/main/f/falconpl/falconpl-gtk_0.9.6.9-git20120606-2_armhf.deb Size: 568722 SHA256: 7666d4996746cd96805fb48d968c773642271f1cebff762d86910c3be0227331 SHA1: ab661e63eb8700021d693dd26f96dec9a339576c MD5sum: 26b2334be699f3161ba1f4c47bc91dfa Description: Falcon GTK+ wrapper module GTK+ is a multiplatform toolkit for creating graphical user interface. . This Falcon module allow one to create GTK+ application using Falcon scripting. Package: falconpl-hpdf Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 259 Depends: falconpl (= 0.9.6.9-git20120606-2), libc6 (>= 2.13-28), libfalcon-engine1 (>= 0.9.6.9-git20120606), libgcc1 (>= 1:4.4.0), libhpdf-2.2.1, libstdc++6 (>= 4.4.0) Homepage: http://www.falconpl.org Priority: optional Section: devel Filename: pool/main/f/falconpl/falconpl-hpdf_0.9.6.9-git20120606-2_armhf.deb Size: 93672 SHA256: fb6a11c80bfe0d005572a99701b7ca766f65199a55463879b5857f010c7e4ceb SHA1: be7d1dee777d77aa0e65311d1710b437fa0c0b6c MD5sum: 4e2cb198dba05f95b4d73908514c4437 Description: Falcon module for generating PDF files Haru is a free, cross platform, open source C library for generating PDF files. This Falcon module is a wrapper on the his C library which allows generation of PDF files from Faclon scripts. Package: falconpl-mongodb Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 182 Depends: falconpl (= 0.9.6.9-git20120606-2), libc6 (>= 2.13-28), libfalcon-engine1 (>= 0.9.6.9-git20120606), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.falconpl.org Priority: optional Section: devel Filename: pool/main/f/falconpl/falconpl-mongodb_0.9.6.9-git20120606-2_armhf.deb Size: 76796 SHA256: a3093f40a007e35dd03cd3b33ce2edb0e7bc1e0d0e551653ddaad6590d039754 SHA1: 44e0ba4d3d8a572fc2db55959c5abe0687118236 MD5sum: da6b636b0a2353c626f2ba55e1e160e6 Description: MongoDB bindings for Falcon P.L Falcon is a small, fast and powerful embeddable programming language. . This package provides native MongoDB module for Falcon P.L. which allows connection to MongoDB from Falcon P.L Package: falconpl-sdl Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 388 Depends: falconpl (= 0.9.6.9-git20120606-2), libc6 (>= 2.13-28), libfalcon-engine1 (>= 0.9.6.9-git20120606), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Homepage: http://www.falconpl.org Priority: optional Section: devel Filename: pool/main/f/falconpl/falconpl-sdl_0.9.6.9-git20120606-2_armhf.deb Size: 149272 SHA256: 19717e1cf4121c5a2a43e95041c9dc8fa9dcaa2ad9a27907ead99a881f88cd4f SHA1: ea9deb03b7c87bb14e2b581c33f490564d2ad2b0 MD5sum: f2f02ce9e46a3dc25528612de791b3f2 Description: Falcon SDL wrapper module SDL is a library that allows programs portable low level access to a video framebuffer, audio output, mouse, and keyboard. . This Falcon module provides SDL bindings for Falcon. Package: falselogin Version: 0.3-4 Architecture: armhf Maintainer: Kevin Zambrano Installed-Size: 51 Depends: libc6 (>= 2.4) Priority: optional Section: utils Filename: pool/main/f/falselogin/falselogin_0.3-4_armhf.deb Size: 8928 SHA256: 489d85aa35557a8c42eaf2c865cddb71e237ac5067e02aa531016f8f1f108f63 SHA1: 73d159d9b352b330cd7f689cbb91cbdef5defc6e MD5sum: 5221563472cdaff00d294f3b789acaa3 Description: false login shell Strange kind of 'shell' which don't let the user to log in. Before the next login prompt falselogin gives some info to the user. Package: fam Version: 2.7.0-17 Architecture: armhf Maintainer: Chuan-kai Lin Installed-Size: 178 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), lsb-base (>= 3.2-13), portmap, update-inetd Homepage: http://oss.sgi.com/projects/fam/ Priority: optional Section: admin Filename: pool/main/f/fam/fam_2.7.0-17_armhf.deb Size: 64190 SHA256: 868355a4965ff0e15d81987a94695da77ad26b9f2b98a77667a99b08a220d226 SHA1: c6f3e08771c840c87809ad45ba205a148e1ab13c MD5sum: 93fc15a1d90020d13bc1f7cc4ac588c1 Description: File Alteration Monitor FAM monitors files and directories, notifying interested applications of changes. . This package provides a server that can monitor a given list of files and notify applications through a socket. If the kernel supports dnotify (kernels >= 2.4.x) FAM is notified directly by the kernel. Otherwise it has to poll the files' status. FAM can also provide an RPC service for monitoring remote files (such as on a mounted NFS filesystem). Package: famfamfam-flag-gif Source: famfamfam-flag Version: 0.1-2 Installed-Size: 1040 Maintainer: Dmitry E. Oboukhov Architecture: all Size: 91704 SHA256: 1b14adaa9d55af18fcfdd19acd29242cb733b8a81b1c9e3274e1e5fea2d12c47 SHA1: fd38f94d792b9cf800276c04516a0e7746c7b90c MD5sum: 6800b3f679bbd66818f42715159b46f3 Description: Free collection of countries' flags All flags which are contained in this package are named using the ISO3166-1 alpha-2 country codes where appropriate. . This package contains flags in GIF format. Homepage: http://www.famfamfam.com/lab/icons/flags/ Tag: role::app-data Section: misc Priority: extra Filename: pool/main/f/famfamfam-flag/famfamfam-flag-gif_0.1-2_all.deb Package: famfamfam-flag-png Source: famfamfam-flag Version: 0.1-2 Installed-Size: 1032 Maintainer: Dmitry E. Oboukhov Architecture: all Size: 110556 SHA256: fbb4c1641eba2fc2dafc869e9b2a88ef3a488521b1b8905745148ca7a47d6127 SHA1: 4457b9ce406b207da56a4e912cff2360c38a5305 MD5sum: 44f0c82db55519e3654e2ccf5a0ccba8 Description: Free collection of countries' flags All flags which are contained in this package are named using the ISO3166-1 alpha-2 country codes where appropriate. . This package contains flags in PNG format. Homepage: http://www.famfamfam.com/lab/icons/flags/ Tag: role::app-data Section: misc Priority: extra Filename: pool/main/f/famfamfam-flag/famfamfam-flag-png_0.1-2_all.deb Package: fancontrol Source: lm-sensors Version: 1:3.3.2-2+deb7u1 Installed-Size: 69 Maintainer: Aurelien Jarno Architecture: all Replaces: lm-sensors (<< 1:3.1.1-6) Depends: lsb-base (>= 3.2-13) Conflicts: lm-sensors (<< 1:3.1.1-6) Size: 43422 SHA256: b928234d44128510a3593e9b24f0d897e2dbacdf7cc9ff1d59231e7c0cc76d4a SHA1: 7566b4896df87c9275cf26eb711c4553b2a9939c MD5sum: 91bd1d0effe59280badf7620a84309c4 Description: utilities to read temperature/voltage/fan sensors Lm-sensors is a hardware health monitoring package for Linux. It allows you to access information from temperature, voltage, and fan speed sensors. It works with most newer systems. . This package contains a daemon that calculates fan speeds from temperatures and sets the corresponding PWM outputs to the computed values. Homepage: http://www.lm-sensors.org Tag: admin::hardware, hardware::TODO, implemented-in::shell, interface::daemon, role::program, scope::utility, use::driver Section: utils Priority: extra Filename: pool/main/l/lm-sensors/fancontrol_3.3.2-2+deb7u1_all.deb Package: fapg Version: 0.41-1 Architecture: armhf Maintainer: Antoine Jacquet Installed-Size: 81 Depends: libc6 (>= 2.4), liburiparser1 (>= 0.6.0) Homepage: http://royale.zerezo.com/fapg/ Priority: optional Section: sound Filename: pool/main/f/fapg/fapg_0.41-1_armhf.deb Size: 24206 SHA256: e49f18177fe972c975b17178e4f14a8b491e54078e7572ffda7e6439264b58eb SHA1: f3353e9e1ebba34348f66c64ca77bae79ec37f55 MD5sum: cafb9b126fcadd3d780ff8a301a720b7 Description: Fast Audio Playlist Generator FAPG is a tool to generate list of audio files (Wav, MP3, Ogg, etc) in various formats (M3U, PLS, XSPF, HTML, etc). Package: farpd Version: 0.2-11 Architecture: armhf Maintainer: Javier Fernández-Sanguino Peña Installed-Size: 73 Depends: libc6 (>= 2.13-28), libdumbnet1 (>= 1.8), libevent-2.0-5 (>= 2.0.10-stable), libpcap0.8 (>= 0.9.8) Priority: optional Section: net Filename: pool/main/f/farpd/farpd_0.2-11_armhf.deb Size: 14802 SHA256: 78e2e82ef20e00513dec799301462c72441d1aba99a3df1aac49b535b91b276f SHA1: 818de5142941e319b7f1ea7838331c6b388ae77a MD5sum: 228238b5bcb8e3c1c325534c0f5e6760 Description: Fake ARP user space daemon This ARP daemon replies to any ARP request for a set of IP addresses with the hardware MAC address of one of the interfaces of the server after determining that no other host in the network is claiming that IP. . This enables a single host to claim all unassigned addresses on a LAN for network monitoring or simulation purposes. Package: fastdep Version: 0.16-13 Architecture: armhf Maintainer: Robert Lemmen Installed-Size: 146 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Priority: optional Section: devel Filename: pool/main/f/fastdep/fastdep_0.16-13_armhf.deb Size: 54022 SHA256: 29f455077e819ee45bff57f846f0452f11b73580cb555b3d9014e9e9bd1cccb2 SHA1: 32cee0cacfa6307cbc2a03effbe9705f22c622b0 MD5sum: 191021641fd5d257c7ce826cddc2d4b5 Description: fast dependency generator for C/C++ files Efficiently generates dependency information for C and C++ files, suitable for inclusion in makefiles, and optionally generates dependency information for its own output. This can be used to create robust, fast and largely automated build rules. Package: fastdnaml Version: 1.2.2-10 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 241 Depends: libc6 (>= 2.7) Suggests: phylip Homepage: ftp://ftp.bio.indiana.edu/molbio/evolve/fastdnaml/fastDNAml.html Priority: optional Section: science Filename: pool/main/f/fastdnaml/fastdnaml_1.2.2-10_armhf.deb Size: 63058 SHA256: 7650b9cb8f2a32a63f367db43e7a6113ac9ba8549c1cb7a8c72120d6ca6f4c1b SHA1: 2e014fb0a6e386ca0351a1619d21c97dd839aa15 MD5sum: 3dd13eafdf187c15427c71e719b2f0bb Description: Tool for construction of phylogenetic trees of DNA sequences fastDNAml is a program derived from Joseph Felsenstein's version 3.3 DNAML (part of his PHYLIP package). Users should consult the documentation for DNAML before using this program. . fastDNAml is an attempt to solve the same problem as DNAML, but to do so faster and using less memory, so that larger trees and/or more bootstrap replicates become tractable. Much of fastDNAml is merely a recoding of the PHYLIP 3.3 DNAML program from PASCAL to C. . Note that the homepage of this program is not available any more and so this program will probably not see any further updates. Package: fastforward Version: 1:0.51-3 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 195 Depends: libc6 (>= 2.13-28) Recommends: qmail (>> 1.06) Priority: extra Section: mail Filename: pool/main/f/fastforward/fastforward_0.51-3_armhf.deb Size: 57582 SHA256: 14f49c61eb40e5be41372f47812cb11cc3ac4bebabcdb7f66d0e8d44bd4160d5 SHA1: c63d3d256b79a77c69de89307c4a2a341296056c MD5sum: 275b9c0331fbfd91731cf6ad9e95a2f0 Description: handles qmail forwarding according to a cdb database It can create forwarding databases from a sendmail-style /etc/aliases or from user-oriented virtual-domain tables. . fastforward supports external mailing lists, stored in a binary format for fast access. It has a tool to convert sendmail-style include files into binary lists. . fastforward is more reliable than sendmail. sendmail can't deal with long aliases, or deeply nested aliases, or deeply nested include files; fastforward has no limits other than memory. sendmail can produce corrupted alias files if the system crashes; fastforward is crashproof. . fastforward's database-building tools are much faster than sendmail's newaliases. Even better, fastforward deliveries don't pause while the database is being rebuilt. . fastforward does not support insecure sendmail-style program deliveries from include files; you can use qmail's secure built-in mechanisms instead. fastforward does support program deliveries from /etc/aliases. Package: fastjar Version: 2:0.98-3 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 112 Depends: dpkg (>= 1.15.4) | install-info, libc6 (>= 2.4), zlib1g (>= 1:1.1.4) Priority: extra Section: misc Filename: pool/main/f/fastjar/fastjar_0.98-3_armhf.deb Size: 48196 SHA256: b8abb025bfc2ae21fa5eab74ecb0813020d4fb999a821be6c14b9b192c0e7f4a SHA1: 61290122e8e663f9bbd3bdd9ecbcc3a5d96f5012 MD5sum: 5f570055be0e8f1c9fdaf9f9be7ba99c Description: Jar creation utility Replacement for Suns .jar creation program. It is written in C instead of java and is tons faster. Package: fastjet-doc Source: fastjet Version: 3.0.2+dfsg-2 Installed-Size: 15006 Maintainer: Debian Science Maintainers Architecture: all Depends: libjs-jquery Size: 3306178 SHA256: 44df329cce1514d90ef1115304de5f41a1abc48ee9f827ef891a54eb127492e0 SHA1: b45ca160906115d4a8101793b2b00f2a1cdcfecf MD5sum: 80fd6944438cc453e9c194848cc57719 Description: Documentation of FastJet The FastJet package provides a fast implementation of several longitudinally invariant sequential recombination jet algorithms, in particular the longitudinally invariant kt jet algorithm, the inclusive longitudinally invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive anti-kt algorithm. . FastJet also provides a uniform interface to external jet finders via a plugin mechanism, and tools for calculating jet areas and performing background (pileup/UE) subtraction. . This package provides documentation of FastJet. Homepage: http://fastjet.fr/ Section: doc Priority: optional Filename: pool/main/f/fastjet/fastjet-doc_3.0.2+dfsg-2_all.deb Package: fastjet-examples Source: fastjet Version: 3.0.2+dfsg-2 Installed-Size: 637 Maintainer: Debian Science Maintainers Architecture: all Depends: libfastjetplugins-dev (>= 3.0.2+dfsg-2), libfastjettools-dev (>= 3.0.2+dfsg-2), libfastjet-dev (>= 3.0.2+dfsg-2) Size: 616344 SHA256: 4f8c978d4de43e32f7177edab8e6521e0146fe40832c42f361c29ce8a15586ba SHA1: 5aa50b4dc1256d2da62cddb4cf6e3f24607a1ad6 MD5sum: 98b8dfdbc288ffd15c3b4f6af10a1bfe Description: Example source files of FastJet The FastJet package provides a fast implementation of several longitudinally invariant sequential recombination jet algorithms, in particular the longitudinally invariant kt jet algorithm, the inclusive longitudinally invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive anti-kt algorithm. . FastJet also provides a uniform interface to external jet finders via a plugin mechanism, and tools for calculating jet areas and performing background (pileup/UE) subtraction. . This package provides example source files of FastJet. Homepage: http://fastjet.fr/ Section: devel Priority: optional Filename: pool/main/f/fastjet/fastjet-examples_3.0.2+dfsg-2_all.deb Package: fastlink Version: 4.1P-fix95-3 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 750 Depends: libc6 (>= 2.13-28) Suggests: fastlink-doc Homepage: http://www.ncbi.nlm.nih.gov/CBBResearch/Schaffer/fastlink.html Priority: optional Section: science Filename: pool/main/f/fastlink/fastlink_4.1P-fix95-3_armhf.deb Size: 414604 SHA256: e437416f314a2c0135b8af85e96e2c87555d78457657d3c6bb1751b562145b80 SHA1: d105ba0a2d3f61972b1c645b314daefafdb7ba18 MD5sum: c64eb09d2b8b65cfa1122ac42053ac46 Description: faster version of pedigree programs of Linkage Genetic linkage analysis is a statistical technique used to map genes and find the approximate location of disease genes. There was a standard software package for genetic linkage called LINKAGE. FASTLINK is a significantly modified and improved version of the main programs of LINKAGE that runs much faster sequentially, can run in parallel, allows the user to recover gracefully from a computer crash, and provides abundant new documentation. FASTLINK has been used in over 1000 published genetic linkage studies. . This package contains the following programs: ilink: GEMINI optimization procedure to find a locally optimal value of the theta vector of recombination fractions linkmap: calculates location scores of one locus against a fixed map of other loci lodscore: compares likelihoods at locally optimal theta mlink: calculates lod scores and risk with two of more loci unknown: identify possible genotypes for unknowns Package: fastlink-doc Source: fastlink Version: 4.1P-fix95-3 Installed-Size: 556 Maintainer: Debian Med Packaging Team Architecture: all Suggests: fastlink Size: 539836 SHA256: 293e7cb52b167a5a29f9ebb3ea79360bf4dabf9ac995dafb700169f975a2d2e7 SHA1: 52d8798923f2fc0d0294176068fd0a4b235c36c5 MD5sum: 34ffcd47d03da879affd3cbd24f922d5 Description: Some papers about fastlink Genetic linkage analysis is a statistical technique used to map genes and find the approximate location of disease genes. There was a standard software package for genetic linkage called LINKAGE. FASTLINK is a significantly modified and improved version of the main programs of LINKAGE that runs much faster sequentially, can run in parallel, allows the user to recover gracefully from a computer crash, and provides abundant new documentation. FASTLINK has been used in over 1000 published genetic linkage studies. . You do not really need these papers about fastlink but it is highly recommended to study this documentation before starting with the tools of the fastlink package. Homepage: http://www.ncbi.nlm.nih.gov/CBBResearch/Schaffer/fastlink.html Tag: field::biology, field::biology:bioinformatics, made-of::postscript, role::documentation Section: doc Priority: optional Filename: pool/main/f/fastlink/fastlink-doc_4.1P-fix95-3_all.deb Package: fasttree Version: 2.1.4-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 370 Depends: libc6 (>= 2.13-28), libgomp1 (>= 4.4) Homepage: http://www.microbesonline.org/fasttree/ Priority: extra Section: science Filename: pool/main/f/fasttree/fasttree_2.1.4-1_armhf.deb Size: 198274 SHA256: 9bb0b596337cce9030cae1a688b2791c8346aab48179c8ffaef4a5455f246a82 SHA1: 554d55691f7c64d095fda02bab6696bd4375e429 MD5sum: 046a7e60dae4961f25a75a86dc2540f2 Description: phylogenetic trees from alignments of nucleotide or protein sequences FastTree infers approximately-maximum-likelihood phylogenetic trees from alignments of nucleotide or protein sequences. It handles alignments with up to a million of sequences in a reasonable amount of time and memory. For large alignments, FastTree is 100-1,000 times faster than PhyML 3.0 or RAxML 7. . FastTree is more accurate than PhyML 3 with default settings, and much more accurate than the distance-matrix methods that are traditionally used for large alignments. FastTree uses the Jukes-Cantor or generalized time-reversible (GTR) models of nucleotide evolution and the JTT (Jones-Taylor-Thornton 1992) model of amino acid evolution. To account for the varying rates of evolution across sites, FastTree uses a single rate for each site (the "CAT" approximation). To quickly estimate the reliability of each split in the tree, FastTree computes local support values with the Shimodaira-Hasegawa test (these are the same as PhyML 3's "SH-like local supports"). . This package contains a single threaded version (fasttree) and a parallel version which uses OpenMP (fasttreMP). Package: fastx-toolkit Version: 0.0.13.2-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 466 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgtextutils0 (>= 0.6.2), libstdc++6 (>= 4.6), libgd-graph-perl, libperlio-gzip-perl Homepage: http://hannonlab.cshl.edu/fastx_toolkit/ Priority: optional Section: science Filename: pool/main/f/fastx-toolkit/fastx-toolkit_0.0.13.2-1_armhf.deb Size: 139910 SHA256: 5dc2af5cb0b3e7e3ceed187a9c1a9199ad34967f56d3fbf1521574b634ca8eeb SHA1: a8a1ef8c8cd7f429340175b0c5e3c179b37b393c MD5sum: d2bac52c421291549efce76f746cecda Description: FASTQ/A short nucleotide reads pre-processing tools The FASTX-Toolkit is a collection of command line tools for preprocessing short nucleotide reads in FASTA and FASTQ formats, usually produced by Next-Generation sequencing machines. The main processing of such FASTA/FASTQ files is mapping (aligning) the sequences to reference genomes or other databases using specialized programs like BWA, Bowtie and many others. However, it is sometimes more productive to preprocess the FASTA/FASTQ files before mapping the sequences to the genome—manipulating the sequences to produce better mapping results. The FASTX-Toolkit tools perform some of these preprocessing tasks. Package: fatattr Version: 1.0.1-9 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 45 Depends: libc6 (>= 2.13-28) Homepage: http://www.kernel.org/pub/linux/utils/fs/fat/fatattr/ Priority: optional Section: utils Filename: pool/main/f/fatattr/fatattr_1.0.1-9_armhf.deb Size: 6112 SHA256: a933e75ec860f0a1ea34063d99d0ca356417e7a4fbcf43a3da5a23466a4e5c6b SHA1: f3ed31466aab7aa5117949fcaf5dc04c4798bff7 MD5sum: 0305bc87d2fc9c4ac0c47ebd3e91c6b1 Description: Utility to control attributes on a FAT filesystem FAT filesystems contain several attributes which do not map cleanly onto Unix attributes; this utility allow these to be controlled directly by unprivileged users on any mounted FAT partition. Package: fatattr-dbg Source: fatattr Version: 1.0.1-9 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 50 Depends: fatattr (= 1.0.1-9) Homepage: http://www.kernel.org/pub/linux/utils/fs/fat/fatattr/ Priority: extra Section: debug Filename: pool/main/f/fatattr/fatattr-dbg_1.0.1-9_armhf.deb Size: 7126 SHA256: c9090d532b168c78501cdd7479c81067d77b667f41b8b28e7fb02c001fa131cf SHA1: 73bdbf6ea75d85269561af385d0a46f11cf4d821 MD5sum: f6185c592acf34f70079be6392ce39bb Description: Utility to control attributes on a FAT filesystem (debug) FAT filesystems contain several attributes which do not map cleanly onto Unix attributes; this utility allow these to be controlled directly by unprivileged users on any mounted FAT partition. . This package contains the debugging symbols. Package: fatrat Version: 1.1.3-5 Architecture: armhf Maintainer: Cristian Greco Installed-Size: 1979 Depends: libboost-date-time1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.3), libgcc1 (>= 1:4.4.0), libgloox8, libqt4-dbus (>= 4:4.5.3), libqt4-help (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.6), libtorrent-rasterbar6 (>= 0.15.10), fatrat-data (= 1.1.3-5), libqt4-sql-sqlite, xdg-utils Homepage: http://fatrat.dolezel.info Priority: optional Section: net Filename: pool/main/f/fatrat/fatrat_1.1.3-5_armhf.deb Size: 983382 SHA256: 389dc58b3eb88c5c0eee6e2e22dea58384e1344598a45dee1efd0e4f6bbc94dd SHA1: 2c086bfdb5e506a247d6ecb38a09b749a156d28c MD5sum: 7e36293354df472c791e6ace28290940 Description: multi-protocol download manager, feature rich and extensible via plugin FatRat is a feature rich download manager written in C++ and built on top of Qt4 library. It supports a lot of download and file exchange protocols and is continuously extended. It also includes a plugin system. Most relevant features are: . * HTTP(S)/FTP downloads * FTP uploads * RSS feed support + special functions for TV shows and podcasts * BitTorrent support (including torrent creating, DHT, UPnP, encryption etc.) * Torrent search * Support for SOCKS5 and HTTP proxies * RapidShare.com FREE downloads * RapidShare.com uploads * RapidShare.com link verification and folder extraction * RapidSafe link decoding * MD4/MD5/SHA1 hash computing * Remote control via Jabber * Remote control via a web interface * YouTube video downloading Package: fatrat-czshare Version: 1.1.3-1 Architecture: armhf Maintainer: Cristian Greco Installed-Size: 128 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), fatrat (>= 1.1.3) Enhances: fatrat Homepage: http://fatrat.dolezel.info Priority: optional Section: net Filename: pool/main/f/fatrat-czshare/fatrat-czshare_1.1.3-1_armhf.deb Size: 33730 SHA256: 5dd315169e19e15ecfa112f4693b63ea437ec73ca5b98cd903e0bff53c526997 SHA1: f6991da933a35551960ba424f532fe6191517f20 MD5sum: 1a8917c62177a65d288da78dfb9d7105 Description: fatrat plugin allowing download and upload to czshare FatRat is a feature rich download manager written in C++ and built on top of Qt4 library, including many download protocols and a plugin system. . This package contains a plugin for FatRat which provides integration with CzShare.com allowing for download and upload of files. Package: fatrat-data Source: fatrat Version: 1.1.3-5 Installed-Size: 1090 Maintainer: Cristian Greco Architecture: all Recommends: fatrat Size: 623738 SHA256: 5b77faa4330b5490a0d5cf1e9a8e4a687fee1775d8deb793c9f1424907fb8860 SHA1: d7d276b29683bc5306f11a5e9c55ae834a3b0daa MD5sum: f3344aabb7a1428b22eed5ad599cf2b7 Description: data files for fatrat FatRat is a feature rich download manager written in C++ and built on top of Qt4 library. It supports a lot of download and file exchange protocols and is continuously extended. It also includes a plugin system. . This package contains data files for FatRat such as images, help and locales. Homepage: http://fatrat.dolezel.info Tag: role::app-data Section: net Priority: optional Filename: pool/main/f/fatrat/fatrat-data_1.1.3-5_all.deb Package: fatrat-dev Source: fatrat Version: 1.1.3-5 Installed-Size: 88 Maintainer: Cristian Greco Architecture: all Depends: libqt4-dev (>= 4.4.0), libcurl4-gnutls-dev Size: 12868 SHA256: 8209160463666ab4128e2f0a90ee06109e61b5c50ba3fd4e6affbb92e16e079d SHA1: eb491b1c24a1c5ee39a99a84e93ac54d8948f3b3 MD5sum: f899bec66464212f4813c05f91297c88 Description: development files for fatrat FatRat is a feature rich download manager written in C++ and built on top of Qt4 library. It supports a lot of download and file exchange protocols and is continuously extended. It also includes a plugin system. . This package contains FatRat source header files used to compile a plugin or to develop your own one. Homepage: http://fatrat.dolezel.info Tag: devel::lang:c++, devel::library, implemented-in::c++, role::devel-lib Section: devel Priority: optional Filename: pool/main/f/fatrat/fatrat-dev_1.1.3-5_all.deb Package: fatrat-opensubtitles Version: 1.1.3-1 Architecture: armhf Maintainer: Cristian Greco Installed-Size: 141 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), fatrat (>= 1.1.3) Enhances: fatrat Homepage: http://fatrat.dolezel.info Priority: optional Section: net Filename: pool/main/f/fatrat-opensubtitles/fatrat-opensubtitles_1.1.3-1_armhf.deb Size: 38218 SHA256: dd8be089673cda3dc332ed110faca332bf5ab5fc3953fa0ef39c20ee0ba2f670 SHA1: e90d8a07d7baf7ca8982f0795fa225414d2e2aa4 MD5sum: 59fa7776c733949b4389be917f2a9f28 Description: fatrat plugin allowing easy subtitle download FatRat is a feature rich download manager written in C++ and built on top of Qt4 library, including many download protocols and a plugin system. . This package contains a plugin for FatRat which provides integration with OpenSubtitles.org, thus allowing for easy subtitle search and download. Package: fatresize Version: 1.0.2-6 Architecture: armhf Maintainer: Parted Maintainer Team Installed-Size: 55 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libparted0debian1 (>= 2.2-1) Suggests: dosfstools Homepage: http://sf.net/projects/fatresize Priority: optional Section: otherosfs Filename: pool/main/f/fatresize/fatresize_1.0.2-6_armhf.deb Size: 12370 SHA256: b9c9a0a162b7ef5c21a7771ddeddb29620e0eb2800001c7f0596960726499d99 SHA1: d1fbc6c460747a089ea75042df09e776e06f20e8 MD5sum: 84eccc7670caf2c8e3c8a2a718aa58f0 Description: FAT16/FAT32 filesystem resizer Fatresize is a command line tool for non-destructive resizing of FAT16/FAT32 partitions. . It is based on the GNU Parted library. The main target of the project is to be used with the EVMS FAT plugin. Original-Maintainer: Philippe Coval Package: fatsort Version: 0.9.15.245-1 Architecture: armhf Maintainer: Sebastian Dröge Installed-Size: 71 Depends: libc6 (>= 2.4) Homepage: http://fatsort.berlios.de/ Priority: extra Section: utils Filename: pool/main/f/fatsort/fatsort_0.9.15.245-1_armhf.deb Size: 20776 SHA256: d4da9687f97ad0df6fcb7fc74a41f4d58d8791ce5d57de5b0d70af3c3ac81c3c SHA1: cdfb3190b1444006584b0f795f82927ac010bb70 MD5sum: c3ae04b817c7fc2e31b3ef457ba60a7f Description: utility for sorting FAT directory structures FATSort is a utility that sorts FAT16 and FAT32 partitions. It even can handle long file name entries. It reads the boot sector and sorts the directory structure recursively. This is for example useful for sorting the files on your MP3 player when it doesn't implement a sorting function itself. Package: faucc Version: 20090220-1 Architecture: armhf Maintainer: FAUcc Team Installed-Size: 427 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), gcc Homepage: http://www.faumachine.org Priority: optional Section: devel Filename: pool/main/f/faucc/faucc_20090220-1_armhf.deb Size: 124296 SHA256: 1946d266785a388977331dbec67dfd694a8e91613a5144d83a34f59df9ef45a0 SHA1: 55a787cb0096579c14716469ccb300c8395bb753 MD5sum: 5e21b7bf30de66ced841a6ac94a2450e Description: C compiler generating Intel code for 16bit/32bit CPUs FAUcc is a optimizing C compiler, that can generate Intel code for 16bit/32bit CPUS. It is useful, if you are in need to compile real mode code, like a BIOS. . FAUcc uses the GNU binutils for assembling/linking. Package: fauhdlc Version: 20110812-1 Architecture: armhf Maintainer: FAUmachine Team Installed-Size: 825 Depends: libc6 (>= 2.13-28), libgc1c2 (>= 1:7.1-6), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.faumachine.org Priority: optional Section: devel Filename: pool/main/f/fauhdlc/fauhdlc_20110812-1_armhf.deb Size: 334156 SHA256: b5ce82ebaf7758d5aeecdf887486794740377e43c83db1a585c3ea133d2f6f25 SHA1: 751badc56b06e881f150c16a2e4fc62f97fba403 MD5sum: 310e22965508c3e3c3878a54f0eed6dc Description: experimental VHDL compiler and interpreter Compiles a subset of VHDL 2000 to an intermediate language. The result can be simulated with an interpreter. . FAUhdlc is still in a very early experimental state, where many VHDL constructs are not yet properly supported. Package: faumachine-data Source: faumachine Version: 20110812-1.2 Installed-Size: 2307 Maintainer: FAUmachine Team Architecture: all Recommends: faumachine Size: 691472 SHA256: 00cabc2a33167faf28d0778fdb85ab60459a5be0cf3a1fdf2df6776db286d438 SHA1: d3b6451f28174349002dcaaeeeba3aadd453d126 MD5sum: e014e9823bb3a3381d2e64e60a1587dd Description: Virtual machine running in user mode -- data files FAUmachine is a virtual machine that can simulate PC hardware like QEMU. Its main focus is to simulate the real hardware as close as possible. . FAUmachine comes with the ability to inject faults to different hardware simulators, e.g. to inject intermittent or transient faults to the simulated disk or the simulated memory. . FAUmachine also comes with an experiment controller, with which automated tests, like installing an operating system from an iso image, can be run. . This package contains the architecture independent data files. Homepage: http://www.faumachine.org Tag: role::app-data Section: otherosfs Priority: optional Filename: pool/main/f/faumachine/faumachine-data_20110812-1.2_all.deb Package: faust Version: 0.9.46-2 Architecture: armhf Maintainer: Mario Lang Installed-Size: 8993 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Recommends: ruby, libc6-dev | libc-dev, g++, make, libjack-dev, libgtk2.0-dev Suggests: kate Homepage: http://faust.grame.fr/ Priority: optional Section: sound Filename: pool/main/f/faust/faust_0.9.46-2_armhf.deb Size: 7263644 SHA256: 1dbaff6d4a9f1ac7c4700def387e3bbbf5528fe3023de99feeda6b5b1e0aac99 SHA1: e6a0f609893895ce9be576a08fb00c875a64f1d4 MD5sum: 8c2814fc088f0fed221108e9471e02dc Description: functional programming language for realtime audio applications Faust is a functional programming language specifically designed for realtime audio applications and plugins. The syntax of the language is block diagram oriented. The faust compiler translate signal processing specifications into optimized C++ code for signal processing applications. . The generated code can be wrapped into an 'architecture file' in order to create for example a standalone jack/gtk application. Several architecture file are provided and additional ones are fairly easy to add. Package: faustworks Version: 0.3.2~repack0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 688 Depends: faust, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Recommends: libgtk2.0-dev, libjack-dev, libqt4-dev Homepage: http://faust.grame.fr/ Priority: optional Section: sound Filename: pool/main/f/faustworks/faustworks_0.3.2~repack0-1_armhf.deb Size: 377712 SHA256: 092af6e488e77bb895975277c30dbbc66af593cd409ef2b379b7e7ed444052c6 SHA1: d12b851d7be07a04e52bf9a2e0ca179d38dd18fa MD5sum: 2794c09876029006d7a04761e7268712 Description: IDE for Faust dsp programming language FaustWorks is an IDE (Integrated Development Environment) for the Faust dsp programming language. It relies on scripts to generate binaries for various audio targets. These scripts are located in /usr/share/FaustWorks/scripts.lin/ Package: fb-music-high Version: 0.1.2 Installed-Size: 2442 Maintainer: Josselin Mouette Architecture: all Recommends: frozen-bubble Conflicts: fb-music-low, frozen-bubble (<< 2) Size: 1662906 SHA256: 5e7867aa35523dfac77e32764ac2edc224d5c78014cf4552f926affcf26459fb SHA1: d9341535ab2d9238fd75fc50e5325fb9613b0fcb MD5sum: 632fc10aa865cd1358fb1bac83d6775b Description: High quality, large music files for Frozen-Bubble Frozen-Bubble is a clone of the popular "Puzzle Bobble" game, featuring 100 single-player levels and a two-player mode. . This package contains high quality music for Frozen-Bubble. The 16-bit samples used sound better than the 8-bit ones in fb-music-low, but are about twice as large. . URL: http://www.frozen-bubble.org/ Tag: game::tetris, made-of::audio, role::app-data, use::gameplaying Section: games Priority: extra Filename: pool/main/f/fb-music-high/fb-music-high_0.1.2_all.deb Package: fbautostart Version: 2.718281828-1 Architecture: armhf Maintainer: Paul Tagliamonte Installed-Size: 83 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: https://launchpad.net/fbautostart Priority: optional Section: misc Filename: pool/main/f/fbautostart/fbautostart_2.718281828-1_armhf.deb Size: 24402 SHA256: aefed072dc11bce82b74e19abc4b9c9cfc0c12d4fa89e4b1073b033a109e743b SHA1: ec5a7b82dd8a0e39bb257682aad10d246944b0a6 MD5sum: 2e06fb9e628931da5c03fefc465e3024 Description: XDG compliant autostarting app for Fluxbox The fbautostart app was designed to have little to no overhead, while still maintaining the needed functionality of launching applications according to the XDG spec. . This package contains support for GNOME and KDE. Package: fbb Version: 7.04j-8.2 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 1029 Depends: libax25 (>= 0.0.12-rc2+cvs20120204), libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Suggests: fbbdoc Priority: optional Section: hamradio Filename: pool/main/f/fbb/fbb_7.04j-8.2_armhf.deb Size: 399014 SHA256: 01f451f6a778400b4e343b70293a40171428b23eaa9d98f1b5b54153543d433b SHA1: 17aaccf670f11091015dc59f4134e80d40a33f8f MD5sum: c4d9e0572d281b9643f7bb50009e4b30 Description: Packet radio mailbox and utilities The fbb package contains software written by f6fbb for setting up a packet radio mailbox. It is intended for amateur radio operators. A short overview of some of the binaries: . * fbb: Script to start the daemon. * xfbbd: The daemon which listens for incoming connects. * epurmess: Delete messages based on age. * epurwp: White Pages maintenance. * reqdir: FBB server which requests directory listings. * xfbbC: B/W Ncurses console for xfbbd. Package: fbbdoc Version: 1:1999-2.1 Installed-Size: 776 Maintainer: Debian Hamradio Maintainers Architecture: all Size: 137844 SHA256: 70fb550a828f592892fb3110aed3fbfbf855c4b92bfb5a30f1894c9ca008f5fd SHA1: aa7980cb2b1d6259e5f97e7d045c80e12c40f535 MD5sum: 3f75f821c3b289b4f553da4fcba2f63a Description: Documentation for fbb, the packet radio mailbox This package provides documentation on setting up a packet radio mailbox with fbb. Tag: hardware::hamradio, interface::daemon, interface::text-mode, made-of::html, role::documentation, uitoolkit::ncurses Section: doc Priority: optional Filename: pool/main/f/fbbdoc/fbbdoc_1999-2.1_all.deb Package: fbcat Version: 0.3-1 Architecture: armhf Maintainer: Piotr Lewandowski Installed-Size: 57 Depends: libc6 (>= 2.13-28) Recommends: netpbm | graphicsmagick | imagemagick Conflicts: fbgrab Replaces: fbgrab Provides: fbgrab Homepage: http://fbcat.googlecode.com/ Priority: extra Section: graphics Filename: pool/main/f/fbcat/fbcat_0.3-1_armhf.deb Size: 9944 SHA256: 04666865bbb43765a44618021c887381e92d57053dd096d20b685ec8e0e7d92b SHA1: 1f2efcc1840f0d09347f99573e3c32dccf543224 MD5sum: f23d9d8578c20bdde29620001b5a5803 Description: framebuffer grabber fbcat grabs a screenshot of a framebuffer and stores in a PPM file. . This package also provides a compatibility wrapper around fbcat to ease migration from fbgrab. Package: fbdesk Version: 1.4.1-10 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 278 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libimlib2, libstdc++6 (>= 4.6), libx11-6, libxext6, libxft2 (>> 2.1.1), libxpm4, libxrender1 Suggests: fluxbox (>= 0.1.12) Homepage: http://fluxbox.sourceforge.net/fbdesk Priority: optional Section: x11 Filename: pool/main/f/fbdesk/fbdesk_1.4.1-10_armhf.deb Size: 116352 SHA256: 260eb1311671cae7231dddedb866c050afa6e3995c13af33a22696ac12420bfe SHA1: e3913673048c3377af03134c12597ade14cc5e0a MD5sum: 4e80a1f393569711a947c17a92c80afa Description: desktop icons for window managers fbdesk, originally for fluxbox WM, manages shortcut icons on the desktop. The program can be used in companion with any Window Manager (WM) that does not support icons. Package: fbi Version: 2.07-10 Architecture: armhf Maintainer: Moritz Muehlenhoff Installed-Size: 153 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libexif12, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgif4 (>= 4.1.4), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libtiff4 (>> 3.9.5-3~), zlib1g (>= 1:1.1.4), ghostscript Suggests: imagemagick Homepage: http://linux.bytesex.org/fbida/ Priority: optional Section: graphics Filename: pool/main/f/fbi/fbi_2.07-10_armhf.deb Size: 59664 SHA256: 18eed6eda5e14a1a2322255f5f9f81c9c664209eb9b091d832de132c851e8e78 SHA1: a54161c1f3ca52dc9e2b99646ef1811bf5603083 MD5sum: fc4df2c73fe0ce34ffffdf56b5663f77 Description: Linux frame buffer image viewer This is an image viewer for Linux frame buffer devices. It has built-in support for a number of common image file formats. For unknown files, it tries to use convert from the ImageMagick package as an external converter. It also includes fbgs, a Postscript and PDF viewer. Package: fbpager Version: 0.1.5~git20090221.1.8e0927e6-1 Architecture: armhf Maintainer: Sean Finney Installed-Size: 155 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libx11-6, libxrender1 Priority: optional Section: x11 Filename: pool/main/f/fbpager/fbpager_0.1.5~git20090221.1.8e0927e6-1_armhf.deb Size: 56336 SHA256: 4a528b281053e85b83bc47b11872ab32c1f7900180d0506d626a974b99b42a0e SHA1: e83752f16ef53f8ed3a81da744d519d34c033d24 MD5sum: fe04fc14946ae50af28c5dec8b0a402f Description: a pager application for the Fluxbox window manager fbpager is a pager for Fluxbox with support of the following features: . - mouse gestures with button binding - great number of configuration items - alpha channel transparency - ability to reside in the slit Package: fbpanel Version: 6.1-6 Architecture: armhf Maintainer: Ulises Vitulli Installed-Size: 516 Pre-Depends: dpkg (>= 1.15.7.2~) Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libx11-6, librsvg2-common Suggests: hicolor-icon-theme Homepage: http://fbpanel.sourceforge.net/ Priority: optional Section: x11 Filename: pool/main/f/fbpanel/fbpanel_6.1-6_armhf.deb Size: 228502 SHA256: 1a863934cf579888558117462bf86744acebe9a5b04485419dc57341ec286ef4 SHA1: f0cc6e77229e02fa0ac942cffce68a49f5659d03 MD5sum: bfa775d802b995ddf1ae0973ecb743d7 Description: lightweight X11 desktop panel FBPanel is a spinoff of the fspanel (f***ing small panel) with more eye candy. It provides a taskbar (list of all opened windows), desktop switcher, launchbar, clock, is EWMH/NETWM compliant, and has modest resource usage. Package: fbreader Version: 0.12.10dfsg-8 Architecture: armhf Maintainer: Eugene V. Lyubimkin Installed-Size: 2902 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libzlcore0.12, libzltext0.12, libzlui-qt4 (>= 0.12.10dfsg-8) | libzlui-gtk (>= 0.12.10dfsg-8) Breaks: libzlui-gtk (<< 0.12), libzlui-maemo (<< 0.12), libzlui-qt (<< 0.12), libzlui-qt4 (<< 0.12) Replaces: fbreader-gtk, fbreader-qt, fbreader-qt4 Homepage: http://www.fbreader.org/ Priority: optional Section: text Filename: pool/main/f/fbreader/fbreader_0.12.10dfsg-8_armhf.deb Size: 891618 SHA256: ca440751eef5ff97a69205b2c05c587ced57218a05db365581995eda1dcadf43 SHA1: 0d25b66f9deffe39f8484a2f1631cc0d6eb70767 MD5sum: 104fc74cd33ca91786aad58c9d99f9f3 Description: e-book reader FBReader is an e-book reader. . Main features: * supports several open e-book formats: fb2, html, chm, plucker, palmdoc, ztxt, tcr (psion text), rtf, oeb, openreader, non-DRM'ed mobipocket, plain text, epub, eReader * reads directly from tar, zip, gzip, bzip2 archives (you can have several books in one archive) * supports a structured view of your e-book collection * automatically determines encodings * automatically generates a table of contents * keeps the last open book and the last read positions for all open books between runs * automatic hyphenation (patterns for several languages are included) * searching and downloading books from www.feedbooks.com and www.litres.ru * partial CSS support for epub files Package: fbset Version: 2.1-25 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 234 Depends: libc6 (>= 2.13-28), udev | makedev (>= 2.3.1-24) Homepage: http://users.telenet.be/geertu/Linux/fbdev/ Priority: optional Section: admin Filename: pool/main/f/fbset/fbset_2.1-25_armhf.deb Size: 125492 SHA256: e1cd2b1abd168999cb57ab65e51d9e12df8fb13baf4df49f7f340a259df557f0 SHA1: 515af02e8206f75a895e5c4a4de4a9ec7fe8f2af MD5sum: 7cb3c27c033b7dac6700286ebc079eb4 Description: framebuffer device maintenance program Program to modify settings for the framebuffer devices (/dev/fb[0-9]* or /dev/fb/[0-9]*) on Linux, like depth, virtual resolution, timing parameters etc. Package: fbterm Version: 1.7-2 Architecture: armhf Maintainer: Nobuhiro Iwamatsu Installed-Size: 131 Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://code.google.com/p/fbterm/ Priority: optional Section: utils Filename: pool/main/f/fbterm/fbterm_1.7-2_armhf.deb Size: 53034 SHA256: f998565e83b5354593d12cf0da3c24d0ba705f87041ecd0cde9996576dcc9266 SHA1: c1ea698e9b94f3b13fca2420f995d6add0fe1b24 MD5sum: 58c637c1f7430453d8860cd83a066fb1 Description: A fast framebuffer based terminal emulator for Linux FbTerm is a fast terminal emulator for Linux with frame buffer device. . Features include: * mostly as fast as terminal of Linux kernel while accelerated scrolling is enabled on framebuffer device * select font with fontconfig and draw text with freetype2, same as Qt/Gtk+ based GUI apps * dynamicly create/destroy up to 10 windows initially running default shell * record scrollback history for every window * auto-detect current locale and convert text encoding, support double width scripts like Chinese, Japanese etc * switch between configurable additional text encoding with hot keys on the fly * copy/past selected text between windows with mouse when gpm server is running Package: fbterm-ucimf Version: 0.2.9-2 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 47 Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1), libucimf0, ucimf, fbterm Homepage: http://code.google.com/p/ucimf/ Priority: optional Section: utils Filename: pool/main/f/fbterm-ucimf/fbterm-ucimf_0.2.9-2_armhf.deb Size: 8456 SHA256: 4fc813c8effa350c513dad52c53a9c474c8d9bda979414cf8ff40d822e7ed199 SHA1: 75df42b4ea06055295b2317abb315dba465e2d3f MD5sum: 8e42754f2ab1161fa4945eb1f23b82e6 Description: ucimf input method interface for fbterm This package contains an input method interface for fbterm using ucimf, the Linux unicode framebuffer consle input method framework. Package: fbtv Source: xawtv Version: 3.102-3 Architecture: armhf Maintainer: Krzysztof Burghardt Installed-Size: 219 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), liblircclient0, libncurses5 (>= 5.5-5~), libtinfo5, v4l-conf, xawtv-plugins (= 3.102-3) Suggests: xawtv Priority: extra Section: video Filename: pool/main/x/xawtv/fbtv_3.102-3_armhf.deb Size: 98632 SHA256: 1f914061cdabe252627e5b8193005cfd11387e51bb177edb6e03ed4453c0ca7c SHA1: f08aa2b47e518470ea07bccca61e42d04f37cb03 MD5sum: 359527c61d8041f00a7639ef028b9234 Description: television viewer - Linux framebuffer application FbTV is a Linux framebuffer application which displays television channels. It supports video4linux devices and requires a framebuffer device (vesafb, matroxfb, atyfb, etc.). Package: fbx-playlist Source: freeplayer Version: 20070531+dfsg.1-3 Architecture: armhf Maintainer: Vincent Danjean Installed-Size: 290 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Recommends: freeplayer Enhances: freeplayer Homepage: http://adsl.free.fr/multimedia/freeplayer/ Priority: extra Section: sound Filename: pool/main/f/freeplayer/fbx-playlist_20070531+dfsg.1-3_armhf.deb Size: 106620 SHA256: fba476234b78ff4e45354a058ebb9c70e3fb33c798cac3f928ce100812b4c77c SHA1: 5cd24b4d0e9d8bbf7d9654d4195bf15526436a09 MD5sum: b358b15d82d431c823038a803b1f1945 Description: graphical editor for FreeBox playlist This program allows to create and edit playlist to be used by the freeplayer within a graphical (qt) environment. . This package is useless without a FreeBox, ie the modem of the French ADSL IP provider "Free" (http://www.free.fr) Package: fbxkb Version: 0.6-1.1 Architecture: armhf Maintainer: Vadim Vatlin Installed-Size: 107 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxmu6 Priority: optional Section: x11 Filename: pool/main/f/fbxkb/fbxkb_0.6-1.1_armhf.deb Size: 43846 SHA256: a5d9860552860bbfdcfb828629192559c0fc8cc8cef3a518588a49ec689f038a SHA1: c50acf1f0393a94cf114a8eb3266c6302af09ee0 MD5sum: 25ca67eaac412ef343a4efafbc8bcbc6 Description: X11 keyboard indicator and switcher It shows a flag of current keyboard in a systray area and allows you to switch to another one. It's written in C and uses the GTK+2.4 library only (no GNOME is needed). Package: fcc Source: looptools Version: 2.7-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 40 Depends: gfortran Homepage: http://www.feynarts.de/looptools/ Priority: optional Section: science Filename: pool/main/l/looptools/fcc_2.7-1_armhf.deb Size: 2918 SHA256: 429ec615c4ec28e93963aba39f66662774789c75ced50b613630cd0579edfaf4 SHA1: 2b9de966f162e99ea5aa02419cfc3f4483cfc370 MD5sum: fb17394956a4bb0a09d4a675c788b7b9 Description: Script to compile C/C++ programs and link to Fortran libraries LoopTools is a package for evaluation of scalar and tensor one-loop integrals based on the FF package by G.J. van Oldenborgh. It features an easy Fortran, C++, and Mathematica interface to the scalar one-loop functions of FF and in addition provides the 2-, 3-, and 4-point tensor coefficient functions. . This package provides a script, fcc, to compile C and C++ programs and link them with Fortran libraries. Package: fccexam Version: 1.0.3-1 Installed-Size: 2129 Maintainer: Debian Hamradio Maintainers Architecture: all Depends: eog Size: 1043924 SHA256: e9fc2ab52a6780aa6ffa1899c2bffa3235d23b5bb025e3ad58d25141b93c867e SHA1: 9e75c1f5d5e0d593f3704c9c9f2eca9bb89c34f9 MD5sum: c33b74aa4feedd0adf8015b61e3c546d Description: Study tool for USA FCC commercial radio license exams. fccexam is an interactive study guide for USA FCC commercial radio exams. question pool choices: T1 First Class Radiotelegraph: elements 1, 5, 6. T2 Second Class Radiotelegraph: elements 1, 5, 6. T3 Third Class Radiotelegraph: elements 1, 5. GROL General Radiotelephone Operator License: elements 1, 3. GROL+ General Radiotelephone Operator License + Radar: elements 1, 3, 8. MROP Marine Radio Operator Permit: element 1. GMDSS Global Maritime Distress Safety System Radio Operator: elements 1, 7. GMDSS+ GMDSS Radio Maintainer + Radar: elements 1, 7, 8, 9. RGMDSS Restricted GMDSS Radio Operator: elements 1, 7R. Questions are chosen randomly from the selected pool. Incorrect answers cause the question to be asked again later. Licenses are issued by the FCC, but exams are conducted by COLEM Examiners. For more information about FCC commercial radio licensing: http://wireless.fcc.gov/commoperators/index.htm?job=home Section: hamradio Priority: extra Filename: pool/main/f/fccexam/fccexam_1.0.3-1_all.deb Package: fceu Version: 0.98.12-4.1 Architecture: armhf Maintainer: Joe Nahmias Installed-Size: 957 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4) Suggests: efp Replaces: fceu-doc, fceu-sdl, fceu-svga Provides: nes-emulator Homepage: http://fceultra.sourceforge.net/ Priority: optional Section: games Filename: pool/main/f/fceu/fceu_0.98.12-4.1_armhf.deb Size: 431922 SHA256: 53127b05e53206694233d369015657ec413795df5e58a6ead7f21e08cc55b1b2 SHA1: 75f4c099ed3446a9629b57224dde39e8086b80e8 MD5sum: ecc3349ddd75efe9a97b01a024a81921 Description: FCE Ultra - a nintendo (8-bit) emulator FCE Ultra is an emulator of the original (8-bit) Nintendo Entertainment System (NES) released under the GNU General Public License (GPL). It includes the following features (and many more): * fully customizable color palette rendering engine * complete sound emulation (all five channels) * support for up to four joystick controllers * zapper emulation for the mouse * GameGenie emulation * accepts compressed (PKZIP, gzip) ROM images * TCP/IP network play Package: fcgiwrap Version: 1.0.3-3 Architecture: armhf Maintainer: Jordi Mallach Installed-Size: 75 Depends: libc6 (>= 2.4), libfcgi0ldbl, spawn-fcgi (>= 1.6.1) Enhances: nginx Homepage: http://nginx.localdomain.pl/wiki/FcgiWrap Priority: extra Section: web Filename: pool/main/f/fcgiwrap/fcgiwrap_1.0.3-3_armhf.deb Size: 15796 SHA256: 17faa28142f07194b49b4e6f8bde4ca7ea83cf0b96ef417287d708cedd34d064 SHA1: 6b51e057164e6445c75dfd981a2dbee5a59aa42e MD5sum: fbdca8ef5899b16ec390140d6d5b1538 Description: simple server to run CGI applications over FastCGI fcgiwrap is a simple server for running CGI applications over FastCGI. Its goal is to provide clean CGI support to the nginx webserver, although can be used with others. . fcgiwrap is lightweight and has no configuration, making it possible to use the same pool to run different sites. Package: fcheck Version: 2.7.59-18 Installed-Size: 151 Maintainer: Francois Marier Architecture: all Depends: file, bsd-mailx | mailx Size: 37018 SHA256: a1d3931a692aeae2052399dff6ab0abd79c77cc9227f5a3a4377c4d58d95823b SHA1: ae72768f11405c6261423a641505dc557a104369 MD5sum: 58b85ec2b04ab83f42c2b931afd7d17a Description: IDS filesystem baseline integrity checker The fcheck utility is an IDS (Intrusion Detection System) which can be used to monitor changes to any given filesystem. . Essentially, fcheck has the ability to monitor directories, files or complete filesystems for any additions, deletions, and modifications. It is configurable to exclude active log files, and can be run as often as needed from the command line or cron making it extremely difficult to circumvent. Homepage: http://web.archive.org/web/20050415074059/www.geocities.com/fcheck2000/ Tag: implemented-in::perl, interface::daemon, network::server, role::program, security::ids, use::monitor, works-with::file Section: admin Priority: optional Filename: pool/main/f/fcheck/fcheck_2.7.59-18_all.deb Package: fcitx Version: 1:4.2.4.1-7 Installed-Size: 30 Maintainer: IME Packaging Team Architecture: all Depends: fcitx-bin, fcitx-data, fcitx-modules Recommends: fcitx-config-gtk | kde-config-fcitx, fcitx-frontend-all | fcitx-frontend-fbterm, fcitx-ui-classic | fcitx-ui-light, im-config (>= 0.5) | im-switch Suggests: fcitx-tools, fcitx-m17n Size: 24904 SHA256: 77b7aecca6ec83656d70df8284cd3086d9a03c031b2dcc6d31d48c4c380c438e SHA1: b386a7035acfd87dc5cd0234e4ddbcc7c63f76dd MD5sum: 793863d8e5d1c1ace5a47de4c814d1a6 Description: Flexible Input Method Framework Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This metapackage pulls in a set of components recommended for most desktop users. Homepage: http://code.google.com/p/fcitx/ Tag: accessibility::input, culture::chinese, implemented-in::c, interface::x11, role::metapackage, uitoolkit::xlib, use::typesetting, x11::application Section: utils Priority: optional Filename: pool/main/f/fcitx/fcitx_4.2.4.1-7_all.deb Package: fcitx-bin Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 90 Depends: fcitx-libs, libc6 (>= 2.13-28) Recommends: fcitx-data, fcitx-modules Suggests: fcitx (>= 1:4.2.0) Breaks: fcitx (<< 1:4.2.0) Replaces: fcitx (<< 1:4.2.0) Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-bin_4.2.4.1-7_armhf.deb Size: 30788 SHA256: 02e0cef1105c03e405e7bce4b68517bc9c3bfad7f3ce2380f205668095f6a6d0 SHA1: db2474c8a813702ff78e3fa2658c34f6c945272b MD5sum: 8041e225ac3c1c7705c9a318d5231a55 Description: Flexible Input Method Framework - essential binaries Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the essential executable binaries. If you are looking for a working input experience, please install the package "fcitx" instead. Package: fcitx-chewing Version: 0.1.2-2 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 114 Depends: libc6 (>= 2.13-28), libchewing3, fcitx-bin, fcitx-data (>= 1:4.2.0), fcitx-modules Homepage: http://fcitx.googlecode.com Priority: optional Section: utils Filename: pool/main/f/fcitx-chewing/fcitx-chewing_0.1.2-2_armhf.deb Size: 11454 SHA256: 4848522b7c1f32fb7ba32af6779c6422948e2fc8943a244c9dcf2bd60675dbd7 SHA1: 0877d1de6c2548650169ed1e488a2f63bf3c31e9 MD5sum: 1bd43f7ff982d2f0294e77b7e7149579 Description: Fcitx wrapper for Chewing library fcitx-chewing is a wrapper of Chewing IM engine for Fcitx. . Chewing is an intelligent phonetic input method library designed mainly for inputing Traditional Chinese.. Package: fcitx-config-common Source: fcitx-configtool Version: 0.4.4-1 Installed-Size: 6 Maintainer: IME Packaging Team Architecture: all Size: 3988 SHA256: 4753e31fb77992c6ca0b8168df4cec20cb89d07b47406cd32b868f66a45484bb SHA1: 2fb1caa8d255e9ca04902f4f212ac3c4440fc42c MD5sum: d0bb3dd8a9fa303febef63e000c546e2 Description: graphic Fcitx configuration tool - common files Fcitx is the Free Chinese Input Toy of X, which was initially designed for Chinese users, and used XIM protocol. Now it has already evolved into a highly modularized, feature rich input method framework for Unix-like platforms supporting a considerable amount of frontends, backends and modules. . It is an ideal choice for the vast majority. Many of its features make users of Unix-like platforms have a fully modern input experience for the first time. It has also greatly lower the threshold for developers, making the development of extended funtions much easier than ever before. . This package provides common files for the graphic configuration tool. Homepage: http://code.google.com/p/fcitx Section: utils Priority: optional Filename: pool/main/f/fcitx-configtool/fcitx-config-common_0.4.4-1_all.deb Package: fcitx-config-gtk Source: fcitx-configtool Version: 0.4.4-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 109 Depends: fcitx-libs, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.3.16), libpango1.0-0 (>= 1.14.0), fcitx-config-common (= 0.4.4-1) Homepage: http://code.google.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-configtool/fcitx-config-gtk_0.4.4-1_armhf.deb Size: 34010 SHA256: d0fe029d7f2564c75bab4447bf91d92ed60e776665e465c1f3e7d0bb7124dcbc SHA1: 4f04db0929b7302d0632c74b714ade53e423fee3 MD5sum: c1698f0e0d86f640766d1df7a5cbc279 Description: graphic Fcitx configuration tool - Gtk+ 3 version Fcitx is the Free Chinese Input Toy of X, which was initially designed for Chinese users, and used XIM protocol. Now it has already evolved into a highly modularized, feature rich input method framework for Unix-like platforms supporting a considerable amount of frontends, backends and modules. . It is an ideal choice for the vast majority. Many of its features make users of Unix-like platforms have a fully modern input experience for the first time. It has also greatly lower the threshold for developers, making the development of extended funtions much easier than ever before. . This package provides GTK+ 3 version of the graphic configuration tool. Package: fcitx-config-gtk2 Source: fcitx-configtool Version: 0.4.4-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 105 Depends: fcitx-libs, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libunique-1.0-0 (>= 1.0.0), fcitx-config-common (= 0.4.4-1) Homepage: http://code.google.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-configtool/fcitx-config-gtk2_0.4.4-1_armhf.deb Size: 32814 SHA256: 1791586f255f1dfedbd25315712d605a9d690509513217a8ad985c5950b5034b SHA1: 866c5b053acf875114794380bc9f7c6f492878a0 MD5sum: 866b25ea9000215511a892510e65605d Description: graphic Fcitx configuration tool - Gtk+ 2 version Fcitx is the Free Chinese Input Toy of X, which was initially designed for Chinese users, and used XIM protocol. Now it has already evolved into a highly modularized, feature rich input method framework for Unix-like platforms supporting a considerable amount of frontends, backends and modules. . It is an ideal choice for the vast majority. Many of its features make users of Unix-like platforms have a fully modern input experience for the first time. It has also greatly lower the threshold for developers, making the development of extended funtions much easier than ever before. . This package provides GTK+ 2 version of the graphic configuration tool. Package: fcitx-data Source: fcitx Version: 1:4.2.4.1-7 Installed-Size: 226 Maintainer: IME Packaging Team Architecture: all Replaces: fcitx (<< 1:4.2.0) Recommends: fcitx-bin Suggests: fcitx (>= 1:4.2.0) Breaks: fcitx (<< 1:4.2.0) Size: 134666 SHA256: b2d4f226d1c49f79f6ac0a31766109e20c3394fce230374cfe6628fbac3ca36b SHA1: c080c129d40ac58d78b09c4a32fcaf34d580c6d2 MD5sum: 64c79b7b1baa2fc603fc7c63c9ab12ab Description: Flexible Input Method Framework - essential data files Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the essential data files. If you are looking for a working input experience, please install the package "fcitx" instead. Multi-Arch: foreign Homepage: http://code.google.com/p/fcitx/ Tag: accessibility::input, role::app-data, use::typesetting Section: utils Priority: optional Filename: pool/main/f/fcitx/fcitx-data_4.2.4.1-7_all.deb Package: fcitx-dbg Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 2688 Depends: fcitx-libs (= 1:4.2.4.1-7) Breaks: fcitx (<< 1:4.2.0) Replaces: fcitx (<< 1:4.2.0) Multi-Arch: same Homepage: http://code.google.com/p/fcitx/ Priority: extra Section: debug Filename: pool/main/f/fcitx/fcitx-dbg_4.2.4.1-7_armhf.deb Size: 2273466 SHA256: 32ec4f43cabcb6d5ebd663444474a8663ecfae7586d8439cb0c2856a79d83f8a SHA1: b3cb7f973479f189b7fec4dbb47a74a1ab2d11ad MD5sum: f3836086312877f5eea55f315dc6630c Description: Flexible Input Method Framework - debugging symbols Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the debugging symbols of the whole Fcitx. Package: fcitx-frontend-all Source: fcitx Version: 1:4.2.4.1-7 Installed-Size: 27 Maintainer: IME Packaging Team Architecture: all Recommends: fcitx-frontend-gtk2, fcitx-frontend-gtk3, fcitx-frontend-qt4 Size: 23262 SHA256: d70938e7226c03bfed4928b2da49067287e55d1b08245855ceea6651a28ca22d SHA1: e444a5ade0688b1f55aaee6813a87f4e794bd36f MD5sum: 2b3352c96bdb2df3ced02a496a8b750f Description: Flexible Input Method Framework - frontends metapackage Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This metapackage pulls in the following frontends: * XIM protocol client * GTK+ 2/3 IM Module * QT 4 IM Module Homepage: http://code.google.com/p/fcitx/ Section: utils Priority: optional Filename: pool/main/f/fcitx/fcitx-frontend-all_4.2.4.1-7_all.deb Package: fcitx-frontend-fbterm Source: fcitx-fbterm Version: 0.1.4-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 63 Depends: fcitx-libs, libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.12.0), fbterm, fcitx-bin, fcitx-data, fcitx-modules Recommends: fcitx-pinyin, fcitx-googlepinyin | fcitx-sunpinyin, fcitx-table-wubi | fcitx-table-wbpy Suggests: fcitx-tools Homepage: http://code.google.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-fbterm/fcitx-frontend-fbterm_0.1.4-1_armhf.deb Size: 14678 SHA256: acdb0a4db94ef952f9f9a1724af5d2d96d8071ebb86d796143e3a24a66fae98d SHA1: d1f86ac93f28f1b1c1f4b8ca2a6bf180fdb80fae MD5sum: d39a5424a53d5b2237810140d842528b Description: Flexible Input Method Framework - FbTerm frontend Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the FbTerm frontend, which is recommended for users who does not use X. Package: fcitx-frontend-gtk2 Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 92 Depends: fcitx-libs, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.26.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), fcitx-module-dbus Recommends: fcitx-frontend-gtk3 Multi-Arch: same Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-frontend-gtk2_4.2.4.1-7_armhf.deb Size: 33586 SHA256: 179e278ef037496b8e6adae4968a7b48af6a4868296af59a3a2a88875fc88c06 SHA1: 070eccd979ff654aae6be398df3ee415aadb38b9 MD5sum: 6b0e4115ade78ca30f2e359cb0f65e6a Description: Flexible Input Method Framework - GTK+ 2 IM Module frontend Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the GTK+ 2 IM Module frontend, which is recommended for all desktop users. Package: fcitx-frontend-gtk3 Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 92 Depends: fcitx-libs, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), fcitx-module-dbus Recommends: fcitx-frontend-gtk2 Multi-Arch: same Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-frontend-gtk3_4.2.4.1-7_armhf.deb Size: 33658 SHA256: d009b248b51ca858f5e2a51852888a1973fc467a4cdade436a46ba0e6b7b0828 SHA1: 1432ba85a6c0c0f5cf278c61ee981435d91e74dc MD5sum: f177d2408ace8f902a3adb6c78840c21 Description: Flexible Input Method Framework - GTK+ 3 IM Module frontend Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the GTK+ 3 IM Module frontend, which is recommended for all desktop users. This is the best solution if you are looking for a better input experience with GTK+ 3 applications. Package: fcitx-frontend-qt4 Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 209 Depends: fcitx-libs, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0), libx11-6, fcitx-module-dbus Multi-Arch: same Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-frontend-qt4_4.2.4.1-7_armhf.deb Size: 77424 SHA256: 1d232888420e59d10574afbbda26366ca85c17168c46ed920c363f71a4763b2e SHA1: dc815aef59a8f6636fb21e2ae806e8ea30c14071 MD5sum: 5958ef392bec27c94dc89bf3cfc51e3d Description: Flexible Input Method Framework - QT 4 IM Module frontend Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the QT 4 IM Module frontend, which is recommended for KDE4 desktop users. Package: fcitx-googlepinyin Version: 0.1.5-2 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 89 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgooglepinyin0, fcitx-bin, fcitx-data (>= 1:4.2.0), fcitx-modules Recommends: fcitx (>= 1:4.2.0) Breaks: fcitx (<< 1:4.2.0) Homepage: http://code.google.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-googlepinyin/fcitx-googlepinyin_0.1.5-2_armhf.deb Size: 9730 SHA256: 4c43582fa43ad22ec4b6d360d984ff853aeeebc65620fa217d819e4cfd5194a2 SHA1: 7bbf860ac28b12a4895198b8953a769bee96b7ca MD5sum: 18f862e1097818f100281fa8d1a2c538 Description: Fcitx wrapper for Google Pinyin IM engine fcitx-googlepinyin is a wrapper of Google Pinyin IM engine for Fcitx. . Google Pinyin is a fork of Google Pinyin on Android, it features to have excellent input experience and uses little resource. Package: fcitx-hangul Version: 0.1.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 167 Depends: fcitx-libs, libc6 (>= 2.13-28), libhangul1 (>= 0.1.0), fcitx-modules Recommends: fcitx Homepage: http://code.google.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-hangul/fcitx-hangul_0.1.1-1_armhf.deb Size: 22192 SHA256: 8e18aad2906855ca3dec036163d5e55ccea59b8f36b634b25f904c1b37261965 SHA1: 02cc8315bc937d7cd3fa283dd9752e73bfaf4658 MD5sum: 9f11fae14579ac0a1a7df9dcd49983cd Description: Free Chinese Input Toy of X - hangul module Fcitx is the Free Chinese Input Toy of X, which was initially designed for Chinese users, and used XIM protocol. Now it has already evolved into a highly modularized, feature rich input method framework for Unix-like platforms supporting a considerable amount of frontends, backends and modules. . It is an ideal choice for the vast majority. Many of its features make users of Unix-like platforms have a fully modern input experience for the first time. It has also greatly lower the threshold for developers, making the development of extended funtions much easier than ever before. . This package provides the hangul module, which uses libhangul, the Hangul keyboard input library. Package: fcitx-keyboard Version: 0.1.3-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 197 Depends: libc6 (>= 2.13-28), libenchant1c2a (>= 1.6), libicu48 (>= 4.8-1), libx11-6, libxkbfile1, libxml2 (>= 2.7.4) Homepage: http://code.google.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-keyboard/fcitx-keyboard_0.1.3-1_armhf.deb Size: 51556 SHA256: eeffce215ec9241e4d322bb00fc53c5c88b46c9155174ccfdab6af4676bbc4d2 SHA1: 232258fe4478552ef2c131dde530b0af56835263 MD5sum: 12494136f7b844b2912bd3ccabe50f5b Description: X Keyboard Integration with Fcitx This package will make Fcitx supports spell checker based word hint, and automatically keyboard layout switch. . You can use keyboard layout as a single input method, and use corresponding language spell checker. . Input method can gives a hint about what keyboard layout it requires, for instance, pinyin will request US layout and fcitx-keyboard can then switch to US layout once pinyin is used. Package: fcitx-libpinyin Version: 0.1.1-2 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 28575 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libpinyin0 Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-libpinyin/fcitx-libpinyin_0.1.1-2_armhf.deb Size: 6259360 SHA256: 2bd142b43fb3d49d4f087677b4eb4e5aeba7463f33b523769f1044066f226281 SHA1: d76b9c2f8d7fcbddef89c3d69254547855d6134a MD5sum: b9a9f0953aa35d2f91daf9eaf114a34b Description: Fcitx wrapper for libpinyin fcitx-libpinyin is a wrapper of libpinyin for Fcitx. . libpinyin is a set of core algorithms designed for intelligent sentence-based Chinese PinYin input methods. Package: fcitx-libs Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 262 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.26.0) Suggests: fcitx (>= 1:4.2.0) Breaks: fcitx (<< 1:4.2.0) Replaces: fcitx (<< 1:4.2.0) Multi-Arch: same Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: libs Filename: pool/main/f/fcitx/fcitx-libs_4.2.4.1-7_armhf.deb Size: 106582 SHA256: e077c8fcb23d8fe84ea291f4c890e943e6b8fd6cb9e6e175d7409017c21e45a6 SHA1: 90c33ee0283891d83e33349761bd1a413ae4109a MD5sum: 496faa61f0be13edf4bc92186a2e3c6a Description: Flexible Input Method Framework - library files Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package contains three libraries: * libfcitx-core - core functions of Fcitx * libfcitx-config - support library for Fcitx configurations * libfcitx-utils - utility support library for Fcitx Package: fcitx-libs-dev Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 848 Depends: fcitx-libs (= 1:4.2.4.1-7) Breaks: fcitx (<< 1:4.2.0) Replaces: fcitx (<< 1:4.2.0) Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: libdevel Filename: pool/main/f/fcitx/fcitx-libs-dev_4.2.4.1-7_armhf.deb Size: 197654 SHA256: e2e576e99675625b449763fd4f751546d977ec78cf965361c09ee7ad8dd67297 SHA1: caf23777785f68904834c83929a96c32c2972eca MD5sum: acd52ee459a77c1af2613c03a6eaef8f Description: Flexible Input Method Framework - library development files Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the library development files. Package: fcitx-m17n Version: 0.1.2-2 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 115 Depends: libc6 (>= 2.13-28), libm17n-0 (>= 1.6.3), fcitx-modules Recommends: fcitx Homepage: http://code.google.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-m17n/fcitx-m17n_0.1.2-2_armhf.deb Size: 22218 SHA256: a4fd21d9c9e8fca111dd0d071d6b428426352aab343f905f428c187379c8f316 SHA1: a030125e0e8fece54237456ec6f9ecae24bb7728 MD5sum: 7e0469729a53820e4556826c0c7af1c4 Description: Free Chinese Input Toy of X - m17n module Fcitx is the Free Chinese Input Toy of X, which was initially designed for Chinese users, and used XIM protocol. Now it has already evolved into a highly modularized, feature rich input method framework for Unix-like platforms supporting a considerable amount of frontends, backends and modules. . It is an ideal choice for the vast majority. Many of its features make users of Unix-like platforms have a fully modern input experience for the first time. It has also greatly lower the threshold for developers, making the development of extended funtions much easier than ever before. . This package provides the m17n module, which uses libm17n, a multilingual text processing library for the C language. Package: fcitx-module-cloudpinyin Source: fcitx-cloudpinyin Version: 0.2.2-1+deb7u1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 94 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), fcitx-modules (>= 1:4.2.0) Recommends: fcitx (>= 1:4.2.0) Homepage: http://code.google.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-cloudpinyin/fcitx-module-cloudpinyin_0.2.2-1+deb7u1_armhf.deb Size: 15478 SHA256: 0f3f2827102725bf7cf4608dab5eb65084b328c092090871ba0855c26d5866b0 SHA1: 73b06350e434176a122fd2c711a118b1d2b80c15 MD5sum: aa59c03e8675d1e8b40a848f5742e46c Description: Flexible Input Method Framework - cloudpinyin module Fcitx is the Flexible Input Method Framework, which was initially designed for Chinese users, and used XIM protocol. Now it has already evolved into a highly modularized, feature rich input method framework for Unix-like platforms supporting a considerable amount of frontends, backends and modules. . It is an ideal choice for the vast majority. Many of its features make users of Unix-like platforms have a fully modern input experience for the first time. It has also greatly lower the threshold for developers, making the development of extended funtions much easier than ever before. . This package provides the cloudpinyin module, which supports to make use of Pinyin APIs on the Internet and display the first result as the second input candidate. . Please be aware by installing and enabling this module, Fcitx will send your input data to the Internet to retrive result candidates. Package: fcitx-module-dbus Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 110 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), fcitx-modules Multi-Arch: foreign Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-module-dbus_4.2.4.1-7_armhf.deb Size: 37892 SHA256: 813b02d82cbb3994139486842ca02487d909c2d6cda0940c1cbfc059521abc5c SHA1: b4fdf9fac174c9ec54da0eb0970aa24e977bbdb1 MD5sum: 72bd731dfd1c1780c0d25843fa90bfd3 Description: Flexible Input Method Framework - D-Bus module and IPC frontend Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the D-Bus module and IPC interface which are directly used by IM Modules and KIMPanel support for instance. Package: fcitx-module-kimpanel Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 101 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), fcitx-module-dbus Suggests: kdebase-bin, plasma-widgets-addons Breaks: fcitx (<< 1:4.2.0) Replaces: fcitx (<< 1:4.2.0), fcitx-ui-kimpanel Provides: fcitx-ui-kimpanel Multi-Arch: foreign Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-module-kimpanel_4.2.4.1-7_armhf.deb Size: 36466 SHA256: 0a5b5b462af36f1c4256bcc0b1b53da3d6381fe45179cdfda72e023e7132a85e SHA1: fbb2627858d8da35ef4e97e3baa4f84fe8697acd MD5sum: a52d83b7e679e6c2db51ccdf5705c174 Description: Flexible Input Method Framework - KIMPanel protocol module Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the KIMPanel protocol module of Fcitx, which implements a protocol to talk with D-Bus and is usually needed by the plugin for environments like KIMPanel/(Q)KIMToy, GNOME Shell and Unity. Package: fcitx-module-lua Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 100 Depends: libc6 (>= 2.13-28), liblua5.1-0, fcitx-modules Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-module-lua_4.2.4.1-7_armhf.deb Size: 37248 SHA256: 149e1e4a1c1fab893ea0d7de5eac0c0fd41d5fb4515d91bd63f69b1649af949d SHA1: 9396c47c1bb8b2844a87c29a01168eb4b743038c MD5sum: bc689a6ac4284346bdb26868d177b282 Description: Flexible Input Method Framework - Lua module Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the Lua module, which enables users to extend their usage with the Lua programming language. Package: fcitx-module-x11 Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 244 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libglib2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxinerama1, libxkbfile1, libxml2 (>= 2.7.4), libxrender1, fcitx-modules (>= 1:4.2.4.1-5) Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-module-x11_4.2.4.1-7_armhf.deb Size: 95060 SHA256: 940be6b32ea8e77c301e0c7e7b7e44cf005ae837dcfae38f3fe6390523c8da11 SHA1: 8039f9e86e737b2287d1abb709c47c0f9e401596 MD5sum: ea9b05f620c57915c1656c60915043f0 Description: Flexible Input Method Framework - X11 module and XIM frontend Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the X11 module, X11 utilities used by other addons such as fcitx-ui-classic, and is required for all desktop users. An Xlibs based virtual keyboard module has been also included in this package for it's an obvious feature needed by many desktop users. . XIM frontend (client) is placed in this package too because of its nature of being the basic input protocol supported by X. Package: fcitx-modules Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 424 Depends: libc6 (>= 2.13-28), libenchant1c2a (>= 1.6), libicu48 (>= 4.8-1), libopencc1 (>= 0.1.0), libpresage1 (>= 0.8.5), libxml2 (>= 2.7.4) Recommends: fcitx (>= 1:4.2.0), fcitx-module-dbus, fcitx-module-kimpanel, fcitx-module-lua, fcitx-module-x11 Breaks: fcitx (<< 1:4.2.0), fcitx-keyboard, fcitx-module-x11 (<< 1:4.2.4.1-5) Replaces: fcitx (<< 1:4.2.0), fcitx-keyboard, fcitx-module-x11 (<< 1:4.2.4.1-5) Provides: fcitx-keyboard Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-modules_4.2.4.1-7_armhf.deb Size: 149722 SHA256: 59c49edec9b67d1a73f850719dbe111a5a29e8cf8d9d945d31b8009f72a43cf5 SHA1: 62a0209286d40a5396ebe0cda8216ef63f394b17 MD5sum: 6a93af6a36c9ad85f6adcbcc64597b23 Description: Flexible Input Method Framework - core modules Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package includes the following common modules: * autoeng: automatically change to English mode while inputing specific string * chttrans: convert Simplified Chinese text to Traditional (libopencc backend) * fullwidthchar: full-width character input support * punc: punctuation conversion support * quickphrase: conveniently input English or from user-defined simple table * remote: change Fcitx state from terminal (used by fcitx-remote command) Package: fcitx-pinyin Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 5473 Depends: libc6 (>= 2.13-28), fcitx-bin, fcitx-data (>= 1:4.2.0), fcitx-modules Recommends: fcitx (>= 1:4.2.0) Breaks: fcitx (<< 1:4.2.0) Replaces: fcitx (<< 1:4.2.0) Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-pinyin_4.2.4.1-7_armhf.deb Size: 2244294 SHA256: 403bbaff3e8ce71d7443a843b43d64beec2094d04b12c2c982e6665ae784ffd9 SHA1: aa879fa791026352064f35e39cc3f8fd097a3148 MD5sum: b8d5fbaa19e3016a9cdcebca3262cf69 Description: Flexible Input Method Framework - classic Pinyin engine Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the classic Pinyin input method, which features very short responding time by loading the whole dict into RAM during activation. Package: fcitx-qw Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 84 Depends: libc6 (>= 2.13-28), fcitx (>= 1:4.2.0) Breaks: fcitx (<< 1:4.2.0) Replaces: fcitx (<< 1:4.2.0) Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-qw_4.2.4.1-7_armhf.deb Size: 27104 SHA256: d346c94732bb85db8cd30dfc9437709625a06f930b0fbe71546fdaea89a2611b SHA1: b7e491b09c891a49cb39dfde6e38daf73aa25f59 MD5sum: 31aeb05cf7632613b712b2a9637ea41d Description: Flexible Input Method Framework - QuWei engine Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the QuWei input method. Package: fcitx-sunpinyin Version: 0.3.7-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 236 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libsunpinyin3, sunpinyin-data Recommends: fcitx Homepage: http://code.google.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-sunpinyin/fcitx-sunpinyin_0.3.7-1_armhf.deb Size: 52552 SHA256: e5642617d9acf1a4f8f42048d14a3f9a6e2498e1e18202cb3937f9b253df811e SHA1: b33734800a92e89d16c5f07aa830c97d397da987 MD5sum: a2dcad229b6fb9f323296db016cb783a Description: fcitx wrapper for Sunpinyin IM engine fcitx-sunpinyin is a wrapper for Sunpinyin IM engine. . Sunpinyin is a statistical language model (SLM) based input method engine for Simplified Chinese, it features full sentence input. Package: fcitx-table Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 372 Depends: libc6 (>= 2.13-28), fcitx (>= 1:4.2.0), fcitx-pinyin (>= 1:4.2.0) Suggests: fcitx-table-all Breaks: fcitx (<< 1:4.2.0) Replaces: fcitx (<< 1:4.2.0) Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-table_4.2.4.1-7_armhf.deb Size: 118648 SHA256: 0c72be83de39510dc4553163fe15c1a1e669ce0374a701e2e171164446e80249 SHA1: 74db4f4b12abeeaacebc093c81b29f0a7dd4291a MD5sum: fe744bdfd92c1987b29a5d5159600a60 Description: Flexible Input Method Framework - table engine Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the table engine. By using this engine, Fcitx can support a large number of table-based input methods such as Wubi, WubiPinyin, etc. Package: fcitx-table-all Source: fcitx Version: 1:4.2.4.1-7 Installed-Size: 27 Maintainer: IME Packaging Team Architecture: all Recommends: fcitx-table-bingchan, fcitx-table-cangjie, fcitx-table-dianbaoma, fcitx-table-erbi, fcitx-table-wanfeng, fcitx-table-wbpy, fcitx-table-wubi, fcitx-table-ziranma Size: 23318 SHA256: 3410ee6e797423443f523a5f4df6f8333775dade9d10f868b10965560f87e266 SHA1: 3a199cf500d8ca4e2dab8e45aaa473c4d68272ee MD5sum: ab8aa579f5baf0b4d7fdc452b5a438c8 Description: Flexible Input Method Framework - tables metapackage Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This metapackage pulls in tables shipped with Fcitx main program: * Cangjie * Dianbaoma * Erbi * Bingchan * Wanfeng * WubiPinyin * Wubi * Ziranma Homepage: http://code.google.com/p/fcitx/ Tag: role::app-data, role::metapackage Section: utils Priority: optional Filename: pool/main/f/fcitx/fcitx-table-all_4.2.4.1-7_all.deb Package: fcitx-table-amharic Source: fcitx-table-other Version: 0.2.0+git20120621-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 56 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-other/fcitx-table-amharic_0.2.0+git20120621-1_armhf.deb Size: 4720 SHA256: 6e76a248882bc42514d4ce80c44e5e7e2f40e7297ace04db430e8cb139bb31c7 SHA1: 118bb82d78dfd8c9cfcc9cff2409955018269deb MD5sum: 0628170d6e3f45043c795715cbd6e1da Description: Flexible Input Method Framework - Amharic table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Amharic table used by the Fcitx table engine. Package: fcitx-table-arabic Source: fcitx-table-other Version: 0.2.0+git20120621-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 40 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-other/fcitx-table-arabic_0.2.0+git20120621-1_armhf.deb Size: 3274 SHA256: 1d5517cefc69cab0886389b1c6fa416efd6582238eccb37dddc259261e957288 SHA1: 4ca2df7d5f73cb86049eda0fa25fe7204ebe763b MD5sum: ef55efd68fe6d098f969bca5b6f4bafc Description: Flexible Input Method Framework - Arabic table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Arabic table used by the Fcitx table engine. Package: fcitx-table-array30 Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 763 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-array30_0.3.1-1_armhf.deb Size: 103268 SHA256: ef6a943102d811c518c7a0b2694f54b932b51c97a5380eacf52362cd5c8d7563 SHA1: 638463ce4076ff04a72c3d10a6455f5a6a87676b MD5sum: 1a81716ed06241007cc26ecc1d0b7817 Description: Flexible Input Method Framework - Array30 table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Array30 table used by the Fcitx table engine. Package: fcitx-table-array30-big Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 1916 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-array30-big_0.3.1-1_armhf.deb Size: 291086 SHA256: 492941bb0ed9ad064e96256a95bbe1f9d0d8f45f5036e5454c01ac01a7e58617 SHA1: 723e571bce137102536a3384bb49df0fbaf651e4 MD5sum: 76dbdaabd14b53d5f08d64a01bd185e3 Description: Flexible Input Method Framework - Array30-Big table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Array30-Big table used by the Fcitx table engine. Package: fcitx-table-bingchan Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 572 Depends: fcitx-table Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-table-bingchan_4.2.4.1-7_armhf.deb Size: 171478 SHA256: 392d7ff121d4db1f07d6188590aa95dbff75192406f360aa92d74d8ab4a84a45 SHA1: 53bdab5ea9da6fe402f86e7020a3ecdd6b921dc9 MD5sum: 6148ba71c3333ef04fc28f6640d32686 Description: Flexible Input Method Framework - Bingchan table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Bingchan table used by the Fcitx table engine. Package: fcitx-table-boshiamy Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 823 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-boshiamy_0.3.1-1_armhf.deb Size: 97770 SHA256: 54fa7155b550a1da708698b829efd40d63d485d3a9b007e0dbc81f872f549cae SHA1: 016bddd566453fd7ca59d7fa13d87611306b0cfc MD5sum: c779e9a494d85a88d66dd590ff2cdafd Description: Flexible Input Method Framework - Boshiamy table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Boshiamy table used by the Fcitx table engine. Package: fcitx-table-cangjie Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 216 Depends: fcitx-table Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-table-cangjie_4.2.4.1-7_armhf.deb Size: 64500 SHA256: bb6089e1c361d7c3c7fd0f733b42e09d273e034d413537b0ae2a6eae5d2eea93 SHA1: 832438488532c5d70665a5515b9a8854aeb5e99f MD5sum: 569aba5f1e77f254429f5ef13c336983 Description: Flexible Input Method Framework - Cangjie table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Cangjie table used by the Fcitx table engine. Package: fcitx-table-cangjie-big Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 1739 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-cangjie-big_0.3.1-1_armhf.deb Size: 264788 SHA256: e17280c357e09d2e8b281f34c4491f372a99ecbc84d3ba508ec394ab889dd462 SHA1: 9f23ea76c8d94e754e5e26b857eb257618feeb06 MD5sum: 76281ac36b7376c41308c9cae08073a0 Description: Flexible Input Method Framework - Cangjie-Big table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Cangjie-Big table used by the Fcitx table engine. Package: fcitx-table-cangjie3 Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 449 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-cangjie3_0.3.1-1_armhf.deb Size: 64978 SHA256: 9f59b25be1b314a9baee164ae3145e03bbaf5d6dd0e60350788ccf7ede3811a3 SHA1: 6a5bd92ce97569ecb800eddf1bbe4dbbcddf5ea1 MD5sum: 2eb6c48d751a15388ba7a4af8b177cc2 Description: Flexible Input Method Framework - Cangjie3 table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Cangjie3 table used by the Fcitx table engine. Package: fcitx-table-cangjie5 Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 1682 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-cangjie5_0.3.1-1_armhf.deb Size: 255434 SHA256: 564a9f6a4a4ac506bff3f2e1f6eeb82940f6e7cb87ad5fc5597aa8343fd021be SHA1: 045ac2858e5272cc3bc8898b0996ca2223686442 MD5sum: 45ee274bcfd8b1893dd3c7eed7d99f06 Description: Flexible Input Method Framework - Cangjie5 table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Cangjie5 table used by the Fcitx table engine. Package: fcitx-table-cantonese Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 335 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-cantonese_0.3.1-1_armhf.deb Size: 29958 SHA256: e689abebc226742c4e4d6b0db76c2fe64f6af55eedbd649121fe4ab85ef730e3 SHA1: 75cb59880b9075f558744b6ab7ed4365bbb40bcd MD5sum: 39e691c82cdd723ce8c8c1044e24638a Description: Flexible Input Method Framework - Cantonese table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Cantonese table used by the Fcitx table engine. Package: fcitx-table-cantonhk Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 382 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-cantonhk_0.3.1-1_armhf.deb Size: 29934 SHA256: 0f4fb69e3cb3683e8e1b67e3dd30e2b05643185bde7cc52198ea139c9841e5aa SHA1: fe988eda941a216c044ec4bb56a5ef95af6f7796 MD5sum: e183dc6e89b919cafaeafbc2360bba7f Description: Flexible Input Method Framework - Cantonhk table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Cantonhk table used by the Fcitx table engine. Package: fcitx-table-cns11643 Source: fcitx-table-other Version: 0.2.0+git20120621-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 2184 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-other/fcitx-table-cns11643_0.2.0+git20120621-1_armhf.deb Size: 165402 SHA256: 33f0d0954dd64170dd011cc383d20227b60ee0f3a8d3159383ad5dc6a4870617 SHA1: 3184c1bde734cdcb762ac1a3e0e2cf9d178ad1e5 MD5sum: 61ef68ab294377575796d4d32056f7f3 Description: Flexible Input Method Framework - Cns11643 table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Cns11643 table used by the Fcitx table engine. Package: fcitx-table-compose Source: fcitx-table-other Version: 0.2.0+git20120621-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 66 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-other/fcitx-table-compose_0.2.0+git20120621-1_armhf.deb Size: 6042 SHA256: 5e5398d9499957b0c0e0deab7aafbe8b7ab349e3ba1f68eb26d34d67cf4e50d9 SHA1: b8e7118f8f1929d5e93454d3d932d3e930d2ea0d MD5sum: 9505f54b0e32a4640c47fed538f537cb Description: Flexible Input Method Framework - Compose table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Compose table used by the Fcitx table engine. Package: fcitx-table-dianbaoma Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 202 Depends: fcitx-table Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-table-dianbaoma_4.2.4.1-7_armhf.deb Size: 57394 SHA256: ebe3d47ddbbbf092019d94a596c82de70482bb5310cf3557f2c1ae6c7c0df291 SHA1: 55cc711915d4ad5751cd4307a39cbf2902dce40f MD5sum: 42318e328f6322e0e5736491f4faa6b9 Description: Flexible Input Method Framework - Dianbaoma table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Dianbaoma table used by the Fcitx table engine. Package: fcitx-table-easy-big Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 3456 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-easy-big_0.3.1-1_armhf.deb Size: 633728 SHA256: f154e7fdbdb0bc2130eb3f85635709d146cbd9f5e0fba9f1315c506c099b22da SHA1: b4522b0c3463e4919d21e8654207751ad54e310a MD5sum: b888cc7f0d252b157b35fe40afadc175 Description: Flexible Input Method Framework - Easy-Big table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Easy-Big table used by the Fcitx table engine. Package: fcitx-table-emoji Source: fcitx-table-other Version: 0.2.0+git20120621-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 87 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-other/fcitx-table-emoji_0.2.0+git20120621-1_armhf.deb Size: 13616 SHA256: 214e59dfef174a561e23f2959af8d829f4c0fea9f4990bcadaa5374a2bc89cbb SHA1: 179c1ee8c5658c549815073d5a8ad6b9523f0add MD5sum: a4a2161d7df29c8acb3a97c957225e0b Description: Flexible Input Method Framework - Emoji table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Emoji table used by the Fcitx table engine. Package: fcitx-table-erbi Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 2000 Depends: fcitx-table Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-table-erbi_4.2.4.1-7_armhf.deb Size: 546128 SHA256: dfa08b8ac2ec8b06d3ae0722b725eb0e17ba3bf44d57a05568e9ccbc58bb3e18 SHA1: bafd9e23f82ed38f2d26271a3344c4997774ab95 MD5sum: c1ab24e08a92692206ecd9fae6db6d01 Description: Flexible Input Method Framework - Erbi table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Erbi table used by the Fcitx table engine. Package: fcitx-table-ipa-x-sampa Source: fcitx-table-other Version: 0.2.0+git20120621-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 60 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-other/fcitx-table-ipa-x-sampa_0.2.0+git20120621-1_armhf.deb Size: 4464 SHA256: 262308f533eba5845243897c55a3cd3e5a943fe11ea1533066d621dbb02decba SHA1: 89c1129e3eaab87c85b3d3467fe208fa3f4a6cde MD5sum: 0aa6d95a3c343a5011b0d57550b19387 Description: Flexible Input Method Framework - IPA-X-SAMPA table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides IPA-X-SAMPA table used by the Fcitx table engine. Package: fcitx-table-jyutping Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 352 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-jyutping_0.3.1-1_armhf.deb Size: 32062 SHA256: 1595f015c554415b858fbda827db84153509c3e0ee5e3e1463154bb7523199ac SHA1: 9c857bb77e6cc59528f3e8081eca10e3a547498b MD5sum: de4b849d49b583c922b432683fcbad8c Description: Flexible Input Method Framework - Jyutping table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Jyutping table used by the Fcitx table engine. Package: fcitx-table-latex Source: fcitx-table-other Version: 0.2.0+git20120621-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 71 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-other/fcitx-table-latex_0.2.0+git20120621-1_armhf.deb Size: 6512 SHA256: 87815960e8b8ce22079758653cd1038773f7970ce5fe7078e8b451a81256717c SHA1: b3e751f69a3bfb62d2cb9293d44db112c51d6f89 MD5sum: 2d211c5f1372ebfaad0a805a874f8adb Description: Flexible Input Method Framework - LaTeX table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides LaTeX table used by the Fcitx table engine. Package: fcitx-table-malayalam-phonetic Source: fcitx-table-other Version: 0.2.0+git20120621-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 117 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-other/fcitx-table-malayalam-phonetic_0.2.0+git20120621-1_armhf.deb Size: 10330 SHA256: 098c81dea5d7ecee1dba0803fd0b442ed911148494f8794fe4460aab52f11746 SHA1: 878aee87b6e57ab224dd9558755f1f0c77935f5b MD5sum: 07095c0a9746a0588cc766716350a0ae Description: Flexible Input Method Framework - Malayalam phonetic table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Malayalam phonetic table used by the Fcitx table engine. Package: fcitx-table-quick-classic Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 399 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-quick-classic_0.3.1-1_armhf.deb Size: 43304 SHA256: 9e9f7cce5cf864b4c8f779eee14e7e0d8f1aea917668f42d2ee2380bc3ef7708 SHA1: fb1e10ba0352b8124b348534912742b20b0bd4e9 MD5sum: d7b1c431ff57623fcfb970be76360b5e Description: Flexible Input Method Framework - Quick-Classic table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Quick-Classic table used by the Fcitx table engine. Package: fcitx-table-quick3 Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 399 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-quick3_0.3.1-1_armhf.deb Size: 36058 SHA256: f25d10a1d5eee6f62ab5700c4f04ccafc86aff657b8ba5256890a9c89ca7941b SHA1: da4ca4bc35cfd97be5b98213d540b6c9e52245fa MD5sum: 676d8d98c8e6b124a1c7434e1695ec5b Description: Flexible Input Method Framework - Quick3 table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Quick3 table used by the Fcitx table engine. Package: fcitx-table-quick5 Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 1404 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-quick5_0.3.1-1_armhf.deb Size: 127690 SHA256: 0a0b47a225f1d80edc7e85aadefb5fd7559ab21d6d56898f8220d4961c641a09 SHA1: 76f3bc6f1a7d62fffcbc4573424353c956de3aca MD5sum: d25a59294f77b567e5b676b963a53153 Description: Flexible Input Method Framework - Quick5 table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Quick5 table used by the Fcitx table engine. Package: fcitx-table-rustrad Source: fcitx-table-other Version: 0.2.0+git20120621-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 61 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-other/fcitx-table-rustrad_0.2.0+git20120621-1_armhf.deb Size: 6088 SHA256: 043d765bad752535ed25e20dd6f52a30e6113ebf85444fe6edcd506640a45ab0 SHA1: d2d46d06e0b72fbe155a822e424724ebd4dcf96a MD5sum: cd8efc747972166cc6177febcd909a58 Description: Flexible Input Method Framework - Rustrad table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Rustrad table used by the Fcitx table engine. Package: fcitx-table-scj6 Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 1942 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-scj6_0.3.1-1_armhf.deb Size: 198000 SHA256: 318ce98b20158d814435438b8c0ba21230244e93832f7f01e665036e384af061 SHA1: 11be881749529389e94c7109fc04887ce5f383bc MD5sum: 6267098790f5785f5ae2416df75a3bda Description: Flexible Input Method Framework - Scj6 table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Scj6 table used by the Fcitx table engine. Package: fcitx-table-stroke5 Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 199 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-stroke5_0.3.1-1_armhf.deb Size: 20204 SHA256: 0b5a2c51be799c668fda1f502ce9c5df10eee54c086daaae01b38b5da1b7a59f SHA1: 6d36661e8d2d754a92182e72e5032aea83304beb MD5sum: a5c5e4cdd7be7df465406cdb23c7eaf2 Description: Flexible Input Method Framework - Stroke5 table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Stroke5 table used by the Fcitx table engine. Package: fcitx-table-tamil-remington Source: fcitx-table-other Version: 0.2.0+git20120621-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 65 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-other/fcitx-table-tamil-remington_0.2.0+git20120621-1_armhf.deb Size: 5228 SHA256: 33cab642ab18c19afecc223e2cd2735796a69b66cb12dbe456b14b76a01a9d78 SHA1: f1e169893d53ccdff0d0a7011c2eb72b3779bb64 MD5sum: a7c2ae803201eb4fffeaec7f6b471ad1 Description: Flexible Input Method Framework - Tamil Remington table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Tamil Remington table used by the Fcitx table engine. Package: fcitx-table-thai Source: fcitx-table-other Version: 0.2.0+git20120621-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 57 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-other/fcitx-table-thai_0.2.0+git20120621-1_armhf.deb Size: 3672 SHA256: c62585e48c3b7551f7353630fb235732fd4846467da05fec7a51d3c295d8b5b1 SHA1: c8f1f08bba1e9a5d6451dea21278eb31a08e3901 MD5sum: 7786b5d92d8ab253cb6d4839e481bf70 Description: Flexible Input Method Framework - Thai table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Thai table used by the Fcitx table engine. Package: fcitx-table-translit Source: fcitx-table-other Version: 0.2.0+git20120621-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 61 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-other/fcitx-table-translit_0.2.0+git20120621-1_armhf.deb Size: 5790 SHA256: da13d345940b18594bf4832e7c0a348de9ca95c3994bb425311c04b4e824d9ea SHA1: d5c5178338348a0254f13ef0350090f0a638cdbb MD5sum: 5bce7379d4b5390f29cbf3aaf1b51c8d Description: Flexible Input Method Framework - Translit table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Translit table used by the Fcitx table engine. Package: fcitx-table-translit-ua Source: fcitx-table-other Version: 0.2.0+git20120621-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 61 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-other/fcitx-table-translit-ua_0.2.0+git20120621-1_armhf.deb Size: 5798 SHA256: b7b036c639958a9d1e5b8cdb1dd55627216160861d6785e3cd82dfc19647790c SHA1: 8ac4e641d75c825ecae3ce6916739f3cd6df1d16 MD5sum: 480537e41a44bb36996f0198db807614 Description: Flexible Input Method Framework - Ukrainian Translit table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Ukrainian Translit table used by the Fcitx table engine. Package: fcitx-table-viqr Source: fcitx-table-other Version: 0.2.0+git20120621-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 60 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-other/fcitx-table-viqr_0.2.0+git20120621-1_armhf.deb Size: 4690 SHA256: 4f854ea037f59d718363e06b22bc35714496720d248c523d85ed75e0730b93af SHA1: 5a061d3095692c1a55620f095c342fb79e241bde MD5sum: 51a3b20fc432032ae6781b856bd48304 Description: Flexible Input Method Framework - Viqr table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Viqr table used by the Fcitx table engine. Package: fcitx-table-wanfeng Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 2523 Depends: fcitx-table Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-table-wanfeng_4.2.4.1-7_armhf.deb Size: 689356 SHA256: 40bbc1a5968046936de67d8a3769c747c279085b895f660f8b06194c3d36d668 SHA1: c44f88bc28859c24fb7a123d6d5ef575ee712624 MD5sum: 93eceee192f2fafe69c5d9ec46f5c611 Description: Flexible Input Method Framework - Wanfeng table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Wanfeng table used by the Fcitx table engine. Package: fcitx-table-wbpy Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 10305 Depends: fcitx-table Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-table-wbpy_4.2.4.1-7_armhf.deb Size: 2292220 SHA256: 7bde05fed0fc372a3ad411f28bbab6fd57da0b7c6de79aa982667ffee75c3a3b SHA1: e8f93673ae90f28a3bb627f2b2c4476c63b53b58 MD5sum: 1fbce854019780f1f463fbff1f0c1c0d Description: Flexible Input Method Framework - WubiPinyin table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides WubiPinyin table used by the Fcitx table engine. Package: fcitx-table-wu Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 664 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-wu_0.3.1-1_armhf.deb Size: 64554 SHA256: 11dc2d2c8cf759de32217841e1de2b3836c2155b1507516ac55e282b836d6ee2 SHA1: 15513806180f33453b4443e53396e2d481653282 MD5sum: 5b048da3a6a832e4c6b20c3abedc4b5f Description: Flexible Input Method Framework - Wu table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Wu table used by the Fcitx table engine. Package: fcitx-table-wubi Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 2517 Depends: fcitx-table Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-table-wubi_4.2.4.1-7_armhf.deb Size: 709898 SHA256: f9efa9f531ad15e66dbc7a36c4e20e005af5894b823468004991c7b6f9c0a5eb SHA1: 919c24df1e309df28809624ca277269398e0c557 MD5sum: cfca14f23c0e8a805526b5b2a72f9cb7 Description: Flexible Input Method Framework - Wubi table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Wubi table used by the Fcitx table engine. Package: fcitx-table-wubi-large Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 3547 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-wubi-large_0.3.1-1_armhf.deb Size: 678134 SHA256: ecd7b36f6c5499931a3fb8d7755ebbbd7d247c2295c59c951556c8747d60677d SHA1: b8abd3944293ed6536ae299ae61fd239e9b5baee MD5sum: 2dbc7a52f81c1a91bca486bfb0901487 Description: Flexible Input Method Framework - Wubi-Large table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Wubi-Large table used by the Fcitx table engine. Package: fcitx-table-yawerty Source: fcitx-table-other Version: 0.2.0+git20120621-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 56 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-other/fcitx-table-yawerty_0.2.0+git20120621-1_armhf.deb Size: 3332 SHA256: 26d65b45b9221260ead12448e773a53cc826ca81024fe8f4dedb492bdc2cc8e2 SHA1: 6e6520e6d5a5a86609b80137ce228bc7e284f935 MD5sum: ef103d56374741f40078b21eadb0c62d Description: Flexible Input Method Framework - Yawerty table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Yawerty table used by the Fcitx table engine. Package: fcitx-table-zhengma Source: fcitx-table-extra Version: 0.3.1-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 2936 Depends: fcitx-table Homepage: http://code.googlecode.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-table-extra/fcitx-table-zhengma_0.3.1-1_armhf.deb Size: 565812 SHA256: 03743f2604a521c5a7c42241a9a1fda0cd7ad248d175b72ae2775fc1b2dfc43a SHA1: d7c073964f8ac7c8f2515c7dbf964c2900b79ed3 MD5sum: cb42a589b5cc605dd9215566c9f8e61a Description: Flexible Input Method Framework - Zhengma table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Zhengma table used by the Fcitx table engine. Package: fcitx-table-ziranma Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 1526 Depends: fcitx-table Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-table-ziranma_4.2.4.1-7_armhf.deb Size: 432434 SHA256: 7d7d08a4533e65cddc9613acb7f3fc925ed1ecffde414cfc5ce7aa70c4ae05a8 SHA1: d8f1e43c8a6e2bc41c53eb902bad95dbfe0019f8 MD5sum: 60e3db55cd2ce62be6d7b8373a5636fc Description: Flexible Input Method Framework - Ziranma table Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides Ziranma table used by the Fcitx table engine. Package: fcitx-tools Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 271 Depends: fcitx-libs, libc6 (>= 2.13-28) Suggests: fcitx Breaks: fcitx (<< 1:4.2.0) Replaces: fcitx (<< 1:4.2.0) Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-tools_4.2.4.1-7_armhf.deb Size: 109660 SHA256: 15be9ae01b8abe05dd0821256390f1a16c2050463850b8fe4812c331c30c7476 SHA1: 22364679ab392f4f28a8b9741e4f187afbe199f8 MD5sum: 63f411a67aacf27862c73a1e2e116169 Description: Flexible Input Method Framework - various tools Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the following tools: * createPYMB: create a Pinyin data file (.mb) * mb2org: convert a .mb data file to .org file * mb2txt: convert a .mb data file to text file * readPYBase: read Pinyin base file * readPYMB: read Pinyin data file (.mb) * scel2org: convert scel data file (Sougou) to .org file * txt2mb: convert text file to .mb data file Package: fcitx-ui-classic Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 255 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libx11-6, fcitx-module-x11 Recommends: fcitx (>= 1:4.2.0), zenity Breaks: fcitx (<< 1:4.2.0) Replaces: fcitx (<< 1:4.2.0) Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: utils Filename: pool/main/f/fcitx/fcitx-ui-classic_4.2.4.1-7_armhf.deb Size: 127090 SHA256: 66d8d5aa2c03758aa5577332af51af2e8c9645fc266abc1b382cb564a8c810dc SHA1: c570cf7a4a6b0cc6cced8deb16e8ec29d397a829 MD5sum: e8e1b07c2bb3ddeabf9b993d0ffd19e0 Description: Flexible Input Method Framework - Classic user interface Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package provides the classic user interface, which is suitable for most users. It also provides the following skins: * classic: Fcitx 3.x classic skin ported to new infrastructure * dark: the dark skin fits for dark desktop theme * default: the default skin Package: fcitx-ui-light Version: 0.1.3-2 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 145 Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libx11-6, libxft2 (>> 2.1.1), libxpm4 Homepage: http://code.google.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-ui-light/fcitx-ui-light_0.1.3-2_armhf.deb Size: 30324 SHA256: 180b3bbc64743f6fdc2c22b2ab03c75bb68b04f6f43954f514bff3eac68e0d22 SHA1: 5948c03fe4288960803cdbd0948b12b42e73d458 MD5sum: 6548fd2bcd657bb917d3d04d298336c6 Description: light weight xlibs and xft based UI for Fcitx fcitx-ui-light is a very light weight UI for Fcitx, using only xlibs and xft. It is directly inherited from the UI code base of Fcitx 3.x series. . This UI is intended to work on all X11 environment with minimal dependencies, so it can scale to more situations where none of Cairo, Pango or QT is available. Package: fcitx-unikey Version: 0.1.0-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 183 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), fcitx-modules Recommends: fcitx Homepage: http://code.google.com/p/fcitx Priority: optional Section: utils Filename: pool/main/f/fcitx-unikey/fcitx-unikey_0.1.0-1_armhf.deb Size: 46630 SHA256: 0457477549d00ea840dbd8f3860f44a8b1164c582b2a277e96a427fb54f80dcc SHA1: d301c3f1f6b7a1f83c09202895a461f08bffa8d7 MD5sum: 8a383061091ad8377d1e67d90814b6fc Description: Fcitx wrapper for Unikey engine Fcitx is the Free Chinese Input Toy of X, which was initially designed for Chinese users, and used XIM protocol. Now it has already evolved into a highly modularized, feature rich input method framework for Unix-like platforms supporting a considerable amount of frontends, backends and modules. . It is an ideal choice for the vast majority. Many of its features make users of Unix-like platforms have a fully modern input experience for the first time. It has also greatly lower the threshold for developers, making the development of extended funtions much easier than ever before. . This package provides the wrapper for Unikey engine, which is an input method for Vietnamese. Package: fckeditor Version: 1:2.6.6-3 Installed-Size: 3812 Maintainer: Frank Habermann Architecture: all Recommends: aspell Suggests: php5, perl, python Size: 990364 SHA256: 6b8f516266d2a6be8b452ccae85416cf6c88f342b691b93853291b08a592f280 SHA1: 0ee915247630aa197d7931abd2a470da844cba2e MD5sum: 637c316234f8dbdf5f251b38f4f4fc82 Description: rich text format javascript web editor FCKeditor is an HTML/DHTML editor for PHP, Java, Perl, Python, ASP, ASP.NET, ColdFusion, PHP, and JavaScript that brings to the Web much of the powerful functionality of known desktop editors like Word. It's very lightweight, and doesn't require any kind of installation on the client computer. Homepage: http://www.fckeditor.net Tag: implemented-in::TODO, interface::web, role::plugin, use::editing, use::text-formatting, use::typesetting, use::viewing, web::scripting, works-with-format::html, works-with::text Section: web Priority: optional Filename: pool/main/f/fckeditor/fckeditor_2.6.6-3_all.deb Package: fcmp Version: 1.18.20030311-3 Installed-Size: 13428 Maintainer: Lincoln de Sousa Architecture: all Recommends: freecraft (>= 1:1.18) Size: 8370700 SHA256: 1b733275ec22279e088ba2d707ea0468f8f8ac24789b7afd2d69caa41aea5c88 SHA1: 9780d9b727f76593058d3b4f6b46d2ec89e7ae8d MD5sum: 3612ceb89a324848bb9b7cb23f514f6f Description: Free media files for FreeCraft These are a set of free sounds and graphics for FreeCraft, a clone of the realtime fantasy strategy game, WarCraft II, from Blizzard Entertainment. . Note that this version contains almost all the files needed for a fair game experience, and has not anything to do with WarCraft II data (in fact spoofs it), as it's completely free. . This is the last stable snapshot from the FcMP website. Tag: game::strategy, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/f/fcmp/fcmp_1.18.20030311-3_all.deb Package: fcode-utils Version: 1.0.2-3 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 489 Depends: libc6 (>= 2.7) Homepage: http://www.openbios.org Priority: optional Section: misc Filename: pool/main/f/fcode-utils/fcode-utils_1.0.2-3_armhf.deb Size: 147892 SHA256: 9b33557a4d0a547579449a55ee74e7efe364a7dd402edd7d1f70c7aee1403e67 SHA1: ebd5269aa77cc920845c52fd8ae91bf1b7fe7d0d MD5sum: 4eb7ee6d4b81b0c994529ca5ca61ab80 Description: OpenBIOS FCode utilities FCode is a Forth programming language dialect compliant with ANS Forth. It can exist in two forms; source code and a compiled version, known as bytecode. It is of interest mainly for its use in OpenFirmware. . This package provides a set of FCode utilities: - the tokenizer toke - the detokenizer detok - a PCI rom header utility - a portable implementation of Forth local values Package: fcoe-utils Version: 1.0.23-1 Architecture: armhf Maintainer: Debian FCoE Maintainers Installed-Size: 198 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhbaapi2 (>= 2.2.2) Homepage: http://www.open-fcoe.org Priority: extra Section: misc Filename: pool/main/f/fcoe-utils/fcoe-utils_1.0.23-1_armhf.deb Size: 77756 SHA256: cdeb2f7d6fb078dff8a703dc6a15269af422852f19c2f11f2f8fa379d68a6f88 SHA1: 371d1f7ff6f8d0738cb5b8514e440294f58f943b MD5sum: ed31e2c06494330b70745cc249d4a790 Description: Fibre Channel over Ethernet utilities This package allows users to use Fibre Channel over Ethernet. It contains: fcoeadm - command line tool for configuring FCoE interfaces fcoemon - service to configure DCB Ethernet QOS filters, works with dcbd Package: fcrackzip Version: 1.0-4 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 99 Depends: libc6 (>= 2.7) Suggests: unzip, wordlist Homepage: http://freshmeat.net/projects/fcrackzip Priority: optional Section: utils Filename: pool/main/f/fcrackzip/fcrackzip_1.0-4_armhf.deb Size: 34420 SHA256: 915dfef062caaae8dcbd3f8f149ede3036926990d5509514e6af9a5e17c61df2 SHA1: b619a27cd1419980a2a4364c605320dc3ce05810 MD5sum: 4c6e5c827d9c37818e6513bd66f90f85 Description: password cracker for zip archives fcrackzip is a fast password cracker partly written in assembler. It is able to crack password protected zip files with brute force or dictionary based attacks, optionally testing with unzip its results. It can also crack cpmask'ed images. Package: fdclone Version: 3.00k-1 Architecture: armhf Maintainer: Elías Alejandro Año Mendoza Installed-Size: 1028 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5 Homepage: http://hp.vector.co.jp/authors/VA012337/soft/fd/ Priority: optional Section: shells Filename: pool/main/f/fdclone/fdclone_3.00k-1_armhf.deb Size: 617036 SHA256: 9484288c71140154a5b1d6b8128e37f669e61dfae75c37f8110cc7116dfac9cf SHA1: 76a1fc5e4f18d53a36262988f9c9ad4eeed5e34a MD5sum: 69f93992c32eb7edae6c4efe11d5755c Description: console-base lightweight file manager FD (FD represents "File and Directory") is an easy-to-use file management tool for Un*x newbies. As its name shows, this is a rewrite from scratch - the original version was written by Atsushi Idei for MS-DOS(tm) and once very popular in Japan. Messages are available either in English or in Japanese. Package: fdflush Version: 1.0.1.3 Architecture: armhf Maintainer: Diego Fernández Durán Installed-Size: 44 Depends: libc6 (>= 2.4) Priority: optional Section: utils Filename: pool/main/f/fdflush/fdflush_1.0.1.3_armhf.deb Size: 6702 SHA256: 094b65a7e49507e1ac41988f06cf3fb484423df6c060abf6a4eeab8ed0071b5d SHA1: 31d159ffa5d20e75ed9d19c51ff6385ee7af7e99 MD5sum: bb76b049bd9304f05d381466ba2d3522 Description: Flush out-of-date disk buffers fdflush is a band-aid for floppy (or other) drives with bad disk-change sensing. fdflush makes the system believe the disk-change switch has been triggered forcing the system to discard the buffered data. . If you have one of these slightly-broken disk drives, you'll have to run fdflush every time you change a disk. . fdflush is useful for computers which might be sleeping when you change floppies or other removable media. . The command "floppycontrol -f" does the same thing, but the fdutils package is significantly larger; it only uses the floppy-specific flush ioctl. . The command "blockdev --flushbufs" only uses the generic flush ioctl. Package: fdm Version: 1.6+cvs20111013-2 Architecture: armhf Maintainer: Frank Terbeck Installed-Size: 401 Depends: libc6 (>= 2.13-28), libpcre3 (>= 8.10), libssl1.0.0 (>= 1.0.0), libtdb1 (>= 1.2.7+git20101214), zlib1g (>= 1:1.1.4), adduser Homepage: http://fdm.sf.net Priority: optional Section: mail Filename: pool/main/f/fdm/fdm_1.6+cvs20111013-2_armhf.deb Size: 175004 SHA256: 44b324f51c435f2c9fe2d74c940e3c82f57a195890ccef7cfa1e515924440300 SHA1: 4a4a1e76a33f7bb251d09fa91f614b8434817528 MD5sum: db63b0093534488856581b85735e3999 Description: fetching, filtering and delivering emails fdm is a program to fetch mail and deliver it in various ways depending on a user-supplied ruleset. Mail may be fetched from stdin, IMAP or POP3 servers, or from local maildirs, and filtered based on whether it matches a regexp, its size or age, or the output of a shell command. It can be rewritten by an external process, dropped, left on the server or delivered into maildirs, mboxes, to a file or pipe, or any combination. . fdm is designed to be lightweight but powerful, with a compact but clear configuration syntax. It is primarily designed for single-user uses but may also be configured to deliver mail in a multi-user setup. In this case, it uses privilege separation to minimise the amount of code running as the root user. Package: fdpowermon Version: 1.5 Installed-Size: 44 Maintainer: Wouter Verhelst Architecture: all Depends: libgtk2-perl, acpi, perl, fdpowermon-icons | oxygen-icon-theme Size: 5032 SHA256: f01ff08193fcde7eee13414d790e556893a95f1cefb8847fcd78ba06e62955e7 SHA1: 65dc9f8821e2e3baf111e9148ba26a2c18055bf2 MD5sum: 40418546903245b2e19c2fac1d7f9c08 Description: simple battery power monitor for laptops with ACPI fdpowermon is a simple perl script that installs an icon in a system tray compatible with the freedesktop.org specification. . Every three seconds, fdpowermon calls acpi to find out what the current battery level is. It will set the output of the acpi command as a tooltip text, and will update the used icon as appropriate. . It has no other 'features' like trying to manage your processor speed or display backlight for you, preferring to let other subsystems (or none at all) do that for you. Tag: hardware::laptop, implemented-in::perl, role::program, scope::utility, uitoolkit::gtk, use::monitor Section: x11 Priority: extra Filename: pool/main/f/fdpowermon/fdpowermon_1.5_all.deb Package: fdpowermon-icons Source: fdpowermon Version: 1.5 Installed-Size: 55 Maintainer: Wouter Verhelst Architecture: all Conflicts: oxygen-icon-theme Size: 14172 SHA256: c11e80d67d796e5c936a06d685b5870cb8292b8e7741cac94ffec7abd463b9a0 SHA1: 59cdf63c036b88065f5fa64334b924b1f5d7af62 MD5sum: 87527461e1cf74b9f6e7f02e6128ffc8 Description: icons for fdpowermon fdpowermon is a simple perl script that installs an icon in a system tray compatible with the freedesktop.org specification. . By default, it uses an icon set from the 'oxygen' icon theme. However, that icon set is fairly large (several tens of megabytes), which is a waste if the oxygen-icon-theme package is not installed for other reasons. Therefore, this package contains just those icons from the oxygen-icon-theme package that are needed by fdpowermon, and nothing more. Section: x11 Priority: extra Filename: pool/main/f/fdpowermon/fdpowermon-icons_1.5_all.deb Package: fdupes Version: 1.50-PR2-4 Architecture: armhf Maintainer: Sandro Tosi Installed-Size: 66 Depends: libc6 (>= 2.13-28) Homepage: http://code.google.com/p/fdupes/ Priority: optional Section: utils Filename: pool/main/f/fdupes/fdupes_1.50-PR2-4_armhf.deb Size: 20426 SHA256: 8648f67a6ed3105098ca9b096a02c7843dafeea6e231f3e074d6791fa3f1a3e5 SHA1: 30a93abf940b4d358ac6e315c3bfe7edbf6b2530 MD5sum: 53b0263529f6a4a2ae4dd540b7e08558 Description: identifies duplicate files within given directories FDupes uses md5sums and then a byte by byte comparison to find duplicate files within a set of directories. It has several useful options including recursion. Package: febootstrap Version: 3.17-1 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 754 Depends: e2fslibs (>= 1.42), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgcc1 (>= 1:4.4.0), aptitude, cpio Homepage: http://people.redhat.com/~rjones/febootstrap/ Priority: optional Section: admin Filename: pool/main/f/febootstrap/febootstrap_3.17-1_armhf.deb Size: 323404 SHA256: e633becb5129691cb3026948eb342d18ed2e8f4da0c359ece2080bbf8ca02477 SHA1: 69dde0ab34645b89c0750e3129f8bffdc6123eb7 MD5sum: 2e5c0c3439e0b13a4979229f11522894 Description: tool for building supermin appliances. febootstrap is a tool for building supermin appliances. These are tiny appliances [similar to virtual machines], usually around 100KB in size, which get fully instantiated on-the-fly in a fraction of a second when one of them is booted. Package: feed2imap Version: 1.0-2 Installed-Size: 300 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby1.8, librmail-ruby1.8, ruby-feedparser Suggests: imap-client, imap-server Size: 53434 SHA256: 090c25c6a2cc5c38b383c3c2f425ffeff432f07e478e41271da5f807d12d9d53 SHA1: 1849416b12470b68d62149f5f6191ca5fb5829db MD5sum: 18038971a8c9af8a8e498d998c819a2f Description: feed aggregator (RSS/Atom) which puts items on a IMAP mail server Feed2Imap is an RSS/Atom feed aggregator. After Downloading feeds (over HTTP or HTTPS), it uploads them to a specified folder of an IMAP mail server. The user can then access the feeds using a mail reader like Mutt, Evolution, Mozilla Thunderbird or even a webmail. . The main advantage over rss2email is that feed2imap uploads emails itself to a specified folder. You don't have to play with procmail or your MUA's filtering rules to classify mails. Homepage: http://home.gna.org/feed2imap/ Ruby-Versions: ruby1.8 Tag: implemented-in::ruby, interface::commandline, network::client, protocol::http, protocol::imap, protocol::ssl, role::program, scope::utility, use::downloading, use::monitor, use::storing, web::blog, works-with-format::xml, works-with-format::xml:rss, works-with::mail Section: net Priority: optional Filename: pool/main/f/feed2imap/feed2imap_1.0-2_all.deb Package: feed2omb Version: 0.9.2-1 Installed-Size: 90 Maintainer: Raphaël Hertzog Architecture: all Depends: python-configobj, python-feedparser, python Recommends: python-xmpp Size: 22834 SHA256: 51dfe64233ef434c32e45e2e72db569fdd4ca06c99f58a9acc1a897cf9e5ebe1 SHA1: 0172a27995a13f042cf8e0f8ac3afda275eab970 MD5sum: 8ec508c9b724d6ec7dc9d234c9067520 Description: Announces new articles in a feed to an open microblogging service feed2omb is a simple tool that takes input from Atom or RSS feeds and posts updates to the open microblogging service of your choice. . Features: * Flexible processing of multiple feeds * Various ways of forming the message from the feed content * Ability to apply regular expressions to the message - RegEx * Include links or not * Support for various URL-shortening services - UrlShortening * Auto-generation of hashtags from feed categories - HashTags * Configurable rate limiting - FloodControl * Support for sending to XMPP as well/instead - XMPP Homepage: http://projects.ciarang.com/p/feed2omb/ Section: net Priority: optional Filename: pool/main/f/feed2omb/feed2omb_0.9.2-1_all.deb Package: feh Version: 2.3-2+deb7u1 Architecture: armhf Maintainer: Debian PhotoTools Maintainers Installed-Size: 285 Depends: giblib1 (>= 1.2.4), libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libimlib2, libpng12-0 (>= 1.2.13-4), libx11-6, libxinerama1 Recommends: libjpeg-progs Homepage: http://feh.finalrewind.org/ Priority: optional Section: graphics Filename: pool/main/f/feh/feh_2.3-2+deb7u1_armhf.deb Size: 188126 SHA256: fd13a3ba6424963cfedbad162010fb826377df35f55b4d24a6e7a4b107285cce SHA1: bd0c00f87a3f9f49dd32f6e84ae7aeee8580e11f MD5sum: e52b9504a1487a20ae0b16cd9c664f2e Description: imlib2 based image viewer feh is a fast, lightweight image viewer which uses imlib2. It is commandline-driven and supports multiple images through slideshows, thumbnail browsing or multiple windows, and montages or index prints (using TrueType fonts to display file info). Advanced features include fast dynamic zooming, progressive loading, loading via HTTP (with reload support for watching webcams), recursive file opening (slideshow of a directory hierarchy), and mouse wheel/keyboard control. Package: felix-latin Version: 2.0-3.1 Architecture: armhf Maintainer: Georges Khaznadar Installed-Size: 153 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), felix-latin-data, djvulibre-bin Homepage: http://home.gna.org/felix/ Priority: extra Section: misc Filename: pool/main/f/felix-latin/felix-latin_2.0-3.1_armhf.deb Size: 47412 SHA256: 6bce718610fe4be59134928593041f91bfa626d1c8b912b19d1546bdda768761 SHA1: a67c1c7ef4a285fc0aebd998920a3ffbc7c47f78 MD5sum: e95b0980d1ad6305d92629dc1906f07e Description: Latin-French dictionary, by Felix Gaffiot Félix Gaffiot was born in 1870 in Liesle (Doubs, France) and is dead in 1937, he is a philologue and a teacher of French, he authored a reference Latin-French dictionary. . The program "felix" allows to search easily in the Latin-French dictionary. You type a Latin word, and you get an image scanned from the dictionary. The graphic interface allows to zoom and pan this image easily. Package: felix-latin-data Source: felix-latin Version: 2.0-3.1 Installed-Size: 104970 Maintainer: Georges Khaznadar Architecture: all Size: 98832714 SHA256: 7f4a70a827525c97dd2dbadc26f340100d07b553eae3751fb2ce6672f958e548 SHA1: e408b5eaaa2b446090d4f7d2df7b2297f98c6aac MD5sum: ea13c829efa020fe21a51c60e1269e39 Description: Scanned pages of Felix Gaffiot's Latin-French dictionary Félix Gaffiot was born in 1870 in Liesle (Doubs, France) and is dead in 1937, he is a philologue and a teacher of French, he authored a reference Latin-French dictionary. . this package provides the data for the program "felix". Felix allows to search easily in the Latin-French dictionary. You type a Latin word, and you get an image scanned from the dictionary. The graphic interface allows to zoom and pan this image easily. Homepage: http://home.gna.org/felix/ Tag: field::linguistics, role::app-data, use::learning Section: misc Priority: extra Filename: pool/main/f/felix-latin/felix-latin-data_2.0-3.1_all.deb Package: felix-main Version: 4.0.1-2 Installed-Size: 82 Maintainer: Debian Java Maintainers Architecture: all Depends: libfelix-bundlerepository-java, libfelix-gogo-command-java, libfelix-gogo-runtime-java, libfelix-gogo-shell-java, libfelix-main-java (= 4.0.1-2) Suggests: libfelix-main-java-doc Size: 8314 SHA256: 39913bac5a5bfc8c469993ad9265a08dd69ba761814a4862ab79e4a30f59f4da SHA1: dd5cecc861fa6f5d0fbdcde403a4d24faac8e618 MD5sum: 7a4ae97e4bff446f572f133531d24ea0 Description: Execution environment for OSGi Felix Framework The Felix Framework subproject is an implementation of the OSGi R4.2 core framework specification. . This package contains bootstrap tools to run Felix Framework. Homepage: http://felix.apache.org/site/ Section: java Priority: optional Filename: pool/main/f/felix-main/felix-main_4.0.1-2_all.deb Package: fence-agents Version: 3.1.5-2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 703 Depends: python, libc6 (>= 2.13-28), libnspr4-0d (>= 1.8.0.10), libnss3-1d (>= 3.12.0~1.9b1) Recommends: libnet-telnet-perl, openssh-client, python-pexpect, python-pycurl, sg3-utils, snmp Conflicts: cman (<= 3.0.12-2ubuntu4) Replaces: cman (<= 3.0.12-2ubuntu4) Homepage: http://sources.redhat.com/cluster/wiki/ Priority: optional Section: admin Filename: pool/main/f/fence-agents/fence-agents_3.1.5-2_armhf.deb Size: 403818 SHA256: 5691265b71624446479939e71c91ed5cb76901cf811b037f71b6e210c9a8b685 SHA1: 6ea443f4411d7790bc06bbf55c8b2a1ef86c8f4f MD5sum: d66793bb5e07fc2b379b9e757c5c633b Description: Fence Agents for Red Hat Cluster Red Hat Fence Agents is a collection of scripts to handle remote power management for several devices. They allow failed or unreachable nodes to be forcibly restarted and removed from the cluster. Package: fenics Version: 1:1.0.0-1 Installed-Size: 34 Maintainer: Debian Science Team Architecture: all Depends: libdolfin1.0-dev (>= 1.0.0), python-dolfin (>= 1.0.0), dolfin-doc (>= 1.0.0), dolfin-bin (>= 1.0.0), python-ferari (>= 1.0.0), python-ffc (>= 1.0.0), python-fiat (>= 1.0.0), python-instant (>= 1.0.0), ufc (>= 2.0.5), python-ufc (>= 2.0.5), ufc-doc (>= 2.0.5), python-viper (>= 1.0.0), python-ufl (>= 1.0.0), python-ufl-doc (>= 1.0.0), libsyfi1.0-dev (>= 1.0-beta), python-syfi (>= 1.0-beta), syfi-doc (>= 1.0-beta), sfc (>= 1.0-beta) Recommends: python-scitools Size: 2770 SHA256: e2d7e23847d5aa0beaee49160641e2a6f169b3df2b437a52ed3954b862ec9ae6 SHA1: 2717d74a6f2e12b7852dc522e2de8f7b3e56b8a8 MD5sum: 483aebe2f3cecdd6fb31eaa61a3aa393 Description: automation of computational mathematical modeling The vision of FEniCS is to set a new standard in Computational Mathematical Modeling (CMM), which is the Automation of CMM (ACMM), towards the goals of generality, efficiency and simplicity, concerning mathematical methodology, implementation and application. FEniCS is organized as a collection of sub projects/components, including DOLFIN, FErari, FFC, FIAT, Instant, SyFi UFC, UFL, and Viper. Homepage: http://fenicsproject.org Tag: field::mathematics, role::metapackage Section: math Priority: extra Filename: pool/main/f/fenics/fenics_1.0.0-1_all.deb Package: fenix Version: 0.92a.dfsg1-9 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 748 Depends: libc6 (>= 2.13-28), libgif4 (>= 4.1.4), libpng12-0 (>= 1.2.13-4), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libx11-6, zlib1g (>= 1:1.1.4) Homepage: http://fenix.divsite.net/ Priority: extra Section: devel Filename: pool/main/f/fenix/fenix_0.92a.dfsg1-9_armhf.deb Size: 313218 SHA256: c87f87e64f759713e50c959dfb661c7e5edfae1e87303e32bcb6f7ef1f470394 SHA1: a510e974ebce1f9d1a66cd67dc750a1e74871cab MD5sum: 1ef0b0712554e68e2673b6bb91022b32 Description: development environment for making 2D games Fenix is an interpreted script programming language, especially designed to developing and running 2D games. It has a full graphic library, sound engine and full featured 2D game engine, making game development extremely easy. Package: fenix-dev Source: fenix Version: 0.92a.dfsg1-9 Installed-Size: 184 Maintainer: Debian Games Team Architecture: all Depends: zlib1g-dev, libsdl1.2-dev Suggests: fenix Size: 36988 SHA256: b78d308c3e708027eb78daf35473814aff0be18ab29e9b0866033c000525fc5b SHA1: 94a450ff6714f40900b7430efa3ad26782349e7d MD5sum: ff20c4a22d7e2a24d189c09f33c4360c Description: development environment for making 2D games - header files Fenix is an interpreted script programming language, especially designed to developing and running 2D games. It has a full graphic library, sound engine and full featured 2D game engine, making game development extremely easy. . This package includes the development headers needed for compiling plugins for the fenix system. Homepage: http://fenix.divsite.net/ Tag: devel::library, role::devel-lib, uitoolkit::sdl Section: devel Priority: extra Filename: pool/main/f/fenix/fenix-dev_0.92a.dfsg1-9_all.deb Package: fenix-plugin-mpeg Source: fenix-plugins Version: 0.0.20070803-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 68 Depends: libc6 (>= 2.13-28), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libsmpeg0, libstdc++6 (>= 4.1.1), zlib1g (>= 1:1.1.4) Homepage: http://fenix.divsite.net/ Priority: extra Section: devel Filename: pool/main/f/fenix-plugins/fenix-plugin-mpeg_0.0.20070803-5_armhf.deb Size: 12778 SHA256: c83dca1de49c707400b46d6429d57b4c3f6ca903b9a21660eb4a6c8519726200 SHA1: b8ccd00612ccc1c62912e8d7d61ae7c41c16c611 MD5sum: 6465168040baef56ea6967bfd1dd1275 Description: mpeg plugin for the Fenix Game Development System Fenix is an interpreted script programming language, especially designed to developing and running 2D games. It has a full graphic library, sound engine and full featured 2D game engine, making game development extremely easy. . This package includes a plugin to allow viewing mpeg files from a Fenix program. Package: fenix-plugins Version: 0.0.20070803-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 197 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libsdl-image1.2 (>= 1.2.10), libsdl-net1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4) Homepage: http://fenix.divsite.net/ Priority: extra Section: devel Filename: pool/main/f/fenix-plugins/fenix-plugins_0.0.20070803-5_armhf.deb Size: 46144 SHA256: d2c801c8eb43199588e25bb73ec885bbee093d77d2699e21a9c04d545d5d79f5 SHA1: 870bc522570409b6eab51c74a6b586835006c0a5 MD5sum: 51d49b860c8db7a6f511ce7a4876f2d9 Description: plugins for the Fenix Game Development System Fenix is an interpreted script programming language, especially designed to developing and running 2D games. It has a full graphic library, sound engine and full featured 2D game engine, making game development extremely easy. . This package includes binary addons to extend the functionality of Fenix. . Plugins included in this package: image, ttf, net, fgfx, fire and agua. Package: fenix-plugins-system Source: fenix-plugins Version: 0.0.20070803-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 117 Depends: libc6 (>= 2.13-28), libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4) Homepage: http://fenix.divsite.net/ Priority: extra Section: devel Filename: pool/main/f/fenix-plugins/fenix-plugins-system_0.0.20070803-5_armhf.deb Size: 22008 SHA256: 44c4f110c505fd7b37e78581346757999243cc280f84c2f6ad3d252713c03a7e SHA1: fc0595e0fb58aaed474f0cf9aa393f1bb1765ad9 MD5sum: 63e90309a35ba3c01bb943bd2b9f529e Description: system plugins for the Fenix Game Development System Fenix is an interpreted script programming language, especially designed to developing and running 2D games. It has a full graphic library, sound engine and full featured 2D game engine, making game development extremely easy. . This package includes binary addons to extend the functionality of Fenix. . Plugins included in this package: exec, fsock and tcpsock. Package: ferm Version: 2.1-5 Installed-Size: 317 Maintainer: Alexander Wirt Architecture: all Depends: perl (>= 5.6), iptables (>= 1.3), debconf (>= 1.2.0), lsb-base (>= 3.0-6) Recommends: libnet-dns-perl Size: 111432 SHA256: d59577e79db24470efef3ff8159f02594581d6fa4f03812fc3ce4cf2d9bd0c93 SHA1: 93a3ab9a72915ce62e2923d5bb6d1a8a52899672 MD5sum: e5ca21091a20a6963c7dc3979b3ffe23 Description: maintain and setup complicated firewall rules ferm is a frontend for iptables. It reads the rules from a structured configuration file and calls iptables(8) to insert them into the running kernel. . ferm's goal is to make firewall rules easy to write and easy to read. It tries to reduce the tedious task of writing down rules, thus enabling the firewall administrator to spend more time on developing good rules than the proper implementation of the rule. . To achieve this, ferm uses a simple but powerful configuration language, which allows variables, functions, arrays, blocks. It also allows you to include other files, allowing you to create libraries of commonly used structures and functions. . ferm, pronounced "firm", stands for "For Easy Rule Making". Homepage: http://ferm.foo-projects.org/ Tag: devel::compiler, interface::commandline, network::firewall, protocol::ipv6, role::program, scope::utility, security::firewall, use::configuring Section: net Priority: optional Filename: pool/main/f/ferm/ferm_2.1-5_all.deb Package: ferret Version: 0.6-3 Installed-Size: 1236 Maintainer: Fernando Ike de Oliveira Architecture: all Depends: tk8.4 | wish (>= 8.4), bwidget (>= 1.7.0) Suggests: postgresql | mysql-server Size: 296100 SHA256: 8fee183379f5f9e491364cbaacb463add07c3bd49c2a6beacafb49b710cd4582 SHA1: 2158ad63e23708af8f3c7394745da14da04c14fe MD5sum: 912e756af4d224173d8da236bfa2523d Description: CASE tool for data model editing GNU Ferret (Free Entity Relationship and Reverse Engineering Tool) servers to edit data models. These can be implemented whitin a range of relational databases such as Postgresql or Mysql. . Homepage: http://www.gnu.org/software/ferret/project/what.html Tag: devel::modelling, role::program, scope::application, suite::gnu, works-with::db Section: misc Priority: optional Filename: pool/main/f/ferret/ferret_0.6-3_all.deb Package: ferret-datasets Source: ferret-vis Version: 6.6.2-1.1 Installed-Size: 84393 Maintainer: Alastair McKinstry Architecture: all Depends: ferret-vis Size: 39959862 SHA256: a4ccaa17ac00fed83a4fb782d6c5a59369a1c8d872398ba8640f54ba44900b4d SHA1: 8c25f7b7fc79af8961ccc5d07cfc1c4112562530 MD5sum: c25a90a9937b881384389e463d7834ad Description: Datasets for use with Ferret Visualisation and analysis suite These datasets contain reference climatologies and grids for use with ferret. They include: * etopo120 relief of the earth's surface at 120-minute resolution * etopo60 relief of the earth's surface at 60-minute resolution * levitus_climatology subset of the Climatological Atlas of the World Oceans by Sydney Levitus (Note: the updated World Ocean Atlas, 1994, is also available with Ferret) * coads_climatology 12-month climatology derived from 1946–1989 of the Comprehensive Ocean/Atmosphere Data Set * monthly_navy_winds monthly-averaged Naval Fleet Numerical Oceanography Center global marine winds (1982–1990) * esku_heat_budget Esbensen-Kushnir 4×5 degree monthly climatology of the global ocean heat budget (25 variables) Homepage: http://www.ferret.noaa.gov/ Tag: role::app-data Section: utils Priority: extra Filename: pool/main/f/ferret-vis/ferret-datasets_6.6.2-1.1_all.deb Package: ferret-vis Version: 6.6.2-1.1 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 27391 Depends: libc6 (>= 2.13-28), libgfortran3 (>= 4.6), libncurses5 (>= 5.5-5~), libnetcdfc7, libnetcdff5, libreadline6 (>= 6.0), libtinfo5, libx11-6, libxgks2, tcsh | csh | c-shell Recommends: ferret-datasets Homepage: http://www.ferret.noaa.gov/ Priority: extra Section: utils Filename: pool/main/f/ferret-vis/ferret-vis_6.6.2-1.1_armhf.deb Size: 1711058 SHA256: d5a691e92f5ebcfde651e7b6344f311bb75ff9f10415607e90f29fe6563b0946 SHA1: e15520d09099e541ba77b70fe4eacd6ff0625102 MD5sum: a5da715a9cd4a99d1298a2a175a286cf Description: Interactive data visualization and analysis environment, Ferret is an interactive computer visualization and analysis environment designed to meet the needs of oceanographers and meteorologists analyzing large and complex gridded data sets. It can transparently access extensive remote Internet data bases using OPeNDAP (formerly known as DODS) . Ferret has a Mathematica-like flexibility, geophysical formatting, "intelligent" connection to its data base, memory management for very large calculations, and symmetrical processing in 4 dimensions. It can work on both gridded and non-gridded datasets. Package: festival Version: 1:2.1~release-5.1 Architecture: armhf Maintainer: Jean-Philippe MENGUAL Installed-Size: 2476 Depends: libaudiofile1 (>= 0.3.4), libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libestools2.1, libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.4.0), libtinfo5, dpkg (>= 1.15.4) | install-info, sgml-base (>= 1.26+nmu2), adduser (>= 3.105), alsa-utils, lsb-base (>= 3.0-10), sysv-rc (>= 2.86.ds1) | file-rc Recommends: festvox-kallpc16k | festival-voice Suggests: pidgin-festival, festival-freebsoft-utils Breaks: festlex-cmu (<< 1.4.0-3), festlex-oald (<< 1.4.0-2), festlex-poslex (<< 1.4.0-3), festvox-don (<< 1.4.0-3), festvox-ellpc11k (<< 1.4.0-1), festvox-kdlpc16k (<< 1.4.0-4), festvox-kdlpc8k (<< 1.4.0-5), festvox-rablpc16k (<< 1.4.0-2), festvox-rablpc8k (<< 1.4.0-2) Homepage: http://www.cstr.ed.ac.uk/projects/festival/ Priority: optional Section: sound Filename: pool/main/f/festival/festival_2.1~release-5.1_armhf.deb Size: 1005382 SHA256: 2a5bcfc9e8fc91cb24c07629ea4e61abddd421fe16a67eaca0e78ef66904f16d SHA1: 5de7685b304858cb36939dde4d8efcb29b2790cc MD5sum: 040624b02c4f20aabb5d391ab9da1ec5 Description: General multi-lingual speech synthesis system Festival offers a full text to speech system with various APIs, as well an environment for development and research of speech synthesis techniques. It includes a Scheme-based command interpreter. . Besides research into speech synthesis, festival is useful as a stand-alone speech synthesis program. It is capable of producing clearly understandable speech from text. Package: festival-czech Version: 0.3-2 Installed-Size: 192 Maintainer: Milan Zamazal Architecture: all Depends: festival Recommends: festvox-czech-ph Size: 44672 SHA256: 8829b0cb95dc0e984afb6770a9d9f115253e22b248693e48bd18e2e621c64787 SHA1: 43ac609a65ddb04f94f0b55353d43d454a96493a MD5sum: 3bbbc0312cfdeabfb254b8e7ff899cf5 Description: Czech support for Festival speech synthesis system General Czech language support for Festival, necessary to make Festival speak Czech. This package does not contain Czech Festival voice data, you must additionally install the voice-czech-ph package or another Czech voice. Homepage: http://www.freebsoft.org/festival-czech Tag: accessibility::speech, culture::czech, role::app-data Section: sound Priority: optional Filename: pool/main/f/festival-czech/festival-czech_0.3-2_all.deb Package: festival-dev Source: festival Version: 1:2.1~release-5.1 Architecture: armhf Maintainer: Jean-Philippe MENGUAL Installed-Size: 2574 Depends: libestools2.1-dev (>= 1:2.1~release) Suggests: festival-doc Homepage: http://www.cstr.ed.ac.uk/projects/festival/ Priority: optional Section: libdevel Filename: pool/main/f/festival/festival-dev_2.1~release-5.1_armhf.deb Size: 851932 SHA256: 99054d2465d0e9f94c920fd94349466c18d624b1523b509373337a6108fce050 SHA1: bc1a325b459fff4df668cee6fc99080e34095cc0 MD5sum: b4fc069f27deb55261fb8d27f920fff0 Description: Development kit for the Festival speech synthesis system This package contains the static library and headers that can be used to develop programs that use Festival. Documentation is now contained in the separate festival-doc package. Package: festival-doc Version: 1.4.2-8 Installed-Size: 1000 Maintainer: Kartik Mistry Architecture: all Depends: doc-base (>= 0.8.4) Size: 512902 SHA256: b283a63f40bda16db8c215fa8d6d818219f596ee94ac06f583a9c2b6aac60e1c SHA1: c1fe1151dfac964cf2ed571dcc4475bc18c595d2 MD5sum: d0a92ef64e70314439641ffe8fb17fae Description: Documentation for Festival This package contains the pre-built HTML and Postscript versions of the documentation for Festival. . Note that the info document for festival is included in the main festival package. Homepage: http://www.cstr.ed.ac.uk/projects/festival/manual/ Tag: accessibility::speech, devel::interpreter, made-of::html, made-of::postscript, role::documentation, sound::speech, works-with::audio Section: doc Priority: optional Filename: pool/main/f/festival-doc/festival-doc_1.4.2-8_all.deb Package: festival-freebsoft-utils Version: 0.10-3 Installed-Size: 204 Maintainer: Milan Zamazal Architecture: all Depends: festival, dpkg (>= 1.15.4) | install-info Recommends: sox Conflicts: speech-dispatcher (<= 0.2-5) Size: 49220 SHA256: 434e7a9cd619190bb1d5f9f1e2253d4fa4e9494159473c9651ff88f096e96d9a SHA1: c9a3ab34f42e3c4b69805c6cd7519f67f2cd92f6 MD5sum: a601da8e96cc3a5444c4b607aaf0d477 Description: Festival extensions and utilities Miscellaneous Festival utilities, providing especially the following features: Generalized concept of input events, including ability to play sounds within the synthesized text and to map logical input events to other events; replacing given words in the synthesized text by events; spelling; capital letter signalization; punctuation reading modes; miscellaneous Festival Scheme functions. . Primary focus of festival-freebsoft-utils is on Festival cooperation with Speech Dispatcher, but they may be used together with other speech frontends or for other purposes as well. Homepage: http://www.freebsoft.org/festival-freebsoft-utils Tag: accessibility::speech, implemented-in::scheme, interface::commandline, role::program, scope::utility, sound::speech, works-with::audio Section: sound Priority: optional Filename: pool/main/f/festival-freebsoft-utils/festival-freebsoft-utils_0.10-3_all.deb Package: festival-hi Version: 0.1-9 Installed-Size: 104 Maintainer: Debian-IN Team Architecture: all Depends: festival (>= 1.4.3), festvox-hi-nsk Pre-Depends: dpkg (>= 1.15.6~) Size: 18154 SHA256: cb62b5daf9aefa3193ecd05e39697d81c1398c28fdff384fda5c171f7ae3fd34 SHA1: 735f8946be181544a999494cf786ab779b7bb686 MD5sum: c9b0d3b997ea2e0bd031c7d9fe6b6621 Description: festival text to speech synthesizer for Hindi language This package provides the modules required to synthesize speech in Hindi (hi) language using the Festival text-to-speech synthesizer. Given text represented in Unicode (UTF-8 encoding), it uses the festival synthesizer to generate speech in Hindi. Homepage: http://janabhaaratii.org.in:9673/indicbhaaratii Tag: accessibility::input, accessibility::speech, culture::hindi, interface::text-mode, role::program, sound::speech, works-with::audio Section: sound Priority: optional Filename: pool/main/f/festival-hi/festival-hi_0.1-9_all.deb Package: festival-mr Version: 0.1-9 Installed-Size: 109 Maintainer: Debian-IN Team Architecture: all Depends: festival (>= 1.4.3), festvox-mr-nsk Pre-Depends: dpkg (>= 1.15.6~) Size: 18382 SHA256: 41f2c9a18ebe116acc481971af26a951448a284a122f7cb8fd1a08bfe3c0d804 SHA1: 435279fcc0b9304e793050a1ba668ccf8c2e62ca MD5sum: d7529a791e21a537977add21911d5dbd Description: festival text to speech synthesizer for Marathi language This package provides the modules required to synthesize speech in Marathi (mr) language using the Festival text-to-speech synthesizer. Given text represented in Unicode (UTF-8 encoding), it uses the festival synthesizer to generate speech in Marathi. Homepage: http://janabhaaratii.org.in:9673/indicbhaaratii Tag: accessibility::input, accessibility::speech, culture::TODO, interface::commandline, interface::text-mode, role::program, works-with::audio Section: sound Priority: optional Filename: pool/main/f/festival-mr/festival-mr_0.1-9_all.deb Package: festival-te Version: 0.3.3-4 Installed-Size: 102 Maintainer: Debian-IN Team Architecture: all Depends: festival (>= 1.4.3), festvox-te-nsk Pre-Depends: dpkg (>= 1.15.6~) Size: 18018 SHA256: 60185c3674696b1375b35de87d0770bcfdb223c02c2928cc3defc11af00a6087 SHA1: 32e2f08b50ad7104bea82d1581afb95ae8381111 MD5sum: 51c62521f4fc176ad186925187f0d043 Description: festival text to speech synthesizer for Telugu (te) language This package provides the modules required to synthesize speech in Telugu language using the Festival text-to-speech synthesizer. Given text represented in Unicode (UTF-8 encoding), it uses the festival synthesizer to generate speech in Telugu. Homepage: http://sourceforge.net/projects/festival-te/ Tag: accessibility::speech, culture::TODO Section: sound Priority: optional Filename: pool/main/f/festival-te/festival-te_0.3.3-4_all.deb Package: festlex-cmu Version: 1.4.0-6 Installed-Size: 5981 Maintainer: Matthias Urlichs Architecture: all Depends: festival (>= 1.4.3-9) Size: 881238 SHA256: f528bcdd17b93830d81fae4afe5917cd3004082a3fdccb182ee9fe9ac2308d6c SHA1: 22604b0d4419a857bd12c3f9bb6a5847035a5b30 MD5sum: a4faa0cc3b83903c21f4c8bf37e8fb48 Description: CMU dictionary for Festival This is the CMU dictionary in Festival form. It is required for American English voices. Tag: accessibility::speech, made-of::dictionary, role::app-data, sound::speech, works-with::audio Section: sound Priority: optional Filename: pool/main/f/festlex-cmu/festlex-cmu_1.4.0-6_all.deb Package: festlex-ifd Source: festival-it Version: 2.0+debian0-3 Installed-Size: 32180 Maintainer: Giuseppe Iuculano Architecture: all Replaces: festival-italian (<= 1.0-6) Provides: festival-italian Depends: festival (>= 1.4.3-9) Recommends: festvox-itapc16k, festvox-italp16k Conflicts: festival-italian (<= 1.0-6) Size: 3362732 SHA256: f7ec88e5b868c7fb9ec11b7afa6167476a343b4933b2f2639fe20671467feee3 SHA1: 026ba4393680a2ada496afdaea70f256a0de6d5f MD5sum: d778f7b3dd45532c1d5571f433971c45 Description: Italian support for Festival Italian Festival is a set of modules for the Festival text-to-speech system which allow it to synthesize speech in Italian. . This package includes a dictionary that permits festival pronounce Italian text. It also features modules for textual/linguistic analysis and prosodic analysis. Homepage: http://www2.pd.istc.cnr.it/TTS/ItalianFESTIVAL Tag: accessibility::speech, culture::italian, implemented-in::lisp, role::app-data, sound::speech Section: sound Priority: optional Filename: pool/main/f/festival-it/festlex-ifd_2.0+debian0-3_all.deb Package: festlex-poslex Version: 1.4.0-5 Installed-Size: 1348 Maintainer: Matthias Urlichs Architecture: all Depends: festival (>= 1.4.3-9) Size: 234354 SHA256: c71327e9c9481131cc7bfba397ce17feb56d8e5adf16ea9966f14916a6050ced SHA1: fb7cdbea44e62fed7efcf66ddc74342fd95ca886 MD5sum: 16aa060222d7751ad0b4d70327d0c11d Description: Part of speech lexicons and ngram from English This package is required by all British and American English voices in the Festival speech synthesis system. Tag: accessibility::speech, made-of::dictionary, role::app-data, sound::speech, works-with::audio Section: sound Priority: optional Filename: pool/main/f/festlex-poslex/festlex-poslex_1.4.0-5_all.deb Package: festvox-czech-dita Version: 1.0.0-2 Installed-Size: 11504 Maintainer: Boris Dušek Architecture: all Depends: festival, festival-czech Size: 9031372 SHA256: da3875e00561d0c73ac9637775a7ee69d4ef3f249ea06e4463237504acaf2942 SHA1: 9ff6b50dabda0b18f4fa058f9b73c67580fea76c MD5sum: 093aabaaa0db6a9bbfe45c95e7e2e8bc Description: Czech adult female speaker "dita" for Festival This is a native Festival Czech adult female diphone voice "dita", developed by the Free(b)soft project. Homepage: http://devel.freebsoft.org/festival-czech-diphone-database Tag: accessibility::speech, culture::czech, role::app-data Section: sound Priority: optional Filename: pool/main/f/festvox-czech-dita/festvox-czech-dita_1.0.0-2_all.deb Package: festvox-czech-krb Version: 1.0.0-2 Installed-Size: 13292 Maintainer: Boris Dušek Architecture: all Depends: festival, festival-czech Size: 10587064 SHA256: 709a2f54b881a6a48ef0d7dcb17dd502fb24b3d0f9ecaef87b7657fdf4098b57 SHA1: 686e357dc2fca27bf1cfc5ff794cd9b9b98cd67a MD5sum: c25a510d7b99fe8a29eabc62947d8e86 Description: Czech child male speaker "krb" for Festival This is a native Festival Czech child male diphone voice "krb", developed by the Free(b)soft project. Homepage: http://devel.freebsoft.org/festival-czech-diphone-database Tag: accessibility::speech, culture::czech, role::app-data Section: sound Priority: optional Filename: pool/main/f/festvox-czech-krb/festvox-czech-krb_1.0.0-2_all.deb Package: festvox-czech-machac Version: 1.0.0-2 Installed-Size: 9884 Maintainer: Boris Dušek Architecture: all Depends: festival, festival-czech Size: 7084750 SHA256: c4e4848ccfa5a63297cc2509c4b9aff5e9606a0b4626ec156c887a5084ee169a SHA1: ff8e7b2864ccd4ef0dc62170534f8a3eca59d7e4 MD5sum: 0800c0b700bfdb9d67c042a0c5507e3c Description: Czech adult male speaker "machac" for Festival This is a native Festival Czech adult male diphone voice "machac", developed by the Free(b)soft project. Homepage: http://devel.freebsoft.org/festival-czech-diphone-database Tag: accessibility::speech, culture::czech, role::app-data Section: sound Priority: optional Filename: pool/main/f/festvox-czech-machac/festvox-czech-machac_1.0.0-2_all.deb Package: festvox-czech-ph Version: 0.1-3 Installed-Size: 15960 Maintainer: Milan Zamazal Architecture: all Depends: festival, festival-czech Size: 11344810 SHA256: ab1a8c885eb57b3ef00cbcab88c41f12370bac6dd7bb27c8c119d1377b0943a2 SHA1: 0043b0fd2491587a35fce12b5283fc04d945143a MD5sum: cdf88cdfa8dbd8bbd790d4eb23d7872c Description: Czech male speaker for Festival This is a native Festival Czech diphone voice, developed by the Free(b)soft project. Homepage: http://www.freebsoft.org/festival-czech-diphone-database Tag: accessibility::speech, culture::czech, role::app-data, sound::speech Section: sound Priority: optional Filename: pool/main/f/festvox-czech-ph/festvox-czech-ph_0.1-3_all.deb Package: festvox-hi-nsk Source: festival-hi Version: 0.1-9 Installed-Size: 11882 Maintainer: Debian-IN Team Architecture: all Provides: festival-voice Pre-Depends: dpkg (>= 1.15.6~) Recommends: festival-hi Size: 7537380 SHA256: 653590d4d709b6d8d8f6524b4b7cf9eb52b3d7621f02dd0ba3e7b2ae947059a0 SHA1: 5ffb6c70b14d15bb06a1f04ba8cf0ec9f7a0990d MD5sum: 90b5ca94bdd3394ae3d7cf48458ce153 Description: Hindi male speaker for festival This is a diphone database to be used with Hindi Festival. It provides a male speaker for synthesizing Hindi speech. The package consists of a speech database along with the voice definition. Homepage: http://janabhaaratii.org.in:9673/indicbhaaratii Tag: accessibility::speech, culture::hindi, role::app-data, sound::speech Section: sound Priority: optional Filename: pool/main/f/festival-hi/festvox-hi-nsk_0.1-9_all.deb Package: festvox-italp16k Source: festival-it Version: 2.0+debian0-3 Installed-Size: 6476 Maintainer: Giuseppe Iuculano Architecture: all Replaces: festvox-italp11k (<= 1.0-6) Provides: festival-voice Depends: festlex-ifd Conflicts: festvox-italp11k (<= 1.0-6) Size: 4831046 SHA256: 94c0b93aa06801cdba81bd6e200631ad0c4ac628eadcb5e246f216fbc3027ce5 SHA1: a319d8def3eec0a94ae40636003fd31164f5022f MD5sum: 69f1dfc41e86842f3da974e25ca1331b Description: Italian female speaker for Festival This package provides a Italian female voice using a Residual excited LPC diphone synthesis method. The lexicon is provided by a set of letter to sound rules producing pronunciation accents and syllabification. The durations, intonation and prosodic phrasing are minimal but are acceptable for simple examples. Homepage: http://www2.pd.istc.cnr.it/TTS/ItalianFESTIVAL Tag: accessibility::speech, culture::italian, implemented-in::lisp, role::app-data, sound::speech Section: sound Priority: optional Filename: pool/main/f/festival-it/festvox-italp16k_2.0+debian0-3_all.deb Package: festvox-itapc16k Source: festival-it Version: 2.0+debian0-3 Installed-Size: 5848 Maintainer: Giuseppe Iuculano Architecture: all Replaces: festvox-itapc11k (<= 1.0-6) Provides: festival-voice Depends: festlex-ifd Conflicts: festvox-itapc11k (<= 1.0-6) Size: 4167194 SHA256: 795ffdd359f564eec61657e8a486695ff7c2fb6ed088ef69e29e49f398d40984 SHA1: 4fa9160a735ecd94fdb1513cc605a88d0b8d30f0 MD5sum: afa1572684759d13c3eaebbeaac60731 Description: Italian male speaker for Festival This package provides a Italian male voice using a Residual excited LPC diphone synthesis method. The lexicon is provided by a set of letter to sound rules producing pronunciation accents and syllabification. The durations, intonation and prosodic phrasing are minimal but are acceptable for simple examples. Homepage: http://www2.pd.istc.cnr.it/TTS/ItalianFESTIVAL Tag: accessibility::speech, culture::italian, implemented-in::lisp, role::app-data, sound::speech Section: sound Priority: optional Filename: pool/main/f/festival-it/festvox-itapc16k_2.0+debian0-3_all.deb Package: festvox-kallpc16k Version: 1.4.0-5 Installed-Size: 6058 Maintainer: Matthias Urlichs Architecture: all Replaces: festvox-kallpc8k Provides: festival-voice Depends: festival (>= 1.4.3-9), festlex-poslex (>= 1.3.0), festlex-cmu (>= 1.3.0) Conflicts: festvox-kallpc8k Size: 4095496 SHA256: c121f9dec74eb8587c633ea01bfae8cc427d20b64898534ed0e3d89f423b197f SHA1: a6fafd1788441f8df5070218d259acf6d75de3a0 MD5sum: 6262dcc623dfd616740d69f520f5765a Description: American English male speaker for festival, 16khz sample rate This is a diphone database for festival that uses 16k samples for high quality sound output. This is a large database (6 MB), and festival will convert text to speech more slowly using it, so you might want to install festvox-kallpc8k instead if you have low disk space or a slow computer. . The voice contained in this database is an American English male speaker. A different American English voice can be found in the festvox-kdlpc16k package if you dislike this one. Tag: accessibility::speech, role::app-data, sound::speech, works-with::audio Section: sound Priority: extra Filename: pool/main/f/festvox-kallpc16k/festvox-kallpc16k_1.4.0-5_all.deb Package: festvox-kallpc8k Version: 1.4.0-4 Installed-Size: 3576 Maintainer: Matthias Urlichs Architecture: all Replaces: festvox-kallpc16k Provides: festival-voice Depends: festival (>= 1.4.3-9), festlex-poslex (>= 1.3.0), festlex-cmu (>= 1.3.0) Conflicts: festvox-kallpc16k Size: 2360526 SHA256: 60137b8c6192f9655259fa26f9772384a7ed54b8b078559b1abf02123c1b62fb SHA1: 82d4125399419ed56ec80d3202c6069449b8c406 MD5sum: 354099a6ac22d0135322d63561781149 Description: American English male speaker for festival, 8khz sample rate This is a diphone database for festival that uses 8k samples so it takes up a minimum of disk space. Using this diphone database, festival also converts text to speech faster than with the 16k version. However, the sound quality is not as good. . The voice contained in this database is an American English male speaker. A different American English voice can be found in the festvox-kdlpc16k package if you dislike this one. Tag: accessibility::speech, role::app-data, sound::speech, works-with::audio Section: sound Priority: optional Filename: pool/main/f/festvox-kallpc8k/festvox-kallpc8k_1.4.0-4_all.deb Package: festvox-kdlpc16k Version: 1.4.0-5 Installed-Size: 5562 Maintainer: Matthias Urlichs Architecture: all Replaces: festvox-kdlpc8k Provides: festival-voice Depends: festival (>= 1.4.3-9), festlex-poslex (>= 1.3.0), festlex-cmu (>= 1.3.0) Conflicts: festvox-kdlpc8k Size: 4222132 SHA256: 03ddca948d311939cce022e1f099357ddc38872694121964ed70d1baa2c87d3b SHA1: 96d41201912c4ad813504c474be4867fbca0c164 MD5sum: 7ad127a03efaf7554c8efd073c846fd5 Description: American English male speaker for festival, 16khz sample rate This is a diphone database for festival that uses 16k samples for high quality sound output. This is a large database (9 MB), and festival will convert text to speech more slowly using it, so you might want to install festvox-kdlpc8k instead if you have low disk space or a slow computer. . The voice contained in this database is an American English male speaker. A different American English voice can be found in the festvox-kallpc16k package if you dislike this one. Tag: accessibility::speech, role::app-data, sound::speech, works-with::audio Section: sound Priority: extra Filename: pool/main/f/festvox-kdlpc16k/festvox-kdlpc16k_1.4.0-5_all.deb Package: festvox-kdlpc8k Version: 1.4.0-5 Installed-Size: 3116 Maintainer: Matthias Urlichs Architecture: all Replaces: festvox-kdlpc16k Provides: festival-voice Depends: festival (>= 1.4.3-9), festlex-poslex (>= 1.3.0), festlex-cmu (>= 1.3.0) Conflicts: festvox-kdlpc16k Size: 2318438 SHA256: ab7f2fdb32c0c79a393c28e7bc514c689aedfc93830fbed536ca06ba81208e8c SHA1: 47818ff3dc337b0e7c6b16418e7fb98feb19b48a MD5sum: 9b251fa40228c75af3deccd265f7d13d Description: American English male speaker for festival, 8khz sample rate This is a diphone database for festival that uses 8k samples so it takes up a minimum of disk space. Using this diphone database, festival also converts text to speech faster than with the 16k version. However, the sound quality is not as good. . The voice contained in this database is an American English male speaker. A different American English voice can be found in the festvox-kallpc8k package if you dislike this one. Tag: accessibility::speech, role::app-data, sound::speech, works-with::audio Section: sound Priority: extra Filename: pool/main/f/festvox-kdlpc8k/festvox-kdlpc8k_1.4.0-5_all.deb Package: festvox-mr-nsk Source: festival-mr Version: 0.1-9 Installed-Size: 11882 Maintainer: Debian-IN Team Architecture: all Provides: festival-voice Pre-Depends: dpkg (>= 1.15.6~) Recommends: festival-mr Size: 7536250 SHA256: 59ee1deeb7bccc4e71952108d3d872119e9f69b88bb078ce5ec6dec44f18bfc7 SHA1: d12d2cd0547bdbcad24efe1c4c6ff31ba5b8f9fd MD5sum: e29d7fdc057b290ba48df419b3b427ff Description: Marathi male speaker for festival This is a diphone database to be used with Marathi Festival. It provides a male speaker for synthesizing Marathi speech. The package consists of a speech database along with the voice definition. Homepage: http://janabhaaratii.org.in:9673/indicbhaaratii Tag: accessibility::speech, culture::TODO, role::app-data, sound::speech Section: sound Priority: optional Filename: pool/main/f/festival-mr/festvox-mr-nsk_0.1-9_all.deb Package: festvox-ru Version: 0.5-5 Installed-Size: 241606 Maintainer: Sergey B Kirpichev Architecture: all Depends: festival (>= 1.96~beta-10) Size: 195516622 SHA256: a9cd4671574d2d4151e7e2a51f0343accb0431e8294ad189aa317da0158ab944 SHA1: 1f84db63fc3ef9f8fabc60900b9e8340bf838136 MD5sum: 0f224675087e1303670a93d0b05515c4 Description: Russian male speaker for Festival This package provides Russian support to Festival speech synthesis system. Homepage: http://festlang.berlios.de/docu/doku.php?id=russian Tag: accessibility::speech, culture::russian, role::app-data, sound::speech Section: sound Priority: optional Filename: pool/main/f/festvox-ru/festvox-ru_0.5-5_all.deb Package: festvox-suopuhe-common Source: festvox-suopuhe-lj Version: 1.0g-20051204-3 Installed-Size: 244 Maintainer: Niko Tyni Architecture: all Size: 58780 SHA256: b157f23c0841d7c473ed07d010c72fb0e8454ff3ae2140112c0083c23425b66e SHA1: 53992b8e73c09e6e727503e57aa64a349759fb3a MD5sum: ce584b1868df8cccee955ea4310d1313 Description: Common files for Festival Finnish speakers This package contains the common files between the two Finnish Festival speech synthesis speakers, festvox-suopuhe-mv and festvox-suopuhe-lj. Homepage: http://phon.joensuu.fi/suopuhe/ Tag: accessibility::speech, culture::finnish, role::app-data Section: sound Priority: extra Filename: pool/main/f/festvox-suopuhe-lj/festvox-suopuhe-common_1.0g-20051204-3_all.deb Package: festvox-suopuhe-lj Version: 1.0g-20051204-3 Installed-Size: 7456 Maintainer: Niko Tyni Architecture: all Provides: festival-voice Depends: festival (>= 1.4.3-9), festvox-suopuhe-common Recommends: festvox-suopuhe-mv Size: 5865976 SHA256: c6b13fe72a1f153d9d6cdf55230ab814941460ce92380615a6cff06d86253f7e SHA1: aa7a0a2e17a26f5695ebfc80e9353ebaf7ae89a1 MD5sum: 486fe8501b1bd44943ed454678145b1f Description: Finnish female speaker for Festival This is a Finnish female speaker for the Festival speech synthesis system. It was developed as part of the Suopuhe project at the universities of Helsinki and Joensuu. Homepage: http://phon.joensuu.fi/suopuhe/ Tag: accessibility::speech, culture::finnish, role::plugin, sound::speech Section: sound Priority: extra Filename: pool/main/f/festvox-suopuhe-lj/festvox-suopuhe-lj_1.0g-20051204-3_all.deb Package: festvox-suopuhe-mv Version: 20041119-1 Installed-Size: 5720 Maintainer: Niko Tyni Architecture: all Provides: festival-voice Depends: festival (>= 1.4.3-9), festvox-suopuhe-common Size: 3609340 SHA256: 216f49095324fb19c01097617aebe6895b80c6d4b4756487a43ca04b94dce23b SHA1: 1913cd044609de96ae0d59d15d06b87878e82fa9 MD5sum: 50c04015d3c174d4bd16f41d60dd7c8a Description: Finnish male speaker for festival This is a Finnish male speaker for the Festival speech synthesis system. It was developed as part of the Suopuhe project at the universities of Helsinki and Joensuu. Tag: accessibility::speech, culture::finnish, role::plugin, sound::speech Section: sound Priority: extra Filename: pool/main/f/festvox-suopuhe-mv/festvox-suopuhe-mv_20041119-1_all.deb Package: festvox-te-nsk Source: festival-te Version: 0.3.3-4 Installed-Size: 11889 Maintainer: Debian-IN Team Architecture: all Provides: festival-voice Pre-Depends: dpkg (>= 1.15.6~) Recommends: festival-te Size: 7543884 SHA256: e9b02137c68645c059c429a9982dc50b5be9ae466d6aa8a3556e078dc8bbe15d SHA1: 32bd3df9a5d68657d428cc37b3a3c4f2d9765ca8 MD5sum: 45df6a165364b38b9edfa4706fc94541 Description: Telugu (te) male speaker for festival This is a diphone database to be used with Telugu Festival. It provides a male speaker for synthesizing Telugu speech. The package consists of a speech database along with the voice definition. Homepage: http://sourceforge.net/projects/festival-te/ Tag: accessibility::speech, culture::TODO, role::app-data, sound::speech Section: sound Priority: optional Filename: pool/main/f/festival-te/festvox-te-nsk_0.3.3-4_all.deb Package: fet Version: 5.18.0-1 Architecture: armhf Maintainer: Radu Spineanu Installed-Size: 31657 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Recommends: python Priority: optional Section: utils Filename: pool/main/f/fet/fet_5.18.0-1_armhf.deb Size: 6860884 SHA256: 258944bc6a58da123f72d8e04301f887865b112086c6772a346fe407cff691e0 SHA1: 1d3343e9d28fc09b37bca2d0f53c3fb49227d84f MD5sum: d5d246da7fb39e72bc69cf927269490d Description: timetable generator FET is an evolutionary program (using a genetic algorithm) for automatically generating the timetable of a school, high-school or university. Package: fetch-crl Version: 3.0.8-1 Installed-Size: 164 Maintainer: Mattias Ellert Architecture: all Depends: perl, libwww-perl, openssl Size: 39608 SHA256: 5303da3c070f9fdabb9c2283a47347285ce1f7aa6824d3e46a30a74c6e5a7d90 SHA1: 5627c5e603053903e530b7f391ac7b586ffc00ff MD5sum: ea240d2102b7be61d123553eab74261d Description: Downloads Certificate Revocation Lists This tool and associated cron entry ensure that Certificate Revocation Lists (CRLs) are periodically retrieved from the web sites of the respective Certification Authorities. . The fetch-crl tool finds all *.crl_url files in the configured CRL directory, downloads the CRLs listed in those files and saves them in the same directory. The default configuration uses /etc/grid-security/certificates as the CRL directory. Homepage: http://www.nikhef.nl/grid/gridwiki/index.php/FetchCRL3 Tag: implemented-in::perl, role::program, security::authentication, security::cryptography, use::downloading Section: net Priority: optional Filename: pool/main/f/fetch-crl/fetch-crl_3.0.8-1_all.deb Package: fetchexc Version: 2.0-5 Installed-Size: 77 Maintainer: Debian QA Group Architecture: all Depends: default-jdk | java1-runtime | java2-runtime, libcommons-httpclient-java, libcommons-logging-java, libcommons-codec-java Size: 29604 SHA256: d7a34db86b398d6f2f1c291ca7729262a6893c4dddfbd6d7ffd8a595444a01a9 SHA1: 50b7625d0bd35b792b8cead08618f04bce410e4e MD5sum: ae0fce1d15fa09686935f948bdb089db Description: Fetches email from Microsoft Exchange servers FetchExc retrieves emails using WebDAV (Outlook Web Access) and delivers it to an SMTP server or local mbox store. Homepage: http://personal.inet.fi/atk/fetchexc/ Tag: implemented-in::java, role::program, scope::utility, works-with::mail Section: net Priority: optional Filename: pool/main/f/fetchexc/fetchexc_2.0-5_all.deb Package: fetchmail Version: 6.3.21-4 Architecture: armhf Maintainer: Fetchmail Maintainers Installed-Size: 2339 Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgssapi-krb5-2 (>= 1.10+dfsg~), libkrb5-3 (>= 1.6.dfsg.2), libssl1.0.0 (>= 1.0.0), debianutils (>= 1.7), adduser (>= 3.34), lsb-base (>= 3.2-13) Recommends: ca-certificates Suggests: fetchmailconf, default-mta | mail-transport-agent, resolvconf Conflicts: fetchmail-common Breaks: fetchmail-ssl (<= 6.2.5-12), logcheck (<< 1.1.1-9) Replaces: fetchmail-common, fetchmail-ssl Provides: fetchmail-ssl Homepage: http://www.fetchmail.info Priority: optional Section: mail Filename: pool/main/f/fetchmail/fetchmail_6.3.21-4_armhf.deb Size: 921870 SHA256: 019ee1f25fb76f10c07ff4d1ecb57bc8afb9a4d7b9b90b50cb25f9438ee163bb SHA1: da17065fe9a034f69c94e83321f07077a663985a MD5sum: b36df2e2152029cf266d183e761feb74 Description: SSL enabled POP3, APOP, IMAP mail gatherer/forwarder fetchmail is a free, full-featured, robust, and well-documented remote mail retrieval and forwarding utility intended to be used over on-demand TCP/IP links (such as SLIP or PPP connections). It retrieves mail from remote mail servers and forwards it to your local (client) machine's delivery system, so it can then be read by normal mail user agents such as mutt, elm, pine, (x)emacs/gnus, or mailx. The fetchmailconf package includes an interactive GUI configurator suitable for end-users. . Kerberos V and GSSAPI are supported. . Kerberos IV, RPA, OPIE and other support for some other features are available if the package is recompiled. Package: fetchmailconf Source: fetchmail Version: 6.3.21-4 Installed-Size: 185 Maintainer: Fetchmail Maintainers Architecture: all Depends: fetchmail (>= 6.3.21-4), python-tk, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 66456 SHA256: 455548b46dbe319790ae0a4d02cab12366d0937c291d51ff790250d3509994d2 SHA1: 05f295d0dcb9eee522a85003d23a9f3662f5e9ab MD5sum: 344dcd1f373af14960232360a2c140b3 Description: fetchmail configurator A GUI wrapper to configure fetchmail's .fetchmailrc, suitable for end-users. It assists end-users in setting up and editing fetchmail configuration files. See fetchmail package for more information. Homepage: http://www.fetchmail.info Tag: admin::configuring, implemented-in::python, interface::x11, mail::pop, protocol::imap, protocol::pop3, role::program, scope::utility, uitoolkit::tk, use::configuring, works-with::mail, x11::application Section: mail Priority: optional Filename: pool/main/f/fetchmail/fetchmailconf_6.3.21-4_all.deb Package: fetchyahoo Version: 2.14.7-1 Installed-Size: 183 Maintainer: Don Armstrong Architecture: all Depends: perl, libhtml-parser-perl, libwww-perl, libio-socket-ssl-perl, liburi-perl, libcrypt-ssleay-perl, libmime-tools-perl Recommends: libterm-readkey-perl Suggests: procmail, spamassassin | bogofilter Size: 53894 SHA256: d3ea297fa12499790dcf13d47a8588e9fc1b799b21a0129cce25e99c0205ed30 SHA1: 21b705c8c10b6c86abe250ccf10844dfb4ddefc7 MD5sum: 27cd0943ba27995461b179c69f619e4f Description: Retrieve mail from Yahoo!'s webmail service FetchYahoo is a Perl script that downloads mail from a Yahoo! webmail account to a local mail spool, an mbox file, or to procmail. It is meant to replace fetchmail for people using Yahoo! mail since Yahoo!'s POP and email forwarding services are no longer free. It includes all parts and attachments within the email. It can also forward the email to a specified address. Homepage: http://fetchyahoo.sourceforge.net/ Tag: implemented-in::perl, interface::commandline, protocol::http, role::program, scope::utility, use::downloading, works-with::mail Section: mail Priority: optional Filename: pool/main/f/fetchyahoo/fetchyahoo_2.14.7-1_all.deb Package: fex Version: 20120215-3 Installed-Size: 1771 Maintainer: Giuseppe Iuculano Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, perl, adduser, xinetd | inet-superserver, libdigest-md5-file-perl, ucf, unzip, libjs-jquery Recommends: perl-modules, libnet-dns-perl, fex-utils Size: 545088 SHA256: 6b5f148e794763738c245642fa2e73b6284323c0cd860387ffeced7ecdb4e249 SHA1: 26968ee3077f13a749d2ad00e6a36782912bbc0d MD5sum: 213d64dd6d11c5a4e5af0a88d2599cad Description: web service for transferring very large files F*EX (Frams's Fast File EXchange) is a service that can be used to allow users anywhere on the Internet to exchange very large files quickly and conveniently. . The sender uploads the file to the F*EX-server and the recipient automatically gets a notification e-mail with a download-URL. . Main features of F*EX: . * file transfer of virtually unlimited file size * sender and recipient only need an e-mail program and a web browser (of any kind; they do not have to install any software) * RESEND and REGET for resuming after link failures at last sent byte * auto-notification of recipient * auto-deletion after download * auto-deletion after expiration date (default: 5 days) * full-users can create sub-users, who can send only to this full-user * full-users can create groups, an analogy to mailing lists, but for files * admin can allow (internal or external) user self-registration * admin can allow upload to public recipients without authentication * maintenance-free: no administration necessary beyond creating new F*EX accounts * multiple recipients only require one stored copy * F*EX uses HTTP and needs no firewall tunnels * support for streams, too (SEX: Stream EXchange) * shell clients provided for commandline users: fexsend and fexget. (fex-utils package) Homepage: http://fex.rus.uni-stuttgart.de/ Tag: admin::file-distribution, implemented-in::perl, interface::web, network::server, web::application, web::cgi, works-with::file, works-with::mail Section: web Priority: optional Filename: pool/main/f/fex/fex_20120215-3_all.deb Package: fex-utils Source: fex Version: 20120215-3 Installed-Size: 150 Maintainer: Giuseppe Iuculano Architecture: all Depends: perl Recommends: libdigest-md5-file-perl, libnet-sslglue-perl Size: 50654 SHA256: 79818772665cd52a0d9753b1938abf5d115d70e71eccc42c371ce90e7d0ef84e SHA1: e8cfc17e67d5bdb0bf9fdb39152ecd9936b45790 MD5sum: f55355b1044b4a9323941128793862b0 Description: web service for transferring very large files (utils) F*EX (Frams's Fast File EXchange) is a service that can be used to allow users anywhere on the Internet to exchange very large files quickly and conveniently. . The sender uploads the file to the F*EX-server and the recipient automatically gets a notification e-mail with a download-URL. . This package includes: . fexsend fexget sexsend sexget sexxx xx zz & ezz Homepage: http://fex.rus.uni-stuttgart.de/ Tag: role::program Section: web Priority: optional Filename: pool/main/f/fex/fex-utils_20120215-3_all.deb Package: feynmf Version: 1.08-8 Installed-Size: 626 Maintainer: Thorsten Alteholz Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 2.00), perl, texlive-latex-base, texlive-font-utils, texlive-extra-utils Suggests: texlive-metapost Size: 423936 SHA256: 40869a8524641f101225ee55ffd04f30f312200b812a714683a05f1d00736499 SHA1: d77b8cc5b4c196cafebc18a1a3e47888efea9b92 MD5sum: ada6be7d8e1ff80538d1107bb366e41a Description: set of LaTeX macros for creating Feynman diagrams FeynMF is a LaTeX package for easy drawing of professional-quality Feynman diagrams, illustrations that depict the fundamental interactions of subatomic particles. The diagrams may be created using either the Metafont or MetaPost programs. FeynMF lays out most diagrams satisfactorily from the structure of the graph without any need for manual intervention. Nevertheless all the power of Metafont or MetaPost is available for more obscure cases. . Note that you will need the texlive-metapost package in order to use the MetaPost-based version of FeynMF. Homepage: http://xml.web.cern.ch/XML/textproc/feynmf.html Tag: field::physics, made-of::tex, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/f/feynmf/feynmf_1.08-8_all.deb Package: ffado-dbus-server Source: libffado Version: 2.0.99+svn2171-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 198 Depends: dbus (>= 1.0.0), libffado2 (= 2.0.99+svn2171-2), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-c++-1-0, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libraw1394-11, libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0), libxml++2.6-2 (>= 2.34.2), libxml2 (>= 2.6.27) Homepage: http://www.ffado.org Priority: optional Section: sound Filename: pool/main/libf/libffado/ffado-dbus-server_2.0.99+svn2171-2_armhf.deb Size: 63726 SHA256: bc250af6f96fff905e3158a38bac159c3bd0f0a2cdf57e9cff921005da101b54 SHA1: 1e21cd472aa685ee11566e55f3d15ebe85cb5083 MD5sum: 83891b75ef0acf52dfdadc9c959ed2de Description: FFADO D-Bus server FFADO is a Linux driver for FireWire (IEEE1394) audio devices. . The FFADO library permits discovering and configuring such devices and provides an API for streaming clients. . This package holds the D-Bus server that exposes the mixer and control interfaces through D-Bus. Package: ffado-mixer-qt4 Source: libffado Version: 2.0.99+svn2171-2 Installed-Size: 1709 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: ffado-dbus-server (>= 2.0.99+svn2171-2), ffado-tools (>= 2.0.99+svn2171-2), python, python-dbus, python-qt4, python-qt4-dbus, python-support (>= 0.90.0) Size: 113062 SHA256: d3dbb4a978121e30f3f71de0324e3a6ff0512b097ecf404e08b83e50d9694cb9 SHA1: 2b6c9069d52e5b483d302795ba4b9a17c107f317 MD5sum: af4677935dd416dc43843df03c7fe0c6 Description: FFADO D-Bus mixer applets (QT4) FFADO is a Linux driver for FireWire (IEEE1394) audio devices. . The FFADO library permits discovering and configuring such devices and provides an API for streaming clients. . This package holds the mixer and control applications that communicate with ffado-dbus-server. (Qt4 version) Homepage: http://www.ffado.org Tag: role::program Section: sound Priority: optional Filename: pool/main/libf/libffado/ffado-mixer-qt4_2.0.99+svn2171-2_all.deb Package: ffado-tools Source: libffado Version: 2.0.99+svn2171-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 173 Depends: dbus (>= 1.0.0), libffado2 (= 2.0.99+svn2171-2), python, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libiec61883-0 (>= 1.2.0), libraw1394-11, libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0), libxml++2.6-2 (>= 2.34.2), libxml2 (>= 2.6.27) Breaks: ffado-mixer-qt4 (<< 2.0.1+svn1856-2~), jackd1-firewire (<< 1:0.121.3+20120418git75e3e20b-1), jackd2-firewire (<< 1.9.8~dfsg.3+20120418gitf82ec715-1) Replaces: ffado-mixer-qt4 (<< 2.0.1+svn1856-2~) Homepage: http://www.ffado.org Priority: optional Section: sound Filename: pool/main/libf/libffado/ffado-tools_2.0.99+svn2171-2_armhf.deb Size: 57456 SHA256: 991bf40a1e4f8b4031560974d5a4229b576e1c812c82e8bc30b64d988b153f04 SHA1: 99c6b48377f944e77aae787dc62ecf66fde25bfa MD5sum: 4d88a9d2cd8eb5da459ce4e66fa95269 Description: FFADO debugging and firmware tools FFADO is a Linux driver for FireWire (IEEE1394) audio devices. . The FFADO library permits discovering and configuring such devices and provides an API for streaming clients. . This package holds the following tools for firmware updating, troubleshooting and debugging: * ffado-test-streaming * ffado-diag * ffado-test-isoxmit * ffado-test-isorecv * ffado-bridgeco-downloader * ffado-test * ffado-fireworks-downloader Package: ffdiaporama Version: 1.3-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 3001 Depends: ffdiaporama-data, ffmpeg, libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libexiv2-12, libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libswscale2 (>= 5:0.8-2~), libtag1c2a (>= 1.7) Homepage: http://ffdiaporama.tuxfamily.org/ffdiaporama/ Priority: extra Section: graphics Filename: pool/main/f/ffdiaporama/ffdiaporama_1.3-1_armhf.deb Size: 1589230 SHA256: c375a3c32470aadc280b8a6c5c904f2ee7cff530b6b06d8c0b6d78fad72cdd78 SHA1: d469890bcfb426019ddaeede2dd0d4afa74ce4c2 MD5sum: 3ae84989bb9e18c8333c860785efaaf3 Description: Movie creator from photos and video clips ffDiaporama is an application for creating video sequences consisting of * titles, fixed or animated. * images or photos, fixed or animated. * movie clips * music Package: ffdiaporama-data Source: ffdiaporama Version: 1.3-1 Installed-Size: 16173 Maintainer: Debian Multimedia Maintainers Architecture: all Size: 12962782 SHA256: 9d1695fcb77967dc5227df81bf4cf6d6217501777950d7f3293f64a99760222e SHA1: 30b5e77e640ebe1bfa42153aff7b9cc5b322c971 MD5sum: d3606c6b222ba74352a04485621aa79a Description: Data files for ffDiaporama ffDiaporama is an application for creating video sequences consisting of * titles, fixed or animated. * images or photos, fixed or animated. * movie clips * music . This package provides the data files for ffdiaporama. Homepage: http://ffdiaporama.tuxfamily.org/ffdiaporama/ Section: graphics Priority: extra Filename: pool/main/f/ffdiaporama/ffdiaporama-data_1.3-1_all.deb Package: ffe Version: 0.2.8-1 Architecture: armhf Maintainer: Alexis Bezverkhyy Installed-Size: 176 Depends: libc6 (>= 2.4), dpkg (>= 1.15.4) | install-info Homepage: http://ff-extractor.sourceforge.net/ Priority: optional Section: text Filename: pool/main/f/ffe/ffe_0.2.8-1_armhf.deb Size: 65402 SHA256: 4c3d51babab3f57eecea781aa4d1abe52e5f8904aaa0cadcc9ec0420a49ab759 SHA1: 9dab4156e1cae06d251b6e35b779bba9fe554aab MD5sum: 37dce7b05c90c163674b3994783eacd8 Description: Tool for parsing flat and CSV files and converting them to different formats Ffe has many areas of use with fixed lenth and CSV files. It can extract particular fields or records from a flat file, convert data from one format to an other (CSV to fixed lenth, ...), display flat file content in human readable form, etc. In addition, one input file can contain several types of records (lines) and the input file structure and output definitions are independent, meaning one output format can be used with several input files. This input file structure and output format are 'freely' configurable, so the output can be formatted e.g. as: fixed length, separated, tokenized, XML, SQL,... Package: ffindex Version: 0.9.6.1-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 107 Depends: libffindex0 (= 0.9.6.1-1), libc6 (>= 2.13-28) Homepage: http://pubshare.genzentrum.lmu.de/scientific_computing/software/ffindex/ Priority: extra Section: science Filename: pool/main/f/ffindex/ffindex_0.9.6.1-1_armhf.deb Size: 24020 SHA256: 54218ce0a7897dd4f56ca48042ed2d489a380be1498cfad4c11ae43e88249a6c SHA1: 1e73f7a10889deff79e8cb8994e147db5a16ec1d MD5sum: f04aeca27f12fc0b8ec9bb7315260137 Description: simple index/database for huge amounts of small files FFindex is a very simple index/database for huge amounts of small files. The files are stored concatenated in one big data file, separated by '\0'. A second file contains a plain text index, giving name, offset and length of the small files. The lookup is currently done with a binary search on an array made from the index file. . This package provides the executables. Package: ffindex-dbg Source: ffindex Version: 0.9.6.1-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 148 Depends: ffindex (= 0.9.6.1-1), libffindex0 (= 0.9.6.1-1) Homepage: http://pubshare.genzentrum.lmu.de/scientific_computing/software/ffindex/ Priority: extra Section: debug Filename: pool/main/f/ffindex/ffindex-dbg_0.9.6.1-1_armhf.deb Size: 37630 SHA256: b435f975c7b7535505dac258817078a8f2920d333c991cbb2f7f04b1ab031eb8 SHA1: 38cd5c347a6f06a2f848db9cdd02e76a3762dabf MD5sum: dc8db6c1a00786af20168247ebfc270e Description: simple index/database for huge amounts of small files (debug) FFindex is a very simple index/database for huge amounts of small files. The files are stored concatenated in one big data file, separated by '\0'. A second file contains a plain text index, giving name, offset and length of the small files. The lookup is currently done with a binary search on an array made from the index file. . This package provides the detached debug symbols for ffindex and libffindex. Package: fflas-ffpack Version: 1.6.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 59 Depends: fflas-ffpack-common (= 1.6.0-1) Suggests: fflas-ffpack-user-doc, fflas-ffpack-dev-doc Homepage: http://www-ljk.imag.fr/membres/Jean-Guillaume.Dumas/FFLAS/ Priority: optional Section: math Filename: pool/main/f/fflas-ffpack/fflas-ffpack_1.6.0-1_armhf.deb Size: 8296 SHA256: 3543bdac01c944dac201e65b6ca9247ee06d3da6562806b3a7ec4e3026d2c8ba SHA1: f55a17e4ab3b3a90e3c8bdb9bebf43e4726e3d53 MD5sum: a8bc3a85fff50e2cfb272b43d4e1d3ff Description: Finite field linear algebra subroutines/package FFLAS-FFPACK consists in the creation of a set of routines, giving the same tools as a set of classical Basic Linear Algebra Subroutines, but working over finite fields. In the same way, some other routines of higher level (such as the one in LAPACK) are also produced. Package: fflas-ffpack-common Source: fflas-ffpack Version: 1.6.0-1 Installed-Size: 584 Maintainer: Debian Science Maintainers Architecture: all Size: 90712 SHA256: 4519073bdb17198acb8932448988c73e6d4bfd368f0b40bd2f5fe0705580b9c1 SHA1: 2ac450fcfc13c9107855c5825ec74ef02ec6aa71 MD5sum: 251a9fbfbba2923ebeee81a0bd313416 Description: Finite field linear algebra subroutines/package - common files FFLAS-FFPACK consists in the creation of a set of routines, giving the same tools as a set of classical Basic Linear Algebra Subroutines, but working over finite fields. In the same way, some other routines of higher level (such as the one in LAPACK) are also produced. . This package provies architecture independent header files. Homepage: http://www-ljk.imag.fr/membres/Jean-Guillaume.Dumas/FFLAS/ Section: math Priority: optional Filename: pool/main/f/fflas-ffpack/fflas-ffpack-common_1.6.0-1_all.deb Package: fflas-ffpack-dev-doc Source: fflas-ffpack Version: 1.6.0-1 Installed-Size: 3150 Maintainer: Debian Science Maintainers Architecture: all Size: 314750 SHA256: fe11d4e1568cb32bb72086420332b5c07936917f483a32a13cc2225d8ccd56e1 SHA1: df9f4aa739b1025a5b9ac1768db8ccf4f46edd38 MD5sum: be48305f39c8630d52114c7c724b9a92 Description: FFLAS-FFPACK Developer Documentation FFLAS-FFPACK consists in the creation of a set of routines, giving the same tools as a set of classical Basic Linear Algebra Subroutines, but working over finite fields. In the same way, some other routines of higher level (such as the one in LAPACK) are also produced. . This package provies developer's documentation of FFLAS-FFPACK. Homepage: http://www-ljk.imag.fr/membres/Jean-Guillaume.Dumas/FFLAS/ Section: doc Priority: optional Filename: pool/main/f/fflas-ffpack/fflas-ffpack-dev-doc_1.6.0-1_all.deb Package: fflas-ffpack-user-doc Source: fflas-ffpack Version: 1.6.0-1 Installed-Size: 596 Maintainer: Debian Science Maintainers Architecture: all Size: 93422 SHA256: 6d3e917ccfa05b73672d9124c5fd37d382ab6c7f92a607c1ce30232555265449 SHA1: f92b1d0e9519b49eb2d2e8a7ddbbeba2402e354f MD5sum: 1c14215daa90f9f7c9c74d611619a5d9 Description: FFLAS-FFPACK User Documentation FFLAS-FFPACK consists in the creation of a set of routines, giving the same tools as a set of classical Basic Linear Algebra Subroutines, but working over finite fields. In the same way, some other routines of higher level (such as the one in LAPACK) are also produced. . This package provies user's documentation of FFLAS-FFPACK. Homepage: http://www-ljk.imag.fr/membres/Jean-Guillaume.Dumas/FFLAS/ Section: doc Priority: optional Filename: pool/main/f/fflas-ffpack/fflas-ffpack-user-doc_1.6.0-1_all.deb Package: ffmpeg Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 237 Depends: libav-tools, libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.21), libavdevice53 (>= 6:0.8.3-1~), libavfilter2 (>= 6:0.8.3-1~), libavformat53 (>= 6:0.8.3-1~), libavutil51 (>= 6:0.8.3-1~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpostproc52 (>= 6:0.8.3-1~), libswscale2 (>= 6:0.8.3-1~) Homepage: http://libav.org/ Priority: extra Section: oldlibs Filename: pool/main/liba/libav/ffmpeg_0.8.21-0+deb7u1+rpi1_armhf.deb Size: 150480 SHA256: 127bae108e1a157291c475da34b0fd130a54dc70399248fa47d530e977fdc188 SHA1: c2b6eaf17fb75bb997749b19f90d1e1e10d0d8d3 MD5sum: cbd8ff9041289bf8357c75ba09fbc6ef Description: Multimedia player, server, encoder and transcoder (transitional package) Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This package contains the deprecated ffmpeg program. This package also serves as a transitional package to libav-tools. Users are advised to use avconv from the libav-tools package instead of ffmpeg. Package: ffmpeg-dbg Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: all Maintainer: Debian Multimedia Maintainers Installed-Size: 80 Depends: libav-dbg Homepage: http://libav.org/ Priority: extra Section: oldlibs Filename: pool/main/liba/libav/ffmpeg-dbg_0.8.21-0+deb7u1+rpi1_all.deb Size: 56600 SHA256: d9712fc278b404a0da23eb628cb63cee21e77073493f77ac2ba3c26b5d55ba11 SHA1: baf5180e0dbbf101ce8766b00fbddcf3c8979b67 MD5sum: ebb6eaa76708c182d5f6fa5e9366c0dc Description: Debug symbols for Libav related packages (transitional package) Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This package serves as a transitional package to libav-dbg. Package: ffmpeg-doc Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: all Maintainer: Debian Multimedia Maintainers Installed-Size: 80 Depends: libav-doc Homepage: http://libav.org/ Priority: extra Section: oldlibs Filename: pool/main/liba/libav/ffmpeg-doc_0.8.21-0+deb7u1+rpi1_all.deb Size: 56670 SHA256: c6c77331031a888c9fef2de2c03f2a6f56845a0e7f87ea7f07471a6daa32f510 SHA1: 6a75d18961f833c38ef49c95db822bd5a0b50774 MD5sum: 78ca3f464ecf05d5d9c8f652773fd14f Description: Documentation of the Libav API (transitional package) Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This package contains the html doxygen documentation of the Libav API. . Only application developers will find this package useful. . This package is only used for transitional purposes and can be safely removed. Package: ffmpeg2theora Version: 0.27-2 Architecture: armhf Maintainer: RISKO Gergely Installed-Size: 162 Depends: libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavdevice53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkate1 (>= 0.3.0), libogg0 (>= 1.0rc3), liboggkate1 (>= 0.3.0), libpostproc52 (>= 5:0.8-2~), libswscale2 (>= 5:0.8-2~), libtheora0 (>= 1.0), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2) Homepage: http://v2v.cc/~j/ffmpeg2theora/ Priority: optional Section: video Filename: pool/main/f/ffmpeg2theora/ffmpeg2theora_0.27-2_armhf.deb Size: 56254 SHA256: 392c71d679cf4241ed736e25006263dbf685fb87775d1e1de040fb028281a8b8 SHA1: a7323a2c4003cba38e06eb2dc8484ace8794e473 MD5sum: e2d7392741f28f63541b76e0a7307d8f Description: Theora video encoder using ffmpeg This package provides a command-line tool to encode/recode various video formats (basically everything that ffmpeg can read) into Theora, the free video codec. Package: ffmpegthumbnailer Version: 2.0.7-2 Architecture: armhf Maintainer: Lionel Le Folgoc Installed-Size: 59 Depends: libc6 (>= 2.13-28), libffmpegthumbnailer4, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: libglib2.0-0 Homepage: http://code.google.com/p/ffmpegthumbnailer/ Priority: optional Section: video Filename: pool/main/f/ffmpegthumbnailer/ffmpegthumbnailer_2.0.7-2_armhf.deb Size: 14094 SHA256: 9dac6f7555d84531ea8d88bb54011ae1fe359f5e81e28b8163c162789d9c1b94 SHA1: 5bb950031ef21a8f7241159938ee065036182660 MD5sum: 5e3953bf91833d754c33228f3583f5ec Description: fast and lightweight video thumbnailer FFmpegthumbnailer is a lightweight video thumbnailer that can be used by file managers to create thumbnails for your video files. The thumbnailer uses ffmpeg to decode frames from the video files, so supported videoformats depend on the configuration flags of ffmpeg. . This package contains the ffmpegthumbnailer executable. Package: ffmpegthumbnailer-dbg Source: ffmpegthumbnailer Version: 2.0.7-2 Architecture: armhf Maintainer: Lionel Le Folgoc Installed-Size: 590 Depends: ffmpegthumbnailer (= 2.0.7-2) Homepage: http://code.google.com/p/ffmpegthumbnailer/ Priority: extra Section: debug Filename: pool/main/f/ffmpegthumbnailer/ffmpegthumbnailer-dbg_2.0.7-2_armhf.deb Size: 211670 SHA256: 495ec015ee06edc73d064148cc1abfb48457c59fd188595a47b74ce117a8d0c2 SHA1: 42ebd95684a0ea6a44c4b8a4288f2bb4d281f861 MD5sum: 040ccf1e6cb7ca88296873cdb23d06ac Description: debugging informations for ffmpegthumbnailer FFmpegthumbnailer is a lightweight video thumbnailer that can be used by file managers to create thumbnails for your video files. The thumbnailer uses ffmpeg to decode frames from the video files, so supported videoformats depend on the configuration flags of ffmpeg. . This package contains the debugging symbols for ffmpegthumbnailer. Package: ffmpegthumbs Source: kdemultimedia Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 99 Depends: libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkio5 (>= 4:4.8), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libswscale2 (>= 5:0.8-2~) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdemultimedia/ffmpegthumbs_4.8.4-2_armhf.deb Size: 24378 SHA256: 66e2615dc362a07715171d07ed565d2b1e40a51ae89bb83fef918f81ccd9c02e SHA1: bc02f093be6d63c87bba234880321e00dff32b89 MD5sum: 275d8acb029fbbd61423075571c5f580 Description: video thumbnail generator using ffmpeg FFMpegThumbs is a video thumbnail generator for KDE file managers like Dolphin and Konqueror. It enables them to show preview images of video files using FFMpeg. . This package is part of the KDE multimedia module. Package: ffmsindex Source: ffms2 Version: 2.17-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 51 Depends: libc6 (>= 2.13-28), libffms2-2, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://code.google.com/p/ffmpegsource/ Priority: optional Section: video Filename: pool/main/f/ffms2/ffmsindex_2.17-1_armhf.deb Size: 12010 SHA256: 7625ee5440fd50133f70d9f733b3ceb7006cda2502f253a0f891e012ae5e02fd SHA1: 8509f3f254063cfa8586c0e60e3f754a91ae2e52 MD5sum: 3ccecbfa8db85e302869d5f0da2f9b76 Description: Command line tool to create ffms2 index files A cross platform ffmpeg wrapper library, and some additional content for things ffmpeg doesn't handle well. A more friendly API and an easy way to say "open and decompress this, I don't care how". . This package contain a command line indexer tool. Used to create index files which can later be used by applications which are useing ffms2 to speed up loading of multimedia files. Package: ffproxy Version: 1.6-10 Architecture: armhf Maintainer: Emmanuel Bouthenot Installed-Size: 134 Depends: libc6 (>= 2.7), lsb-base (>= 3.0-3), ucf (>= 0.08) Homepage: http://ffproxy.sourceforge.net/ Priority: optional Section: net Filename: pool/main/f/ffproxy/ffproxy_1.6-10_armhf.deb Size: 39880 SHA256: 759a5d8dc4c42d5d0bf62ed9eea480555f299105f268d8833e77ced2f1c7571a SHA1: 4c24889f4e52f64d4e83207a9f030d6d322bb9b9 MD5sum: 43bc223ff686b9bbeca890a96dabd981 Description: Light and customizable http(s) proxy server with ipv6 support ffproxy is a filtering HTTP/HTTPS proxy server. - It is able to filter by host, URL, and header. - Custom header entries can be filtered and added. - It can even drop its privileges and be chrooted. - Logging to syslog() is supported. - It can use another auxiliary proxy server. - HTTP accelerator feature (acting as front-end to a HTTP server) is included. - It allows transparent IPv6 over IPv4 browsing (and vice versa). Package: ffrenzy Version: 1.0.2~svn20070530-4 Architecture: armhf Maintainer: Paul van Tilburg Installed-Size: 5428 Depends: libc6 (>= 2.13-28), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libxml2 (>= 2.7.4), python (>= 2.6.6-7~), python-gtk2, python-glade2, python-pygame, ttf-bitstream-vera Homepage: http://ffrenzy.luon.net/ Priority: optional Section: games Filename: pool/main/f/ffrenzy/ffrenzy_1.0.2~svn20070530-4_armhf.deb Size: 3753344 SHA256: 12d71d75ca2d9f943b5259c8499d5f40ad44da51b22ae0c1f99dd63121072e45 SHA1: 2c82d6e2b2f2520258598af794091b3942479c00 MD5sum: 8b2f2b08200c656fa74f29ecfe6cfe11 Description: multiplayer platform game with dwarfs fighting with/for food Feeding Frenzy! is a distributed network game for two to eight players. One instance needs to act as server for syncing player names and the levels. . During the game dwarfs need to collect food as fast as possible to bring it back to their mushrooms to live. When a dwarfs leaves his home without providing it with food for too long, (s)he dies from hunger. The collected food can also be thrown at other dwarfs, making them unconscious when hit. When a power-up is picked up, thrown food will have special powers! Package: fftw-dev Source: fftw Version: 2.1.5-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 857 Depends: fftw2 (= 2.1.5-1) Conflicts: fftw-double-dev, fftw1-dev Provides: fftw-double-dev Homepage: http://fftw.org Priority: extra Section: oldlibs Filename: pool/main/f/fftw/fftw-dev_2.1.5-1_armhf.deb Size: 388296 SHA256: ce7fe0f395189682d6e1d1a5df1301d39a425fd9d6799776a98cf27f1e05f4b1 SHA1: 8375d55ca466880c0e06edb54585ca27cfcd2dcb MD5sum: f64bdad88c8bc0192aed992dd49161c9 Description: library for computing Fast Fourier Transforms This library computes FFTs in one or more dimensions. It is extremely fast. This package contains the statically linked library and the header files. Package: fftw-docs Source: fftw Version: 2.1.5-1 Installed-Size: 685 Maintainer: Debian Science Team Architecture: all Depends: dpkg (>= 1.15.4) | install-info Suggests: fftw2 Conflicts: fftw-dev (<< 2.1.3-15), k6fftwgel (<< 1.1-8), k7fftwgel (<< 1.2-6), p4fftwgel-dev (<< 1.2-5) Size: 248682 SHA256: 29458800047e43851e9a9c39b04ea19d72634a68f94b64f043d371b951cc722b SHA1: e6da702db5eda75dc980244d219fde099eb0c607 MD5sum: d2375be7047c6fbd995895ff8a3ec6f5 Description: documentation for fftw This package contains the documentation and test programs for fftw, a Fast Fourier Transform library. Homepage: http://fftw.org Tag: devel::doc, devel::library, field::mathematics, role::documentation, works-with::image, works-with::image:raster Section: oldlibs Priority: extra Filename: pool/main/f/fftw/fftw-docs_2.1.5-1_all.deb Package: fftw2 Source: fftw Version: 2.1.5-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 658 Depends: libc6 (>= 2.13-28), libopenmpi1.3 Suggests: fftw-dev, mpi-defaults-bin Conflicts: fftw2-double Provides: fftw2-double Homepage: http://fftw.org Priority: extra Section: oldlibs Filename: pool/main/f/fftw/fftw2_2.1.5-1_armhf.deb Size: 361414 SHA256: a29db6bfbd49b9156da35eb5577ac15b0c5ab6c3b638a62791798d32d6a3d36f SHA1: e1b44c8859380b11bd8e42dd60da846cf7c6512e MD5sum: 3e78088b6c145da1a3048d7c2421dd6a Description: library for computing Fast Fourier Transforms This library computes Fast Fourier Transforms (FFT) in one or more dimensions. It is extremely fast. This package contains the shared library version of the fftw libraries in double precision. . To get the static library and the header files you need to install fftw-dev. . For documentation and test programs, install fftw-docs Package: fgetty Version: 0.6-5 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 89 Priority: optional Section: admin Filename: pool/main/f/fgetty/fgetty_0.6-5_armhf.deb Size: 24870 SHA256: e95cc1a7964b5227be3167be03a6063ccacfa700cddf2fb9cc792d8328c07c64 SHA1: e562f0bd5b7cc821d122ea7b422fbabe2ef3306f MD5sum: b2102e15fd2ad54e88b3c22643666ace Description: very small, efficient, console-only getty and login fgetty is a small, efficient, console-only getty for Linux. It is derived from mingetty but hacked until it would link against diet libc to produce the smallest memory footprint possible for a simple yet complete getty. . fgetty includes a login program that supports the checkpassword authentication interface, and also a checkpassword program that uses the standard C library interface to passwd and shadow. Package: fgfs-aircraft-base Source: fgfs-base Version: 2.4.0-1+deb7u1 Installed-Size: 166485 Maintainer: Debian FlightGear Crew Architecture: all Replaces: fgfs-base (<< 2.0.0) Size: 94656670 SHA256: abbb5b683f6cf6fd8467eeae2a04a51337f1a6f7af30654d157714b81bb48d76 SHA1: fc9c3255c37b56ac40120d84fb0a369fd1e678b2 MD5sum: 67693cf14175edebf48038ca21b1bc47 Description: Flight Gear Flight Simulator -- standard aircraft Flight Gear is a free and highly sophisticated flight simulator. . This package contains the aircraft models that come with the standard Flight Gear distribution. Homepage: http://www.flightgear.org/ Tag: role::app-data Section: games Priority: extra Filename: pool/main/f/fgfs-base/fgfs-aircraft-base_2.4.0-1+deb7u1_all.deb Package: fgfs-base Version: 2.4.0-1+deb7u1 Installed-Size: 340846 Maintainer: Debian FlightGear Crew Architecture: all Depends: tzdata Breaks: fgfs-atlas (<= 0.3.1-2+b999), flightgear (<< 2.4.0) Size: 252495276 SHA256: 0db33bd9a394df039d400ad5ca489b257046f028d08dfaa567b204a1f3b69514 SHA1: c12a29adddc11466400e57d30f59d2b6e325c060 MD5sum: c5fc7f3f8bb3cb482aca5e9cafdaf22a Description: Flight Gear Flight Simulator -- base files Flight Gear is a free and highly sophisticated flight simulator. . This package contains graphics, sounds, models, and the minimum scenery you need to start Flight Gear. . This package does not contain the flight simulator itself. If you want to fly, install the flightgear package. Homepage: http://www.flightgear.org/ Tag: field::aviation, game::simulation, role::app-data, use::gameplaying Section: games Priority: extra Filename: pool/main/f/fgfs-base/fgfs-base_2.4.0-1+deb7u1_all.deb Package: fgfs-models-base Source: fgfs-base Version: 2.4.0-1+deb7u1 Installed-Size: 181422 Maintainer: Debian FlightGear Crew Architecture: all Replaces: fgfs-base (<< 2.0.0) Size: 93824764 SHA256: 58ec23c6ad17a2d765aac5a64f28fa0fde26a32ad536b249dcf0507957ba8a1d SHA1: 306964cc65fdcf6650be10867c8281c4f688c301 MD5sum: 1a9d09b422e11df8eb0b5abbfa2265bb Description: Flight Gear Flight Simulator -- standard models Flight Gear is a free and highly sophisticated flight simulator. . This package contains the object models that come with the standard Flight Gear distribution. Homepage: http://www.flightgear.org/ Tag: role::app-data Section: games Priority: extra Filename: pool/main/f/fgfs-base/fgfs-models-base_2.4.0-1+deb7u1_all.deb Package: fgfs-scenery-base Source: fgfs-base Version: 2.4.0-1+deb7u1 Installed-Size: 20514 Maintainer: Debian FlightGear Crew Architecture: all Replaces: fgfs-base (<< 2.4.0) Size: 10442276 SHA256: 8de80c47ae15983b4eda3a2d2629c6c5fd626542b482f0b5890a7236483db543 SHA1: 9fa1372f386e9b4c07558bdd1be6657566525a16 MD5sum: f310fad1cde0323033039a330c25bdae Description: Flight Gear Flight Simulator -- standard scenery Flight Gear is a free and highly sophisticated flight simulator. . This package contains the scenery data that come with the standard Flight Gear distribution. It covers the San Francisco area. Homepage: http://www.flightgear.org/ Tag: role::app-data Section: games Priority: extra Filename: pool/main/f/fgfs-base/fgfs-scenery-base_2.4.0-1+deb7u1_all.deb Package: fgo Version: 1.3.1-2 Installed-Size: 452 Maintainer: Christopher Baines Architecture: all Depends: python (>= 2.6.6-7~), python-tk, python-imaging, python-imaging-tk, tcl8.5, tk8.5 Recommends: flightgear (>= 2.0.0) Size: 67140 SHA256: 85faceb7c3948e56401b4bdb715e55e61d68b45ce8ca1e96faaa3923c06c3025 SHA1: e4d8787f3ff98c6c998234f01e9ffce69414a22b MD5sum: ed57d2f607221fe542b4bbe858de5083 Description: simple graphical launcher for FlightGear FGo! allows you to launch FlightGear from a GUI (Graphical User Interface). . FGo! allows you to easily select the aircraft, airport and scenario. It's also provides a convenient way to run TerraSync. . What distinguishes it from other such applications is the text window allowing you to write any other, more advanced command line options that will be passed to FlightGear. This is similar to editing the .fgfsrc configuration file. Homepage: http://sites.google.com/site/erobosprojects/flightgear/add-ons/fgo Section: games Priority: extra Filename: pool/main/f/fgo/fgo_1.3.1-2_all.deb Package: fgrun Version: 1.6.0-1 Architecture: armhf Maintainer: Debian FlightGear Crew Installed-Size: 570 Depends: libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.6), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libice6 (>= 1:1.0.0), libopenscenegraph80, libopenthreads14, libsm6, libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxt6, simgear2.4.0 (>= 2.4.0), zlib1g (>= 1:1.1.4) Recommends: flightgear Homepage: http://fgrun.sourceforge.net/ Priority: optional Section: games Filename: pool/main/f/fgrun/fgrun_1.6.0-1_armhf.deb Size: 200776 SHA256: cda03f3a4d648388ab38353a35d5f405edf396d2a7214791d257db3626408363 SHA1: eff9d1f63d617f60de9fa6fd8b8103fcc5caffb8 MD5sum: 44bc1f2fea451bf63a950cad205e66bd Description: graphical frontend for running FlightGear FlightGear Launch Control (FGRun) is a graphical frontend for running the FlightGear Flight Simulator (fgfs). . It includes the ability to configure your scenery paths FlightGear setup. When selecting an aircraft you are able to view a complete 3d model and see its development status and author. When selecting an airport or carrier to launch from you can chose either by the ICAO ID (International Civilian Aviation Organisation Identifier) or the airport id, with the flexibility of selecting a runway and parking position also. . When launching the simulation FGRun includes a comprehensive configuration system, allowing you to directly configure settings such as screen resolution and multiplayer settings. . FGRun also includes support for TerraSync, a tool included with FlightGear that allows you to download and use scenery while in the simulation, it can also preload the scenery for your selected location as the airport selection screen. Package: fhist Version: 1.18-1 Architecture: armhf Maintainer: Walter Franzini Installed-Size: 455 Depends: libc6 (>= 2.13-28) Conflicts: fhist-doc Replaces: fhist-doc Homepage: http://fhist.sourceforge.net/ Priority: extra Section: devel Filename: pool/main/f/fhist/fhist_1.18-1_armhf.deb Size: 287952 SHA256: 3ad1f37aa77c2e5b38d2f769e86bbfb9cc42fa25d3005da510f2a24159944c54 SHA1: 3b3da645f687af4d128e80aa96c9affa6931d9f2 MD5sum: f783653ee1cd55c239ddaef1217caa4f Description: File history, comparison and merge utilities The fhist package contains 3 utilities fhist - a file history tool fcomp - a file comparison tool fmerge - a file merging tool . All three are bundled together, because they all use the same minimal-difference algorithm. Package: fiaif Version: 1.22.1-1 Installed-Size: 560 Maintainer: Bastian Kleineidam Architecture: all Depends: iptables (>= 1.2.6a), bash (>= 2.04), sed (>= 3.02), grep (>= 2.4.2), coreutils (>= 4.5.1), net-tools, debconf (>= 1.0.32) | debconf-2.0, debianutils (>= 1.16), dnsutils | djbdns, cron | anacron, logtail, wget Suggests: iproute, ulogd, linux-image-2.6 | linux-image-2.4 Conflicts: firewall-easy, ipmasq, knetfilter, shorewall Size: 199048 SHA256: bc0472c2ba4aaead26184b91217afd99715892ddfaf6c22548b4e7ad8f371345 SHA1: d2156721864d5058995ec81ecf57853e3b2001f4 MD5sum: 25b666a2cdccf8c80c43bd8f1f5740c1 Description: An easy to use, yet complex firewall Fiaif is an Intelligent Firewall. . The Goal of FIAIF is to provide a highly customizable script for setting up an iptables based firewall. . Unlike many other scripts, FIAIF can be truly customized allowing multiple interfaces (or rather zones). There is no limit on the number of zones. All configuration is done through configuration files. No need to understand the script behind it all. . The script makes heavy use of state-full firewalling, and all RELATED and ESTABLISHED packets are accepted on all chains. If you wish to block something out, don't accept it in the first place. . The script is written in BASH. Though this is not the optimal program to use, it means that you do not need to install extra interpreters on your firewall. This allows you to have a minimalistic installation on your firewall. . Install this package if your machine is ever on the internet. Homepage: http://www.fiaif.net/ Tag: implemented-in::shell, interface::daemon, network::firewall, network::server, role::program, security::firewall, use::configuring Section: net Priority: optional Filename: pool/main/f/fiaif/fiaif_1.22.1-1_all.deb Package: fig2ps Version: 1.5-1 Installed-Size: 64 Maintainer: Vincent Fourmond Architecture: all Replaces: texmacs (<= 1.0.3-6) Depends: perl-base (>= 5.10.1-9), transfig, texlive-latex-base | tetex-bin (>= 2.0.2-11), texlive-latex-recommended | tetex-extra, texlive-fonts-recommended | tetex-extra, perl-modules, texlive-latex-extra | tetex-extra, texlive-extra-utils | tetex-extra, ghostscript Recommends: gv Suggests: cm-super | lmodern, xfig Enhances: transfig Size: 18804 SHA256: 7834ec647d876f42c4ad249f7da1e0e6b3f20fac35f3f8239ed2174544930be4 SHA1: 9052d7c18f611fd02444b71d3de6220e8ba8762b MD5sum: 42817d5dfde4da6c43699a43c6297c63 Description: Converts xfig files into ps, eps or pdf files using LaTeX for processing text This perl script takes into advantage the pstex and pstex_t export formats of fig2dev (xfig) to separate special text and the rest of a xfig file. Then, the text is processed separately using LaTeX, and everything is put back together at the end, to make a nice wonderful figure with the text formatted exactly the same way as the article you are typing ! PDF output is also available. . Though gv is strictly speaking not necessary for conversion, it can be called directly by fig2ps depending on the options you choose. For nice PDF output, you should install Type 1 fonts, such as lmodern or cm-super. Homepage: http://sourceforge.net/projects/fig2ps Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, works-with-format::postscript, works-with-format::tex, works-with::image, works-with::image:vector, works-with::text Section: tex Priority: optional Filename: pool/main/f/fig2ps/fig2ps_1.5-1_all.deb Package: fig2sxd Version: 0.20-1 Architecture: armhf Maintainer: Alexander Bürger Installed-Size: 154 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Suggests: openoffice.org, xfig, pstoedit Homepage: http://fig2sxd.sourceforge.net Priority: optional Section: graphics Filename: pool/main/f/fig2sxd/fig2sxd_0.20-1_armhf.deb Size: 61832 SHA256: 598dda859b866b312855fa8340febe414621055fd2ba1e5dc00a12cc62286a84 SHA1: a7a97a7117c50092c4202ac41a6bfdcf9e250738 MD5sum: 2b1f4ad4d4b9472fa0196bf27f8c6dd5 Description: convert XFig files to OpenOffice.org format This program converts a file in XFig format into a .sxd file for OpenOffice.org Draw. Package: figlet Version: 2.2.5-2 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 724 Depends: libc6 (>= 2.13-28) Homepage: http://www.figlet.org/ Priority: optional Section: text Filename: pool/main/f/figlet/figlet_2.2.5-2_armhf.deb Size: 184258 SHA256: 95e6ce000b3eaa226161bbb8f15a319da7f13d8e03b3c2d13269553ac56b1743 SHA1: 4dec91229dddb0ff752f96b0aaf4770bebbe48cd MD5sum: a29257f28b9cd91520226f3e4fa373ef Description: Make large character ASCII banners out of ordinary text Figlet (Frank, Ian & Glenn's Letters) is a program that creates large characters out of ordinary screen characters. . It can create characters in many different styles and can kern and "smush" these characters together in various ways. Figlet output is generally reminiscent of the sort of "signatures" many people like to put at the end of e-mail, Usenet and MOTD messages. Package: figtoipe Version: 20080517-1 Architecture: armhf Maintainer: Alexander Bürger Installed-Size: 91 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.2.0) Recommends: netpbm, ipe Homepage: http://tclab.kaist.ac.kr/ipe Priority: extra Section: graphics Filename: pool/main/f/figtoipe/figtoipe_20080517-1_armhf.deb Size: 29756 SHA256: 14994c6fd0123870f9d997d906d84093b340ca1137275e3b20c6a85d5acb7232 SHA1: 3cf3a794a8ff6bd6b1cd9e75d87080ae06fae6ad MD5sum: 15b326a415bc39525217f9006f3cdaef Description: convert FIG files to XML files for ipe Figtoipe is a program that reads FIG files (as generated by xfig) and generates an XML file readable by Ipe. Package: figtree Version: 1.3.1-1 Installed-Size: 1016 Maintainer: Debian Med Packaging Team Architecture: all Depends: default-jre | java5-runtime | java6-runtime, jarwrapper (>= 0.5), libfreehep-export-java, libfreehep-graphics2d-java, libfreehep-graphicsio-emf-java, libfreehep-graphicsio-java, libfreehep-graphicsio-pdf-java, libfreehep-graphicsio-ps-java, libfreehep-graphicsio-svg-java, libfreehep-graphicsio-swf-java, libitext5-java, libjam-java, libjebl2-java Size: 873772 SHA256: 18a0e826a0f5f0050c6f274d6ddb95139bac1a5018fd5b2b44325dd83c5d7096 SHA1: 403e40e1f00a61328c304f5fc2e1a045cdd949cc MD5sum: 0a81bf397a7fe92bc2fb0188b5da946f Description: graphical phylogenetic tree viewer FigTree is designed as a graphical viewer of phylogenetic trees and as a program for producing publication-ready figures. In particular it is designed to display summarized and annotated trees produced by BEAST. Homepage: http://tree.bio.ed.ac.uk/software/figtree/ Section: science Priority: optional Filename: pool/main/f/figtree/figtree_1.3.1-1_all.deb Package: fil-plugins Version: 0.3.0-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 45 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Provides: ladspa-plugin Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/index.html Priority: optional Section: sound Filename: pool/main/f/fil-plugins/fil-plugins_0.3.0-3_armhf.deb Size: 8698 SHA256: f4bb9bca5ad029ce27083a757dc0f83af1e27a2a741e935d4a23b4fdfd51885b SHA1: b8b3d69ea7ce90e0c10370997d0ee9075f16826f MD5sum: f10340a02c7e999af7995b27ec6cff4d Description: parametric equalizer LADSPA plugin Four-band parametric equaliser. Each section has an active/bypass switch, frequency, bandwidth and gain controls. There is also a global bypass switch and gain control. . The 2nd order resonant filters are implemented using a Mitra-Regalia style lattice filter, which has the nice property of being stable even while parameters are being changed. . All switches and controls are internally smoothed, so they can be used 'live' without any clicks or zipper noises. This should make this plugin a good candidate for use in systems that allow automation of plugin control ports, such as Ardour, or for stage use. Package: file Version: 5.11-2+deb7u9 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 66 Depends: libc6 (>= 2.13-28), libmagic1 (= 5.11-2+deb7u9), zlib1g (>= 1:1.1.4) Multi-Arch: foreign Homepage: http://www.darwinsys.com/file/ Priority: standard Section: utils Filename: pool/main/f/file/file_5.11-2+deb7u9_armhf.deb Size: 53182 SHA256: 67ff0c62b75cc2fe846fbb63604e1e6f88fd4f4c95c764a1e07b96cc68145a72 SHA1: 48ebdc7f174ed227f9a8efa45a5b432d76cf4cb0 MD5sum: c057060ae1688a7bd7936264c37c2429 Description: Determines file type using "magic" numbers File tests each argument in an attempt to classify it. There are three sets of tests, performed in this order: filesystem tests, magic number tests, and language tests. The first test that succeeds causes the file type to be printed. Package: file-kanji Version: 1.1-16 Architecture: armhf Maintainer: Hiroyuki Yamamoto Installed-Size: 46 Depends: libc6 (>= 2.4) Recommends: file Priority: optional Section: misc Filename: pool/main/f/file-kanji/file-kanji_1.1-16_armhf.deb Size: 7250 SHA256: 9275f92d9a955f599bff2de7f6729dc9577b78867ac32b17f5de531ba0d41d93 SHA1: 2cb0cad817b8545380467cc74d8ecebf122a1d2c MD5sum: b2ab9fdd704d8255d715e367d5e3f30a Description: kanji code checker This package contains file2 command. File2 command tests the classification of Japanese text files into JIS, UTF-8, EUC-JP, SJIS, ascii, and UNKNOWN. If the file package is installed, the file command is executed instead of UNKNOWN. Package: file-rc Version: 0.8.15 Installed-Size: 139 Maintainer: file-rc maintainers Architecture: all Replaces: sysv-rc, sysvinit (<< 2.85-3) Depends: insserv (>= 1.14.0-4) Conflicts: ash (<< 0.3.5-1) Breaks: insserv (<< 1.14.0-4) Size: 40222 SHA256: c445861aa13e6e9a47e3e455a9806bf213e53eb7fc3fb5cc61b0e1cdbb56dc4c SHA1: ffdf411682f213ec8426d9315cbad6530a3b796c MD5sum: d1c7e89a8c945e94b5a1ef6f12ad6c1e Description: Alternative boot mechanism using a single configuration file This package provides an alternative mechanism to boot the system, to shut it down and to change runlevels. The /etc/rc?.d/* links will be converted into one single configuration file /etc/runlevel.conf instead, which is easier to administrate than symlinks, and is also more flexible. . The package will automatically convert your existing symlinks into the file method on installation, and convert the file back into symlinks on removal. Both mechanisms are compatible through /etc/init.d/rc, /etc/init.d/rcS, /usr/sbin/update-rc.d, and /usr/sbin/invoke-rc.d scripts. Section: admin Priority: extra Filename: pool/main/f/file-rc/file-rc_0.8.15_all.deb Package: file-roller Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 5912 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.0.2), libmagic1, libnautilus-extension1a (>= 2.91), libpango1.0-0 (>= 1.14.0), dconf-gsettings-backend | gsettings-backend, bzip2, p7zip-full, nautilus-data (>= 3.0) Recommends: gnome-icon-theme (>= 2.18), gvfs Suggests: arj, binutils, cpio, lha, lzip, lzma, lzop, ncompress, rpm2cpio, rzip, sharutils, unace, unalz, unrar | p7zip-rar, unzip, xz-utils, zip, zoo Replaces: gnome-icon-theme (<< 2.14) Homepage: http://fileroller.sourceforge.net/ Priority: optional Section: gnome Filename: pool/main/f/file-roller/file-roller_3.4.2-1_armhf.deb Size: 1752812 SHA256: 9e3d1395db843dd0b7007f1f5eec497ed21e50d6147bd0c65281eaa1189df55e SHA1: ac20dcf7466b3f8787f63aa8229f3ae5bcd5d068 MD5sum: 27fe212e8e19640b4b01d1816f9a1126 Description: archive manager for GNOME File-roller is an archive manager for the GNOME environment. It allows you to: . * Create and modify archives. * View the content of an archive. * View a file contained in an archive. * Extract files from the archive. . File-roller supports the following formats: * Tar (.tar) archives, including those compressed with gzip (.tar.gz, .tgz), bzip (.tar.bz, .tbz), bzip2 (.tar.bz2, .tbz2), compress (.tar.Z, .taz), lzip (.tar.lz, .tlz), lzop (.tar.lzo, .tzo), lzma (.tar.lzma) and xz (.tar.xz) * Zip archives (.zip) * Jar archives (.jar, .ear, .war) * 7z archives (.7z) * iso9660 CD images (.iso) * Lha archives (.lzh) * Single files compressed with gzip (.gz), bzip (.bz), bzip2 (.bz2), compress (.Z), lzip (.lz), lzop (.lzo), lzma (.lzma) and xz (.xz) . File-roller doesn't perform archive operations by itself, but relies on standard tools for this. Package: filelight Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 595 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkfile4 (>= 4:4.8), libkio5 (>= 4:4.8), libkparts4 (>= 4:4.8), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libsolid4 (>= 4:4.8), libstdc++6 (>= 4.4.0) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/f/filelight/filelight_4.8.4-1_armhf.deb Size: 327510 SHA256: 3da8f67315d8e7e26f23215d796c2771195c7381d56461c7356d507633db91c5 SHA1: d200a7d1c67f99c97f5152a4856d8ba467c18341 MD5sum: 014ec371735b605ebd76d5a27971f03e Description: show where your diskspace is being used Filelight allows you to understand your disk usage by graphically representing your filesystem as a set of concentric, segmented rings. . It is like a pie-chart, but the segments nest, allowing you to see both which directories take up all your space, and which directories and files inside those directories are the real culprits. Package: filepp Version: 1.8.0-3 Installed-Size: 348 Maintainer: Christoph Haas Architecture: all Size: 69388 SHA256: 7cc64dfd206a6253369ab724bda44d2dc598a2b15b92296f76659a02cd382d9e SHA1: 9fa24a3b1aae1e58017fec53483f46e9fa416e2e MD5sum: 1b09b2196b657e16697349a4d12b95e2 Description: A generic perl-based file pre-processor filepp is a pre-processor similar to cpp just that it can process any kind of text file. Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, works-with::text Section: text Priority: optional Filename: pool/main/f/filepp/filepp_1.8.0-3_all.deb Package: fileschanged Version: 0.6.5-1.2 Architecture: armhf Maintainer: Juan A. Diaz Installed-Size: 100 Depends: libc6 (>= 2.4), libfam0, dpkg (>= 1.15.4) | install-info, fam (>= 2.7.0-10) | gamin (>= 0.1.7-4) Homepage: http://fileschanged.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/f/fileschanged/fileschanged_0.6.5-1.2_armhf.deb Size: 28354 SHA256: c6f89a63e0d2e81ea30c743fb00d2bc526f232c59bd17bdf965ef8d4a56c24a4 SHA1: 2b715e3efebde4bdece2a4006528db6e12acb052 MD5sum: f1c305c17b0f07a18307b45324137e66 Description: command-line utility that reports when files have been altered This software is a client to FAM (File Alteration Monitor). You can use fileschanged in shell scripts to take action when monitored files become altered. In it's simplest form, you can give filenames to monitor from standard-input, and it will report when those files have changed via standard-output. In a more complex usage, fileschanged can monitor command-line specified files recursively, while following symbolic links, while staying on the current filesystem, and reporting deleted files as well as created and changed files that haven't been written to for 2 seconds. Package: filetea Version: 0.1.12+dfsg1-3 Architecture: armhf Maintainer: Alberto Garcia Installed-Size: 433 Depends: libc6 (>= 2.13-28), libevd-0.1-0 (>= 0.1.20), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.22.0), libjson-glib-1.0-0 (>= 0.12.0), libsoup2.4-1 (>= 2.26.1), adduser, libjs-jquery, libjs-jquery-ui Suggests: ssl-cert Homepage: https://gitorious.org/filetea Priority: optional Section: net Filename: pool/main/f/filetea/filetea_0.1.12+dfsg1-3_armhf.deb Size: 171080 SHA256: 2c2fcbd4d444b18e32953bb3323d5c729e542ce1a3c6afffd0b6e0e8a9793cb5 SHA1: c8c42bc6715be7317ed26c657a61a7bf4bc2f716 MD5sum: 34a663d83f96bbce1f29e78f7a62dea2 Description: Web-based file sharing system FileTea is a simple way to send files among different users. . FileTea functions as a web server. Users can drag files into their web browser and a URL will be generated for each one. Those URLs can be sent to other people, who will be able to start downloading the files immediately. . An HTML5 capable browser is required in order to share the files, but any HTTP client can download them, including command-line tools such as curl or wget. . Files are sent through the server, but no data is stored there: FileTea is only used to route the traffic. This also means that there's no limit to the size of shared files. . The service is anonymous and does not require user registration. Since it's completely web-based, it works in networks with proxies, firewalls, etc., as long as all users can reach the FileTea server. Package: filetraq Version: 0.2-14 Installed-Size: 48 Maintainer: Sergio Talens-Oliag Architecture: all Size: 7644 SHA256: bac63609d3435063c6d8e5002cf1ddf8bd671b1f35957e790e82e34f49d6f184 SHA1: e63b77c3fe5e135907763149047739a8fafbc1c7 MD5sum: 1fa5ea53f45974d35982a3f9194ee059 Description: Small utility to keep track of changes in config files FileTraq is just a shell script that reads a list of files to watch, runs diff against each file and its backup, and reports any discrepancies, along with keeping a dated backup of the original. It's designed to be run as a cron job. Tag: devel::rcs, implemented-in::shell, interface::daemon, role::program, scope::utility, use::checking, use::configuring Section: admin Priority: optional Filename: pool/main/f/filetraq/filetraq_0.2-14_all.deb Package: filezilla Version: 3.5.3-2 Architecture: armhf Maintainer: Adrien Cunin Installed-Size: 2615 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.1.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnutls26 (>= 2.12.17-0), libgtk2.0-0 (>= 2.8.0), libidn11 (>= 1.13), libpango1.0-0 (>= 1.14.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.6), libtinyxml2.6.2, libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), filezilla-common (= 3.5.3-2) Recommends: xdg-utils Homepage: http://filezilla-project.org/ Priority: optional Section: net Filename: pool/main/f/filezilla/filezilla_3.5.3-2_armhf.deb Size: 1171146 SHA256: 85e9b0adef5d5b8b8a3ecffe9d4987066c29ca7b8b128906a34be9dd36973ea8 SHA1: 4fc940b274f5ed17b699b3dbbf74752d0d0f949f MD5sum: 6ee5d915b98d26cf0be7938b5350dcd8 Description: Full-featured graphical FTP/FTPS/SFTP client FileZilla is a full-featured FTP client with an easy-to-use GUI. . It is written in C++ and uses the wxWidgets library. . FileZilla includes the following features: * Supports FTP, FTP over SSL/TLS (FTPS) and SSH File Transfer Protocol (SFTP) * IPv6 support * Available in more than 40 languages * Supports resume and transfer of large files >4GB * Easy to use Site Manager and transfer queue * Bookmarks * Drag & drop support * Speed limits * Filename filters * Directory comparison * Network configuration wizard * Remote file editing * Keep-alive * HTTP/1.1, SOCKS5 and FTP Proxy support * Logging to file * Synchronized directory browsing * Remote file search * Tabbed interface to connect to multiple servers Package: filezilla-common Source: filezilla Version: 3.5.3-2 Installed-Size: 7937 Maintainer: Adrien Cunin Architecture: all Replaces: filezilla (<< 3.2.7.1-1), filezilla-locales Conflicts: filezilla-locales Size: 3015488 SHA256: 1c27d76195573da8e35c7e0be782d357eab4774c4f0b250a35a614074d5addb0 SHA1: 6b4b381ff1fff9002e72bd3b7fd402ba7561bd53 MD5sum: ecac241d1992888c95ed1be62e83c05a Description: Architecture independent files for filezilla FileZilla is a full-featured FTP client with an easy-to-use GUI. . It is written in C++ and uses the wxWidgets library. . See the filezilla package description for the full list of features. . This package contains architecture independent files such as images and translations. Homepage: http://filezilla-project.org/ Tag: made-of::icons, role::app-data Section: net Priority: optional Filename: pool/main/f/filezilla/filezilla-common_3.5.3-2_all.deb Package: filler Version: 1.02-6.1 Installed-Size: 324 Maintainer: James Damour (Suvarov454) Architecture: all Depends: default-jre | java1-runtime | java2-runtime Size: 196548 SHA256: ec2fff8736b411c00f2130d4d344a43d467118bc934f7f274d05892ce5affc5e SHA1: f1aa17efcd880607b21b43de273b7d4be090b234 MD5sum: 89ca31f59483b51b016fc55bf8bfe7bc Description: simple game where two players try to capture half the board Filler is a simple game where two players try to capture half of the board. Players take turns selecting colours to capture all adjacent hexes of the same colour. Tag: devel::lang:haskell, game::board, role::program, use::gameplaying Section: games Priority: optional Filename: pool/main/f/filler/filler_1.02-6.1_all.deb Package: fillets-ng Version: 1.0.1-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 536 Depends: libc6 (>= 2.13-28), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), liblua5.1-0, libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libx11-6, fillets-ng-data (>= 1.0.0) Suggests: fillets-ng-data-cs (>= 1.0.0) | fillets-ng-data-nl (>= 1.0.0) Breaks: fillets-ng-data-cs (<< 1.0.0) Replaces: fillets-ng-data (<= 0.7.1-1) Homepage: http://fillets.sourceforge.net/ Priority: optional Section: games Filename: pool/main/f/fillets-ng/fillets-ng_1.0.1-2_armhf.deb Size: 238182 SHA256: 9ae64574fd9f5d02ba48641053e878271f31f131ec77668530e24aeb50dcce9c SHA1: 9d43cfba94a31bdf8c086a9d368ecaf7cff19771 MD5sum: 6080469166e42e8c77dcbe18ccafa21b Description: puzzle game about witty fish saving the world sokoban-style Fish Fillets is strictly a puzzle game. The goal in every of the seventy levels is always the same: find a safe way out. The fish utter witty remarks about their surroundings, the various inhabitants of their underwater realm quarrel among themselves or comment on the efforts of your fish. The whole game is accompanied by quiet, comforting music. Package: fillets-ng-data Version: 1.0.0-1 Installed-Size: 91812 Maintainer: Debian Games Team Architecture: all Depends: ttf-freefont Recommends: fillets-ng (>= 1.0.0) Suggests: fillets-ng-data-cs (>= 1.0.0) Size: 73022284 SHA256: b99e7cf3f6c9bc55c6fedd870aa75cae0c3f6955c2f005af1aad565db98e9f5b SHA1: fc09c268222c7f4a3f8966c1e715bcd5e6a4aa87 MD5sum: c22ded3204e8761a193dc4712282d5ff Description: docs, graphics, music and international sounds for fillets-ng Fish Fillets is strictly a puzzle game. The goal in every of the seventy levels is always the same: find a safe way out. The fish utter witty remarks about their surroundings, the various inhabitants of their underwater realm quarrel among themselves or comment on the efforts of your fish. The whole game is accompanied by quiet, comforting music. . This package contains the data needed for the game. You need it, even if you also install the add-on czech language spoken dialogs. Homepage: http://fillets.sourceforge.net/ Tag: role::app-data, uitoolkit::sdl, use::gameplaying Section: games Priority: optional Filename: pool/main/f/fillets-ng-data/fillets-ng-data_1.0.0-1_all.deb Package: fillets-ng-data-cs Source: fillets-ng-data Version: 1.0.0-1 Installed-Size: 49184 Maintainer: Debian Games Team Architecture: all Recommends: fillets-ng (>= 1.0.0), fillets-ng-data (>= 1.0.0) Size: 40031518 SHA256: 84c4e50bb4a49c0105d3129e06596be8b1e86d9fddf739f2ecb438fd9c8edf63 SHA1: 55da15433572778af892d0a5adb7f9a479f594ac MD5sum: 221df056356ee07069e3d37fbd153a68 Description: add-on sounds for Czech language spoken dialogs for fillets-ng Fish Fillets is strictly a puzzle game. The goal in every of the seventy levels is always the same: find a safe way out. The fish utter witty remarks about their surroundings, the various inhabitants of their underwater realm quarrel among themselves or comment on the efforts of your fish. The whole game is accompanied by quiet, comforting music. . This package contains add-on sound-files for Czech language spoken dialogs. It is a completely optional add-on and does not replace fillets-ng-data, which is still needed for graphics and other sounds. Homepage: http://fillets.sourceforge.net/ Tag: culture::czech, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/f/fillets-ng-data/fillets-ng-data-cs_1.0.0-1_all.deb Package: fillets-ng-data-nl Source: fillets-ng-data Version: 1.0.0-1 Installed-Size: 42016 Maintainer: Debian Games Team Architecture: all Recommends: fillets-ng (>= 1.0.0), fillets-ng-data (>= 1.0.0) Size: 33338324 SHA256: d2912bb4e75adb297e9c66ec93182ff56b3ad5a41e1662dd1a075107f6af9d7f SHA1: 94409ffa55d0816053b29fcee5337da0fd78d85a MD5sum: bf39807fb859c7b3f9279d0089a7716c Description: add-on sounds for Dutch language spoken dialogs for fillets-ng Fish Fillets is strictly a puzzle game. The goal in every of the seventy levels is always the same: find a safe way out. The fish utter witty remarks about their surroundings, the various inhabitants of their underwater realm quarrel among themselves or comment on the efforts of your fish. The whole game is accompanied by quiet, comforting music. . This package contains add-on sound-files for Dutch language spoken dialogs. It is a completely optional add-on and does not replace fillets-ng-data, which is still needed for graphics and other sounds. Homepage: http://fillets.sourceforge.net/ Tag: culture::dutch, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/f/fillets-ng-data/fillets-ng-data-nl_1.0.0-1_all.deb Package: filo Version: 1.1+2011020401.2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 154 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Homepage: https://github.com/arq5x/filo Priority: optional Section: science Filename: pool/main/f/filo/filo_1.1+2011020401.2_armhf.deb Size: 38178 SHA256: a358e372d88d2655db7a43a3f8cf5397d7c7855bdf5ece6511939f4e90115bf3 SHA1: f75de277da08254e459b1b5a27019c3822b08ad8 MD5sum: 6e8bf19196ed370823a209f3709a647d Description: FILe and stream Operations The following tools are available as part of the filo package: . groupBy – mimics the “groupBy” clause in database systems. . shuffle – randomize the order of lines in a file. . stats – computes descriptive statistic on a given column of a tab-delimited file or stream. . Because their name is too generic, ‘shuffle’ and ‘stats’ are relocated in /usr/lib/filo. Package: filter Version: 2.6.3-1 Architecture: armhf Maintainer: Philip Brown Installed-Size: 91 Depends: libc6 (>= 2.4) Priority: optional Section: mail Filename: pool/main/f/filter/filter_2.6.3-1_armhf.deb Size: 31140 SHA256: 989ce2579fd6600a2564ddefb9f1955b2ba612ad21bfd24069b634c2e847aba8 SHA1: 0dedb07c2f87be04aeda921964f04553e788f6f4 MD5sum: 3927627cbd244a140dc94f1663c94154 Description: A program that filters local email via forward/pipe filter is one of the original mail filtering programs written for UNIX. (originally a part of the 'elm' mailer) Install it via a pipe(|) reference in $HOME/.forward, and let it separate your incoming email into different personal mailboxes. Package: filtergen Version: 0.12.4-5.1 Architecture: armhf Maintainer: Matt Palmer Installed-Size: 141 Depends: libc6 (>= 2.4) Homepage: http://spacepants.org/src/filtergen/ Priority: optional Section: net Filename: pool/main/f/filtergen/filtergen_0.12.4-5.1_armhf.deb Size: 50474 SHA256: c564d80a4e5e4d6fb62f42ac3111f0ac068fa1f27295929b324781d8b8c64793 SHA1: 7e8efa1a49395a98be54dcee068ffd437efbc370 MD5sum: e06e0ebe8f2ac618b1dcc48f8c49b18a Description: packet filter generator for various firewall systems filtergen is a packet filter generator. It compiles a fairly high-level description language into iptables, ipchains, or ipfilter scripts (and has bits of support for Cisco IOS access lists). Package: filters Version: 2.48 Architecture: armhf Maintainer: Joey Hess Installed-Size: 377 Depends: libc6 (>= 2.4) Suggests: bsdgames Conflicts: filters-nonfree Replaces: filters-nonfree Homepage: http://kitenet.net/~joey/code/filters/ Priority: optional Section: games Filename: pool/main/f/filters/filters_2.48_armhf.deb Size: 160426 SHA256: 12ee7df83fe8fff688eac77dc427aee11539a4b1b3727b965db9d96f13d26f34 SHA1: 36791d16b406492842ef1cc9bf641647282f666c MD5sum: 2f54fe52569836c8bbb2c05f4ad2c3b9 Description: a collection of filters, including B1FF and the Swedish Chef A collection of filters to do all sorts of strange things to text. This includes such favorites as B1FF and the Swedish Chef, and a wide range of others. Package: fim Version: 0.3-beta-prerelease-1.3 Architecture: armhf Maintainer: Michele Martone Installed-Size: 586 Depends: libaa1 (>= 1.4p5), libc6 (>= 2.13-28), libdjvulibre21 (>= 3.5.25.2), libgcc1 (>= 1:4.4.0), libgif4 (>= 4.1.4), libjpeg8 (>= 8c), libncurses5 (>= 5.5-5~), libpng12-0 (>= 1.2.13-4), libreadline6 (>= 6.0), libstdc++6 (>= 4.4.0), libtiff4 (>> 3.9.5-3~), libtinfo5 Homepage: http://savannah.nongnu.org/projects/fbi-improved/ Priority: extra Section: graphics Filename: pool/main/f/fim/fim_0.3-beta-prerelease-1.3_armhf.deb Size: 281952 SHA256: df0407a75640199d02e123c13a91beb2ca0747e7ba951dfdc8b600f8dced92bf SHA1: 090e08701f1c124f46f3a6875a79db9d364a9586 MD5sum: c21acefd7e8d6aac28e75e99d934e427 Description: a scriptable frame buffer and ascii art image viewer FIM is a highly customizable and scriptable image viewer targeted at the users who are confortable with software like the Vim text editor or the Mutt mail user agent (it aims to be a swiss army knife for viewing images). It is based on the Fbi image viewer (by Gerd Hoffmann), and works primarily in the Linux framebuffer console. It is multidevice : it has X support, too (via the SDL library) and it supports ascii art output (via the aalib library). It is capable of regular expressions based (on filename) image viewing,vim-like autocommands, it offers GNU readline command line autocompletion and history, completely customizable key bindings, external/internal (if-while based) scriptability (through return codes, standard input/output, and commands given at invocation time, initialization file), internal filename-based image search, and much more features. Package: finch Source: pidgin Version: 2.10.10-1~deb7u3 Architecture: armhf Maintainer: Ari Pollak Installed-Size: 613 Depends: pidgin-data (>= 2.10.10), pidgin-data (<< 2.10.10-z), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libgstreamer0.10-0 (>= 0.10.10), libncursesw5 (>= 5.6+20070908), libpurple0 (>= 2.8.0), libtinfo5, libxml2 (>= 2.7.4) Suggests: libx11-6 Homepage: http://www.pidgin.im Priority: optional Section: net Filename: pool/main/p/pidgin/finch_2.10.10-1~deb7u3_armhf.deb Size: 272534 SHA256: 72ee12c3175313934b7a6d8caf0a687bb663edba359d3f917e6259462dce80dc SHA1: 540133d2de8fb603ed957187c1d73c49e46dc41d MD5sum: 7f69e6be64147b4dc1202bb7cd48e08f Description: text-based multi-protocol instant messaging client Finch is a text/console-based, modular instant messaging client capable of using multiple networks at once. Currently supported are: AIM/ICQ, Yahoo!, MSN, IRC, Jabber/XMPP/Google Talk, Napster, Zephyr, Gadu-Gadu, Bonjour, Groupwise, Sametime, SIMPLE, MySpaceIM, and MXit. . Some extra packages are suggested to use increased functionality: * libx11-6 - To use the Clipboard and/or Toaster plugins. Package: finch-dev Source: pidgin Version: 2.10.10-1~deb7u3 Installed-Size: 340 Maintainer: Ari Pollak Architecture: all Depends: finch (>= 2.10.10), finch (<< 2.10.10+1~), pkg-config, libpurple-dev, libglib2.0-dev, libncursesw5-dev Size: 142310 SHA256: 945df991eb294ff2f4648c41318b31663f8efc40bab5a6d728ec6b8f0414a751 SHA1: e658083b9db59893ecd4da5a7fb56c0865a0c3dc MD5sum: 3e4852d3bfe0630b175125e24246ec48 Description: text-based multi-protocol instant messaging client - development This package contains the headers and other development files not included in the main finch package. Install this if you wish to compile your own plugins, or would like to compile programs that use the libgnt library. Homepage: http://www.pidgin.im Section: devel Priority: optional Filename: pool/main/p/pidgin/finch-dev_2.10.10-1~deb7u3_all.deb Package: findimagedupes Version: 2.18-4 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 121 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libgraphics-magick-perl, libfile-mimeinfo-perl, libinline-perl Homepage: http://www.jhnc.org/findimagedupes/ Priority: optional Section: graphics Filename: pool/main/f/findimagedupes/findimagedupes_2.18-4_armhf.deb Size: 32646 SHA256: 5c35a37f4c6680f0d172981ee49e4d6cf131e89087c6f4174f646ca1583047e9 SHA1: 54d03c74a568ac288928b049fb781b4bc9768358 MD5sum: 93c5f30f4b23c0f25ddbe88fcb92dd00 Description: Finds visually similar or duplicate images findimagedupes is a commandline utility which performs a rough "visual diff" to two images. This allows you to compare two images or a whole tree of images and determine if any are similar or identical. On common image types, findimagedupes seems to be around 98% accurate. Package: findutils Version: 4.4.2-4 Architecture: armhf Essential: yes Maintainer: Andreas Metzler Installed-Size: 1555 Pre-Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0) Depends: dpkg (>= 1.15.4) | install-info Suggests: mlocate | locate Homepage: http://savannah.gnu.org/projects/findutils/ Priority: required Section: utils Filename: pool/main/f/findutils/findutils_4.4.2-4_armhf.deb Size: 607278 SHA256: a19a11c4b152854b79a084a1a91de44a9483219c3e79f1f4ae09142bcc1ef11f SHA1: b343115a78042594e03f74aa02c5a6eea6b76ae9 MD5sum: ecec19b4df007719c1beb414febe148c Description: utilities for finding files--find, xargs GNU findutils provides utilities to find files meeting specified criteria and perform various actions on the files which are found. This package contains 'find' and 'xargs'; however, 'locate' has been split off into a separate package. Package: finger Source: bsd-finger Version: 0.17-15 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 62 Depends: libc6 (>= 2.13-28) Replaces: netstd Priority: optional Section: net Filename: pool/main/b/bsd-finger/finger_0.17-15_armhf.deb Size: 19436 SHA256: 1e5d1bccae006f94fe1aa24e4c85a65e589d99992ed0d23a1697151cb12c3d4c SHA1: 01220f262d032a6cf7619e99c2969aeb778ed2ea MD5sum: 677db9c02631fb84e646c601d6ae54bd Description: user information lookup program finger displays information about the system users. Package: fingerd Source: bsd-finger Version: 0.17-15 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 58 Depends: update-inetd, netbase, libc6 (>= 2.13-28) Suggests: finger Replaces: netstd Priority: optional Section: net Filename: pool/main/b/bsd-finger/fingerd_0.17-15_armhf.deb Size: 13968 SHA256: 4432a527985588958eb01dd902cb5343a3ca1040d119f782d2bfbee4a222aa60 SHA1: ea1f5ef89c5dcd3d98c2c7e6789e773bb200e17c MD5sum: c6adfdaacf628772d2325c691f8d619f Description: remote user information server Fingerd is a simple daemon based on RFC1196 that provides an interface to the "finger" program at most network sites. The program is supposed to return a friendly, human-oriented status report on either the system at the moment or a particular person in depth. Package: firebird-dev Source: firebird2.5 Version: 2.5.2.26540.ds4-1~deb7u4 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 344 Depends: libfbclient2 (= 2.5.2.26540.ds4-1~deb7u4), libib-util, firebird2.5-common-doc (= 2.5.2.26540.ds4-1~deb7u4) Suggests: libfbembed2.5, firebird2.5-examples Breaks: firebird2.0-dev, firebird2.1-dev (<< 2.1.4.18393-0.ds2-4~), firebird2.5-dev (<< 2.5.1.26349-0~rc1.ds4-3~) Replaces: firebird2.0-dev, firebird2.1-dev (<< 2.1.4.18393-0.ds2-4~), firebird2.5-dev (<< 2.5.1.26349-0~rc1.ds4-3~) Provides: firebird2.1-dev, firebird2.5-dev Homepage: http://firebirdsql.org/ Priority: optional Section: libdevel Filename: pool/main/f/firebird2.5/firebird-dev_2.5.2.26540.ds4-1~deb7u4_armhf.deb Size: 30556 SHA256: 621e06915d2f476917e4ffc213d955136e3d612e918ab66ba4e3a2a0057f8718 SHA1: c9d9df594c540b5107427a8464eb82c54fc5b384 MD5sum: 1f3e6d0b001709fef814e3215e752a9f Description: Development files for Firebird - an RDBMS based on InterBase 6.0 code This package contains header files for Firebird. . Please refer to the firebird2.5-super and firebird2.5-classic packages for more information about Firebird in general. Package: firebird2.1-classic Source: firebird2.1 Version: 2.1.5.18496-0.ds2-1 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 3065 Depends: libc6 (>= 2.13-28), libedit2 (>= 2.11-20080614-1), libfbembed2.1 (>= 2.1.1), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), firebird2.1-common (= 2.1.5.18496-0.ds2-1), netbase, firebird2.1-server-common (= 2.1.5.18496-0.ds2-1), openbsd-inetd | inet-superserver, debconf (>= 1.4.69), firebird2.1-common-doc (= 2.1.5.18496-0.ds2-1) Recommends: libib-util Suggests: firebird2.1-doc Conflicts: firebird-server, firebird-utils, firebird2.0-classic, firebird2.0-super Provides: firebird-server, firebird-utils Homepage: http://firebirdsql.org/ Priority: optional Section: database Filename: pool/main/f/firebird2.1/firebird2.1-classic_2.1.5.18496-0.ds2-1_armhf.deb Size: 772686 SHA256: 9e97745bbb453a8c12f2aa61c85af6ad0ad6f8c56a28bc77d40d41bc2fcd967b SHA1: 4f467ce41a69955cdd65f50724a4283fc24883fb MD5sum: 9caf6a1f8d2a33900c1ffbce520b49cd Description: Firebird Classic Server - an RDBMS based on InterBase 6.0 code Firebird is a relational database offering many ANSI SQL-99 features that runs on Linux, Windows, and a variety of Unix platforms. Firebird offers excellent concurrency, high performance, and powerful language support for stored procedures and triggers. It has been used on production systems under a variety of names since 1981. . The "classic" architecture uses a new process to handle each connection which results in somewhat slower operation (but is said to be faster than "super" on local connections) yet can take advantage of multiple CPUs on SMP machines. This is the "traditional" architecture. . This package contains the 2.1 branch of Firebird. . Firebird is a commercially independent project of C and C++ programmers, technical advisors and supporters developing and enhancing a multi-platform relational database management system based on the source code released by Inprise Corp (now known as Borland Software Corp) under the InterBase Public License v.1.0 on 25 July, 2000. Package: firebird2.1-common Source: firebird2.1 Version: 2.1.5.18496-0.ds2-1 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 1325 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.4.0), firebird2.1-common-doc (= 2.1.5.18496-0.ds2-1) Homepage: http://firebirdsql.org/ Priority: optional Section: database Filename: pool/main/f/firebird2.1/firebird2.1-common_2.1.5.18496-0.ds2-1_armhf.deb Size: 333008 SHA256: 7657c527f0fd92f3ccd9aa8501225ea387e5e0b05093a32ed5d7555d47b4fdf8 SHA1: b0b2b17b19bb0ceeccd6efaaa7016ff785180be2 MD5sum: d7eea9051a491729d0141798563075e9 Description: common files for firebird 2.1 servers and clients Firebird is a relational database offering many ANSI SQL-99 features that runs on Linux, Windows, and a variety of Unix platforms. Firebird offers excellent concurrency, high performance, and powerful language support for stored procedures and triggers. It has been used on production systems under a variety of names since 1981. . This package contains files common to both servers and clients for both super and classic flavours. . These include the message file (firebird.msg), support scripts as well as configuration files in /etc/firebird/2.1. . Please refer to the firebird2.1-super or firebird2.1-classic packages for more information about Firebird in general. Package: firebird2.1-common-doc Source: firebird2.1 Version: 2.1.5.18496-0.ds2-1 Installed-Size: 591 Maintainer: Debian Firebird Group Architecture: all Size: 486090 SHA256: 85687ca538992056b1850de63e7f37f271003e191185b2fde8615672d0504421 SHA1: dd1f488ebe2bbdde6f930961f6ec5bc3781eb920 MD5sum: 7e250083b09a4171444c70df123502f2 Description: copyright, licensing and changelogs of firebird2.1 This is an (otherwise) empty package contains the copyright and licensing information of firebird2.1, as well as the upstream changelog. . Due to the size of the upstream change log and copyright/licensing summary, keeping them in a separate package saves space on mirrors and when installing firebird2.1 packages. . Please refer to the firebird2.1-super or firebird2.1-classic packages for more information about Firebird database in general. Homepage: http://firebirdsql.org/ Tag: role::documentation Section: doc Priority: optional Filename: pool/main/f/firebird2.1/firebird2.1-common-doc_2.1.5.18496-0.ds2-1_all.deb Package: firebird2.1-doc Source: firebird2.1 Version: 2.1.5.18496-0.ds2-1 Installed-Size: 431 Maintainer: Debian Firebird Group Architecture: all Depends: firebird2.1-common-doc (= 2.1.5.18496-0.ds2-1) Size: 136186 SHA256: 9f0ffc9fcce7d1cb95833194ea2f0ef86ac7b416751942459e7ae7b3bb378003 SHA1: 637ae0de10ae71bfa0c17e8d5583468948e2cdf0 MD5sum: c4973e3c99580ce9b864df1c667c2d36 Description: Documentation files for firebird database version 2.1 Various documents for firebird 2.1. These include the release notes, what's new in firebird 2.1, quick start guide, upgrade guide, list of ISQL enhancements etc. Homepage: http://firebirdsql.org/ Tag: made-of::html, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/f/firebird2.1/firebird2.1-doc_2.1.5.18496-0.ds2-1_all.deb Package: firebird2.1-examples Source: firebird2.1 Version: 2.1.5.18496-0.ds2-1 Installed-Size: 215 Maintainer: Debian Firebird Group Architecture: all Depends: firebird2.1-common-doc (= 2.1.5.18496-0.ds2-1) Recommends: firebird-dev Size: 160250 SHA256: ced749e47ed624fb02f42ec35acfe56eb29a8a30e30669f24602d13bfbcee1c1 SHA1: 6041b8e885993ffe210543b463acd611ad7d2863 MD5sum: 20bec1ed2b51372d91129136d9a44a5d Description: Examples for Firebird - an RDBMS based on InterBase 6.0 code The examples included in this package are provided as samples and are also used by Firebird's testing suite (TCS). The examples are in the form of .h, .c, .e, .fdb and .gbk files. . Please refer to the firebird2.1-super and firebird2.1-classic packages for more information about Firebird in general. Homepage: http://firebirdsql.org/ Tag: devel::doc, devel::examples, role::documentation Section: doc Priority: optional Filename: pool/main/f/firebird2.1/firebird2.1-examples_2.1.5.18496-0.ds2-1_all.deb Package: firebird2.1-server-common Source: firebird2.1 Version: 2.1.5.18496-0.ds2-1 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 1636 Depends: adduser, firebird2.1-common-doc (= 2.1.5.18496-0.ds2-1) Conflicts: firebird2.0-server-common Homepage: http://firebirdsql.org/ Priority: optional Section: database Filename: pool/main/f/firebird2.1/firebird2.1-server-common_2.1.5.18496-0.ds2-1_armhf.deb Size: 86986 SHA256: 4dfa43b97e1c30d3efe4a3b019269080591c2e4a0125d1072c676482a973b508 SHA1: 3552bad7e147083987413169e619b72efb0f8e72 MD5sum: bda9f53b4f642a9a13b292097285eb06 Description: common files for firebird 2.1 servers This package contains files common to super and classic Firebird servers. . These include the security database, manual pages, support scripts as well as configuration files in /etc/firebird/2.1. . Please refer to the firebird2.1-super or firebird2.1-classic packages for more information about Firebird in general. Package: firebird2.1-super Source: firebird2.1 Version: 2.1.5.18496-0.ds2-1 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 6174 Depends: libc6 (>= 2.13-28), libedit2 (>= 2.11-20080614-1), libfbclient2 (>= 2.5.0.25784~ReleaseCandidate1.ds2), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.4.0), firebird2.1-common (= 2.1.5.18496-0.ds2-1), firebird2.1-server-common (= 2.1.5.18496-0.ds2-1), lsb-base, debconf (>= 1.4.69), firebird2.1-common-doc (= 2.1.5.18496-0.ds2-1) Recommends: libib-util Suggests: firebird2.1-doc Conflicts: firebird-server, firebird-utils, firebird2.0-classic, firebird2.0-super Provides: firebird-server, firebird-utils Homepage: http://firebirdsql.org/ Priority: optional Section: database Filename: pool/main/f/firebird2.1/firebird2.1-super_2.1.5.18496-0.ds2-1_armhf.deb Size: 1676266 SHA256: 027aa58d0cb4db71f25b9c3247e1fbd83b16334d825b478e3ad2e8313f52e815 SHA1: 54c676b977c9bd7871b35b300afacdd953ee3401 MD5sum: f19ff52ad8a74489e915b8fef7bfa97e Description: Firebird Super Server - an RDBMS based on InterBase 6.0 code Firebird is a relational database offering many ANSI SQL-99 features that runs on Linux, Windows, and a variety of Unix platforms. Firebird offers excellent concurrency, high performance, and powerful language support for stored procedures and triggers. It has been used on production systems under a variety of names since 1981. . The "super" architecture uses separate threads to handle each connection. It has its advantages (eg: is usually faster and more efficient for large numbers of clients) but is unable to use more that one CPU on an SMP system and under some circumstances a client may crash all server threads. . This package contains the 2.1 branch of Firebird. . Firebird is a commercially independent project of C and C++ programmers, technical advisors and supporters developing and enhancing a multi-platform relational database management system based on the source code released by Inprise Corp (now known as Borland Software Corp) under the InterBase Public License v.1.0 on 25 July, 2000. Package: firebird2.5-classic Source: firebird2.5 Version: 2.5.2.26540.ds4-1~deb7u4 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 105 Depends: libc6 (>= 2.13-28), libfbembed2.5 (>= 2.5.0.25784~ReleaseCandidate1.ds2), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.1.1), firebird2.5-common (= 2.5.2.26540.ds4-1~deb7u4), netbase, firebird2.5-server-common (= 2.5.2.26540.ds4-1~deb7u4), firebird2.5-classic-common (= 2.5.2.26540.ds4-1~deb7u4), openbsd-inetd | inet-superserver, debconf (>= 1.4.69), firebird2.5-common-doc (= 2.5.2.26540.ds4-1~deb7u4) Recommends: libib-util Suggests: firebird2.5-doc Conflicts: firebird-server, firebird2.0-classic, firebird2.0-super Provides: firebird-server Homepage: http://firebirdsql.org/ Priority: optional Section: database Filename: pool/main/f/firebird2.5/firebird2.5-classic_2.5.2.26540.ds4-1~deb7u4_armhf.deb Size: 33128 SHA256: 6598433b42162838584ba1b12a2c86ecf2c2afed29716e51b36b6fa72127a120 SHA1: 25d44f2f499b34b4bddca89ba3455b27cff9a2bb MD5sum: 559173c4a0fd9766af41a955945e7478 Description: Firebird Classic Server - an RDBMS based on InterBase 6.0 code Firebird is a relational database offering many ANSI SQL-99 features that runs on Linux, Windows, and a variety of Unix platforms. Firebird offers excellent concurrency, high performance, and powerful language support for stored procedures and triggers. It has been used on production systems under a variety of names since 1981. . The "classic" architecture uses a new process to handle each connection which results in somewhat slower operation (but is said to be faster than "super" on local connections) yet can take advantage of multiple CPUs on SMP machines. This is the "traditional" architecture. . This package contains the 2.5 branch of Firebird. . Firebird is a commercially independent project of C and C++ programmers, technical advisors and supporters developing and enhancing a multi-platform relational database management system based on the source code released by Inprise Corp (now known as Borland Software Corp) under the InterBase Public License v.1.0 on 25 July, 2000. Package: firebird2.5-classic-common Source: firebird2.5 Version: 2.5.2.26540.ds4-1~deb7u4 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 3015 Depends: libc6 (>= 2.13-28), libedit2 (>= 2.11-20080614-1), libfbembed2.5 (>= 2.5.0.25784~ReleaseCandidate1.ds2), libgcc1 (>= 1:4.4.0), libib-util (>= 2.5.0.23247~Beta1.ds2), libstdc++6 (>= 4.4.0), firebird2.5-common-doc (= 2.5.2.26540.ds4-1~deb7u4) Conflicts: firebird-udf, firebird-utils, firebird2.0-classic, firebird2.0-super, firebird2.1-classic, firebird2.1-super Provides: firebird-udf, firebird-utils Homepage: http://firebirdsql.org/ Priority: optional Section: database Filename: pool/main/f/firebird2.5/firebird2.5-classic-common_2.5.2.26540.ds4-1~deb7u4_armhf.deb Size: 732238 SHA256: 7ede212b524108d1f3538ceaeb910e015a903b21744e7a47dd64fa20d3d94492 SHA1: 002f1da0ef26626caf74ff3c1217b6f2fd510cdc MD5sum: 61d5fd86bd62e877f7ce86f5cd5f8c7a Description: common files for firebird 2.5 "classic" and "superclassic" This package contains the command line utilities and UDFs (user-defined function libraries) common to classic and superclassic Firebird servers. . Please refer to the firebird2.5-classic or firebird2.5-superclassic packages for more information about Firebird in general. Package: firebird2.5-classic-dbg Source: firebird2.5 Version: 2.5.2.26540.ds4-1~deb7u4 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 66673 Depends: firebird2.5-classic (= 2.5.2.26540.ds4-1~deb7u4) | firebird2.5-superclassic (= 2.5.2.26540.ds4-1~deb7u4), firebird2.5-classic-common (= 2.5.2.26540.ds4-1~deb7u4), firebird2.5-common-doc (= 2.5.2.26540.ds4-1~deb7u4), libfbembed2.5 (= 2.5.2.26540.ds4-1~deb7u4) Conflicts: firebird-dbg Provides: firebird-dbg Homepage: http://firebirdsql.org/ Priority: extra Section: debug Filename: pool/main/f/firebird2.5/firebird2.5-classic-dbg_2.5.2.26540.ds4-1~deb7u4_armhf.deb Size: 14000156 SHA256: e53132a2bb5ea20abcceb85cc9718425f07603d543dd6decdb8e0ea7c84aac1b SHA1: 2b0201698dbdfdacc6deb4b553b1f8eb62fd53a9 MD5sum: eff9ceef85fc205a54149e4f48699492 Description: collected debug symbols for firebird2.5-classic and -superclassic This package contains extracted debug symbols for firebird2.5 server and accompanying utilities of the classic and superclassic flavours. Debug symbols are useful when tracing a problem like a segmentation fault in some firebird binary. Package: firebird2.5-common Source: firebird2.5 Version: 2.5.2.26540.ds4-1~deb7u4 Installed-Size: 551 Maintainer: Debian Firebird Group Architecture: all Depends: firebird2.5-common-doc (= 2.5.2.26540.ds4-1~deb7u4) Size: 95842 SHA256: f46145f86a61fd05d31b44d8cea100ab44e86aff0a156940d81bf0277e0883cf SHA1: 726256ed0f315cdbb9a796cdea0686636ef4f232 MD5sum: e5d16d3c10f92a71e052baf3d61e4aaf Description: common files for firebird 2.5 servers and clients Firebird is a relational database offering many ANSI SQL-99 features that runs on Linux, Windows, and a variety of Unix platforms. Firebird offers excellent concurrency, high performance, and powerful language support for stored procedures and triggers. It has been used on production systems under a variety of names since 1981. . This package contains files common to both servers and clients for both super and classic flavours. . These include the message file (firebird.msg), support scripts as well as configuration files in /etc/firebird/2.5. . Please refer to the firebird2.5-super or firebird2.5-classic packages for more information about Firebird in general. Homepage: http://firebirdsql.org/ Multi-Arch: foreign Section: database Priority: optional Filename: pool/main/f/firebird2.5/firebird2.5-common_2.5.2.26540.ds4-1~deb7u4_all.deb Package: firebird2.5-common-doc Source: firebird2.5 Version: 2.5.2.26540.ds4-1~deb7u4 Installed-Size: 778 Maintainer: Debian Firebird Group Architecture: all Size: 639050 SHA256: f9cb03dcd5de270d473edec4afd69aec47df414592269f7bfeae45e7e98db650 SHA1: a34bfcb69cca1f86f80dea5170ae7859edc1413c MD5sum: f8427195c809227b428a0a0a6f3c39f1 Description: copyright, licnesing and changelogs of firebird2.5 This is an (otherwise) empty package contains the copyright and licensing information of firebird2.5, as well as the upstream changelog. . Due to the size of the upstream change log and copyright/licensing summary, keeping them in a separate package saves space on mirrors and when installing firebird2.5 packages. . Please refer to the firebird2.5-super, firebird2.5-classic or firebird2.5-superclassic packages for more information about Firebird database in general. Homepage: http://firebirdsql.org/ Multi-Arch: foreign Section: doc Priority: optional Filename: pool/main/f/firebird2.5/firebird2.5-common-doc_2.5.2.26540.ds4-1~deb7u4_all.deb Package: firebird2.5-doc Source: firebird2.5 Version: 2.5.2.26540.ds4-1~deb7u4 Installed-Size: 530 Maintainer: Debian Firebird Group Architecture: all Depends: firebird2.5-common-doc (= 2.5.2.26540.ds4-1~deb7u4) Size: 172550 SHA256: 4980025b6f7593811320c5063d255da2bf4a6831ddf4f37615fc300b35a03764 SHA1: 79db9c785308810b213bd14c85fdee7dd3f3c384 MD5sum: 8c8283896882cc111c79ae73baf38908 Description: Documentation files for firebird database version 2.5 Various documents for firebird 2.5. These include the list of enhancements from previous versions, description of ISQL enhancements and various API or SQL functions that might be of interest for advanced users and developers. . This package does not include the release notes, the quick start guide and the upgrade guide, which are distributed only as PDF files upstream, with no accompanying sources, and therefore cannot be included in Debian. Homepage: http://firebirdsql.org/ Section: doc Priority: optional Filename: pool/main/f/firebird2.5/firebird2.5-doc_2.5.2.26540.ds4-1~deb7u4_all.deb Package: firebird2.5-examples Source: firebird2.5 Version: 2.5.2.26540.ds4-1~deb7u4 Installed-Size: 281 Maintainer: Debian Firebird Group Architecture: all Depends: firebird2.5-common-doc (= 2.5.2.26540.ds4-1~deb7u4) Size: 164792 SHA256: e3ed7406f56306ceedf15f0cbf77f361aa9a23f36568e02373522d0133b43032 SHA1: 67bfc89ac57941d571cf9e54b366444c9fea5a07 MD5sum: d32d64fc246f91d20d054e8c0610148c Description: Examples for Firebird - an RDBMS based on InterBase 6.0 code The examples included in this package are provided as samples and are also used by Firebird's testing suite (TCS). The examples are in the form of .h, .c, .e, .fdb and .gbk files. . Please refer to the firebird2.5-super and firebird2.5-classic packages for more information about Firebird in general. Homepage: http://firebirdsql.org/ Recommends: firebird-dev Section: doc Priority: optional Filename: pool/main/f/firebird2.5/firebird2.5-examples_2.5.2.26540.ds4-1~deb7u4_all.deb Package: firebird2.5-server-common Source: firebird2.5 Version: 2.5.2.26540.ds4-1~deb7u4 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 2979 Depends: adduser, libc6 (>= 2.13-28), libfbclient2 (>= 2.5.0.25784~ReleaseCandidate1.ds2), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.4.0), firebird2.5-common-doc (= 2.5.2.26540.ds4-1~deb7u4) Conflicts: firebird2.0-server-common, firebird2.1-server-common Breaks: firebird2.5-common (<< 2.5.2~svn+53698.ds4-1~) Replaces: firebird2.5-common (<< 2.5.2~svn+53698.ds4-1~) Homepage: http://firebirdsql.org/ Priority: optional Section: database Filename: pool/main/f/firebird2.5/firebird2.5-server-common_2.5.2.26540.ds4-1~deb7u4_armhf.deb Size: 490016 SHA256: 3b905e05dd8567807170b660e0f038082b1c35bc364c6b97efe32cf85a6da543 SHA1: 532db90e32a160f10226306cdce2bc218b10924d MD5sum: 8312d6ddabefcf8ad9cbbc23b508e038 Description: common files for firebird 2.5 servers This package contains files common to super and classic Firebird servers. . These include the security database, manual pages, support scripts as well as configuration files in /etc/firebird/2.5. . Please refer to the firebird2.5-super or firebird2.5-classic packages for more information about Firebird in general. Package: firebird2.5-super Source: firebird2.5 Version: 2.5.2.26540.ds4-1~deb7u4 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 7381 Depends: libc6 (>= 2.13-28), libedit2 (>= 2.11-20080614-1), libfbclient2 (>= 2.5.0.25784~ReleaseCandidate1.ds2), libgcc1 (>= 1:4.4.0), libib-util (>= 2.5.0.23247~Beta1.ds2), libicu48 (>= 4.8-1), libstdc++6 (>= 4.4.0), firebird2.5-common (= 2.5.2.26540.ds4-1~deb7u4), firebird2.5-server-common (= 2.5.2.26540.ds4-1~deb7u4), lsb-base, debconf (>= 1.4.69), firebird2.5-common-doc (= 2.5.2.26540.ds4-1~deb7u4) Suggests: firebird2.5-doc Conflicts: firebird-server, firebird-udf, firebird-utils, firebird2.0-classic, firebird2.0-super Provides: firebird-server, firebird-udf, firebird-utils Homepage: http://firebirdsql.org/ Priority: optional Section: database Filename: pool/main/f/firebird2.5/firebird2.5-super_2.5.2.26540.ds4-1~deb7u4_armhf.deb Size: 1859848 SHA256: 4d592e1a8c48da372cea729e85b9c3cfc2b9e65e94bd62d341985d8c5ee99641 SHA1: 3ef6ea1916b60c6786dabd99dd83340742e09f6c MD5sum: 0e072739c508873947b28f19fab46f57 Description: Firebird Super Server - an RDBMS based on InterBase 6.0 code Firebird is a relational database offering many ANSI SQL-99 features that runs on Linux, Windows, and a variety of Unix platforms. Firebird offers excellent concurrency, high performance, and powerful language support for stored procedures and triggers. It has been used on production systems under a variety of names since 1981. . The "super" architecture uses separate threads to handle each connection. It has its advantages (eg: is usually faster and more efficient for large numbers of clients) but is unable to use more that one CPU on an SMP system and under some circumstances a client may crash all server threads. . This package contains the 2.5 branch of Firebird. . Firebird is a commercially independent project of C and C++ programmers, technical advisors and supporters developing and enhancing a multi-platform relational database management system based on the source code released by Inprise Corp (now known as Borland Software Corp) under the InterBase Public License v.1.0 on 25 July, 2000. Package: firebird2.5-super-dbg Source: firebird2.5 Version: 2.5.2.26540.ds4-1~deb7u4 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 64077 Depends: firebird2.5-common-doc (= 2.5.2.26540.ds4-1~deb7u4), firebird2.5-super (= 2.5.2.26540.ds4-1~deb7u4), libfbclient2-dbg Conflicts: firebird-dbg Provides: firebird-dbg Homepage: http://firebirdsql.org/ Priority: extra Section: debug Filename: pool/main/f/firebird2.5/firebird2.5-super-dbg_2.5.2.26540.ds4-1~deb7u4_armhf.deb Size: 13423324 SHA256: 97379540fed9440c52a3bd094e7ade6f4df5806173d21152c091946e3f5d3dda SHA1: 35ccdb9abadeb3c851d4fc8b130c87caf1fed85f MD5sum: 2a34672fe8eefae5a3e960b62e904b46 Description: collected debug symbols for firebird2.5-super This package contains extracted debug symbols for firebird2.5 super-server and accompanying utilities, which are stripped from the other packages. Debug symbols are useful when tracing a problem like a segmentation fault in some firebird binary. Package: firebird2.5-superclassic Source: firebird2.5 Version: 2.5.2.26540.ds4-1~deb7u4 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 430 Depends: libc6 (>= 2.13-28), libfbembed2.5 (>= 2.5.0.25784~ReleaseCandidate1.ds2), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), firebird2.5-common (= 2.5.2.26540.ds4-1~deb7u4), netbase, firebird2.5-server-common (= 2.5.2.26540.ds4-1~deb7u4), firebird2.5-classic-common (= 2.5.2.26540.ds4-1~deb7u4), firebird2.5-common-doc (= 2.5.2.26540.ds4-1~deb7u4), lsb-base, debconf (>= 1.4.69) Suggests: firebird2.5-doc Conflicts: firebird-server, firebird2.0-classic, firebird2.0-super Provides: firebird-server Homepage: http://firebirdsql.org/ Priority: optional Section: database Filename: pool/main/f/firebird2.5/firebird2.5-superclassic_2.5.2.26540.ds4-1~deb7u4_armhf.deb Size: 160736 SHA256: d7e76e35d59de139121b71fb9b86a852bfad5fc1b28812bfce0ee41ad5e00182 SHA1: 40edff4a04869037fb6e5f8e12a8fa56921f9c17 MD5sum: 42c58bcd38d7c03911aea8578a73f13b Description: Firebird SuperClassic Server - an RDBMS based on InterBase 6.0 code Firebird is a relational database offering many ANSI SQL-99 features that runs on Linux, Windows, and a variety of Unix platforms. Firebird offers excellent concurrency, high performance, and powerful language support for stored procedures and triggers. It has been used on production systems under a variety of names since 1981. . The "superclassic" architecture uses a new thread to handle each connection. This allows for good scaling on multi-processor machines without consuming too much operating system resources. . This package contains the 2.5 branch of Firebird. . Firebird is a commercially independent project of C and C++ programmers, technical advisors and supporters developing and enhancing a multi-platform relational database management system based on the source code released by Inprise Corp (now known as Borland Software Corp) under the InterBase Public License v.1.0 on 25 July, 2000. Package: firedns Version: 0.9.12+dfsg-3 Architecture: armhf Maintainer: Herve Rousseau Installed-Size: 329 Depends: libc6 (>= 2.4), libfirestring0.9 Priority: optional Section: net Filename: pool/main/f/firedns/firedns_0.9.12+dfsg-3_armhf.deb Size: 37426 SHA256: fca9b30ec8f8543166437d834ff27530e78675b0a5b7fa8e0b93079da427a25e SHA1: f614c16be4a605afdb87f7d83746ff424b2ce5ca MD5sum: c2ce5651fb80b2a0eeea8271ed5cc21f Description: Runtime binaries for firedns, an asynch. dns resolver library FireDNS is a DNS resolver library. Features include asynchronous resolution, and multi-server queries (making lookups much faster than with the stock libc/bind functions). . The binaries included in this package use FireDNS to do various DNS queries; these are good for programming examples, as well as simplistic queries. Package: firefox-esr Version: 45.9.0esr-1~deb7u1 Architecture: armhf Maintainer: Maintainers of Mozilla-related packages Installed-Size: 81380 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libevent-2.0-5 (>= 2.0.10-stable), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.3.9), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libhunspell-1.3-0, libpango1.0-0 (>= 1.14.0), libstartup-notification0 (>= 0.8), libstdc++6 (>= 4.6), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxrender1, libxt6, zlib1g (>= 1:1.2.0), fontconfig, procps, debianutils (>= 1.16), libsqlite3-0 (>= 3.7.12-1~) Recommends: gstreamer0.10-ffmpeg, gstreamer0.10-plugins-good Suggests: fonts-stix | otf-stix, fonts-lmodern, mozplugger, libgssapi-krb5-2 | libkrb53, libgnomeui-0, libcanberra0 Conflicts: iceweasel (<< 45), j2re1.4, pango-graphite (<< 0.9.3) Breaks: xul-ext-torbutton Provides: gnome-www-browser, www-browser Priority: optional Section: web Filename: pool/main/f/firefox-esr/firefox-esr_45.9.0esr-1~deb7u1_armhf.deb Size: 39275482 SHA256: 834fb85f245551443436280e333e392840fef7300a3556543ad16bb70b634402 SHA1: a71a36cef97bf726a03173810a53c2805a7e48b1 MD5sum: bfeb9533b8c84f381533f5e552de71f5 Description: Mozilla Firefox web browser - Extended Support Release (ESR) Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. Package: firefox-esr-dbg Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Architecture: armhf Maintainer: Maintainers of Mozilla-related packages Installed-Size: 769603 Depends: firefox-esr (= 45.9.0esr-1~deb7u1) Breaks: iceweasel-dbg (<< 45) Replaces: iceweasel-dbg (<< 45) Provides: iceweasel-dbg Priority: extra Section: debug Filename: pool/main/f/firefox-esr/firefox-esr-dbg_45.9.0esr-1~deb7u1_armhf.deb Size: 205614874 SHA256: 17213e9e41de4cab9188ea31602946d7623318ea989276ede8cdf65e544d4fd9 SHA1: b8fa9c66090f5ea728bbbe85e1c5f9da17227d91 MD5sum: 3e350de58f9a0a2c2c621d370456a598 Description: Debugging symbols for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the debugging symbols for firefox-esr. Package: firefox-esr-dev Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Architecture: armhf Maintainer: Maintainers of Mozilla-related packages Installed-Size: 57896 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgtk2.0-0 (>= 2.10), libstdc++6 (>= 4.4.0), python-ply, firefox-esr (= 45.9.0esr-1~deb7u1) Conflicts: firefox-dev, xulrunner-dev Breaks: iceweasel-dev (<< 45) Replaces: iceweasel-dev (<< 45) Provides: iceweasel-dev Homepage: http://wiki.mozilla.org/XUL:Lib_XUL Priority: optional Section: libdevel Filename: pool/main/f/firefox-esr/firefox-esr-dev_45.9.0esr-1~deb7u1_armhf.deb Size: 8627416 SHA256: 99ffd8af5d18062e91e5edbbf72cb4fe652e9c4e451500a51045ccc000d2f4a5 SHA1: 46e51ff3c8c87506e9bfb5ec5792a252908c1ce8 MD5sum: 11aa82b220cc2f2aae16df3097ba098f Description: Development files for the Gecko engine library This library provides an API for XUL applications and Gecko embedders. The Gecko engine is the core of the mozilla.org applications such as Firefox, Thunderbird or SeaMonkey. . Gecko features include XPCOM, networking, DOM editing and transaction support, XBL, XUL, SVG, XSLT, XML Extras (XMLHttpRequest and DOMParser), Web Services (SOAP), accessibility support, IPC services, gtkmozembed, etc. . Install this package if you wish to embed the Gecko engine in your program or want to develop XPCOM components. . This package also provides the Xulrunner Software Development Kit in the same form as the one you may find on mozilla.org in /usr/lib/xulrunner/sdk. Package: firefox-esr-l10n-ach Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 655 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-ach (<< 45) Provides: iceweasel-l10n-ach Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-ach (<< 45) Size: 497460 SHA256: 19d210214df72fdb8b095d5e1a076023b559ce376da084bd67601ee2613d6556 SHA1: d65b983d50178405456b32f049fb8b89dfa96e4e MD5sum: 664c5f3729a76e5eb1cabaf6256b111d Description: Acoli language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Acoli. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-ach_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-af Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 667 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-af (<< 45) Provides: iceweasel-l10n-af Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-af (<< 45) Size: 511682 SHA256: 687c83c76f5457670b26727431e672d0d6b1654e8902e1dc5c3cb494218441ed SHA1: e9e14df5a4336ccd7459d1ee01d1cea845197661 MD5sum: f18914e385fef19fd68e19cb68618a41 Description: Afrikaans language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Afrikaans. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-af_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-all Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-all (<< 45) Provides: iceweasel-l10n-all Depends: firefox-esr-l10n-ach, firefox-esr-l10n-af, firefox-esr-l10n-an, firefox-esr-l10n-ar, firefox-esr-l10n-as, firefox-esr-l10n-ast, firefox-esr-l10n-az, firefox-esr-l10n-be, firefox-esr-l10n-bg, firefox-esr-l10n-bn-bd, firefox-esr-l10n-bn-in, firefox-esr-l10n-br, firefox-esr-l10n-bs, firefox-esr-l10n-ca, firefox-esr-l10n-cs, firefox-esr-l10n-cy, firefox-esr-l10n-da, firefox-esr-l10n-de, firefox-esr-l10n-dsb, firefox-esr-l10n-el, firefox-esr-l10n-en-gb, firefox-esr-l10n-en-za, firefox-esr-l10n-eo, firefox-esr-l10n-es-ar, firefox-esr-l10n-es-cl, firefox-esr-l10n-es-es, firefox-esr-l10n-es-mx, firefox-esr-l10n-et, firefox-esr-l10n-eu, firefox-esr-l10n-fa, firefox-esr-l10n-ff, firefox-esr-l10n-fi, firefox-esr-l10n-fr, firefox-esr-l10n-fy-nl, firefox-esr-l10n-ga-ie, firefox-esr-l10n-gd, firefox-esr-l10n-gl, firefox-esr-l10n-gn, firefox-esr-l10n-gu-in, firefox-esr-l10n-he, firefox-esr-l10n-hi-in, firefox-esr-l10n-hr, firefox-esr-l10n-hsb, firefox-esr-l10n-hu, firefox-esr-l10n-hy-am, firefox-esr-l10n-id, firefox-esr-l10n-is, firefox-esr-l10n-it, firefox-esr-l10n-ja, firefox-esr-l10n-kk, firefox-esr-l10n-km, firefox-esr-l10n-kn, firefox-esr-l10n-ko, firefox-esr-l10n-lij, firefox-esr-l10n-lt, firefox-esr-l10n-lv, firefox-esr-l10n-mai, firefox-esr-l10n-mk, firefox-esr-l10n-ml, firefox-esr-l10n-mr, firefox-esr-l10n-ms, firefox-esr-l10n-nb-no, firefox-esr-l10n-nl, firefox-esr-l10n-nn-no, firefox-esr-l10n-or, firefox-esr-l10n-pa-in, firefox-esr-l10n-pl, firefox-esr-l10n-pt-br, firefox-esr-l10n-pt-pt, firefox-esr-l10n-rm, firefox-esr-l10n-ro, firefox-esr-l10n-ru, firefox-esr-l10n-si, firefox-esr-l10n-sk, firefox-esr-l10n-sl, firefox-esr-l10n-son, firefox-esr-l10n-sq, firefox-esr-l10n-sr, firefox-esr-l10n-sv-se, firefox-esr-l10n-ta, firefox-esr-l10n-te, firefox-esr-l10n-th, firefox-esr-l10n-tr, firefox-esr-l10n-uk, firefox-esr-l10n-uz, firefox-esr-l10n-vi, firefox-esr-l10n-xh, firefox-esr-l10n-zh-cn, firefox-esr-l10n-zh-tw Breaks: iceweasel-l10n-all (<< 45) Size: 117188 SHA256: 21a0cd52d04d55eefc4f2ac910e611863e7d031f9dfe1d43a3424464f397bdfb SHA1: 4f0e71911a9415e857a7bd4300bc1d2d4dfa2942 MD5sum: d4e9d0304c759a5e7468f9a7e4f422de Description: All language packages for Firefox ESR (meta) Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This is a metapackage depending on all available localizations of Firefox ESR. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-all_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-an Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 677 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-an (<< 45) Provides: iceweasel-l10n-an Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-an (<< 45) Size: 520948 SHA256: 366bd77d90f1d709d3976dcbe43d263bb31ecd2c14c03bfdf00fdb765a5c4697 SHA1: 1dc1448917b6db6ffdeaafa23764f9f072a0ce5e MD5sum: d276635e2c97308bb60af34472d07390 Description: Aragonese language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Aragonese. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-an_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-ar Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 702 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-ar (<< 45) Provides: iceweasel-l10n-ar Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-ar (<< 45) Size: 547830 SHA256: 941128fb918fe8bc66b71e465f4563a6ed139a0bf8114da585c7c8487e37ae81 SHA1: b454a6407fe222313655d2ab1a84022b1628326b MD5sum: 00b0f418937b2a3fde48b3eda8f5f369 Description: Arabic language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Arabic. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-ar_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-as Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 710 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-as (<< 45) Provides: iceweasel-l10n-as Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-as (<< 45) Size: 556022 SHA256: 05d895d1086ce2f2bfa5aeef1a8a400323cd898da56d46551512f5e8f1ed46b3 SHA1: 1e91246b16ecb9b13bc88891d4f4fbb64eca125c MD5sum: fe9344a4ba6140ad99d74d79a3605ee6 Description: Assamese language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Assamese. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-as_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-ast Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 647 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-ast (<< 45) Provides: iceweasel-l10n-ast Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-ast (<< 45) Size: 489584 SHA256: f1ea5e42a0eb66ded10f1e18873568a9d9d7943fc6a6f841fbfadd6cc783c89f SHA1: 430946d64cd2e1415178da4d2c4f0e9cea3e0242 MD5sum: b5f2c9b08407da774d322fa8ec56a431 Description: Asturian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Asturian. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-ast_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-az Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 691 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-az (<< 45) Provides: iceweasel-l10n-az Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-az (<< 45) Size: 535754 SHA256: 84dc95c5565c8e1c7537b4f07effd4ca954c3b82a76534eda4b3260b2f543b8e SHA1: b0391e46d4c6f3942a752fa6e268875752d3ac8c MD5sum: 977fd265c0b2edabc7179e13e0818eb5 Description: Azerbaijani language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Azerbaijani. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-az_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-be Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 670 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-be (<< 45) Provides: iceweasel-l10n-be Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-be (<< 45) Size: 513642 SHA256: 8eb442cc447a1c1810efaaa8ca434094964dd1d754b8d1f57b0cb7fc1739121d SHA1: dadfc401a69cc0f69ef73678e112c4d2a44c6ec1 MD5sum: 69ebd617020fb2d8b11382cd9e748806 Description: Belarusian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Belarusian. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-be_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-bg Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 688 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-bg (<< 45) Provides: iceweasel-l10n-bg Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-bg (<< 45) Size: 532394 SHA256: a0e46b20c02a1797334b85c34ce8e30614ec95dcab263824cedd842680efa690 SHA1: a2d7c81adbc337b42d5446d1b1d1342a08fc70a5 MD5sum: 32cfd6ad872813478da4fed3cfae47b8 Description: Bulgarian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Bulgarian. Recommends: myspell-bg Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-bg_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-bn-bd Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 742 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-bn-bd (<< 45) Provides: iceweasel-l10n-bn-bd Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-bn-bd (<< 45) Size: 585368 SHA256: c35e2adc208085883443f445595ee9848e6d7f9c13a875bf8583a43a4decaf9b SHA1: 1fc0b2af6ec58e5bc4b16641fe74a43ee040e11a MD5sum: a41b85c7adbd0d27012a45f3bf460526 Description: Bengali (Bangladesh) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Bengali (Bangladesh). Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-bn-bd_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-bn-in Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 721 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-bn-in (<< 45) Provides: iceweasel-l10n-bn-in Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-bn-in (<< 45) Size: 564066 SHA256: 0547af8d62f517837e6f6a33b3adaaa3ad736bfe2a0067d34380a4d164db4da1 SHA1: f7203fe19a15543e699a8ecf4729beebd8bd2ea9 MD5sum: db14b4f67cacf6c7fe69353c72aff7d0 Description: Bengali (India) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Bengali (India). Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-bn-in_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-br Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 664 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-br (<< 45) Provides: iceweasel-l10n-br Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-br (<< 45) Size: 508942 SHA256: 999fa6c017d3b196225f5b8b66078aef0cfb2d6806bb9f5056af3a59a4977260 SHA1: 21f2a8addd0ac6fd9199fdd0fed0ced5fa3c716c MD5sum: 1657b1c3ccea18f954cf6ff502e0a097 Description: Breton language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Breton. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-br_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-bs Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 671 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-bs (<< 45) Provides: iceweasel-l10n-bs Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-bs (<< 45) Size: 514412 SHA256: 0b414a8d8e1dadcdf666beb15d5f75abd2205ea1e704bf0df4e861f4e5d9415a SHA1: d4c96b47513eb9a0c4dc00dd8529f237e3c73104 MD5sum: 8f5d322884d62a9d2c54d09c96262066 Description: Bosnian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Bosnian. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-bs_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-ca Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 665 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-ca (<< 45) Provides: iceweasel-l10n-ca Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-ca (<< 45) Size: 509106 SHA256: 559f74d66878fad8cf177d8c1fccaa8d4cc0614d69a4f441a91c367ced0323ea SHA1: 4862a9f5573e5f315de1093fc48a8cbf2f0309f7 MD5sum: 36feeeee29428cb469dbdce611368184 Description: Catalan language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Catalan. Recommends: myspell-ca Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-ca_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-cs Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 671 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-cs (<< 45) Provides: iceweasel-l10n-cs Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-cs (<< 45) Size: 514882 SHA256: faddc65a4326546b03424c96b23ca035ff428afe745b452459b84902c369244c SHA1: 12ea9ea6fd9c43dc934f7d1ff1b65bb4f61e9a60 MD5sum: 2bb70553b83cd9174e3ac5e07b2eda9e Description: Czech language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Czech. Recommends: myspell-cs-cz Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-cs_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-cy Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 667 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-cy (<< 45) Provides: iceweasel-l10n-cy Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-cy (<< 45) Size: 510998 SHA256: 7b6189e02f417b4d262c0467eb36c2904eb3d1c7e5765d830eceef654e3931a0 SHA1: 06d32f502ca9a7791304e8d1af4a7b7411ee7240 MD5sum: d116232848a23c409c4673b7422dfb87 Description: Welsh language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Welsh. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-cy_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-da Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 658 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-da (<< 45) Provides: iceweasel-l10n-da Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-da (<< 45) Size: 502228 SHA256: 617b2892e7ca3abad14f8853ce4a6dbd8712c7f21b44d8cac6be65351e569a65 SHA1: 990df65b610fdf7d667ad1dd49d2e53f75c56500 MD5sum: ceeee7d3207feee0402dab2f15ae3102 Description: Danish language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Danish. Recommends: myspell-da Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-da_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-de Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 673 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-de (<< 45) Provides: iceweasel-l10n-de Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-de (<< 45) Size: 516954 SHA256: f44d5d0b028698e3b7d0ad99af7d7a0937e8f5545fc4caafe96309c5722e7031 SHA1: 33fae855d8e37c3d084d284def14ac182b6f4c52 MD5sum: 3b6fcb8e21cb8f6ea4a4c8b77b1ee574 Description: German language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in German. Recommends: myspell-de-de | myspell-de-at | myspell-de-ch Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-de_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-dsb Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 691 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-dsb (<< 45) Provides: iceweasel-l10n-dsb Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-dsb (<< 45) Size: 534524 SHA256: ed5ecdbcd140c2c987402d49e2935415279c8fc558a0e904f7244b80274b2202 SHA1: 1fbc1eded5c934c60b795b13ed4399f2f2f23de2 MD5sum: 7186a62387baf71d8c692444a70a3c1e Description: Lower Sorbian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Lower Sorbian. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-dsb_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-el Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 698 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-el (<< 45) Provides: iceweasel-l10n-el Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-el (<< 45) Size: 543968 SHA256: d846927b60fa87803cf4aab8d6fc6c7791d3f9af054f1bca1a9763e72d26e07e SHA1: 03e16e2b87230525b87f0ea4c869e6ad3648f626 MD5sum: 3a90d86b20fde5a03538dc96377757fc Description: Modern Greek language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Modern Greek. Recommends: myspell-el-gr Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-el_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-en-gb Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 652 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-en-gb (<< 45) Provides: iceweasel-l10n-en-gb Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-en-gb (<< 45) Size: 492172 SHA256: c9390515e531d125227847ff737e493d00c69bc678bcc4b1e4410ccd7c50936b SHA1: eaf568bbb42a030b0b28e9a6f75f74123436adcb MD5sum: 6f09c980354ee0b3d8a79ace46801656 Description: English (United Kingdom) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in English (United Kingdom). Recommends: myspell-en-gb | myspell-en-us Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-en-gb_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-en-za Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 649 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-en-za (<< 45) Provides: iceweasel-l10n-en-za Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-en-za (<< 45) Size: 489558 SHA256: 0b43afc6a20d802cc7aa356e65a2437d2a1038cba9de88f5c1780b8862e26999 SHA1: eee1d41ac8cac5891bda37dbd1f9654cf4998700 MD5sum: 78c6b5008c8b9771be89d6a92d00b8c2 Description: English (South Africa) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in English (South Africa). Recommends: myspell-en-gb | myspell-en-us Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-en-za_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-eo Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 669 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-eo (<< 45) Provides: iceweasel-l10n-eo Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-eo (<< 45) Size: 513018 SHA256: 0c0a550a460a35b6ae1b6c39eac519f0851d1cd5936c29a2107551e518aa0393 SHA1: 7c4d5b43e2e8aecc3be386d72e7dd16f1a6ae7dd MD5sum: 0a73f867d2f11d5ab7687e0f4189130f Description: Esperanto language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Esperanto. Recommends: myspell-eo Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-eo_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-es-ar Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 669 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-es-ar (<< 45) Provides: iceweasel-l10n-es-ar Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-es-ar (<< 45) Size: 510796 SHA256: 9ff328d93a47a2ff99adf2adbdcc18716e7d38d16e95de424eda32e79597317f SHA1: d244813dccf4ae90171ec20fd28192ec7c157656 MD5sum: 417c6db170ed27fea795f8e68de3d0c2 Description: Spanish (Argentina) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Spanish (Argentina). Recommends: myspell-es Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-es-ar_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-es-cl Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 598 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-es-cl (<< 45) Provides: iceweasel-l10n-es-cl Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-es-cl (<< 45) Size: 436852 SHA256: 2b647b9674cffda064922603ce493bb2d1c3bab268958cf8f103d1d2dcb0c0d0 SHA1: b387d11480a7f11b0a0ff1e578e7822ea9bd85bb MD5sum: 291eae9b54ffc826e7dbc5ebb40f43dd Description: Spanish (Chile) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Spanish (Chile). Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-es-cl_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-es-es Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 583 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-es-es (<< 45) Provides: iceweasel-l10n-es-es Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-es-es (<< 45) Size: 420706 SHA256: 3a39f7ea29b696055af8831dc8338680083f9ce36d8b9ff03e4f4da2d4dd4e43 SHA1: 4c6f1d41d9aae0c9a645417da087ce2cdb0f9f62 MD5sum: 4c5ab041a09b91114e46345b67dd0d92 Description: Spanish (Spain) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Spanish (Spain). Recommends: myspell-es Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-es-es_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-es-mx Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 677 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-es-mx (<< 45) Provides: iceweasel-l10n-es-mx Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-es-mx (<< 45) Size: 518008 SHA256: d9abe94a2beb08d482b25a63ba681b7e463496f64e52de6a3631d7fcaf0e0d18 SHA1: a1123864d1a27ff0501c4aa9366c4cbdcc8e5160 MD5sum: bd15311bd60b9adc87dd96f5c6571bdf Description: Spanish (Mexico) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Spanish (Mexico). Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-es-mx_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-et Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 661 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-et (<< 45) Provides: iceweasel-l10n-et Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-et (<< 45) Size: 505540 SHA256: 19d59cd6833277380a8dee7b146361214afcf1b26642f2baccc155e1103b57d3 SHA1: d6d6234362850ed5a4362dfa40d6fbdd441550c9 MD5sum: c59009c6d54dec09479412b47feb9fd4 Description: Estonian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Estonian. Recommends: myspell-et Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-et_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-eu Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 669 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-eu (<< 45) Provides: iceweasel-l10n-eu Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-eu (<< 45) Size: 513354 SHA256: 86e389ae3fc452dcf5c72831842ba3bd0655a8164113cd23c4e6f24ecb8342f8 SHA1: 2de9df64857ed53833e043c668a854a0fe44ce9a MD5sum: e53fedd8c6d5dc45a907b5d49425a639 Description: Basque language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Basque. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-eu_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-fa Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 719 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-fa (<< 45) Provides: iceweasel-l10n-fa Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-fa (<< 45) Size: 565134 SHA256: a17ae66d2d3cefff1170186045a185c8ae1f19456b520328084d176e80dc10d8 SHA1: 33a1d60109469aa192b114d6653a22b8ee00c5ca MD5sum: 72163c241d6ba768f3003f22163d8eca Description: Persian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Persian. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-fa_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-ff Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 669 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-ff (<< 45) Provides: iceweasel-l10n-ff Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-ff (<< 45) Size: 513582 SHA256: df2c3d03f152b3ad0d4660d7c67c3e19270f6eb3decb09a0af349a53f05a8d77 SHA1: dbe0aa924a1b0aec40df2071b4398be6204864f1 MD5sum: f1aea604f0e966109c5add0530fd0f32 Description: Fulah language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Fulah. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-ff_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-fi Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 666 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-fi (<< 45) Provides: iceweasel-l10n-fi Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-fi (<< 45) Size: 510578 SHA256: ab132cafa007e1ee8fe228926a8240286281866b01dee246efb65aef81bac078 SHA1: fcd99484ca578d12f073d30f73e09326870ae764 MD5sum: a42680613b36d89ebe726dc682b2b1d3 Description: Finnish language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Finnish. Recommends: xul-ext-mozvoikko Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-fi_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-fr Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 678 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-fr (<< 45) Provides: iceweasel-l10n-fr Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-fr (<< 45) Size: 521004 SHA256: 64a24b58060ae6b4c740ed1a252d1184b09cf5c9bb07be7de8648308795dfbc6 SHA1: 78203e0f9fe6e866e0222c79da558aecc164e89a MD5sum: c08ab267c53570fc2ef39e3a447c10aa Description: French language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in French. Recommends: myspell-fr | myspell-fr-gut Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-fr_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-fy-nl Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 675 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-fy-nl (<< 45) Provides: iceweasel-l10n-fy-nl Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-fy-nl (<< 45) Size: 515802 SHA256: 4901b9fc001b1f38a18307d42ad08786092ff39503d35ef5f2f88fce950c38bb SHA1: 581b0ff50700d2aa2ad4c3975350fd3fed6c1d83 MD5sum: 63017f7a53a3c4441f7da726d9a6f0a8 Description: Western Frisian (Netherlands) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Western Frisian (Netherlands). Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-fy-nl_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-ga-ie Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 687 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-ga-ie (<< 45) Provides: iceweasel-l10n-ga-ie Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-ga-ie (<< 45) Size: 528526 SHA256: ef024b08bf98d680c55f96a58bdf27deca7c73fb7c3d0876c8b8caf4b4d33a49 SHA1: 97efe42fa1f15061d920215ee2ed01f3aeb51513 MD5sum: 6b69633deedc156ce57db457b349407b Description: Irish (Ireland) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Irish (Ireland). Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-ga-ie_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-gd Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 677 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-gd (<< 45) Provides: iceweasel-l10n-gd Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-gd (<< 45) Size: 521304 SHA256: d068b4b91045569eeddac7917e127cd8740376f0d198f2ccf9f48b00fed82733 SHA1: 325f784351922daeecdf15dfcb41612a703ce13c MD5sum: 997fb0dd975e0954f601f4757b7a5872 Description: Scottish Gaelic language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Scottish Gaelic. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-gd_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-gl Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 663 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-gl (<< 45) Provides: iceweasel-l10n-gl Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-gl (<< 45) Size: 507098 SHA256: 219b22b6c305c2379ac9072bbc119c3b163552fdf3406ad2d75acee109cb2808 SHA1: 3028dc8bd31423153cf73a79fa29fee398da80df MD5sum: 815bf38c4819116569386177f89561c4 Description: Galician language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Galician. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-gl_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-gn Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 688 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-gn (<< 45) Provides: iceweasel-l10n-gn Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-gn (<< 45) Size: 532196 SHA256: 07437ca100a766c6e52c82a72177a6280fb76a1a1c52d30f571a43093b717517 SHA1: 62e2fcc879727366a1f5cfa88392138147d14c38 MD5sum: 4f6c1cb98fa0d27e629d56d23a2957d7 Description: Guarani language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Guarani. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-gn_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-gu-in Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 699 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-gu-in (<< 45) Provides: iceweasel-l10n-gu-in Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-gu-in (<< 45) Size: 541812 SHA256: 9fe61fa787c3919187a81a9826938eabd56a8a47158ac9dfd0c427f9b7d525da SHA1: 9060bad520331aab97e2f8ff10cad425b1c903e8 MD5sum: b16e77b1f42a07430cf3b8c8989d96f0 Description: Gujarati (India) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Gujarati (India). Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-gu-in_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-he Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 682 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-he (<< 45) Provides: iceweasel-l10n-he Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-he (<< 45) Size: 526828 SHA256: 89973c5f00769d100a0bab21836bb3e2dc9688fb5aac2055ad52c42a31e3fee5 SHA1: 6d67e1083375069ec7e1b2a6daafc2b08c1b0631 MD5sum: 5b86365f44883bafba2608dec8017cb5 Description: Hebrew language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Hebrew. Recommends: myspell-he Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-he_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-hi-in Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 714 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-hi-in (<< 45) Provides: iceweasel-l10n-hi-in Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-hi-in (<< 45) Size: 556862 SHA256: d72d4661e2dfb0853b7dc7791fa2b7213c04a9223e6149c9c0ccf8cb8fd1b5fa SHA1: 0eae378cbeeb24b43f2c76538a9824773ff46524 MD5sum: f14af25005596b6967f3ab05f243ebfe Description: Hindi (India) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Hindi (India). Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-hi-in_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-hr Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 677 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-hr (<< 45) Provides: iceweasel-l10n-hr Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-hr (<< 45) Size: 520168 SHA256: 99e2819d1eef64ae46d37eef5f81cd0370ead4e4b352fbc0897bcb6b6350886f SHA1: cce48650ddb870fc7acb39dd17eb4972e07b7da0 MD5sum: 4e09b160452759f36e8aa7b7d2f74b7d Description: Croatian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Croatian. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-hr_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-hsb Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 689 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-hsb (<< 45) Provides: iceweasel-l10n-hsb Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-hsb (<< 45) Size: 531888 SHA256: e7be92e1cfc550e5fb539779afec02179a58be59ee764c933b95c6bb622f8359 SHA1: 5bdf465ca7f7b845ff8df9796465f2bd8798e1c0 MD5sum: e8ab5bccb12ab12390ac3a9af70d166b Description: Upper Sorbian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Upper Sorbian. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-hsb_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-hu Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 677 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-hu (<< 45) Provides: iceweasel-l10n-hu Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-hu (<< 45) Size: 521292 SHA256: 534e582cc1564b5b59e592fcad5e30c175c1ec3246b9452de4925685ce3dac86 SHA1: c14254f6e97717cce2b31d64c27b8c1a9df4a0f8 MD5sum: dba303e8ddad8b12cbad49f39d400bdc Description: Hungarian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Hungarian. Recommends: myspell-hu Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-hu_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-hy-am Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 726 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-hy-am (<< 45) Provides: iceweasel-l10n-hy-am Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-hy-am (<< 45) Size: 570450 SHA256: 21518292c640323ca401191ba61d87d3df9c80080109c2e7a3c1e48ada4987c8 SHA1: ea5886ba77a1a9ec07cf2c1146ff50dec58b328e MD5sum: 2aaf0a3679580a02cd79b97c12988a3e Description: Armenian (Armenia) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Armenian (Armenia). Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-hy-am_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-id Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 655 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-id (<< 45) Provides: iceweasel-l10n-id Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-id (<< 45) Size: 499546 SHA256: 9a989e1032c08f12ad69164729e31ed783a09f4a454e0aa9698c1a8e5f1614fc SHA1: 69e45682065b794726cf0772d6338c0061255b2e MD5sum: 05b276dd1e9d010ff87161e59a0457e3 Description: Indonesian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Indonesian. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-id_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-is Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 671 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-is (<< 45) Provides: iceweasel-l10n-is Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-is (<< 45) Size: 514524 SHA256: e408456d92138f69d6ce52590f4d762c7d0ff55b17707c92253472ef8a192edd SHA1: 0a3e0899b46a07586982ce8c2ed20bf7b6ff2001 MD5sum: eea35150ba613e879bf58e70353d31a0 Description: Icelandic language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Icelandic. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-is_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-it Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 574 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-it (<< 45) Provides: iceweasel-l10n-it Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-it (<< 45) Size: 413176 SHA256: 761677d0cda8aa27c0be7daa0997a1150d9b8a80fda3029b5ca1af03228193ad SHA1: 120592478073e3e7f6a0deaea2c4db3ff4d68bdc MD5sum: 285c3090d84de13051a644c878f86f05 Description: Italian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Italian. Recommends: myspell-it Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-it_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-ja Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 713 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-ja (<< 45) Provides: iceweasel-l10n-ja Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-ja (<< 45) Size: 559080 SHA256: 89c58e012e4d0a3e1bb6cd27652362b2d30c2b3ad1011dfdcfe598f57bbd9e60 SHA1: b14c43b2e738e4c485cc03b89dcff4d59a186b9c MD5sum: f535b2f2240e46cc2466efd88a1cd229 Description: Japanese language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Japanese. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-ja_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-kk Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 725 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-kk (<< 45) Provides: iceweasel-l10n-kk Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-kk (<< 45) Size: 571974 SHA256: c1162b41994ec22e30593226722fcf13316092a8c6bce792cf7437bf3dd316fe SHA1: b2f0861560553fc3c1b7713f4675da593897c6f1 MD5sum: dee47eff3ca3ef8c41f13e7e686bcef7 Description: Kazakh language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Kazakh. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-kk_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-km Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 730 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-km (<< 45) Provides: iceweasel-l10n-km Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-km (<< 45) Size: 576360 SHA256: e95c7cc8fcb08829a4834ef868fc9ce6bd68e89b9e0d2322e6232c2c4c3d93da SHA1: a3eb4d70e15dfb5983c120b7a7610c61dd936534 MD5sum: e3ee7b048b85f7f075fef0673afb5fc3 Description: Central Khmer language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Central Khmer. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-km_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-kn Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 722 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-kn (<< 45) Provides: iceweasel-l10n-kn Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-kn (<< 45) Size: 568952 SHA256: f26d293640a198866723dd1fedfaa89607b0b07f06e1b453906eca6185fbc62b SHA1: 0324d3404e4fe3fcea521698d6057d04d6fc9621 MD5sum: 35b26df5c817305a43f9027efccfaf8b Description: Kannada language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Kannada. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-kn_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-ko Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 692 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-ko (<< 45) Provides: iceweasel-l10n-ko Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-ko (<< 45) Size: 536966 SHA256: e11ec666e7a8a8c4d004bd1342364f8c49a98f9594ab1be37573a07266da8872 SHA1: 5e5a975364ab1a2617edef05c05232568ed908ff MD5sum: d55ee083f8be66dd4f1930c9c0f66072 Description: Korean language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Korean. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-ko_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-lij Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 610 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-lij (<< 45) Provides: iceweasel-l10n-lij Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-lij (<< 45) Size: 451302 SHA256: ab87d6853a9b7d244100415cea351dd7753fdabafbbfff405d28ca6e770ce62b SHA1: bf917bc686a67d4fc0ee9e2ad94457ed3d4c63f3 MD5sum: b6a59401c638a22582e89f80732c8db8 Description: Ligurian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Ligurian. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-lij_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-lt Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 682 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-lt (<< 45) Provides: iceweasel-l10n-lt Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-lt (<< 45) Size: 526218 SHA256: e7128eeebb0ada8d6af26750dac0e05ff7964e2292b19c9148157c7c6a7f12f8 SHA1: 0f8a959ab8ecfa0bebec818c4ca0901a9b2f232b MD5sum: 295d374040a8ab54d424e8a4611d595b Description: Lithuanian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Lithuanian. Recommends: myspell-lt Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-lt_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-lv Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 673 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-lv (<< 45) Provides: iceweasel-l10n-lv Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-lv (<< 45) Size: 517316 SHA256: 5540848a46bf9ab9faa29b92e46a8b81d25d11b5789f75ef8e42370ed373beab SHA1: f721b5e6e8e2c22b0fd54b07af781fab1ee28129 MD5sum: c648d6fd975db1f841a85128501873a8 Description: Latvian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Latvian. Recommends: myspell-lv Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-lv_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-mai Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 719 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-mai (<< 45) Provides: iceweasel-l10n-mai Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-mai (<< 45) Size: 564648 SHA256: 1339d1305077c6b8d0fb8b586fc8510cc6fd0a9627a3588e752bf85d341ae83e SHA1: 3dcaaac874cbe61f238134f3eff3c7e26d252589 MD5sum: 9fa6f301dc0c547bdc679ea1894906df Description: Maithili language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Maithili. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-mai_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-mk Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 703 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-mk (<< 45) Provides: iceweasel-l10n-mk Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-mk (<< 45) Size: 548974 SHA256: 028942608377ca1c7ea3d7b2ca77c5a2b862dac03e51d4bae97f88784794f0ad SHA1: 9c4a7174cb77f31f29238c6e39f534e2158004ba MD5sum: 55b3e958e853a7d64f703020a252eff6 Description: Macedonian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Macedonian. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-mk_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-ml Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 725 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-ml (<< 45) Provides: iceweasel-l10n-ml Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-ml (<< 45) Size: 571536 SHA256: 4096932b6fde3e43f6476cdd18ec485de044b4b6179990a655206903d3d71cfa SHA1: 9eacf48357a0218986fa4b62f469ddd8dca47889 MD5sum: d89a700274ea0a87d96a167cb63bcc8a Description: Malayalam language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Malayalam. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-ml_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-mr Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 730 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-mr (<< 45) Provides: iceweasel-l10n-mr Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-mr (<< 45) Size: 576096 SHA256: 3693aa08c01608ec7732e58bce32e250bfb9b21cb95eee91117d8b8e50c18069 SHA1: 683d407fa6d1322e15e1ca29b01bfbf329cd6cf9 MD5sum: 8446676da0247391fbb6c565daf91652 Description: Marathi language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Marathi. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-mr_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-ms Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 668 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-ms (<< 45) Provides: iceweasel-l10n-ms Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-ms (<< 45) Size: 511994 SHA256: f81c68787944c864409ff2faded67b685d6273eba709dc3f6552a06d73dc48da SHA1: b82ef5ad59447d47bc7993fb8724a9ab46404036 MD5sum: dcccc3de38e4e99314040406b5d93513 Description: Malay language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Malay. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-ms_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-nb-no Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 663 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-nb-no (<< 45) Provides: iceweasel-l10n-nb-no Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-nb-no (<< 45) Size: 504126 SHA256: f5788559aacf29f5b8c129180e3b779af9c85cb25098bee35c60094639000a17 SHA1: f4d3229f93f06f8d2ba54ca161c00fae9acf04f0 MD5sum: 3a9b32c5647b819b1d80a062b53192ed Description: Norwegian Bokmål (Norway) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Norwegian Bokmål (Norway). Recommends: myspell-nb Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-nb-no_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-nl Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 663 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-nl (<< 45) Provides: iceweasel-l10n-nl Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-nl (<< 45) Size: 507570 SHA256: 669953c502e08a1dc986ea73de1644def6cf85aecb28da1939b1ba2866f49575 SHA1: 83eea2b95640a9cdd422d2ef872cd78a51320d69 MD5sum: e8a905a61d82e4c30391fc440d05ad49 Description: Dutch language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Dutch. Recommends: myspell-nl Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-nl_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-nn-no Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 661 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-nn-no (<< 45) Provides: iceweasel-l10n-nn-no Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-nn-no (<< 45) Size: 501918 SHA256: 29909b14f385834499160902214a3ce8f3192e156b68680a44563738f66dd31e SHA1: af9829fb8daf19754dbf15d809ff145cda8f1131 MD5sum: db3ae071b1bb4b446315f0c8193950b1 Description: Norwegian Nynorsk (Norway) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Norwegian Nynorsk (Norway). Recommends: myspell-nn Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-nn-no_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-or Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 722 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-or (<< 45) Provides: iceweasel-l10n-or Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-or (<< 45) Size: 568964 SHA256: f1fe0faa8b51f1ad39f0dba0dda0eb17cf2fb2074384c273e9857d3c5e99a10a SHA1: 1c75dc73918d993a93d71e4424296008200560df MD5sum: 01b2545c64a8181708392c1189b287f8 Description: Oriya language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Oriya. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-or_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-pa-in Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 716 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-pa-in (<< 45) Provides: iceweasel-l10n-pa-in Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-pa-in (<< 45) Size: 560116 SHA256: 353245e8a56720d35475e31a47dc38ba0640bb85ddbbf1e637c09b33b64c8960 SHA1: 37ac8c1e27033ec194d9f4617d7328f63bb82652 MD5sum: 3d75bd5be554bb5b65734f51d5c620d4 Description: Panjabi (India) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Panjabi (India). Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-pa-in_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-pl Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 613 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-pl (<< 45) Provides: iceweasel-l10n-pl Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-pl (<< 45) Size: 454356 SHA256: 26047628e105434dfe925250225037bd39b99c1f656c486a74446500d28a683e SHA1: 512eb05a627c5837cf9dce43bc812a177b68f418 MD5sum: 5492dbbe5cdf65d65419f7da277815b2 Description: Polish language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Polish. Recommends: myspell-pl Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-pl_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-pt-br Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 678 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-pt-br (<< 45) Provides: iceweasel-l10n-pt-br Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-pt-br (<< 45) Size: 519008 SHA256: 9a408a7a7393d8dc7f08f4f277d0ac7c77b60fbc76968fec88e46340a5eeb09a SHA1: 8cbfc3c9ac3567dbd89bc8a920b71b08a363b359 MD5sum: 6583022e9d2e2c2074eba9221ad4c4d8 Description: Portuguese (Brazil) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Portuguese (Brazil). Recommends: myspell-pt-br | myspell-pt Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-pt-br_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-pt-pt Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 665 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-pt-pt (<< 45) Provides: iceweasel-l10n-pt-pt Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-pt-pt (<< 45) Size: 506366 SHA256: d1c794a4d60dcd4a88dddc4891b593d4589f54b09564aff67fef1586e18a9237 SHA1: e4f6784f7c710441476cf64ff1551e580dfbb095 MD5sum: f77016030d5f45969d6eac54fc819c5a Description: Portuguese (Portugal) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Portuguese (Portugal). Recommends: myspell-pt-pt | myspell-pt Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-pt-pt_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-rm Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 665 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-rm (<< 45) Provides: iceweasel-l10n-rm Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-rm (<< 45) Size: 509848 SHA256: ed36ff2303a6cbfc400a74433fd54f374831b996d970cf71638fe70d64d82ec7 SHA1: 737b3c55221db8a08d14fa5f364ae6bc1a65b055 MD5sum: 9ce26610c08b649f8491d1354a87e4e7 Description: Romansh language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Romansh. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-rm_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-ro Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 672 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-ro (<< 45) Provides: iceweasel-l10n-ro Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-ro (<< 45) Size: 515434 SHA256: 474a644e7bafd5450fabc4074803108f99dd6b4fc4d51cb3ea2258bd6ba2a4ab SHA1: b216c651c211b83fa4d2eb3dcc49a83e27cd420c MD5sum: 9d10981a907029a7cc1e7208dd1a76eb Description: Romanian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Romanian. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-ro_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-ru Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 622 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-ru (<< 45) Provides: iceweasel-l10n-ru Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-ru (<< 45) Size: 463128 SHA256: 5befd4db7eb5b0504d96eade7a191240219d359b4d4cfc49e057da9a3f1e113d SHA1: b84e5a2e2a5227611e17782de8649eb0a1af5cf5 MD5sum: cea971522b04845c883967adc7c8b401 Description: Russian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Russian. Recommends: myspell-ru Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-ru_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-si Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 709 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-si (<< 45) Provides: iceweasel-l10n-si Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-si (<< 45) Size: 555320 SHA256: 496dfca02605b70019699615ef8c4cdd98978850443ad5330340a1b9487656ed SHA1: b5f692b60c16595319922c26f79f0239902b9d30 MD5sum: 6d3fcfe01455479a6a8f491f5e8c167c Description: Sinhala language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Sinhala. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-si_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-sk Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 681 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-sk (<< 45) Provides: iceweasel-l10n-sk Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-sk (<< 45) Size: 524816 SHA256: b3105ecfa8a869e20930e8599791440208360f2e22f7540a967f59480b43e9a1 SHA1: 85bba017b8b7f90b97f2c69bc9139b379d629524 MD5sum: f33047feac4a388eb02974e9e12f92d4 Description: Slovak language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Slovak. Recommends: myspell-sk Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-sk_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-sl Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 673 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-sl (<< 45) Provides: iceweasel-l10n-sl Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-sl (<< 45) Size: 515330 SHA256: 77dd1996b1702221a804c9aa4b1da7b263cf99fdc7845988daa5598faa97aa72 SHA1: 6e5fabf65b0a8ce88f9020e118ab852426aed234 MD5sum: 468a03dae84b3c13aa33b86fe5972de6 Description: Slovenian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Slovenian. Recommends: myspell-sl Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-sl_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-son Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 661 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-son (<< 45) Provides: iceweasel-l10n-son Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-son (<< 45) Size: 504290 SHA256: f1f99e7c5cf9b634510cba4d406ce57b67b77a38de07fca6fb8c53c0744f65b1 SHA1: 852d5d87d28bc1af13b640f89f0f57127bdf825d MD5sum: 126da2f4e3d31dc46459f78a1f6ad83d Description: Songhai languages language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Songhai languages. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-son_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-sq Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 679 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-sq (<< 45) Provides: iceweasel-l10n-sq Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-sq (<< 45) Size: 523384 SHA256: 778795feb6578243e8ad042fa07da79e9b0eb7c6650a3c46c917fb898e59f45d SHA1: 374956e62c80a97b4ea36aef0ca5ca062d7b8d90 MD5sum: f94f638f89fa21bc18446dc31af3021f Description: Albanian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Albanian. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-sq_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-sr Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 692 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-sr (<< 45) Provides: iceweasel-l10n-sr Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-sr (<< 45) Size: 536350 SHA256: 09f10e14d545ceefbe6b0088f1ba7608cc04967441efa7a2913954eb376c8bb6 SHA1: 09bd650c1bcb85a5b4f65a842205628f5aed6500 MD5sum: ee5d472274ccb1ed51155cf63ffab60b Description: Serbian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Serbian. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-sr_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-sv-se Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 672 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-sv-se (<< 45) Provides: iceweasel-l10n-sv-se Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-sv-se (<< 45) Size: 513088 SHA256: 348b5bf9a2b7e613f37fa6cab21593f452694c4ff66f402606dca2603f822ef3 SHA1: 88c92ba742238fa56bd82ccc8fe50a08a8f759ec MD5sum: 455f0fccdbb0a9ddd9bd6ee6f808e4a5 Description: Swedish (Sweden) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Swedish (Sweden). Recommends: myspell-sv-se Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-sv-se_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-ta Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 710 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-ta (<< 45) Provides: iceweasel-l10n-ta Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-ta (<< 45) Size: 556134 SHA256: bbd73abbfe2dadb018a7952cac108417ea67e17b79be9e8ae924772c7e8f144f SHA1: 6f7b83ca4bd317e1d213c7f40865ac849568e28e MD5sum: 8046e89aed602dd85166fd01f2258034 Description: Tamil language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Tamil. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-ta_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-te Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 719 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-te (<< 45) Provides: iceweasel-l10n-te Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-te (<< 45) Size: 565342 SHA256: 14ec075fbe2298ad6fe366f0e597e0191dd70804be16b52231f750f666ca8899 SHA1: c191ab8ef9f8a6d01501116d2305d100d6366263 MD5sum: af6697c2d0b0ed2c4fccd99f2a2940d9 Description: Telugu language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Telugu. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-te_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-th Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 704 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-th (<< 45) Provides: iceweasel-l10n-th Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-th (<< 45) Size: 550230 SHA256: 94e35ce32e7511cc391d341ad80e367cc01a6cecd96443761ccdd4419150b31a SHA1: e7ba4871e3e8b7ddd8f542805edae08dbdf8b635 MD5sum: f1344d59940af93137c5d1759a77b4d6 Description: Thai language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Thai. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-th_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-tr Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 679 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-tr (<< 45) Provides: iceweasel-l10n-tr Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-tr (<< 45) Size: 523402 SHA256: 2e8cd5d5a411524cb2e2cb0383412bd611687be2b859449fab5c4ab080ca736b SHA1: 1b35bda7775ccc5d23867adf2eecba2f716bb996 MD5sum: 06afa1f3b8d9801503ff8018fb02a459 Description: Turkish language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Turkish. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-tr_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-uk Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 721 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-uk (<< 45) Provides: iceweasel-l10n-uk Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-uk (<< 45) Size: 568082 SHA256: 13b526418e85bafca47a5b2d7aa337cabdde99d8ecb127854520b75b15dd3d06 SHA1: 5ef8f2e5bb255db1856df41f52829bab7d4cbe6b MD5sum: 9e2f354157a16650a49d61205f17e9ed Description: Ukrainian language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Ukrainian. Recommends: myspell-uk Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-uk_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-uz Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 671 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-uz (<< 45) Provides: iceweasel-l10n-uz Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-uz (<< 45) Size: 515354 SHA256: a72502c680883ce44fd78ca282066fb231586af01a26fbb252e001e11c51b92e SHA1: 0442db273d9446557ca462fb9883dbce4ef47c6b MD5sum: f47c11a7d1f14c0de048a38d4709c9c3 Description: Uzbek language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Uzbek. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-uz_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-vi Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 678 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-vi (<< 45) Provides: iceweasel-l10n-vi Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-vi (<< 45) Size: 522718 SHA256: 61cacc54bd52aa2b87bbea743a94d92372bc60f43dcc23a422544957fad53fce SHA1: c92db9fbdf81acd40de3ae3f13b180b787f191ae MD5sum: 0aaf4b182cd268f0b3616b7f5268b4e5 Description: Vietnamese language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Vietnamese. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-vi_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-xh Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 661 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-xh (<< 45) Provides: iceweasel-l10n-xh Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-xh (<< 45) Size: 505718 SHA256: 6ca865795f71cd9c92e3cdc76de5c3f1b9c0a55ac5e7369c105bb91e295c8b1c SHA1: 5b84e8661974789a69ce10b64e22f23709784da6 MD5sum: 5e4c021b74cbf35d6c14461c299a44bc Description: Xhosa language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Xhosa. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-xh_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-zh-cn Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 703 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-zh-cn (<< 45) Provides: iceweasel-l10n-zh-cn Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-zh-cn (<< 45) Size: 545470 SHA256: ce96fa053b284dee217ff4be3aeaef9d2759c82341fff9cc9e8a0cc06b8000c0 SHA1: 7737ed53231b0bcc6d7c1af4ff97e5bed7ecee21 MD5sum: 362afcc0c5ab1243350af63acde2af15 Description: Chinese (China) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Chinese (China). Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-zh-cn_45.9.0esr-1~deb7u1_all.deb Package: firefox-esr-l10n-zh-tw Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 694 Maintainer: Maintainers of Mozilla-related packages Architecture: all Replaces: iceweasel-l10n-zh-tw (<< 45) Provides: iceweasel-l10n-zh-tw Depends: firefox-esr (>= 45.9.0esr-1~deb7u1), firefox-esr (<< 45.9.0esr-1~deb7u1.1~) Breaks: iceweasel-l10n-zh-tw (<< 45) Size: 534894 SHA256: 20ca6ed4821555d1831ad3bbb4c64d8113ddf29c0f55967c954ebc562d4d922d SHA1: 0df6a40470590c9ba369cc87ec66800d0d180f7d MD5sum: 2d67f5345d54ca910b702527a01729be Description: Chinese (Taiwan) language package for Firefox ESR Firefox ESR is a powerful, extensible web browser with support for modern web application technologies. . This package contains the localization of Firefox ESR in Chinese (Taiwan). Section: localization Priority: optional Filename: pool/main/f/firefox-esr/firefox-esr-l10n-zh-tw_45.9.0esr-1~deb7u1_all.deb Package: firehol Version: 1.273-1 Installed-Size: 840 Maintainer: Alexander Wirt Architecture: all Depends: iptables (>= 1.2.4), iproute, net-tools, bash (>= 2.04), lsb-base Recommends: modutils | module-init-tools, wget | curl, aggregate Suggests: ulogd Size: 173064 SHA256: cadf8412ff18efc1195dd1454bbcee4083058145ff2478dd76ec3c4d502b1014 SHA1: 1b9f89d14f15e8ec9eccdf24faac2d497f858dbc MD5sum: b7f1db8db1b0ffe5d24e38eb40ac404a Description: An easy to use but powerful iptables stateful firewall Generates generic firewalls with an extremely simple but powerful configuration language, enabling you to design any kind of local or routing stateful packet filtering firewall with ease. . Firehol does not support ipv6. Tag: implemented-in::shell, interface::daemon, network::firewall, network::server, role::program, security::firewall, use::configuring Section: net Priority: optional Filename: pool/main/f/firehol/firehol_1.273-1_all.deb Package: firestarter Version: 1.0.3-11 Architecture: armhf Maintainer: Paul Cupis Installed-Size: 1782 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libx11-6, libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2), iptables (>= 1.2.11), menu, lsb-base (>= 3.1) Suggests: dhcp3-server Homepage: http://www.fs-security.com Priority: optional Section: admin Filename: pool/main/f/firestarter/firestarter_1.0.3-11_armhf.deb Size: 416034 SHA256: dd9c595818c259ab946b26133c912f1669c9b5aa555cf2fb261e13cb8fffabb1 SHA1: 66ef98953e12ce340b4a2e368521146407b35b7c MD5sum: e5c50c1c8bdbb3c4c3eab8d3ba52fc40 Description: GTK program for managing and observing your firewall Firestarter is a complete firewall tool for Linux machines. It features an easy to use firewall wizard to quickly create a firewall. Using the program you can then open and close ports with a few clicks, or stealth your machine giving access only to a select few. The real-time hit monitor shows attackers probing your machine. . Firestarter is no longer developed and is missing some critical features such as IPv6 support, so users may be advised to look into more modern alternatives such as gufw. Package: firmware-linux-free Source: firmware-free Version: 3.2 Installed-Size: 88 Maintainer: Debian Kernel Team Architecture: all Suggests: initramfs-tools, linux-image Size: 20662 SHA256: b485a7c11f889044de6a22b595a45524e5f91a2a6b757cff06aebc004ce451b2 SHA1: a35ccd7e9d54239b4c60ee0e4b729a08992a8db0 MD5sum: c0db2b20f88293d8441ab3206270d686 Description: Binary firmware for various drivers in the Linux kernel This package contains firmware which was previously included in the Linux kernel and which is compliant with the Debian Free Software Guidelines. . Most firmware previously included in the Linux kernel is non-free and has been moved to the firmware-linux-nonfree package. . Contents: * AV7110 DVB card firmware (av7110/bootcode.bin) * Atheros AR9170 free firmware for use with carl9170 (carl9170-1.fw) * 3Com Megahertz 3CCFEM556 CIS fix (cis/3CCFEM556.cis) * 3Com Megahertz 3CXEM556 CIS fix (cis/3CXEM556.cis) * Advantech COMpad-32/85 CIS fix (cis/COMpad2.cis) * Advantech COMpad-32/85B-4 CIS fix (cis/COMpad4.cis) * NSC DP83903 PCMCIA Ethernet card CIS fix (cis/DP83903.cis) * Allied Telesis LA-PCM CIS fix (cis/LA-PCM.cis) * MultiTech PCMCIA 56K DataFax CIS fix (cis/MT5634ZLX.cis) * NDC PCMCIA Ethernet card CIS fix (cis/NE2K.cis) * EN2218-LAN/MODEM CIS fix (cis/PCMLM28.cis) * PreMax PE-200 CIS fix (cis/PE-200.cis) * LanPro EP-4000A CIS fix (cis/PE520.cis) * RS-COM 2P CIS fix (cis/RS-COM-2P.cis) * Sierra Aircard 555 CIS fix (cis/SW_555_SER.cis) * Sierra Wireless AC710/AC750 CIS fix (cis/SW_7xx_SER.cis) * Sierra Wireless AC850/AC860 CIS fix (cis/SW_8xx_SER.cis) * Tamarack PCMCIA Ethernet card CIS fix (cis/tamarack.cis) * Atari Falcon DSP56001 firmware (dsp56k/bootstrap.bin) * Intel C600 SAS/SATA controller default parameters, version 1.3 (isci/isci_firmware.bin) * Keyspan USA-19 firmware (keyspan_pda/keyspan_pda.fw) * Xircom PGSDB9/Entrega PortGear firmware (keyspan_pda/xircom_pgs.fw) * USB-DUX firmware (usbdux_firmware.bin) * USB-DUXfast firmware (usbduxfast_firmware.bin) * USB-DUXsigma firmware (usbduxsigma_firmware.bin) Multi-Arch: foreign Homepage: http://git.kernel.org/?p=linux/kernel/git/firmware/linux-firmware.git Tag: admin::hardware, admin::kernel, role::data, use::driver Section: kernel Priority: optional Filename: pool/main/f/firmware-free/firmware-linux-free_3.2_all.deb Package: fische Version: 3.2.2-3 Architecture: armhf Maintainer: Andrea Veri Installed-Size: 103 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpulse0 (>= 0.99.1), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Homepage: http://26elf.at Priority: extra Section: sound Filename: pool/main/f/fische/fische_3.2.2-3_armhf.deb Size: 36926 SHA256: 83ca20a5e704a06acb440ce2c8ef44a417a67ee52f57a96330e2a389f13a80d1 SHA1: 91dff41df359fffd4aecc902981b62c5aa7af175 MD5sum: b98c057635f3ee0cda9afd76414125d8 Description: stand-alone sound visualisation for Linux This application based on libsdl and on the alsa audio driver provides visualisation to the sound reproduced by a sound device creating waves and particular effects. Package: fish Version: 1.23.1+20120106.git8b407a3-1 Architecture: armhf Maintainer: Gustavo Noronha Silva Installed-Size: 2320 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libtinfo5, bc, lynx | www-browser Recommends: xsel Suggests: doc-base, menu Homepage: http://fishshell.com/ Priority: optional Section: shells Filename: pool/main/f/fish/fish_1.23.1+20120106.git8b407a3-1_armhf.deb Size: 723536 SHA256: b30332c7ded8b7eb4ca80c03e723b321e81e7f6e0c59b135b6d13ab560dc429a SHA1: 2fb63d9dd99fdaae9a45df2ac330f2bc129a016f MD5sum: 51f15ba45a43797e786484912af3e7e4 Description: friendly interactive shell Fish is a shell geared towards interactive use. Its features are focused on user friendliness and discoverability. The language syntax is simple but incompatible with other shell languages. Package: fish-dbg Source: fish Version: 1.23.1+20120106.git8b407a3-1 Architecture: armhf Maintainer: Gustavo Noronha Silva Installed-Size: 1200 Depends: fish (= 1.23.1+20120106.git8b407a3-1) Homepage: http://fishshell.com/ Priority: extra Section: debug Filename: pool/main/f/fish/fish-dbg_1.23.1+20120106.git8b407a3-1_armhf.deb Size: 504178 SHA256: cd2eaaa1d4074508370835f0d95bac775dbcb00353ffd24670149710c1720b9f SHA1: 7922dfd4a4f8af55d0c05c36948efcca4ce146d7 MD5sum: aab059f3beabd64f28910d90c6d4f511 Description: friendly interactive shell (debugging symbols) Fish is a shell geared towards interactive use. Its features are focused on user friendliness and discoverability. The language syntax is simple but incompatible with other shell languages. . This package contains gdb debugging symbols for the fish package. Package: fishpoke Source: fishpoll Version: 0.1.6-4 Installed-Size: 49 Maintainer: Fabrizio Regalli Architecture: all Depends: python (>= 2.4) Size: 5636 SHA256: 071e6bea3dc78fbc5a1484b2563fc8bf35d8165fe6c19bf395e4f97fb8f5f712 SHA1: da2b43242daf801cafa4fa000f1665c394b8abb5 MD5sum: a9984c072e1371ffa139aef4d9539c97 Description: client for the Fishpoll server The fishpoke program is used to trigger a poll immediately instead of waiting for the configured polling interval. Homepage: http://git.fishsoup.net/cgit/fishpoll/ Section: net Priority: optional Filename: pool/main/f/fishpoll/fishpoke_0.1.6-4_all.deb Package: fishpolld Source: fishpoll Version: 0.1.6-4 Installed-Size: 70 Maintainer: Fabrizio Regalli Architecture: all Depends: python (>= 2.4) Size: 9140 SHA256: fdafb14f6dda0fd67f8eb6cfcb34b72909765ba7a35b73fbada5ef2e4e05d336 SHA1: 20d84e861bf0a48d06bfa8a51bc9a6bd903009fa MD5sum: 981721fe46c5ca4d462ac37bf805010a Description: daemon that allows remote script's execution when triggered from the network Fishpoll is meant to deal with a common situation. You have a data source (a version control repository, a database, etc). You have something built from that data source (a web site, say). When the data source changes, you want them to rebuild against the latest commits. Homepage: http://git.fishsoup.net/cgit/fishpoll/ Section: net Priority: optional Filename: pool/main/f/fishpoll/fishpolld_0.1.6-4_all.deb Package: fitscheck Source: pyfits Version: 1:3.0.8-2 Installed-Size: 32 Maintainer: Debian Python Modules Team Architecture: all Replaces: python-pyfits (<< 1:3.0.7-2~) Depends: python, python-numpy, python-pyfits Breaks: python-pyfits (<< 1:3.0.7-2~) Size: 28240 SHA256: 9a752188d5744756a426cfc22e2e2e2b19296e59b316e3c37c49688a2689b36b SHA1: 2d6b7ae2192eaa37bd0b836691f209594c1ca516 MD5sum: 9d02324dc3ef65f774de32d453e12151 Description: script to detect and fix FITS standards violations FITS (Flexible Image Transport System) is a data format most used in astronomy. PyFITS is a Python module for reading, writing, and manipulating FITS files. The module uses Python's object-oriented features to provide quick, easy, and efficient access to FITS files. The use of Python's array syntax enables immediate access to any FITS extension, header cards, or data items. . This package provides a script a command line script based on pyfits for verifying and updating the CHECKSUM and DATASUM keywords of FITS files. It can also detect and often fix other FITS standards violations. Homepage: http://www.stsci.edu/resources/software_hardware/pyfits Section: python Priority: optional Filename: pool/main/p/pyfits/fitscheck_3.0.8-2_all.deb Package: fityk Version: 0.9.8-3 Architecture: armhf Maintainer: Carlo Segre Installed-Size: 3361 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libxy3, zlib1g (>= 1:1.1.4), libjs-jquery Recommends: gnuplot Homepage: http://fityk.nieto.pl/ Priority: optional Section: science Filename: pool/main/f/fityk/fityk_0.9.8-3_armhf.deb Size: 1558980 SHA256: 4057e69c0a7f7f500d7225620f213520ce8ec1e2468debae60861b6f32bcd70e SHA1: 7c9f50e3b05ce4ea6ed34ac141bcb7a6747684cd MD5sum: ce49bfcf43bc7819fffdb13c8b5d235e Description: general-purpose nonlinear curve fitting and data analysis Fityk is a flexible and portable program for nonlinear fitting of analytical functions (especially peak-shaped) to data (usually experimental data). In other words, for nonlinear peak separation and analysis. . It was developed for analyzing diffraction patterns, but can be also used in other fields, since concepts and operations specific for crystallography are separated from the rest of the program. . Fityk offers various nonlinear fitting methods, subtracting background, calibrating data, easy placement of peaks and changing peak parameters, automation of common tasks with scripts, and much more. The main advantage of the program is flexibility - parameters of peaks can be arbitrarily bound to each other, eg. the width of a peak can be an independent variable, can be the same as the width of another peak or can be given by a complicated - common to all peaks - formula. Tag: field::chemistry, field::physics, implemented-in::c++, interface::x11, role::program, scope::utility, uitoolkit::wxwidgets, x11::application Package: fiu-utils Source: libfiu Version: 0.90-3 Architecture: armhf Maintainer: Chris Lamb Installed-Size: 54 Depends: libfiu0 (= 0.90-3) Homepage: http://blitiri.com.ar/p/libfiu/ Priority: optional Section: devel Filename: pool/main/libf/libfiu/fiu-utils_0.90-3_armhf.deb Size: 10212 SHA256: 8d93475feb10225e81f14fd4ddefd3f25b82528f5b68d732f214c80e1be0ab2e SHA1: 7fa00482c586d9e15a835c9d0104c563651c9902 MD5sum: bbb56cc2c2943c27c3eeb43142e8e06b Description: userspace fault injection framework (utilities) libfiu is a C library for fault injection. It provides functions to mark "points of failure" inside your code (the "core API"), and functions to enable/disable the failure of those points (the "control API"). . This package contains the fiu-run, fiu-ls and fiu-ctrl utilities. Package: fixincludes Source: gcc-4.7 (4.7.2-5+rpi1) Version: 1:4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 208 Depends: gcc-4.7-base (= 4.7.2-5+rpi1), gcc-4.7 (= 4.7.2-5+rpi1), libc6 (>= 2.13-28) Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.7/fixincludes_4.7.2-5+rpi1_armhf.deb Size: 62356 SHA256: ffba229ad0e65b96c43874046ab42a8d51a0b30aa8bc8555ab121a627eb43a31 SHA1: c2abb7da492cd7c35b538deecef6a62a737c1ea8 MD5sum: cb7decc16a1d373b2476c2371de974dd Description: Fix non-ANSI header files FixIncludes was created to fix non-ANSI system header files. Many system manufacturers supply proprietary headers that are not ANSI compliant. The GNU compilers cannot compile non-ANSI headers. Consequently, the FixIncludes shell script was written to fix the header files. . Not all packages with header files are installed on the system, when the package is built, so we make fixincludes available at build time of other packages, such that checking tools like lintian can make use of it. Package: fizmo Version: 0.7.2-2 Installed-Size: 51 Maintainer: Christoph Ender Architecture: all Depends: fizmo-ncursesw | fizmo-console Size: 26434 SHA256: 5df12578399536f5e7a9267ff6db8bd258be226169437858ff92a31cbaf970cb SHA1: 5221ebef63f901679d1826315d9ec71acda05166 MD5sum: 622c8cffb84c627f0293232fc9db38b5 Description: Z-machine interpreter for Infocom/Inform games fizmo is a Z-Machine interpreter. It is used to play old Infocom text adventures (except version 6) and modern interactive fiction as created by the Inform compiler. For more information about interactive fiction -- and to download many storyfiles -- see http://www.ifarchive.org. This is a transitional package, ensuring that when fizmo is upgraded from old-style-packaging before version 0.7 either fizmo-ncursesw or fizmo-console is installed. Homepage: http://spellbreaker.org/~chrender/fizmo Tag: uitoolkit::ncurses, uitoolkit::sdl Section: oldlibs Priority: extra Filename: pool/main/f/fizmo/fizmo_0.7.2-2_all.deb Package: fizmo-common Source: fizmo Version: 0.7.2-2 Installed-Size: 229 Maintainer: Christoph Ender Architecture: all Size: 103552 SHA256: ee252340128c929ba0793024ad1a4bbd41303207c8705bd19ef4bcb24c0fbf3a SHA1: 74318e70f30178698449dd48462c3ef4020ac4fc MD5sum: b143acaff435fa6e3ac79d1c7792b606 Description: Provides localization data from all default fizmo-related libraries: libfizmo, libcellif, libsndifsdl, libdrilbo and libglkif. This package will be required by fizmo-related frontends like fizmo-ncursesw or fizmo-console. Homepage: http://spellbreaker.org/~chrender/fizmo Section: games Priority: extra Filename: pool/main/f/fizmo/fizmo-common_0.7.2-2_all.deb Package: fizmo-console Source: fizmo Version: 0.7.2-2 Architecture: armhf Maintainer: Christoph Ender Installed-Size: 166 Depends: fizmo-common, libc6 (>= 2.13-28), libxml2 (>= 2.7.4) Provides: zcode-interpreter Homepage: http://spellbreaker.org/~chrender/fizmo Priority: extra Section: games Filename: pool/main/f/fizmo/fizmo-console_0.7.2-2_armhf.deb Size: 83382 SHA256: c8e8734d9a1754d3b1158b6b4a76153be140c849a168e80b0358dd0134c7cece SHA1: dd71976e3441b4f1c9243d7992ed94358bd59656 MD5sum: de5639a1f7d01f05018de57911b601f0 Description: Console-based Z-machine interpreter for Infocom/Inform games Fizmo is used to play old Infocom text adventures (except version 6) and modern interactive fiction as created by the Inform compiler. The fizmo-console package is a very simple console variant of the interpreter. For more information about interactive fiction -- and to download many storyfiles -- see http://www.ifarchive.org. Package: fizmo-ncursesw Source: fizmo Version: 0.7.2-2 Architecture: armhf Maintainer: Christoph Ender Installed-Size: 247 Depends: fizmo-common, libc6 (>= 2.13-28), libjpeg8 (>= 8c), libncursesw5 (>= 5.6+20070908), libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libsndfile1 (>= 1.0.20), libtinfo5, libx11-6, libxml2 (>= 2.7.4) Breaks: fizmo (<< 0.7.2-1) Replaces: fizmo (<< 0.7.2-1) Provides: zcode-interpreter Homepage: http://spellbreaker.org/~chrender/fizmo Priority: extra Section: games Filename: pool/main/f/fizmo/fizmo-ncursesw_0.7.2-2_armhf.deb Size: 117816 SHA256: 9a41f04e16ef2581c55f48a57b64a7308063c38da8048fade99a5a7fe7849883 SHA1: f9c5e1c11452fbe2eedda95ce33492ae509446e7 MD5sum: 8ee97f69c0c23b107ae93ff05ae83c1a Description: Ncurses-based Z-machine interpreter for Infocom/Inform games This is a ncurses-(text-)based Z-Machine interpreter. It is used to play old Infocom text adventures (except version 6) and modern interactive fiction as created by the Inform compiler. For more information about interactive fiction -- and to download many storyfiles -- see http://www.ifarchive.org. Package: fizsh Version: 1.0.2-1 Installed-Size: 164 Maintainer: Guido van Steen Architecture: all Depends: zsh (>= 4.3.9) Size: 17450 SHA256: 9461075385e560972370978022a38b3300d9408f2e578afcde0870fd849c3079 SHA1: ba60ef6bce17946a393fc16143beb914f95e9941 MD5sum: c07c9a54f92d67fa02a420b8045cbd68 Description: Friendly Interactive ZSHell Fizsh is a frontend to Zsh. It provides the user with interactive syntax highlighting and a Matlab-like history search facility. At the same time it can handle Bourne syntax. Homepage: http://sourceforge.net/projects/fizsh/ Tag: interface::shell, role::program Section: shells Priority: optional Filename: pool/main/f/fizsh/fizsh_1.0.2-1_all.deb Package: fl-cow Version: 0.6-4.1 Architecture: armhf Maintainer: Robert Collins Installed-Size: 54 Depends: libc6 (>= 2.4) Priority: extra Section: utils Filename: pool/main/f/fl-cow/fl-cow_0.6-4.1_armhf.deb Size: 6678 SHA256: c83e911994a8f90961556ab419131be0444e8c9eb84dc68a9db5907905660119 SHA1: 615b623e6004c41c6845457c4b4277fb1c263a92 MD5sum: 80185a2283b72efa3b0f1e865252bbde Description: copy-on-write utility Fl-cow allows you to utilise hard links to save disk space by causing hard-linked files to be copied rather than overwritten as they are changed. . This is useful for making changes to large source trees while keeping a copy of the original tree to generate patches from. Also, if you are using the Arch revision control system with working copies hard-linked to a revision library, using fl-cow can prevent revision library corruption. . Fl-cow is an LD_PRELOAD hack, not a kernel patch. Package: flac Version: 1.2.1-6+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 377 Depends: libc6 (>= 2.13-28), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libogg0 (>= 1.0rc3) Homepage: http://flac.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/f/flac/flac_1.2.1-6+deb7u1_armhf.deb Size: 182414 SHA256: e2e846e9b146c80bc01a52252eedec40358872f961600e084acd34b45ac0b741 SHA1: 20d56ae4810937070365d01968b084f7046bd2fd MD5sum: 6e3c728825a6f105e1fb3893495856c2 Description: Free Lossless Audio Codec - command line tools FLAC stands for Free Lossless Audio Codec. Grossly oversimplified, FLAC is similar to MP3, but lossless. The FLAC project consists of: . * The stream format * libFLAC, which implements a reference encoder, stream decoder, and file decoder * flac, which is a command-line wrapper around libFLAC to encode and decode .flac files * Input plugins for various music players (Winamp, XMMS, and more in the works) . This package contains the command-line tools flac (used for encoding and decoding FLACs) and metaflac (used for manipulating FLAC metadata.) Package: flactag Version: 2.0.4-1 Architecture: armhf Maintainer: Daniel Pocock Installed-Size: 330 Depends: libc6 (>= 2.13-28), libdiscid0 (>= 0.2.2), libflac++6 (>= 1.2.1), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libmusicbrainz5-0, libneon27-gnutls, libslang2 (>= 2.2.4), libstdc++6 (>= 4.4.0), libunac1 Recommends: cdrdao, cdparanoia, flac, cuetools Homepage: http://software.gently.org.uk/flactag Priority: optional Section: sound Filename: pool/main/f/flactag/flactag_2.0.4-1_armhf.deb Size: 126492 SHA256: 14618d6c7444f43252ceb4ad5d737a2356e8e9f9858cb3428b5924d172fad159 SHA1: ba823f5c1becddbb8b0a7414d97bafc66aacf36d MD5sum: b1b29b959668ac60b3a82efff2a945d8 Description: Tagger for whole-album FLAC files using data from MusicBrainz Tagger for whole-album FLAC files with embedded CUE sheets. Data for the tags is retrieved from the MusicBrainz service. Tags are written as VORBIS comments or standalone CUESHEET files, and the application has the ability to rename a file based on the tags using a user-specified template. . flactag can be run either interactively (to allow the user to select which of the results to tag the file with), or in batch mode (allowing files to be checked regularly for changes, and optionally to apply these changes automatically). Package: flake Version: 0.11-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 100 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://flake-enc.sourceforge.net/ Priority: extra Section: sound Filename: pool/main/f/flake/flake_0.11-2_armhf.deb Size: 35260 SHA256: 92a4839e1f9343693f4eecba7edacf995375dbb109db7c8bb9fc37f0c4f11a84 SHA1: 32668e70a8b3cbe8c647dd3bc70da0286c8feb18 MD5sum: 40c3a17577b7b40250f282e763fe9343 Description: Alternative encoder for the Free Lossless Audio Codec The purpose of Flake is to be an alternative to the FLAC reference encoder with the goal of increasing encoding speed and implementing experimental features. . Flake encodes WAV audio files into lossless FLAC files. Package: flam3 Version: 3.0.1-2.1 Architecture: armhf Maintainer: Roberto C. Sanchez Installed-Size: 2941 Depends: libc6 (>= 2.7), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libxml2 (>= 2.7.4) Breaks: electricsheep (<< 2.7~) Replaces: electricsheep (<< 2.7~) Homepage: http://flam3.com/ Priority: optional Section: graphics Filename: pool/main/f/flam3/flam3_3.0.1-2.1_armhf.deb Size: 1244302 SHA256: 19cd6c80784676577fdddd08aff3ab58c88f409312962a8a9ca180f00e214c44 SHA1: 9843d23e614a6d4e96c77402961799b34c8ad24b MD5sum: eebd68d0d40aa0335e8c6251ea3b9a1e Description: render and animate FLAM3s and manipulate their genomes According to the upstream website: . Fractal Flames are algorithmically generated images and animations. The software was originally written in 1992 and released as open source, aka free software. Since then it has developed a lot. It has been incorporated into many graphics programs and ported to most operating systems. The shape of each image is specified by a long string of numbers - a genetic code of sorts. . You can create your own flames with the Apophysis interactive designer (for windows), Qosmic (for Linux), or Oxidizer (for Mac OS X), or Apophysis-J (cross-platform in Java). Or you can use the Electric Sheep distributed screen saver to join the collective evolution of animated fractal flames. Package: flamerobin Version: 0.9.3~svn+2220-1 Architecture: armhf Maintainer: Damyan Ivanov Installed-Size: 2504 Depends: libc6 (>= 2.13-28), libfbclient2 (>= 2.5.0.25784~ReleaseCandidate1.ds2), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1) Homepage: http://www.flamerobin.org/ Priority: optional Section: database Filename: pool/main/f/flamerobin/flamerobin_0.9.3~svn+2220-1_armhf.deb Size: 942718 SHA256: a5bc6a42816d7e00f21d3c3e8c1757b7611b4622b6cd546d98f54792d05af8fc SHA1: 0379cf0133fe99cbe37af18d6b0ccffcec64edaf MD5sum: 9d56cb69c24e333f3306e25391b9093f Description: graphical database administration tool for Firebird DBMS FlameRobin is a graphical database administration tool for Firebird database management system. . Its goals are: - to be lightweight (small footprint, fast execution) - cross-platform (Linux, Windows for start, others planned too) - dependent only on other open source software . You need to setup firebird server on local or remote machine before using FlameRobin. See packages firebird2.5-super, firebird2.5-superclassic and firebird2.5-classic. Package: flamethrower Version: 0.1.8-3 Installed-Size: 168 Maintainer: dann frazier Architecture: all Depends: perl, udpcast, libappconfig-perl Size: 17358 SHA256: 45115cf746e278159961a6d95bb794b1c5d019c08269577964e2883fd93522f3 SHA1: a8d4d64d2498fc944f0889fe36c7def3bfc971bf MD5sum: e7aded6b91e51b9dd065c02ad8a7c26f Description: Multicast file distribution utility Flamethrower is intended to be an easy to use multicast file distribution system. It was created to add multicast install capabilities to SystemImager, but was designed to be fully functional as a stand-alone package. . Notable characteristics: 1) Works with entire directory hierarchies of files, not just single files. 2) Uses a server configuration file that takes module entries that are similar to those used by rsyncd.conf. 3) Flamethrower is an on-demand system. The multicast of a module is initiated when a client connects, but waits MIN_WAIT (conf file) for other clients to connect. If other clients try to connect after a cast has been initiated, they simply wait until that cast has finished, and catch the next one when it begins. 4) The udpcast package is used as the multicast transport, and offers a gob and a half of tuning parameters. Tag: admin::file-distribution, interface::daemon, network::server, role::program, use::synchronizing, works-with::file Section: admin Priority: optional Filename: pool/main/f/flamethrower/flamethrower_0.1.8-3_all.deb Package: flann-doc Source: flann Version: 1.7.1-4 Installed-Size: 280 Maintainer: Debian Science Team Architecture: all Depends: doc-base Size: 282550 SHA256: a9d0a77cc38fd99610734aaf7e14288960ce42c33d4b8b3bc4dc682fc1fb5128 SHA1: 9489c785518127da47bfad82d1a497dff4bf58e8 MD5sum: cfbcb8cebaa9d401e68a1a16819b4215 Description: Fast Library for Approximate Nearest Neighbors - documentation FLANN is a library for performing fast approximate nearest neighbor searches in high dimensional spaces. It contains a collection of algorithms we found to work best for nearest neighbor search and a system for automatically choosing the best algorithm and optimum parameters depending on the dataset. . This package contains the documentation for FLANN. Homepage: http://www.cs.ubc.ca/~mariusm/index.php/FLANN/FLANN Tag: role::documentation Section: doc Priority: optional Filename: pool/main/f/flann/flann-doc_1.7.1-4_all.deb Package: flare Version: 0.15.1-1 Architecture: armhf Maintainer: Jan-Hendrik Peters Installed-Size: 781 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), flare-data (= 0.15.1-1) Homepage: http://clintbellanger.net/rpg/ Priority: optional Section: games Filename: pool/main/f/flare/flare_0.15.1-1_armhf.deb Size: 244214 SHA256: 6641208f092e68545a7b1a1d98f57abc98f7324fb8840d07cbab39d46c8c17f4 SHA1: c61d8f5d5daf7577795e0eb4cab7d7f4548837bf MD5sum: 12d9add3d7ef8d9ea447e229bae7f36f Description: single-player 2D action role-playing game, binary Flare (Free Libre Action Roleplaying Engine) is a simple game engine built to handle a very specific kind of game: single-player 2D action RPGs. Flare is not a reimplementation of an existing game or engine. It is a tribute to and exploration of the action RPG genre. . Rather than building a very abstract, robust game engine, the goal of this project is to build several real games and harvest an engine from the common, reusable code. The first game, in progress, is a fantasy dungeon crawl. . Flare uses simple file formats (INI style config files) for most of the game data, allowing anyone to easily modify game contents. Open formats are preferred (png, ogg). The game code is C++. . This package contains the binary. Package: flare-data Source: flare Version: 0.15.1-1 Installed-Size: 53037 Maintainer: Jan-Hendrik Peters Architecture: all Recommends: flare (= 0.15.1-1) Size: 50708998 SHA256: 0dcabf5e768b3ba85f9034ad98a780724c5f09a29d323c7a247f303be82ec680 SHA1: 2807a1ec86948fef5ff047dd111361e8f85e9451 MD5sum: 461b8fddda373940a5abc7bacf3417fb Description: single-player 2D action role-playing game, data files Flare (Free Libre Action Roleplaying Engine) is a simple game engine built to handle a very specific kind of game: single-player 2D action RPGs. Flare is not a reimplementation of an existing game or engine. It is a tribute to and exploration of the action RPG genre. . Rather than building a very abstract, robust game engine, the goal of this project is to build several real games and harvest an engine from the common, reusable code. The first game, in progress, is a fantasy dungeon crawl. . Flare uses simple file formats (INI style config files) for most of the game data, allowing anyone to easily modify game contents. Open formats are preferred (png, ogg). The game code is C++. . This package contains the data files. Homepage: http://clintbellanger.net/rpg/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/f/flare/flare-data_0.15.1-1_all.deb Package: flash-kernel Version: 3.3+deb7u2 Architecture: armhf Maintainer: Debian Install System Team Installed-Size: 126 Depends: devio, initramfs-tools (>= 0.92f), linux-base (>= 3.2) Suggests: u-boot-tools Priority: optional Section: utils Filename: pool/main/f/flash-kernel/flash-kernel_3.3+deb7u2_armhf.deb Size: 24552 SHA256: 47133475ab96e543541c6c5fb6ed2b195154363b8cf86f22964a00a04a779e5b SHA1: b8d9b48d08fbbc0b01b0e497d85a602832d2a304 MD5sum: ab881c379556e204f7cc1f9364aa1af5 Description: utility to make certain embedded devices bootable flash-kernel is a script which will put the kernel and initramfs in the boot location of embedded devices that don't load the kernel and initramfs directly from /boot. flash-kernel supports devices that boot from flash memory (hence the name) as well as some devices that require a special boot image on the disk. Package: flashbake Version: 0.26.2-4 Installed-Size: 256 Maintainer: Abhishek Dasgupta Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-enum (>= 0.4.3), python-feedparser (>= 4.1), python-setuptools, git-core | git (>= 1:1.7) Size: 31576 SHA256: 8494fffb078c2f8bf078267eca16b3319928e3d77dfd5d1d98856087c89840f8 SHA1: c951f8198160c8c096130fc5574d2df8ce7cf5a4 MD5sum: a7d621ea9cb9f91a5da7fc260ade087e Description: automated snapshots with git Flashbake is a tool which watches files and automatically checks them in to a git repository. There is an option to wait for a quiescent period before committing the files. Various plugins which capture the current context, like the weather or the currently playing song can be used to customise the commit message to produce a more meaningful history. . Thus it simplifies life for the user by taking off the burden of manually committing changes and allowing one to focus on the work. Homepage: http://bitbucketlabs.net/flashbake/ Python-Version: 2.5, 2.6 Section: vcs Priority: optional Filename: pool/main/f/flashbake/flashbake_0.26.2-4_all.deb Package: flashybrid Version: 0.17 Installed-Size: 86 Maintainer: Debian QA Group Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, rsync Size: 21812 SHA256: bac87537f6d8329b5bb4435f65ba318e090225c50860fea5af0bdcf78cdd4a1b SHA1: 74ad1ceca5bf1bf46e88d4bc0d29a5f52c59c2b1 MD5sum: 32fd895ecb4ac4233ad5d94dcbc26d4b Description: automates use of a flash disk as the root filesystem Flashybrid is a system to help in setting up and managing hybrid flash/disk/ram based Debian systems which can run most of the time using only a small flash disk for their root filesystem and do a useful, but limited task (such as being a router, or a PDA, or a rescue system on a USB keydrive). The flash can be as small as 32 mb, though 64 to 256 mb is more comfortable. . To use this package, you will need a 2.4 or greater version of the Linux kernel, with tmpfs support built in. Homepage: http://www.xorcom.com/rapid/ Tag: admin::filesystem, hardware::storage, role::app-data, role::program, scope::utility Section: admin Priority: extra Filename: pool/main/f/flashybrid/flashybrid_0.17_all.deb Package: flasm Version: 1.62-6 Architecture: armhf Maintainer: Paul Wise Installed-Size: 274 Depends: libc6 (>= 2.7), zlib1g (>= 1:1.1.4) Homepage: http://flasm.sourceforge.net/ Priority: extra Section: utils Filename: pool/main/f/flasm/flasm_1.62-6_armhf.deb Size: 97206 SHA256: 44337678feec70acdc2aff1dcd5bf19e01d83f69e61a6ea728c8c5a7d45db081 SHA1: c4efb44a35a86fa6d73e732ad1ea95c2b15f5ef8 MD5sum: 8171cac95f0707f0cf1fa9d2ff5ccbd0 Description: assembler and disassembler for Flash (SWF) bytecode Flasm disassembles your entire SWF including all the timelines and events. Looking at disassembly, you learn how the Flash compiler works. You can also do some optimization on the disassembled code by hand or adjust the code as you wish. Flasm can then re-assemble the bytecode into a new SWF. . It is also possible to embed Flasm actions in your ActionScript, making optimization of large projects more comfortable. . Flasm is not a decompiler. What you get is the human readable representation of SWF bytecode, not ActionScript source. . Flasm can also compress and decompress SWF files. . Flasm does not and may never work with Flash 9 files since Flash 9 is a new virtual machine and support for it would mean a full rewrite. Package: flatzinc Source: gecode Version: 3.7.3-1 Architecture: armhf Maintainer: Kari Pahula Installed-Size: 178 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgecode32, libgecodeflatzinc32, libgecodegist32, libstdc++6 (>= 4.4.0) Replaces: libgecode-dev (<< 3.7.0) Homepage: http://www.gecode.org/flatzinc.html Priority: optional Section: devel Filename: pool/main/g/gecode/flatzinc_3.7.3-1_armhf.deb Size: 57336 SHA256: 7644c81a46e546a8ea19b1012b6342bf6ff402735f443ecd13ea09902857034d SHA1: 1ef4d7dd658e6a3bcad9602405c16766e6dfbf4e MD5sum: 23900631ebae540e00d4a4a02f405bd6 Description: constraint problem modelling language FlatZinc is a low-level modelling language for constraint problems. It is designed to be easily interfaceable to constraint solvers (like Gecode). For more information on FlatZinc, please refer to the MiniZinc pages of the G12 project . . We provide a parser that reads a FlatZinc model from a file, and returns a Gecode Space object that represents the model. We also provide a simple front-end, an executable program that reads a FlatZinc model and prints the solution (if any) on the standard output. . The FlatZinc interpreter that ships with Gecode version 3.7.1 supports the FlatZinc language and MiniZinc global constraint definitions of MiniZinc version 1.4.0. Package: flawfinder Version: 1.27-3 Installed-Size: 188 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Depends: python Size: 55722 SHA256: a0c372e4ed7d223cda32119586770f5750ad11b634596c40dadf3608a09eed09 SHA1: 5fe946990ec06ed45d7a886b8a995fe2cf4d2d54 MD5sum: cfa5df2ac718cac20b1edda0b365b445 Description: examines source code and looks for security weaknesses Flawfinder searches through C/C++ source code looking for potential security flaws and produces a report describing the potential flaws found in source code, ranking them by likely severity. . Like RATS, Flawfinder reports are not a direct indication of a vulnerability, but provide a reasonable starting point for performing manual security audits in source code. . Flawfinder can also generate differential reports by pointing it to a patch (diff) file describing the code changes. This way it can be used to determine if the potential flaws found in code have increased or decreased after a commit to a source code management system like CVS or Subversion. Homepage: http://www.dwheeler.com/flawfinder/ Tag: devel::lang:c, devel::lang:c++, devel::testing-qa, interface::commandline, role::program, scope::utility, use::checking, works-with::software:source Section: utils Priority: optional Filename: pool/main/f/flawfinder/flawfinder_1.27-3_all.deb Package: fldiff Version: 1.1+0-2 Architecture: armhf Maintainer: Innocent De Marchi Installed-Size: 229 Depends: libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.6), libgcc1 (>= 1:4.4.0) Homepage: http://www.easysw.com/~mike/fldiff/ Priority: optional Section: text Filename: pool/main/f/fldiff/fldiff_1.1+0-2_armhf.deb Size: 100322 SHA256: 6e690de83089a562a9e09993b43b54aa393b0c469cfbb27c652abb75bbed40da SHA1: bd2e116a58d8de25e4493baa092ed4713d1da538 MD5sum: a023bc7ef09ba093ac34b1bd9c599e7a Description: A graphical diff program Fldiff is a graphical diff program that shows the differences between two files/directories, or a file/directory and a CVS or Subversion repository. It is inspired by xdiff (Motif-based) and xxdiff (Qt-based), whose choice of GUI toolkit has hampered their portability to many of the systems. . Fldiff uses the Fast Light Toolkit (FLTK) and has been tested on AIX, IRIX, Linux, MacOS X, and Solaris, and should also run on Windows. Package: fldigi Version: 3.21.48-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 3377 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfltk-images1.3, libfltk1.3 (>= 1.3.0), libgcc1 (>= 1:4.4.0), libhamlib2 (>= 1.2.15.1), libpng12-0 (>= 1.2.13-4), libportaudio2 (>= 19+svn20101113), libpulse0 (>= 0.99.1), libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.6), libx11-6, libxmlrpc-c++4, libxmlrpc-core-c3, librpc-xml-perl Recommends: extra-xdg-menus Suggests: libterm-readline-gnu-perl | libterm-readline-perl-perl Homepage: http://www.w1hkj.com/Fldigi.html Priority: extra Section: hamradio Filename: pool/main/f/fldigi/fldigi_3.21.48-1_armhf.deb Size: 1475026 SHA256: 2be88f8140c4eca4d56a2acc735be301f2e0704061283d57a3d767f7c8896d71 SHA1: 581ec4582d4ca49f3a5b99213cd37978ca814cad MD5sum: d1dd1d950168d89791b20ad16362c0c9 Description: digital modem program for hamradio operators Fldigi is a modem program which supports most of the digital modes used by hamradio operators today. You can also use the program for calibrating your sound card to WWV or doing a frequency measurement test. The program also comes with a CW decoder. Fldigi is written with the help of the Fast Light Toolkit X GUI. Package: fldigi-dbg Source: fldigi Version: 3.21.48-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 18534 Depends: fldigi (= 3.21.48-1) Homepage: http://www.w1hkj.com/Fldigi.html Priority: extra Section: debug Filename: pool/main/f/fldigi/fldigi-dbg_3.21.48-1_armhf.deb Size: 6298570 SHA256: dc73347ec6f1c0d22107e723038c93e93b4364fe1abbc05bd372779c140ba827 SHA1: eaf62ce9987d17207a95ff6f1ee36f3ec4b6a3e7 MD5sum: 30b0f38444cce2ec7771337437140108 Description: debugging symbols for fldigi This package contains the debugging symbols for fldigi. Package: flex Version: 2.5.35-10.1 Architecture: armhf Maintainer: Manoj Srivastava Installed-Size: 889 Pre-Depends: debconf | debconf-2.0 Depends: libc6 (>= 2.13-28), m4, dpkg (>= 1.15.4) | install-info Recommends: gcc | c-compiler Suggests: bison, build-essential Homepage: http://flex.sf.net/ Priority: optional Section: devel Filename: pool/main/f/flex/flex_2.5.35-10.1_armhf.deb Size: 321090 SHA256: a4d819a495182975732a1ad4957f16b696012e8b1fbbd1363cd7191ddc37b3a6 SHA1: c1de227d174867f4675715cc3a4d1616740a9aa3 MD5sum: a59e08d8a19fa07815ddbe7c0b560f16 Description: A fast lexical analyzer generator. Flex is a tool for generating scanners: programs which recognized lexical patterns in text. It reads the given input files for a description of a scanner to generate. The description is in the form of pairs of regular expressions and C code, called rules. Flex generates as output a C source file, lex.yy.c, which defines a routine yylex(). This file is compiled and linked with the -lfl library to produce an executable. When the executable is run, it analyzes its input for occurrences of the regular expressions. Whenever it finds one, it executes the corresponding C code. Package: flex-doc Source: flex Version: 2.5.35-10.1 Installed-Size: 1120 Maintainer: Manoj Srivastava Architecture: all Size: 205282 SHA256: 736b6dd120d7a5b14956a8200a9cdbf435ca25a611447326181a254d98354e6d SHA1: 48de375e0099a9c76559a3cdd5130b74075fc016 MD5sum: 3cbefabd5d440b8f98461d610621fcbf Description: Documentation for flex (a fast lexical analyzer generator). Flex is a tool for generating scanners: programs which recognized lexical patterns in text. It reads the given input files for a description of a scanner to generate. The description is in the form of pairs of regular expressions and C code, called rules. Flex generates as output a C source file, lex.yy.c, which defines a routine yylex(). This file is compiled and linked with the -lfl library to produce an executable. When the executable is run, it analyzes its input for occurrences of the regular expressions. Whenever it finds one, it executes the corresponding C code. . This package contains the HTML documentation for flex. Homepage: http://flex.sf.net/ Tag: devel::code-generator, devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/f/flex/flex-doc_2.5.35-10.1_all.deb Package: flex-old Version: 2.5.4a-10 Architecture: armhf Maintainer: Tommi Vainikainen Installed-Size: 447 Depends: libc6 (>= 2.13-28), dpkg (>= 1.15.4) | install-info Recommends: gcc | c-compiler Suggests: bison Conflicts: flex Homepage: http://flex.sourceforge.net/ Priority: extra Section: devel Filename: pool/main/f/flex-old/flex-old_2.5.4a-10_armhf.deb Size: 191610 SHA256: 4dded8ae370cca35dd31d4969dae96edb9ea62f00b1be64de802319d5b3b872a SHA1: fb5b89cc96c08bdad290c99a6fee86d2195b4d91 MD5sum: 73641ecc01e6ad4a1a34f08668f83e13 Description: Old version of the fast lexical analyzer generator flex is a tool for generating scanners: programs which recognize lexical patterns in text. This is the old 2.5.4a version, which is no longer being developed. You should normally choose flex, unless you have legacy lexer files that do not work with a modern flex. . This product includes software developed by the University of California, Berkeley and its contributors. The upstream source code can be found at http://flex.sourceforge.net/ Package: flex-old-doc Source: flex-old Version: 2.5.4a-10 Installed-Size: 360 Maintainer: Tommi Vainikainen Architecture: all Size: 75804 SHA256: 6560605aab05dae712af578d4cef2cbaba9db166a94e4682d70c27c3ed1258a7 SHA1: 9528c1eb672b46d79d34807911133366f56f6efa MD5sum: 09404a3b891633b78a52f8ed5c692483 Description: Documentation for an old flex (a fast lexical analyzer generator) This package contains the HTML documentation for the old 2.5.4a version of flex, which is no longer being developed upstream. You should normally chose flex-doc, unless you have legacy lexer files that do not work with a modern flex, and need to use the old flex. The upstream source code can be found at the FTP site: http://flex.sourceforge.net/ Homepage: http://flex.sourceforge.net/ Tag: devel::code-generator, devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/f/flex-old/flex-old-doc_2.5.4a-10_all.deb Package: flexbackup Version: 1.2.1-6.2 Installed-Size: 291 Maintainer: Kurt B. Kaiser Architecture: all Depends: perl, cpio | mt-st Recommends: dump | star | pax | zip | sharutils | binutils | lzma (>= 4.43-2) | rsync | afio, buffer Suggests: ssh, lha Size: 77592 SHA256: bc72d4f46dc11a4e9cd20758f30219a88e291686e50e965d0d35643847d0398e SHA1: 5971a7d93d15f3393bf7d10c0fca482ee8e10b41 MD5sum: 6866b8db0a797b81bf948968bd7e29a7 Description: Flexible backup tool for small to medium sized installations Flexbackup is a flexible backup tool that works well for small to medium sized tasks for which solutions like amanda are overkill. . It features: * Easy configuration * Uses afio, dump, GNU tar, cpio, star, pax, lha, or zip archivers * Full and numbered levels of incremental backup (similar to "dump") * Compression and buffering options for all backup types * Handles remote filesystems with ssh/rsh; no special services required * Writes to tapes, on-disk archive files, or on-disk directory trees * Keeps a table of contents so you know what archives are on each tape * Extensive logging options Homepage: http://www.edwinh.org/flexbackup/ Tag: admin::backup, implemented-in::perl, interface::commandline, role::program, scope::utility, works-with::archive Section: admin Priority: optional Filename: pool/main/f/flexbackup/flexbackup_1.2.1-6.2_all.deb Package: flexc++ Version: 0.98.00-1 Architecture: armhf Maintainer: Frank B. Brokken Installed-Size: 722 Depends: libbobcat3 (>= 3.01.00), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Suggests: bisonc++ Homepage: http://flexcpp.sourceforge.net Priority: optional Section: devel Filename: pool/main/f/flexc++/flexc++_0.98.00-1_armhf.deb Size: 225562 SHA256: 7e78257a565fcc9934c8dab9ca10eebd9231c4c7d365c594d13351ad7749187b SHA1: 7fd80696667d4e4b1a247372a2552234537585d6 MD5sum: ae1e072bd43e602ef2f415a567d44c85 Description: Flex-style scanner generator for C++ Flexc++ was designed after `flex'. Flexc++ offers as compared to flex's C++ option a cleaner class-design. . Flexc++ generates a scanner class that is ready for use, as well as a member function producing the lexical scanner tokens (lex()). The class can easily be provided with additional members without the need for polymorphic functions. Consequently, classes generated by flexc++ have no virtual members and actually have but one public member: lex(), replacing the old-style flex and flex++ yylex() function. . Flexc++ offers many options, among which an option to define classes generated by flexc++ in a separate namespace. This allows developers to define additional symbols, even outside of the class generated by flexc++, without encountering name-collision problems. With flexc++, artificial means to prevent name-collisions, like the yy-conventions used by flex and flex++ are no longer required. Flexc++ generates C++ code. If C code is required, flex should be used. Flexc++'s grammar requirements are highly compatible with flex's requirements, so converting a flex grammar into a flexc++ grammar should be fairly simple. . In addition to the flexc++ scanner generator itself and several skeleton files, the package contains an extensive man-page, as well as a full manual rewritten after the original flex manual, and several examples. Package: flexloader Version: 0.03-2 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 53 Depends: libc6 (>= 2.4) Homepage: http://flexloader.aurel32.net Priority: extra Section: electronics Filename: pool/main/f/flexloader/flexloader_0.03-2_armhf.deb Size: 13158 SHA256: 1334ed21a9b57c78f0f9e1db4f206dfe40a73e9cf80c75473f78907dce1712ed SHA1: 821739ebac8c36371e65d4205fbd96b09b3908ff MD5sum: 61534e652bc01484deeac46f52c0e9b5 Description: utility to configure SRAM based ALTERA devices flexloader is a small utility to configure SRAM based ALTERA devices. It downloads a code to the device SRAM using an ALTERA ByteBlaster or a compatible JTAG programmer. It dialogs with it through the parallel interface, using parport. . It supports APEX20K, FLEX10K, FLEX6000 and ACEX1K families. Package: flexml Version: 1.9.2-1 Architecture: armhf Maintainer: Martin Quinson Installed-Size: 253 Depends: libc6 (>= 2.4), libwww-perl, liburi-perl, libdate-calc-perl Recommends: flex Homepage: http://flexml.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/f/flexml/flexml_1.9.2-1_armhf.deb Size: 106326 SHA256: 9b3ff43ab781010af59aace8a759a9d01fb26b3d116e2a0d5708687c534302e7 SHA1: 342bd2e3173d504bbd9d238c923a55de8fc9b516 MD5sum: 1949916ca95b388d83013ea3fbd906e5 Description: Fast validating XML processors and applications generator FleXML makes it easy to generate very fast validating XML processors as flex(1) source. . By design it can only handle documents using an external DTD. Package: flickcurl-doc Source: flickcurl Version: 1.22-1 Installed-Size: 2018 Maintainer: Kumar Appaiah Architecture: all Recommends: www-browser Suggests: dwww Size: 739090 SHA256: f6ad0f82af6aab927c8fce9d336f979ff10c2b1f5dbc90cc45fed4e2f6f13907 SHA1: 60dd46ea4786fbcaeedf660300da9ecaed8a7bb4 MD5sum: 04e308b07a58826f7801bb1e68135586 Description: utilities to call the Flickr API from command line - documentation Flickcurl is a C library for the Flickr API, handling creating the requests, signing, token management, calling the API, marshalling request parameters and decoding responses. The library now supports 100% of the 2008-01-11 version of the API, including the functions for photo uploading, browsing, searching, adding and editing comments, groups, notes, photosets, categories, activity, blogs, favorites, places, tags and photo metadata. It also includes a program flickrdf to turn photo metadata, tags and machine tags into RDF descriptions of photos and tags. . Support for the Flickr API in these programs is through the libflickcurl library. . This package contains the HTML documentation for flickcurl and the related library. Homepage: http://librdf.org/flickcurl/ Tag: devel::doc, devel::lang:c, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/f/flickcurl/flickcurl-doc_1.22-1_all.deb Package: flickcurl-utils Source: flickcurl Version: 1.22-1 Architecture: armhf Maintainer: Kumar Appaiah Installed-Size: 373 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libflickcurl0, libxml2 (>= 2.6.27) Homepage: http://librdf.org/flickcurl/ Priority: optional Section: utils Filename: pool/main/f/flickcurl/flickcurl-utils_1.22-1_armhf.deb Size: 144108 SHA256: ae53701a187de30c5eafbdd9bf3aa2f5fdfe2223ee0d314ea141bf601ed61bac SHA1: e2a28820e04da271bc480e38c33f40aec80435d3 MD5sum: b475c5e9ca8c0ff932cd40a390836db1 Description: utilities to call the Flickr API from command line Flickcurl is a C library for the Flickr API, handling creating the requests, signing, token management, calling the API, marshalling request parameters and decoding responses. The library now supports 100% of the 2008-01-11 version of the API, including the functions for photo uploading, browsing, searching, adding and editing comments, groups, notes, photosets, categories, activity, blogs, favorites, places, tags and photo metadata. It also includes a program flickrdf to turn photo metadata, tags and machine tags into RDF descriptions of photos and tags. . Support for the Flickr API in these programs is through the libflickcurl library. Package: flickrbackup Version: 0.2-3 Installed-Size: 51 Maintainer: Tiago Bortoletto Vaz Architecture: all Depends: python, python-pyexiv2 Size: 6496 SHA256: 545c4d861d14ebbce8a8b6674acf9f57066118c2677ecaeb34554ea23984a6ae SHA1: 245feb3c1f3cb65d04ff714e93f294ba05a9738b MD5sum: 5c66df2567e8aef4a1cceb6b414aba8c Description: Simple tool to perform a backup of your photos in flickr flickrbackup is a simple python script which make a local copy of all your pictures hosted in flickr.com. . It downloads the pictures and organize them using your set names. flickrbackup is also able to store title, description, tags and other metadata from flickr sets as EXIF data. Tag: implemented-in::python, role::program, scope::utility, use::downloading, use::organizing, works-with::image Section: utils Priority: optional Filename: pool/main/f/flickrbackup/flickrbackup_0.2-3_all.deb Package: flickrfs Version: 1.3.9.1-9.1 Installed-Size: 86 Maintainer: Varun Hiremath Architecture: all Depends: python (>= 2.4), python-central (>= 0.6.11), python-fuse Recommends: imagemagick, www-browser Size: 32898 SHA256: 49b1a737a72f58d0e9b16a9e8af6e233487e828d47036ef7decfabed3936ecbb SHA1: b9c2ef1bcaf683759c5ad5f23bc153232bdd86cd MD5sum: 63d793caa9e7aac68329a601ea56b702 Description: virtual filesystem for flickr online photosharing service Flickrfs is a virtual filesystem which mounts on your machine like any other partition. Once mounted it retrieves information about your photos hosted on your flickr account, and shows them as files. You can easily copy photos from your local machine to this mount and it will automatically upload them to your flickr account. Similarly you can copy the files from your mount to the local machine and it will download your images from flickr. . Flickrfs uses FUSE (Filesystem in USErspace) which is a simple interface for userspace programs to export a virtual filesystem to the Linux kernel. . All the files in the mount have an associated meta file, which provides access to title, description, tags and license information. Modifiying any of these fields and saving the meta file will cause them to be updated on the server also. . Flickrfs also includes a syncing mechanism. This allows for automatic synchronisation of changes done online directly to your local mountpoint. Homepage: http://manishrjain.googlepages.com/flickrfs Python-Version: >= 2.4 Tag: admin::filesystem, hardware::storage, implemented-in::python, role::program, scope::utility, use::organizing, works-with::image Section: utils Priority: optional Filename: pool/main/f/flickrfs/flickrfs_1.3.9.1-9.1_all.deb Package: flight-of-the-amazon-queen Version: 1.0.0-7 Installed-Size: 53032 Maintainer: Debian Games Team Architecture: all Depends: scummvm (>= 0.6.0-1) Suggests: gettext-base Size: 36539818 SHA256: 9a46849a02aebf02de98803b523846c4091c730675939005fe94d05c6ea9c6ed SHA1: 77625b69b139c141706648ce6510f8e813e64331 MD5sum: 5c0c66baf671b4838bc0a5e4046cfea6 Description: a fantasy adventure game Flight of the Amazon Queen is a 2D point-and-click adventure game set in the 1940s, originally published for DOS and the Amiga. . You assume the role of Joe King, a pilot for hire who is given the job of flying Faye Russell (a famous movie star) into the Amazon jungle for a photo shoot. Of course, things never go according to plans. After an unfortunate turn of events they find themselves stranded in the heart of the Amazon jungle, where Joe will embark on a quest to rescue a kidnapped princess and in the process, discover the true sinister intentions of a suspiciously located Lederhosen company. In a rich 2D environment, Joe will cross paths with a variety of unlikely jungle inhabitants including, but not limited to, a tribe of Amazon women and 6-foot-tall pygmies. . Note that this package only contains game-data. The game engine is provided by ScummVM. Tag: game::adventure, hardware::input:mouse, role::program, use::gameplaying Section: games Priority: optional Filename: pool/main/f/flight-of-the-amazon-queen/flight-of-the-amazon-queen_1.0.0-7_all.deb Package: flightgear Version: 2.4.0-1.2 Architecture: armhf Maintainer: Debian FlightGear Crew Installed-Size: 5968 Depends: freeglut3, libalut0 (>= 1.0.1), libapr1 (>= 1.2.7), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libopenal1, libopenscenegraph80, libopenthreads14, libplib1 (>= 1.8.5-1), libsm6, libstdc++6 (>= 4.6), libsvn1 (>= 1.5), libx11-6, libxext6, libxi6, libxmu6, libxt6, simgear2.4.0 (>= 2.4.0), zlib1g (>= 1:1.1.4), fgfs-base (>= 2.4.0) Recommends: fgfs-aircraft-base (>= 2.4.0), fgfs-models-base (>= 2.4.0), fgfs-scenery-base (>= 2.4.0) Homepage: http://www.flightgear.org/ Priority: extra Section: games Filename: pool/main/f/flightgear/flightgear_2.4.0-1.2_armhf.deb Size: 2683426 SHA256: 58880ab6d580270a7dc439ac7f8b48a26f4f08dbc97b8dae49b3d747d523c489 SHA1: 9e77192a5958ac14ce268bdaaf8f78d98f9b1d1c MD5sum: 9367cfeccee3d2835014f96c64cce0fc Description: Flight Gear Flight Simulator Flight Gear is a free and highly sophisticated flight simulator. . This package contains the runtime binaries. Package: flim Version: 1:1.14.9+0.20110516-1 Installed-Size: 756 Maintainer: Tatsuya Kinoshita Architecture: all Replaces: flim1.13 Depends: emacs | emacsen, apel (>= 10.7), dpkg (>= 1.15.4) | install-info Suggests: xemacs21-bin, semi, wl | wl-beta Conflicts: flim1.13, semi-gnus (<< 1:6.10.13), tm Size: 229442 SHA256: 75a0a3e7f269fba641102190ba43a20000690af7d4804fe4217734f9df72f796 SHA1: ffc3f59d3e89bd8b809750cb75553bcf128b7146 MD5sum: 6b3c3be5224858dba48ffc7eaf58d0a4 Description: library about internet message for emacsen FLIM (Faithful Library about Internet Message) is a library to provide basic functions about message representation and encoding for emacsen. It consists of following modules: . std11.el STD 11 (RFC 822) parser and utility mime.el to provide various services about MIME-entities mime-def.el Definitions about MIME format mime-parse.el MIME parser mel.el MIME encoder/decoder mel-b-dl.el base64 (B-encoding) encoder/decoder (for Emacs 20 with dynamic loading support) mel-b-ccl.el base64 (B-encoding) encoder/decoder (using CCL) mel-b-en.el base64 (B-encoding) encoder/decoder (for other emacsen) mel-q-ccl.el quoted-printable and Q-encoding encoder/decoder (using CCL) mel-q.el quoted-printable and Q-encoding encoder/decoder mel-u.el unofficial backend for uuencode mel-g.el unofficial backend for gzip64 eword-decode.el encoded-word decoder eword-encode.el encoded-word encoder Homepage: http://kanji.zinbun.kyoto-u.ac.jp/~tomo/elisp/FLIM/ Tag: implemented-in::lisp, role::plugin, suite::emacs, use::converting, use::editing, works-with::mail Section: mail Priority: optional Filename: pool/main/f/flim/flim_1.14.9+0.20110516-1_all.deb Package: flip Version: 1.20-1 Architecture: armhf Maintainer: James R. Van Zandt Installed-Size: 58 Depends: libc6 (>= 2.4) Priority: extra Section: text Filename: pool/main/f/flip/flip_1.20-1_armhf.deb Size: 12072 SHA256: 3c6d8a90cb5e58cb8a7eb4f274680a545ff4466ea6b532e14bca551200217de0 SHA1: 107a5cf62a5c5b03023bd7e59b1b5f1fce7baa50 MD5sum: 953884ac95a2a636f426476d78bae8a3 Description: convert text file line endings between Unix and DOS formats This program converts line endings of text files between MS-DOS and **IX formats. It detects binary files in a nearly foolproof way and leaves them alone unless you override this. It will also leave files alone that are already in the right format and preserves file timestamps. User interrupts are handled gracefully and no garbage or corrupted files left behind. 'flip' does not convert files to a different character set, and it can not handle Apple Macintosh line endings (CR only). For that (and more), you can use the 'recode' program (package 'recode'). Package: flite Version: 1.4-release-6 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 429 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libflite1 (= 1.4-release-6), dpkg (>= 1.15.4) | install-info Suggests: alsa-base Homepage: http://www.speech.cs.cmu.edu/flite Priority: extra Section: sound Filename: pool/main/f/flite/flite_1.4-release-6_armhf.deb Size: 233854 SHA256: 9612c36362d27570504a895a9e4a2b0e359048be5cc8892dcf23c5ac36e7d9c8 SHA1: f363b7995acd3df9bae52f364231c1c1654c2444 MD5sum: bb9071c644a3f357b1bb3ea906e1f8a5 Description: Small run-time speech synthesis engine Flite is a small fast run-time speech synthesis engine. It is the latest addition to the suite of free software synthesis tools including University of Edinburgh's Festival Speech Synthesis System and Carnegie Mellon University's FestVox project, tools, scripts and documentation for building synthetic voices. However, flite itself does not require either of these systems to run. . It currently only supports the English language. . This package contains the executables and documentation. Package: flite1-dev Source: flite Version: 1.4-release-6 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 22164 Depends: libflite1 (= 1.4-release-6), libc6-dev Conflicts: flite-dev Provides: flite-dev Homepage: http://www.speech.cs.cmu.edu/flite Priority: extra Section: devel Filename: pool/main/f/flite/flite1-dev_1.4-release-6_armhf.deb Size: 12845686 SHA256: 6bd657a18e50242e6d509d02aacc9105591f314eae01f05cf61282ece0e354e1 SHA1: e25c4e9790df39628e6147a94ae0a0031c096d77 MD5sum: 7b471a2e95674edf006adc0b5bde9351 Description: Small run-time speech synthesis engine - development files Flite is a small fast run-time speech synthesis engine. It is the latest addition to the suite of free software synthesis tools including University of Edinburgh's Festival Speech Synthesis System and Carnegie Mellon University's FestVox project, tools, scripts and documentation for building synthetic voices. However, flite itself does not require either of these systems to run. . It currently only supports the English language. . This extra package provides the flite static libraries and header files. Package: floatbg Version: 1.0-28 Architecture: armhf Maintainer: Dave Holland Installed-Size: 57 Depends: libc6 (>= 2.4), libx11-6, psmisc Priority: optional Section: x11 Filename: pool/main/f/floatbg/floatbg_1.0-28_armhf.deb Size: 11958 SHA256: ec608f2050f28191a23a49409617253583dec64e6f7a564b55fd3ea665eef0ea SHA1: 37b363e173d1c8480e92e38db86180b55d4d27bd MD5sum: b41d1cbe939f2d8dc4b6196a3f19b525 Description: slowly modify the color of the X root window Subtly changes the color of the root window over time, so slowly that it won't be noticed. This is a good alternative to placing a picture in the root window. Package: flobopuyo Version: 0.20-5 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 4261 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.3.0) Homepage: http://www.fovea.cc/flobopuyo-en Priority: optional Section: games Filename: pool/main/f/flobopuyo/flobopuyo_0.20-5_armhf.deb Size: 2901722 SHA256: 615917191dda2ec7b4e5870eb80c082b124c7e3e6b15f11fc5bf89b731be114e SHA1: 326a489f513bf62e05ff28c5918a9605f20fca7a MD5sum: 67acf8eaf8adb8335c80b3f8e689332f Description: Clone of the PuyoPuyo game The goal of FloboPuyo is to make groups of four or more Puyos (coloured bubbles) to make them explode and send bad ghost Puyos to your opponent. You win the game if your opponent reaches the top of the board. You can play against computer or another human. Package: flog Version: 1.8-3 Architecture: armhf Maintainer: Christoph Berg Installed-Size: 46 Depends: libc6 (>= 2.4) Priority: extra Section: utils Filename: pool/main/f/flog/flog_1.8-3_armhf.deb Size: 6828 SHA256: 9748d504731adeaa7c9bcbf5a630c0117514abdeac6b8b0ce49d9d9ca76dae1c SHA1: 1f26759a3baf61748d13dce0541ecc0c1d643cdb MD5sum: 2ae2c938874b182a77a4af6a60091b70 Description: dump STDIN to file and reopen on SIGHUP flog (file logger) reads input from STDIN and writes to a file. When a SIGHUP is received, the file will be reopened, allowing for log rotation. Package: floppyd Source: mtools Version: 4.0.17-1 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 80 Depends: libc6 (>= 2.13-28), libx11-6, libxau6 Suggests: mtools Replaces: mtools (<< 3.9.7) Homepage: http://www.gnu.org/software/mtools/ Priority: extra Section: otherosfs Filename: pool/main/m/mtools/floppyd_4.0.17-1_armhf.deb Size: 27330 SHA256: 17d8259623a549e42782914f9cfb371fa539b973fc404dd04d99167e3de2d51a SHA1: a6585cdefc4b06a3fcebd0215b143069444a9489 MD5sum: 89e77816b375fe430d082077c79c8b19 Description: Daemon for remote access to floppy drives Floppyd is used as a server to grant access to the floppy drive to clients running on a remote machine, just as an X server grants access to the display to remote clients. Package: florence Version: 0.5.1-1 Architecture: armhf Maintainer: Jérémy Bobbio Installed-Size: 1334 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libatspi2.0-0 (>= 1.91.92), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.14.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.14.4), libx11-6, libxml2 (>= 2.7.4), libxtst6, gconf2 (>= 2.28.1-2) Homepage: http://florence.sourceforge.net/ Priority: optional Section: web Filename: pool/main/f/florence/florence_0.5.1-1_armhf.deb Size: 504942 SHA256: 46cf01875edcdd72224d18ff8b443a5a59e44bc213a2c84df47dbde1e57ad6b3 SHA1: e9e6eaba8477cf25ff4509157e20f2f2abb94bc5 MD5sum: 71f6a93fa6e7529fe6311bb0183f1c51 Description: extensible and scalable virtual keyboard for GNOME Florence is an extensible scalable virtual keyboard for GNOME. . It is useful when a real keyboard is unusable either because of a handicap, disease, broken keyboard or tablet PC; but when a pointing device is usable. . Florence stays out of your way: it appears on the screen only when needed. An auto-click functionality also help people having difficulties to click buttons. Package: flow-tools Version: 1:0.68-12.1 Architecture: armhf Maintainer: Radu Spineanu Installed-Size: 5521 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmysqlclient16 (>= 5.1.50-1), libpq5, libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4), python Suggests: fprobe Homepage: http://www.splintered.net/sw/flow-tools/ Priority: optional Section: net Filename: pool/main/f/flow-tools/flow-tools_0.68-12.1_armhf.deb Size: 1256674 SHA256: 36d48f76abfa990922bc69a132f2f3bbbf7b2774ba9f3780c6542ef89c8eb58c SHA1: f7e6dd8218ec050668ca69634a1dbbd1ca722fef MD5sum: 8ebca790cca694d9940ff4707d035823 Description: collects and processes NetFlow data Flow-tools is library and a collection of programs used to collect, send, process, and generate reports from NetFlow data. The tools can be used together on a single server or distributed to multiple servers for large deployments. The flow-tools library provides an API for development of custom applications for NetFlow export versions 1,5,6 and the 14 currently defined version 8 subversions. A Perl and Python interface have been contributed and are included in the package. . A NetFlow is network traffic information exported (via UDP) to an external machine. The external machine processes such information to produce network traffic accounting, network billing, network monitoring, etc. Package: flow-tools-dev Source: flow-tools Version: 1:0.68-12.1 Architecture: armhf Maintainer: Radu Spineanu Installed-Size: 1127 Depends: flow-tools (= 1:0.68-12.1), libc6-dev | libc-dev Homepage: http://www.splintered.net/sw/flow-tools/ Priority: optional Section: libdevel Filename: pool/main/f/flow-tools/flow-tools-dev_0.68-12.1_armhf.deb Size: 199796 SHA256: d189099984c6e1562c054a285c4b734477745a1f5234b087a1e5cb99d5643b6f SHA1: e38ff1d644973971278ffbf6c2f0243a98d415a5 MD5sum: 4fa0e81de8613ada57cb9be47d970223 Description: development files for flow-tools Flow-tools is library and a collection of programs used to collect, send, process, and generate reports from NetFlow data. The tools can be used together on a single server or distributed to multiple servers for large deployments. The flow-tools library provides an API for development of custom applications for NetFlow export versions 1,5,6 and the 14 currently defined version 8 subversions. A Perl and Python interface have been contributed and are included in the package. . This package contains the flow-tools libraries and headers. Package: flowscan Version: 1.006-13.2 Installed-Size: 368 Maintainer: Guillaume Delacour Architecture: all Depends: perl (>= 5.6.1), rrdtool, librrds-perl, libboulder-perl, libnet-patricia-perl (>= 1.010), libconfigreader-perl, libcflow-perl (>= 1.024), libhtml-table-perl, flow-tools, debconf (>= 0.5) | debconf-2.0, ksh | mksh | pdksh | zsh, libperl4-corelibs-perl | perl (<< 5.12.3-7) Suggests: fprobe Size: 142794 SHA256: 7efdc41194a03f50388762ab6bd9f8ea8769359444711419f3da760b68144f40 SHA1: 69200eefe43caa7566d83ef6c8a31641bff820fe MD5sum: ea98a6dca34056f14403f81c0c1b212b Description: flow-based IP traffic analysis and visualization tool FlowScan is a freely-available network traffic analysis and visualization tool which uses IP flow data to provide a continuous near real-time view of a network's IP traffic. . FlowScan is a tool to monitor and graph flow information from Cisco and Riverstone routers in near real-time. You can also use FlowScan with flow information generated by programs like fprobe. Homepage: http://net.doit.wisc.edu/~plonka/FlowScan/ Tag: admin::accounting, implemented-in::perl, implemented-in::shell, network::configuration, protocol::ip, role::program, scope::utility, use::viewing Section: net Priority: extra Filename: pool/main/f/flowscan/flowscan_1.006-13.2_all.deb Package: flowscan-cuflow Version: 1.7-6 Installed-Size: 107 Maintainer: Russell Stuart Architecture: all Depends: flowscan Recommends: flowscan-cugrapher Size: 25664 SHA256: 48431446f3cf4b3ddf1911f136578cdc49beb03f8ce9b0ac30b79f1bed846462 SHA1: 0e71b9f38c630a127d1d3d2777e62c038ab1fd6a MD5sum: 3f5d4bdb77b21783ca20c9c72aae304d Description: Flowscan module combining CampusIO and SubNetIO CUFlow is a FlowScan module designed to combine the features of CampusIO and SubNetIO and to process data more quickly. CUFlow allows you to differentiate traffic by protocol, service, TOS, router, and network and then generate TopN reports over 5 minutes periods and over an extended period of time. Homepage: http://www.columbia.edu/acis/networks/advanced/CUFlow/ Section: net Priority: optional Filename: pool/main/f/flowscan-cuflow/flowscan-cuflow_1.7-6_all.deb Package: flowscan-cugrapher Source: flowscan-cuflow Version: 1.7-6 Installed-Size: 88 Maintainer: Russell Stuart Architecture: all Depends: flowscan-cuflow Size: 12194 SHA256: 71050bc98c1e9de6002dceba1a7d04bb3e347b72082ff3b776334b7ee3fffe12 SHA1: 3ef580674fef828911b300d75cfd79e3a9884b85 MD5sum: 467c8c0a7f9aee9a9d228dd309de1891 Description: CGI interface for flowscan-cuflow CUGrapher is a Web CGI program which generates images on the fly based on user input with data supplied by CUFlow. Thus it generates graphs of traffic flows over your network. Homepage: http://www.columbia.edu/acis/networks/advanced/CUFlow/ Section: net Priority: optional Filename: pool/main/f/flowscan-cuflow/flowscan-cugrapher_1.7-6_all.deb Package: flpsed Version: 0.5.2-1 Architecture: armhf Maintainer: Kapil Hari Paranjape Installed-Size: 105 Depends: ghostscript-x (>= 8.62.dfsg.1-2.1), libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.6-6), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libx11-6 Recommends: xpdf-utils | poppler-utils Homepage: http://www.ecademix.com/JohannesHofmann/flpsed.html Priority: extra Section: graphics Filename: pool/main/f/flpsed/flpsed_0.5.2-1_armhf.deb Size: 32436 SHA256: 292158727ad88af8942e184b8b3cc27052299e8ac7bffca9e5500f4ad2e27000 SHA1: 7b64229999250eabec75ab13faed2b9ee3592e4e MD5sum: 3fd8741a585ec7dc7c95a552072581b4 Description: a WYSIWYG pseudo PostScript editor flpsed is a WYSIWYG pseudo PostScript editor. "Pseudo", because you can't remove or modify existing elements of a document. But flpsed lets you add arbitrary text lines to existing PostScript 1 documents. Added lines can later be reedited with flpsed. Using pdftops, which is part of xpdf one can convert PDF documents to PostScript and also add text to them. flpsed is useful for filling in forms, adding notes etc. Package: fltk1.1-doc Source: fltk1.1 Version: 1.1.10-14 Installed-Size: 3002 Maintainer: Aaron M. Ucko Architecture: all Size: 2284502 SHA256: 15a77ddd070a1d6e7920989b4f5af49ee6749ee782d1ffb36ef62fdb8da4d7a3 SHA1: ad395f733de0795819089bee0a033c0a9995ca0d MD5sum: 01bd35911b7597d06442ddba90151593 Description: Fast Light Toolkit - documentation This package provides documentation for FLTK, including a chapter on its user interface designer (fluid). . The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface toolkit originally based on libForms. Homepage: http://www.fltk.org/ Tag: devel::doc, devel::examples, made-of::html, made-of::pdf, made-of::postscript, role::documentation, uitoolkit::fltk Section: doc Priority: optional Filename: pool/main/f/fltk1.1/fltk1.1-doc_1.1.10-14_all.deb Package: fltk1.1-games Source: fltk1.1 Version: 1.1.10-14 Architecture: armhf Maintainer: Aaron M. Ucko Installed-Size: 315 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.7), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6 Homepage: http://www.fltk.org/ Priority: optional Section: games Filename: pool/main/f/fltk1.1/fltk1.1-games_1.1.10-14_armhf.deb Size: 178032 SHA256: 46e1b2e6dc0601bbb1faf6c930737657b3c5724587dc4dac4a82991ae1bfb0af SHA1: f25d33b101ff17962325bc613262f695c739ae4a MD5sum: 5a4ec5d72b86c44923c52adc49daa3e7 Description: Fast Light Toolkit - example games: checkers, sudoku This package contains the example games that accompany FLTK. Specifically, it contains the following two programs: - flblocks: clear groups of adjacent blocks before they fill the screen; - flcheckers: classic board game, also known as draughts; - flsudoku: Sudoku puzzle (place numbers in a grid). . The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface toolkit originally based on libForms. Package: fltk1.3-doc Source: fltk1.3 Version: 1.3.0-8 Installed-Size: 36853 Maintainer: Aaron M. Ucko Architecture: all Size: 9927114 SHA256: 252be96e8458a04841b986325766b1c5eebd5864a2d3904f2f33d37dbd234de4 SHA1: cf393f45c25f11a090f0c99185e377bda8b64fd0 MD5sum: ffeb9294503970fbe862e99134d21ffb Description: Fast Light Toolkit - documentation This package provides documentation for FLTK, including a chapter on its user interface designer (fluid). . The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface toolkit originally based on libForms. Multi-Arch: foreign Homepage: http://www.fltk.org/ Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html, made-of::pdf, role::documentation, uitoolkit::fltk Section: doc Priority: optional Filename: pool/main/f/fltk1.3/fltk1.3-doc_1.3.0-8_all.deb Package: fltk1.3-games Source: fltk1.3 Version: 1.3.0-8 Architecture: armhf Maintainer: Aaron M. Ucko Installed-Size: 323 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfltk-images1.3, libfltk1.3 (>= 1.3.0), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6 Conflicts: fltk1.1-games Replaces: fltk1.1-games Multi-Arch: foreign Homepage: http://www.fltk.org/ Priority: optional Section: games Filename: pool/main/f/fltk1.3/fltk1.3-games_1.3.0-8_armhf.deb Size: 185002 SHA256: b8d9763005a758a8990c205dd1189a2b9ac5a950e73360850bf283618bf0b9a8 SHA1: d79acd8603fa645bd8adbd0161e0c6cfd21255b3 MD5sum: 87a258642d6f68a8691da9b91fc5f4e2 Description: Fast Light Toolkit - example games: checkers, sudoku This package contains the example games that accompany FLTK. Specifically, it contains the following two programs: - flblocks: clear groups of adjacent blocks before they fill the screen; - flcheckers: classic board game, also known as draughts; - flsudoku: Sudoku puzzle (place numbers in a grid). . The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface toolkit originally based on libForms. Package: fluid Source: fltk1.3 Version: 1.3.0-8 Architecture: armhf Maintainer: Aaron M. Ucko Installed-Size: 642 Depends: libc6 (>= 2.13-28), libfltk-forms1.3, libfltk-images1.3 (>= 1.3.0), libfltk1.3 (>= 1.3.0), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0), libx11-6 Recommends: fltk1.3-doc, libfltk1.3-dev Multi-Arch: foreign Homepage: http://www.fltk.org/ Priority: optional Section: devel Filename: pool/main/f/fltk1.3/fluid_1.3.0-8_armhf.deb Size: 280278 SHA256: 4882aaf36a926ae02744d53154174ce6a604c2e5daacc0da581276153146416f SHA1: a6835b9147473fb05083b37c9cbce8c3f1c74336 MD5sum: 00ffff0bd28b0883742834a08e96eeb7 Description: Fast Light Toolkit - user interface designer This package provides Fluid, an interactive GUI designer for FLTK, allowing graphical development of FLTK-based user interfaces. It natively works with (textual) .fl files, but also includes rough support for reading .fd files produced by fdesign (in libforms-bin). . The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface toolkit originally based on libForms. Package: fluid-soundfont-gm Source: fluid-soundfont Version: 3.1-5 Installed-Size: 145368 Maintainer: Toby Smithe Architecture: all Suggests: fluid-soundfont-gs, fluidsynth, timidity Size: 118557866 SHA256: a62f5592e822cd3d9c6457249ad805942fcb2f84755508c65f2dc672a1b8daf7 SHA1: afb908e2968382c67ef868be0798e6fd2b37d943 MD5sum: 48c8de940cc90704456ec45ab475ea11 Description: Fluid (R3) General MIDI SoundFont (GM) This is a GM SoundFont, for use with any modern MIDI synthesiser: hardware (like the emu10k1 sound card), or software (like FluidSynth). . This package provides the larger GM sound set, without the Roland Sound Canvas extensions. Tag: role::app-data, sound::midi Section: sound Priority: optional Filename: pool/main/f/fluid-soundfont/fluid-soundfont-gm_3.1-5_all.deb Package: fluid-soundfont-gs Source: fluid-soundfont Version: 3.1-5 Installed-Size: 3196 Maintainer: Toby Smithe Architecture: all Recommends: fluid-soundfont-gm Suggests: fluidsynth, timidity Size: 2559990 SHA256: 9732f2d7ac1bbfdccb5a2af638aa68f90f99ab05e739cd6ed9b4852746b7be0a SHA1: c91259078efc880fa9a3bdae2b1ddc15770a47da MD5sum: 56828b059e3e57bc77115ac280e70a79 Description: Fluid (R3) General MIDI SoundFont (GS) This is a GS SoundFont, for use with any modern MIDI synthesiser: hardware (like the emu10k1 sound card), or software (like FluidSynth). . This package provides the smaller GS sound set of Roland Sound Canvas extensions. Tag: role::app-data, sound::midi Section: sound Priority: optional Filename: pool/main/f/fluid-soundfont/fluid-soundfont-gs_3.1-5_all.deb Package: fluidsynth Version: 1.1.5-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 101 Depends: libfluidsynth1 (>= 1.1.5-2), libc6 (>= 2.13-28) Recommends: qsynth Conflicts: iiwusynth Replaces: iiwusynth Provides: iiwusynth Homepage: http://www.fluidsynth.org/ Priority: optional Section: sound Filename: pool/main/f/fluidsynth/fluidsynth_1.1.5-2_armhf.deb Size: 49454 SHA256: 325df57487afc740d01e195339c1f5b7ef85273c85e7d1837d0a74ad9da1dd02 SHA1: 5aa57e71aa24487db6721822e7f56b36a16a0694 MD5sum: 135c9da24fe1cc7b33dfb27d2cb0540b Description: Real-time MIDI software synthesizer Fluidsynth is a real-time midi synthesizer based on the soundfont2 specifications. It can be used to render MIDI input or MIDI files to audio. The MIDI events are read from a MIDI device. The sound is rendered in real-time to the sound output device. Package: fluidsynth-dssi Version: 1.0.0-6 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 109 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfluidsynth1, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), liblo7 (>= 0.26~repack), libpango1.0-0 (>= 1.14.0) Suggests: dssi-host-jack Conflicts: dssi-plugin-fluidsynth Replaces: dssi-plugin-fluidsynth Provides: dssi-plugin Homepage: http://dssi.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/f/fluidsynth-dssi/fluidsynth-dssi_1.0.0-6_armhf.deb Size: 40044 SHA256: 304996b74852e22d30d840a9c9659ef9691fe617ba222a0812a48241bb08bd76 SHA1: a19172f144439076ff22229eb828b45afe03d303 MD5sum: 1e5dadb94ffc7cdc2bff68f8dbde877b Description: DSSI wrapper for the FluidSynth SoundFont-playing synthesizer The FluidSynth-DSSI package contains FluidSynth-DSSI, a wrapper for the FluidSynth SoundFont-playing software synthesizer, allowing it to function as a DSSI plugin. . DSSI is an API for audio processing plugins, particularly useful for software synthesis plugins with user interfaces. Package: flumotion Version: 0.10.0-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 5188 Depends: python (>= 2.6.6-7~), python2.7, libc6 (>= 2.13-28), adduser, ssl-cert, xsltproc, python-dateutil, python-glade2, python-gobject, python-gst0.10, python-gtk2, python-kiwi, python-cairo, python-openssl, python-rrdtool, python-twisted-core, python-twisted-web, gstreamer0.10-plugins-base, gstreamer0.10-plugins-good, gstreamer0.10-schroedinger, gstreamer0.10-ffmpeg Recommends: python-gnome2 Homepage: http://flumotion.net/ Priority: optional Section: net Filename: pool/main/f/flumotion/flumotion_0.10.0-3_armhf.deb Size: 1356538 SHA256: 351f2070438531a046d601bd0858e64676c9e05ce95d9864e2fe8a12d603fc15 SHA1: e3fbc8a9067e1d9bf9693877c14ca575b9f08232 MD5sum: cfbdfadf3b815b2c1402f59253e27e34 Description: Fluendo Streaming Server - manager, worker and admin Flumotion is a modern streaming media server built with a modular distributed design. This gives you unprecedented stability and scalability in offering high-quality streaming media. . Flumotion includes support for both emerging media format standards, such as Ogg/Theora, and traditional formats such as MPEG-4. It features intuitive graphical administration tools, making the task of setting up and manipulating audio and video streams easy for even novice system administrators. . Flumotion ships with a HAL policy granting the flumotion user access to devices you might want to use for streaming; install the hal package to use this feature. Package: flush Version: 0.9.12-3 Architecture: armhf Maintainer: Fabrizio Regalli Installed-Size: 2155 Depends: libatkmm-1.6-1 (>= 2.22.1), libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-signals1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libconfig++9, libdbus-1-3 (>= 1.1.1), libgcc1 (>= 1:4.4.0), libglademm-2.4-1c2a (>= 2.6.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libnotify4 (>= 0.7.0), libsigc++-2.0-0c2a (>= 2.0.2), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libtorrent-rasterbar6 (>= 0.15.10), hicolor-icon-theme Homepage: http://sourceforge.net/projects/flush Priority: optional Section: net Filename: pool/main/f/flush/flush_0.9.12-3_armhf.deb Size: 767746 SHA256: a34ea6017afadbcd2db37c6ee2be3470b6ec646eea19c38d531907ebd41683c5 SHA1: 87dc8ae60a4804e368843f6d5b5fa63958f5de07 MD5sum: 09f45b73e18439a811d7ab4eebc0eb35 Description: GTK-based BitTorrent client Flush is a GTK-based BitTorrent client. You can use it to download files from the BitTorrent network. . Features: * Controlling running instance by command line interface. * Running many instances with different configs from the same user. * Automatic copying finished downloads to specified directory. * Setting custom download path for each file of the torrent. * Ability to choose torrent file's character set encoding. * Automatic torrents loading from specified directory. * Automatic pausing and removing old torrents. * Temporary pausing and resuming torrents. * Overall and current session statistics. * Creating your own torrent files. * IP filter. . Flush uses Rasterbar's version of libtorrent. Package: fluxbox Version: 1.3.2-4 Architecture: armhf Maintainer: Dmitry E. Oboukhov Installed-Size: 4025 Depends: menu (>= 2.1.19), libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libimlib2, libsm6, libstdc++6 (>= 4.6), libx11-6, libxext6, libxft2 (>> 2.1.1), libxinerama1, libxpm4, libxrandr2, libxrender1, zlib1g (>= 1:1.1.4) Recommends: xfonts-terminus, feh | eterm | hsetroot | xloadimage Suggests: fbpager, fbdesk, fbautostart Provides: x-window-manager Homepage: http://fluxbox.org Priority: optional Section: x11 Filename: pool/main/f/fluxbox/fluxbox_1.3.2-4_armhf.deb Size: 1449560 SHA256: 918e9d87cd7eac8f6f9a5a2f64d86fc1bccbdfdbc164cbe8b74b3f76b19d2e38 SHA1: d2172f4857eb74fb2c644c2b6b858d02b84e3d50 MD5sum: 04e3a80a79716d8e6a02bfc71291bbbb Description: Highly configurable and low resource X11 Window manager Fairly similar to blackbox, from which it is derived, but has been extended with features such as pwm-style window tabs, configurable key bindings, toolbar, and an iconbar. It also includes some cosmetic fixes over blackbox. . This package contains support for GNOME and KDE. Package: flvmeta Version: 1.0.11-1 Architecture: armhf Maintainer: Neutron Soutmun Installed-Size: 77 Depends: libc6 (>= 2.4) Homepage: http://code.google.com/p/flvmeta/ Priority: extra Section: video Filename: pool/main/f/flvmeta/flvmeta_1.0.11-1_armhf.deb Size: 23272 SHA256: 4140a8972c74a36a1ccaf4dc90fd23c8bb27f8ec48113623ad24455895c4831b SHA1: a1b6367ddc403261d13534a40190a9b84b0762d1 MD5sum: a0c259a0db1d5248c8fa82d945b74ba4 Description: Metadata injector for FLV video files flvmeta has the ability to inject all standard metadata tags into the onMetaData event, as well as insert an onLastSecond event. . It is also pretty fast and has a very small memory footprint, making it ideal for use as an automated tool on server environments. Package: flvstreamer Version: 2.1c1-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 140 Depends: libc6 (>= 2.7) Priority: optional Section: utils Filename: pool/main/f/flvstreamer/flvstreamer_2.1c1-1_armhf.deb Size: 65442 SHA256: 062d7b293bbbaaebae234b14b99e1d36e1ce00a27d7d6ce67bcf2eed230eb483 SHA1: 6be30e8a5312ec2ddc2312c39706c31936ec4f03 MD5sum: 4f74e2bfec4ef7f374a31691295107b4 Description: command-line RTMP client Command-line RTMP client intended to stream audio or video content from all types of Flash or RTMP servers. Package: flvtool2 Version: 1.0.6-4 Architecture: armhf Maintainer: Todd Troxell Installed-Size: 130 Depends: ruby1.8 Priority: extra Section: utils Filename: pool/main/f/flvtool2/flvtool2_1.0.6-4_armhf.deb Size: 19994 SHA256: 37459cc3bc6320154e4eeaf466612362f8c969ec17bfbd20150e05b1a9b731e8 SHA1: 8a82976268c640e47e7242db8891f081e6642f95 MD5sum: 82b96846a4b1909c450cb1176481fae9 Description: a manipulation tool for flash video files flvtool2 can display and modify various metadata on flash video files It can also add cue points, cut, and debug flv files. It can output metadata in XML and YAML formats. Package: flwm Version: 1.02+cvs20080422-9 Architecture: armhf Maintainer: Axel Beckert Installed-Size: 115 Depends: libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.6), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libx11-6 Recommends: menu Provides: x-window-manager Homepage: http://flwm.sourceforge.net/ Priority: optional Section: x11 Filename: pool/main/f/flwm/flwm_1.02+cvs20080422-9_armhf.deb Size: 42330 SHA256: 39085c80be1ae12c80b342441d46f6267920e15057eb9f8683bd1995bb97a2ff SHA1: 740f927e865e6b9854f168f33082c6bd48608156 MD5sum: f9d977781a7b4dcb65ddb60848114196 Description: Fast Light Window Manager Flwm is an attempt to combine the best ideas from several window managers. The primary influence and code base is from wm2 by Chris Cannam. Primary features copied from wm2 are: . - Does not look like Windows. - Nifty sideways title bars. - No icons. You deiconify by picking off a pop-up menu. This means no space is wasted by icons. - Really small and fast code. . It is enhanced by the author's own further nutty ideas: . - Does not use shape extension, more standard resize handles. - Occupies as little screen space as possible. The border and titles are as thin as you could possibly make them. And maximized windows waste only 15 pixels horizontally and zero (count'em) pixels vertically! - Independent maximize buttons for width & height, close button. - Understands Motif, KDE, and GNOME window manager hints. - Multiple desktops, controlled from the same menu as the icons. Package: flwm-dbg Source: flwm Version: 1.02+cvs20080422-9 Architecture: armhf Maintainer: Axel Beckert Installed-Size: 229 Depends: flwm (= 1.02+cvs20080422-9) Homepage: http://flwm.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/f/flwm/flwm-dbg_1.02+cvs20080422-9_armhf.deb Size: 87328 SHA256: 3f94e5626750fc17444a8522871ebda9d576ee51bb8c6e2cb473443236110f3d SHA1: 1b595bd68de6a053541eaecb5e28de09248cc619 MD5sum: ab776facaab24f72457f4da10078af50 Description: Fast Light Window Manager (debugging symbols) Flwm is an attempt to combine the best ideas from several window managers. The primary influence and code base is from wm2 by Chris Cannam. Primary features copied from wm2 are: . - Does not look like Windoze. - Nifty sideways title bars. - No icons. You deiconify by picking off a pop-up menu. This means no space is wasted by icons. - Really small and fast code. . It is enhanced by the author's own further nutty ideas: . - Does not use shape extension, more standard resize handles. - Occupies as little screen space as possible. The border and titles are as thin as you could possibly make them. And maximized windows waste only 15 pixels horizontally and zero (count'em) pixels vertically! - Independent maximize buttons for width & height, close button. - Understands Motif, KDE, and GNOME window manager hints. - Multiple desktops, controlled from the same menu as the icons. . This package contains the debugging symbols for flwm. Package: flydraw Source: wims Version: 4.03a-7 Architecture: armhf Maintainer: Georges Khaznadar Installed-Size: 127 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgd2-xpm (>= 2.0.36~rc1~dfsg), imagemagick (>= 4:5.4.4.5-1) | graphicsmagick-imagemagick-compat Priority: optional Section: graphics Filename: pool/main/w/wims/flydraw_4.03a-7_armhf.deb Size: 55218 SHA256: 4292bff64cd5dd6704c7db262f9c341087196adbd0851194b7ae0ba4b6658758 SHA1: e17b704712411f129ac992a4e89d4726434264f9 MD5sum: 68dcbb9a3fefd385809279df32b121b5 Description: command-line drawing tool flydraw is a drawing tool based on an interpreter which parses a language featuring rich graphic primitives; it uses libgd to output GIF, JPEG, or PNG files. Package: fmit Version: 0.99.2-1 Architecture: armhf Maintainer: John Wright Installed-Size: 986 Depends: freeglut3, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6) Homepage: http://home.gna.org/fmit/ Priority: optional Section: sound Filename: pool/main/f/fmit/fmit_0.99.2-1_armhf.deb Size: 326822 SHA256: 1cd91cabb6d462db653d921e115dd39c2a32e858a765e5b15420c128a48e2d66 SHA1: ae6f584997112e217f210bcd94ea8de69ccac33c MD5sum: 189782e065ee2613de63757f04c7a39d Description: Free Music Instrument Tuner fmit is a graphical utility for tuning your musical instruments, with error and volume history and advanced features like waveform shape, harmonics ratio (formants), and microtonal tuning. . fmit uses Qt for its GUI and ALSA (where available) or JACK as its sound input library. Package: fmtools Version: 2.0.5 Architecture: armhf Maintainer: Ben Pfaff Installed-Size: 72 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Priority: extra Section: sound Filename: pool/main/f/fmtools/fmtools_2.0.5_armhf.deb Size: 20224 SHA256: 4c4ea85d5b0394208220964d83ae3e2e8706235b6854c085cd82ecf5a797517a SHA1: 201aedfa2cab76e0f4e787bbc18ba39629987b61 MD5sum: 142742392991c618aebd02dcd5964453 Description: FM radio tuner Command-line utility for adjusting the frequency and volume and muting and unmuting FM radio cards. Package: fntsample Version: 3.2-1 Architecture: armhf Maintainer: Євгеній Мещеряков Installed-Size: 84 Depends: libpdf-api2-perl, libintl-perl, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfreetype6 (>= 2.2.1), libglib2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.18.0), perl Homepage: http://fntsample.sourceforge.net/ Priority: optional Section: text Filename: pool/main/f/fntsample/fntsample_3.2-1_armhf.deb Size: 21618 SHA256: 1a5580fe3b5a77dba84392234cc32395f2dc6a39cafdf6a6485d58003aa12fcb SHA1: 46e7c3f3c325342f5271418d50c4e9293511ce3c MD5sum: 55a19529bef64116453bbb204d291fee Description: program for making font samples A program for making font samples that show Unicode coverage of the font and are similar in appearance to Unicode charts. Samples can be saved as PDF or PostScript files. . This package also contains script called 'pdfoutline', that can be used to add outlines (aka bookmarks) to generated PDF files. Package: focalinux-html Source: focalinux Version: 2010-09-3 Installed-Size: 6884 Maintainer: Gleydson Mazioli da Silva Architecture: all Suggests: dc Size: 1441112 SHA256: bf3e90c57481b31f565feedaa4d4a4d2f9f28f263d22f5393ced1eee7d818744 SHA1: bc361f4a5378637c981af72906a024027d138549 MD5sum: 98240efe80f4c7f1a69304c488df68d2 Description: A full GNU/Linux Portuguese guide (html format) The Foca GNU/Linux is a Portuguese Linux guide that is split in three learning levels: Beginner, Intermediary and Advanced and is based in the Debian GNU/Linux distribution. . This package contains the html version of all learning levels of the guide: http://www.guiafoca.org/ Tag: culture::brazilian, made-of::html, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/f/focalinux/focalinux-html_2010-09-3_all.deb Package: focalinux-text Source: focalinux Version: 2010-09-3 Installed-Size: 776 Maintainer: Gleydson Mazioli da Silva Architecture: all Size: 693824 SHA256: fbeaa28f6d3571dcf36b59c229907e114d5fb7a2b5689cca4d1aa42a990314d5 SHA1: 344c7e3f924fb36ada8245ae8ed022590206a7bf MD5sum: 5559885f7cd519818fa6489504e4cd8b Description: A full GNU/Linux Portuguese guide (text format) The Foca GNU/Linux is a Portuguese Linux guide that is split in three learning levels: Beginner, Intermediary and Advanced and is based in the Debian GNU/Linux distribution. . This package contains the text version of all learning levels of the guide: http://www.guiafoca.org/ Tag: culture::brazilian, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/f/focalinux/focalinux-text_2010-09-3_all.deb Package: focuswriter Version: 1.3.6-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 1509 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhunspell-1.3-0, libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.6.2), libstdc++6 (>= 4.4.0), libzip2 (>= 0.10), zlib1g (>= 1:1.1.4) Suggests: hunspell-dictionary, myspell-dictionary, libsdl-mixer1.2 Homepage: http://gottcode.org/focuswriter/ Priority: optional Section: editors Filename: pool/main/f/focuswriter/focuswriter_1.3.6-1_armhf.deb Size: 630708 SHA256: 6ece846ae07fcca3c8e3e9d6195479a5437b6dabe46e98757077b1a631abd2fa SHA1: 4d579fc8d6fa04f0673e094fa6e28a8e94b6f005 MD5sum: 1240a868ac01ddaedc20d6182ee0e6bb Description: Fullscreen distraction-free writing program Focuswriter is a fullscreen writing program designed to be distraction free. You can customize your environment by changing the font, colors, and background image to add ambiance. FocusWriter features an on-the-fly updating wordcount, optional auto-save, optional daily goals, and toolbars that hide away to allow you to focus more clearly. Additionally, when you open the program your current work in progress will automatically load and position you at the end of your document, so that you can immediately jump back in. Package: fofix Source: fofix-dfsg Version: 3.121-3 Installed-Size: 24696 Maintainer: Christian Hammers Architecture: all Depends: python, python-support (>= 0.90.0), python (>= 2.5) | python-pysqlite2, python-pygame (>= 1.8), python-opengl, python-imaging, python-numpy Recommends: python-ogg, python-pyvorbis, python-pyaudio Suggests: python-psyco Size: 18316630 SHA256: 8e420054cac0327b2d6ce45c095725f4ecc45f0bc033802841f16183c35c0ed3 SHA1: 1a5971a827c961d515aacefbd7d8109bbcc076a3 MD5sum: 38ac84156797cb41ab53a3edbda765e1 Description: rhythm game in the style of Rock Band(tm) and Guitar Hero(tm) FoFiX (Frets on Fire X) is a fork of Frets on Fire with many added features. The object of the game is to accurately play guitar, bass, or drums using the keyboard (or guitar and drum controllers from Guitar Hero(tm) and Rock Band(tm)) and to sing lyrics as accurately as possible. Many different single-player and multi-player game modes are available. Homepage: http://code.google.com/p/fofix/ Tag: role::program, use::gameplaying Section: games Priority: optional Filename: pool/main/f/fofix-dfsg/fofix_3.121-3_all.deb Package: folks-common Source: folks Version: 0.6.9-1 Installed-Size: 507 Maintainer: Debian Telepathy maintainers Architecture: all Size: 219622 SHA256: 3926d02d8e271b247b121e67ea695ad1b22aaaed42313c81e42c50d17e3d7aa9 SHA1: 28e6450671b7465a94fc804c652bce2c53695e13 MD5sum: dd2575bada548f24fdb6ac1eea9ecaad Description: library to aggregates people into metacontacts (common files) libfolks is a library that aggregates people from multiple sources (eg, Telepathy connection managers and eventually evolution data server, Facebook, etc.) to create metacontacts. . This package contains common files (translations) used by folks components. Homepage: http://telepathy.freedesktop.org/wiki/Folks Section: libs Priority: optional Filename: pool/main/f/folks/folks-common_0.6.9-1_all.deb Package: folks-tools Source: folks (0.6.9-1) Version: 0.6.9-1+b1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 297 Depends: libc6 (>= 2.13-28), libfolks25 (>= 0.6.5), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libreadline6 (>= 6.0), libxml2 (>= 2.7.4) Recommends: folks-common (= 0.6.9-1) Homepage: http://telepathy.freedesktop.org/wiki/Folks Priority: extra Section: utils Filename: pool/main/f/folks/folks-tools_0.6.9-1+b1_armhf.deb Size: 219032 SHA256: 3e14588384ddba658b06770592960d5f8753076cfc88422bfc176e7e6223b194 SHA1: 2642052c8ce5d89566a7bb2dc3864258b6a291a9 MD5sum: 0b26c775982b4d1510817e39d737ca05 Description: Telepathy backend for libfolks - database and import tools libfolks is a library that aggregates people from multiple sources (eg, Telepathy connection managers and eventually evolution data server, Facebook, etc.) to create metacontacts. . This package contains tools to inspect the folks database and import metacontacts from pidgin Package: fondu Version: 0.0.20060102-4 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 243 Depends: libc6 (>= 2.7) Breaks: dgen (<< 1.23-7) Homepage: http://www.sourceforge.net/projects/fondu Priority: extra Section: utils Filename: pool/main/f/fondu/fondu_0.0.20060102-4_armhf.deb Size: 94696 SHA256: 429a1d3a3a23bc9f3b845b418ddfeccc54890752e47afd1b6f6be07df01efa76 SHA1: 3d5a7286d4d0208ae7cc4f6a743969702990d83b MD5sum: e61d3099274d7e6d2e7405df3b7965fb Description: convert between Mac and UNIX font formats Fondu is a set of programs to convert between Mac font formats and PFB, TTF, OTF and BDF files on UNIX. . Fondu will read a series of mac files, check their resource forks and extract all font related items into separate files. . The input files may be either macbinary files (.bin), binhex files (.hqx), bare mac resource forks or data fork resource files (.dfont, used by Mac OS/X). A bare resource fork may be generated easily be copying a file with a resource fork onto a floppy (or zip) with a DOS format. The mac will create an (invisible on the mac) folder called resource.frk in which the resource fork will reside as a bare file. Package: font-hosny-amiri Source: fonts-hosny-amiri Version: 0.103-1 Installed-Size: 30 Maintainer: Debian Fonts Task Force Architecture: all Depends: fonts-hosny-amiri Size: 4670 SHA256: e62d3021c9f01d0d3b243730489c5101e961fa858b4112383518aaa9306c2c1f SHA1: 83bc8e9b17380f00fda5b8852e04caf0c9e64d8a MD5sum: 9c7790448d296cb5f6c8713fa39be35a Description: Arabic Naskh style typographically oriented font (transitional package) Amiri is a classical Arabic typeface in Naskh style for typesetting books and other running text. . Its design is a revival of the beautiful typeface pioneered in early 20th century by Bulaq Press in Cairo, also known as Amiria Press, after which the font is named. . Amiri font covers the full Unicode 6.0 Arabic and Arabic Supplement blocks, as well as the compatibility Arabic Presentation Forms-A and B blocks. . This is a dummy transitional package that will ensure a proper upgrade path. This package may be safely removed after upgrading. Homepage: http://www.amirifont.org Tag: culture::arabic, made-of::font, role::data, role::dummy, x11::font Section: oldlibs Priority: extra Filename: pool/main/f/fonts-hosny-amiri/font-hosny-amiri_0.103-1_all.deb Package: font-manager Version: 0.5.7-4 Architecture: armhf Maintainer: Alessio Treglia Installed-Size: 1334 Depends: python-dbus, python-gobject, python-gtk2, python-libxml2, python (>= 2.6.6-7~), libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libglib2.0-0 (>= 2.16.0), libpango1.0-0 (>= 1.14.0), libpython2.7 (>= 2.7), libsqlite3-0 (>= 3.5.9) Suggests: python-reportlab Homepage: http://code.google.com/p/font-manager/ Priority: optional Section: graphics Filename: pool/main/f/font-manager/font-manager_0.5.7-4_armhf.deb Size: 712384 SHA256: d7dd19cfaccb8c682429b1a33612f1ed7b406ac3905c56fade05ed09c1284526 SHA1: 425a02722167f762f8db28d650e2c47a4ba8b1e3 MD5sum: 322bb35646d1a9ee5fb2edf762d7b550 Description: font management application for the GNOME desktop Font Manager currently allows the user to: - Preview installed fonts - Compare installed fonts - Easily install or remove fonts - Easily activate and de-activate installed fonts - Specify different directories to search for fonts - Group fonts into "Collections", and easily activate or de-activate groups of fonts - Export "Collections" to an archive for easy backup, sharing, etc. - Provides quick access to all GNOME font utilities. . Font Manager suggests the installation of python-reportlab to allow users to export font collections in the PDF format. Package: fontconfig Version: 2.9.0-7.1+deb7u1 Architecture: armhf Maintainer: Keith Packard Installed-Size: 419 Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), fontconfig-config Replaces: fontconfig-config (<< 2.5.93-1) Multi-Arch: foreign Priority: optional Section: fonts Filename: pool/main/f/fontconfig/fontconfig_2.9.0-7.1+deb7u1_armhf.deb Size: 347910 SHA256: 77a2cdc4a4fd0513bb00248575f2c516ca4a94c076537648c60714d6409eb998 SHA1: 158de95b84b145d0f8bb2426423bf33fa6dd2f14 MD5sum: 6e1dbf02095f1e159461d34c7672d9bf Description: generic font configuration library - support binaries Fontconfig is a font configuration and customization library, which does not depend on the X Window System. It is designed to locate fonts within the system and select them according to requirements specified by applications. . Fontconfig is not a rasterization library, nor does it impose a particular rasterization library on the application. The X-specific library 'Xft' uses fontconfig along with freetype to specify and rasterize fonts. . This package contains a program to maintain the fontconfig cache (fc-cache), a sample program to list installed fonts (fc-list), a program to test the matching rules (fc-match) and a program to dump the binary cache files in string form (fc-cat). It no longer makes fonts managed by defoma available to fontconfig applications. Package: fontconfig-config Source: fontconfig Version: 2.9.0-7.1+deb7u1 Installed-Size: 345 Maintainer: Keith Packard Architecture: all Replaces: fontconfig (<< 2.3.2-2) Depends: debconf (>= 0.5) | debconf-2.0, ucf (>= 0.29), ttf-dejavu-core | ttf-bitstream-vera | ttf-freefont | gsfonts-x11 Conflicts: fontconfig (<< 2.3.2-2) Size: 232898 SHA256: d51cee6ee436b133a76596bcda4e296bc8aaec0cb92c4f34190c7452b5c3a7f2 SHA1: ecdd926153db95080fe96fdf85fbe5c489f334fd MD5sum: 0b87612bfe39ca0c65d850f4410a312f Description: generic font configuration library - configuration Fontconfig is a font configuration and customization library, which does not depend on the X Window System. It is designed to locate fonts within the system and select them according to requirements specified by applications. . This package contains the configuration files and scripts for fontconfig. Multi-Arch: foreign Section: libs Priority: optional Filename: pool/main/f/fontconfig/fontconfig-config_2.9.0-7.1+deb7u1_all.deb Package: fontforge Version: 0.0.20120101+git-2+deb7u1 Architecture: armhf Maintainer: Debian Fonts Task Force Installed-Size: 6116 Depends: libfontforge1 (= 0.0.20120101+git-2+deb7u1), libgdraw4 (= 0.0.20120101+git-2+deb7u1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgif4 (>= 4.1.4), libglib2.0-0 (>= 2.12.0), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libpython2.7 (>= 2.7), libsm6, libspiro0, libtiff4 (>> 3.9.5-3~), libuninameslist0, libx11-6, libxft2 (>> 2.1.1), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Suggests: fontforge-doc, potrace, autotrace, python-fontforge, fontforge-extras Conflicts: fontforge-nox Homepage: http://fontforge.sourceforge.net/ Priority: optional Section: fonts Filename: pool/main/f/fontforge/fontforge_0.0.20120101+git-2+deb7u1_armhf.deb Size: 2541350 SHA256: 623af31d9b5e99453f0dbce8b386f0f12f13952ae92d5cead2057e57250a37ea SHA1: fbfff59cf07f385e4145c8cceaefd92686bb8587 MD5sum: 0292105127f6e6d00dcd112e18d9fbf9 Description: font editor Besides being a font editor, FontForge is also a font format converter, and can convert among PostScript (ASCII & binary Type 1, some Type 3s, some Type 0s), TrueType, and OpenType (Type2), CID-keyed, SVG, CFF and multiple-master fonts. . This package also provides these programs and utilities: fontimage - produce a font thumbnail image; fontlint - checks the font for certain common errors; sfddiff - compare two font files. Package: fontforge-dbg Source: fontforge Version: 0.0.20120101+git-2+deb7u1 Architecture: armhf Maintainer: Debian Fonts Task Force Installed-Size: 13045 Depends: fontforge (= 0.0.20120101+git-2+deb7u1) Homepage: http://fontforge.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/f/fontforge/fontforge-dbg_0.0.20120101+git-2+deb7u1_armhf.deb Size: 5903786 SHA256: 9729f742e6dd7d0a361047eb0278464a0c092bcbe805c570f39edb1dc704c7b7 SHA1: 86c3db44ec1696f32d86aba6de75f73495bb95c7 MD5sum: d5d04dd5a21ca4cf0e64600848d0cf67 Description: debugging symbols for fontforge Besides being a font editor, FontForge is also a font format converter, and can convert among PostScript (ASCII & binary Type 1, some Type 3s, some Type 0s), TrueType, and OpenType (Type2), CID-keyed, SVG, CFF and multiple-master fonts. . This package contains the debugging symbols for fontforge. Package: fontforge-doc Version: 0.0.20100429-1 Installed-Size: 7208 Maintainer: Debian Fonts Task Force Architecture: all Replaces: fontforge (<= 0.0.20081224) Conflicts: fontforge (<= 0.0.20081224) Size: 3457086 SHA256: 13e466b9c8be55fac0cc29177e7e909eb843850a71756ed08760b64b0a287ebb SHA1: 9cc87271237cfec18a11cabac74acc8aa0701c44 MD5sum: 9a7b63e22919eb54144bbb3123b96f33 Description: Documentation for FontForge FontForge allows you to create or edit outline and bitmap fonts. It is also a font format converter and can convert among PostScript (ASCII & binary Type 1, some Type 3s, some Type 0s), TrueType, and OpenType (Type2), CID-keyed, SVG, CFF and multiple-master fonts. . This package contains the documentation in HTML format. Homepage: http://fontforge.sourceforge.net/ Tag: made-of::html, role::documentation, use::editing, works-with::font Section: doc Priority: optional Filename: pool/main/f/fontforge-doc/fontforge-doc_0.0.20100429-1_all.deb Package: fontforge-extras Version: 0.3-2 Architecture: armhf Maintainer: Debian Fonts Task Force Installed-Size: 853 Depends: libc6 (>= 2.4) Conflicts: fontforge (<= 0.0.20081224) Replaces: fontforge (<= 0.0.20081224) Homepage: http://fontforge.sourceforge.net/ Priority: optional Section: fonts Filename: pool/main/f/fontforge-extras/fontforge-extras_0.3-2_armhf.deb Size: 385756 SHA256: 6b48b90e4e0afeae86d07b2866417507aeb4472773b2ab513904a0009122580e SHA1: 853dab430a28346e19b41cbf69fc1c36d72f5e49 MD5sum: cb9c706fa4202735fcf623ba3f742b43 Description: Additional data and utilities for FontForge This package contains extra data and utilities for the FontForge font editor: . * cidmaps: character set descriptions for editing CID keyed fonts; * encodings.ps: an extra encoding tables; * showttf: a program which will decompose a truetype (or opentype) font file into its various tables and display the contents of those tables. Package: fontforge-nox Source: fontforge Version: 0.0.20120101+git-2+deb7u1 Architecture: armhf Maintainer: Debian Fonts Task Force Installed-Size: 3968 Depends: libfontforge1 (= 0.0.20120101+git-2+deb7u1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgif4 (>= 4.1.4), libglib2.0-0 (>= 2.12.0), libjpeg8 (>= 8c), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libpython2.7 (>= 2.7), libspiro0, libtiff4 (>> 3.9.5-3~), libuninameslist0, libxft2 (>> 2.1.1), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Conflicts: fontforge Homepage: http://fontforge.sourceforge.net/ Priority: optional Section: fonts Filename: pool/main/f/fontforge/fontforge-nox_0.0.20120101+git-2+deb7u1_armhf.deb Size: 1446862 SHA256: 0ab4b81b900764085ede3210771b56688e0bc166e25ab12b854578df5135c5c4 SHA1: a35f47e365fbdd2ff4751bff35ef3d3d85cc4089 MD5sum: 461b76a896cbe3c45e550c2d5e6691e1 Description: font editor - non-X version Besides being a font editor, FontForge is also a font format converter, and can convert among PostScript (ASCII & binary Type 1, some Type 3s, some Type 0s), TrueType, and OpenType (Type2), CID-keyed, SVG, CFF and multiple-master fonts. . This package also provides these programs and utilities: fontimage - produce a font thumbnail image; fontlint - checks the font for certain common errors; sfddiff - compare two font files. . This package contains a version of FontForge compiled with support for scripting but no GUI, and not require the graphics library. Package: fontmatrix Version: 0.6.0+svn20110930-1.1 Architecture: armhf Maintainer: Oleksandr Moskalenko Installed-Size: 3905 Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libm17n-0 (>= 1.5.5), libpython2.7 (>= 2.7), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0), libqt4-sql-sqlite Homepage: http://www.fontmatrix.net/ Priority: optional Section: graphics Filename: pool/main/f/fontmatrix/fontmatrix_0.6.0+svn20110930-1.1_armhf.deb Size: 1388932 SHA256: 83badd53ba511552bd1dd9ff77deee7b8523188f2ccaaf82ca53d21451f1a7a1 SHA1: e8f0cd60803db31620b130ba01bd3045065ef00e MD5sum: 8398910f3311bf1336f9f455a4a37721 Description: featureful personal font manager fontmatrix is a manager built with the kind of features and abilities graphic designers, layout professionals along with others have felt necessary, but modernized with some new touches. . Fontmatrix has a concept of 'tagging'. This makes it really nice to group fonts and even sub-group them logically for use in a book for instance. It also has extensive gui support for showing all glyphs in a font, previews of sample text, variable sizing and also tells what kinds of advanced Open Type features are inside each font. These features have never been seen outside of a font editor. And not least, it creates a nice PDF catalogue of user's fonts for printing or reference. In short, fontmatrix is a font manager for professionals, but is nice and user friendly. Package: fonts-aoyagi-kouzan-t Version: 20051011-9 Installed-Size: 2042 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-aoyagi-kouzan-t (<< 20051011-3) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-aoyagi-kouzan-t (<< 20051011-3) Size: 1184854 SHA256: 5e3f58884080ec4172f4b959569f46d0a12ade20cb0b6052e47bd7f32affb4c8 SHA1: 6b9f2048eff16bbfaa247042148f5cf8ef0ea90b MD5sum: 9a2682e31859f84313b94b242e591905 Description: Brush-style Japanese font AoyagiKouzanFontT is Brush-style Japanese font, made by Kouzan Aoyagi. It contains about 3,000 glyphs. . It is suitable for printing Haiku, New Year's card or so. Homepage: http://musashi.or.tv/aoyagikouzanfontt.htm Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: extra Filename: pool/main/f/fonts-aoyagi-kouzan-t/fonts-aoyagi-kouzan-t_20051011-9_all.deb Package: fonts-aoyagi-soseki Version: 20070207-8 Installed-Size: 5353 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-aoyagi-soseki (<< 20070207-3) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-aoyagi-soseki (<< 20070207-3) Size: 3116608 SHA256: ec9b3187eb2e55e8e0eb81f22003441489ced1d8debca39b9ec7111af829f690 SHA1: 87ed659f764f16544bdb15e0190dea0ca6e530da MD5sum: 45e1de111da82600ec8b1c0b69933804 Description: Brush-style Japanese font, Aoyagi-Soseki AoyagiSoseki is Brush-style Japanese font, made by Soseki Aoyagi and Kouzan Aoyagi. It contains about 6,000 glyphs. . It is suitable for printing Haiku, New Year's card or so. Homepage: http://musashi.or.tv/aoyagikouzanfontt.htm Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: extra Filename: pool/main/f/fonts-aoyagi-soseki/fonts-aoyagi-soseki_20070207-8_all.deb Package: fonts-arabeyes Version: 2.1-3 Installed-Size: 5564 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-arabeyes (<< 2.1-3) Provides: ttf-arabeyes Conflicts: ttf-arabeyes (<< 2.1-3) Size: 2307598 SHA256: 94d44209407e3650e63491f454fb59d449a95dbd8c87804b355c6015f46f1b18 SHA1: a95ebb0680a4f1faacdba728394cc738c3c0794d MD5sum: 63ad04b0ef11d1a7ce732a82a11059ab Description: Arabeyes GPL TrueType Arabic fonts This is a set of TrueType Arabic fonts released under the GNU General Public License by the Arabeyes Project. Homepage: http://www.arabeyes.org/project.php?proj=Khotot Tag: culture::arabic, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-arabeyes/fonts-arabeyes_2.1-3_all.deb Package: fonts-arphic-bkai00mp Version: 2.10-11 Installed-Size: 10201 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-arphic-bkai00mp (<< 2.10-9) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-arphic-bkai00mp (<< 2.10-9) Size: 4916168 SHA256: 076d4ae9e45e32df278d410708fdad578cfa4c9fdf96a791a6ae3b018d838c42 SHA1: f838c45688695f02ef4487fae58d2b54d422fbfa MD5sum: e2e6baee866a1d80d2dad4ba4ba0d3fa Description: "AR PL KaitiM Big5" Chinese TrueType font by Arphic Technology "AR PL KaitiM Big5" is a high-quality Chinese TrueType font (bkai00mp.ttf) generously provided by Arphic Technology to the Free Software community under the "Arphic Public License". . You also need to install the "tfm-arphic-bkai00mp" package for typesetting with Omega or with CJK for LaTeX (cjk-latex). Homepage: http://www.arphic.com.tw/ Tag: culture::chinese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-arphic-bkai00mp/fonts-arphic-bkai00mp_2.10-11_all.deb Package: fonts-arphic-bsmi00lp Version: 2.10-12 Installed-Size: 12375 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-arphic-bsmi00lp (<< 2.10-10) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-arphic-bsmi00lp (<< 2.10-10) Size: 3766016 SHA256: 56581028aee34d853291f2c0febbd21d8b6e7907acd5ccdbd563c624ea4eb456 SHA1: 93e2a5b7b591d3c2b46954845f5c07bfe8ef97dd MD5sum: cd1b40168b707c8f923306e0c32a5084 Description: "AR PL Mingti2L Big5" Chinese TrueType font by Arphic Technology "AR PL Mingti2L Big5" is a high-quality Chinese TrueType font (bsmi00lp.ttf) generously provided by Arphic Technology to the Free Software community under the "Arphic Public License". . You also need to install the "tfm-arphic-bsmi00lp" package for typesetting with Omega or with CJK for LaTeX (cjk-latex). Homepage: http://www.arphic.com.tw/ Tag: culture::chinese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-arphic-bsmi00lp/fonts-arphic-bsmi00lp_2.10-12_all.deb Package: fonts-arphic-gbsn00lp Version: 2.11-12 Installed-Size: 5099 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-arphic-gbsn00lp (<< 2.11-10) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-arphic-gbsn00lp (<< 2.11-10) Size: 1722010 SHA256: a9d66291f6e8598ad4c4e0a16406c75d6512dfab6bf9cc2966299041b1acf004 SHA1: 10b4140c09bc5c1f120bdabc987095a0e0198f42 MD5sum: 6714ae73ee57a16b4474a559ee8bf699 Description: "AR PL SungtiL GB" Chinese TrueType font by Arphic Technology "AR PL SungtiL GB" is a high-quality Chinese TrueType font (gbsn00lp.ttf) generously provided by Arphic Technology to the Free Software community under the "Arphic Public License". . You also need to install the "tfm-arphic-gbsn00lp" package for typesetting with Omega or with CJK for LaTeX (cjk-latex). Homepage: http://www.arphic.com.tw/ Tag: culture::chinese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-arphic-gbsn00lp/fonts-arphic-gbsn00lp_2.11-12_all.deb Package: fonts-arphic-gkai00mp Version: 2.11-12 Installed-Size: 4553 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-arphic-gkai00mp (<< 2.11-10) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-arphic-gkai00mp (<< 2.11-10) Size: 2292910 SHA256: 3b5b0fcd18535d400a09a86bebe58a4ba38cb0c42a9f5974c7eb34615171495a SHA1: d753ce212fc18e7da635f7dd9658adb9aad5c6f2 MD5sum: 589f98fb648e403d2a2583fa0224c4fc Description: "AR PL KaitiM GB" Chinese TrueType font by Arphic Technology "AR PL KaitiM GB" is a high-quality Chinese TrueType font (gkai00mp.ttf) generously provided by Arphic Technology to the Free Software community under the "Arphic Public License". . You also need to install the "tfm-arphic-gkai00mp" package for typesetting with Omega or with CJK for LaTeX (cjk-latex). Homepage: http://www.arphic.com.tw/ Tag: culture::chinese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-arphic-gkai00mp/fonts-arphic-gkai00mp_2.11-12_all.deb Package: fonts-arphic-ukai Version: 0.2.20080216.2-3 Installed-Size: 17279 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-arphic-ukai (<< 0.2.20080216.1-2), ttf-arphic-ukai-mbe (<< 0.2.20080216.1-2) Provides: ttf-arphic-ukai, ttf-arphic-ukai-mbe Conflicts: ttf-arphic-ukai (<< 0.2.20080216.1-2), ttf-arphic-ukai-mbe (<< 0.2.20080216.1-2) Size: 10275634 SHA256: 990d07122fa711e01a31cadba90a8e514e11a7d5f7ab2dd108548b11f66a1aba SHA1: f5b29ec6af8adf379c6846ac8858ba8a49197097 MD5sum: 6438214876d587f1ac14413444478b04 Description: "AR PL UKai" Chinese Unicode TrueType font collection Kaiti style "AR PL UKai" is a high-quality Chinese Unicode TrueType font collection (ukai.ttc) derieved from the original "AR PL KaitiM Big5" and "AR PL KaitiM GB" fonts generously provided by Arphic Technology to the Free Software community under the "Arphic Public License". . It has been extended from the original "AR PL KaitiM Big5" and "AR PL KaitiM GB" fonts with additional glyphs now covering ISO8859-1,2,3,4,9,10,13,14,15, BIG5, GB2312-80 and HKSCS-2004. It also includes Bopomofo Extensions for Hakka and Minnan according to the Unicode 5.0 standard and MBE variants of those glyphs. . Support for CNS 11643, GBK, GB 18030, Japanese and Korean is under heavy development. Users who need more Han glyphs than provided by GB2312 and Big5 or who need support for Chinese minority languages may want to install this font package. . This font is an alternative to the fonts-arphic-bkai00mp and fonts-arphic-gkai00mp font packages. . Original author: Arphic Technology Co., Ltd. URL: http://www.arphic.com.tw/ Modified by Arne Goetje URL: http://www.freedesktop.org/wiki/Software/CJKUnifonts Homepage: http://www.freedesktop.org/wiki/Software/CJKUnifonts Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-arphic-ukai/fonts-arphic-ukai_0.2.20080216.2-3_all.deb Package: fonts-arphic-uming Version: 0.2.20080216.2-4 Installed-Size: 21044 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-arphic-uming (<< 0.2.20080216.2-1), ttf-arphic-uming-mbe Provides: ttf-arphic-uming Depends: debconf | debconf-2.0 Pre-Depends: dpkg (>= 1.15.7.2) Conflicts: fonts-arphic-uming-mbe Breaks: ttf-arphic-uming (<< 0.2.20080216.2-1) Size: 7938118 SHA256: 9d35e9c37ebd86a758add70b10deca4c2490263bc24550611cd488635eb342ef SHA1: 3991e0eb076941c1f7bddf375f8b90d827629d05 MD5sum: 2be17c0893ffaaddfb1ef9578afce0b0 Description: "AR PL UMing" Chinese Unicode TrueType font collection Mingti style "AR PL UMing" is a high-quality Chinese Unicode TrueType font collection (uming.ttc) derieved from the original "AR PL Mingti2L Big5" and "AR PL SungtiL GB" fonts generously provided by Arphic Technology to the Free Software community under the "Arphic Public License". . It has been extended from the original "AR PL Mingti2L Big5" and "AR PL SungtiL GB" fonts with additional glyphs now covering ISO8859-1,2,3,4,9,10,13,14,15, BIG5, GB2312-80 and HKSCS-2004. It also includes Bopomofo Extensions for Hakka and Minnan according to the Unicode 5.0 standard and their MBE variants. . Support for CNS 11643, GBK, GB 18030, Japanese and Korean is under heavy development. Users who need more Han glyphs than provided by GB2312 and Big5 or who need support for Chinese minority languages may want to install this font package. . This font also includes Firefly's bitmap characters for better rendering in small fontsizes (Big5 and GB2312 only). . This font is an alternative to the fonts-arphic-bsmi00lp and fonts-arphic-gbsn00lp font packages. . Original author: Arphic Technology Co., Ltd. URL: http://www.arphic.com.tw/ Modified by Arne Goetje (arne@ubuntu.com) URL: http://www.freedesktop.org/wiki/Software/CJKUnifonts Homepage: http://www.freedesktop.org/wiki/Software/CJKUnifonts Section: fonts Priority: optional Filename: pool/main/f/fonts-arphic-uming/fonts-arphic-uming_0.2.20080216.2-4_all.deb Package: fonts-baekmuk Version: 2.2-7 Installed-Size: 27983 Maintainer: Debian Fonts Task Force Architecture: all Replaces: baekmuk-ttf, ttf-baekmuk (<< 2.2-4) Pre-Depends: dpkg (>= 1.15.6~) Conflicts: baekmuk-ttf, ttf-baekmuk (<< 2.2-4) Size: 8666980 SHA256: 88c823e30a28ff4bb4d8457871013a4498abea0c43d3b6549b6058d24b2d1ec6 SHA1: e231412523dce52954d388a88f944ff69816fb18 MD5sum: 54e4cc9327efc5f52cc849d1523e2d09 Description: Baekmuk series Korean fonts This is a set of Korean TrueType fonts, which contains 4 font families; Dotum, Batang, Gulim and Headline. Homepage: http://kldp.net/projects/baekmuk Tag: culture::korean, iso15924::kore, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-baekmuk/fonts-baekmuk_2.2-7_all.deb Package: fonts-beng Version: 2:1.1 Installed-Size: 27 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-bengali-fonts Depends: fonts-lohit-beng-bengali, fonts-lohit-beng-assamese, fonts-beng-extra Breaks: ttf-bengali-fonts (<< 2:1.0) Size: 2694 SHA256: d9428218f4f0a3d5bb36a8527d5b7845719000d31a38fb3b45cfad27da36809e SHA1: 6e78a2be81b1fb8518a52a5e91fe624ccc5868aa MD5sum: 7588a01cb89d6f586609be46b0be4ef4 Description: Metapackage to install Bengali and Assamese fonts This package allows you to install all available Bengali and Assamese fonts in Debian. Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-beng/fonts-beng_1.1_all.deb Package: fonts-beng-extra Version: 1.0-2 Installed-Size: 900 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-bengali-fonts Recommends: fonts-lohit-beng-bengali, fonts-lohit-beng-assamese Breaks: ttf-bengali-fonts (<< 2:1.0) Size: 364054 SHA256: 9ca6bdfac15d8d8f664f32fb8a9d730b83b03045e747efe7c74e68a1fe5a2713 SHA1: 116778ec4456a75ce1c63489bed7ad92fdbdd641 MD5sum: 41907c73caa8337004930811f8a72674 Description: TrueType fonts for Bengali language This package provides TrueType fonts for Bengali language which is primarily spoken in the Indian state of West Bengal, Assam, Tripura and in the country Bangladesh. Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-beng-extra/fonts-beng-extra_1.0-2_all.deb Package: fonts-beteckna Version: 0.4-5 Installed-Size: 176 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-beteckna (<< 0.4-4) Provides: ttf-beteckna Conflicts: ttf-beteckna (<< 0.4-4) Size: 46274 SHA256: 25d1a1dbfd964fa260410b4e1a34224da28edc0a30cc83c9aca8ba78850fbcfc SHA1: e4c6cf8eee4114184df8cbe3354d279ed167e307 MD5sum: 4a898870170e994c77cd38438bb8adb4 Description: geometric Futura-like sans-serif TrueType font This package provide a geometric sans-serif font, similar to the popular Futura one. Homepage: http://gnu.ethz.ch/linuks.mine.nu/beteckna/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-beteckna/fonts-beteckna_0.4-5_all.deb Package: fonts-bpg-georgian Version: 0.5a-6 Installed-Size: 562 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-bpg-georgian-fonts (<= 0.5a-2) Provides: ttf-bpg-georgian-fonts Pre-Depends: dpkg (>= 1.15.7.2~) Breaks: ttf-bpg-georgian-fonts (<= 0.5a-2) Size: 350814 SHA256: a81956abf1417313ca21ecc10fffc30f0480ea389cac81204d725763d5c52a58 SHA1: fba45608c5c35eaeeaab3046db63e33b8f534302 MD5sum: 667f6d49adac431df4d9a52581ecde83 Description: BPG Georgian fonts This package provides a collection of three Georgian fonts, provided by BPG-InfoTech. Tag: iso15924::geor, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-bpg-georgian/fonts-bpg-georgian_0.5a-6_all.deb Package: fonts-breip Version: 1.0-7 Installed-Size: 208 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-breip (<< 1.0-7) Provides: ttf-breip Suggests: fontforge Conflicts: ttf-breip (<< 1.0-7) Size: 72704 SHA256: 59eeef58f4414e270f1e9274396e2de5f1350c40f12ca2e84ceddcc6b80449c5 SHA1: 30dc2f6753b5bda6ac683f979009969d9c5728e0 MD5sum: 245b7de38aa9dfe704c465350caf8f51 Description: Informal handwriting font This is an informal handwriting font based on the handwrite of a friend of Adam (the author of this). . Quoting the author: "It's hard to describe", but in short it is a freestyle sans serif kind of font. Homepage: http://helloalan.com/projects/breip/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-breip/fonts-breip_1.0-7_all.deb Package: fonts-cabin Version: 1.5-1 Installed-Size: 447 Maintainer: Debian Fonts Task Force Architecture: all Size: 238618 SHA256: 4e7524f8047b4595b06df211b00650e60f3b8321be6fee8bedb9cf6b9fff3097 SHA1: f56b059392bdf7f8cf2538ddc9c138793ccaa573 MD5sum: 9e30603d8eed1e5fb7cf1232fca03d63 Description: humanist sans serif font The Cabin font comes in 8 styles: Regular, Medium, Semibold, and Bold, with their corresponding italics. . It is inspired by Edward Johnston's and Eric Gill's typefaces, with a touch of modernism. Cabin incorporates modern proportions, optical adjustments, and some elements of the geometric sans. . The weight distribution is almost monotone, although top and bottom curves are slightly thin. Counters of the b, g, p and q are rounded and optically adjusted. The curved stem endings have a 10 degree angle. E and F have shorter center arms. M is splashed. Homepage: http://www.impallari.com/cabin/ Section: fonts Priority: optional Filename: pool/main/f/fonts-cabin/fonts-cabin_1.5-1_all.deb Package: fonts-cabinsketch Version: 1.02-1 Installed-Size: 508 Maintainer: Debian Fonts Task Force Architecture: all Size: 286474 SHA256: a2104a96fae716f59ab1a915ae6bb572e46f03d892b4f422a46633ac11162a87 SHA1: 14d8f2ff4f5964a61fe4896e19fa3469a41024e0 MD5sum: 2c4aac99f9368f06d90cd52aa447be54 Description: playful sister of the Cabin font family Cabin Sketch has 2 weights, Regular and Bold. . The thing with sketch fonts is that they have a lot of nodes, and their file sizes are usually big. But Cabin Sketch has been manually and carefully cleaned up to keep the file size as small as possible, and to make it render fast. Homepage: http://www.impallari.com/cabinsketch/ Section: fonts Priority: optional Filename: pool/main/f/fonts-cabinsketch/fonts-cabinsketch_1.02-1_all.deb Package: fonts-cantarell Version: 0.0.9-1 Installed-Size: 203 Maintainer: Debian Fonts Task Force Architecture: all Depends: fontconfig Size: 103478 SHA256: bb5ecb26eff34222a35bd6b863876b5cc6eb92e3c71ea9ae0af193ef223df543 SHA1: 2821e0a1c473274247af1d31f9bc27f733ce42ec MD5sum: 80f8466cea44eca4c643328edde2994b Description: sans serif font family designed for on-screen readability The Cantarell font family is designed as a contemporary Humanist sans serif and is particularly designed for on-screen reading on mobile devices at small sizes, such as phones and tablets. . This is the open font officially chosen by default for the GNOME 3 desktop and for Fedora branding materials. . Regular and bold weights are provided for now. Italics are planned. . Each font file currently contains 391 glyphs, and fully support the following writing systems: Basic Latin, Western European, Catalan, Baltic, Turkish, Central European, Dutch and Afrikaans. Homepage: http://live.gnome.org/CantarellFonts Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-cantarell/fonts-cantarell_0.0.9-1_all.deb Package: fonts-century-catalogue Version: 001.001-5 Installed-Size: 120 Maintainer: Debian Fonts Task Force Architecture: all Size: 34222 SHA256: 29997cb34755276c870e680da56bd380fea1fa8b1dbdae1545c2e9def0ddf152 SHA1: a262ee25245968d8594f815f7dd0d59d024c28ac MD5sum: 6f1c5fa29493ad7b48fc676639881c62 Description: century-style font revival This font is currently the closest to release, with a decent glyph complement. It's a straightforward revival of a somewhat forgotten, but handsome and utilitarian, font from the ATF collection. It's obviously very similar to the familiar Century Oldstyle, but with more refined proportions and stroke. This version is based on the 18pt from the 1923 catalog. Homepage: http://www.levien.com/type/myfonts/ofl.html Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-century-catalogue/fonts-century-catalogue_001.001-5_all.deb Package: fonts-circos-symbols Source: circos Version: 0.61-3 Installed-Size: 63 Maintainer: Debian Med Packaging Team Architecture: all Replaces: otf-symbols-circos (<< 0.61-2) Provides: otf-symbols-circos Pre-Depends: dpkg (>= 1.15.6) Conflicts: otf-symbols-circos (<< 0.61-2) Size: 22314 SHA256: 74fc6f912ac9d030a1f8d742c0b6cfe96903b9b31e3b2113b1481f3a268ac23e SHA1: 8f42dc8440fc961a985ed0bdf59cab957590cbfd MD5sum: 2cea3b98e488221abbf425a91bf213fd Description: plotter for visualizing data - standard fonts Circos visualizes data in a circular layout — ideal for exploring relationships between objects or positions, and creating highly informative publication-quality graphics. . This package provides a set of fonts used by default with Circos. Homepage: http://circos.ca/ Section: fonts Priority: optional Filename: pool/main/c/circos/fonts-circos-symbols_0.61-3_all.deb Package: fonts-cmu Version: 0.7.0-2 Installed-Size: 15144 Maintainer: Debian Fonts Task Force Architecture: all Size: 7583320 SHA256: 88d5a9fd39e2d82ce843787d62f14a5d8339d2dedf537f9e81a19bbe70c55617 SHA1: 341a6d117cdcaf1a4828ed6e2e59efab2c204f1d MD5sum: d5087278adc3a52ebcfb5cc5999a458a Description: sets the computer modern unicode fonts Provides a set of Computer Modern Unicode fonts. It provides OpenType files. . They are based on the METAFONT version of the Computer fonts and contain many additional characters. . The fonts contain glyphs from Latin1, Cyrillic and Greek code sets. Homepage: http://sourceforge.net/projects/cm-unicode/ Tag: made-of::font, role::data Section: fonts Priority: optional Filename: pool/main/f/fonts-cmu/fonts-cmu_0.7.0-2_all.deb Package: fonts-comfortaa Version: 1.5-2 Installed-Size: 546 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-comfortaa (<< 1.5-2) Provides: ttf-comfortaa Conflicts: ttf-comfortaa (<< 1.5-2) Size: 272134 SHA256: 23f8398156c3b0be5398787a20c1749a8b3b1978a6ffed89c0403d51559cd6c5 SHA1: d3e103218c68da5198ea984fd9a54a0e50425024 MD5sum: f3fd58b6aeeb0f09d8b8641d698991c7 Description: stylish, modern true type font This is a geometric, rounded, sans serif font which reminds of bauhaus, but a bit more modern. The font comes in three weights, namely light, regular, and bold. Homepage: http://aajohan.deviantart.com/art/Comfortaa-font-105395949 Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-comfortaa/fonts-comfortaa_1.5-2_all.deb Package: fonts-cwtex-docs Source: fonts-cwtex Version: 1.0-2 Installed-Size: 488 Maintainer: Debian Fonts Task Force Architecture: all Size: 436308 SHA256: a953dc01d3073b18d95429a6b6e3a441af0bec2d0d45d54a99c95cafa4fd67f7 SHA1: bc0414d68a3e687031bc90a53e225faa8d3a16b9 MD5sum: 378929805779a0d7ca3b5c50fec60e39 Description: TrueType Font from cwTeX - example documents These cwttf TrueType fonts are transformed from cwTeX Traditional Chinese Type 1 fonts, and merge Alexej Kryukov's CM-LGC font and Koanughi Un's Un-Fonts. . This package provides preview documents for the fonts. Homepage: http://cle.linux.org.tw/fonts/cwttf/ Tag: role::documentation Section: doc Priority: optional Filename: pool/main/f/fonts-cwtex/fonts-cwtex-docs_1.0-2_all.deb Package: fonts-cwtex-fs Source: fonts-cwtex Version: 1.0-2 Installed-Size: 9164 Maintainer: Debian Fonts Task Force Architecture: all Suggests: fonts-cwtex-docs (= 1.0-2) Size: 6362332 SHA256: 11721ca682829f8d117b642b01c8cc2d73d77c700db2309eaf57ba2c2ca61bb9 SHA1: f4f85df87529e87e5ede691f7e429eec4a1f7d28 MD5sum: d102eabf12793edede5a192b7c911010 Description: TrueType Font from cwTeX - FangSong These cwttf TrueType fonts are transformed from cwTeX Traditional Chinese Type 1 fonts, and merge Alexej Kryukov's CM-LGC font and Koanughi Un's Un-Fonts. Homepage: http://cle.linux.org.tw/fonts/cwttf/ Tag: culture::chinese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-cwtex/fonts-cwtex-fs_1.0-2_all.deb Package: fonts-cwtex-heib Source: fonts-cwtex Version: 1.0-2 Installed-Size: 5356 Maintainer: Debian Fonts Task Force Architecture: all Suggests: fonts-cwtex-docs (= 1.0-2) Size: 3526764 SHA256: 811ad9e06fc9c02a4419c77828f2e735f35866ef4691782112e2e6a520a6fac9 SHA1: 97accbfb6e599251c4b33a4d395ad4b9bff3a6f8 MD5sum: 2c241715cfefc5c13cec8391dd1254ab Description: TrueType Font from cwTeX - HeiBold These cwttf TrueType fonts are transformed from cwTeX Traditional Chinese Type 1 fonts, and merge Alexej Kryukov's CM-LGC font and Koanughi Un's Un-Fonts. Homepage: http://cle.linux.org.tw/fonts/cwttf/ Tag: culture::chinese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-cwtex/fonts-cwtex-heib_1.0-2_all.deb Package: fonts-cwtex-kai Source: fonts-cwtex Version: 1.0-2 Installed-Size: 10452 Maintainer: Debian Fonts Task Force Architecture: all Suggests: fonts-cwtex-docs (= 1.0-2) Size: 6925338 SHA256: d64769b3202748538f728a9f0b440bfb997a122564eaddd9df783f7b6e67dbe7 SHA1: 1a195369812da11b5349e62dd2f195aa29c52b05 MD5sum: 8a99bdb3fb54c7e52f5480c68b3ec9b8 Description: TrueType Font from cwTeX - Kai These cwttf TrueType fonts are transformed from cwTeX Traditional Chinese Type 1 fonts, and merge Alexej Kryukov's CM-LGC font and Koanughi Un's Un-Fonts. Homepage: http://cle.linux.org.tw/fonts/cwttf/ Tag: culture::chinese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-cwtex/fonts-cwtex-kai_1.0-2_all.deb Package: fonts-cwtex-ming Source: fonts-cwtex Version: 1.0-2 Installed-Size: 11688 Maintainer: Debian Fonts Task Force Architecture: all Suggests: fonts-cwtex-docs (= 1.0-2) Size: 7662472 SHA256: 354884948b95214c444bd0ea94dd67462f8e30431bf736b76a21d0511dcf49da SHA1: 378a5cc42e374bf6ea66d5eef6daf2017034f842 MD5sum: feee2da57d3a748b96ef987f41af49f2 Description: TrueType Font from cwTeX - Ming These cwttf TrueType fonts are transformed from cwTeX Traditional Chinese Type 1 fonts, and merge Alexej Kryukov's CM-LGC font and Koanughi Un's Un-Fonts. Homepage: http://cle.linux.org.tw/fonts/cwttf/ Tag: culture::chinese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-cwtex/fonts-cwtex-ming_1.0-2_all.deb Package: fonts-cwtex-yen Source: fonts-cwtex Version: 1.0-2 Installed-Size: 7704 Maintainer: Debian Fonts Task Force Architecture: all Suggests: fonts-cwtex-docs (= 1.0-2) Size: 5273648 SHA256: c68e4a29f10c04d017073f8927c7dbe3e364cb8ece6db4acc73613f37253e1a6 SHA1: f1be9ba93a779bd0f641459642166474550688ea MD5sum: 8fb064b5120aedbb937f643b91faeb40 Description: TrueType Font from cwTeX - Yen These cwttf TrueType fonts are transformed from cwTeX Traditional Chinese Type 1 fonts, and merge Alexej Kryukov's CM-LGC font and Koanughi Un's Un-Fonts. Homepage: http://cle.linux.org.tw/fonts/cwttf/ Tag: culture::chinese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-cwtex/fonts-cwtex-yen_1.0-2_all.deb Package: fonts-dancingscript Version: 1.1-1 Installed-Size: 106 Maintainer: Debian Fonts Task Force Architecture: all Size: 43134 SHA256: 9e8da65b8f0489d43462951be051da537aec67885519c5d3f7ef6a9948c233c0 SHA1: 7fa2547af2af596480d7edbb8f2832b02b5104a6 MD5sum: f6101442e8c4768fc366c81ae3d0cedf Description: lively casual script with bouncing letters and size changes Dancing Script references popular scripts typefaces from the 50's. It relates to Murray Hill (Emil Klumpp. 1956) in its weight distribution, and to Mistral (Roger Excoffon. 1953) in its lively bouncing effect. . Use it when you want a friendly, informal and spontaneous look. Homepage: http://www.impallari.com/dancing/ Section: fonts Priority: optional Filename: pool/main/f/fonts-dancingscript/fonts-dancingscript_1.1-1_all.deb Package: fonts-dejima-mincho Version: 227-9 Installed-Size: 4000 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-dejima-mincho (<< 227-3) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-dejima-mincho (<< 227-3) Size: 2122152 SHA256: bdec424be99cddd7594d386a570186e1aef7584ce7b73265b223ccca52c2ad83 SHA1: bb4d43b891457441bf6b979be5f4d16ecb293ee6 MD5sum: 3c49137f858999f80a908258b4bd1fe9 Description: antique-looking Japanese TrueType Mincho font Dejima Mincho is Japanese TrueType Mincho font. . Its Kanji part is based on "Tsukiji 3gou" and Kana is based on "Tsukiji 4gou", these are known as "Tsukiji-tai" font, in Meiji-era. These old fonts are already under public domain. Homepage: http://code.google.com/p/dejima-fonts/ Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-dejima-mincho/fonts-dejima-mincho_227-9_all.deb Package: fonts-deva Version: 2:1.1 Installed-Size: 27 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-devanagari-fonts Depends: fonts-lohit-deva, fonts-sahadeva, fonts-nakula, fonts-deva-extra Breaks: ttf-devanagari-fonts (<< 2:1.0) Size: 2668 SHA256: dd86569e1478504646dfb59a097e48f819aebf213c47cc46c033e318267d8b10 SHA1: 87a079c0a905c2ef434ba11fb9dc876542085c6f MD5sum: 20b883e9f3c52fd19ccfebf97158b285 Description: Meta package to install all Devanagari fonts This package allows you to install all available Devanagari fonts in Debian. Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-deva/fonts-deva_1.1_all.deb Package: fonts-deva-extra Version: 2.0-2 Installed-Size: 3659 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-devanagari-fonts Breaks: ttf-devanagari-fonts (<< 2:1.0) Size: 1149244 SHA256: 4c245bfe48dc4d3c488eff5cc37f557074c04b7636663ca62ad7129be3afa0af SHA1: 9b340034553b74d574d15d20a44601ec579c36b7 MD5sum: 177362f54a7961e18ec9e0147fdb9565 Description: Free fonts for Devanagari script This package provides free fonts for Devanagari script. This script is used by languages such as Hindi, Kashmiri, Konkani, Marathi, Maithili, Nepali, Sanskrit, and Sindhi languages. Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-deva-extra/fonts-deva-extra_2.0-2_all.deb Package: fonts-dkg-handwriting Source: dkg-handwriting Version: 0.15-1 Installed-Size: 341 Maintainer: Daniel Kahn Gillmor Architecture: all Replaces: ttf-fifthhorseman-dkg-handwriting (<< 0.14) Provides: ttf-fifthhorseman-dkg-handwriting Recommends: fontconfig Conflicts: ttf-fifthhorseman-dkg-handwriting (<< 0.14) Size: 221604 SHA256: 37712ecbf2dcf191c948d3e43a2034ad1cedfc1a483be6ff1880bc89d99b1d99 SHA1: 2cdc1b5a107677984458bfdcc5d6c0f53ceaa276 MD5sum: 02ee6d708e642444a57b104c6935068d Description: font that imitates Daniel Kahn Gillmor's handwriting This is a goofy TrueType font that mimics Daniel Kahn Gillmor's handwriting. It covers charset ISO-8859-1 plus some extra characters, and it includes bold, italic, and bold italic variants. Homepage: http://cmrg.fifthhorseman.net/wiki/fonts Section: fonts Priority: optional Filename: pool/main/d/dkg-handwriting/fonts-dkg-handwriting_0.15-1_all.deb Package: fonts-dosis Version: 1.7-1 Installed-Size: 442 Maintainer: Debian Fonts Task Force Architecture: all Size: 260426 SHA256: 54c485fc720865547f4535189d0d7d7c2d2f18a599aa710e048a9ebb7f7a84c9 SHA1: 10eb94f7f11aa67bf89c1e26047f8c848a6c1d61 MD5sum: 90ff6a3bfb0b366828863468057b6bf9 Description: very simple, rounded, sans serif font family The Dosis font comes in 7 incremental weights: ExtraLight, Light, Book, Medium, Semibold, Bold & ExtraBold. The lighter weights are minimalist. The bolder weights have more personality. The medium weight is nice and balanced. The overall result is a family that's clean and modern, and can express a wide range of voices & feelings. Homepage: http://www.impallari.com/dosis/ Section: fonts Priority: optional Filename: pool/main/f/fonts-dosis/fonts-dosis_1.7-1_all.deb Package: fonts-droid Version: 20111207+git-1 Installed-Size: 8893 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-droid (<< 20101110+git-2) Provides: ttf-droid Breaks: ttf-droid (<< 20101110+git-2) Size: 4312492 SHA256: 55b30df3feb126ed9fa15cc4e1ba5c987b0613bbfcded2cd952a907d316401d4 SHA1: d464752c16de1521e49035952eaaf25ec1b45a20 MD5sum: 4730e62d42c7ee8ba2bdd50b13310347 Description: handheld device font with extensive style and language support The Droid family of fonts consists of Droid Sans (Regular and Bold), Droid Sans Mono (Regular) and Droid Serif (Regular, Bold, Italic and BoldItalic). . Each contains extensive character set coverage including Western Europe, Eastern/Central Europe, Baltic, Cyrillic, Greek and Turkish support. The Droid Sans regular font also includes support for Arabic, Simplified and Traditional Chinese, Hebrew, Japanese, Korean and Thai. . Droid was designed by Ascender's Steve Matteson and hinted to provide optimal quality for screen text. Homepage: http://www.droidfonts.com/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-droid/fonts-droid_20111207+git-1_all.deb Package: fonts-dustin Version: 20030517-9 Installed-Size: 1252 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-dustin (<< 20030517-8) Provides: ttf-dustin Conflicts: ttf-dustin (<< 20030517-8) Size: 621356 SHA256: a9ef717b68077c2da0f3377cceb3352f7b94bd7f7cff5d4122a6d2606b9b8951 SHA1: a7747bd292654ed5e58b1527b09781f5dbf0cf75 MD5sum: e8cdf329b36db15509158639b0b62c92 Description: Various TrueType fonts from dustismo.com This package contains various fonts from dustismo.com licensed under the GPL. . Dustismo and Dustismo Roman are general purpose Sans Serif and Roman TrueType fonts (with bold, italic and bold-italic variations), and contain all the European Latin characters. . The other fonts are beautiful for special decorations and headlines, but they are limited to subsets of ASCII... Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-dustin/fonts-dustin_20030517-9_all.deb Package: fonts-dzongkha Version: 0.3-7 Installed-Size: 2296 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-dzongkha (<< 0.3-7) Provides: ttf-dzongkha Conflicts: ttf-dzongkha (<< 0.3-7) Size: 844178 SHA256: 1a80b98764289d8715e061327fa5fcaac365e901ab6f002552d817417510769e SHA1: 9bd3db494e156f2d308376570a6701e36de61908 MD5sum: 42a78b05c3cb4e10dd2ac4d4667cdb3c Description: TrueType fonts for Dzongkha language This package includes fonts that are suitable for the display of the Dzongkha language (national language of Bhutan). Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-dzongkha/fonts-dzongkha_0.3-7_all.deb Package: fonts-ecolier-court Version: 1.00-4 Installed-Size: 144 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-ecolier-court (<< 1.00-4) Provides: ttf-ecolier-court Conflicts: ttf-ecolier-court (<< 1.00-4) Size: 32788 SHA256: a5b4570c7f9775450507ac39eaa2b5f0ec452e9cbaafc99a720627b5e0d8bed6 SHA1: 6f597f327374325be33b49a37c2b5aa44d250a98 MD5sum: e12f38ae538c34cd5912fa3629236683 Description: cursive roman font with small descenders This package provides a cursive font covering the basic latin range with a ink and dip pen style. This version includes small descenders. Such fonts are widely used in education settings. Homepage: http://perso.orange.fr/jm.douteau/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-ecolier-court/fonts-ecolier-court_1.00-4_all.deb Package: fonts-ecolier-lignes-court Version: 1.00-5 Installed-Size: 121 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-ecolier-lignes-court (<< 1.00-4) Provides: ttf-ecolier-lignes-court Conflicts: ttf-ecolier-lignes-court (<< 1.00-4) Size: 37790 SHA256: ed3ffd0549302fc258735c76ad38b3a190aba698d0779acb707938f6866bb766 SHA1: 5b55843d7c1c22f164a44ceb8dfb906f9ec84b9e MD5sum: 87d56bec1e5b247e9d091f771f2e0ff9 Description: cursive roman font (with réglure Seyès and small descenders) This package provides a cursive font covering the basic latin range with a ink and dip pen style. This version includes réglure Seyès and small descenders. Such fonts are widely used in education settings. Homepage: http://perso.orange.fr/jm.douteau/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-ecolier-lignes-court/fonts-ecolier-lignes-court_1.00-5_all.deb Package: fonts-eeyek Version: 1.0-1 Installed-Size: 51 Maintainer: Debian-IN Team Architecture: all Size: 12228 SHA256: ecb398a48a928a9c3e3cdcd948e917bca41944427886d88b45809359814d72dc SHA1: 931b23ce1e8cf427e1da86e64eb3d86dad02a839 MD5sum: 7f63ba9a0248b6e1cc03ab048d8fdbdd Description: Eeyek TrueType font for Meetei Mayek script Eeyek TrueType is font for Meetei Mayek script which was used to write Manipuri till the 18th century. Recent research has resurrected this script, and it is now being given its due place. Homepage: http://tabish.freeshell.org/eeyek/ Section: fonts Priority: optional Filename: pool/main/f/fonts-eeyek/fonts-eeyek_1.0-1_all.deb Package: fonts-evertype-conakry Version: 0.002+source-2 Installed-Size: 342 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-evertype-conakry (<< 0.002+source-1) Provides: ttf-evertype-conakry Suggests: libgraphite3, pango-graphite Conflicts: ttf-evertype-conakry (<< 0.002+source-1) Size: 99690 SHA256: 21c3ac1673e36a8bd0f9fe88f47c88c48bc30cc77ccd6b17281ccd7773866ed8 SHA1: 123300b1d401a656894826a75c307044d3853e88 MD5sum: 2a27fa22de27629eaa804d567bad90d3 Description: smart Graphite font for N'Ko The Conakry font is a smart font using a Graphite description for the N'Ko script used by Mande Language communities from West Africa. Homepage: http://www.evertype.com/fonts/nko/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-evertype-conakry/fonts-evertype-conakry_0.002+source-2_all.deb Package: fonts-f500 Version: 1.0-3 Installed-Size: 51 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-f500 (<< 1.0-2) Provides: ttf-f500 Conflicts: ttf-f500 (<< 1.0-2) Size: 8744 SHA256: 3b3939a5e3b9043da2944ab38642423d03332df62d323748959733139074f23c SHA1: d99f62299635a666b0b46d89a3baf21b21c66677 MD5sum: b9e29d70d4c0f728242fee3bed909a3c Description: Wipeout 3 Font This is the font similar to the one used in the Sony PlayStation video game "Wip3out". Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-f500/fonts-f500_1.0-3_all.deb Package: fonts-fanwood Version: 1.1-2 Installed-Size: 492 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-fanwood (<< 1.1-2) Provides: ttf-fanwood Conflicts: ttf-fanwood (<< 1.1-2) Size: 245780 SHA256: 1c021bd8aab5242436c8674f2a04e51d96d23f90c14c4f0e6481e3966ddb2f43 SHA1: 33e4fb99186389976e40ded9829a8be15a8b6304 MD5sum: 091444283f0ea76a30facc2ed293f782 Description: font similar to the Fairfield font This is an old style serif typeface. Its design is rooted in the forms of Venetian Old Face types. Homepage: http://crudfactory.com/font/show/fanwood Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-fanwood/fonts-fanwood_1.1-2_all.deb Package: fonts-farsiweb Version: 0.4.dfsg-11 Installed-Size: 294 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-farsiweb (<< 0.4.dfsg-10) Provides: ttf-farsiweb Conflicts: ttf-farsiweb (<< 0.4.dfsg-10) Size: 137204 SHA256: a41c03c8caed5a782e69c53224657284b42600aea932e5f1b6d8dbc4e04305d2 SHA1: 40870e4a860a2c485e01baabc0d28a7af20386a8 MD5sum: 85dec021ef5f08d12cc2b6b2bb6c1673 Description: free TrueType fonts for Persian language The package provides a standard set of Unicode Persian (Farsi) fonts, including Titr, Nazli, Nazli Bold, and Homa. Tag: culture::farsi, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-farsiweb/fonts-farsiweb_0.4.dfsg-11_all.deb Package: fonts-freefarsi Version: 1.0.0~beta1-6 Installed-Size: 280 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-gfs-artemisia (<< 1.0.0~beta1-5) Provides: ttf-gfs-artemisia Conflicts: ttf-gfs-artemisia (<< 1.0.0~beta1-5) Size: 169040 SHA256: 06682ccda2a1ce437d4979221effb68c4c1a342d3039e92e7cba764cd73b2b74 SHA1: f4f9cf995f534cb3c07b14c47a0960fc1a9083f4 MD5sum: 66a54c580cb2b85a7b6cc54c6af0cf89 Description: FreeFarsi TrueType Persian fonts FPF project aims to provide a free TrueType Persian font covering its part of ISO 10464/Unicode UCS (Universal Characters Set). Homepage: http://fpf.sourceforge.net Tag: culture::farsi, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-freefarsi/fonts-freefarsi_1.0.0~beta1-6_all.deb Package: fonts-freefont-otf Source: fonts-freefont Version: 20120503-1 Installed-Size: 6962 Maintainer: Debian Fonts Task Force Architecture: all Replaces: otf-freefont (<< 20120503-1) Provides: otf-freefont Breaks: otf-freefont (<< 20120503-1) Size: 4428078 SHA256: 1cda9eae747b0aa9e6267a6cd03b0393b43c8f985679496e53ca7eb28c5d409e SHA1: f00b8d0d95c2bb11c297ce357f5eaa24141babec MD5sum: 8a6281d54b19cc78804465117270416d Description: Freefont Serif, Sans and Mono OpenType fonts Freefont is a set of free high-quality OpenType fonts covering the UCS character set. These fonts are similar to the widely known Helvetica, Times and Courier fonts. Homepage: http://savannah.gnu.org/projects/freefont/ Section: fonts Priority: optional Filename: pool/main/f/fonts-freefont/fonts-freefont-otf_20120503-1_all.deb Package: fonts-freefont-ttf Source: fonts-freefont Version: 20120503-1 Installed-Size: 10720 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-freefont (<< 20120503-1) Provides: ttf-freefont Breaks: ttf-freefont (<< 20120503-1) Size: 6024292 SHA256: 5be57772208ad72bab3c162c75635f68ad5405649618bc7999e66e460bf87730 SHA1: c9d84e9bfeb030e8258c30f14fee60fedf1e38be MD5sum: 4c2cfdc011a35669758dc4a79c656d4d Description: Freefont Serif, Sans and Mono Truetype fonts Freefont is a set of free high-quality TrueType fonts covering the UCS character set. These fonts are similar to the widely known Helvetica, Times and Courier fonts. Homepage: http://savannah.gnu.org/projects/freefont/ Section: fonts Priority: optional Filename: pool/main/f/fonts-freefont/fonts-freefont-ttf_20120503-1_all.deb Package: fonts-gfs-artemisia Version: 1.1-4 Installed-Size: 564 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-gfs-artemisia (<< 1.1-3) Provides: ttf-gfs-artemisia Conflicts: ttf-gfs-artemisia (<< 1.1-3) Size: 338772 SHA256: fdd32000b28b3558efe684e0102f1335518a630db6f315becaf2b314ed0fb5a5 SHA1: fbc37d355f9572132953b92c414c8bb80e1425bd MD5sum: 45fe8467202579792b0705c95ef53e15 Description: greek font (Times Greek-like) The type family GFS Artemisia was designed by the painter-engraver Takis Katsoulidis and reflects his style and typographic acumen. It is largely his effort to offer, from a different perspective, a type face which, like Times Greek, would be applicable to a wide spectrum of uses and equally agreeable and legible. The typeface has been digitised by George D. Matthiopoulos. Homepage: http://www.greekfontsociety.gr/ Tag: culture::greek, iso15924::grek, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-gfs-artemisia/fonts-gfs-artemisia_1.1-4_all.deb Package: fonts-gfs-baskerville Version: 1.1-4 Installed-Size: 130 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-gfs-baskerville (<< 1.1-3) Provides: ttf-gfs-baskerville Conflicts: ttf-gfs-baskerville (<< 1.1-3) Size: 48956 SHA256: 371560f980b6d2ddc518b2d161ae9eadd84458c342c134269c0d8e6c3141e597 SHA1: 0d309673d3da4b646b12530a1a2774c2dec072f6 MD5sum: 5a662d0a0bd447c16229311f9fa7745a Description: ancient Greek font revival John Baskerville (1706-1775) got involved in typography late in his career but his contribution was significant. He was a successful entrepreneur and possessed an inquiring mind which he applied to produce many aesthetic and technical innovations in printing. He invented a new ink formula, a new type of smooth paper and made various improvements in the printing press. He was also involved in type design which resulted in a latin typeface which was used for the edition of Virgil, in 1757. The quality of the type was admired throughout of Europe and America and was revived with great success in the early 20th century. Baskerville was also involved in the design of a Greek typeface which he used in an edition of the New Testament for Oxford University, in 1763. He adopted the practice of avoiding the excessive number of ligatures which Alexander Wilson had started a few years earlier but his Greek types were rather narrow in proportion and did not win the sympathy of the philologists and other scholars of his time. They did influence, however, the Greek types of Giambattista Bodoni. and through him Didot's Greek in Paris. The typeface has been digitally revived as GFS Baskerville Classic by Sophia Kalaitzidou and George D. Matthiopoulos and is now available as part of GFS' type library. Homepage: http://www.greekfontsociety.gr/ Tag: culture::greek, iso15924::grek, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-gfs-baskerville/fonts-gfs-baskerville_1.1-4_all.deb Package: fonts-gfs-bodoni-classic Version: 1.1-4 Installed-Size: 131 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-gfs-bodoni-classic (<< 1.1-3) Provides: ttf-gfs-bodoni-classic Conflicts: ttf-gfs-bodoni-classic (<< 1.1-3) Size: 54094 SHA256: 8a9ec4266f83e6a6b0d2184f4889cf28cbd8a52b72e0883558f585bcd017a64e SHA1: 3c79d44071ce351c60aba6f6c378e04872658167 MD5sum: 4925dfa49ec8b31eebe39abade7167b8 Description: smart greek typeface revival Giambattista Bodoni was the most prolific Italian typecutter of the 18th century. He was among the first European typecutters to move away from the byzantine cursive tradition with the numerous ligatures which was the norm until then. His Greek types influenced many subsequent designers, yet they fell in disuse by the middle of the 19th century. GFS presented Bodoni's original Greek typeface in the commemorative edition of Pindar's Olympian Odes (2004), in digital version by George D. Matthiopoulos, and is now available for the general public. In the OpenType features, under ligatures, one may alternately use diphthongs with the accents placed in between the characters, as Giambattista Bodoni did when setting greek texts. Homepage: http://www.greekfontsociety.gr/ Tag: culture::greek, iso15924::grek, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-gfs-bodoni-classic/fonts-gfs-bodoni-classic_1.1-4_all.deb Package: fonts-gfs-complutum Version: 1.1-5 Installed-Size: 121 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-gfs-complutum (<< 1.1-4) Provides: ttf-gfs-complutum Conflicts: ttf-gfs-complutum (<< 1.1-4) Size: 47870 SHA256: e4044401550dce89f054d523a2cb645ccde347940caecaa5b4ca2fbcff4f2085 SHA1: fe47cef3eedbc443bebbfec1202dd6ed54f06625 MD5sum: 4e7715f63d28a4b95b94ca40a9c57115 Description: ancient Greek font revival from the University of Alcalá, Spain Greek Font Society incorporated Brocar's famous and distinctive type in the commemorative edition of Pindar's Odes for the Athens Olympics (2004) and the type with a new set of capitals, revived digitaly by George D. Matthiopoulos, is now available for general use. Homepage: http://www.greekfontsociety.gr/ Tag: culture::greek, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-gfs-complutum/fonts-gfs-complutum_1.1-5_all.deb Package: fonts-gfs-didot Version: 1.1-5 Installed-Size: 597 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-gfs-didot (<< 1.1-3) Provides: ttf-gfs-didot Conflicts: ttf-gfs-didot (<< 1.1-3) Size: 371328 SHA256: 8881c9c86efe7f94feb17a9b92534548fb4b21c4ee8e92f41973e86b8d1fed7a SHA1: 3df3680b6ac9c768af74a2681f131fbd4f34bdfb MD5sum: fee042890fe0ce2efb077a21468dfff5 Description: greek font family (Didot revival) Didot's type was the base for a new font, GFS Didot (1994) which was designed by Takis Katsoulidis, and digitised by George Matthiopoulos. The typeface is accompanied by a matching latin alphabet based on Hermann Zapf's Palatino. Homepage: http://www.greekfontsociety.gr/ Tag: culture::greek, iso15924::grek, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-gfs-didot/fonts-gfs-didot_1.1-5_all.deb Package: fonts-gfs-didot-classic Version: 1.1-4 Installed-Size: 126 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-gfs-didot-classic (<< 1.1-3) Provides: ttf-gfs-didot-classic Conflicts: ttf-gfs-didot-classic (<< 1.1-3) Size: 51726 SHA256: 4bdcf263dca15a0ab86dac80aaf80efa6c866f198e03b51aff6a2e8f3262e9bc SHA1: 7cd1a1f710c7d8bd4c6faffe2b19c53d495ead96 MD5sum: 6ad3424185b7f142e0f48b80679948cf Description: greek font family (Classic Didot revival) Under the influence of the neoclassical ideals of the late 18th century, the famous French typecutter Firmin Didot in Paris designed a new Greek typeface (1805) which was immediately used in the publishing programme of Adamantios Korai, the prominent intellectual figure of the Greek diaspora and leading scholar of the Greek Enlightenment. The typeface eventually arrived in Greece, with the field press which came with Didot’s grandson Ambroise Firmin Didot, during the Greek Revolution in 1821. . Since then the typeface has enjoyed an unrivaled success as the type of choice for almost every kind of publication until the last decades of the 20th century. Didot's original type design, as it is documented in publications during the first decades of the 19th century, was digitized and revived by George D. Matthiopoulos in 2006 for a project of the Department of Literature in the School of Philosophy at the University of Thessaloniki, and is now available for general use. Homepage: http://www.greekfontsociety.gr/ Tag: culture::greek, iso15924::grek, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-gfs-didot-classic/fonts-gfs-didot-classic_1.1-4_all.deb Package: fonts-gfs-gazis Version: 1.1-4 Installed-Size: 115 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-gfs-gazis (<< 1.1-3) Provides: ttf-gfs-gazis Conflicts: ttf-gfs-gazis (<< 1.1-3) Size: 51782 SHA256: 5b43d3303c0e2204de9f1074c7d636211b7e7a55a39bf398837d6042d5d9e1e0 SHA1: 10db5e7f9bac6982fe24500713eb99536d9531de MD5sum: 5bf04efdcd825f67f1b9b59737a1fa4c Description: ancient Greek font (Byzantine cursive hand style) During the whole of the 18th century the old tradition of using Greek types designed to conform to the Byzantine cursive hand with many ligatures and abbreviations - as it was originated by Aldus Manutius in Venice and consolidated by Claude Garamont (Grecs du Roy) - was still much in practice, although clearly on the wane. GFS Gazis is a typical German example of this practice as it appeared at the end of that era in the 1790's. Its name pays tribute to Anthimos Gazis (1758-1828), one of the most prolific Greek thinkers of the period, who was responsible for writing, translating and editing numerous books, including the editorship of the important Greek periodical Ερμής ο Λόγιος (Litterary Hermes) in Wien. GFS Gazis has been digitally designed by George D. Matthiopoulos. Homepage: http://www.greekfontsociety.gr/ Tag: culture::greek, iso15924::grek, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-gfs-gazis/fonts-gfs-gazis_1.1-4_all.deb Package: fonts-gfs-neohellenic Version: 1.1-4 Installed-Size: 471 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-gfs-neohellenic (<< 1.1-3) Provides: ttf-gfs-neohellenic Conflicts: ttf-gfs-neohellenic (<< 1.1-3) Size: 281996 SHA256: 9145efd9beec35d79f7e3b4da65e02b5583063c2eb53f11b8aa415be21d3054a SHA1: 6a332e8a547ef8ebe34c010d1c84b0e048e3aa82 MD5sum: fe22abf2bd2623d191edacdfb39c4cbf Description: new Greek font family with matching Latin The design of new Greek typefaces always followed the growing needs of the Classical Studies in the major European Universities. Furthermore, by the end of the 19th century bibliology had become an established section of Historical Studies, and, as John Bowman commented, the prevailing attitude was that Greek types should adhere to a lost idealized, yet undefined, greekness of yore. Especially in Great Britain this tendency remained unchallenged in the first decades of the 20th century, both by Richard Proctor, curator of the incunabula section in the British Museum Library and his successor Victor Scholderer. In 1927, Scholderer, on behalf of the Society for the Promotion of Greek Studies, got involved in choosing and consulting the design and production of a Greek type called New Hellenic cut by the Lanston Monotype Corporation. He chose the revival of a round, and almost monoline type which had first appeared in 1492 in the edition of Macrobius, ascribable to the printing shop of Giovanni Rosso (Joannes Rubeus) in Venice. New Hellenic was the only successful typeface in Great Britain after the introduction of Porson Greek well over a century before. The type, since to 1930’s, was also well received in Greece, albeit with a different design for Ksi and Omega. GFS digitized the typeface (1993-1994) funded by the Athens Archeological Society with the addition of a new set of epigraphical symbols. Later (2000) more weights were added (italic, bold and bold italic) as well as a latin version. Homepage: http://www.greekfontsociety.gr/ Tag: iso15924::grek, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-gfs-neohellenic/fonts-gfs-neohellenic_1.1-4_all.deb Package: fonts-gfs-olga Version: 1.1-3 Installed-Size: 108 Maintainer: Debian Fonts Task Force Architecture: all Size: 36022 SHA256: b3f627e3615137e55cd43e25418ca28a72442f42c4ad2f59d153896b31c8c236 SHA1: 7d8432e340c89da374652d8ab4d7b2955b73212c MD5sum: ee2fb66c464694d2dea0cbc645f983bf Description: ancient Greek oblique font revival (companion to GFS Didot) In Greece the terms italic and oblique have the same meaning since they are borrowed from the latin typographic practice without any real historical equivalent in Greek history. Until the end of the 19th century Greek typefaces were cut and cast independently, not as members of a typefamily. The mechanisation of typecutting allowed the transformation of upright Greek typefaces to oblique designs. Nonetheless, the typesetting practice of a cursive Greek font to complement an upright one did not survive the 19th century. The experimental font GFS Olga (1995) attempts to revive this lost tradition. The typeface was designed and digitised by George Matthiopoulos, based on the historical Porson Greek type (1803) with the intention to be the companion of the upright GFS Didot font whenever there is a need for an italic alternative. Homepage: http://www.greekfontsociety.gr/ Tag: culture::greek, iso15924::grek, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-gfs-olga/fonts-gfs-olga_1.1-3_all.deb Package: fonts-gfs-porson Version: 1.1-5 Installed-Size: 88 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-gfs-porson (<< 1.1-4) Provides: ttf-gfs-porson Conflicts: ttf-gfs-porson (<< 1.1-4) Size: 36086 SHA256: 82dc014d1f94bdf663b7f227de973d0476a234466c5d27e8a228055283a836ad SHA1: 0cfea86cb1c0afd9ebb3d7887178485d0fed118e MD5sum: 9b43e7edd597cd645596182028174e3f Description: Greek font (Porson revival) In England, during the 1790's, Cambridge University Press decided to procure a new set of Greek types. The university’s great scholar of Classics, Richard Porson was asked to produce a typeface based on his handsome handwriting and Richard Austin was commissioned to cut the types. The type was completed in 1808, after the untimely death of Porson the previous year. Its success was immediate and since then the classical editions in Great Britain and the U.S.A. use it, almost invariably. In 1913, Monotype released the typeface with some corrections, notably replacing the upright capitals suggested by Porson with inclined ones. In Greece the typeface was used under the name Pelasgika type. GFS Porson is based on the Monotype version, though using upright capitals, as in the original. Homepage: http://www.greekfontsociety.gr/ Tag: iso15924::grek, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-gfs-porson/fonts-gfs-porson_1.1-5_all.deb Package: fonts-gfs-solomos Version: 1.1-4 Installed-Size: 106 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-gfs-solomos (<< 1.1-3) Provides: ttf-gfs-solomos Conflicts: ttf-gfs-solomos (<< 1.1-3) Size: 46128 SHA256: f0609a1e13dd6e592388132903fe05db7d76123516e9c1afbee29372e692346b SHA1: 7078d33b1fec97cf87edee736023106e2b6ce9e5 MD5sum: 08dd8f9bb40b55e48c450681630a4428 Description: ancient Greek oblique font From the middle of the 19th century an italic font with many calligraphic overtones was introduced into Greek printing. Its source is unknown, but it almost certainly was the product of a German or Italian foundry. In the first type specimen printed in Greece by the typecutter K. Miliadis (1850), the font was listed anonymously along others of 11pts and in the Gr. Doumas' undated specimen appeared as «11pt Greek inclined». For most of the second half of the century the type was used extensively as an italic for emphasis in words, sentences or exerpts. In 1889, the folio size Type Specimen of Anestis Konstantinidis' publishing, printing and type founding establishment also included the type as «Greek inclined [9 & 12 pt]». . Nevertheless, the excessively calligraphic style of the characters, combined with the steep and uncomfortable obliqueness of the capitals, was out of favour in the 20th century and the type did not survive the conformity of the mechanical type cutting and casting. The font has been digitally revived, as part of our typographic tradition, by George D. Matthiopoulos and is part of GFS' type library under the name GFS Solomos, in commemoration of the great Greek poet of the 19th century, Dionisios Solomos. Homepage: http://www.greekfontsociety.gr/ Tag: iso15924::grek, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-gfs-solomos/fonts-gfs-solomos_1.1-4_all.deb Package: fonts-gfs-theokritos Version: 1.1-4 Installed-Size: 201 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-gfs-theokritos (<< 1.1-3) Provides: ttf-gfs-theokritos Conflicts: ttf-gfs-theokritos (<< 1.1-3) Size: 73098 SHA256: b5db87f608a4700b75942838bec93600f1f5c6a6043a8e9bbf483662e37988ba SHA1: 64b1b0ace1f8de17385e95744445c2fdd2fa62ee MD5sum: 3b1e2d08928dfb52a81f30921b084abe Description: decorative Greek font In the late 50's Yannis Kefallinos (1894-1958) designed and published an exquisite book with engraved illustrations of the ancient white funerary pottery in Attica in collaboration with Varlamos, Montesanto, Damianakis. . Its complex and heavily decorative design does point to aesthetic codes which preoccupied his artistic expression and, although impractical for contemporary text setting, it remains an original display face, or it can be used as initials. The book design workshop of the Fine Arts School of Athens has been recently reorganised, under the direction of professor Leoni Vidali, and with her collaboration George D. Matthiopoulos has redesigned digitaly this historical font which is now available as GFS Theokritos. Homepage: http://www.greekfontsociety.gr/ Tag: iso15924::grek, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-gfs-theokritos/fonts-gfs-theokritos_1.1-4_all.deb Package: fonts-gubbi Version: 1.0-3 Installed-Size: 182 Maintainer: Debian-IN Team Architecture: all Size: 55984 SHA256: a29a984595d5fa763b09d47154b3b65f3ea78fb1c1192f7590e528a8928dfcc1 SHA1: 97170139c008ab022227233bd99fa4b74c454ed2 MD5sum: ce1ae276e4fb17ee38f02cd84c7a3770 Description: Gubbi Kannada font This package provides a font for Kannada script (kn) which is primarily used in the Indian state of Karnataka. Homepage: https://github.com/aravindavk/Gubbi Tag: iso15924::knda, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-gubbi/fonts-gubbi_1.0-3_all.deb Package: fonts-gujr Version: 2:1.1 Installed-Size: 27 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-gujrati-fonts Depends: fonts-lohit-gujr, fonts-samyak-gujr, fonts-gujr-extra Breaks: ttf-gujrati-fonts (<< 2:1.0) Size: 2630 SHA256: bf48f568858d50d9c3da91871a6d5864d77964a3381d1eb749a8afd0a38bcf4b SHA1: 2ffe552598bbaf796589b15e247c6638d6f6b7fd MD5sum: 5e50ee2a51a387ad5b43c2d2f09a0fe4 Description: Meta package to install all Gujarati fonts This package allows you to install all available Gujarati fonts in Debian. Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-gujr/fonts-gujr_1.1_all.deb Package: fonts-gujr-extra Version: 1.0-2 Installed-Size: 417 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-gujarati-fonts Breaks: ttf-gujarati-fonts (<< 2:1.0) Size: 197600 SHA256: e7a7c9b7ac7d2a6abab659999b214c14688e0a33657e3887edb980cb7ed8419b SHA1: 5649ab5872d636df53d095c01b9b93d1fdae9d40 MD5sum: 94065eed867a1f764593e86235a99aae Description: Free fonts for Gujarati script This package provides free fonts for Gujarati script which is primarily used in the Indian state of Gujarat. . It includes following fonts: * Aakar * Padmaa * Rekha Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-gujr-extra/fonts-gujr-extra_1.0-2_all.deb Package: fonts-guru Version: 2:1.1 Installed-Size: 27 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-punjabi-fonts Depends: fonts-lohit-guru, fonts-guru-extra Breaks: ttf-punjabi-fonts (<< 2:1.0) Size: 2654 SHA256: d7ae5b9b889ec3cd9565646b2a58592c811eb8a5fad5867d07bca543a8e464c8 SHA1: 732fd0458605c358713f556b61eac1dc11165510 MD5sum: efae023ee30290f83e93c6ab75aa9ef9 Description: Meta package to install all Punjabi fonts This package allows you to install all available Punjabi fonts in Debian. Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-guru/fonts-guru_1.1_all.deb Package: fonts-guru-extra Version: 2.0-2 Installed-Size: 168 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-punjabi-fonts Breaks: ttf-punjabi-fonts (<< 2:1.0) Size: 52556 SHA256: 2ffb64df55dfb37028ae53ecdf25629d579b05900bd3dc947371c7ab3e81c2e8 SHA1: ae4f675eed9906a8959bf453e54f091dc38ac594 MD5sum: 0de5c40974ad5b1a75e62895293d5224 Description: Free fonts for Punjabi language Fonts for the Punjabi language which is spoken in the Punjab region of India and Pakistan. Fonts in this package use the Gurmukhi script. In Pakistan, Punjabi is written using Arabic script. The Devanagari script is also sometimes used in India. . Currently only font in this package is, Saab. Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-guru-extra/fonts-guru-extra_2.0-2_all.deb Package: fonts-hanazono Version: 20120421-1.1 Installed-Size: 42105 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-hanazono (<< 20110516-2) Provides: ttf-japanese-mincho Conflicts: ttf-hanazono (<< 20110516-2) Size: 16952350 SHA256: 89330d91dae1922415c952fe0639aaef8e937871869204e71a31be18b06cce06 SHA1: e9a6827c0a2ac4b3e4a2e9ef10f6563d7dd00467 MD5sum: 42a247362dce7894a91bad813a847ec9 Description: Japanese TrueType mincho font by KAGE system and FontForge This font is Japanese KANJI free font. The KAGE system and FontForge are used for the generation of this font. Collected glyph uses all the data registered in glyphwiki. Homepage: http://fonts.jp/hanazono/ Tag: culture::japanese, iso15924::jpan, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-hanazono/fonts-hanazono_20120421-1.1_all.deb Package: fonts-horai-umefont Version: 440-3 Installed-Size: 86446 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-umefont (<< 426-1) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-umefont (<< 426-1) Size: 5910346 SHA256: a4b1a901bf553b174d50125c15cf5ced763812943285b2a0a4c95f57dde3ddec SHA1: 9d97f712a6b33b5e1b77211a51ed3ad0e18ec51f MD5sum: 0034bb97f1a823185613effd7172d384 Description: Japanese TrueType font, Ume-font Umefont is Japanese TrueType font, it consists of below 18 fonts * Ume Gothic (Original, O5, C4, C5, S4, S5) * Ume P Gothic (Original, O5, C4, C5, S4, S5) * Ume UI Gothic (Original, O5) * Ume Mincho (Original, S3) * Ume P Mincho (Original, S3) Homepage: http://sourceforge.jp/projects/ume-font/wiki/FrontPage Tag: culture::japanese, made-of::font, role::data Section: fonts Priority: optional Filename: pool/main/f/fonts-horai-umefont/fonts-horai-umefont_440-3_all.deb Package: fonts-hosny-amiri Version: 0.103-1 Installed-Size: 2501 Maintainer: Debian Fonts Task Force Architecture: all Replaces: font-hosny-amiri (<< 0.009) Provides: font-hosny-amiri Size: 611418 SHA256: 2f60a6b01dc0dc45c625f17d848f8794ab75c90dd7b720adf1bbec7ad4c58682 SHA1: 700ed7b88f0ebad62c894dccb0aa93f7eddefefe MD5sum: 9dabcbcde11458f07df817d11f0bec6e Description: Arabic Naskh style typographically oriented font Amiri is a classical Arabic typeface in Naskh style for typesetting books and other running text. . Its design is a revival of the beautiful typeface pioneered in early 20th century by Bulaq Press in Cairo, also known as Amiria Press, after which the font is named. . Amiri font covers the full Unicode 6.0 Arabic and Arabic Supplement blocks, as well as the compatibility Arabic Presentation Forms-A and B blocks. Homepage: http://www.amirifont.org Tag: culture::arabic, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-hosny-amiri/fonts-hosny-amiri_0.103-1_all.deb Package: fonts-hosny-thabit Version: 0.02-1 Installed-Size: 584 Maintainer: Debian Fonts Task Force Architecture: all Size: 243214 SHA256: e9dc83a307319faf96f38b9df14b7042bd4ff8612c7c39d242f94881cb812c46 SHA1: a6b1ff263c0a280e656b4158cbf76d7aa2cb43e1 MD5sum: 29c27fe8a4c9740868790568b14a2aea Description: fixed width OpenType Arabic fonts Thabit (from Arabic ثابت; fixed) is a fixed width OpenType font family that is supports Arabic script. It is developed by Arabeyes.org as part of Khotot project. . Currently supported languages: * Arabic (basic Arabic, no Quranic support yet) * Pashto * Farsi Homepage: http://www.arabeyes.org/project.php?proj=Khotot Tag: culture::arabic, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-hosny-thabit/fonts-hosny-thabit_0.02-1_all.deb Package: fonts-inconsolata Version: 001.010-4 Installed-Size: 135 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-inconsolata (<< 001.010-3) Provides: ttf-inconsolata Conflicts: ttf-inconsolata (<< 001.010-3) Size: 65578 SHA256: 3764330167b2375c9d1a282bab861f0de380fc94b6969abc68145ef7a4c90495 SHA1: 03c335953c269e3b96a49e8a0035749bb2c88863 MD5sum: 9a7cede0fa0e2fb4cebe8fdad45a12e1 Description: monospace font for pretty code listings and for the terminal Inconsolata is a monospace font, designed for code listings and the like, in print. There are a great many "programmer fonts," designed primarily for use on the screen, but in most cases do not have the attention to detail for high resolution rendering. . The Fontforge .sfd and the Spiro .plate extended sources are available on the upstream website and in the source package. A Type1 version of the font is also available. . This is work in progress. It is released under the SIL Open Font License. Completion of this font is being generously sponsored by the TeX Users Group Development Fund. If you like this font and want to see more sponsored and released freely, please consider donating to TUG's Libre Font Fund. Homepage: http://www.levien.com/type/myfonts/inconsolata.html Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-inconsolata/fonts-inconsolata_001.010-4_all.deb Package: fonts-indic Version: 2:1.1 Installed-Size: 27 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-indic-fonts Depends: fonts-beng, fonts-deva, fonts-gujr, fonts-guru, fonts-knda, fonts-mlym, fonts-orya, fonts-pagul, fonts-taml, fonts-telu Breaks: ttf-indic-fonts (<< 2:1.0) Size: 2844 SHA256: 0cdf3b2ca1dc0e7a01f877d68cfbf61a61345d506c90520dbf7e612584d85792 SHA1: b98fe0f2e24915d0b7f88e6f4805317834f39fd3 MD5sum: 5dcdae567c3025035161a5e0a4e21cc9 Description: Meta package to install all Indian language fonts This metapackage merely depends on the various free Indian language font packages available in Debian. Use this if you want fonts for every Indian language. Homepage: http://alioth.debian.org/projects/debian-in Section: metapackages Priority: optional Filename: pool/main/f/fonts-indic/fonts-indic_1.1_all.deb Package: fonts-ipaexfont Version: 00103-14.1 Installed-Size: 24 Maintainer: Debian Fonts Task Force Architecture: all Replaces: otf-ipaexfont (<< 00103-4) Depends: fonts-ipaexfont-gothic, fonts-ipaexfont-mincho Pre-Depends: dpkg (>= 1.15.6~) Breaks: otf-ipaexfont (<< 00103-4) Size: 9946 SHA256: 1910f94733d7da84e13ffbe0056157cb97ab8eacacda246ab7ecea1c81fa9bf2 SHA1: 098cbc8bc0c4163004f5b6bb0a8912aee27a1c36 MD5sum: 6c2265ba3b2a48676a92feb72449c074 Description: Japanese OpenType font, IPAexFont (IPAexGothic/Mincho) IPAex Fonts are JIS X 0213:2004 compliant OpenType fonts based on TrueType outlines. . IPAexFont pursues the optimized quality for document readability. Western characters are proportional style and Japanese Kana, Kanji characters and symbols are full width fixed width style. . This is metapackage, depends on fonts-ipaexfont-{mincho,gothic} packages. Homepage: http://ossipedia.ipa.go.jp/ipafont Tag: culture::japanese, made-of::font, role::metapackage, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-ipaexfont/fonts-ipaexfont_00103-14.1_all.deb Package: fonts-ipaexfont-gothic Source: fonts-ipaexfont Version: 00103-14.1 Installed-Size: 5910 Maintainer: Debian Fonts Task Force Architecture: all Replaces: otf-ipaexfont-gothic (<< 00103-4) Provides: fonts-japanese-gothic Pre-Depends: dpkg (>= 1.15.6~) Suggests: fonts-ipaexfont-mincho Breaks: otf-ipaexfont-gothic (<< 00103-4) Size: 3334326 SHA256: c63ffa6740b477fef1c42c7176260e6f5d4774548edb79bf7815c629b5077b47 SHA1: 0ba36f1a945d63916b1e81913415a400bea56963 MD5sum: f45ee26bce78b9fdc40cffff4559f4cc Description: Japanese OpenType font, IPAexFont (IPAexGothic) IPAex Fonts are JIS X 0213:2004 compliant OpenType fonts based on TrueType outlines. . IPAexFont pursues the optimized quality for document readability. Western characters are proportional style and Japanese Kana, Kanji characters and symbols are full width fixed width style. . This package contains IPAexGothic font. Homepage: http://ossipedia.ipa.go.jp/ipafont Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-ipaexfont/fonts-ipaexfont-gothic_00103-14.1_all.deb Package: fonts-ipaexfont-mincho Source: fonts-ipaexfont Version: 00103-14.1 Installed-Size: 7673 Maintainer: Debian Fonts Task Force Architecture: all Replaces: otf-ipaexfont-mincho (<< 00103-4) Provides: fonts-japanese-mincho Pre-Depends: dpkg (>= 1.15.6~) Suggests: fonts-ipaexfont-gothic Breaks: otf-ipaexfont-mincho (<< 00103-4) Size: 4601804 SHA256: 989cf78310bcbb419d89a216cd8f23c34ebaff9385232d375d5c9289bd560878 SHA1: 24c72471453e0ce8518d51a3be0c229d1b18619f MD5sum: a047ffaf6b725902a39fba277fd8824c Description: Japanese OpenType font, IPAexFont (IPAexMincho) IPAex Fonts are JIS X 0213:2004 compliant OpenType fonts based on TrueType outlines. . IPAexFont pursues the optimized quality for document readability. Western characters are proportional style and Japanese Kana, Kanji characters and symbols are full width fixed width style. . This package contains IPAexMincho font. Homepage: http://ossipedia.ipa.go.jp/ipafont Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-ipaexfont/fonts-ipaexfont-mincho_00103-14.1_all.deb Package: fonts-ipafont Version: 00303-10.1 Installed-Size: 26 Maintainer: Debian Fonts Task Force Architecture: all Replaces: otf-ipafont (<< 00303-1) Depends: fonts-ipafont-gothic, fonts-ipafont-mincho Pre-Depends: dpkg (>= 1.15.6~) Breaks: otf-ipafont (<< 00303-1), ttf-ipafont (<= 00203-13) Size: 11546 SHA256: df78a2b9f35bd812bcfb38028437370b7e5c242cd57d065d013a04bb8ca22cab SHA1: 5bb7058311e63724ba576294a82a540003f6f235 MD5sum: 86fc6cbfbc4b71b75683d20623f37aa2 Description: Japanese OpenType font set, IPAfont IPAfont is a Japanese OpenType, a scalable font which was developed to supersede both the TrueType and the Type 1 ("PostScript") font formats, font set that is provided by Information-technology Promotion Agency, Japan (IPA). . IPAfont is an outline font set suitable for both display and printing. . This is metapackage that depends on gothic and mincho font packages. Homepage: http://ossipedia.ipa.go.jp/ipafont/index.html Tag: culture::japanese, made-of::font, role::metapackage, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-ipafont/fonts-ipafont_00303-10.1_all.deb Package: fonts-ipafont-gothic Source: fonts-ipafont Version: 00303-10.1 Installed-Size: 12209 Maintainer: Debian Fonts Task Force Architecture: all Replaces: otf-ipafont-gothic (<< 00303-1) Provides: fonts-japanese-gothic Pre-Depends: dpkg (>= 1.15.6~) Recommends: fonts-ipafont-mincho Breaks: otf-ipafont (<= 00301-4), otf-ipafont-gothic (<< 00303-1), ttf-ipafont (<= 00203-13) Size: 3524646 SHA256: 5ac3f9ebcc14039ab327f01be56c5b057f8b4411033fdefbd0e5ed8253659f27 SHA1: 3b4b00778a0b068210e93365fceb96d50fc5423d MD5sum: f3ec8a8a3236690a046b83fa83cae3dc Description: Japanese OpenType font set, IPA Gothic font IPAfont is a Japanese OpenType, a scalable font which was developed to supersede both the TrueType and the Type 1 ("PostScript") font formats, font set that is provided by Information-technology Promotion Agency, Japan (IPA). . IPAfont is an outline font set suitable for both display and printing. . It comes with 2 styles in it. Equal-width and propotional styles are provided for Gothic (san-serif) styles. It is known for its quality and formal style and consists of IPA Gothic and IPA P Gothic. . It covers practically every characters used in Japanese business environments, i.e. about 11,000 characters based on JIS X 0213:2004. Homepage: http://ossipedia.ipa.go.jp/ipafont/index.html Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-ipafont/fonts-ipafont-gothic_00303-10.1_all.deb Package: fonts-ipafont-mincho Source: fonts-ipafont Version: 00303-10.1 Installed-Size: 15746 Maintainer: Debian Fonts Task Force Architecture: all Replaces: otf-ipafont-mincho (<< 00303-1) Provides: fonts-japanese-mincho Pre-Depends: dpkg (>= 1.15.6~) Recommends: fonts-ipafont-gothic Breaks: otf-ipafont (<= 00301-4), otf-ipafont-mincho (<< 00303-1), ttf-ipafont (<= 00203-13) Size: 4726810 SHA256: 9c55a734dda78c65a8d1eb75712f3ad0e6f4d6ebfd1f9d3bb378a983919a2076 SHA1: aa5e61013a4a305c83e0ad15afa6a62c4a3996be MD5sum: 1837ed6552ddf4273ae74253cd7a20ff Description: Japanese OpenType font set, IPA Mincho font IPAfont is a Japanese OpenType, a scalable font which was developed to supersede both the TrueType and the Type 1 ("PostScript") font formats, font set that is provided by Information-technology Promotion Agency, Japan (IPA). . IPAfont is an outline font set suitable for both display and printing. . It comes with 2 styles in it. Equal-width and propotional styles are provided for Mincho (serif) style. It is known for its quality and formal style and consists of IPA Mincho and IPA P Mincho. . It covers practically every characters used in Japanese business environments, i.e. about 11,000 characters based on JIS X 0213:2004. Homepage: http://ossipedia.ipa.go.jp/ipafont/index.html Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-ipafont/fonts-ipafont-mincho_00303-10.1_all.deb Package: fonts-ipamj-mincho Version: 001.01-3 Installed-Size: 45255 Maintainer: Debian Fonts Task Force Architecture: all Size: 31030580 SHA256: 9aea91958856c544cbdb2c00e1dc7e3d38acc378453d02d27c29b153cbbfa5bf SHA1: 892bacdc835076721f231d742eb4ffc299d53837 MD5sum: c966e4310c1ee6368c120db217b9ad82 Description: Japanese OpenType font, IPAmj Mincho font IPAmj Mincho font is an OpenType font of the TrueType base based on ISO/IEC10646. Homepage: http://ossipedia.ipa.go.jp/ipamjfont/index.html Tag: culture::japanese, iso15924::jpan, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-ipamj-mincho/fonts-ipamj-mincho_001.01-3_all.deb Package: fonts-johnsmith-induni Version: 20101012-4 Installed-Size: 1979 Maintainer: Debian Fonts Task Force Architecture: all Pre-Depends: dpkg (>= 1.15.6~) Size: 792436 SHA256: 526ca897c1988ece53dd8774103fc3ff8b0485dd36a5acb2b204d954a9e1829a SHA1: 07997889621ce476765f87d1b6325780ee18dfa2 MD5sum: 3f1e18d2a87f438011f920049767e0cd Description: OTF fonts with exhaustive set of Roman characters This package contains OpenType fonts with exhaustive set of Roman characters used by Indologists. . This package provides following set fonts 1. Induni-C - contains fonts based on URW++'s Courier-lookalike 2. Induni-H - contains fonts based on URW++'s Helvetica-lookalike 3. Induni-N - contains fonts based on URW++'s New Century Schoolbook-lookalike 4. Induni-P - contains fonts based on URW++'s Palatino-lookalike 5. Induni-T - contains fonts based on URW++'s Times-lookalike Homepage: http://bombay.indology.info/software/fonts/induni/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-johnsmith-induni/fonts-johnsmith-induni_20101012-4_all.deb Package: fonts-junicode Version: 0.7.6-1 Installed-Size: 2523 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-junicode (<< 0.6.17+20110525-1) Provides: ttf-junicode Recommends: fontconfig Suggests: fontforge Conflicts: ttf-junicode (<< 0.6.17+20110525-1) Size: 1046072 SHA256: 3a25d6f9e595249c0abbb595f3fb0b34835284e690e37002a05604e1c5e82c25 SHA1: 47fd3ebe161f43a43b265f41575b8e566f81c16d MD5sum: f9e5ce30f5ac846324dd7192370598fd Description: Unicode font for medievalists (Latin, IPA and Runic) This is a TrueType Unicode font targeted at medievalists, but including the full range of characters for languages written in the Latin script, International Phonetic Alphabet, Uralic Phonetic Alphabet and Runic. It has regular, italic, bold and bold-italic fonts. Homepage: http://junicode.sourceforge.net/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-junicode/fonts-junicode_0.7.6-1_all.deb Package: fonts-jura Version: 2.6.1-1 Installed-Size: 1444 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-jura (<< 2.6-1) Provides: ttf-jura Conflicts: ttf-jura (<< 2.6-1) Size: 535072 SHA256: 5caa0aed3f060c99db8eca559407ecd7400aa31e9a7d153062e7ee377b6c2c7a SHA1: b4662b46fc58f0be9dae6b5479a754f47b31804c MD5sum: 2cf2757f2a1bd30e19a6cac2c19d31c7 Description: monospaced, sans-serif font This font remembers of a typewrite font without the serifs. The latin letters assume pretty much of a "Swiss" feel, so the font was named after the Jura region on the border between France and Switzerland. . Almost all of the Latin-1 range is represented (omitting only superscript numerals, fractions, and the pilcrow). Homepage: http://io.debian.net/~danielj/jura/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-jura/fonts-jura_2.6.1-1_all.deb Package: fonts-kacst Version: 2.01+mry-6 Installed-Size: 965 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-kacst (<< 2.01+mry-2) Provides: ttf-kacst Pre-Depends: dpkg (>= 1.15.6~) Recommends: fonts-kacst-one Breaks: ttf-kacst (<< 2.01+mry-2) Size: 306980 SHA256: d6e51d9bdfa793b7b7c8c4facb6b823619f555a759292b915061ef5ef1c7f249 SHA1: 238796c80c2ae3be17aceaefdcd31c4d702e254e MD5sum: 3280e753513301eeccd639ea9d2d027d Description: KACST free TrueType Arabic fonts This is a set of TrueType Arabic fonts released by the King Abdulaziz City for Science and Technology (KACST). Homepage: http://www.kacst.edu.sa/en/ Tag: iso15924::arab, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-kacst/fonts-kacst_2.01+mry-6_all.deb Package: fonts-kacst-one Version: 5.0+svn11846-6 Installed-Size: 109 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-kacst-one (<< 5.0-1) Pre-Depends: dpkg (>= 1.15.6~) Recommends: fonts-kacst Breaks: ttf-kacst (<< 2.01+mry), ttf-kacst-one (<< 5.0-1) Size: 50828 SHA256: 4f21644b3f7b936f67b7863cb127a4b334dcd33e89424733b299496d9a6c0290 SHA1: d994e847456eb97d1c2791dfdf1b993e85c67b87 MD5sum: 1aac71537e3e85bb1eeff752b369d18c Description: TrueType font designed for Arabic language This package provides a TrueType font designed for Arabic by the King Abdulaziz City for Science and Technology (KACST), Saudi Arabia. Homepage: http://projects.arabeyes.org Tag: iso15924::arab, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-kacst-one/fonts-kacst-one_5.0+svn11846-6_all.deb Package: fonts-kanjistrokeorders Version: 3.000-dfsg-2 Installed-Size: 17524 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-kanjistrokeorders (<< 2.016-1) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-kanjistrokeorders (<< 2.016-1) Size: 6976448 SHA256: 1b5661077c62c71b28c9acbea1441ed1aa66fc179782056461cb79c582964eea SHA1: 4aa630302e0bf3cea98256e1875ab7604a488860 MD5sum: 21d52948fbd919c18d60a477b0e828f9 Description: font to view stroke order diagrams for Kanji, Kana and etc This font will assist people who are learning kanji, and will help teachers of Japanese in the preparation of classroom material. . In the parts of your document where you want the kanji to be annotated with stroke order numbers simply set your document's font to KanjiStrokeOrders. You will need to set the size of the font to be large to allow the stroke order numbers to show up: 100pt seems to be the minimum usable size. Homepage: http://sites.google.com/site/nihilistorguk/ Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-kanjistrokeorders/fonts-kanjistrokeorders_3.000-dfsg-2_all.deb Package: fonts-kaushanscript Version: 1.02-1 Installed-Size: 130 Maintainer: Debian Fonts Task Force Architecture: all Size: 59464 SHA256: db1af37a27cf39647b8088a71f78325b0d3063649b16fb9314c323e8a77385cf SHA1: 3c1b5ebf24f6202cec40a831b5d0914acd459465 MD5sum: c1f7d1a5f3d8360a62c4c9e05a5b875c Description: script font that feels like writing quickly with an inked brush When making digital typefaces, the more you refine the shapes of the letters, the more energy you take away from them. Because of that, Kaushan Script is unrefined - and carries a lot of energy. . By avoiding typographical sophistication, it stays more natural. The angles of the vertical strokes varies and the baseline jumps around, giving it a more rustic and natural feeling. . And while most script fonts look too small when used at regular sizes on the web, Kaushan Script is optimized to keep it readable when used as small as 16 pixels. Homepage: http://www.impallari.com/kaushan/ Section: fonts Priority: optional Filename: pool/main/f/fonts-kaushanscript/fonts-kaushanscript_1.02-1_all.deb Package: fonts-khmeros Version: 5.0-5 Installed-Size: 2581 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-khmeros (<< 5.0-4) Provides: ttf-khmeros Conflicts: ttf-khmeros (<< 5.0-4) Size: 943644 SHA256: f99695d9820e33775a0fd35a49d05b8d0106d8b5234880c432e9cabfc4e14572 SHA1: 123bdc22b28ecbeb6cd298b0e573fc7f167ae5f3 MD5sum: 1e73fb28e7cff6ad0fa018292e1063da Description: KhmerOS Unicode fonts for the Khmer language of Cambodia Free fonts for the Khmer language, used in Cambodia, developed by the Khmer Software Iniative, part of the Open Forum of Cambodia. . This package contains standard, decorative, screen and other fonts. Homepage: http://www.khmeros.info Tag: iso15924::khmr, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-khmeros/fonts-khmeros_5.0-5_all.deb Package: fonts-kiloji Version: 1:2.1.0-18 Installed-Size: 22450 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-kiloji (<< 1:2.1.0-13) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-kiloji (<< 1:2.1.0-13) Size: 7437170 SHA256: 6107dcaee07dee4d1b3cee98f3b3e8aebf00ddece8e0ee775ff7eae10324c947 SHA1: 49d16f3b034881d397f7cd94af6142d2c2e783bf MD5sum: 9a23b292db21f2d29d17393b0e550c62 Description: Japanese Truetype font like longhand made by "kilo" kilo-ji, "kilo" is the author name of these fonts and "ji" means "characters" in Japanese. . This package consists of four fonts. - kiloji.ttf - kiloji_p.ttf (kiloji Proportional) - kiloji_b.ttf (kiloji Bold) - kiloji_d.ttf (kiloji Diet) Homepage: http://www.ez0.net/distribution/font/kiloji/ Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-kiloji/fonts-kiloji_2.1.0-18_all.deb Package: fonts-knda Version: 2:1.1 Installed-Size: 27 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-kannada-fonts Depends: fonts-lohit-knda, fonts-knda-extra, fonts-gubbi, fonts-navilu Breaks: ttf-kannada-fonts (<< 2:1.0) Size: 2616 SHA256: 3368aa20d8541a42653df504c0d8a4800e08fdf10eb1cd2b9b91b69ef0660145 SHA1: 92127e838875852df066754ea784a6c3511b4c6f MD5sum: 06f00699b67e32ae7d4f88cf5bd59220 Description: Meta package for Kannada fonts This package allows you to install all the Kannada fonts available in Debian. Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-knda/fonts-knda_1.1_all.deb Package: fonts-knda-extra Version: 1.0-2 Installed-Size: 904 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-kannada-fonts Recommends: fonts-lohit-knda Breaks: ttf-kannada-fonts (<< 2:1.0) Size: 461690 SHA256: 1ce16a9bf5c97658bbb4e90cca8dd68aba80e19e11e6874e82d2915eade4c1ab SHA1: 124843635f6f2d0d4e631d1b517fa94d7a10faf8 MD5sum: acd3c357fb2f54bc8e0b017d7324d8dd Description: Fonts for Kannada language This package provides fonts for Kannada script (kn) which is used primarily in the Indian state of Karnataka. . This package contains following fonts set: * Kedage * Malige Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-knda-extra/fonts-knda-extra_1.0-2_all.deb Package: fonts-komatuna Version: 20101113-6 Installed-Size: 6111 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-komatuna (<< 20101113-2) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-komatuna (<< 20101113-2) Size: 1468272 SHA256: 441dad92842216b2e97ec77b9135de78479fb1cd3d5b2095d6545ed657241c80 SHA1: 35879ef3a2d81bddd985ac3c0ac521d0fcb9a337 MD5sum: 985b8fb4b636e182528302ad2a36c7c1 Description: modified Konatu and M+fonts, Komatuna Komatuna font is based on two font families - Kanji for Konatu font and Alphabet/Hiragana/Katakana and Full width alphabet for M+ fonts. . It consists of * Komatuna * Komatuna P Homepage: http://www.geocities.jp/ep3797/modified_fonts_01.html Tag: culture::japanese, iso15924::jpan, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-komatuna/fonts-komatuna_20101113-6_all.deb Package: fonts-konatu Version: 26-9 Installed-Size: 17717 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-konatu (<< 26-3) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-konatu (<< 26-3) Size: 2978496 SHA256: 2ed688dd6776db1b4cf97d49ac558b1e84a3c43f937c67db19a48a403783a503 SHA1: 1ad2e5cbd7868ae96bc59e36d8d70e5d93aecf07 MD5sum: e4d9cc9a50ae609649d3cd84a2c0079e Description: TrueType Font originally for BeOS Konatu is created as BeOS (ZETA) bitmap font (Be Bitmap Font), and also made as TrueType font. You can see screenshots in author's website. Homepage: http://www.masuseki.com/index.php?u=be/konatu.htm Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-konatu/fonts-konatu_26-9_all.deb Package: fonts-kouzan-mouhitsu Version: 20090806-8 Installed-Size: 19287 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-kouzan-mouhitsu (<< 20090806-4) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-kouzan-mouhitsu (<< 20090806-4) Size: 11021364 SHA256: 757b78f60d8b381e544f47b3c24dc959bf715d8cd5c7181bfbbaad8f692cd18a SHA1: ef74f7f005299427c62ecb9ce6498450f99d1fd9 MD5sum: 0ec9760a8459ab0c9ea67c70c8af1fa4 Description: Brush-style Japanese font, Kouzan-Mouhitsu Kouzan-Mouhitsu font is Brush-style Japanese font, made by Kouzan Aoyagi. It contains about 13,000 glyphs. . It is suitable for printing Haiku, New Year's card or so. Homepage: http://musashi.or.tv/kouzanmouhitufont.htm Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: extra Filename: pool/main/f/fonts-kouzan-mouhitsu/fonts-kouzan-mouhitsu_20090806-8_all.deb Package: fonts-lao Version: 0.0.20060226-8 Installed-Size: 131 Maintainer: Christian Perrier Architecture: all Size: 54038 SHA256: ac659edc90e477a832ac7753168033384702bf59072bc177885bcaab16d01778 SHA1: aff363c3a944f3ecdacb0a3d292a40f474fce198 MD5sum: 88b60e65de880d1da6f8012b19d70df1 Description: TrueType font for Lao language This package includes fonts that are suitable for the display of the Lao language. Tag: iso15924::laoo, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-lao/fonts-lao_0.0.20060226-8_all.deb Package: fonts-lato Version: 1.104-2 Installed-Size: 1143 Maintainer: Debian Fonts Task Force Architecture: all Pre-Depends: dpkg (>= 1.15.6~) Size: 244354 SHA256: 58d68d54016a026a56b005eae39f96d2542d0d0bdedb542a0000b9008759dab4 SHA1: e8ee854836a3ecfc83d0ca72157bf00026f92d55 MD5sum: 4f2e46391d7bdfdaf10898dc54f9383f Description: sans-serif typeface family font This package provides sans-serif typeface family font designed by Lukasz Dziedzic. ("Lato" means "Summer" in Polish). These fonts are published by author's foundry tyPoland under terms of Open Font License. Homepage: http://www.latofonts.com Section: fonts Priority: optional Filename: pool/main/f/fonts-lato/fonts-lato_1.104-2_all.deb Package: fonts-levien-museum Version: 001.002-3 Installed-Size: 382 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-levien-museum (<< 001.002-3) Provides: ttf-levien-museum Conflicts: ttf-levien-museum (<< 001.002-3) Size: 211538 SHA256: c37ca56c169e0bae5e008ed5ccc1eabed404b693b7e7aa73a9e745813bc78e1b SHA1: 2918bdaa61d1a26dcddff010b1b895327a1f34ec MD5sum: 7ce47707b26f490eca0ab484edd7a64e Description: metal Centaur fonts revival family Museum Sixty is based on 60 point metal Monotype Centaur. The source for A-Z& is the specimen page opening American Proprietary Typefaces, ed. David Pankow. The primary source for the lowercase is the original Centaur specimen booklet by Lanston Monotype, London, 1929. . Museum Fourteen is based on 14 point metal Monotype Centaur. The primary source is the text of Americal Proprietary Typefaces. . Museum Bible is based on 18 point metal Bible Centaur. The source is the booklet, "An Account of the Making of the Oxford Lectern Bible", Lanston Monotype, Philadelphia, 1936. . Museum Foundry is based on the 14 point original foundry version of Centaur, as cut by Robert Wiebking of Chicago. The source is "Amycus et Célestin", printed at the Museum Press in New York, 1916. Homepage: http://www.levien.com/type/myfonts/ofl.html Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-levien-museum/fonts-levien-museum_001.002-3_all.deb Package: fonts-levien-typoscript Version: 000.001-3 Installed-Size: 114 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-levien-museum (<< 000.001-3) Provides: ttf-levien-museum Conflicts: ttf-levien-museum (<< 000.001-3) Size: 54632 SHA256: 108b0a32671ef7dfa86f6c77468c1702fbef674c7641fc7cda595012a5705d4f SHA1: 2f978a19bf39527b8dac2ed04875651980f069d9 MD5sum: 50c428744a84d869a7f756ed454609f3 Description: classic ATF Typo Script revival font Math typesetting requires an ornate capital script font for symbols such as script-H (Hilbert space), script-P (powerset), and so on. The most commonly used such font in TeX is rsfs (Ralph Smith's Formal Script), which is based on the classic ATF Typo Script. Many of the ATF script fonts have been professionally digitized, but not this one. . The lines of the Typo Script digitization are much more delicate and refined, largely because they're based on the 18pt metal, while rsfs is designed for a 10pt normal and 7pt subscript size. Thus, this draft can't really be used as a drop-in replacement, as the hairlines will simply be too light to reproduce well. At some point, the digital adaptation of the ATF optical scaling technology will be working, allowing to produce more robust versions suitable for use in text-sized mathematical setting. Homepage: http://www.levien.com/type/myfonts/ofl.html Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-levien-typoscript/fonts-levien-typoscript_000.001-3_all.deb Package: fonts-lg-aboriginal Version: 1.0-5 Installed-Size: 6669 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-lg-aboriginal (<< 1.0-5) Provides: ttf-lg-aboriginal Conflicts: ttf-lg-aboriginal (<< 1.0-5) Size: 2986642 SHA256: 257a9af83479f7201fc2d23caff3feb7729694ccb15f023d85a9f62a0d18e305 SHA1: 75ed77b15005d18e4b07fc0a0a6e12976902996b MD5sum: e860176fc1a4c088208175044ccae56a Description: unicode fonts for North-American Aboriginal languages This package contains fonts that can be used to read and write in many North-American Aboriginal Languages, too often neglected by the world at large. . It is hoped that the availability of quality open fonts can help these languages prosper far into the future. . The Aboriginal font family includes support for Syllabics (Cree, Ojibway, Naskapi, Inuktitut, Dakelh, Blackfoot, Dene), Cherokee and Roman Orthographies (Secwepemctsin, Statlimx, Siouan). Homepage: http://www.languagegeek.com Tag: iso15924::cans, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-lg-aboriginal/fonts-lg-aboriginal_1.0-5_all.deb Package: fonts-liberation Version: 1.07.2-6 Installed-Size: 2118 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-liberation (<< 1.07.0-2) Conflicts: ttf-liberation (<< 1.07.0-2) Size: 1323200 SHA256: c7c8de9ab143c5dbf5d20056b4bd6b047c3ced6b0af905d6d8993d2f06d2932a SHA1: b06c1875e582ec56bcb15128b0e35e11f30308cd MD5sum: 3b3e2836af2aeff5fa1398566d36b238 Description: Fonts with the same metrics as Times, Arial and Courier A set of serif, sans-serif and monospaced fonts from Red Hat with exactly the same metrics as the (non-free) Microsoft Times, Arial and Courier fonts, which implies those fonts can serve as a drop-in replacement. The font family is named Liberation. Homepage: https://fedorahosted.org/liberation-fonts/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-liberation/fonts-liberation_1.07.2-6_all.deb Package: fonts-lindenhill Version: 1.2-2 Installed-Size: 252 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-lindenhill (<< 1.2-2) Provides: ttf-lindenhill Conflicts: ttf-lindenhill (<< 1.2-2) Size: 102848 SHA256: ed8fcefdbff92f4462cb88ef6e0e3200f69a23db5e1adc45a953ea6d21d77cf0 SHA1: d74dad5429d06c3180dfe6bf12b48889d42e0c7c MD5sum: 008dcb304692bd25dd3e54949fc84d01 Description: Regular and italic serif font A font similar to the Deepdene font. Homepage: http://crudfactory.com/font/show/lindenhill Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-lindenhill/fonts-lindenhill_1.2-2_all.deb Package: fonts-linex Version: 2.2-6 Installed-Size: 6939 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-linex (<< 2.2-5) Provides: ttf-linex Conflicts: ttf-linex (<< 2.2-5) Size: 3083154 SHA256: 6c3c4b5cadba9db9db189eabfd3a08d6397921e76b9996a81afc1ddbb9fa60d7 SHA1: c224b42e76b757074ad5940e66ea0ae663c47332 MD5sum: 1828da5866740c8b44c6fa867f8274a9 Description: Fonts suitable for education and institutional use These fonts include hand writing simulation typographies, ancient Greek and Roman typographies, the institutional fonts for use by the regional government of Extremadura and some other elegant fonts. This is the list of the included fonts: * Abecedario: Small children handwritten simulation * Elegante: Elegant handwritten simulation font * BABEL Unicode: specifically designed to type Latin, Ancient greek, Hebrew, Sanskrit, Runic, Ogham and Old English * Alfa-Beta: Ancient Greek typography * Emerita Latina: Roman typography * API PHONÉTIQUE: Designed to phonetically transcript French texts * IPA PHONETICS: Designed to phonetically transcript English texts * Ellenike: Classical Greek encoding * Jara: Institutional font used by the regional government of Extremadura * Quercus: Institutional font used by the regional government of Extremadura . These fonts have been developed, donated and GPL licensed by Juan José Marcos for their use in the gnuLinEx project. Homepage: http://gata.linex.org/trac/browser/fonts-linex/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-linex/fonts-linex_2.2-6_all.deb Package: fonts-linuxlibertine Version: 5.1.3-1 Installed-Size: 23540 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-linux-libertine (<< 5) Provides: ttf-linux-libertine Breaks: ttf-linux-libertine (<< 5) Size: 12793950 SHA256: ef43764066f577a82e30b564a60e589c9b26e5c1b64083379e64942112e75324 SHA1: bff4ddf8909018736f6401b94138a13a81aecbf9 MD5sum: 2e7f89af6eeb38b4bb63984bdbfb4d53 Description: Linux Libertine family of fonts The Linux Libertine fonts is a set of typefaces containing both a Serif version ("Linux Libertine") and a Sans Serif ("Linux Biolinum") designed to be used together as an alternative for Times/Times New Roman (and, in part, Helvetica/Arial). . The Serif typeface comes in two shapes and two weights (that is, the usual Regular, Italic, Bold, and Bold Italic), and an Small Capitals version of the regular typeface. Linux Biolinum, the Sans Serif typeface, is available in both Regular and Bold weights. . This package contains OpenType versions (i.e., with cubic Bézier splines) of the fonts, with large support of OpenType features. Homepage: http://linuxlibertine.sourceforge.net/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-linuxlibertine/fonts-linuxlibertine_5.1.3-1_all.deb Package: fonts-lklug-sinhala Version: 0.6-2 Installed-Size: 344 Maintainer: Debian Fonts Task Force Architecture: all Replaces: sinhala-font-lklug, ttf-sinhala-lklug (<< 0.5.4-1) Provides: sinhala-font-lklug, ttf-sinhala-lklug Conflicts: sinhala-font-lklug, ttf-sinhala-lklug (<< 0.5.4-1) Size: 105598 SHA256: 6453710fd8f7894cf14ab3d122368c0a20f9e6b993bd414ec8aaf6fb7e4fed54 SHA1: 30ea473db07730728c8a3b40c1f02cef6376045b MD5sum: 19be1dd773d963fa2ddad7c9f7d39bf3 Description: Unicode Sinhala font by Lanka Linux User Group This package provides the Unicode Sinhala font developed by the Lanka Linux User Group (LKLUG) Homepage: http://www.lug.lk/fonts/lklug Tag: iso15924::sinh, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-lklug-sinhala/fonts-lklug-sinhala_0.6-2_all.deb Package: fonts-lobster Source: fonts-lobstertwo Version: 2.0-1 Installed-Size: 122 Maintainer: Debian Fonts Task Force Architecture: all Size: 47534 SHA256: fae302596ce2a168639e2c4c007f077c1057b448d3854b762c60769e5f7c568e SHA1: dab07742607a91c6560f811bbee39dd85d828c7a MD5sum: 040af71e0af62cfda9a7043390f16181 Description: bold condensed script with many ligatures and alternates The beauty of real hand-drawn lettering is that the lettering artists subtly modify the shape of letters so they connect with the next ones. These linked letters-pairs are called "ligatures". Thus, in order to provide a smooth hand-written look, the Lobster font provides a large number of ligatures, as well as terminal forms (i.e. glyphs that are used for word endings). Homepage: http://www.impallari.com/lobstertwo/ Section: fonts Priority: optional Filename: pool/main/f/fonts-lobstertwo/fonts-lobster_2.0-1_all.deb Package: fonts-lobstertwo Version: 2.0-1 Installed-Size: 276 Maintainer: Debian Fonts Task Force Architecture: all Depends: fonts-lobster Size: 131462 SHA256: 5c22097376109eac291d6acac2463845407143cef38ea1c303bee61043ec3d6a SHA1: 1f83bef12833ac145a65a37ce318bc907dfcaade MD5sum: 0326656c21c350f07f7629dd009f80a8 Description: updated and improved family version of the Lobster font Lobster Two is the updated and improved family version of The Lobster Font, featuring a new lighter weight and the two new upright variants. . The Original Lobster is now the LobsterTwo Bold Italic. Homepage: http://www.impallari.com/lobstertwo/ Section: fonts Priority: optional Filename: pool/main/f/fonts-lobstertwo/fonts-lobstertwo_2.0-1_all.deb Package: fonts-lohit-beng-assamese Version: 2.5.1-1 Installed-Size: 195 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-bengali-fonts (<= 1:0.5.12) Recommends: fonts-lohit-beng-bengali Breaks: ttf-bengali-fonts (<= 1:0.5.12) Size: 77914 SHA256: 75cb52d62ef5a6ab1d24e8308941b28e20583aaed2560b8f2b26c741e07ed5ef SHA1: c03a13985bfe127990b8dbed8b4eb4381367891d MD5sum: a6e9b90d9ae3cda3982d10a95eafa543 Description: Lohit TrueType font for Assamese Language This package provides Lohit TrueType font for Assamese language which is primarily used in Indian state of Assam. Homepage: https://fedorahosted.org/lohit/ Section: fonts Priority: optional Filename: pool/main/f/fonts-lohit-beng-assamese/fonts-lohit-beng-assamese_2.5.1-1_all.deb Package: fonts-lohit-beng-bengali Version: 2.5.1-1 Installed-Size: 195 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-bengali-fonts (<= 1:0.5.12) Recommends: fonts-lohit-beng-assamese Breaks: ttf-bengali-fonts (<= 1:0.5.12) Size: 77846 SHA256: 325db27f76c6f16474d24841468fde4b285e4f9eb6e668360600cde086bd870f SHA1: a7b0307bfe79a70ee848ba74742d90c0c8975193 MD5sum: 9f8359b8317e6626838a3405c2e63e18 Description: Lohit TrueType font for Bengali Language This package provides Lohit TrueType font for Bengali language which is primarily used in Indian state of Bengal. Homepage: https://fedorahosted.org/lohit/ Section: fonts Priority: optional Filename: pool/main/f/fonts-lohit-beng-bengali/fonts-lohit-beng-bengali_2.5.1-1_all.deb Package: fonts-lohit-deva Version: 2.5.1-1 Installed-Size: 130 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-devanagari-fonts (<= 1:0.5.12) Breaks: ttf-devanagari-fonts (<= 1:0.5.12) Size: 47184 SHA256: 01e350dd2d61e43ec879c3841091bf7b8088149026a2840df5203b4c630d190f SHA1: 54d326fe558b6eb84341d906739635f1e1cd70be MD5sum: 8ffef6715a94985958def51c7fe3a005 Description: Lohit TrueType font for Devanagari script This package provides Lohit TrueType font for Devanagari script which is used for writing Hindi, Kashmiri, Konkani, Marathi, Maithili, Nepali, Sanskrit, and Sindhi languages. Homepage: https://fedorahosted.org/lohit Section: fonts Priority: optional Filename: pool/main/f/fonts-lohit-deva/fonts-lohit-deva_2.5.1-1_all.deb Package: fonts-lohit-gujr Version: 2.5.1-1 Installed-Size: 118 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-gujarati-fonts (<= 1:0.5.12) Breaks: ttf-gujarati-fonts (<= 1:0.5.12) Size: 37502 SHA256: ff85d33508ac0e750d73f92a4ea8b506156bb1cb4bb8ec765535bf0ebc267d56 SHA1: d3e2d4a8652ad127cc3c574e4b500547bdda2903 MD5sum: f1fa1e9f4c0e8f7a095754d063dbc3e6 Description: Lohit TrueType font for Gujarati Language This package provides Lohit TrueType font for Guajrati language which is primarily used in Indian state of Gujarat. Homepage: https://fedorahosted.org/lohit/ Section: fonts Priority: optional Filename: pool/main/f/fonts-lohit-gujr/fonts-lohit-gujr_2.5.1-1_all.deb Package: fonts-lohit-guru Version: 2.5.1-1 Installed-Size: 83 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-punjabi-fonts (<= 1:0.5.12) Breaks: ttf-punjabi-fonts (<= 1:0.5.12) Size: 21704 SHA256: 94cc038cf3eeeef7da43c806541b6e3be36e71bc778e746e80c2210408106e40 SHA1: a8e5cf2d9c2689c7d8519fd59c72685c4fcd3c96 MD5sum: a0b7eac62d83ff895ea7de270e6cb5b7 Description: Lohit TrueType font for Punjabi Language This package provides Lohit TrueType font for Punjabi language which is primarily used in Indian and Pakistani state of Punjab. Homepage: https://fedorahosted.org/lohit Section: fonts Priority: optional Filename: pool/main/f/fonts-lohit-guru/fonts-lohit-guru_2.5.1-1_all.deb Package: fonts-lohit-knda Version: 2.5.1-1 Installed-Size: 252 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-kannada-fonts (<= 1:0.5.12) Breaks: ttf-kannada-fonts (<= 1:0.5.12) Size: 57568 SHA256: 500bd73f807d81b804cb23afa3b4636ca8e7246cb75b73fbc23dc95f5f66392b SHA1: f86313ace6c1660c41618e5f3054ac3b8b9c29f2 MD5sum: 2eabe700d9ee9977d649d208f6307f2a Description: Lohit TrueType font for Kannada Language This package provides Lohit TrueType font for Kannada language (kn) which is primarily used in the Indian state of Karnataka. Homepage: https://fedorahosted.org/lohit/ Section: fonts Priority: optional Filename: pool/main/f/fonts-lohit-knda/fonts-lohit-knda_2.5.1-1_all.deb Package: fonts-lohit-mlym Version: 2.5.1-1 Installed-Size: 127 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-malayalam-fonts (<= 1:0.5.12) Breaks: ttf-malayalam-fonts (<= 1:0.5.12) Size: 41932 SHA256: 5f4fb93ce8b33eff9880f8fd58e0caa7a225d730acc71020ce91975a670efea6 SHA1: d2227118f9a32d571c439f2fb78a03d200870d1d MD5sum: 738d1bb384e3c2520b8ffaa158b7caee Description: Lohit TrueType font for Malayalam Language This package provides Lohit TrueType font for Malayalam language (ml), which is primarily spoken in the Indian state of Kerala. Homepage: https://fedorahosted.org/lohit/ Section: fonts Priority: optional Filename: pool/main/f/fonts-lohit-mlym/fonts-lohit-mlym_2.5.1-1_all.deb Package: fonts-lohit-orya Version: 2.5.1-1 Installed-Size: 155 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-oriya-fonts (<= 1:0.5.12) Breaks: ttf-oriya-fonts (<= 1:0.5.12) Size: 58040 SHA256: 862ad8643076f65eda9f3e58f6433db8b19f4f2c14f6e3599c10a1ece6cea4a1 SHA1: df0dd1cd00d061d02266b3a342f1e426918312b2 MD5sum: 91eaa6b53d88933697d40d1e46f087f5 Description: Lohit TrueType font for Oriya Language This package provides Lohit TrueType font for Oriya language which is primarily used in Indian state of Orissa. Homepage: https://fedorahosted.org/lohit/ Section: fonts Priority: optional Filename: pool/main/f/fonts-lohit-orya/fonts-lohit-orya_2.5.1-1_all.deb Package: fonts-lohit-taml Version: 2.5.1-1 Installed-Size: 121 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-tamil-fonts (<= 1:0.5.12) Breaks: ttf-tamil-fonts (<= 1:0.5.12) Size: 36106 SHA256: 4506edc63775c0c6806bf7854f11a14c2efd5ecc2d622bd72289df663c58c71d SHA1: f8dc8b4bdd36cd10c0a37f30a6ef9212aaa68407 MD5sum: d56886ca50633a04c8600211233e3ab0 Description: Lohit TrueType font for Tamil Language This package provides Lohit TrueType font for Tamil language (ta) which is primarily used in the Indian state of TamilNadu. Homepage: https://fedorahosted.org/lohit Section: fonts Priority: optional Filename: pool/main/f/fonts-lohit-taml/fonts-lohit-taml_2.5.1-1_all.deb Package: fonts-lohit-telu Version: 2.5.1-2 Installed-Size: 226 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-telugu-fonts (<= 1:0.5.12) Breaks: ttf-telugu-fonts (<= 1:0.5.12) Size: 67048 SHA256: f04cd96cd926a66ef9af6527d9916ed397e842779aaa9f0f9b749083cc792851 SHA1: 2d05c67351084972bd866844ef6b29ff91bf2925 MD5sum: 3819b23e14b7d28a4452e9fa30e77963 Description: Lohit TrueType font for Telugu Language This package provides Lohit TrueType font for Telugu language which is primarily used in Indian state of Andhra Pradesh. Homepage: https://fedorahosted.org/lohit/ Section: fonts Priority: optional Filename: pool/main/f/fonts-lohit-telu/fonts-lohit-telu_2.5.1-2_all.deb Package: fonts-lyx Source: lyx Version: 2.0.3-3 Installed-Size: 316 Maintainer: Debian LyX Maintainers Architecture: all Size: 166966 SHA256: 3a27c08a70e5ff0eb1b510842fd60872c5e08b27788db76799fe843f449cfcab SHA1: e1384e53ed15883e34d15cd44bfc91e80978f50e MD5sum: ae852f4ab0a45dcda63802229180a588 Description: TrueType versions of some TeX fonts used by LyX These fonts are needed for the visual math symbol display in LyX. They can also be used to display MathML in Gecko-based browsers like Iceweasel. . The following fonts are included in this package: * cmex10 - Computer Modern math extension * cmmi10 - Computer Modern math italic * cmr10 - Computer Modern Roman * cmsy10 - Computer Modern math symbols * esint10 - Alternate integrals * eufm10 - Euler Fraktur (medium-weight) * msam10 - Extra math symbols, first series * msbm10 - Extra math symbols, second series, including Blackboard Bold * wasy10 - Waldi symbol fonts Homepage: http://www.lyx.org/ Section: fonts Priority: optional Filename: pool/main/l/lyx/fonts-lyx_2.0.3-3_all.deb Package: fonts-maitreya Source: maitreya Version: 6.0.5+dfsg2-1 Architecture: armhf Maintainer: Paul Elliott Installed-Size: 60 Conflicts: font-maitreya Replaces: font-maitreya Homepage: http://www.saravali.de/ Priority: extra Section: misc Filename: pool/main/m/maitreya/fonts-maitreya_6.0.5+dfsg2-1_armhf.deb Size: 17974 SHA256: 667104e00a52263cf29fe400cd7158d7fce4aaaf4f8dc48eba946b8271f7fc17 SHA1: be5b0a8483a59c74a0a2a28d7f69b67c155624b9 MD5sum: 24e0ce09ed3caad9f49e041b12b0e27c Description: Astrological font for Maitreya Astrological font used by the package maitreya. Maitreya uses special symbols used for astrology. You probably need this font package if you have installed maitreya. This font is not Unicode encoded. Package: fonts-manchufont Version: 2.007.svn0068-2 Installed-Size: 1432 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-manchufont (<< 2.007.svn0068-2) Provides: ttf-manchufont Conflicts: ttf-manchufont (<< 2.007.svn0068-2) Size: 1166514 SHA256: ee6f19b4f55aa918c5cd1b186d0762841249fb46ad1405c6d6738097162891db SHA1: b7364c03e560e6fc75c4a4c9edb22a6b649f6abd MD5sum: 330350afe2efb6fda8a947afaee6dc02 Description: Smart OpenType font for Manchu script Manchu Font is a TrueType favored OpenType font for Mac and PC. It contains Manchu characters in Unicode range start from #1800, extend glyphs which are missing from the Unicode standard, ligature features, substitution features and hinting. Homepage: http://sourceforge.net/projects/manchufont/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-manchufont/fonts-manchufont_2.007.svn0068-2_all.deb Package: fonts-mgopen Version: 1.1-8 Installed-Size: 1913 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-mgopen (<< 1.1-6) Provides: ttf-mgopen Conflicts: ttf-mgopen (<< 1.1-6) Size: 892466 SHA256: 13acd4d786be40c9b0e928a7b756221b7d9f4719da4ed8dbcab430ae8b10dda4 SHA1: 1a9e8678af2a5ec4890e7ecce45dab3fe76f0898 MD5sum: e2d98cf16a95805ae348fa970963aaa4 Description: Magenta MgOpen TrueType fonts This package provides a collection of TrueType font families by Magenta Inc. They contain mostly Latin-1 and Modern Greek glyphs. . The following families are provided: . * MgOpenCanonica (BoldItalic, Bold, Italic, Regular) * MgOpenCosmetica (BoldOblique, Bold, Oblique, Regular) * MgOpenModata (BoldOblique, Bold, Oblique, Regular) * MgOpenModerna (BoldOblique, Bold, Oblique, Regular) Homepage: http://www.magenta.gr/ Tag: iso15924::grek, iso15924::latn, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-mgopen/fonts-mgopen_1.1-8_all.deb Package: fonts-migmix Version: 20120411-2 Installed-Size: 68102 Maintainer: Debian Fonts Task Force Architecture: all Size: 5767334 SHA256: ed4f579fb86be8874b87a235ad4c3d34c12ab3b55c4818b2b85aebee66ff90b7 SHA1: 25c581065d64dab50c6811bbc8d95b2f358d6aed MD5sum: 6e8524fa4d7e5ff6c588392d07e4f692 Description: High quality Japanese font base M+ fonts and IPA fonts The MigMix and Migu fonts are based on M+ fonts and supplemented by IPA fonts, provides standard Japanese character set and JIS X 0212:1990, JIS X 0213:2000 character . This package provides these fonts: - Proportional: Migu 1P, Migu 1C, MigMix 1P, MigMix 2P, Migu 1VS, Migu 2DS - Monospace: Migu 1M, Migu 2M, MigMix 1M, MigMix 2M Homepage: http://mix-mplus-ipa.sourceforge.jp/ Section: fonts Priority: optional Filename: pool/main/f/fonts-migmix/fonts-migmix_20120411-2_all.deb Package: fonts-misaki Version: 11-20080603-13 Installed-Size: 304 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-misaki (<< 11-20080603-10) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-misaki (<< 11-20080603-10) Size: 57708 SHA256: 1f18184a4c018fc4476e2400f9c33fe208d9fb36152b1112807d0906bd7316bb SHA1: 6fc2ffff4930a1a79a96a170b191cfdf6e19ca71 MD5sum: 41e744aead651587251f9da1211f532e Description: Japanese Gothic and Mincho font from "Misaki" bitmap font Originally, Misaki font was created as Japanese 8x8 bitmap font for small computer PC-E500, so it was small, beautiful and easily readable font. And now, it is converted to TrueType font. . It's beta quality yet, but useful for some situation. Homepage: http://www.geocities.jp/littlimi/misaki.htm Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-misaki/fonts-misaki_11-20080603-13_all.deb Package: fonts-mlym Version: 2:1.1 Installed-Size: 27 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-malayalam-fonts Depends: fonts-lohit-mlym, fonts-smc Breaks: ttf-malayalam-fonts (<< 2:1.0) Size: 2622 SHA256: 211fa3013f7b713225943f18830661962f2030f6af752e874a33fc494bbe6ecb SHA1: 9b4824f5e142a0b9d2f05804b033e6cbf064ad5e MD5sum: 6d55f895ffa94a851891d9ca84c7bf92 Description: Meta package to install all Malayalam fonts This package allows you to install all available Malayalam fonts in Debian. Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-mlym/fonts-mlym_1.1_all.deb Package: fonts-mmcedar Version: 20101113a-2 Installed-Size: 4396 Maintainer: Debian Fonts Task Force Architecture: all Size: 1329838 SHA256: bcb401674d5ef1d2f3b195d11099143b245390f5e987970740045cad22a52530 SHA1: 8e6e209b39f5bfe6c43efde975c8ab02b674cea9 MD5sum: 7e7c65bdab6c0b62cfc9ee17cfdd2129 Description: MMCedar is a combined font that uses Motoya L Cedar and M+ fonts. This package provides combined font that uses Motoya L Cedar and M+ fonts, named MMCedar, MMCedar-P. The Motoya L Cedar fonts are lacking some characters, so these characters are compensated by M+ fonts. And line spacing of those fonts was adjusted for programming. Homepage: http://www.geocities.jp/ep3797/modified_fonts_01.html Section: fonts Priority: optional Filename: pool/main/f/fonts-mmcedar/fonts-mmcedar_20101113a-2_all.deb Package: fonts-mona Source: xfonts-mona Version: 2.90-7 Installed-Size: 2813 Maintainer: Nobuhiro Iwamatsu Architecture: all Replaces: ttf-mona (<< 2.90-7) Provides: ttf-japanese-gothic Conflicts: ttf-mona (<< 2.90-7) Size: 1711710 SHA256: 762b6626288b2d4f1757477876c50132897c25f7650dd3faaf41beb7ef13389f SHA1: 3fb65c2acd5d3eeb047bd823346101fe3e888e8a MD5sum: b67c937cd0784e200f62216c07415a2f Description: Japanese TrueType font for 2ch ASCII art These fonts are suitable for some ASCII arts like mona, gikoneko and so on. This package provides TrueType font. Section: fonts Priority: optional Filename: pool/main/x/xfonts-mona/fonts-mona_2.90-7_all.deb Package: fonts-monapo Version: 20090423-8 Installed-Size: 2647 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-monapo (<< 20090423-5) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-monapo (<< 20090423-5) Size: 1502044 SHA256: 803291347d15c290876a45983551aedc7fcb005e3029501e2c4548d6a6ce88fd SHA1: 0fa0e0b3faa8208f7294cdec3e6ca220fcf39bbe MD5sum: db648c51f72629a8eff3e37aff4c6686 Description: Japanese TrueType font, Monapo Monapo font is a combined font, that uses IPAfont and monafont. It has almost same width as MS P Gothic, so it can show Japanese Ascii Art properly. Homepage: http://www.geocities.jp/ep3797/modified_fonts_01.html Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-monapo/fonts-monapo_20090423-8_all.deb Package: fonts-motoya-l-cedar Version: 1.00-6 Installed-Size: 1880 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-motoya-l-cedar (<< 1.00-4), ttf-motoya-l-ceder Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-motoya-l-cedar (<< 1.00-4), ttf-motoya-l-ceder Size: 1139582 SHA256: 59f66c73388ee2bc3cb38d85fa279baa0e0972417a8915c7db42b45e67ad6586 SHA1: fad78bd9e12d3250baa9edf6a8e06b5efbbf3bf0 MD5sum: af82cff827f10db7d6493d5900b2acc1 Description: Japanese Truetype font, Motoya L Cedar Motoya font was created in 1950s, it aims beauty and readability. . This package provides "MotoyaLCedar W3 mono" - Gothic that images Japanese cedar, straight and thick tree. Homepage: http://www.motoya.co.jp/ Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-motoya-l-cedar/fonts-motoya-l-cedar_1.00-6_all.deb Package: fonts-motoya-l-maruberi Version: 1.00-5 Installed-Size: 2803 Maintainer: Debian Fonts Task Force Architecture: all Pre-Depends: dpkg (>= 1.15.6~) Size: 1455154 SHA256: 9b5d531f2732a49fd7567099c3430c9e636015eada130ba5a72300ff1f6e6f92 SHA1: 609956c5462a3fd82bd1b64669b25bcaca45eca8 MD5sum: fe92ac848ae69a1deacac3763c90a3e8 Description: Japanese Truetype font, Motoya L Maruberi Motoya font was created in 1950s, it aims beauty and readability. . This package provides MotoyaLMaru W3 mono - Rounded Gothic, "Maruberi" font Homepage: http://www.motoya.co.jp/ Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-motoya-l-maruberi/fonts-motoya-l-maruberi_1.00-5_all.deb Package: fonts-mph-2b-damase Version: 001.000.dfsg.2+ds1-4 Installed-Size: 878 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-mph-2b-damase (<< 001.000.dfsg.2+ds1-3) Provides: ttf-mph-2b-damase Conflicts: ttf-mph-2b-damase (<< 001.000.dfsg.2+ds1-3) Size: 473830 SHA256: 31dc05aa474be3ff64adc52dbf3635a413df9210e017a4fed7fb71b8b6e90b81 SHA1: 0f90d2c76851fd52dd9996bbca2af5a64d50b009 MD5sum: b850e76ef1f1c86eb570b633c03717e5 Description: font with character ranges from Unicode 4.1 MPH 2B Damase is a SuperUnicode font, including ranges in Plane 1 and ranges added in the latest release of the Unicode standard (4.1). Some ranges added in the 4.1 release of the Unicode Standard. These ranges cover Tifinagh, Kharosthi, hPhags-pa, Old Persian Cuneiform, etc. . The support for some scripts is not complete because the font lacks contextual substitution (via OpenType tables) and composite glyphs, which are required to support Kharosthi and other scripts fully. Please read the Debian README for a fuller discussion of the problems this may cause. Homepage: http://web.archive.org/web/fixedsys.org/~node_ue/fonts/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-mph-2b-damase/fonts-mph-2b-damase_001.000.dfsg.2+ds1-4_all.deb Package: fonts-mplus Version: 049-1 Installed-Size: 56230 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-mplus (<< 042-1) Provides: ttf-mplus Conflicts: ttf-mplus (<< 042-1) Size: 8226592 SHA256: fb89aaa8772e7045fea9abd053d788099a366801b7d95a984fc8628fec6bd3eb SHA1: a7081a79224aae2455f119458a1c8cd42df596aa MD5sum: ac7174d318a688eeaa2d8573d337e980 Description: M+ sans serif fonts with different weights This is a collection of sans serif fonts with different weights, including Japanese glyphs. . All fonts were completed with Basic Latin, Latin-1 Supplement, and Latin Extended-A. And most of extended glyphs and symbols were prepared too. So the fonts are in conformity with ISO-8859-1, 2, 3, 4, 7, 9, 10, 13, 14, 15, 16, windows-1252, and T1 encoding. . In addition, proportional M+ P Type-1 and M+ P Type-2 fonts were completed with Latin Extended-B, Latin Extended Additional, and ISO-8859-5. And many Greek, Cyrillic, IPA Extensions glyphs, and symbols were expanded. Those additional glyphs are included in M+ C provisionality. Homepage: http://mplus-fonts.sourceforge.jp/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-mplus/fonts-mplus_049-1_all.deb Package: fonts-nafees Version: 1.2-4 Installed-Size: 306 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-nafees (<< 1.2-4) Provides: ttf-nafees Conflicts: ttf-nafees (<< 1.2-4) Size: 88316 SHA256: 12ca96ef8b21e07b2abb63a902f795a7c865ceb0fd2c5f7f2c92e599327e1e4f SHA1: 3ca0503b7a82214c62180e0156e165e68009c5ba MD5sum: 50851419f5d17fb5c37419a2ba4b48b1 Description: nafees free OpenType Urdu fonts This is a free OpenType Urdu font (Nafees Web Naskh), designed and developed by the Center for Research in Urdu Language Processing (CRULP, http://www.crulp.org/) at National University of Computer and Emerging Sciences (http://www.nu.edu.pk/). Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-nafees/fonts-nafees_1.2-4_all.deb Package: fonts-nakula Version: 1.0-2 Installed-Size: 370 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-devanagari-fonts Suggests: fonts-sahadeva Breaks: ttf-devanagari-fonts (<< 2:1.0) Size: 167044 SHA256: 5aee42804349e5a9acf283c89e17228b7a74b84ae31917297769ce465b838065 SHA1: a5e241c46b3ea9a585fa4004bc8edc18ac60820d MD5sum: d5cfbe552a580ea95a94011e5c608951 Description: Free Unicode compliant Devanagari font This package provides font for Devanagari script which is used for writing Hindi, Marathi and Sanskrit languages. . Nakula follows the Mumbai style of Devanagari, with rounded glyphs and little thin/thick variation. Homepage: http://bombay.indology.info/software/fonts/devanagari/index.html Section: fonts Priority: optional Filename: pool/main/f/fonts-nakula/fonts-nakula_1.0-2_all.deb Package: fonts-nanum Version: 3.020-1 Installed-Size: 16739 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-nanum (<< 3.010-2) Conflicts: ttf-nanum (<< 3.010-2) Size: 5595166 SHA256: 8f73268b26c3bd6532c05b21bfecd26e65a0d2aa80b9c49a75e5e6d431f60965 SHA1: 7b08d66b8b04293fba668ca27631ad4635d17d5e MD5sum: db1c7c0e3f26bd673deb7a5a52d7d727 Description: Nanum Korean fonts Nanum font families are Korean font families which are intended for use on computer screens. These fonts are good with computer LCD monitors because they have used rich hinting techniques like subpixel rendering. . This package contains commonly-used Myeongjo and Gothic Korean font families. Homepage: http://hangeul.naver.com/nanum.nhn Tag: culture::korean, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-nanum/fonts-nanum_3.020-1_all.deb Package: fonts-nanum-coding Version: 2.0-4 Installed-Size: 4515 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-nanum-coding (<< 2.0-2) Conflicts: ttf-nanum-coding (<< 2.0-2) Size: 2022856 SHA256: bbdd5926e3f29156c478811ee6d0e15f5b306d5a05ce711bb978263fae7e4fb3 SHA1: cf8da50f88d85bec5b9c791453192c8c276b85ea MD5sum: 17d12a56093205d244b7c62b39a42c50 Description: Nanum Coding fixed width Korean TrueType font This package contains one Korean fixed width font family. This is especially designed for programmer's editors. Homepage: http://dev.naver.com/projects/nanumfonts Tag: culture::korean, iso15924::kore, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-nanum-coding/fonts-nanum-coding_2.0-4_all.deb Package: fonts-nanum-eco Version: 1.000-2 Installed-Size: 56550 Maintainer: Debian Fonts Task Force Architecture: all Pre-Depends: dpkg (>= 1.15.6~) Suggests: fonts-nanum Size: 14010492 SHA256: ecfcc13dbd5671eb1f62224f8c110122d6ac18759ffef8a6e67c17639872c6a6 SHA1: 382ae3f9f31c9b235e3b6dc0bbbfbd9ffd638e63 MD5sum: 8d3296d29145a7fd64858899404a0e01 Description: Nanum Eco Korean font Nanum font families are Korean font families which are intended for use on computer screens. These fonts are good with computer LCD monitors because they have used rich hinting techniques like subpixel rendering. . Nanum Eco font is said to be environmentally friendly because its glyphs have been designed to be printed with less printer ink. The glyphs have many and tiny halls inside their strokes. Homepage: http://hangeul.naver.com/eco Tag: culture::korean, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-nanum-eco/fonts-nanum-eco_1.000-2_all.deb Package: fonts-nanum-extra Source: fonts-nanum Version: 3.020-1 Installed-Size: 15892 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-nanum (<< 3.010-1), ttf-nanum-extra (<< 3.010-2) Conflicts: ttf-nanum-extra (<< 3.010-2) Size: 4576474 SHA256: 968e882bc439c236669b6c7534408ad7061c6b729218e63df6a3dd1d124d382f SHA1: bb52f9aef28b3c901bd00bb00e1850a31ed91900 MD5sum: e4d5759e92676218be0f9e8da53bded6 Description: Additional Nanum Korean fonts Nanum font families are Korean font families which are intended for use on computer screens. These fonts are good with computer LCD monitors because they have used rich hinting techniques like subpixel rendering. . This package includes additional handwriting font families and extra-bold forms of the Nanum Myeongjo and Gothic families. Homepage: http://hangeul.naver.com/nanum.nhn Tag: culture::korean, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-nanum/fonts-nanum-extra_3.020-1_all.deb Package: fonts-nanum-gothic-light Version: 1.000-2 Installed-Size: 1546 Maintainer: Debian Fonts Task Force Architecture: all Pre-Depends: dpkg (>= 1.15.6~) Suggests: fonts-nanum Size: 366836 SHA256: 828a26dcad18c14707293ae33cc09df512ca8873571b3f5e262ea43c3103896c SHA1: f90d81cc188c3128c15c1f94913fcd9c3618f3a9 MD5sum: 0b1be9365998e9d4b83084a87c3e5f4f Description: Nanum Gothic Light Korean font Nanum font families are Korean font families which are intended for use on computer screens. These fonts are good with computer LCD monitors because they have used rich hinting techniques like subpixel rendering. . Nanum Gothic Light provides very thin glyphs which are useful for graphic designs in some cases. Homepage: http://hangeul.naver.com/light Tag: culture::korean, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-nanum-gothic-light/fonts-nanum-gothic-light_1.000-2_all.deb Package: fonts-navilu Version: 1.1-1 Installed-Size: 175 Maintainer: Debian-IN Team Architecture: all Size: 76628 SHA256: 447b568c4e13cea90b557afc032a4df997de4d47c95ca9201589bc18cc331868 SHA1: a2110bb52f61d1880b719447b6431ab44fb619a0 MD5sum: 3b761988267ba66054f392345cb89104 Description: Handwriting font for Kannada This package provides handwriting font for Kannada script (kn) which is primarily used in the Indian state of Karnataka. Homepage: https://github.com/aravindavk/Navilu Tag: iso15924::knda, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-navilu/fonts-navilu_1.1-1_all.deb Package: fonts-ocr-a Version: 1.0-4 Installed-Size: 139 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-ocr-a (<< 1.0-3) Provides: ttf-ocr-a Conflicts: ttf-ocr-a (<< 1.0-3) Size: 46522 SHA256: 499fd5345e5bc49368221a9245780c088377e08c166eee7e78bda59ea4952ece SHA1: 8f39e917fb3fdd293b5ab8c832abda86ed7eb451 MD5sum: dd6e42ca70ce19de86ca46413b640791 Description: ANSI font readable by the computers of the 1960s This font was developed by the American National Standards Institute (ANSI) to be readable by the computers of the 1960s. The OCR-A font is still used commercially in payment advice forms so that a lockbox company can determine the account number and amount owed on a bill when processing a payment. A site license for the OCR-A font is very expensive, so this free font was created. Homepage: http://sourceforge.net/projects/ocr-a-font Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-ocr-a/fonts-ocr-a_1.0-4_all.deb Package: fonts-oflb-asana-math Version: 000.907-4 Installed-Size: 449 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-oflb-asana-math (<< 000.907-4) Provides: ttf-oflb-asana-math Recommends: texlive-xetex Conflicts: ttf-oflb-asana-math (<< 000.907-4) Size: 296732 SHA256: 5db23eda52d08a1548d5b48b0823f74194c92eee495579d0cab53760b4101143 SHA1: c4b7f86df01ebec8932cdb435f4ced84e82f2303 MD5sum: 7a487eff66ff39c5e9e2cb9d7771c6a2 Description: extended smart Unicode Math font Asana Math provides rich Unicode coverage of the Maths-related blocks and support for the MATH Opentype table which means that it can be used to typeset documents with complex mathematical requirements using tools like XeTeX. Homepage: http://www.openfontlibrary.org Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-oflb-asana-math/fonts-oflb-asana-math_000.907-4_all.deb Package: fonts-oflb-euterpe Version: 1.1-4 Installed-Size: 132 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-oflb-euterpe (<< 1.1-4) Provides: ttf-oflb-euterpe Conflicts: ttf-oflb-euterpe (<< 1.1-4) Size: 58712 SHA256: 826f22e29f3c66808b7f069230c68eeee84e7b0c09b2f09822a75a302c6e7da8 SHA1: 82cded2602af10650fdd8ae5a78429146f762b26 MD5sum: 2a6ec19c322646882162578a7d7b649d Description: unicode musical font This package provides a font which covers the whole musical symbols block of Unicode. Some extra glyphs can be found in the Private Use Area, some of them may be accessed through OpenType features, like ligatures and glyph substitutions. . The font sources (Fontforge .sfd) are available on the upstream website and in the source package. . In Greek mythology, Euterpe is the muse of lyric poetry. Homepage: http://openfontlibrary.org/media/files/Eimai/191 Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-oflb-euterpe/fonts-oflb-euterpe_1.1-4_all.deb Package: fonts-okolaks Version: 0.5-5 Installed-Size: 378 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-okolaks (<< 0.5-5) Provides: ttf-okolaks Conflicts: ttf-okolaks (<< 0.5-5) Size: 175506 SHA256: 2b39a3be479c5341011da60e00f52e669e284c3d29ecbe0bb417db7fb616ecbf SHA1: b7b6fd533f22a3030b63dc507027c0ca8efad4ab MD5sum: c4d6fe420ec927195add8b0912171e7e Description: decorative, sans serif font This package provides is a decorative, sans serif font by Gluk. Homepage: http://openfontlibrary.org/media/files/gluk/241 Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-okolaks/fonts-okolaks_0.5-5_all.deb Package: fonts-oldstandard Version: 2.2really-2 Installed-Size: 1268 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-oldstandard (<< 2.2really-1) Provides: ttf-oldstandard Conflicts: ttf-oldstandard (<< 2.2really-1) Size: 634420 SHA256: 9505cfd0416ba3bc72624b9d9337fb02cf07e0943e672656a5acd0aa016f54c7 SHA1: 205b8dc671ac8f8d010394666024a82f6acf858e MD5sum: a68262523ae77dbc9cd8a512c3eae7c4 Description: smart font with wide range of Latin, Greek and Cyrillic characters The Old Standard font family is an attempt to revive a specific type of modern (classicistic) antiqua, very commonly used in various editions printed in the late 19th and early 20th century, but almost completely abandoned later. . The full font sources (fontforge .sfd and xgridfit .xdf) and extensive documentation are also available. Homepage: http://www.thessalonica.org.ru/en/fonts.html Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-oldstandard/fonts-oldstandard_2.2really-2_all.deb Package: fonts-opendin Version: 0.1-3 Installed-Size: 57 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-opendin (<< 0.1-2) Provides: ttf-opendin Conflicts: ttf-opendin (<< 0.1-2) Size: 12724 SHA256: ae09c5f3f33c5798c0527fc91d5bcd159338d1c2723b9c47b162ecce262268dd SHA1: 45a11d7ac00fa5b528ef9423fdd496e47b560a19 MD5sum: 2e751667a63cc16435201d226fc1bce4 Description: Open DIN font The idea of this open typeface is being the most close as possible from the Prussian Railways master drawing (public domain license, afaik), and its applications. . This typeface were made using open-source tools, like Fontforge and Inkscape, also for helping their development from using them. Homepage: http://www.kde-look.org/content/show.php/Open+Din+Schriften+Engschrift?content=107153 Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-opendin/fonts-opendin_0.1-3_all.deb Package: fonts-opensymbol Source: libreoffice (1:3.6.1-1+rpi1) Version: 2:102.2+LibO3.6.1-1+rpi1 Architecture: all Maintainer: Debian LibreOffice Maintainers Installed-Size: 369 Recommends: fontconfig Replaces: ttf-opensymbol Provides: ttf-opensymbol Homepage: http://www.libreoffice.org Priority: optional Section: fonts Filename: pool/main/libr/libreoffice/fonts-opensymbol_102.2+LibO3.6.1-1+rpi1_all.deb Size: 155650 SHA256: 432535d2b5c413f31ecabe9e2114d9b739011bd213c14d2c2c21de3525a2f42e SHA1: 27f6039d5583768e344735eda8c391b251a6cb63 MD5sum: c6303b101ace69738319a99930cc3193 Description: OpenSymbol TrueType font This package contains the OpenSymbol TrueType font included in LibreOffice. This font contains symbols (like fonts as Wingdings(tm)), bullets (needed for bullets in LibreOffice) and non-latin character Package: fonts-orya Version: 2:1.1 Installed-Size: 27 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-oriya-fonts Depends: fonts-lohit-orya, fonts-orya-extra Breaks: ttf-oriya-fonts (<< 2:1.0) Size: 2652 SHA256: 7dc483cb94c09c597fb99461314afc1acaf278c79cc0d8725209b87d4d20beb2 SHA1: f7d05c00da60651c70c0db825bb14d0bd500bf96 MD5sum: 896bffbdc24cc27a317bf7834b696505 Description: Meta package to install all Oriya fonts This package allows you to install all available Oriya fonts in Debian. Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-orya/fonts-orya_1.1_all.deb Package: fonts-orya-extra Version: 2.0-2 Installed-Size: 209 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-oriya-fonts Breaks: ttf-oriya-fonts (<< 2:1.0) Size: 84938 SHA256: d2a5261e3f2beaac5b407a61e679bb46ab16db9695c1eeef92a767ac32a780f6 SHA1: 201b47786517bf233fc6f898de358b64cbfb44c1 MD5sum: fee0cfb15a6c983b6d0e7a3d6e711cb9 Description: Free fonts for Oriya script This package provides free fonts for Oriya script (or) which is primarily used in the Indian state of Orissa. . This package contains following font: * Utkal Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-orya-extra/fonts-orya-extra_2.0-2_all.deb Package: fonts-pagul Version: 1.0-5 Installed-Size: 243 Maintainer: Debian-IN Team Architecture: all Size: 75954 SHA256: 4ef70ae14f4a87902a139b97f820361698ed71d8cda8e8a3e1f4405db075b201 SHA1: a009afeba29b7cea81a7c6cd0054fdda5006cd39 MD5sum: b6adba397a99efbb0a4b86b34f41a814 Description: Free TrueType font for the Sourashtra language Pagul TrueType font for the Sourashtra (saz_IN) language which is primarily spoken in the Indian state of Tamil Nadu. Homepage: https://sourceforge.net/projects/pagul/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: extra Filename: pool/main/f/fonts-pagul/fonts-pagul_1.0-5_all.deb Package: fonts-paktype Version: 0.0svn20121225-1 Installed-Size: 2003 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-paktype (<< 0.0-9) Provides: ttf-paktype Conflicts: ttf-paktype (<< 0.0-9) Size: 834522 SHA256: f0047e6b4d8891b56badc17a65d73868ddb87b2326e8f87d67627b27a8885e99 SHA1: 00f81548dc639e6eb4b47c8972e53bba0a787e50 MD5sum: 1e07665e7c41e15f15581b1f79c2d112 Description: PakType free OpenType Urdu fonts These are two free OpenType Urdu fonts (Naqsh and Tehreer), designed and developed by the PakType volunteers. Section: fonts Priority: optional Filename: pool/main/f/fonts-paktype/fonts-paktype_0.0svn20121225-1_all.deb Package: fonts-pecita Version: 3.3-1 Installed-Size: 484 Maintainer: Debian Fonts Task Force Architecture: all Size: 298432 SHA256: f793184ce687e71d20fe7c33a50d7c3233a05200f846f8650af0bbb5d3a4f020 SHA1: 2756a2ee6b95348175e1788061b83ad0b56cfcfe MD5sum: 882a7bca4abd4fee57d752476614240f Description: OpenType hand-written font whose letters are connected Pecita is a hand-written font that give a natural rendition. It includes all of the full Language support for Afrikaans, Archaic Greek Letters, Baltic, Basic Greek, Basic Latin, Catalan, Central European, Chess Symbols, Dutch, Euro, Igbo Onwu, IPA, Latin Ligatures, Mathematical Operators, Pan African Latin, Pinyin, Polytonic Greek, Romanian, Turkish, Vietnamese, Western European. It implements the OpenType features Contextual Alternates, Standard Ligatures, Randomize, Small Capitals, Subscript and Superscript. Homepage: http://pecita.eu Section: fonts Priority: optional Filename: pool/main/f/fonts-pecita/fonts-pecita_3.3-1_all.deb Package: fonts-play Version: 1.002+20111215.1+ds2-1 Installed-Size: 733 Maintainer: Debian Fonts Task Force Architecture: all Pre-Depends: dpkg (>= 1.15.6~) Size: 178834 SHA256: 1ca3fd38db68ef549aa38f0c9734c56c540e879e8c0d060763652b54454395d8 SHA1: 17f0db67203ea73b96928937fa9e6dcd91374a5f MD5sum: b9636eadbfa6dd2cea3e26320244ff47 Description: minimalistic sans serif typeface Play is a minimalistic sans serif typeface designed by Jonas Hecksher, Type Director of Playtype™ Type Foundry. All letters in Play derive from the 'O' – square and circular at the same time. Play is designed with large, open counters, ample lowercase x-heights and a corporate, yet friendly appearance. The combination of these qualities give Play both a high legibility and readability. Homepage: http://code.google.com/p/googlefontdirectory/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-play/fonts-play_1.002+20111215.1+ds2-1_all.deb Package: fonts-prociono Version: 2.3-2 Installed-Size: 121 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-prociono (<< 2.3-2) Provides: ttf-prociono Breaks: ttf-prociono (<< 2.3-2) Size: 58130 SHA256: 80a693b15153307168512ac32f038af8b01784e942f79cf30f784b01f7b5f1b1 SHA1: 0e04afce3e55193a6ba4eeb642b064042a5e47dd MD5sum: 95333d24ff2b1c3093e5078d22335782 Description: font similar to the one used for the Debian logo This is a font that could be used if you need to write Debian as in the logo. An old looking serif font. Some use it for running text. Homepage: http://crudfactory.com/font/show/prociono Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-prociono/fonts-prociono_2.3-2_all.deb Package: fonts-quattrocento Version: 1.1-1 Installed-Size: 98 Maintainer: Debian Fonts Task Force Architecture: all Size: 37892 SHA256: bb697c96e4cc66df2a8dfc946c82be2e08cfa5c2027290eda5b8f29b59df0f14 SHA1: 92bc74180babf1f18017ad58cb9f6b6975a609ee MD5sum: 9cb6b24a7170d65f0dde8f6c81f8479e Description: classic, elegant, sober and strong Roman typeface Its wide and open letterforms, and great x-height, make it very legible for body text at small sizes, while its tiny details that only show up at bigger sizes make it also great for display use. Homepage: http://www.impallari.com/quattrocento/ Section: fonts Priority: optional Filename: pool/main/f/fonts-quattrocento/fonts-quattrocento_1.1-1_all.deb Package: fonts-rufscript Version: 010-3 Installed-Size: 71 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-rufscript (<< 010-3) Conflicts: ttf-rufscript (<< 010-3) Size: 18396 SHA256: 32cfb547a27b9e8a15d79056908e4d98d35a55e35166365a0c9b97a17b8f8cfc SHA1: f53de8ec74988b327c0299c5d7983c1f574d346e MD5sum: 7d7d082fb681e5d040be75d8a8c09389 Description: handwriting-based font for Latin characters Rufscript is a handwriting-based Unicode font containing basic Latin characters and is created using only FOSS tools (Fontforge - Inkscape - GIMP). Homepage: http://hiran.in/blog/rufscript-font Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-rufscript/fonts-rufscript_010-3_all.deb Package: fonts-sahadeva Version: 1.0-2 Installed-Size: 387 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-devanagari-fonts Suggests: fonts-nakula Breaks: ttf-devanagari-fonts (<< 2:1.0) Size: 169214 SHA256: 8ccffa6091ef83b756694a9bd4c7371e11ddc8344c14f4892f70bc98747a89dd SHA1: 31cdec161e2db93debf6a33c7d7465f07dfe5f49 MD5sum: eeb9d916d5da08d3d2c57475367dcaa3 Description: Free Unicode compliant Devanagari font This package provides font for Devanagari script which is used for writing Hindi, Marathi and Sanskrit languages. . This font is in the Kolkata style, with more angular glyphs and greater contrast between thin and thick strokes. Homepage: http://bombay.indology.info/software/fonts/devanagari/index.html Section: fonts Priority: optional Filename: pool/main/f/fonts-sahadeva/fonts-sahadeva_1.0-2_all.deb Package: fonts-samyak Version: 1.2.2-3 Installed-Size: 30 Maintainer: Debian-IN Team Architecture: all Depends: fonts-samyak-mlym (= 1.2.2-3), fonts-samyak-gujr (= 1.2.2-3), fonts-samyak-deva (= 1.2.2-3), fonts-samyak-orya (= 1.2.2-3), fonts-samyak-taml (= 1.2.2-3) Size: 4562 SHA256: e364612be47c1f422da48e142e093e668dcd64747882756f420c7c35660c07d0 SHA1: 97224e6bb8b711db6399274010343d70f0b1fa92 MD5sum: e82cb0401518ae19ad48ba8a08206079 Description: Samyak TrueType fonts for Indian languages This package provides Samyak TrueType fonts for following Indian languages: * Gujarati * Tamil * Devanagari * Oriya * Malayalam Homepage: http://samyak.sarovar.org/ Section: fonts Priority: optional Filename: pool/main/f/fonts-samyak/fonts-samyak_1.2.2-3_all.deb Package: fonts-samyak-deva Source: fonts-samyak Version: 1.2.2-3 Installed-Size: 166 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-devanagari-fonts (<< 2:1.0) Breaks: ttf-devanagari-fonts (<< 2:1.0) Size: 66476 SHA256: 6782b00137e05a6b78db885eb94d547dcee0596192f735ac2a8282c48e3ab74d SHA1: a5646f32451204800db41b5355635e0d6ae8b856 MD5sum: 44004df88ac287e09718aa06b9c74277 Description: Samyak TrueType font for Devanagari script This package provides Samyak TrueType font for Devanagari Script which is used as script for following Indian languages: * Sanskrit * Hindi * Kashmiri * Konkani * Marathi * Maithili * Nepali * Sindhi Homepage: http://samyak.sarovar.org/ Section: fonts Priority: optional Filename: pool/main/f/fonts-samyak/fonts-samyak-deva_1.2.2-3_all.deb Package: fonts-samyak-gujr Source: fonts-samyak Version: 1.2.2-3 Installed-Size: 139 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-gujarati-fonts (<< 2:1.0) Breaks: ttf-gujarati-fonts (<< 2:1.0) Size: 53120 SHA256: 66bbf200c849cfdfdf077fa6852fceef54e72d970cf3513d4801801e280b17d9 SHA1: b29f03bf40393d7e09530cd96afe64cc1bf42e83 MD5sum: 341493576c6ed25cd2879217dac376df Description: Samyak TrueType font for Gujarati language This package provides Samyak TrueType font for Gujarati language (gu) which is primarily used in the Indian state of Gujarat. Homepage: http://samyak.sarovar.org/ Section: fonts Priority: optional Filename: pool/main/f/fonts-samyak/fonts-samyak-gujr_1.2.2-3_all.deb Package: fonts-samyak-mlym Source: fonts-samyak Version: 1.2.2-3 Installed-Size: 86 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-malayalam-fonts (<< 2:1.0) Breaks: ttf-malayalam-fonts (<< 2:1.0) Size: 30048 SHA256: 2cfaa19ce7d89783ba02215455c16b4fd54bef0179a0a84300d0b20c8e6b7561 SHA1: 527ffcd481f854c4bd6c888311804b977171ae1f MD5sum: 04e02626059df94603ab0c497105fd09 Description: Samyak TrueType font for Malayalam language This package provides Samyak TrueType font for Malayalam language (ml) which is primarily used in the Indian state of Kerala. Homepage: http://samyak.sarovar.org/ Section: fonts Priority: optional Filename: pool/main/f/fonts-samyak/fonts-samyak-mlym_1.2.2-3_all.deb Package: fonts-samyak-orya Source: fonts-samyak Version: 1.2.2-3 Installed-Size: 160 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-oriya-fonts (<< 2:1.0) Breaks: ttf-oriya-fonts (<< 2:1.0) Size: 66518 SHA256: a6a647b32bebea6ae095fc82e8200c5bc5d1089a544cf33fc6f522db7ff33deb SHA1: 434fc8d080df100d95f9be3e20e6e6ee14b384d0 MD5sum: deaeeef046c8158e9b38306caee86474 Description: Samyak TrueType font for Oriya language This package provides Samyak TrueType font for Oriya language (or) which is primarily used in the Indian state of Orissa. Homepage: http://samyak.sarovar.org/ Section: fonts Priority: optional Filename: pool/main/f/fonts-samyak/fonts-samyak-orya_1.2.2-3_all.deb Package: fonts-samyak-taml Source: fonts-samyak Version: 1.2.2-3 Installed-Size: 88 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-tamil-fonts (<< 2:1.0) Breaks: ttf-tamil-fonts (<< 2:1.0) Size: 25994 SHA256: e07e5f61641fe1791f26b8166e8740877f85b9df3e790868e6628b1aceab9c41 SHA1: de52decfd4f6fa5fb0abb0240711db06041778e0 MD5sum: 54731affc1997aae86aa2472c23f6f8a Description: Samyak TrueType font for Tamil language This package provides Samyak TrueType font for Tamil language (ta) which is primarily used in the Indian state of TamilNadu. Homepage: http://samyak.sarovar.org/ Section: fonts Priority: optional Filename: pool/main/f/fonts-samyak/fonts-samyak-taml_1.2.2-3_all.deb Package: fonts-sawarabi-gothic Version: 20120615-1 Installed-Size: 1368 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-sawarabi-gothic (<< 20110815-1) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-sawarabi-gothic (<< 20110815-1) Size: 734504 SHA256: 72f5a7ac15204c4c4d6433fabda6667f3f754db7c1a1f9cf2376a4eb573baaac SHA1: 65f18c7df95bd7a434618b23577dae9614c7cbf6 MD5sum: 0af305e42b3ff145e735266056d229a3 Description: gothic font for Japanese Sawarabi Gothic is an original sans serif font including kanji, kana and Latin characters. At present it has at least 3200 glyphs. . Sawarabi, Japanese word, means "newborn bracken", so this font has only tiny glyph set now, but grows up like bracken. Homepage: http://sourceforge.jp/projects/sawarabi-fonts Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sawarabi-gothic/fonts-sawarabi-gothic_20120615-1_all.deb Package: fonts-sawarabi-mincho Version: 20110220-5 Installed-Size: 175 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-sawarabi-mincho (<< 20110220-3) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-sawarabi-mincho (<< 20110220-3) Size: 78090 SHA256: 9146275b72140169b5877b68e449c8c09ef7717d89cf3f5c38ebab5e10196c54 SHA1: ffdf33aefc739601983dcce74b3ea9f29c412f09 MD5sum: e8adebd617b16ab585295d9ae58a7e7c Description: Japanese mincho font - Sawarabi Mincho font Sawarabi Mincho is an original serif font including kanji, kana and Latin characters. At present it has only about 300 glyphs. Homepage: http://sourceforge.jp/projects/sawarabi-fonts Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sawarabi-mincho/fonts-sawarabi-mincho_20110220-5_all.deb Package: fonts-senamirmir-washra Version: 4.1-6 Installed-Size: 2530 Maintainer: Sebastian Bator Architecture: all Size: 1258500 SHA256: 81de0f12ca237ecebba8e98740bd7176ee475ce930db972f3d1b0ab8ae7cf1dc SHA1: f74eaa3abbba656a8230ed3ef8b581b18ac8e48f MD5sum: 50e7cfc9c9335049adc0f36203530749 Description: collection of unicode fonts for the Ethiopic script WashRa is, simply, a set of eleven Ethiopic fonts. All of them support the Ethiopic standard included in Unicode 3.0. The fonts are: Ethiopia Jiret, Ethiopic Zelan, Ethiopic WashRa Bold, Ethiopic WashRa SemiBold, Ethiopic Yigezu Bisrat Gothic, Ethiopic Hiwua, Ethiopic Fantuwua, Ethiopic Yebse, Ethiopic Wookianos, Ethiopic Tint, Ethiopic Yigezu Bisrat Goffer. . These fonts were developed by Abass Alamnehe of the Senamirmir Project (http://www.senamirmir.com). . The WashRa fonts are released under the SIL Open Font License and for more information please visit http://scripts.sil.org/OFL. Homepage: http://www.senamirmir.org/projects/typography/washra.html Tag: iso15924::ethi, made-of::font, role::data, x11::font Section: fonts Priority: extra Filename: pool/main/f/fonts-senamirmir-washra/fonts-senamirmir-washra_4.1-6_all.deb Package: fonts-sil-abyssinica Version: 1.200-3 Installed-Size: 1090 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-sil-abyssinica (<< 1.200-1) Provides: ttf-sil-abyssinica Suggests: fontconfig, libgraphite3, pango-graphite Conflicts: ttf-sil-abyssinica (<< 1.200-1) Size: 754946 SHA256: f5a3c7a0767bea31d1ec8660c46159a02eab2b000e754ca58c342f3ab6f8e4f3 SHA1: 6e9510796126d3387c047752d35778ba08b67fea MD5sum: f3f93f68bc0409d5b9a06312022a74f5 Description: smart Unicode font for Ethiopian and Erythrean scripts (Amharic et al.) The Ethiopic script is used for writing many of the languages of Ethiopia and Eritrea. Ethiopic (U+1200..U+137F) was added to Unicode 3.0. Ethiopic Supplement (U+1380..U+139F) and Ethiopic Extended (U+2D80..U+2DDF) were added to Unicode 4.1. Abyssinica SIL supports all Ethiopic characters which are in Unicode including the Unicode 4.1 extensions. Some languages of Ethiopia are not yet able to be fully represented in Unicode and, where necessary, we have included non-Unicode characters in the Private Use Area. . Please read the documentation to see what ranges are supported and for more about the various features of the font. . Abyssinica SIL is a TrueType font with "smart font" capabilities added using the Graphite, OpenType(r), and AAT font technologies. This means that complex typographic issues such as the placement of multiple diacritics or the formation of ligatures are handled by the font, provided you are running an application that provides an adequate level of support for one of these smart font technologies. . This release is a regular typeface, with no bold or italic version available or planned. . More font sources are available in the source package and on the project website. Webfont versions and examples are also available. Homepage: http://scripts.sil.org/AbyssinicaSIL Tag: iso15924::ethi, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sil-abyssinica/fonts-sil-abyssinica_1.200-3_all.deb Package: fonts-sil-andika Version: 1.002-2 Installed-Size: 2017 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-sil-andika (<< 1.000-developer-1) Provides: ttf-sil-andika Conflicts: ttf-sil-andika (<< 1.000-developer-1) Size: 1055624 SHA256: dfd49f556e7eff435e0877f3805dcbe628198eaef6a956bf68657b866a3b18af SHA1: 0654f268ad3097cc8415a43936dc52c24a2beabc MD5sum: 3908ae5aba097ea4de73bc2bbedc307d Description: extended smart Unicode Latin/Greek font family for literacy Andika ("Write!" in Swahili) is a sans serif, Unicode-compliant font designed especially for literacy use, taking into account the needs of beginning readers. The focus is on clear, easy-to-perceive letterforms that will not be easily confused with one another. . A sans serif font is preferred by some literacy personnel for teaching people to read. Its forms are simpler and less cluttered than some serif fonts can be. For years, literacy workers have had to make do with fonts that were available but not really suitable for beginning readers and writers. In some cases, literacy specialists have had to tediously cobble together letters from a variety of fonts in order to get the all of characters they need for their particular language project, resulting in confusing and unattractive publications. Andika addresses those issues. . After receiving many insightful comments on the Design Review and Basic fonts, Andika's final letterforms have been refined with alternate shapes still available for some characters. This font now contains the same character set as Charis SIL and Doulos SIL. . It provides OpenType and Graphite features like smart code for diacritic placement. It supports recent additions to Unicode and the SIL PUA, and character assignments are updated to conform to Unicode 5.1 Homepage: http://scripts.sil.org/Andika Tag: iso15924::grek, iso15924::latn, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sil-andika/fonts-sil-andika_1.002-2_all.deb Package: fonts-sil-charis Version: 4.106-5 Installed-Size: 6391 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-sil-charis (<< 4.106-5) Provides: ttf-sil-charis Suggests: libgraphite3, pango-graphite Conflicts: ttf-sil-charis (<< 4.106-5) Size: 2260792 SHA256: 74afe6978910cd9e9692e6f7cfa9c82896036c73436b0e29d37a30774558f9d6 SHA1: 9f1f2c8e705158de12edc34bb0c36ae1ed5a1f41 MD5sum: b6372eab43a64a9abf8a775feec7a2f7 Description: smart Unicode font family for Roman or Cyrillic-based writing systems The goal for Charis SIL is to provide a single Unicode-based font family that would contain a comprehensive inventory of glyphs needed for almost any Roman- or Cyrillic-based writing system, whether used for phonetic or orthographic needs. In addition, there is provision for other characters and symbols useful to linguists. . The Charis SIL font contains near-complete coverage of all the characters defined in Unicode 5.1 for Latin and Cyrillic. In total, over 2,200 glyphs are included, providing support for over 1,500 graphic or control characters as well as a large number of ligated character sequences (e.g., contour tone letters used in phonetic transcription of tonal languages). . Four fonts from this typeface family are included in this release: * Charis SIL Regular * Charis SIL Bold * Charis SIL Italic * Charis SIL Bold Italic . Charis SIL is a TrueType font with "smart font" capabilities added using the Graphite, OpenType(r), and AAT font technologies. This means that complex typographic issues such as the placement of multiple diacritics or the formation of ligatures are handled by the font, provided you are running an application that provides an adequate level of support for one of these smart font technologies. . Charis SIL is a trademark of SIL International. . See the FONTLOG for information on this and previous releases. Homepage: http://scripts.sil.org/CharisSILfont Tag: iso15924::cyrl, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sil-charis/fonts-sil-charis_4.106-5_all.deb Package: fonts-sil-dai-banna Version: 2.1-5 Installed-Size: 909 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-sil-dai-banna (<< 2.1-4) Provides: ttf-sil-dai-banna Conflicts: ttf-sil-dai-banna (<< 2.1-4) Size: 572484 SHA256: e8afac0d3216a59edc58ffa031a682330edbc2c451ad0a6e9576bdd6a295b6cb SHA1: d470b1228f272c33c549309124397a05da8dcb11 MD5sum: eaab1392b9242361c9d5cfba00acb3fe Description: smart Unicode font for Dai Banna (Xishuangbanna Dai) This package provides the Dai Banna SIL fonts which are a Unicode rendering of the New Tai Lue (Xishuangbanna Dai) script. Version 2.000 is the first Unicode release. (Version 1.0 was released under the name of 'SIL Dai Banna' in legacy encoding.) Two font families, differing only in weight, allow for a wide range of uses. . The New Tai Lue script is used by approximately 300,000 people who speak the Xishuangbanna Dai language in Yunnan, China. It is a simplification of the Tai Tham (Old Tai Lue) script as used for this language for hundreds of years. . The Dai Banna SIL fonts are smart fonts using a Graphite description. The source package includes the source GDL code for the description. The features of the fonts are: . - complete coverage of the New Tai Lue script - Graphite smarts for re-ordrant vowels and line-breaking . Extended Font sources (Graphite .gdl and FontLab .vfb) are available in the source package and on the project website. Homepage: http://scripts.sil.org/DaiBannaSIL Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sil-dai-banna/fonts-sil-dai-banna_2.1-5_all.deb Package: fonts-sil-doulos Version: 4.106-4 Installed-Size: 1756 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-sil-doulos (<< 4.106-4) Provides: ttf-sil-doulos Suggests: libgraphite3, pango-graphite Conflicts: ttf-sil-doulos (<< 4.106-4) Size: 615028 SHA256: 9e22ebfe44da3e26d74097f1510771212d472eb6ec228cb5054980fc85d128a2 SHA1: 0deb4536f2e7044e3f08b99e9391a954cdf23ecb MD5sum: cdef12ae20bc773a1b84664c98b8995c Description: smart Unicode font for Latin and Cyrillic scripts The goal for the Doulos SIL font is to provide a single Unicode-based font family that would contain a comprehensive inventory of glyphs needed for almost any Roman- or Cyrillic-based writing system, whether used for phonetic or orthographic needs. In addition, there is provision for other characters and symbols useful to linguists. . The Doulos SIL font contains near-complete coverage of all the characters defined in Unicode 5.1 for Latin and Cyrillic. In total, over 2,200 glyphs are included, providing support for over 1,500 graphic or control characters as well as a large number of ligated character sequences (e.g., contour tone letters used in phonetic transcription of tonal languages). . Doulos SIL is a TrueType font with "smart font" capabilities added using the Graphite, OpenType(r), and AAT font technologies. This means that complex typographic issues such as the placement of multiple diacritics or the formation of ligatures are handled by the font, provided you are running an application that provides an adequate level of support for one of these smart font technologies. . Doulos SIL is a trademark of SIL International. . See the FONTLOG for information on this and previous releases. Homepage: http://scripts.sil.org/DoulosSILfont Tag: iso15924::cyrl, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sil-doulos/fonts-sil-doulos_4.106-4_all.deb Package: fonts-sil-ezra Version: 2.51-7 Installed-Size: 428 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-sil-ezra (<< 2.51-7) Provides: ttf-sil-ezra Conflicts: ttf-sil-ezra (<< 2.51-7) Size: 200022 SHA256: 1586ad26056062e9707fc602b3a5c4cc82f3ec6d153a1a21670084726bc0be50 SHA1: f371f1d1ffee8ef60a770bef7e99ffbc1cb219a7 MD5sum: 39ba1c488221e3abc7ccbb0ca90cd6f3 Description: smart Unicode font for Hebrew Ezra SIL is the same typeface as SIL Ezra and is fashioned after the square letter forms of the typography of the Biblia Hebraica Stuttgartensia (BHS), a beautiful Old Testament volume familiar to biblical Hebrew scholars. The Ezra SIL font is an OpenType 'smart' font. . Two fonts from this typeface family are included in this release: . - Ezra SIL version 2.51 (Containing the basic set of Unicode characters needed for Biblical Hebrew texts following the typeface and traditions of the Biblia Hebraica Stuttgartensia.) - Ezra SIL SR version 2.51 (Containing the same set of Unicode characters as above but with a different style of cantillation.) . See the changelog (FONTLOG) for information on this and previous releases. Homepage: http://scripts.sil.org/EzraSIL_Home Tag: iso15924::hebr, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sil-ezra/fonts-sil-ezra_2.51-7_all.deb Package: fonts-sil-galatia Version: 2.1-4 Installed-Size: 369 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-sil-galatia (<< 2.1-4) Provides: ttf-sil-galatia Conflicts: ttf-sil-galatia (<< 2.1-4) Size: 165812 SHA256: 68ff49114cc7e93f0a0d183d5f561df0d31dac7b7b055039465375117d9ab92a SHA1: 9280321180718f0c6d31e467a9cae70d09811d1a MD5sum: 31783f59e570e2b557faec6ea5bcafc6 Description: font family for Latin-1 and Greek (polytonic support) The Galatia SIL Greek Unicode Fonts are a new version of the SIL Galatia font released by SIL in 1997. . The Latin-1 codepage ("A-Z", "a-z" plus some punctuation, etc.) is included in the font. This is to assist with viewing Latin or Roman text. The Macintosh character set for US Roman and the 850 WE/Latin-1 encodings are also included. . Polytonic Greek is supported but Coptic is not. There is no automatic formation of the final sigma. Homepage: http://scripts.sil.org/SILgrkuni Tag: iso15924::grek, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sil-galatia/fonts-sil-galatia_2.1-4_all.deb Package: fonts-sil-gentium Version: 20081126:1.02-12 Installed-Size: 1398 Maintainer: Debian Fonts Task Force Architecture: all Replaces: fonts-gentium, ttf-sil-gentium (<< 20081126:1.02-12) Provides: ttf-sil-gentium Conflicts: fonts-gentium, ttf-sil-gentium (<< 20081126:1.02-12) Size: 684836 SHA256: 880c4612a6bf40f20cfb5b7dfd77146cd03ae03484250a68f1ef1efe7e876534 SHA1: 7886a5abad33b44151c51a32b7918335f28c1cb1 MD5sum: 77f630fca2a2bdaedd653881efc1b735 Description: extended Unicode Latin font ("a typeface for the nations") Gentium ("belonging to the nations" in Latin) is a Unicode typeface family designed to enable the many diverse ethnic groups around the world who use the Latin script to produce readable, high-quality publications. The design is intended to be highly readable, reasonably compact, and visually attractive. Gentium has won a "Certificate of Excellence in Typeface Design" in two major international typeface design competitions: bukva:raz! (2001) and TDC2003 (2003). . Gentium provides glyphs for a wide range of Latin and Greek characters. The additional 'extended' Latin letters are designed to naturally harmonize with the traditional 26 ones. Diacritics are treated with careful thought and attention to their use. Gentium also supports both ancient and modern Greek, including a number of alternate forms. It currently supports the following ranges of Unicode 3.0 (completely unless noted): . Range Description . U+0020-U+007F Basic Latin U+00A0-U+00FF Latin-1 Supplement U+0100-U+017F Latin Extended-A U+0180-U+021F Latin Extended-B U+0222-U+0233 Latin Extended-B (continued) U+0250-U+02AD IPA Extensions U+02B0-U+02FF Spacing Modifier Letters U+0300-U+036F Combining Diacritical Marks U+0370-U+03D5 Greek (not including archaic or Coptic) U+1E00-U+1EFF Latin Extended Additional U+1F00-U+1FFF Greek Extended U+2000-U+203A General Punctuation (partial) U+2070-U+209F Superscripts and Subscripts U+20A0-U+20CF Currency Symbols (partial) U+2100-U+214F Letterlike Symbols (only a couple) . Gentium Regular also includes some Cyrillic glyphs, but they are only early drafts. A future version will include a completely revised set, including italic, and will expand the support for Latin, Greek and Cyrillic to Unicode 4.1. . GentiumAlt is an alternate font with flatter diacritics, specifically designed for languages using multiple accents. . The extended sources (Fontlab .vfb) for this font family are available in the source package and on the project website. Homepage: http://scripts.sil.org/Gentium Tag: culture::greek, iso15924::grek, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sil-gentium/fonts-sil-gentium_1.02-12_all.deb Package: fonts-sil-gentium-basic Version: 1.1-5 Installed-Size: 2135 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-sil-gentium-basic (<< 1.1-4) Provides: ttf-sil-gentium-basic Recommends: fonts-sil-gentium Conflicts: ttf-sil-gentium-basic (<< 1.1-4) Size: 870994 SHA256: b3f17d367c54d131c6bb0dc1086c523c9ca01a1a0899aec756fe3fbbcdfa30a9 SHA1: 124a4f435fbc6dc70e08de17c30852694c8bdc6c MD5sum: b042349739f4fb9c11d690c19646ccb0 Description: smart Unicode font families (Basic and Book Basic) based on Gentium Gentium Basic and Gentium Book Basic are font famililes based on the original Gentium design, but with additional weights. The "Book" family is slightly heavier. Both families come with a complete regular, bold, italic and bold italic set of fonts. . The supported character set, however, is much smaller than for the main Gentium fonts. These "Basic" fonts support only the Basic Latin and Latin-1 Supplement Unicode ranges, plus a selection of the more commonly used extended Latin characters, with miscellaneous diacritical marks, symbols and punctuation. A much more complete character set will be supported in a future version of the complete Gentium fonts. These "Basic" fonts are intended as a way to provide additional weights for basic font users without waiting until the complete Gentium character set is finished. . There are, however, some wonderful new features that are still missing from the main Gentium family: * Bold * Bold Italic * The slightly-heavier Book family * OpenType and Graphite smart code for diacritic placement * A few useful OpenType and Graphite features * Support for a few more recent additions to Unicode * Character assignments updated to Unicode 5.1 . The font sources are available in the source package and directly on the upstream project website. Homepage: http://scripts.sil.org/Gentium_basic Tag: iso15924::latn, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sil-gentium-basic/fonts-sil-gentium-basic_1.1-5_all.deb Package: fonts-sil-nuosusil Version: 2.1.1-7 Installed-Size: 569 Maintainer: Debian Fonts Task Force Architecture: all Replaces: fonts-sil-yi (<< 2.1.1-1), ttf-sil-nuosusil (<< 2.1.1-7) Provides: fonts-sil-yi, ttf-sil-nuosusil Conflicts: fonts-sil-yi (<< 2.1.1-1), ttf-sil-nuosusil (<< 2.1.1-7) Size: 255558 SHA256: 6cb08ea303de8227d8f78c139b7e9b4417b2a4de84047cb49fe7ce1b1cca6504 SHA1: 3e384826986905b8204529c2cd864c4901b3ce2a MD5sum: 886f38d9962129cb6efa6c27195bab9b Description: Unicode font for Yi (a script used in southwestern China) The Nuosu SIL font was originally named SIL Yi and developed in 2000 as a single Unicode font for the standardized Yi script used by a large ethnic group in southwestern China. . The traditional Yi scripts have been in use for centuries, and have a tremendous number of local variants. The script was standardized in the 1970's by the Chinese government. In the process of standardization, 820 symbols from the traditional scripts of the Liangshan region were chosen to form a syllabary. . The syllable inventory of a speech variety from Xide County, Sichuan was used as the phonological basis for standardization. For the most part there is one symbol per phonologically-distinct syllable and vice-versa. The direction of writing and reading was standardized as left-to-right. Punctuation symbols were borrowed from Chinese, and a diacritic was incorporated into the system to mark one of the tones. Homepage: http://scripts.sil.org/SILYi_Home Tag: iso15924::yiii, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sil-nuosusil/fonts-sil-nuosusil_2.1.1-7_all.deb Package: fonts-sil-padauk Version: 2.61-4 Installed-Size: 876 Maintainer: Debian Fonts Task Force Architecture: all Suggests: libgraphite3, pango-graphite Size: 265966 SHA256: c7d76197b995c504e8c442d0e765034332ee6dbf96124c3f3aea26455586e394 SHA1: b520567b223bf404032799094163b11b6eb59c0e MD5sum: 15adef6133527637c6167a85fe4719ca Description: smart Unicode font for languages in Myanmar Padauk is a Myanmar font covering all currently used characters in the Myanmar block as specified in Unicode 5.1 . The font is a smart font using a Graphite description. . The GDL source code for the description and the corresponding documentation is available on the website or in the source package (simply type "apt-get source" to download it). In addition OpenType tables have been added. . The features of the font are complete coverage of Myanmar script (excluding unused characters U+1050..U+1059), Graphite smarts including line breaking rules, OpenType tables for use on Windows. . Two fonts from this typeface family are included in this release: * Padauk * Padauk Bold Homepage: http://scripts.sil.org/Padauk Tag: iso15924::mymr, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sil-padauk/fonts-sil-padauk_2.61-4_all.deb Package: fonts-sil-scheherazade Version: 1.001-8 Installed-Size: 322 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-sil-scheherazade (<< 1.001-7) Provides: ttf-sil-scheherazade Conflicts: ttf-sil-scheherazade (<< 1.001-7) Size: 137098 SHA256: 6b32b54c9ede6f36d94438ede63413bd14bc7c51521a59d1a8425aa735c105f5 SHA1: dbd637cae958a3a586510a0bdabe7d4c9370b098 MD5sum: ffac8b768182f66f93efb42a9ab9e7cf Description: smart Unicode font for Arabic Scheherazade, named after the heroine of the classic Arabian Nights tale, is designed in a similar style to traditional typefaces such as Monotype Naskh, extended to cover the full Unicode Arabic repertoire. . The goal for this product was to provide a single Unicode-based font family that would contain a comprehensive inventory of glyphs needed for almost any Arabic-based writing system. This font makes use of state-of-the-art font technologies to support complex typographic issues. . This font provides a simplified rendering of Arabic script, using basic connecting glyphs but not including a wide variety of additional ligatures or contextual alternates (only the required lam-alef ligatures). This simplified style is often preferred for clarity, especially in non-Arabic languages, but may be considered unattractive in more traditional and literate communities. . One font from this typeface family is included in this release: - Scheherazade Regular . This release supports virtually all of the Unicode 5.0 Arabic character repertoire (excluding the Arabic Presentation Forms blocks, which are not recommended for normal use). Font smarts are implemented using OpenType technology. Homepage: http://scripts.sil.org/ArabicFonts Tag: culture::arabic, iso15924::arab, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sil-scheherazade/fonts-sil-scheherazade_1.001-8_all.deb Package: fonts-sil-sophia-nubian Version: 1.000-5 Installed-Size: 500 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-sil-sophia-nubian (<< 1.000-5) Provides: ttf-sil-sophia-nubian Conflicts: ttf-sil-sophia-nubian (<< 1.000-5) Size: 201950 SHA256: 4153c6e00ae645df5a65c6d0477b84cf4b50aa6eb385f3c2f5ed1c518a0bcc16 SHA1: 03b35f5418d3bea5c67172e3e3dfe76231f96553 MD5sum: 2fe7eca0dc0d5c1e4c5eafb314e8fb62 Description: smart Unicode font family for Nubian languages using Coptic Sophia Nubian is a sans serif, Unicode-compliant font based on the SIL Sophia (similar to Univers) typeface. Its primary purpose is to provide adequate representation for Nubian languages which use the Coptic Unicode character set. Since Nubian languages do not use casing, uppercase characters are not included in this font. A basic set of Latin glyphs is also provided. . OpenType and Graphite smart code are available for Nubian macrons and "u" vowel. . Extended font sources are available. Homepage: http://scripts.sil.org/SophiaNubian Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sil-sophia-nubian/fonts-sil-sophia-nubian_1.000-5_all.deb Package: fonts-sil-zaghawa-beria Version: 1.000-2 Installed-Size: 120 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-sil-zaghawa-beria (<< 1.000-2) Provides: ttf-sil-zaghawa-beria Conflicts: ttf-sil-zaghawa-beria (<< 1.000-2) Size: 42930 SHA256: 24b0f593f1a9607d6c4c39548bf51a8a114cdbdd01412a33136618fe678bce2c SHA1: 7cd33195e15f1b00ffd9eaed38a651076923c41a MD5sum: 6ff1851639fed786797f6d92819753d8 Description: font for Zaghawa Beria (script used in western Sudan and eastern Chad) This alphabet is built around a sampling of the markings on livestock (especially camels) within the Zaghawa Beria language region of western Sudan and eastern Chad. It is an idea that has its origins in the work of a Sudanese schoolteacher, who developed the first version of this over 25 years ago. The script has since been better adapted to the Zaghawa Beria language by Siddik Adam Issa, and he has found a great enthusiasm by the people for what he has put together. . Note that this font is not encoded according to The Unicode Standard, as the Zaghawa Beria script has not yet been accepted into the standard. Homepage: http://scripts.sil.org/ZaghawaBeria_Home Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sil-zaghawa-beria/fonts-sil-zaghawa-beria_1.000-2_all.deb Package: fonts-sipa-arundina Version: 0.2.0-5 Installed-Size: 1128 Maintainer: Theppitak Karoonboonyanan Architecture: all Replaces: ttf-thai-arundina (<< 0.1.3) Breaks: ttf-thai-arundina (<< 0.1.3) Size: 438026 SHA256: cbb4b4d290c3310d6475854ba0e7344acfd048e97c51388d88c63828bac3d5e2 SHA1: da88504626b808e95cdec371c3696032edc06d9d MD5sum: a8cb56b1d46c255c66ad89684ae5f4fe Description: Thai DejaVu-compatible fonts Arundina fonts for Thai script. . The fonts are designed to be compatible with Bitstream Vera or DejaVu fonts. Serif, sans-serif and monospace type faces are included. Homepage: http://linux.thai.net/projects/fonts-sipa-arundina Tag: iso15924::thai, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-sipa-arundina/fonts-sipa-arundina_0.2.0-5_all.deb Package: fonts-smc Version: 5.0.1-2 Installed-Size: 2414 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-malayalam-fonts Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-malayalam-fonts (<< 2:1.0) Size: 800808 SHA256: a8aedd21bcbee9626fddfddd922c5b903940271432b043f7d309cf1b8f04b756 SHA1: d7f81c323d47c6fcb44e7e65b09211c2777bda17 MD5sum: fa742382f3a71c702e7314619c0768cd Description: Various TrueType fonts for Malayalam Language This package provides various TrueType fonts for Malayalam script which is primarily used in the state of Kerala. These fonts are developed by SMC community. Following fonts are shipped with this package: * AnjaliOldLipi * Dyuti * Kalyani * Meera * Rachana * RaghuMalaylamSans * Suruma Homepage: http://savannah.nongnu.org/projects/smc Section: fonts Priority: optional Filename: pool/main/f/fonts-smc/fonts-smc_5.0.1-2_all.deb Package: fonts-stix Version: 1.1.0-1 Installed-Size: 1358 Maintainer: Atsuhito KOHDA Architecture: all Replaces: otf-stix (<= 1.1.0~beta1-1) Provides: otf-stix Conflicts: otf-stix (<= 1.1.0~beta1-1) Size: 762802 SHA256: e542170fa35919edf749b37f1372256d399cd680f36e178b22b6627b9a0327d9 SHA1: 22da22348bd9e2b7cddd1f4d00f7f2da6a63ad8d MD5sum: fc8331810a41898fa1f18a40167aae63 Description: Scientific and Technical Information eXchange fonts The mission of the Scientific and Technical Information Exchange (STIX) font creation project is the preparation of a comprehensive set of fonts that serve the scientific and engineering community in the process from manuscript creation through final publication, both in electronic and print formats. Homepage: http://www.stixfonts.org Tag: made-of::font, role::data, x11::font Section: fonts Priority: extra Filename: pool/main/f/fonts-stix/fonts-stix_1.1.0-1_all.deb Package: fonts-takao Version: 003.02.01-7.1 Installed-Size: 25 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-takao (<< 003.02.01-5) Depends: fonts-takao-gothic, fonts-takao-mincho Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-takao (<< 003.02.01-5) Size: 10268 SHA256: 819cd043320381f92a406d6094a989ccec728f16afca5e6fc9c0533b9a640d73 SHA1: deb9c15b6e17d4471441575202e680a49f042731 MD5sum: f97281cf36e002fc1a8356e6a466b80d Description: Japanese TrueType font set, Takao Fonts Takao Fonts are Japanese gothic and mincho scalable fonts. They are suitable for both display and printing. This package provides them in TrueType format. . Takao Fonts are based on IPA Fonts and IPAex Fonts. Takao's purpose is to make it possible to maintain and release the fonts by the community with changing their names. . This is metapackage, depends on fonts-takao-{mincho,gothic} packages. Homepage: https://launchpad.net/takao-fonts Tag: culture::japanese, made-of::font, role::data, role::metapackage, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-takao/fonts-takao_003.02.01-7.1_all.deb Package: fonts-takao-gothic Source: fonts-takao Version: 003.02.01-7.1 Installed-Size: 18085 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-takao-gothic (<< 003.02.01-5) Provides: fonts-japanese-gothic Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-takao-gothic (<< 003.02.01-5) Size: 4929724 SHA256: 60204d01be1bed4cf40ae77a875e4a957c19546ac0635091d59bf94c36e5c8b4 SHA1: 0bc8af6ec5f0a90efcd88a50cf3409a32b1ee93c MD5sum: 93e05141318b5713d239bd9250710035 Description: Japanese TrueType font set, Takao Gothic Fonts Takao Fonts are Japanese gothic and mincho scalable fonts. They are suitable for both display and printing. This package provides them in TrueType format. . Takao Fonts are based on IPA Fonts and IPAex Fonts. Takao's purpose is to make it possible to maintain and release the fonts by the community with changing their names. . This package includes Takao Gothic, Takao P Gothic and Takao Ex Gothic. Homepage: https://launchpad.net/takao-fonts Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-takao/fonts-takao-gothic_003.02.01-7.1_all.deb Package: fonts-takao-mincho Source: fonts-takao Version: 003.02.01-7.1 Installed-Size: 23357 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-takao-mincho (<< 003.02.01-5) Provides: fonts-japanese-mincho Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-takao-mincho (<< 003.02.01-5) Size: 6354996 SHA256: ee9bac0dad29fa5f7bd34377f4667e3c7ba7926c04030cfce60cc23c51068a15 SHA1: 9fa09b0715302b4a792b7913701e9e773aa9f7bd MD5sum: 50d175341a61f3745ca4455e07527c2a Description: Japanese TrueType font set, Takao Mincho Fonts Takao Fonts are Japanese gothic and mincho scalable fonts. They are suitable for both display and printing. This package provides them in TrueType format. . Takao Fonts are based on IPA Fonts and IPAex Fonts. Takao's purpose is to make it possible to maintain and release the fonts by the community with changing their names. . This package includes Takao Mincho, Takao P Mincho and Takao Ex Mincho. Homepage: https://launchpad.net/takao-fonts Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-takao/fonts-takao-mincho_003.02.01-7.1_all.deb Package: fonts-taml Version: 2:1.2 Installed-Size: 27 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-tamil-fonts Depends: fonts-lohit-taml, fonts-samyak-taml Breaks: ttf-tamil-fonts (<< 2:1.0) Size: 2708 SHA256: cbff76a41a57bea69200e517fd7608a573834d439e4f8659542608cc0c77f8cd SHA1: ece6730830126a4bda40ef7a7cb0a82fbf0da4b7 MD5sum: 336b06ba87feedfb9497c2ffea86033f Description: Meta package to install all Tamil fonts This package allows you to install all available Tamil fonts in Debian. Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-taml/fonts-taml_1.2_all.deb Package: fonts-taml-tamu Version: 1.0-1 Installed-Size: 339 Maintainer: Debian-IN Team Architecture: all Size: 178926 SHA256: 70de3b96ac4a05f57f4cdb56d9625330bdfa59832a49c25fe79dbf2580bb1310 SHA1: c2a1970f4be34fe9e312f4d4791b9313fd4cd061 MD5sum: bb6666c322ad72c2c05d782ee70f747f Description: TAMu family of non Unicode fonts for Tamil script This package provides following TAMu family of non Unicode fonts for Tamil script: . * TAMu_Kadampari * TAMu_Kalyani * TAMu_Maduram . Tamil is widely spoken language in the Indian state of TamilNadu and Sri Lanka. Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-taml-tamu/fonts-taml-tamu_1.0-1_all.deb Package: fonts-taml-tscu Version: 1.0-1 Installed-Size: 402 Maintainer: Debian-IN Team Architecture: all Size: 189242 SHA256: dc280f070c5cc60fac200d26b0f3ccd84722c0e32b9279a3bf70f47f6bdc3166 SHA1: 567ea9dea9be9f8714862350b374a6aff69e8210 MD5sum: 794e315921d897de6dcaa8050506cd11 Description: TSCu family of non Unicode fonts for Tamil script This package provides following TSCu family of non Unicode fonts * TSCu_Comic * TSCu_Paranar * TSCu_Times * TSCu_paranarb * TSCu_paranari Tamil is the widely used language in the Indian state of TamilNadu and Sri Lanka. Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-taml-tscu/fonts-taml-tscu_1.0-1_all.deb Package: fonts-telu Version: 2:1.1 Installed-Size: 27 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-telugu-fonts Depends: fonts-lohit-telu, fonts-telu-extra Breaks: ttf-telugu-fonts (<< 2:1.0) Size: 2626 SHA256: 3dd06d2b20eab7de5c314f84a134a9f465d67a363300f1f2de4e44cd2600dcba SHA1: 1316b8446d011f415f7f0f26969eef9a02ab1f15 MD5sum: 03766c69d4d8f215452396339c60d80c Description: Meta package to install all Telugu fonts This package allows you to install all available Telugu fonts in Debian. Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-telu/fonts-telu_1.1_all.deb Package: fonts-telu-extra Version: 2.0-2 Installed-Size: 454 Maintainer: Debian-IN Team Architecture: all Replaces: ttf-telugu-fonts Breaks: ttf-telugu-fonts (<< 2:1.0) Size: 217172 SHA256: 92f89cb7255873549db67f5ab44bae64f5b2b22caa0aed1e3d329cc05f69d1a4 SHA1: 863238a778ee81f071ab8d35a197409a39f9f927 MD5sum: 732c931d286b819b992ff3a4b8ad71c1 Description: Free fonts for Telugu script This package provides free fonts for Telugu script (te) which is widely used in the Indian state of Andhra Pradesh. Homepage: http://alioth.debian.org/projects/debian-in Section: fonts Priority: optional Filename: pool/main/f/fonts-telu-extra/fonts-telu-extra_2.0-2_all.deb Package: fonts-thai-tlwg Source: fonts-tlwg Version: 1:0.5.0-5 Installed-Size: 66 Maintainer: Theppitak Karoonboonyanan Architecture: all Depends: fonts-tlwg-garuda, fonts-tlwg-kinnari, fonts-tlwg-loma, fonts-tlwg-mono, fonts-tlwg-norasi, fonts-tlwg-purisa, fonts-tlwg-sawasdee, fonts-tlwg-typewriter, fonts-tlwg-typist, fonts-tlwg-typo, fonts-tlwg-umpush, fonts-tlwg-waree Size: 39098 SHA256: b3ac087b0296bce5ab184a3b448cae7df8166c5757c760bfb180c383672df095 SHA1: b9844bd560fe50b8b3772b9bdb4b16bbc8f9466b MD5sum: 7f814c167fe90dd7896e94e4b09b650d Description: Thai fonts maintained by TLWG (meta package) Shortcut for installing all Thai fonts that are collected, enhanced and maintained by Thai Linux Working Group (TLWG). . Most modern desktop users who want to use Thai language should install this package. Homepage: http://linux.thai.net/projects/fonts-tlwg Tag: culture::thai, iso15924::thai, made-of::font, role::metapackage, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tlwg/fonts-thai-tlwg_0.5.0-5_all.deb Package: fonts-tibetan-machine Version: 1.901b-4 Installed-Size: 4449 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-tmuni (<< 1.901b-3) Provides: ttf-tmuni Conflicts: ttf-tmuni (<< 1.901b-3) Size: 1630236 SHA256: 8fdedf4acb0779e5cb5f2768de624ca75ba66e1340e9e47fe62ef75c1b816597 SHA1: 55cf52d013b8ab80d6dc6fe81268444cd2540ef2 MD5sum: 2c25ac63f9467976f1b485162dc05def Description: font for Tibetan, Dzongkha and Ladakhi (OpenType Unicode) This package provides the Tibetan Machine Unicode font from the THDL project (http://www.thdl.org/). The font contains around 5,100 glyphs and can generate over 20,000 different combinations with full support for the Sanskrit combinations found in 'chos skad' texts. Tag: iso15924::tibt, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tibetan-machine/fonts-tibetan-machine_1.901b-4_all.deb Package: fonts-tlwg-garuda Source: fonts-tlwg Version: 1:0.5.0-5 Installed-Size: 408 Maintainer: Theppitak Karoonboonyanan Architecture: all Replaces: ttf-thai-tlwg (<< 1:0.4.16) Breaks: ttf-thai-tlwg (<< 1:0.4.16) Size: 181034 SHA256: 20a9e675d1b05b5a9adb6aed3eb198c772910543f1733a7dbe941126bcb0eec8 SHA1: 74348ddabf2ce2671c2d80966fdef4fafb759d34 MD5sum: eaff980953331390858f888d92172df9 Description: Thai Garuda font This package provides Thai Garuda font, code-named "NF2", from the National Font Project. . The font provides Arial-compatible Thai monoweight glyphs traditionally used in school books and official documents. Homepage: http://linux.thai.net/projects/fonts-tlwg Tag: culture::thai, iso15924::thai, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tlwg/fonts-tlwg-garuda_0.5.0-5_all.deb Package: fonts-tlwg-kinnari Source: fonts-tlwg Version: 1:0.5.0-5 Installed-Size: 646 Maintainer: Theppitak Karoonboonyanan Architecture: all Replaces: ttf-thai-tlwg (<< 1:0.4.16) Breaks: ttf-thai-tlwg (<< 1:0.4.16) Size: 290102 SHA256: 23469967e87aa039c71d95f01cf925ed39f100b6da5986c6d11226f3b26e6f4e SHA1: 834b396f4765b465d892706c705ef57901990c84 MD5sum: b9bc8dc149c1d1406e7e3d186dda43bd Description: Thai Kinnari font This package provides Thai Kinnari font, code-named "NF1", from the National Font Project. . The font provides Times-compatible Thai glyphs traditionally used in school books and official documents. Homepage: http://linux.thai.net/projects/fonts-tlwg Tag: culture::thai, iso15924::thai, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tlwg/fonts-tlwg-kinnari_0.5.0-5_all.deb Package: fonts-tlwg-loma Source: fonts-tlwg Version: 1:0.5.0-5 Installed-Size: 426 Maintainer: Theppitak Karoonboonyanan Architecture: all Replaces: ttf-thai-tlwg (<< 1:0.4.16) Breaks: ttf-thai-tlwg (<< 1:0.4.16) Size: 180942 SHA256: 2af4ae3a5cac4fe5835a96bbecde363b4867f23859928ee8f6d00ac0b30b58d7 SHA1: c0c18c793c5694990948130d567568aa8f1da5b3 MD5sum: 833148c76728f0791bc68da6ce14bad1 Description: Thai Loma font This package provides Thai Loma UI font from NECTEC. . The font provides Arial-compatible Thai glyphs with metrics optimized for on-screen user interface. For example, line spacing is reduced from regular typographic designs to allow more lines displayed on screen. Homepage: http://linux.thai.net/projects/fonts-tlwg Tag: culture::thai, iso15924::thai, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tlwg/fonts-tlwg-loma_0.5.0-5_all.deb Package: fonts-tlwg-mono Source: fonts-tlwg Version: 1:0.5.0-5 Installed-Size: 491 Maintainer: Theppitak Karoonboonyanan Architecture: all Replaces: ttf-thai-tlwg (<< 1:0.4.16) Breaks: ttf-thai-tlwg (<< 1:0.4.16) Size: 193940 SHA256: 2bdebe540cff0635494a1a1050b47b0ffd17a010ed275114d4cd5c1bc55a1c95 SHA1: c04310a9b27d0febd1eb913e8ed15921da2671e9 MD5sum: 6102ff20add8045cd6488df22c0e2fd3 Description: Thai TlwgMono font This package provides Thai TlwgMono monospace font from TLWG. . The font provides Thai purely monospace font, i.e. all glyphs are of the same width, even for combining characters. . The glyph shapes are designed to look like Thai X bitmap font for terminal. Homepage: http://linux.thai.net/projects/fonts-tlwg Tag: culture::thai, iso15924::thai, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tlwg/fonts-tlwg-mono_0.5.0-5_all.deb Package: fonts-tlwg-norasi Source: fonts-tlwg Version: 1:0.5.0-5 Installed-Size: 743 Maintainer: Theppitak Karoonboonyanan Architecture: all Replaces: ttf-thai-tlwg (<< 1:0.4.16) Breaks: ttf-thai-tlwg (<< 1:0.4.16) Size: 326380 SHA256: 891522134d420414cecec418347098e14a01648dd579e246f491d0a823077f0a SHA1: a219bf6fe928dfd0c4be439ae11fb3c3b6b588d5 MD5sum: e06c3aefd1ad6d399c3d0d1eaa46025b Description: Thai Norasi font This package provides Thai Norasi font, code-name "NF3", from the National Font Project. . The font provides Times-compatible Thai glyphs with a rich set of Latin glyphs for typesetting books. It was originally created for the Omega (Unicode-based TeX kernel) project. Homepage: http://linux.thai.net/projects/fonts-tlwg Tag: culture::thai, iso15924::thai, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tlwg/fonts-tlwg-norasi_0.5.0-5_all.deb Package: fonts-tlwg-purisa Source: fonts-tlwg Version: 1:0.5.0-5 Installed-Size: 666 Maintainer: Theppitak Karoonboonyanan Architecture: all Replaces: ttf-thai-tlwg (<< 1:0.4.16) Breaks: ttf-thai-tlwg (<< 1:0.4.16) Size: 320840 SHA256: 23f3b26aac70ca9b366622f0520aeeb981aa77b88ad1f53e5e8be372fb9fb63f SHA1: 2fc121f2edb7265ca226126f3a9103d905277fe1 MD5sum: 9914ef46810ef876ce97a215af611e01 Description: Thai Purisa font This package provides Thai Purisa handwriting font from TLWG. . The font provides handwriting Thai glyphs. Latin handwriting glyphs are also available. Homepage: http://linux.thai.net/projects/fonts-tlwg Tag: culture::thai, iso15924::thai, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tlwg/fonts-tlwg-purisa_0.5.0-5_all.deb Package: fonts-tlwg-sawasdee Source: fonts-tlwg Version: 1:0.5.0-5 Installed-Size: 438 Maintainer: Theppitak Karoonboonyanan Architecture: all Replaces: ttf-thai-tlwg (<< 1:0.4.16) Breaks: ttf-thai-tlwg (<< 1:0.4.16) Size: 179354 SHA256: 842a186c38d019ff8c8b1e7b7b3e88ee3b6ae8077dc115ecb9d58faceb9994df SHA1: e41afc86322bd67e89c629158a731d520ddce43f MD5sum: 2e95c3210d182a9066fd48c82a1776e6 Description: Thai Sawasdee font This package provides Thai Sawasdee decorative font from TLWG. . The font provides round-shaped Thai glyphs for decoration. It can also be used in stylish body text. Homepage: http://linux.thai.net/projects/fonts-tlwg Tag: culture::thai, iso15924::thai, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tlwg/fonts-tlwg-sawasdee_0.5.0-5_all.deb Package: fonts-tlwg-typewriter Source: fonts-tlwg Version: 1:0.5.0-5 Installed-Size: 487 Maintainer: Theppitak Karoonboonyanan Architecture: all Replaces: ttf-thai-tlwg (<< 1:0.4.16) Breaks: ttf-thai-tlwg (<< 1:0.4.16) Size: 195036 SHA256: da9d772de46881781d45aa036ccd94bcc7816c16bbcb8bad66c64ba1783f0e20 SHA1: b473635ab814e2f0425f443015ff9ef2059726c7 MD5sum: 5239401c777d01553563f07cc6b0a0e7 Description: Thai TlwgTypewriter font This package provides Thai TlwgTypewriter monospace font from TLWG. . The font provides Thai semi-monospace font, i.e. all glyphs are of the same width, except combining characters. . The glyph shapes are designed to look like Thai X bitmap font for terminal. Homepage: http://linux.thai.net/projects/fonts-tlwg Tag: culture::thai, iso15924::thai, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tlwg/fonts-tlwg-typewriter_0.5.0-5_all.deb Package: fonts-tlwg-typist Source: fonts-tlwg Version: 1:0.5.0-5 Installed-Size: 490 Maintainer: Theppitak Karoonboonyanan Architecture: all Replaces: ttf-thai-tlwg (<< 1:0.4.16) Breaks: ttf-thai-tlwg (<< 1:0.4.16) Size: 195182 SHA256: b23caf5f019c9e1f4173af4f3c0f996aac0cbffe3f9d34309074dd2b8de49828 SHA1: 06890642af0cfed6c0227fc61ab0646ed3911aab MD5sum: f4524b908edd6e2cea20c1170c9b75fe Description: Thai TlwgTypist font This package provides Thai TlwgTypist monospace font from TLWG. . The font provides Thai semi-monospace font, i.e. all glyphs are of the same width, except combining characters. . The glyph shapes are designed to look like Thai electric typewriters. Homepage: http://linux.thai.net/projects/fonts-tlwg Tag: culture::thai, iso15924::thai, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tlwg/fonts-tlwg-typist_0.5.0-5_all.deb Package: fonts-tlwg-typo Source: fonts-tlwg Version: 1:0.5.0-5 Installed-Size: 490 Maintainer: Theppitak Karoonboonyanan Architecture: all Replaces: ttf-thai-tlwg (<< 1:0.4.16) Breaks: ttf-thai-tlwg (<< 1:0.4.16) Size: 194864 SHA256: d7f2dc4b3b2cb5ade399063f1585716d6a2e748416659b72986d93e19cfb42f2 SHA1: dd9533c3e6349bc192dd18af6bf7994e79c4b8ae MD5sum: 8ebc559433512a5b6d29518369743a4e Description: Thai TlwgTypo font This package provides Thai TlwgTypo monospace font from TLWG. . The font provides Thai purely monospace font, i.e. all glyphs are of the same width, even for combining characters, but with some rules in the font to combine them to base characters. . The glyph shapes are designed to look like Thai electric typewriters. Homepage: http://linux.thai.net/projects/fonts-tlwg Tag: culture::thai, iso15924::thai, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tlwg/fonts-tlwg-typo_0.5.0-5_all.deb Package: fonts-tlwg-umpush Source: fonts-tlwg Version: 1:0.5.0-5 Installed-Size: 590 Maintainer: Theppitak Karoonboonyanan Architecture: all Replaces: ttf-thai-tlwg (<< 1:0.4.16) Breaks: ttf-thai-tlwg (<< 1:0.4.16) Size: 239404 SHA256: 8e8948fea7900a357fc27bad5912c02ff18a966a3279b31044b576b6aa035ef1 SHA1: f97f6e8dcad89c3f05079edb5e6765481d4cbe09 MD5sum: 5a97e9e2879a655aa42cbf95037ec8d3 Description: Thai Umpush font This package provides Thai Umpush font from TLWG. . The font provides arch-shaped Thai glyphs similar to Cordia. The style is mostly used in pocket books or semi-official documents. Homepage: http://linux.thai.net/projects/fonts-tlwg Tag: culture::thai, iso15924::thai, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tlwg/fonts-tlwg-umpush_0.5.0-5_all.deb Package: fonts-tlwg-waree Source: fonts-tlwg Version: 1:0.5.0-5 Installed-Size: 450 Maintainer: Theppitak Karoonboonyanan Architecture: all Replaces: ttf-thai-tlwg (<< 1:0.4.16) Breaks: ttf-thai-tlwg (<< 1:0.4.16) Size: 186634 SHA256: 51589b9388e3eeeaa5cb84df97d2385b126c05ef74a95b328a6aacd09e2ee7fd SHA1: afc2452b1a1d16f286440105047c96add047385f MD5sum: 4c774a86caafde3a8696e6868e747dba Description: Thai Waree font This package provides Thai Waree font from TLWG. . The font provides DejaVu-compatible Thai glyphs with metrics optimized for on-screen user interface. For example, line spacing is reduced from regular typographic designs to allow more lines displayed on screen. Homepage: http://linux.thai.net/projects/fonts-tlwg Tag: culture::thai, iso15924::thai, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tlwg/fonts-tlwg-waree_0.5.0-5_all.deb Package: fonts-tomsontalks Version: 1.1-3 Installed-Size: 158 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-tomsontalks (<< 1.1-3) Provides: ttf-tomsontalks Conflicts: ttf-tomsontalks (<< 1.1-3) Size: 66398 SHA256: 4ae02485a1d72e21b5e2e34eda4233fca5bdd901f56f97ebbedc2224a317c2f8 SHA1: 2dc95c07f9c95e210171901b46ac8658da55730b MD5sum: 704c300105bc8bf5f75647282d4e2034 Description: comic lettering font This package provides a comic lettering font created with online comics in mind. It is named for the character Police Inspector Benedict Tomson from the comic Unusual Murders. Homepage: http://frabru.de/c.php/resource/font/TomsonTalks/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tomsontalks/fonts-tomsontalks_1.1-3_all.deb Package: fonts-tuffy Version: 20120614-1 Installed-Size: 588 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-tuffy (<< 20110822-1) Provides: ttf-tuffy Conflicts: ttf-tuffy (<< 20110822-1) Size: 295068 SHA256: 04bd3c0cda118e293d0584cfd4699a10497fee274e72f029a8b2e2af7da3ec16 SHA1: ce29b86003e0fa88a343be53498a33aa42654af7 MD5sum: 91562612a254adee10a2c68ea43bd22d Description: The Tuffy Truetype Font Family Thatcher Ulrich's first outline font design. He started with the goal of producing a neutral, readable sans-serif text font. There are lots of "expressive" fonts out there, but he wanted to start with something very plain and clean, something he might want to actually use. Homepage: http://tulrich.com/fonts/ Section: fonts Priority: optional Filename: pool/main/f/fonts-tuffy/fonts-tuffy_20120614-1_all.deb Package: fonts-ubuntu-title Version: 1:0.3-1 Installed-Size: 79 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-ubuntu-title (<< 1:0.3-1) Conflicts: ttf-ubuntu-title (<< 1:0.3-1) Size: 18000 SHA256: d100349b89b723332611d630a2298cdea6d58a433ee481e8059c47dc1a898e43 SHA1: 9a25111703cd3cfac63cf45ffe6e1e782874db5a MD5sum: 605cd1ce33ae570b5a9c58871e202aab Description: font used to create the Ubuntu logo (2004‒2010) This font was used to create the lettering of the Ubuntu logo, it was made available by Canonical under the OFL 1.1 and the GPL 2 with font exception to make rebranding of Ubuntu easier and to provide LoCos (Language communities) with a font to create material related to Ubuntu in their own language. . It is no longer used in the Ubuntu logo. It was in use between 2004 and 2010. Homepage: https://launchpad.net/ubuntutitle/ Section: fonts Priority: extra Filename: pool/main/f/fonts-ubuntu-title/fonts-ubuntu-title_0.3-1_all.deb Package: fonts-ukij-uyghur Version: 20110217-2 Installed-Size: 21200 Maintainer: Debian Fonts Task Force Architecture: all Size: 9436066 SHA256: aefc9c4edd966ada597499034c0a70334d8c189b00136b882b2c3f01d8571e05 SHA1: 41a8d55f35b463f04c0a614b167206f0acfbf3dd MD5sum: 299dab5f8fd59e399cfaca8d19417b3b Description: fonts for Uyghur language This package provides a set of fonts designed to fully support the Uyghur language. . These fonts are designed and assembled by the Uyghur Kompyutér Illimi Jem'iyiti (Uyghur Computer Science Association). Homepage: http://ukij.org/fonts/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-ukij-uyghur/fonts-ukij-uyghur_20110217-2_all.deb Package: fonts-umeplus Version: 20120403-3 Installed-Size: 9501 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-umeplus (<< 20110130-2) Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-umeplus (<< 20110130-2) Size: 2045472 SHA256: e6807836fa7835fe75b3b0c9fc997a842e4fdb595da6a7e0d5642736717054ab SHA1: 8623ba55cd85596f2030860bfac15e85eacff595 MD5sum: fa8d57387c33d5727d6b101279061e70 Description: Japanese TrueType gothic fonts, based on Umefont and M+Font UmePlus is Japanese TrueType gothic font, mixed Umefont and M+Font. It consists of * UmePlus Gothic * UmePlus P Gothic . And also, Umeplus is the default Japanese font for Mandriva Linux. Homepage: http://www.geocities.jp/ep3797/modified_fonts_01.html Tag: culture::japanese, iso15924::jpan, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-umeplus/fonts-umeplus_20120403-3_all.deb Package: fonts-unfonts-core Version: 1.0.2-080608-6 Installed-Size: 33443 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-unfonts (<< 1.0.1-7), ttf-unfonts-core (<< 1.0.2-080608-4) Conflicts: ttf-unfonts (<< 1.0.1-7), ttf-unfonts-core (<< 1.0.2-080608-4) Size: 14691564 SHA256: 2f2541aa77f4a098e454a6468ae30fc71d8dc052c3fd00178be8cf5ba1265fb3 SHA1: 146d429fd6af078197ba847b3f16802fe701c813 MD5sum: 637e497417d49e883be6da61c8b1327f Description: Un series Korean TrueType fonts This is a set of Korean TrueType fonts. These fonts were made from the HLaTeX's PostScript fonts and modified slightly. . This package has only the most common font families (UnBatang, UnDotum, Ungraphic, Unpilgi, and UnGungseo). Install fonts-unfonts-extra for additional fonts. Homepage: http://kldp.net/projects/unfonts Tag: culture::korean, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-unfonts-core/fonts-unfonts-core_1.0.2-080608-6_all.deb Package: fonts-unfonts-extra Version: 1.0.2-080608-5 Installed-Size: 20815 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-unfonts (<< 1.0.1-7), ttf-unfonts-core (<< 1.0.2-080608-3) Recommends: fonts-unfonts-core Conflicts: ttf-unfonts (<< 1.0.1-7), ttf-unfonts-core (<< 1.0.2-080608-3) Size: 6760732 SHA256: a41c1a3a32551d8b05ea517ae3b9a9c64f1df003f3178a4112720ec4faabd640 SHA1: 1f30ab25661e1a0a76041c94606dc9288a23373f MD5sum: 1b79bb10fa3e144d0a75339e16a5c06b Description: Un series Korean TrueType fonts (extra) This is a set of Korean TrueType fonts. These fonts were made from the HLaTeX's PostScript fonts and modified slightly. . They package has the less common font families. In most cases, ttf-unfonts-core package is enough for daily use. Homepage: http://kldp.net/projects/unfonts Tag: culture::korean, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-unfonts-extra/fonts-unfonts-extra_1.0.2-080608-5_all.deb Package: fonts-unikurdweb Version: 1.0-4 Installed-Size: 115 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-unikurdweb (<< 1.0-4) Provides: ttf-unikurdweb Conflicts: ttf-unikurdweb (<< 1.0-4) Size: 36432 SHA256: 1425ad8c4c308b7ec3f3351dd94db3722164de5e384fe361e781896c6d210022 SHA1: 40fbfcb7cde4e2a9563b7375e2d620112d4057f0 MD5sum: 21772d4a2443cb60aa0f41dbf84315b9 Description: Unikurd Web free Kurdish font This is a free TrueType Kurdish font (UnikurdWeb). It contains all glyphs for Kurdish in the Latin and Arabic script, namely the necessary addtional glyphs for Soranî. Homepage: http://ferheng.org/en/?Fonts Section: fonts Priority: optional Filename: pool/main/f/fonts-unikurdweb/fonts-unikurdweb_1.0-4_all.deb Package: fonts-uralic Version: 0.0.20040829-4 Installed-Size: 1402 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-uralic (<< 0.0.20040829-3) Provides: ttf-uralic Conflicts: ttf-uralic (<< 0.0.20040829-3) Size: 684932 SHA256: c1bfb8350e4beaf27ee9d5981d94707673feb0c53c4903289da1b880235ed944 SHA1: 590799069813b4014ef7415e46f2b3bcdcb71bf7 MD5sum: 72707389ac9b4fb9d804e548cf54c6c0 Description: Truetype fonts for Cyrillic-based Uralic languages The Uralic fonts contain additional letters used in most Uralic languages with Russian-based writing systems - Khanty (all dialects), Komi, Mansi (without marking long vowels), Mari, Nenets, Selkup and Udmurt. The fonts also support Altai, Chukchi, Even, Evenki, Koryak and Nanai. . Font list: * Bookman Uralic (regular, bold, italic) * Chancery Uralic - Decorative calligraphic font * Gothic Uralic (regular, bold) - Futura-like sans serif * Mono Uralic (regular) - Courier-like fixed width font * Palladio Uralic (regular, bold, italic) - Palatino-like typeface * Roman Uralic (regular, bold, italic) - Times-like typeface * Sans Uralic (regular, bold, italic, bold italic) - Helvetica-like sans serif typeface * Sans Condensed Uralic (regular, bold) - Narrow version of Sans Uralic * Schoolbook Uralic (regular, bold, italic) . These fonts cover the ISO10646-1 and CP1251 charsets. Tag: iso15924::cyrl, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-uralic/fonts-uralic_0.0.20040829-4_all.deb Package: fonts-vlgothic Version: 20120629-2 Installed-Size: 7616 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-vlgothic (<< 20110414-1) Provides: fonts-japanese-gothic Pre-Depends: dpkg (>= 1.15.6~) Breaks: ttf-vlgothic (<< 20110414-1) Size: 2160188 SHA256: 53990914db20308dcd799edc3a184a95784200c3264f81631dbc48ee889c9c16 SHA1: ff8a46020de42bb065b6b3a768386f1ee2fa163b MD5sum: fa9da1648ed47819ec7b026cc1cf4341 Description: Japanese TrueType font from Vine Linux VL Gothic is beautiful Japanese free Gothic TrueType font, developed by Project Vine. . It is based on Sazanami Gothic (by Electronic Font Open Laboratory) and M+1C/M+1M font (by M+ FONTS PROJECT). Homepage: http://dicey.org/vlgothic/ Tag: culture::japanese, made-of::font, role::data Section: fonts Priority: optional Filename: pool/main/f/fonts-vlgothic/fonts-vlgothic_20120629-2_all.deb Package: fonts-vollkorn Version: 2.1-1 Installed-Size: 293 Maintainer: Debian Fonts Task Force Architecture: all Size: 140084 SHA256: a04336bfbfe0531028a1401a92fc8bb1be84dbd3b7048154caf69cf2af643808 SHA1: 095d317f44878801e74314da5d413cc418148c63 MD5sum: 1a6d1760c859ea842b457281a799706b Description: serif body text type This is a body text type face that includes symbols for many European languages and fonts of regular and bold weight and italic variants. Homepage: http://friedrichalthausen.de/?page_id=411 Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-vollkorn/fonts-vollkorn_2.1-1_all.deb Package: fonts-yanone-kaffeesatz Version: 0.20100525-4 Installed-Size: 800 Maintainer: Debian Fonts Task Force Architecture: all Replaces: ttf-yanone-kaffeesatz (<< 0.20100525-3) Provides: ttf-yanone-kaffeesatz Conflicts: ttf-yanone-kaffeesatz (<< 0.20100525-3) Size: 358200 SHA256: bfb556827563e497c4c549c3573c20e552f2fbe998d060730b97b0150ea7cf49 SHA1: d5011a91a8d0a6b23d3e7c3b5cbd88fe217cb6f4 MD5sum: 22dd063b50b71e68dca054ae74373740 Description: Font in four weights Yanone Kaffeesatz was first published in 2004. Its Bold is reminiscent of 1920s coffee house typography, while the rather thin fonts bridge the gap to present times. You can witness Kaffeesatz use on German fresh-water gyms, Dubai mall promos and New Zealand McDonalds ads. And of course on coffee and foodstuff packaging and cafe design around the globe. . In 2010 it was decided to be re-released under the SIL Open Font License to make it possible to include in software bundles or web font services like Googles Font Directory. Homepage: http://www.yanone.de/typedesign/kaffeesatz/ Tag: made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-yanone-kaffeesatz/fonts-yanone-kaffeesatz_0.20100525-4_all.deb Package: fonts-yozvox-yozfont Version: 13.09-dfsg-2 Installed-Size: 10 Maintainer: Debian Fonts Task Force Architecture: all Replaces: otf-yozvox-yozfont (<< 13.07-dfsg-2) Depends: fonts-yozvox-yozfont-new-kana, fonts-yozvox-yozfont-standard-kana, fonts-yozvox-yozfont-antique, fonts-yozvox-yozfont-cute, fonts-yozvox-yozfont-edu Pre-Depends: dpkg (>= 1.15.6~) Breaks: otf-yozvox-yozfont (<< 13.07-dfsg-2) Size: 5954 SHA256: 43c7ad9f65a29c03dbd84f1fc1d7385ec1f1937130a70872279e993017ce70b1 SHA1: ac48a2bd68bc44bb5418acefebe882881a3632b3 MD5sum: 1c820506bbaaec2a9c82eceb5aa7d136 Description: Japanese proportional Handwriting OpenType font YOzFont is Handwriting Japanese font that standards to "JIS X 0213:2004" and contains the 10000 or more characters (includes hiragana, katakana and kanji). . This is "meiryo compatible" - similar to Microsoft Meiryo font, proportional (without Japanese character) and Wide line pitch . This is metapackage, it pulls all YOzFont packages. Homepage: http://yozvox.web.fc2.com/ Tag: culture::japanese, made-of::font, role::metapackage Section: fonts Priority: extra Filename: pool/main/f/fonts-yozvox-yozfont/fonts-yozvox-yozfont_13.09-dfsg-2_all.deb Package: fonts-yozvox-yozfont-antique Source: fonts-yozvox-yozfont Version: 13.09-dfsg-2 Installed-Size: 80295 Maintainer: Debian Fonts Task Force Architecture: all Replaces: otf-yozvox-yozfont-antique (<< 13.07-dfsg-2) Provides: otf-yozvox-yozfont-antique Pre-Depends: dpkg (>= 1.15.6~) Breaks: otf-yozvox-yozfont (<= 12.18~beta26-dfsg1-1), otf-yozvox-yozfont-antique (<< 13.07-dfsg-2) Size: 7989236 SHA256: 0221ed3063606d6b78b4d1c2c2b83db3e745c9614547cae03fa00625cb1d64cb SHA1: db10acb1a01c398218bd685a2ce2d8356bf89c48 MD5sum: ca8fb8d21adc874571568200735b991a Description: Japanese proportional Handwriting OpenType font (antique kana) YOzFont is Handwriting Japanese font that standards to "JIS X 0213:2004" and contains the 10000 or more characters (includes hiragana, katakana and kanji). . This is "meiryo compatible" - similar to Microsoft Meiryo font, proportional (without Japanese character) and Wide line pitch . It includes "YOzFontA (antique kana) / YOzFontA Bold" Homepage: http://yozvox.web.fc2.com/ Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: extra Filename: pool/main/f/fonts-yozvox-yozfont/fonts-yozvox-yozfont-antique_13.09-dfsg-2_all.deb Package: fonts-yozvox-yozfont-cute Source: fonts-yozvox-yozfont Version: 13.09-dfsg-2 Installed-Size: 55838 Maintainer: Debian Fonts Task Force Architecture: all Replaces: otf-yozvox-yozfont-cute (<< 13.07-dfsg-2) Provides: otf-yozvox-yozfont-cute Pre-Depends: dpkg (>= 1.15.6~) Breaks: otf-yozvox-yozfont (<= 12.18~beta26-dfsg1-1), otf-yozvox-yozfont-cute (<< 13.07-dfsg-2) Size: 7891340 SHA256: aa97f3153c6365b09beac450f7bf85e7b8238521ca4965869e78256f722b989f SHA1: a715c86eb2b6b7a35e51f49e49cbc68d8166be88 MD5sum: 6127a76ac1e3e93c5f185f34a716ec4c Description: Japanese proportional Handwriting OpenType font (cute kana) YOzFont is Handwriting Japanese font that standards to "JIS X 0213:2004" and contains the 10000 or more characters (includes hiragana, katakana and kanji). . This is "meiryo compatible" - similar to Microsoft Meiryo font, proportional (without Japanese character) and Wide line pitch . It includes "YOzFontC (cute kana) / YOzFontC Bold" Homepage: http://yozvox.web.fc2.com/ Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: extra Filename: pool/main/f/fonts-yozvox-yozfont/fonts-yozvox-yozfont-cute_13.09-dfsg-2_all.deb Package: fonts-yozvox-yozfont-edu Source: fonts-yozvox-yozfont Version: 13.09-dfsg-2 Installed-Size: 56657 Maintainer: Debian Fonts Task Force Architecture: all Replaces: otf-yozvox-yozfont-edu (<< 13.07-dfsg-2) Provides: otf-yozvox-yozfont-edu Pre-Depends: dpkg (>= 1.15.6~) Breaks: otf-yozvox-yozfont (<= 12.18~beta26-dfsg1-1), otf-yozvox-yozfont-edu (<< 13.07-dfsg-2) Size: 7897050 SHA256: ee05c43b0a6b1de8c8069b05af33a7ccb1ac3b56b668bd94a3c5ce201cc91ec6 SHA1: a0e195ff9725e25351ffcb124503e1d7f962a356 MD5sum: a42a2967570e24e88b1b5eb7aa8c5636 Description: Japanese proportional Handwriting OpenType font (educational cana) YOzFont is Handwriting Japanese font that standards to "JIS X 0213:2004" and contains the 10000 or more characters (includes hiragana, katakana and kanji). . This is "meiryo compatible" - similar to Microsoft Meiryo font, proportional (without Japanese character) and Wide line pitch . It includes "YOzFontE (educational kana) / YOzFontE Bold" Homepage: http://yozvox.web.fc2.com/ Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: extra Filename: pool/main/f/fonts-yozvox-yozfont/fonts-yozvox-yozfont-edu_13.09-dfsg-2_all.deb Package: fonts-yozvox-yozfont-new-kana Source: fonts-yozvox-yozfont Version: 13.09-dfsg-2 Installed-Size: 56645 Maintainer: Debian Fonts Task Force Architecture: all Replaces: otf-yozvox-yozfont-new-kana (<< 13.07-dfsg-2) Provides: otf-yozvox-yozfont-new-kana Pre-Depends: dpkg (>= 1.15.6~) Breaks: otf-yozvox-yozfont (<= 12.18~beta26-dfsg1-1), otf-yozvox-yozfont-new-kana (<< 13.07-dfsg-2) Size: 7899336 SHA256: 9c5bd002e898922dfa74217d640b868941399bfd44970403ab8748f5329f5fad SHA1: db8c94295a93beafaad89ebf68bade2ac456a277 MD5sum: 35f926b3603b26d1379fbdf9eb1cd6af Description: Japanese proportional Handwriting OpenType font (new kana) YOzFont is Handwriting Japanese font that standards to "JIS X 0213:2004" and contains the 10000 or more characters (includes hiragana, katakana and kanji). . This is "meiryo compatible" - similar to Microsoft Meiryo font, proportional (without Japanese character) and Wide line pitch . It includes "YOzFontN (new kana) / YOzFontN Bold" Homepage: http://yozvox.web.fc2.com/ Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: extra Filename: pool/main/f/fonts-yozvox-yozfont/fonts-yozvox-yozfont-new-kana_13.09-dfsg-2_all.deb Package: fonts-yozvox-yozfont-standard-kana Source: fonts-yozvox-yozfont Version: 13.09-dfsg-2 Installed-Size: 80276 Maintainer: Debian Fonts Task Force Architecture: all Replaces: otf-yozvox-yozfont-standard-kana (<< 13.07-dfsg-2) Provides: otf-yozvox-yozfont-standard-kana Pre-Depends: dpkg (>= 1.15.6~) Breaks: otf-yozvox-yozfont (<= 12.18~beta26-dfsg1-1), otf-yozvox-yozfont-standard-kana (<< 13.07-dfsg-2) Size: 7989572 SHA256: a0e29c6f924d1ba3f3076f1080b82558722de954750bcdcd911d145dfc944bca SHA1: 2b763d9aff6b56e5c8ff1c89794b2a94b1ed1081 MD5sum: d92bbdf1ed491ad3a104cfaf52d70473 Description: Japanese proportional Handwriting OpenType font (standard kana) YOzFont is Handwriting Japanese font that standards to "JIS X 0213:2004" and contains the 10000 or more characters (includes hiragana, katakana and kanji). . This is "meiryo compatible" - similar to Microsoft Meiryo font, proportional (without Japanese character) and Wide line pitch . It includes "YOzFont (standard kana) / YOzFont Bold" Homepage: http://yozvox.web.fc2.com/ Tag: culture::japanese, made-of::font, role::data, x11::font Section: fonts Priority: extra Filename: pool/main/f/fonts-yozvox-yozfont/fonts-yozvox-yozfont-standard-kana_13.09-dfsg-2_all.deb Package: fonttools Version: 2.3-1 Installed-Size: 1708 Maintainer: Paul Wise Architecture: all Depends: python, python-support (>= 0.90.0), python-numpy Recommends: fonttools-eexecop (>= 2.3-1) Size: 338526 SHA256: a96f89e7e345c3eca3ddf95c7363a17a69d8dfdb8b3341c9a06e3764f3b23c93 SHA1: 5761f9ff0a0186f9003cb4b9c37e078ffc1f8e62 MD5sum: ba9411914caacdf400806f4491450037 Description: Converts OpenType and TrueType fonts to and from XML FontTools/TTX is a library to manipulate font files from Python. It supports reading and writing of TrueType/OpenType fonts, reading and writing of AFM files, reading (and partially writing) of PS Type 1 fonts. It also contains a tool called "TTX" which converts TrueType/OpenType fonts to and from an XML-based format. Homepage: http://sourceforge.net/projects/fonttools/ Tag: devel::lang:python, devel::library, implemented-in::python, interface::commandline, role::program, scope::utility, use::converting, works-with-format::xml, works-with::font Section: fonts Priority: optional Filename: pool/main/f/fonttools/fonttools_2.3-1_all.deb Package: fonttools-eexecop Source: fonttools Version: 2.3-1 Architecture: armhf Maintainer: Paul Wise Installed-Size: 107 Depends: libc6 (>= 2.13-28), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Enhances: fonttools Homepage: http://sourceforge.net/projects/fonttools/ Priority: optional Section: fonts Filename: pool/main/f/fonttools/fonttools-eexecop_2.3-1_armhf.deb Size: 32694 SHA256: 72a57491aceda9929f5cca33f26281ad1a12d5b9e35b38c9abc2128c642864ab SHA1: fc7d50ccf479f4d5647c70ee2b05148a17c89eb5 MD5sum: b45e608ba1662f44fdb2a004e9f090a1 Description: python extension to speed up fonttools This is an optional C implementation of part of fonttools that speeds up the eexec and charstring encryption algorithms as used by PostScript Type 1 fonts. fonttools is much faster with it, it is quite small but most people will be using fonttools with TrueType or OpenType fonts so fonttools recommends it instead of depending on it. Package: fonty-rg Version: 0.5 Installed-Size: 209 Maintainer: Radovan Garabík Architecture: all Suggests: fonty Size: 65334 SHA256: 7b7282b535d720dac214c632e5bb77ef6f4852554ca7312a099b7a5f81b50013 SHA1: 9e1f9b8ec3a75870b026f5d5a62f17cb93d9b7cb MD5sum: 96b3288f6951409261d1cef8a830ad60 Description: Linux console fonts in various encodings fonty-rg contains fonts for linux console, including fonts for ISO-8859-1,2,3,4,5,6,7,8,9,10,11,13,14,15,16, KOI8-R,U,C, CP1250, CP1251, CP1252 codepages, as well as two Unicode fonts with wide coverage, and an ISO-8859-16 ACM file. Tag: interface::commandline, made-of::font, role::app-data Section: fonts Priority: optional Filename: pool/main/f/fonty-rg/fonty-rg_0.5_all.deb Package: fontypython Version: 0.4.4-1 Installed-Size: 733 Maintainer: Kartik Mistry Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-imaging, python-wxgtk2.8 Size: 341462 SHA256: 3dbc61dfa70d4fa3bd2dcf43603cfb29d9a4c8c0c139f6894e2ee751b2e02f5a SHA1: 87fe0a1b9c083f536c86b8798c45a7ae1d06fdf6 MD5sum: 148bf207b1216686b2421e761870a7c5 Description: Find, view and manage font files of all kinds You can quickly view and filter arbitrary TTF, TTC, OTF or Type1 font files and then gather them together into 'pogs' which can be installed or removed as needed. In this way you control which fonts are installed on a per-project basis. It is written in Python and WxWidgets. Homepage: https://savannah.nongnu.org/projects/fontypython Tag: implemented-in::python, interface::x11, role::program, uitoolkit::wxwidgets, use::organizing, use::viewing, works-with::font, x11::application Section: fonts Priority: optional Filename: pool/main/f/fontypython/fontypython_0.4.4-1_all.deb Package: foo-yc20 Version: 1.3.0-5 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1547 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.20.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6) Provides: lv2-plugin Homepage: http://code.google.com/p/foo-yc20/ Priority: optional Section: sound Filename: pool/main/f/foo-yc20/foo-yc20_1.3.0-5_armhf.deb Size: 602132 SHA256: e69286a43e13c358c71eaa7ced2fc7a5cd765036bf3e4ed04b82cd89acf08554 SHA1: 38ee8b27d0467a944b296b91669a19787b0e54e4 MD5sum: 30c088d4e58ffd11e1b39283aaf976d8 Description: YC-20 organ emulation The YC-20 is a divide-down combo organ designed in the late 60's. This emulation faithfully copies the features, sounds and flaws of the original organ. . Features: - 61 keys - Two main voice sections - Switchable bass section - Generator + matrix mixer => no polyphony restrictions - A realism control to add flaws found in the real organ . Flaws: - Takes quite a bit of CPU power - No touch vibrato . The emulation is written in Faust and uses Jack for audio and MIDI. Package: foo2zjs Version: 20120510dfsg0-1 Installed-Size: 52 Maintainer: Debian Printing Team Architecture: all Depends: printer-driver-foo2zjs Size: 28644 SHA256: 12812a1c4eb4f9faf929d973cbd151288cf116219ef034588742d058d01609b5 SHA1: d5b3e86bf8e3f30e86bb94022ca7335e7cbad112 MD5sum: d8dbd60ba88a5ae88ea90b26ab4b71a2 Description: transitional dummy package for foo2zjs printer driver This is a transitional dummy package to transition to uniformly named printer-driver-foo2zjs. Homepage: http://foo2zjs.rkkda.com/ Tag: hardware::printer, implemented-in::c, interface::commandline, role::dummy, role::program, scope::utility, use::driver, use::printing Section: oldlibs Priority: extra Filename: pool/main/f/foo2zjs/foo2zjs_20120510dfsg0-1_all.deb Package: foobillard Version: 3.0a-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1193 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4), ttf-freefont Suggests: ttf-larabie-deco, ttf-larabie-straight Homepage: http://foobillard.sourceforge.net/ Priority: optional Section: games Filename: pool/main/f/foobillard/foobillard_3.0a-5_armhf.deb Size: 1021348 SHA256: 7a8088aac10fe5e467a487550a9925f721db88a3ea00f0576f9b8bc89c736db4 SHA1: b5506eae63a1a91891ca01dde37019a58258a456 MD5sum: 866f3eadb7b0564442079947a35c2301 Description: 3D billiards game using OpenGL Foobillard is a billiards game with a three dimensional display. It supports 8-ball, 9-ball, carambol and snooker, as well as a computer opponent and network play. It is written using OpenGL, and has very high quality graphics and textures. Package: fookb-plainx Source: fookb Version: 3.0-3 Architecture: armhf Maintainer: Wartan Hachaturow Installed-Size: 128 Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxpm4 Suggests: sox Conflicts: fookb, fookb-wmaker Replaces: fookb Priority: optional Section: x11 Filename: pool/main/f/fookb/fookb-plainx_3.0-3_armhf.deb Size: 35178 SHA256: 83465017d4ace9f7e58a8cd713c4d8b4fa344eb0e5848899ec6ad214aa9038d9 SHA1: d311ad5be7ebcb90bafe563b589dae9d00104a5f MD5sum: a54d2c934a7a1d284570d634411acf4a Description: An Xkb state indicator -- plain X version. WindowMaker docked Xkb state indicator. Fookb can switch xkb groups and display a pixmap corresponding to the selected group. It also can execute a specified command upon the switch (for example, play sound). This is the plain X-compiled version. It can't do docking, and uses only X resources for configuration. Package: fookb-wmaker Source: fookb Version: 3.0-3 Architecture: armhf Maintainer: Wartan Hachaturow Installed-Size: 129 Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libwutil2 (>= 0.95.0), libx11-6, libxpm4, wmaker Suggests: sox Conflicts: fookb, fookb-plainx Replaces: fookb Priority: optional Section: x11 Filename: pool/main/f/fookb/fookb-wmaker_3.0-3_armhf.deb Size: 35644 SHA256: 983e0514a9bd20e583845f22abaf3e4dc7730a7a292a4eec23feee49e1971b5d SHA1: 9177c3639034701e9eafc59af344b853eaae3cb2 MD5sum: c836eb88a56e1e72fb7c9e8fb33d9afe Description: An Xkb state indicator -- WindowMaker version. WindowMaker docked Xkb state indicator. Fookb can switch xkb groups and display a pixmap corresponding to the selected group. It also can execute a specified command upon the switch (for example, play sound). This is the WindowMaker-compiled version. It can do docking, and uses proplist-style configuration files. Package: fookebox Version: 0.6.1-1 Installed-Size: 307 Maintainer: Stefan Ott Architecture: all Depends: python (>= 2.6.6-7~), python-pylons, python-mpd, libjs-prototype, libjs-scriptaculous, python-sqlalchemy Recommends: mpd, libapache2-mod-wsgi | httpd-wsgi Size: 64154 SHA256: 44ff5fbd76cb57e35a68cbf3929bab67121fc577ffa743c1e34a23809926c99b SHA1: 8bbc803b8e8cc54d2402226c05d21b8211f1331a MD5sum: 6fd0b6d63359d78f4e7237f9ff4b932f Description: web-based jukebox frontend to mpd fookebox is a jukebox-style web-frontend to mpd. It can serve as a keyboard-less jukebox or as a generic mpd frontend, depending on your preferences. Homepage: http://fookebox.googlecode.com/ Section: web Priority: optional Filename: pool/main/f/fookebox/fookebox_0.6.1-1_all.deb Package: foomatic-db Version: 20120523-1 Installed-Size: 6973 Maintainer: Debian Printing Group Architecture: all Recommends: foomatic-db-engine, foomatic-filters (>= 4.0), printer-driver-all (>= 0.20111206), ghostscript, cups, cups-client, hpijs Suggests: hplip, hplip-cups, ghostscript-cups, openprinting-ppds, cjet Breaks: cups (<< 1.5.0-3~) Size: 1271676 SHA256: 752308fdc98ecba4fc21bff94a1a396b6e8fb1a6c053ea50a221550306375127 SHA1: 903775129346ab1444ecbe6446b98a48ac4dc5e2 MD5sum: 7c915cb6f471390de25b9847c91bca55 Description: OpenPrinting printer support - database Foomatic is a printing system designed to make it easier to set up common printers for use with Debian (and other operating systems). It provides the "glue" between a print spooler (like CUPS or lpr) and your actual printer, by telling your computer how to process files sent to the printer. . This package contains the printer database distributed by OpenPrinting for most common drivers. You will probably need the foomatic-db-engine package for this package to be useful. Homepage: http://www.openprinting.org/ Tag: hardware::printer, made-of::xml, role::app-data, use::configuring, use::printing Section: text Priority: optional Filename: pool/main/f/foomatic-db/foomatic-db_20120523-1_all.deb Package: foomatic-db-compressed-ppds Source: foomatic-db Version: 20120523-1 Installed-Size: 840 Maintainer: Debian Printing Group Architecture: all Replaces: foomatic-db, foomatic-db-hpijs Provides: foomatic-db Depends: python, xz-utils Recommends: foomatic-db-engine, foomatic-filters (>= 4.0), printer-driver-all (>= 0.20111206), ghostscript, cups, cups-client, hpijs Suggests: hplip, hplip-cups, ghostscript-cups, openprinting-ppds, cjet Conflicts: foomatic-db, foomatic-db-hpijs Breaks: cups (<< 1.5.0-3~), foomatic-db Size: 667038 SHA256: 6bdb9c1cffe2bd680eab006ca616f53b4c62b260bfbaecce142f2eec8e01f182 SHA1: 55b5eabb42f67e96bb02efabc75b7a787ab60f6e MD5sum: 78940efef253edb255efffd6e95783a3 Description: OpenPrinting printer support - Compressed PPDs derived from the database Foomatic is a printing system designed to make it easier to set up common printers for use with Debian (and other operating systems). It provides the "glue" between a print spooler (like CUPS or lpr) and your actual printer, by telling your computer how to process files sent to the printer. . This package contains all PPD files which can be generated from the Foomatic database as one small compressed archive. This reduces the disk space consumption compared to the Foomatic XML database vastly and in addition makes listing of all available PPDs and extracting the needed PPD much faster than using the Foomatic XML database. Homepage: http://www.openprinting.org/ Tag: hardware::printer, role::app-data Section: text Priority: optional Filename: pool/main/f/foomatic-db/foomatic-db-compressed-ppds_20120523-1_all.deb Package: foomatic-db-engine Version: 4.0.8-3 Architecture: armhf Maintainer: Debian Printing Group Installed-Size: 821 Pre-Depends: bash (>= 2.05) Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4), perl, foomatic-filters (>= 4.0), wget | curl Recommends: netcat, cups, cups-client, foomatic-db-compressed-ppds | foomatic-db Suggests: foomatic-db-gutenprint Breaks: cups (<< 1.5.0-3~) Homepage: http://www.openprinting.org/ Priority: optional Section: text Filename: pool/main/f/foomatic-db-engine/foomatic-db-engine_4.0.8-3_armhf.deb Size: 314638 SHA256: a6d7133177ccbedc36d9fbe80a2cfcbd5a71d21ae748b03600533e9c47e1d532 SHA1: e2d6485a2991c62201b920e6aeb55368f0ace062 MD5sum: bf93e8cf34e2effbe3b2d0ebffa19799 Description: OpenPrinting printer support - programs Foomatic is a printing system designed to make it easier to set up common printers for use with Debian (and other operating systems). It provides the "glue" between a print spooler (like CUPS or lpr) and your actual printer, by telling your computer how to process files sent to the printer. . This package contains the architecture-dependent programs needed to set up and maintain the foomatic system. You will also need one or more database packages. The foomatic-db package includes drivers for most common printers using Ghostscript as the print processor, as well as some common glue code used in other filter systems. Package: foomatic-db-gutenprint Source: gutenprint Version: 5.2.9-1 Installed-Size: 123254 Maintainer: Debian Printing Group Architecture: all Depends: foomatic-db, ijsgutenprint (>= 5.2.9-1) Size: 6965060 SHA256: d2f790ee5de1fea40ad2290b05f8d2d5b451b3897661cd8064ccb8bce8bb6a25 SHA1: 6baa78c33aed3b7f79a77ed0a6e3161d6e6a605c MD5sum: de7fe859e0cef155db4252c5bc706a10 Description: OpenPrinting printer support - database for Gutenprint printer drivers Foomatic is a printing system designed to make it easier to set up common printers for use with Debian (and other operating systems). It provides the "glue" between a print spooler (like CUPS or lpr) and your actual printer, by telling your computer how to process files sent to the printer. . This package includes support for printers using the Gutenprint printer driver suite. . Home Page: http://www.openprinting.org/ . Gutenprint is the print facility for the GIMP, and in addition a suite of drivers that may be used with common UNIX spooling systems using GhostScript or CUPS. These drivers provide printing quality for UNIX/Linux on a par with proprietary vendor-supplied drivers in many cases, and can be used for many of the most demanding printing tasks. Gutenprint was formerly known as Gimp-Print. Tag: hardware::printer, made-of::xml, role::app-data, use::printing Section: text Priority: optional Filename: pool/main/g/gutenprint/foomatic-db-gutenprint_5.2.9-1_all.deb Package: foomatic-filters Version: 4.0.17-1+deb7u1 Architecture: armhf Maintainer: Debian Printing Group Installed-Size: 426 Pre-Depends: bash (>= 2.05) Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), debconf (>= 0.5) | debconf-2.0, ucf (>= 0.30) Recommends: cups-client | lpr | lprng | rlpr, ghostscript, cups | enscript | a2ps | mpage, foomatic-db-engine (>= 4.0), poppler-utils (>= 0.11.2), colord Breaks: cupsomatic-ppd (<< 20030507), foomatic-bin (<< 2.9) Replaces: cupsomatic-ppd, foomatic-bin (<< 2.9) Homepage: http://www.openprinting.org/ Priority: optional Section: text Filename: pool/main/f/foomatic-filters/foomatic-filters_4.0.17-1+deb7u1_armhf.deb Size: 157292 SHA256: ea36889fb0d1e6588669f3382d436d9c5c1a4625e689962e4f6a715f57273df6 SHA1: ae3b3772d27e6483baabb66d51af85b6ed776882 MD5sum: 7584856cab1d8fcb8cce58265112d531 Description: OpenPrinting printer support - filters Foomatic is a printer database designed to make it easier to set up common printers for use with UNIX-like operating systems. It provides the "glue" between a print spooler (like CUPS or lpr) and the printer, by processing files sent to the printer. . This package consists of filters used by the printer spoolers to convert the incoming PostScript data into the printer's native format using a printer-specific, but spooler-independent PPD file. . For use with CUPS, you will need both the cups and cups-client packages installed on your system. Package: fop Version: 1:1.0.dfsg2-6+deb7u1 Installed-Size: 90 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java2-runtime-headless, libfop-java Suggests: fop-doc, libservlet2.5-java Size: 22748 SHA256: cd7d70736a230243f408abdf23a0738f6cf6901680fad666ef1c2feefdd2ff5d SHA1: deabc998069710a1fb9c7dee992d463f2f521c93 MD5sum: 953bac528d55140b8f13b753875ffde9 Description: XML formatter driven by XSL Formatting Objects (XSL-FO.) FOP is a Java application that reads a formatting object tree and then turns it into a wide variety of output presentations (including AFP, PCL, PDF, PNG, PostScript, RTF, TIFF, and plain text), or displays the result on-screen. . The formatting object tree can be in the form of an XML document (output by an XSLT engine like xalan) or can be passed in memory as a DOM Document or (in the case of xalan) SAX events. . This package contains the fop command line tool Homepage: http://xmlgraphics.apache.org/fop/ Recommends: libsaxon-java Section: text Priority: optional Filename: pool/main/f/fop/fop_1.0.dfsg2-6+deb7u1_all.deb Package: fop-doc Source: fop Version: 1:1.0.dfsg2-6+deb7u1 Installed-Size: 62061 Maintainer: Debian Java Maintainers Architecture: all Replaces: libfop-java-doc Size: 5402466 SHA256: d6a2cfd743aa2c0154464bbf24e3c5b6a9473856127b3327a67b2eadb9f8da3f SHA1: 5ff38116abbc0461ab009ed5760dd15852174fdd MD5sum: 11fbab230e48144319bbb3800f762434 Description: XML formatter driven by XSL Formatting Objects (doc) FOP is a Java application that reads a formatting object tree and then turns it into a wide variety of output presentations (including AFP, PCL, PDF, PNG, PostScript, RTF, TIFF, and plain text), or displays the result on-screen. . The formatting object tree can be in the form of an XML document (output by an XSLT engine like xalan) or can be passed in memory as a DOM Document or (in the case of xalan) SAX events. . This package contains the documentation. Homepage: http://xmlgraphics.apache.org/fop/ Section: doc Priority: optional Filename: pool/main/f/fop/fop-doc_1.0.dfsg2-6+deb7u1_all.deb Package: foremost Version: 1.5.7-5~deb7u1 Architecture: armhf Maintainer: Raúl Benencia Installed-Size: 118 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://foremost.sourceforge.net/ Priority: optional Section: admin Filename: pool/main/f/foremost/foremost_1.5.7-5~deb7u1_armhf.deb Size: 46672 SHA256: 78c6f94808ae309b9ca872eb45b22b696c9f7a9cd3214676fff447314d925a13 SHA1: b02c9025456e2db3a61d2741ce61320855ac4a3d MD5sum: 58334c8b4a960681c11f9be6e5adff87 Description: forensic program to recover lost files Foremost is a forensic program to recover lost files based on their headers, footers, and internal data structures. . Foremost can work on image files, such as those generated by dd, Safeback, Encase, etc, or directly on a drive. The headers and footers can be specified by a configuration file or you can use command line switches to specify built-in file types. These built-in types look at the data structures of a given file format allowing for a more reliable and faster recovery. Package: forg Version: 0.5.1-7 Installed-Size: 424 Maintainer: John Goerzen Architecture: all Depends: python-central (>= 0.5), python, python-tk, python-pmw, python-imaging-tk Size: 75684 SHA256: 954d12a2b533856746f63079f4b158facfdb07beaeba094444152444f6999a4e SHA1: 424520187b12d58e6baa2a039a9c916191b81080 MD5sum: a1dfd3ad02a4ca9aa7c5925e723c1626 Description: Graphical Gopher Browser forg is a new graphical browser for gopher written in python. It will let you browse the world-wide gopherspace and handles various types of media, including HTML and video. Python-Version: current Tag: implemented-in::python, interface::x11, network::client, protocol::gopher, role::program, uitoolkit::tk, use::browsing, x11::application Section: net Priority: optional Filename: pool/main/f/forg/forg_0.5.1-7_all.deb Package: forked-daapd Version: 0.19gcd-2.1 Architecture: armhf Maintainer: Julien BLACHE Installed-Size: 485 Depends: libantlr3c-3.2-0 | libantlr3c-antlrdbg-3.2-0, libasound2 (>= 1.0.16), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.6), libavformat53 (>= 6:0.8.3-1~), libavl1, libavutil51 (>= 6:0.8.3-1~), libblocksruntime0 (>= 0.1), libc6 (>= 2.13-28), libconfuse0 (>= 2.5), libdispatch0, libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgpg-error0 (>= 1.10), libmxml1, libplist1 (>= 0.16), libsqlite3-0 (>= 3.6.12), libswscale2 (>= 6:0.8.3-1~), libtag1c2a (>= 1.5), libtagc0 (>= 1.5), libtre5, libunistring0, zlib1g (>= 1:1.1.4), avahi-daemon, adduser, psmisc Priority: optional Section: sound Filename: pool/main/f/forked-daapd/forked-daapd_0.19gcd-2.1_armhf.deb Size: 175874 SHA256: 491d99f27b8bc173d6f8c5bcfb5de10495084c4b58c2891cd5a118321e465b3e SHA1: fc3d89ab11b56023e0ed453b4b9307a137ba45fd MD5sum: 644ca9355d1f0d23f0f82a599b1c784a Description: media server with support for RSP, DAAP, DACP and AirTunes forked-daapd is an iTunes-compatible media server, originally intended as a rewrite of Firefly Media Server (also known as mt-daapd). . It supports a wide range of audio formats, can stream video to iTunes, FrontRow and other compatible clients, has support for Apple's Remote iPhone/iPod application and can stream music to AirTunes devices like the AirPort Express. . It also features RSP support for Roku's SoundBridge devices. . Built-in, on-the-fly decoding support enables serving popular free music formats like FLAC, Ogg Vorbis or Musepack to those clients that do not otherwise support them. Package: formed Source: otter Version: 3.3f-1.1 Architecture: armhf Maintainer: Peter Collingbourne Installed-Size: 351 Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxt6 Suggests: otter Priority: optional Section: math Filename: pool/main/o/otter/formed_3.3f-1.1_armhf.deb Size: 161844 SHA256: a6eda3eddd295cb012fcbdc0a6c76b9a737629b532756385bd28977683da4bda SHA1: 9d0612071387bf380543486de04bb53cdea66a4b MD5sum: e0ad0c803ef3bc903360dc0d62d863ca Description: formula editor for first-order logic formulae Formed is a formula editor for first-order logic formulas that lets you simplify quantified formulas by quantifier transformation among other things. Package: fort77 Version: 1.15-8 Installed-Size: 60 Maintainer: Mark Brown Architecture: all Provides: fortran77-compiler Depends: perl | perl5, f2c, cpp Size: 7596 SHA256: 32a904e89a521ef750471ce608388aa327696c57ab14cd26b47d0902001afb52 SHA1: 08cd833b35b89797254421019ddc6f9829c0dd96 MD5sum: d944f029869663efee1c3e90e2469350 Description: Invoke f2c like a real compiler The fort77 script invokes the f2c command transparently, so it can be used like a real Fortran compiler. It can be used to compile Fortran, C and assembler code, and to link it with the f2c libraries. Tag: devel::compiler, devel::lang:fortran, interface::commandline, role::program, scope::utility, works-with::software:source Section: devel Priority: optional Filename: pool/main/f/fort77/fort77_1.15-8_all.deb Package: fortune-mod Version: 1:1.99.1-4 Architecture: armhf Maintainer: Joshua Kwan Installed-Size: 114 Depends: libc6 (>= 2.13-28), librecode0 (>= 3.6) Recommends: fortunes-min | fortune-cookie-db Suggests: fortunes, x11-utils, bsdmainutils Conflicts: fortune Replaces: fortune Provides: fortune Priority: optional Section: games Filename: pool/main/f/fortune-mod/fortune-mod_1.99.1-4_armhf.deb Size: 50868 SHA256: 660ea1d18819b02775c296bbd072fd8d2e1ad588d76d3b6d0b2bde012d48f57f SHA1: 08486c8c0cad7e772ca3e59cb9f9efaf483ddff5 MD5sum: c4663e3c55d752192374cfe7a4958464 Description: provides fortune cookies on demand These are the machine-dependent parts of the fortune package, i.e. the fortune program and the programs used for generating the data files. The fortune package displays epigrams selected randomly from a selection of fortune files. This is an enhanced version of the BSD program. The data files (which can be shared) are contained in the 'fortunes-min', 'fortunes', and 'fortunes-off' packages. Package: fortune-zh Version: 1.9 Architecture: armhf Maintainer: Debian Chinese Team Installed-Size: 161 Depends: fortune-mod, zh-autoconvert Priority: optional Section: games Filename: pool/main/f/fortune-zh/fortune-zh_1.9_armhf.deb Size: 63526 SHA256: 4ec041e359656d8c67025daa868e25c6abb2d70802a3bee5ff075a41230e0357 SHA1: fca33416ca4f97602d8791739f3b8a04e90f02c1 MD5sum: 8faeb19de7aa692208ecd0e0a7c370a7 Description: Chinese Data files for fortune This software package contains the Chinese data files for fortune in utf8 encoding. . Those libraries included tang300 -- 300_Tang_Poems and other Chinese classical poetry. Package: fortunes Source: fortune-mod Version: 1:1.99.1-4 Installed-Size: 2784 Maintainer: Joshua Kwan Architecture: all Provides: fortune-cookie-db Depends: fortunes-min Recommends: fortune-mod (>= 9708-12) Size: 1112798 SHA256: fcd277382da50b4032c899456542040d2d83925747cf905bc24c87c7f47b046c SHA1: 13ccf7e0edcf00eb13ffb2a148067e34d4922e1a MD5sum: 2df3f71b786e73895920b6eea947ae1e Description: Data files containing fortune cookies There are far over 15000 different 'fortune cookies' in this package. You'll need the fortune-mod package to display the cookies. Tag: game::toys, interface::commandline, role::data, use::gameplaying, use::viewing, works-with::text Section: games Priority: optional Filename: pool/main/f/fortune-mod/fortunes_1.99.1-4_all.deb Package: fortunes-bg Version: 1.1 Installed-Size: 200 Maintainer: Anton Zinoviev Architecture: all Provides: fortune-cookie-db Depends: fortune-mod (>= 9708-34) Size: 38110 SHA256: 86ad3825b91f9550b4502f39ed57d8a00eb38ae68d25c896bb3d745645a46aad SHA1: d158c032f21c05573d8a295ecb7492a59d9d9c4c MD5sum: 124fbca8eae53236b7dc7daf6844d886 Description: Bulgarian data files for fortune Collection of about 125 Bulgarian proverbs and 150 other proverbs, 150 thoughts with Bulgarian authors and 150 other thoughts, some ancient Bulgarian texts and other sentences. . This package can be a replacement for the fortunes package to let fortune speak Bulgarian. Tag: culture::bulgarian, game::toys, interface::commandline, role::data, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-bg/fortunes-bg_1.1_all.deb Package: fortunes-bofh-excuses Version: 1.2-2 Installed-Size: 80 Maintainer: Tollef Fog Heen Architecture: all Provides: fortune-cookie-db Depends: fortune-mod Size: 15512 SHA256: 1ada2e321e4d136c1b847c87f7f34eb06fdb2580398564e503ce0785671e2473 SHA1: 70eba10df2d5f7ad06b7d0f7b8eb1de9ae357124 MD5sum: 865d7a44db8ab039f181356f07e09547 Description: BOFH excuses for fortune fortunes-bofh-excuses is a collection of excuses which you can use as a BOFH when something goes wrong. Some examples are: 'Electromagnetic energy loss', 'disks spinning backwards - toggle the hemisphere jumper.' Tag: game::toys, interface::commandline, role::data, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-bofh-excuses/fortunes-bofh-excuses_1.2-2_all.deb Package: fortunes-br Version: 20080530 Installed-Size: 316 Maintainer: Guilherme de S. Pastore Architecture: all Provides: fortune-cookie-db Depends: fortune-mod (>= 9708-12) Size: 112286 SHA256: 80e8144a01104c3c1c3fb10fcdc0fd695998ceb66cf079412de9217ee3b52c89 SHA1: 68c99ab8a8e1907120d0867b43b413eee0f563ec MD5sum: 0eff031af2ceaa18e8cde437535db4a0 Description: Data files with fortune cookies in Portuguese A collection of several fortune cookies for Portuguese speakers, gathered from various sources, ranging from proverbs to quotations from literature classics. Tag: culture::brazilian, game::toys, interface::commandline, role::data, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-br/fortunes-br_20080530_all.deb Package: fortunes-cs Version: 2.0-1 Installed-Size: 1648 Maintainer: Ondřej Surý Architecture: all Provides: fortune-cookie-db Depends: fortune-mod (>= 9708-12) Suggests: wish | tk8.4 Size: 587578 SHA256: dbcf16a3a9a43947fa5197fce680bb34c5ff37ddbdd718d5c2a2c58e9d782cec SHA1: 4500f4ab3669666eb482bce99a121ac80d5c782c MD5sum: 08c01b8807d61ef01d9d5f76caf54be7 Description: Czech and Slovak data files for fortune Collection of about 7240 fortunes in Czech and Slovak. This package can be a replacement for or an addition to the fortunes package to let fortune speak Czech and/or Slovak. Tag: culture::czech, culture::slovak, game::toys, interface::commandline, role::data, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-cs/fortunes-cs_2.0-1_all.deb Package: fortunes-de Version: 0.30-1 Installed-Size: 2917 Maintainer: Andreas Tille Architecture: all Provides: fortune-cookie-db Depends: fortune-mod (>= 9708-34), debconf (>= 0.5) | debconf-2.0 Size: 1094848 SHA256: a1bbda282f821fa11f8256f3cab32f3d6be0f28300ebe601d758f2443666120c SHA1: e7b42faa0881110be76ff74406616d2b571d55d0 MD5sum: 2d299fe76393a337aa79f40a2935ed23 Description: German data files for fortune Collection of more than 17000 German cookies. This package can be a replacement for or an addition to the fortunes package to let fortune speak German. Tag: culture::german, game::toys, interface::commandline, role::data, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-de/fortunes-de_0.30-1_all.deb Package: fortunes-debian-hints Version: 1.99 Installed-Size: 233 Maintainer: Kartik Mistry Architecture: all Provides: fortune-cookie-db Recommends: fortune-mod (>= 9708-31) Size: 59894 SHA256: d4674022431bc6938e43bb5c23286a955d3553b68577afa43a5809515fbd9191 SHA1: b1036c6040c9c1e82167f50bd287a53116076ac6 MD5sum: 8ba6ef1334457e3dd70696b8c66c5e77 Description: Debian Hints for fortune This package provides a set of hints and tips on using Debian, in a fortune database format. New Debian users (or administrators) may find its advice particularly sage or helpful, and even veteran Debianites might find some new tidbits. Homepage: http://wiki.debian.org/FortunesDebianHints Tag: game::toys, interface::commandline, role::documentation, suite::debian, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-debian-hints/fortunes-debian-hints_1.99_all.deb Package: fortunes-eo Version: 20020729-4 Installed-Size: 136 Maintainer: Radovan Garabík Architecture: all Provides: fortune-cookie-db Depends: fortune-mod (>= 9708-31) Size: 55980 SHA256: 8d1477a0d71a8be85bfedd9c985fd5a5559fa12d53d8ad7a60bbfa269a1449db SHA1: 873d41f3e40064f418a9151bf24353b97156b1fb MD5sum: ae8632d3faa08e1287bdc48007d18dcf Description: Collection of esperanto fortunes. Datumbazo kun esperantlingvaj mesaĝoj por la fortune programo. Enhavas mesaĝojn el Proverbaro Esperanta de Zamenhof, en UTF-8 kodo. . Database with esperanto fortune cookies. Contains messages from Proverbaro Esperanta by Zamenhof, in UTF-8 encoding. Tag: culture::esperanto, game::toys, role::data, use::gameplaying, works-with::text, works-with::unicode Section: games Priority: optional Filename: pool/main/f/fortunes-eo/fortunes-eo_20020729-4_all.deb Package: fortunes-eo-ascii Source: fortunes-eo Version: 20020729-4 Installed-Size: 136 Maintainer: Radovan Garabík Architecture: all Provides: fortune-cookie-db Depends: fortune-mod (>= 9708-31) Size: 55904 SHA256: eaf81753a9d6988ebab19874a7b323fe7b8fe07d851bf26f32a321491b426a20 SHA1: a3796e541e47be84a3f94258356702da665ba884 MD5sum: cd9f15bbbbff8808a2e47f618d669567 Description: Collection of esperanto fortunes (ascii encoding). Datumbazo kun esperantlingvaj mesagxoj por la fortune programo. Enhavas mesagxojn el Proverbaro Esperanta de Zamenhof, en ASCII (post-x) kodo. . Database with esperanto fortune cookies. Contains messages from Proverbaro Esperanta by Zamenhof, in ASCII (post-x) encoding. Tag: culture::esperanto, game::toys, role::data, use::gameplaying, use::viewing, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-eo/fortunes-eo-ascii_20020729-4_all.deb Package: fortunes-eo-iso3 Source: fortunes-eo Version: 20020729-4 Installed-Size: 132 Maintainer: Radovan Garabík Architecture: all Provides: fortune-cookie-db Depends: fortune-mod (>= 9708-31) Size: 55622 SHA256: 94bdc3e5abe13c75b6fe0e7e7e89292226ee96f609152a9e769a3adab599a803 SHA1: 2660f05aa2cc563951f2466ac8b0ad8d75556245 MD5sum: 170f453c4a1e2eedfca09c465a58837e Description: Collection of esperanto fortunes (ISO3 encoding). Datumbazo kun esperantlingvaj mesagxoj por la fortune programo. Enhavas mesagxojn el Proverbaro Esperanta de Zamenhof, en ISO-8859-3 kodo. . Database with esperanto fortune cookies. Contains messages from Proverbaro Esperanta by Zamenhof, in ISO-8859-3 encoding. Tag: culture::esperanto, game::toys, role::data, use::gameplaying, use::viewing, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-eo/fortunes-eo-iso3_20020729-4_all.deb Package: fortunes-es Version: 1.32 Installed-Size: 992 Maintainer: Javier Fernández-Sanguino Peña Architecture: all Provides: fortune-cookie-db Depends: fortune-mod Size: 371496 SHA256: 64b852f010d4cd69e04ebff1fd03d6f9fe3cb7cc56ce6e00193c81302ae0f9c2 SHA1: 95335847eb9679b0da77ca34180317a5e6c9c0a3 MD5sum: e64ba379b2086b3d3fb258785d8eef0e Description: Spanish fortune database A collection of Spanish fortune cookies, taken from various sources including the 'chorrada' program (from FIDOnet) and the 'Frases y Proverbios' webpage. Tag: culture::spanish, game::toys, interface::commandline, role::data, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-es/fortunes-es_1.32_all.deb Package: fortunes-es-off Source: fortunes-es Version: 1.32 Installed-Size: 124 Maintainer: Javier Fernández-Sanguino Peña Architecture: all Replaces: fortunes-es-pic Provides: fortune-cookie-db Depends: fortune-mod, fortunes-es Size: 40396 SHA256: 80ab124f7da14256207cfe34e405b48899dd733c6f11d26d4f2d8c26759b47e0 SHA1: 15c6f2bd4574a11c6d3585aaa38295a537c19f14 MD5sum: ea2645463cd009b07cd39849071c3929 Description: Spanish fortune cookies (Offensive section) A collection of mostly offensive Spanish fortune cookies, from various sources. . DO NOT INSTALL this package unless you really want to read offensive fortunes. Package fortunes-es contains mainly non-offensife fortunes in Spanish. Tag: culture::spanish, game::toys, role::data, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-es/fortunes-es-off_1.32_all.deb Package: fortunes-fr Version: 0.65+nmu2 Installed-Size: 802 Maintainer: Aurelien Jarno Architecture: all Provides: fortune-cookie-db Depends: debconf (>= 0.5) | debconf-2.0, fortune-mod (>= 9708-31), sed (>= 3.02-8), grep (>= 2.4.2-3), coreutils (>= 5.0-4) Size: 312800 SHA256: e5d9507ec969ea39b38f6b9eb4ee9ee98650314547676466ea8e1f86cd258230 SHA1: f70cf442be2741939f1a4882f512e298fe0cda05 MD5sum: 63bc063a842f7c4df21658ae9332e959 Description: French fortunes cookies A collection of French fortune cookies, from various sources: * fortunes-fr * ellisllk (mauriceetpatapon, oulipo) * GCU - Le Guide du Cabaliste Usenet * GDP - Le Guide du Debianiste Pervers * GFA - Le Guide du Fmblien Assassin * GLP - Le Guide du Linuxien Pervers * GPJ - Le Guide du Petit Joueur * La tribune libre de linuxfr * Les bonnes fortunes de J.R.R. Tolkien * Les fortunes de Multidesk OS * Les fortunes de Multidesk OS (2) * #linuxfr@Undernet . This package can be replacement for or an addition to the fortunes package to let fortune speak French. Tag: culture::french, game::toys, role::data, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-fr/fortunes-fr_0.65+nmu2_all.deb Package: fortunes-ga Version: 0.8 Installed-Size: 60 Maintainer: Alastair McKinstry Architecture: all Provides: fortune-cookie-db Depends: fortune-mod (>= 9708-34) Size: 7268 SHA256: 2a578c75129cff609695835acc51767443f43bda317074eae2de10b3f17a3e28 SHA1: aa44801e144b5bba8a1463fbf7876afbb9fb16db MD5sum: d063cd991c84a61f7d124bb9e2e5b816 Description: Irish (Gaelige) data files for fortune Collection of Irish proverbs, from Damien Lyons and the GAELIC-L mailing list. This package can be a replacement for or an addition to the fortunes package to let fortune speak Irish. Tag: culture::irish, game::toys, interface::commandline, role::data, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-ga/fortunes-ga_0.8_all.deb Package: fortunes-it Version: 1.99-3 Installed-Size: 1756 Maintainer: Emanuele Rocca Architecture: all Provides: fortune-cookie-db Depends: fortune-mod (>= 9708-12) Suggests: fortunes-it-off Size: 657790 SHA256: 7ae7684d09e222e15c6e476fe09c4ed4e849cc267d975d6cc13433113d87863c SHA1: 4885635a87db4a1c8362d268a2052aa77fa9cdd1 MD5sum: ec0f2a196178a29e6b71ecf507f3344c Description: Data files containing Italian fortune cookies This package provides a collection of 'fortune cookies' in Italian, taken from: * The newsgroup it.hobby.umorismo * Andrea `Zuse` Balestrero's personal archive * Various tv transmissions, such as "Mai dire Gol" or "Striscia la Notizia" * The book "Jack Frusciante e` uscito dal gruppo" * Other various sources Tag: culture::italian, game::toys, interface::commandline, role::data, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-it/fortunes-it_1.99-3_all.deb Package: fortunes-it-off Source: fortunes-it Version: 1.99-3 Installed-Size: 408 Maintainer: Emanuele Rocca Architecture: all Provides: fortune-cookie-db Depends: fortune-mod (>= 9708-12) Suggests: fortunes-it Size: 126454 SHA256: 3d24667c959da0cd18d1d52a9eac0c90347f01263bb7c9e33a15c2b3c970aecc SHA1: 53c0dd107b1f66c2b952b9a83a50d3d2a0e19634 MD5sum: 3b58243572e56bf71475e739969457be Description: Data files containing Italian fortune cookies, offensive section This package contains a set of 'fortune cookies' in Italian, separated from the fortunes-it package since someone may consider they to be offensive. . Please do not install this package if you or your users are easily offended. Tag: culture::italian, game::toys, interface::commandline, role::data, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-it/fortunes-it-off_1.99-3_all.deb Package: fortunes-mario Version: 0.20-7 Installed-Size: 1840 Maintainer: Otavio Salvador Architecture: all Provides: fortune-cookie-db Depends: fortune-mod (>= 9708-12) Size: 541914 SHA256: db0ae8744f883141094a0198b5c39caf0c068b59b965790eb2b90792d6ec4c87 SHA1: 0c56f505c82c9a48971d02032a203e5dfd828daa MD5sum: 7d8629faba87b7ae47b0352a7bbc9c84 Description: Fortunes files from Mario A fortune database for Portuguese speakers, gathered from Mario Domenech Goulart. It is the result of collecting cookies for a long time to make a great database. Tag: culture::brazilian, culture::portuguese, game::toys, interface::commandline, role::data, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-mario/fortunes-mario_0.20-7_all.deb Package: fortunes-min Source: fortune-mod Version: 1:1.99.1-4 Installed-Size: 192 Maintainer: Joshua Kwan Architecture: all Replaces: fortunes (<= 9708-6) Provides: fortune-cookie-db Recommends: fortune-mod (>= 9708-12) Size: 73018 SHA256: c8784a715094bfd58ab98c7a551966f49785ac40da9ddca9d4b63bef2caa1bf9 SHA1: 23b9b94baba3d64fba9e5414980c72f422571243 MD5sum: a5e498ecca6226e3bd27c86b012dfff4 Description: Data files containing fortune cookies This package contains a small number of 'fortune cookies' for the bandwidth conscious. There are over 15000 different 'fortune cookies' in the fortunes package. You'll need the fortune-mod package to display the cookies. Tag: game::toys, interface::commandline, role::data, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortune-mod/fortunes-min_1.99.1-4_all.deb Package: fortunes-off Source: fortune-mod Version: 1:1.99.1-4 Installed-Size: 2024 Maintainer: Joshua Kwan Architecture: all Replaces: fortunes (<= 9708-0.1) Provides: fortune-cookie-db Depends: fortunes Recommends: fortune-mod (>= 9708-12) Size: 777254 SHA256: bdce142cf5df1b0f911e8cce5f65d81d49baab81f680630de4b9799cfe430d1c SHA1: aa29a11b08f8b16e84025f50361d22f5fb3c497e MD5sum: a4401bde8e18f5ef8aca2ca369d246c8 Description: Data files containing offensive fortune cookies This package contains 'fortune cookies' which some may consider to be offensive. Please do not install this package if you or your users are easily offended. You'll need the fortune-mod package to display the cookies. Tag: game::toys, interface::commandline, role::data, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortune-mod/fortunes-off_1.99.1-4_all.deb Package: fortunes-pl Version: 0.0.20100311-1 Installed-Size: 2624 Maintainer: Robert Luberda Architecture: all Provides: fortune-cookie-db Depends: fortune-mod (>= 9708-32) Size: 920140 SHA256: a4ad18bfdaed911ac9600fa14769cd02968cad85d544341a96bf768a06c21ca3 SHA1: 2d472558677acddcc34c5421995de97bb57760cf MD5sum: c4126879af46be92e9fd2e6424d62ba4 Description: Polish data files for fortune A collection of fortune cookies in Polish. . This package can be replacement for or an addition to the fortunes package to let fortune speak Polish. Tag: culture::polish, game::toys, interface::commandline, role::data, use::gameplaying, works-with::text Section: games Priority: optional Filename: pool/main/f/fortunes-pl/fortunes-pl_0.0.20100311-1_all.deb Package: fortunes-ru Version: 1.52-2 Installed-Size: 4112 Maintainer: Debian QA Group Architecture: all Provides: fortune-cookie-db Depends: fortune-mod (>= 9708-12) Size: 1087902 SHA256: 3e73bf5c9ca074808a12e48f4900dd6f5b4c5c20691679e297b4a10199268adf SHA1: d1132a3df0670fb5e277611017594d1dcc186e11 MD5sum: 53e1d206c761a318c289d47034febdf0 Description: Russian data files for fortune A collection of fortune cookies in Russian. . This package can be replacement for or an addition to the fortunes package to let fortune speak Russian. Homepage: http://jack.kiev.ua/fortune-mod-ru/ Tag: culture::russian, role::app-data Section: games Priority: optional Filename: pool/main/f/fortunes-ru/fortunes-ru_1.52-2_all.deb Package: fosfat Version: 0.4.0-3 Architecture: armhf Maintainer: Didier Raboud Installed-Size: 89 Depends: libc6 (>= 2.13-28), libfosfat0 (>= 0.3.2), libfosgra0 (>= 0.4.0), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0) Homepage: http://home.gna.org/fosfat Priority: optional Section: otherosfs Filename: pool/main/f/fosfat/fosfat_0.4.0-3_armhf.deb Size: 25556 SHA256: c2d5cad7741799497f74afdb10ee9893f55cabb1cf3c227fa855d294f244cc6b SHA1: 36bf87ae6e5b55bbd057ba9ee17a848129143606 MD5sum: 765e11c717f6408bbe007f6206ac4138 Description: FUSE library to access Smaky formatted disk (ro) Fosfat is a C library for providing read-only access to a Smaky formatted disk. Currently, only a tool and a FUSE extension that use this library can be used for reading a directory and copying a file. . The Smaky is a line of mostly 8-bit personal computers and accompanying operating system developed at the EPFL (École Polytechnique Federale de Lausanne), in Switzerland, from 1974. Package: fosfat-dbg Source: fosfat Version: 0.4.0-3 Architecture: armhf Maintainer: Didier Raboud Installed-Size: 138 Depends: fosfat (= 0.4.0-3), libfosfat0 (= 0.4.0-3), libfosgra0 (= 0.4.0-3) Homepage: http://home.gna.org/fosfat Priority: extra Section: debug Filename: pool/main/f/fosfat/fosfat-dbg_0.4.0-3_armhf.deb Size: 54320 SHA256: 7dd94c4811636bf3592f8f0848e21ce461d6dff0dead484d591aa6f4422b292f SHA1: c8a62a9424aefc207cb9eaae530a0d6927602443 MD5sum: 0e9196c88d5853cc03ef2168b17408cf Description: FUSE library to access Smaky formatted disk, debug symbols Fosfat is a C library for providing read-only access to a Smaky formatted disk. Currently, only a tool and a FUSE extension that use this library can be used for reading a directory and copying a file. . The Smaky is a line of mostly 8-bit personal computers and accompanying operating system developed at the EPFL (École Polytechnique Federale de Lausanne), in Switzerland, from 1974. . This package contains the debug symbols of libfosfat0, libfosgra0 and fosfat itself. Package: fosfat-dev Source: fosfat Version: 0.4.0-3 Architecture: armhf Maintainer: Didier Raboud Installed-Size: 224 Depends: libfosfat0 (= 0.4.0-3), libfosgra0 (= 0.4.0-3) Homepage: http://home.gna.org/fosfat Priority: optional Section: devel Filename: pool/main/f/fosfat/fosfat-dev_0.4.0-3_armhf.deb Size: 45172 SHA256: 30e62d8ca3db115a42dcb9a78ecad492b2e933a9f07af51b920313a2cc6b50cc SHA1: d5cc2c598165088c6b1da20629a7fd71948c715b MD5sum: de36472d01fe3e38a1b1011e2ebbb5e8 Description: FUSE library to access Smaky formatted disk, development files Fosfat is a C library for providing read-only access to a Smaky formatted disk. Currently, only a tool and a FUSE extension that use this library can be used for reading a directory and copying a file. . The Smaky is a line of mostly 8-bit personal computers and accompanying operating system developed at the EPFL (École Polytechnique Federale de Lausanne), in Switzerland, from 1974. . This package contains the development files for libfosfat0, libfosgra0 and the corresponding documentation. Package: fossil Version: 1:1.22.1+dfsg-0.1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 785 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.7.4), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4) Suggests: gnupg | gnupg2 Homepage: http://fossil-scm.org Priority: optional Section: vcs Filename: pool/main/f/fossil/fossil_1.22.1+dfsg-0.1_armhf.deb Size: 348330 SHA256: 8b320ad01a8456b05edd24dd43bc79109d1294c5eef173a3e30078059551022f SHA1: 7ecf7fcc10f8ffe0f0bcb82e12d6e53194d584f1 MD5sum: ea8bcdf80911a98674e071dcc109e955 Description: DSCM with built-in wiki, http interface and server, tickets database Fossil is an easy-to-use Distributed Source Control Management system (DSCM) which supports access and administration over HTTP CGI or via a built-in HTTP server, has a built-in wiki, built-in file browsing, a built-in tickets system, etc. Package: fotowall Version: 0.9-8 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 1756 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libqt4-network (>= 4:4.6.1), libqt4-opengl (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.2), libstdc++6 (>= 4.4.0) Homepage: http://www.enricoros.com/opensource/fotowall/ Priority: optional Section: kde Filename: pool/main/f/fotowall/fotowall_0.9-8_armhf.deb Size: 782544 SHA256: 7de3c8462975057792195dda1aa8566140a40d5945e279f7d16bf9a5816a9add SHA1: 20c058c7b98945b7e415114284fd2b5bd4272239 MD5sum: fff0a2cb7279fc4c50a36c8d877631ac Description: simple application for creating collages and compositions FotoWall is a creative tool that allows you to layout your photos or pictures in a personal way. . You can add pictues, then resize, move, change colors, text, shadows, etc. to create your composition or collage. . The focus of this application is on simplicity. Package: fotoxx Version: 11.11.1-1.1 Architecture: armhf Maintainer: Santiago Torres Batan Installed-Size: 2945 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.18.0), libstdc++6 (>= 4.4.0), libtiff4 (>> 3.9.5-3~) Recommends: libimage-exiftool-perl, ufraw-batch, xgd-open, libtiff Suggests: brasero Homepage: http://kornelix.squarespace.com/downloads/ Priority: extra Section: graphics Filename: pool/main/f/fotoxx/fotoxx_11.11.1-1.1_armhf.deb Size: 1497472 SHA256: f487aac1fbf8bd57429b58f0774a19f4e3190e22e4283be4faba8ab0e0337d0a SHA1: 242fe2f8edcbc6d4d98df2dda5eac7cbc5d60d7f MD5sum: 37318997c89fb091d7295565e1d88ba9 Description: easy-to-use digital photo editor Fotoxx is a program for improving digital photos. It allows you to navigate through large image directories using a window of thumbnail images, create HDR (high dynamic range) images by combining bright and dark images to improve details visible in both bright and dark areas, create panoramas by joining overlapped images, adjust brightness and color intensity independently for different underlying brightness levels, reduce fog or haze by removing "whiteness" and intensifying colors, rotate an image (level a tilted image or turn 90 degrees), remove red-eyes from electronic flash photos, sharpen, resize, or crop images, reduce noise in low-light photos, change color depth, and stretch an image by dragging the mouse. Package: foundry Version: 0.0.20100226-1 Architecture: armhf Maintainer: Simon Richter Installed-Size: 270 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.6) Priority: extra Section: devel Filename: pool/main/f/foundry/foundry_0.0.20100226-1_armhf.deb Size: 57408 SHA256: 28a2cb5b140a925c93c2471e72227a38e1b1f16abe9a04ffa8cf7196b1dc09da SHA1: 43ac7f9daa0289c341bcd6379b31b158de5c764f MD5sum: 798e4f7a935cc12dd1192a4922ca8698 Description: Code generation tools This is (the start of) a collection of code generators for various common tasks in software development. . Contains: * an AST/CST class generator (with a heavy bias towards C++ and Boost) Package: foxtrotgps Version: 1.1.1-2 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 917 Depends: gconf2 (>= 2.28.1-2), gconf-service, libatk1.0-0 (>= 1.12.4), libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libexif12, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libgps20 (>= 3.3), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libsqlite3-0 (>= 3.5.9), libxml2 (>= 2.7.4) Recommends: gpsd Suggests: python-beautifulsoup, python-feedparser Homepage: http://www.foxtrotgps.org/ Priority: optional Section: comm Filename: pool/main/f/foxtrotgps/foxtrotgps_1.1.1-2_armhf.deb Size: 180626 SHA256: 05dbec4c8782adb7a17efb338d79c5a71347dcc34b1cfdc0da1d898d89808730 SHA1: 2af2da330afb4241a2d9ef1d11912b23b0365f49 MD5sum: dff9be4351ee63ca5f83c53a4cbeb408 Description: GTK+ mapping and GPS application foxtrotGPS is a map and GPS application. By default, it uses maps from Openstreetmap. Package: foxtrotgps-dbg Source: foxtrotgps Version: 1.1.1-2 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 290 Depends: foxtrotgps (= 1.1.1-2) Homepage: http://www.foxtrotgps.org/ Priority: extra Section: debug Filename: pool/main/f/foxtrotgps/foxtrotgps-dbg_1.1.1-2_armhf.deb Size: 214646 SHA256: 276451c8560e5d60b230b8fefa1edbde6e00edc56484651fadd820a36a8f7ae5 SHA1: aa7b8d0d65ae7802770fc0404a1b6586e1d245ab MD5sum: 2c9625066d3caa891ce7a919bd245d61 Description: GTK+ mapping and GPS application (debug) foxtrotGPS is a map and GPS application. By default, it uses maps from Openstreetmap. . This package contains the debugging symbols. Package: foxyproxy Version: 3.4-1.1~deb7u1 Installed-Size: 29 Maintainer: Georg Koppen Architecture: all Depends: xul-ext-foxyproxy-standard Size: 4550 SHA256: 5825ab6164a289c5bf55f1967e9826b9153ed651c4f5d0828fa3a3829dc8e931 SHA1: 260c2c68bfea6d3a050185dfce3f5011b2feeba7 MD5sum: af83697501dee386d4dd3b54c029c82e Description: advanced proxy management tool for Iceweasel - transitional dummy package This is a transitional dummy package to ease the migration from the old foxyproxy to the new xul-ext-foxyproxy-standard package. You can safely remove it. Homepage: http://getfoxyproxy.org/ Section: web Priority: optional Filename: pool/main/f/foxyproxy/foxyproxy_3.4-1.1~deb7u1_all.deb Package: fp-compiler Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-compiler-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-compiler_2.6.0-9+rpi1_armhf.deb Size: 14494 SHA256: 7425f6fe02e2b8effb121c722661b699bfa207adf34fa11c0ad173d3493aba6a SHA1: 4327dcf7181cdaffa9e17e5a88e2f43e0f896209 MD5sum: c6a3b116602f5ed89da9e5d0361e5aad Description: Free Pascal - compiler dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . Extensions have been added to the language, such as function overloading, creation and linking of shared libraries, and Delphi language extensions including classes, exceptions, ANSI strings, and open arrays. . This dependency package always depends on the latest available version of the package containing the command line compiler. Package: fp-compiler-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 6666 Depends: debconf (>= 0.5) | debconf-2.0, fp-units-rtl-2.6.0 (= 2.6.0-9+rpi1), binutils Recommends: fp-utils-2.6.0 (>= 2.6.0-9+rpi1) Suggests: fp-docs-2.6.0 (>= 2.6.0) Conflicts: binutils-gold Breaks: fp-compiler (<= 2.4.0-3), fp-utils-2.4.2 (<= 2.4.2-3), fp-utils-2.4.4 (<= 2.4.4-2) Replaces: fp-compiler (<= 2.4.0-3), fp-utils-2.4.4 (<= 2.4.4-1) Provides: fp-compiler Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-compiler-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 1888582 SHA256: 76907444852a39ef93cc8670c8bb22b71006b88469dd04d331d07a43364627d4 SHA1: 696e8db931abf38f0e4333b542718e7bf56629ff MD5sum: 7faf109ba30ecdedcd9c54241f631b32 Description: Free Pascal - compiler The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . Extensions have been added to the language, such as function overloading, creation and linking of shared libraries, and Delphi language extensions including classes, exceptions, ANSI strings, and open arrays. . This package contains the command line compiler. Package: fp-docs Source: fpc Version: 2.6.0-9+rpi1 Architecture: all Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-docs-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: doc Filename: pool/main/f/fpc/fp-docs_2.6.0-9+rpi1_all.deb Size: 14382 SHA256: b0331b1b0ca8c49736c437cebbdce4c6bea6140dfd46d5dfc82756aebefc9242 SHA1: 3143f142abef5f7dcc9bff7e5bdfdb55b3409cc9 MD5sum: 4ef290321acebe9171a87b5e69beea6b Description: Free Pascal - documentation dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing documentation for the Free Pascal Compiler in HTML format. Package: fp-docs-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: all Maintainer: Carlos Laviola Installed-Size: 40088 Breaks: fp-docs (<= 2.4.0-3) Replaces: fp-docs (<= 2.4.0-3) Provides: fp-docs Homepage: http://www.freepascal.org/ Priority: optional Section: doc Filename: pool/main/f/fpc/fp-docs-2.6.0_2.6.0-9+rpi1_all.deb Size: 2168590 SHA256: 86762ee3ec2f3df689b695ab21e6470f2fb47b0d9dfda1658dba5cc08315219e SHA1: 0517b243f7bb405902464a56ce8b43e5fa0a0211 MD5sum: b78bd16348d90ded801d155ce75635ca Description: Free Pascal - documentation The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package provides documentation for the Free Pascal Compiler in HTML format. Package: fp-ide Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-ide-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-ide_2.6.0-9+rpi1_armhf.deb Size: 14506 SHA256: 981c37a1c235e9c0da56f212a04ca8140296e47e0ba5e4ce18c3b6f822b54128 SHA1: e2978c0cfa27a4b89c0d858d10b09e5337c0be97 MD5sum: e2388bbcbb0afd590683826037d5e5ae Description: Free Pascal - IDE dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . Extensions have been added to the language, such as function overloading, creation and linking of shared libraries, and Delphi language extensions including classes, exceptions, ANSI strings, and open arrays. . This dependency package always depends on the latest available version of the package containing the Integrated Development Environment (IDE). The IDE has an internal compiler. Package: fp-ide-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 3132 Depends: fp-units-rtl-2.6.0 (= 2.6.0-9+rpi1) Recommends: fp-utils-2.6.0 (>= 2.6.0-9+rpi1) Suggests: fp-docs-2.6.0 (>= 2.6.0) Breaks: fp-ide (<= 2.4.0-3) Replaces: fp-ide (<= 2.4.0-3) Provides: fp-ide Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-ide-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 1081282 SHA256: c36e7723fda06f4f4404a678a481979c27e91b27ef64a816c41df89dfeff7167 SHA1: f1e0b7d9456ebe25dcadfe5048fb82349d0b7abf MD5sum: d9c5b02f4f91abfc377796208af9fec3 Description: Free Pascal - IDE The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . Extensions have been added to the language, such as function overloading, creation and linking of shared libraries, and Delphi language extensions including classes, exceptions, ANSI strings, and open arrays. . This package contains the Integrated Development Environment (IDE). The IDE has an internal compiler. Package: fp-units-base Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-units-base-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-base_2.6.0-9+rpi1_armhf.deb Size: 14442 SHA256: 3b69e0e8879da4336b785adbe496423f3a70717224f95e8c161f80a7e2b76c66 SHA1: c33debd73769d414f7b11d773ba0d626c7794666 MD5sum: 0a64b0f1e117724eddbaef264245eb71 Description: Free Pascal - base units dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing Free Pascal units for common libraries (some of which are also required by the Free Component Library): NCurses, X11 (Xlib, Xutil), and ZLib. Package: fp-units-base-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 4759 Depends: fp-units-rtl-2.6.0 (= 2.6.0-9+rpi1) Breaks: fp-units-base (<= 2.4.0-3) Replaces: fp-units-base (<= 2.4.0-3) Provides: fp-units-base Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-base-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 1190972 SHA256: 72d2c3abf13807a1e1fc78a699a25015dbf23848e2de28411d8d49c239833893 SHA1: cb4bcd6e28695905e086d35768995387521d3cec MD5sum: 62eb5e8c042dedd95e8946e419f09f0e Description: Free Pascal - base units The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package contains Free Pascal units for common libraries (some of which are also required by the Free Component Library): NCurses, X11 (Xlib, Xutil), and ZLib. Package: fp-units-db Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-units-db-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-db_2.6.0-9+rpi1_armhf.deb Size: 14438 SHA256: 45757b9f0a9fb7cc80777725229eb84850c6dd361fcd558635447c590f883383 SHA1: ff83f0956ef2b68d3073034db34ec82190684440 MD5sum: 0366a99112a6e88d0c667a18180568f7 Description: Free Pascal - database-library units dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing Free Pascal units with bindings for GDBM, Interbase, MySQL, PostgreSQL, ODBC, Oracle, and SQLite. Package: fp-units-db-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 5301 Depends: fp-units-rtl-2.6.0 (= 2.6.0-9+rpi1) Breaks: fp-units-db (<= 2.4.0-3) Replaces: fp-units-db (<= 2.4.0-3) Provides: fp-units-db Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-db-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 1089896 SHA256: 27073fc77d9847f00f84e4b188d3d0f73005207070df2b0988f0edd3e8ef3a5f SHA1: 1ce67425973152c93c7fc96598f338dcfcb0d0f0 MD5sum: 372e6e04066832018f1a9da397461ab9 Description: Free Pascal - database-library units The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package contains Free Pascal units with bindings for GDBM, Interbase, MySQL, PostgreSQL, ODBC, Oracle, and SQLite. Package: fp-units-fcl Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-units-fcl-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-fcl_2.6.0-9+rpi1_armhf.deb Size: 14390 SHA256: 5fbbece767d94d8fe612bc13cbb45109959e3b0b6d20fcae1e3fa6d2192ace72 SHA1: d5684e2440f2b540e77a0cb7fc5c0a913331f020 MD5sum: f85a7cfb74bf03d62ebd4bf5c32eaa26 Description: Free Pascal - Free Component Library dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing the Free Component Library for the Free Pascal Compiler. Package: fp-units-fcl-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 20568 Depends: fp-units-rtl-2.6.0 (= 2.6.0-9+rpi1), fp-units-base-2.6.0 (= 2.6.0-9+rpi1) Breaks: fp-units-fcl (<= 2.4.0-3) Replaces: fp-units-fcl (<= 2.4.0-3) Provides: fp-units-fcl Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-fcl-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 4320166 SHA256: 8a2b4ca56bfa9b08afb6d64e2b35af0edda1673ff4f07dd1ee7d888440c7764c SHA1: dcd240a51571d92ff844d8c22447535c1745d142 MD5sum: 64c5a7f151d4e453b2ef60f555563614 Description: Free Pascal - Free Component Library The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package contains the Free Component Library for the Free Pascal Compiler. Package: fp-units-fv Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-units-fv-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-fv_2.6.0-9+rpi1_armhf.deb Size: 14420 SHA256: f99d15ecea0f2b672b221d75e7071543b7b54380ae533087be2229be82416856 SHA1: f87351301a620e84b428cf4f941437b598e07b19 MD5sum: 1ebcc63af22beccec36b52bbb47685c9 Description: Free Pascal - Free Vision units dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing the Free Vision units for the Free Pascal Compiler (which provide a framework for developing text user interfaces). Package: fp-units-fv-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 1488 Depends: fp-units-rtl-2.6.0 (= 2.6.0-9+rpi1) Breaks: fp-units-fv (<= 2.4.0-3) Replaces: fp-units-fv (<= 2.4.0-3) Provides: fp-units-fv Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-fv-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 366590 SHA256: 7e9861f035c19cb852e1c5d0033fe6330d15562a26616c25d3a32458cf93cdfd SHA1: 819a8de28421cc3a734e63633481007d1efb7c86 MD5sum: 512c76676d254b0b3db93e1bf7a5c2e0 Description: Free Pascal - Free Vision units The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package contains the Free Vision units for the Free Pascal Compiler (which provide a framework for developing text user interfaces). Package: fp-units-gfx Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-units-gfx-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-gfx_2.6.0-9+rpi1_armhf.deb Size: 14426 SHA256: 2a181ed68905cafc772d222d2604e717674e32fb1b6a083563fa9644f4fdfac9 SHA1: b9dbe9ae58fa6b7120bbffcc09149f5e61e490f3 MD5sum: 81783df6d6524396b30c3c899b9b8d1e Description: Free Pascal - graphics-library units dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing Free Pascal units with bindings for cairo, forms, ggi, graph, libgd, libpng, opengl, and svgalib. Package: fp-units-gfx-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 5203 Depends: fp-units-rtl-2.6.0 (= 2.6.0-9+rpi1), fp-units-base-2.6.0 (= 2.6.0-9+rpi1), libsvga1-dev Breaks: fp-units-gfx (<= 2.4.0-3) Replaces: fp-units-gfx (<= 2.4.0-3) Provides: fp-units-gfx Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-gfx-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 1442720 SHA256: 1e1804ef28ea14f6dd68b3d7f53f9da09e9bd858064b0b6c04860d016efa95b6 SHA1: 4151760c0202f0fddd97bd9f7311b8c178abe0cb MD5sum: a1f988add0d941524127a8eb67617a37 Description: Free Pascal - graphics-library units The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package contains Free Pascal units with bindings for cairo, forms, ggi, graph, libgd, libpng, opengl, and svgalib. Package: fp-units-gnome1 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-units-gnome1-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-gnome1_2.6.0-9+rpi1_armhf.deb Size: 14410 SHA256: d3d21e5c0f4be7f1cf387d164c1995c6c38d75bac44a66db21d946ec7a07c019 SHA1: ea7e0c65a00c6a06b7cad660e4f32960db990ae1 MD5sum: 5f0baa862ed0d5bc02e1380c12459e7b Description: Free Pascal - GNOME 1 units dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing Free Pascal units and examples to create programs for GNOME 1. Package: fp-units-gnome1-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 1707 Depends: fp-units-rtl-2.6.0 (= 2.6.0-9+rpi1), fp-units-gtk-2.6.0 (= 2.6.0-9+rpi1) Breaks: fp-units-gnome1 (<= 2.4.0-3) Replaces: fp-units-gnome1 (<= 2.4.0-3) Provides: fp-units-gnome1 Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-gnome1-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 331998 SHA256: 31d06612c45a7a5a3a0cb1a13d78144cd6eda2e45e11cc88bc5c928ac2e5a982 SHA1: 3ca790035a15e9b4e14d584743d60429f7761f1f MD5sum: 44579d5d846aa8c277ad2ea2cd1b8ff0 Description: Free Pascal - GNOME 1 units The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package contains Free Pascal units and examples to create programs for GNOME 1. Package: fp-units-gtk Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-units-gtk-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-gtk_2.6.0-9+rpi1_armhf.deb Size: 14412 SHA256: 4b0e53686b496798d0d219ef5f3b005f2d80787b18e34b241b1b388059a1419e SHA1: 05fdf9b159727e2ed012ce32851074c4d3dff3e2 MD5sum: 833667a6a2c2376b9ede81e6ba79fd09 Description: Free Pascal - GTK+ 1.2 units dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing Free Pascal units and examples to create programs with GTK+ 1.2. Package: fp-units-gtk-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 4483 Depends: fp-units-rtl-2.6.0 (= 2.6.0-9+rpi1), fp-units-fcl-2.6.0 (= 2.6.0-9+rpi1), libgtk2.0-dev Breaks: fp-units-gtk (<= 2.4.0-3) Replaces: fp-units-gtk (<= 2.4.0-3) Provides: fp-units-gtk Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-gtk-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 945880 SHA256: 3f8819af6aef5c64973c63d87ea2546cfcac53db09c768dfbbb7b082074d03be SHA1: 039e5a619f56f6cd262f7a9ebdc584535e3aa6c1 MD5sum: 409e4fd784023957eb4c5a46feb43151 Description: Free Pascal - GTK+ 1.2 units The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package contains Free Pascal units and examples to create programs with GTK+ 1.2. Package: fp-units-gtk2 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-units-gtk2-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-gtk2_2.6.0-9+rpi1_armhf.deb Size: 14428 SHA256: 701f0c73e7617ee8ca425e3b41bb7827eeec4d9f90535a39706511821df2d8fc SHA1: 66375d7ea13834d1c1097c09f2d21111091a682c MD5sum: a25dfb38887741afea6126a3cb756e8e Description: Free Pascal - GTK+ 2.x units dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing Free Pascal units and examples to create programs with GTK+ 2.x. Package: fp-units-gtk2-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 6906 Depends: fp-units-rtl-2.6.0 (= 2.6.0-9+rpi1), fp-units-fcl-2.6.0 (= 2.6.0-9+rpi1) Breaks: fp-units-gtk2 (<= 2.4.0-3) Replaces: fp-units-gtk2 (<= 2.4.0-3) Provides: fp-units-gtk2 Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-gtk2-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 1494954 SHA256: 54c1d6984d15aa16ed71a9c9bb62f27a63e9b1088197be6eb4d8b1d1cb956a7c SHA1: 1a95d566c690b77cd47281eafb5030d6bffe62fa MD5sum: 4471298b58ce74656144fab2018c872f Description: Free Pascal - GTK+ 2.x units The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package contains Free Pascal units and examples to create programs with GTK+ 2.x. Package: fp-units-math Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-units-math-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-math_2.6.0-9+rpi1_armhf.deb Size: 14482 SHA256: b9c1170681f62b44b68c3a29ecca8f8a8423cff9757416f45035725cb39b8bc0 SHA1: 47ed2a3fb89ae95822d985983c6da3d9afb4cdb5 MD5sum: 36534e8dcd538b12f70a26526cd4ab8d Description: Free Pascal - math units dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing Free Pascal math interfacing units for: * gmp (the GNU Multiple Precision arithmetic library); * numlib (numerical computing); * proj4 (cartographic projections); * symbolic (symbolic computing). Package: fp-units-math-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 1534 Depends: fp-units-rtl-2.6.0 (= 2.6.0-9+rpi1) Breaks: fp-units-math (<= 2.4.0-3) Replaces: fp-units-math (<= 2.4.0-3), fp-units-misc (<= 2.2.4-4) Provides: fp-units-math Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-math-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 395732 SHA256: d64766ea4c5f44741acd976c3ee0cf6e998b502f3d9ddfc869c4e76232e0eb84 SHA1: f1390b7e63b56d6c20614b3ea449fedda07f1abc MD5sum: 65d410dc670631c1a278300d33453c4c Description: Free Pascal - math units The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package contains Free Pascal math interfacing units for: * gmp (the GNU Multiple Precision arithmetic library); * numlib (numerical computing); * proj4 (cartographic projections); * symbolic (symbolic computing). Package: fp-units-misc Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-units-misc-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-misc_2.6.0-9+rpi1_armhf.deb Size: 14448 SHA256: 33af9b5c8194e7a3b491d52974bccd6187f25864d6d788b52d061eb37f0f8830 SHA1: bfef871282d6fc8897dea24808a1a3fcb0844c26 MD5sum: bcf0e1343ed0876ba8c6818b52e5accd Description: Free Pascal - miscellaneous units dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing miscellaneous Free Pascal units: fppkg (the FPC packaging system), PasZLib (a Pascal-only zlib implementation), and Utmp. Package: fp-units-misc-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 6967 Depends: fp-units-rtl-2.6.0 (= 2.6.0-9+rpi1) Breaks: fp-units-misc (<= 2.4.0-3) Replaces: fp-units-misc (<= 2.4.0-3) Provides: fp-units-misc Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-misc-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 1375034 SHA256: f0f809c8c579055c6ccfec3b8abfe1367cdca185bf1377f734a938b45089c0c2 SHA1: e980ab1a59e8d8a9af0fa34c3b0fe3e9449aaccd MD5sum: 4d35a8a03aa08933bf5069064b09b21e Description: Free Pascal - miscellaneous units The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package contains miscellaneous Free Pascal units: fppkg (the FPC packaging system), PasZLib (a Pascal-only zlib implementation), and Utmp. Package: fp-units-multimedia Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-units-multimedia-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-multimedia_2.6.0-9+rpi1_armhf.deb Size: 14420 SHA256: f5a081e2373e4ab0f5d47b688feb16071bcc1506d5b5d5915eeb0cc2fe9847d8 SHA1: 82fa6e19da7c6d1ab568e923d8722495d2226c4d MD5sum: ce444ce88680942ad39c99f34df97382 Description: Free Pascal - multimedia units dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing Free Pascal multimedia units: a52, dts, mad, modplug, oggvorbis, and openal. Package: fp-units-multimedia-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 874 Depends: fp-units-rtl-2.6.0 (= 2.6.0-9+rpi1), libogg-dev, libvorbis-dev, a52dec-dev, libdts-dev, libmad0-dev, libmodplug-dev, libsdl-mixer1.2-dev Breaks: fp-units-multimedia (<= 2.4.0-3) Replaces: fp-units-gfx (<= 2.2.4-3), fp-units-multimedia (<= 2.4.0-3) Provides: fp-units-multimedia Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-multimedia-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 199360 SHA256: 76ddb91382bf31257a3098b0132db7b8df58f7692dae76ef4dc5ffe5ad6fc27c SHA1: 7000f1b4c3e58ca6f9abc408e4ab19076353e808 MD5sum: b9b22d6334090e5af0efd4a967a0c349 Description: Free Pascal - multimedia units The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package contains Free Pascal multimedia units: a52, dts, mad, modplug, oggvorbis, and openal. Package: fp-units-net Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-units-net-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-net_2.6.0-9+rpi1_armhf.deb Size: 14434 SHA256: 975f1d8dec0ae85340b4e3a1077c50310c786db4b378e53c71bc70567e077df9 SHA1: d110492f1eea4216243e06f50f2c0a04e1c0d5d0 MD5sum: ef5373c009473ebeb1b894a52e6961ed Description: Free Pascal - networking units dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing Free Pascal units for creating network tools: dbus, httpd-1.3, httpd-2.0, httpd-2.2, ldap, libasync, libcurl, netdb, openssl, and pcap. Package: fp-units-net-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 2137 Depends: fp-units-rtl-2.6.0 (= 2.6.0-9+rpi1) Breaks: fp-units-net (<= 2.4.0-3) Replaces: fp-units-net (<= 2.4.0-3) Provides: fp-units-net Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-net-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 473776 SHA256: 4df2dcbb2a36e942137c5a3ea2e54077f47b8eb64cbaee0798c479b246f2437f SHA1: c4ab451758c9dd0bcfe8d0abc3b819864b85b0e2 MD5sum: 7675f442431913019b63b852beec04fd Description: Free Pascal - networking units The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package contains Free Pascal units for creating network tools: dbus, httpd-1.3, httpd-2.0, httpd-2.2, ldap, libasync, libcurl, netdb, openssl, and pcap. Package: fp-units-rtl Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-units-rtl-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-rtl_2.6.0-9+rpi1_armhf.deb Size: 14376 SHA256: 7fe93d5143485e86f6529b9eaf8f8506b264485ec1f6c85733c4a83c3e954753 SHA1: c115ffa6c3d5ecd9c2266f4e6feb1210c01d325f MD5sum: 9f3f4d7038a372bcb50851d8969f1f13 Description: Free Pascal - runtime libraries dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing the RunTime Libraries for the Free Pascal Compiler. Package: fp-units-rtl-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 7566 Recommends: fp-compiler-2.6.0 (= 2.6.0-9+rpi1) Breaks: fp-units-rtl (<= 2.4.0-3) Replaces: fp-units-rtl (<= 2.4.0-3) Provides: fp-units-rtl, fpc-abi-2.6.0 Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-units-rtl-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 1796072 SHA256: 30d572904e6247a8be6d8708bf7e965e303d45c68431cbdb8da62b9190e3a4dc SHA1: c1c3ae6bac07e51235a26051424a6832b5e83333 MD5sum: 7ddbf3ded0839095ac1322ed38e2a44d Description: Free Pascal - runtime libraries The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package contains the RunTime Libraries for the Free Pascal Compiler. Package: fp-utils Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-utils-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-utils_2.6.0-9+rpi1_armhf.deb Size: 14590 SHA256: bd22363b186939b8970d35629dfd9215f58f0331171d4ff22af4949fccd5485f SHA1: 528e6ad191d9e382ca0fac770579075cf7978b6a MD5sum: 17ce082626c83e0c32c7d1fc04cf80f2 Description: Free Pascal - utilities dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing some handy utilities for use with the Free Pascal Compiler: * data2inc convert binary/text data to include files; * fpcmake create Makefile from Makefile.fpc; * h2pas convert .h files to Pascal units; * plex/pyacc Pascal Lex and Yacc implementations; * ppdep create a dependency file for use with Makefiles; * ppudump dump the information stored in a .ppu (unit) file; * ppufiles show needed files for units; * ppumove place multiple units in a shared library; * ptop beautify source. Package: fp-utils-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 6528 Recommends: fp-compiler-2.6.0 (= 2.6.0-9+rpi1) Breaks: fp-compiler (<= 2.4.0-3), fp-units-gfx (<= 2.4.2-2), fp-units-gfx-2.4.2 (<= 2.4.2-2), fp-utils (<= 2.4.0-3) Replaces: fp-compiler (<= 2.4.0-3), fp-utils (<= 2.4.0-3) Provides: fp-utils Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fp-utils-2.6.0_2.6.0-9+rpi1_armhf.deb Size: 1935480 SHA256: 74e1d3af456b90d98ab0b4a4c39725b9799b375d3589fcc72b7c8093bbf8d255 SHA1: cd65d7d215961a2e1b95361d7be3c50b7ad75529 MD5sum: ebac8a1e82161420596baa6d08327de6 Description: Free Pascal - utilities The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package contains some handy utilities for use with the Free Pascal Compiler: * data2inc convert binary/text data to include files; * fpcmake create Makefile from Makefile.fpc; * h2pas convert .h files to Pascal units; * plex/pyacc Pascal Lex and Yacc implementations; * ppdep create a dependency file for use with Makefiles; * ppudump dump the information stored in a .ppu (unit) file; * ppufiles show needed files for units; * ppumove place multiple units in a shared library; * ptop beautify source. Package: fpc Version: 2.6.0-9+rpi1 Architecture: all Maintainer: Carlos Laviola Installed-Size: 38 Depends: fpc-2.6.0 (= 2.6.0-9+rpi1) Recommends: fp-utils-2.6.0 Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fpc_2.6.0-9+rpi1_all.deb Size: 14566 SHA256: b55ee19c38217f5f5071be466a59f9a3dc080b08f4fe61de7cd69eb6635789d4 SHA1: 0ee05b7d30d2c0c1b166e3096aac459121fa6977 MD5sum: 8202c63c1388197ee970ae0da5ef9c4a Description: Free Pascal - SDK suite dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . Extensions have been added to the language, such as function overloading, creation and linking of shared libraries, and Delphi language extensions including classes, exceptions, ANSI strings, and open arrays. . This dependency package always depends on the latest available version of the metapackage pulling in all the FPC packages provided for this architecture. Experienced users may instead prefer to install the particular packages they require by hand. Package: fpc-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: all Maintainer: Carlos Laviola Installed-Size: 38 Depends: fp-units-rtl-2.6.0 (>= 2.6.0-9+rpi1), fp-compiler-2.6.0 (>= 2.6.0-9+rpi1), fp-units-base-2.6.0 (>= 2.6.0-9+rpi1), fp-ide-2.6.0 (>= 2.6.0-9+rpi1), fp-units-fcl-2.6.0 (>= 2.6.0-9+rpi1), fp-units-fv-2.6.0 (>= 2.6.0-9+rpi1), fp-units-gtk-2.6.0 (>= 2.6.0-9+rpi1), fp-units-gtk2-2.6.0 (>= 2.6.0-9+rpi1), fp-units-gnome1-2.6.0 (>= 2.6.0-9+rpi1), fp-units-db-2.6.0 (>= 2.6.0-9+rpi1), fp-units-gfx-2.6.0 (>= 2.6.0-9+rpi1), fp-units-net-2.6.0 (>= 2.6.0-9+rpi1), fp-units-misc-2.6.0 (>= 2.6.0-9+rpi1), fp-units-multimedia-2.6.0 (>= 2.6.0-9+rpi1), fp-units-math-2.6.0 (>= 2.6.0-9+rpi1) Recommends: fp-utils-2.6.0 Suggests: lazarus, fp-docs-2.6.0 (>= 2.6.0) Breaks: fpc (<= 2.4.0-3) Replaces: fpc (<= 2.4.0-3) Provides: fpc Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fpc-2.6.0_2.6.0-9+rpi1_all.deb Size: 14678 SHA256: 3a51629b5f8e9efc6471d7cc1fe12a6e15fa60f20ef4bfffa28ac818c5ea66a0 SHA1: 52adbb235e879e81ab35765d3fd41d5354ad8f87 MD5sum: 1576c1d82d6084ee50dbd33756734619 Description: Free Pascal - SDK-2.6.0 suite The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . Extensions have been added to the language, such as function overloading, creation and linking of shared libraries, and Delphi language extensions including classes, exceptions, ANSI strings, and open arrays. . This metapackage pulls in all the FPC packages provided for this architecture. Experienced users may instead prefer to install the particular packages they require by hand. Package: fpc-source Source: fpc Version: 2.6.0-9+rpi1 Architecture: all Maintainer: Carlos Laviola Installed-Size: 38 Depends: fpc-source-2.6.0 (= 2.6.0-9+rpi1) Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fpc-source_2.6.0-9+rpi1_all.deb Size: 14388 SHA256: a69a4ab4da38554311ed531d3e651734b358691e1988eac78d230b0af1fd9282 SHA1: c2b9ccf68a329265149d8fa8330d0f5a722ae40b MD5sum: 464354b4494a3073ed61592cf8104705 Description: Free Pascal - SDK source code dependency package The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This dependency package always depends on the latest available version of the package containing Free Pascal's own source code. It is meant to be used by the Lazarus IDE. Package: fpc-source-2.6.0 Source: fpc Version: 2.6.0-9+rpi1 Architecture: all Maintainer: Carlos Laviola Installed-Size: 96528 Breaks: fpc-source (<= 2.4.0-3) Replaces: fpc-source (<= 2.4.0-3) Provides: fpc-source Homepage: http://www.freepascal.org/ Priority: optional Section: devel Filename: pool/main/f/fpc/fpc-source-2.6.0_2.6.0-9+rpi1_all.deb Size: 14214620 SHA256: 84aa6bb573a08e04fb49292734e25b2b05d354a8cdf474e6285007d1811c98b3 SHA1: b5f09e8ff24b12ffe1094f53a12b073b6f6ca5ae MD5sum: ed2d643a8998e79bfa7252e452b344ad Description: Free Pascal - SDK source code The Free Pascal Compiler is an Object Pascal compiler supporting both Delphi and Turbo Pascal 7.0 dialects, as well as Mac Pascal dialects. It provides a completely portable RunTime Library (RTL) available on many platforms and compatible with Turbo Pascal, along with a platform-independent class-based Free Component Library (FCL) adding many Delphi extensions and interfacing with many popular open source libraries. . This package contains Free Pascal's own source code. It is meant to be used by the Lazarus IDE. Package: fpdns Source: net-dns-fingerprint Version: 0.9.3-4 Installed-Size: 50 Maintainer: Thorsten Alteholz Architecture: all Depends: libnet-dns-fingerprint-perl, libio-socket-inet6-perl Size: 8900 SHA256: f9dc5596c0a68dc8a50802e8b1d5919f3ca1de86df1fd6d2a6d8faeca8d6e95b SHA1: ab77298b0c2f2ae7c8c516a16bfd69f407fa79eb MD5sum: 97c06c7b0f7dd740c72d2f9ec0fcb1bb Description: remotely determine DNS server version A nameserver basically responds to a query. Interoperability is an obvious requirement here. The standard protocol behaviour of different DNS implementations is expected to be the same. . The reality is quite different though. fpdns uses a series of borderline DNS queries to determine the vendor, product and version of a nameserver. Homepage: http://code.google.com/p/fpdns/ Tag: interface::commandline, network::scanner, protocol::dns, role::program, scope::utility, use::checking Section: net Priority: optional Filename: pool/main/n/net-dns-fingerprint/fpdns_0.9.3-4_all.deb Package: fped Version: 0.0+r5986-1 Architecture: armhf Maintainer: Xiangfu Liu Installed-Size: 286 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0) Homepage: http://svn.openmoko.org/trunk/eda/fped Priority: extra Section: electronics Filename: pool/main/f/fped/fped_0.0+r5986-1_armhf.deb Size: 90116 SHA256: 06f818f989b9670b89be908d0da1d1b6a50ffacea89be0135299724bf5aae606 SHA1: d73126d15b0daa205dc54b4b5f6ee91801633b25 MD5sum: a07dd62fcb964260d46c0ce896b9f0f7 Description: Footprint editor fped is an editor that allows the interactive creation of footprints of electronic components. Footprint definitions are stored in a text format that resembles a programming language. The language is constrained such that anything that can be expressed in the textual definition also has a straightforward equivalent operation that can be performed through the GUI. A description of the GUI can be found here: http://people.openmoko.org/werner/fped/gui.html Package: fping Version: 3.2-1 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 119 Depends: libc6 (>= 2.13-28) Homepage: http://www.fping.org/ Priority: optional Section: net Filename: pool/main/f/fping/fping_3.2-1_armhf.deb Size: 35768 SHA256: 08fce461de1c80752785ca4377c3767c35dbe8761f08eff16e19bed84f2ce4bc SHA1: 5002b6bab2463de99cbc2be068399b69020703ec MD5sum: 74d1457a5afa2bf217447ebde9023c08 Description: sends ICMP ECHO_REQUEST packets to network hosts fping is a ping like program which uses the Internet Control Message Protocol (ICMP) echo request to determine if a target host is responding. fping differs from ping in that you can specify any number of targets on the command line, or specify a file containing the lists of targets to ping. Instead of sending to one target until it times out or replies, fping will send out a ping packet and move on to the next target in a round-robin fashion. Package: fpm2 Version: 0.79-3 Architecture: armhf Maintainer: Tobias Quathamer Installed-Size: 478 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libx11-6, libxml2 (>= 2.7.4) Homepage: http://als.regnet.cz/fpm2/ Priority: optional Section: utils Filename: pool/main/f/fpm2/fpm2_0.79-3_armhf.deb Size: 152122 SHA256: 6003542fe7c79bff67623941bfdcc979ccd20c8bc8eda5873d323791af372d09 SHA1: bccdfde70655c6f154113851056d44550cb68ff8 MD5sum: 876fc704f4b8039337ce37032b85cb54 Description: password manager with GTK+ 2.x GUI Figaro's Password Manager 2 (FPM2) is a program that allows you to securely store the passwords. Passwords are encrypted with the AES-256 algorithm. . If the password is for a web site, FPM2 can keep track of the URLs of your login screens and can automatically launch your browser. In this capacity, FPM2 acts as a kind of bookmark manager. You can teach FPM2 to launch other applications, and optionally pass hostnames, usernames or passwords to the command line. . FPM2 also has a password generator that can choose passwords for you. It allows you to determine how long the password should be, and what types of characters (lower case, upper case, numbers and symbols) should be used. You can even have it avoid ambiguous characters such as a capital O or the number zero. Package: fprint-demo Version: 20080303git-5 Architecture: armhf Maintainer: FingerForce Team Installed-Size: 255 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfprint0, libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0) Homepage: http://reactivated.net/fprint/ Priority: extra Section: x11 Filename: pool/main/f/fprint-demo/fprint-demo_20080303git-5_armhf.deb Size: 75446 SHA256: 4c46cf532eecca352ad9794cd58bd11f4eecbf6a96613fe4771ef382bf43abb5 SHA1: 055f43797ba932e327f4a6ff2f59f901ac702239 MD5sum: 68157ad8d1e2b1bdf2a4593c269bb843 Description: simple GTK+ testing libfprint's functions fprint-demo is a simple GTK+ application to demonstrate and test libfprint's capabilities . It allows user-interaction to enroll finger images and, depending scanner vendors, show both normal and binarized representation, including detected minutiaes Package: fprintd Version: 0.4.1-5-g73edad0-3 Architecture: armhf Maintainer: FingerForce Team Installed-Size: 567 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libfprint0 (>= 1:0.4.0), libglib2.0-0 (>= 2.26.0), libpolkit-gobject-1-0 (>= 0.94), dbus, policykit-1 Multi-Arch: foreign Homepage: http://www.freedesktop.org/wiki/Software/fprint/fprintd Priority: extra Section: misc Filename: pool/main/f/fprintd/fprintd_0.4.1-5-g73edad0-3_armhf.deb Size: 52004 SHA256: b30146ddd31611812122343a1639ad0c10f5364666055ba1546cc5283ff00fd2 SHA1: 86c7068a05ef882dbaadba9f577130218c3fba41 MD5sum: 375d4789adbd4b113dc65cf410df7b6a Description: D-Bus daemon for fingerprint reader access fprintd is a D-Bus daemon that offers libfprint functionality over the D-Bus interprocess communication bus. By adding this daemon layer above libfprint, various problems related to multiple applications simulatenously competing for fingerprint readers get solved. Package: fprintd-doc Source: fprintd Version: 0.4.1-5-g73edad0-3 Installed-Size: 114 Maintainer: FingerForce Team Architecture: all Suggests: fprintd (>= 0.4.1-5-g73edad0-3), libpam-fprintd (>= 0.4.1-5-g73edad0-3) Size: 20704 SHA256: 15884f4506c28ba14d1f20237872fae7e365ad14df2c04838ad00eb2935588c1 SHA1: 9e2c06fc659e95890ca29fb4969b8cf0cfb6b864 MD5sum: 295d1dcfeba76ce1bb963945368e50d9 Description: development documentation for fprintd fprintd is a D-Bus daemon that offers libfprint functionality over the D-Bus interprocess communication bus. By adding this daemon layer above libfprint, various problems related to multiple applications simulatenously competing for fingerprint readers get solved. . This package contains the GTK-Doc API documentation of fprintd. Homepage: http://www.freedesktop.org/wiki/Software/fprint/fprintd Section: doc Priority: extra Filename: pool/main/f/fprintd/fprintd-doc_0.4.1-5-g73edad0-3_all.deb Package: fprobe Version: 1.1-7.3 Architecture: armhf Maintainer: Radu Spineanu Installed-Size: 96 Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), debconf (>= 0.5) | debconf-2.0 Replaces: fprobe-ng Provides: fprobe-ng Priority: optional Section: net Filename: pool/main/f/fprobe/fprobe_1.1-7.3_armhf.deb Size: 31718 SHA256: 3e3e50d6e32f03dd16498435712d095bb283d20640860f6f808dacdd2d2d068f SHA1: 5dda1181bc104a8f059a18e00acf3357ced6ca2c MD5sum: c335297a770e58f27bbdce21599a4238 Description: export captured traffic to remote NetFlow Collector This program is a libpcap-based utility which collects network traffic and emits it as NetFlow towards a specified collector. . Homepage: fprobe.sourceforge.net Package: fprobe-ng Source: fprobe Version: 1.1-7.3 Installed-Size: 35 Maintainer: Radu Spineanu Architecture: all Depends: fprobe Size: 9176 SHA256: 8d62acad0932993c55b40f4fc5167520afb755f59b01e9a47cbbcbe3c372e02f SHA1: 054d20bf0613f52ff9351b564915f12ea6917332 MD5sum: 53eb78dcde36eb1d20d3a667b6254317 Description: export captured traffic to remote NetFlow Collector (meta) This program is a libpcap-based utility which collects network traffic and emits it as NetFlow towards a specified collector. . This is a meta package that depends on fprobe. Tag: implemented-in::c, interface::daemon, network::routing, network::server, role::program, use::transmission Section: net Priority: optional Filename: pool/main/f/fprobe/fprobe-ng_1.1-7.3_all.deb Package: fprobe-ulog Version: 1.1-7.3 Architecture: armhf Maintainer: Radu Spineanu Installed-Size: 91 Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0 Priority: optional Section: net Filename: pool/main/f/fprobe-ulog/fprobe-ulog_1.1-7.3_armhf.deb Size: 28400 SHA256: f49bf27e6ccd40459f70f395271758de48d231b34729886caaef4a8a3af90d50 SHA1: 8c5a6e047a798808245ecfb98ad850dfad5a53dd MD5sum: b19c39de8431881dfb414e35b02067e7 Description: export captured traffic to remote NetFlow Collector (ULOG version) This program is obtains packets through Lnux netfilter code (iptables ULOG target). The main advantages of this version are native input/output interface SNMP-index support and significant performance benefits. . Homepage: fprobe.sourceforge.net Package: fqterm Version: 0.9.6.10-1.1 Architecture: armhf Maintainer: Debian Chinese Team Installed-Size: 2251 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta2), libqtgui4 (>= 4:4.8.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libx11-6 Homepage: http://code.google.com/p/fqterm Priority: optional Section: x11 Filename: pool/main/f/fqterm/fqterm_0.9.6.10-1.1_armhf.deb Size: 1004584 SHA256: d6badee0d2bb46872b8f6c7b2656417ea1d0b429c76b0ae0c1bff613f4bd8bd2 SHA1: 99e3a4e40f47ad6a3bbc81801f65c9fd4b4c208a MD5sum: d5b0a2b9e6b990cd06e79cbe368768d7 Description: BBS client written in QT4 FQTerm is one of the most widely used BBS client in China, it supports Telnet/SSH1/SSH2 protocols and can process ANSI control sequences. It can be used to login BBS sites or *NIX hosts. . Almost all the BBSes in Greater China Region are in BIG5 or GBK encoding. So FQTerm only support these two encodings and ASCII. Package: fracplanet Version: 0.4.0-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 348 Depends: libboost-program-options1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0) Suggests: blender Homepage: http://www.bottlenose.demon.co.uk/share/fracplanet/ Priority: optional Section: graphics Filename: pool/main/f/fracplanet/fracplanet_0.4.0-3_armhf.deb Size: 141820 SHA256: 75835bfff050f1f705fa0a282bf4e071d66d3ccd4f308ec6425fa4b616fe3c75 SHA1: bddad7bea58bb817d8008b7000e65c524122835e MD5sum: 1bdc4ee050149852d1c81b4473e96441 Description: Fractal planet generator This is an interactive tool for creating random fractal planets and terrain areas with oceans, rivers, lakes and icecaps. The results can be exported as models to POV-Ray and to Blender, or as texture maps for more general usage. Package: fragmaster Version: 1.6-3 Installed-Size: 244 Maintainer: Agustin Martin Domingo Architecture: all Depends: texlive-base-bin, texlive-latex-base, texlive-latex-recommended, texlive-extra-utils, texlive-font-utils, ghostscript, perl Size: 121860 SHA256: 6d54913422a390958ce42ed9b8a1031c7f42e64576c5312fc3875027e01b4875 SHA1: 7366f3449fddd6526ab616e3f2e07da5b3c78b15 MD5sum: 664d5e23b1570707aacf7b2da15e072b Description: use of psfrag constructs with pdflatex psfrag is a LaTeX package which allows one to replace text elements in included EPS graphics by arbitrary LaTeX output. Because psfrag uses Postscript for making the replacements, in principle you can't use psfrag with pdflatex which doesn't have any interfaces to postscript. . This package contains the Perl script fragmaster that produces a new EPS from your original EPS which already contains all those psfrag replacements. This new EPS graphic actually can be converted to PDF including all replacements. The resulting "encapsulated" PDF then can be used with pdflatex. Homepage: http://www.ctan.org/tex-archive/support/fragmaster/ Tag: implemented-in::perl Section: tex Priority: extra Filename: pool/main/f/fragmaster/fragmaster_1.6-3_all.deb Package: frama-c Version: 20111001+nitrogen+dfsg-4 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 6780 Depends: libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnomecanvas2-0 (>= 2.11.1), libgtk2.0-0 (>= 2.24.0), libgtksourceview2.0-0 (>= 2.10.0), libncurses5 (>= 5.5-5~), libpango1.0-0 (>= 1.14.0), libtinfo5, frama-c-base (= 20111001+nitrogen+dfsg-4), graphviz, emacs | emacsen Recommends: why (>= 2.19+dfsg-2) Homepage: http://frama-c.com/ Priority: optional Section: devel Filename: pool/main/f/frama-c/frama-c_20111001+nitrogen+dfsg-4_armhf.deb Size: 1491092 SHA256: c157f2d4ad003d707f826c87ca3dd0dda737e20b263251815dfca724ae15bfa4 SHA1: abe9b3471bfff68c865a4062828ad3710a4a53f0 MD5sum: 4410c9b77866f672801935f4de88a885 Description: Framework for source code analysis of software written in C Frama-C is a framework dedicated to the analysis of the source code of software written in C. . Frama-C gathers several static analysis techniques in a single collaborative framework. The collaborative approach of Frama-C allows static analyzers to build upon the results already computed by other analyzers in the framework. Thanks to this approach, Frama-C provides sophisticated tools, such as a slicer and dependency analysis. . It can be used to: * Validate the source code formally * Look for potential runtime errors * Audit or review it * Reverse engineer it to understand its structure * Generate formal documentation . This package provides the graphical user interface of Frama-c and depends on frama-c-base. Package: frama-c-base Source: frama-c Version: 20111001+nitrogen+dfsg-4 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 52725 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5, liblablgtk2-gnome-ocaml-5jmu4, liblablgtk2-ocaml-yeug0, liblablgtksourceview2-ocaml-wz3i5, ocaml-base-nox-3.12.1, libocamlgraph-ocaml-dev, gcc Recommends: alt-ergo, libwhy-coq Breaks: frama-c (<< 20090902+beryllium+dfsg-1) Replaces: frama-c (<< 20090902+beryllium+dfsg-1) Homepage: http://frama-c.com/ Priority: optional Section: devel Filename: pool/main/f/frama-c/frama-c-base_20111001+nitrogen+dfsg-4_armhf.deb Size: 19030964 SHA256: 34b1a4cce776ea1e3b0be54b13a083bf5303bf5f0636634037d8f54e4d00668a SHA1: 8b68eefb5497d78a76086f0709bcb96fc13fd625 MD5sum: dafffaaf6052c1dcbbaa4b8de48fda9c Description: Framework for C source code analysis (without gui) Frama-C is a framework dedicated to the analysis of the source code of software written in C. . Frama-C gathers several static analysis techniques in a single collaborative framework. The collaborative approach of Frama-C allows static analyzers to build upon the results already computed by other analyzers in the framework. Thanks to this approach, Frama-C provides sophisticated tools, such as a slicer and dependency analysis. . It can be used to: * Validate the source code formally * Look for potential runtime errors * Audit or review it * Reverse engineer it to understand its structure * Generate formal documentation . This package provides the library of Frama-c which is useful to build plugins for Frama-c and the command-line tools. Package: francine Version: 0.99.8orig-6 Architecture: armhf Maintainer: Gerfried Fuchs Installed-Size: 98 Depends: libc6 (>= 2.7), libpam0g (>= 0.99.7.1), libslang2 (>= 2.0.7-1) Priority: optional Section: admin Filename: pool/main/f/francine/francine_0.99.8orig-6_armhf.deb Size: 25186 SHA256: fdedbb4e8f472e39c36c0165b48f4d04a9f722bbce9831b0c9b5e85a1d2430e5 SHA1: da6a5b16d061d8ea2c4cdcff39f8122aee3a601a MD5sum: 8af45465877cd8561d7204e6fed4a073 Description: feature rich ansi console login engine francine is an easy to configure themeable console login program. Its great configurability for per tty access-settings and the posibility to put the prompt for username and password anywhere within a nicely colored ANSI-screen makes it a greatly enhanced replacement for the old clumpy login. . You need a getty that is able to run different programs than just login. I suggest rungetty for that, but (alternative) getty does suffice. It can also be used from the telnetd with the -L option. . This project is a spin-off from the fancylogin project, which is still maintained by Richard Bergmair. Package: fraqtive Version: 0.4.5-6 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 684 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://fraqtive.mimec.org/ Priority: optional Section: kde Filename: pool/main/f/fraqtive/fraqtive_0.4.5-6_armhf.deb Size: 207826 SHA256: e7ca0a3aff58d5c7bd4c41d5686c3835ea9473937ed2d6be530ea5846531dc69 SHA1: 3fcd85ab6e39532e995bb9318b069430ea6d9d69 MD5sum: 98c852f5e09f50aa2def9099df5dce60 Description: draws Mandelbrot and Julia fractals Fraqtive is a program for drawing Mandelbrot and Julia fractals. It uses a very fast algorithm and generates high quality, smooth images. It is fully interactive, allowing for real-time mouse navigation and dynamic generation of the Julia fractal preview. OpenGL-rendered 3D view of the fractals is also supported. Package: fraqtive-dbg Source: fraqtive Version: 0.4.5-6 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 8686 Depends: fraqtive (= 0.4.5-6) Homepage: http://fraqtive.mimec.org/ Priority: extra Section: debug Filename: pool/main/f/fraqtive/fraqtive-dbg_0.4.5-6_armhf.deb Size: 3635328 SHA256: ccae3c6e99ebdf45bc68c1a72ea393843079252fe6d73f895d0d502c3bb3fe54 SHA1: 0e08727227f87104b83e126505b4443b2d56ad0b MD5sum: eea23aa0dc0b66fecf48bf519764541f Description: draws Mandelbrot and Julia fractals (debugging symbols) Fraqtive is a program for drawing Mandelbrot and Julia fractals. It uses a very fast algorithm and generates high quality, smooth images. It is fully interactive, allowing for real-time mouse navigation and dynamic generation of the Julia fractal preview. OpenGL-rendered 3D view of the fractals is also supported. . This package contains the debugging symbols for fraqtive. Package: freeaccount Source: freemedforms-project Version: 0.7.6-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 3454 Depends: freemedforms-libs (= 0.7.6-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0), libx11-6, libxext6, freemedforms-freedata (= 0.7.6-1), freemedforms-common-resources (= 0.7.6-1) Suggests: ttf-mscorefonts-installer Homepage: http://www.freemedforms.com/ Priority: optional Section: science Filename: pool/main/f/freemedforms-project/freeaccount_0.7.6-1_armhf.deb Size: 1323176 SHA256: f184bda8edd489058b8f896092b18437ae6ec3e36ce858d47e3fbdd060e0c5a9 SHA1: 55a5359e4bbeb56befe0528320b57be6afb83ca9 MD5sum: f48903fb7505dc47af51a8a7b69e8867 Description: medical accountancy manager The FreeMedForms project provides a set of medical applications to help health professionals in their day-to-day practice. It is developed by an international community of medical doctors and computer specialists. . FreeAccount is an medical accountancy manager. . FreeAccount is fully integrated in the FreeMedForms open source EMR and can be used as external accountancy manager using its interoperability interface. Package: freealchemist Version: 0.5-1 Installed-Size: 155 Maintainer: Devid Antonio Filoni Architecture: all Depends: python (>= 2.6.6-7~), python-pygame Size: 69798 SHA256: ceafa99f00b1273df60e08d1747305f025bb352b86f664cbb553857f816f450f SHA1: cffa5bd8db99d9c99411b345f290b76602fd65a8 MD5sum: 57aec8f2bc31a0d29dd73fa34520aec1 Description: simpler figure block game FreeAlchemist is a figure block game written in Python where you have to connect blocks. . The three or more blocks connected with the same shape will be replaced by a block with a different shape. Homepage: https://github.com/dakk/FreeAlchemist Tag: implemented-in::python, role::program, use::gameplaying Section: games Priority: optional Filename: pool/main/f/freealchemist/freealchemist_0.5-1_all.deb Package: freebirth Version: 0.3.2-8 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 325 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), freebirth-data (= 0.3.2-8) Priority: extra Section: sound Filename: pool/main/f/freebirth/freebirth_0.3.2-8_armhf.deb Size: 112304 SHA256: b53c83cd6ace99a2d32cb991a43f88e3b368eb88a7362b354699fa2d5af5ba95 SHA1: 5cb401db512ac6e99f0ee70fc682b2e30ad5db7f MD5sum: a723401d5109dc075ad0d08af2f9b152 Description: Bass synthesizer/sample player/sequencer Freebirth is a free software bass synthesizer / step sequencer / sample player similar to Rebirth. The bass synthesizer resembles a 303 but also has other capabilities such as . - Three oscillators (saw, sin, sqr) - Phase offsets for each oscillator - Separate filter and amplitude envelopes - Separate tuning for each oscillator - Two effects busses (reverb and delay). Package: freebirth-data Source: freebirth Version: 0.3.2-8 Installed-Size: 2852 Maintainer: Paul Brossier Architecture: all Conflicts: freebirth (<< 0.3.2-5) Size: 2307204 SHA256: eca65995829ba533378b5d118752a07a7eebc41ec85ae993b2399d9218583379 SHA1: 518493a249fcc560552c17109b5254840a05601b MD5sum: 2ad419a8d65c33ef90b1f9c5fee0c36d Description: Bass synthesizer/sample player/sequencer -- sound samples This package contains sound samples for freebirth. . Freebirth is a free software bass synthesizer / step sequencer / sample player similar to Rebirth. The bass synthesizer resembles the 303 but also has other capabilities such as . - Three oscillators (saw, sin, sqr) - Phase offsets for each oscillator - Separate filter and amplitude envelopes - Separate tuning for each oscillator - Two effects busses (reverb and delay). Tag: made-of::audio, role::app-data Section: sound Priority: extra Filename: pool/main/f/freebirth/freebirth-data_0.3.2-8_all.deb Package: freebsd-buildutils Version: 9.0-11 Architecture: armhf Maintainer: GNU/kFreeBSD Maintainers Installed-Size: 1351 Depends: libbsd0 (>= 0.0), libc6 (>= 2.13-28), bsdmainutils, unzip, patchutils, freebsd-glue Conflicts: freebsd5-buildutils, freebsd6-buildutils, pmake Provides: freebsd6-buildutils, freebsd7-buildutils Priority: extra Section: devel Filename: pool/main/f/freebsd-buildutils/freebsd-buildutils_9.0-11_armhf.deb Size: 480488 SHA256: b2c0b55ba1d90ccfa669cf6b55b2c0f0b61e2af417891ca32db4651396f4f2a1 SHA1: d9412ccf70ddfeb938218965bb6758da285d015c MD5sum: 41d01c48e6b9cacf7c89971256d0d6f8 Description: Utilities for building FreeBSD sources This package contains the FreeBSD counterparts of some standard build utilities (make, yacc, lex ..) . They have some specific modifications needed to be able to build FreeBSD sources (such as kfreebsd-8). Package: freebsd-glue Version: 0.0.4 Installed-Size: 65 Maintainer: GNU/kFreeBSD Maintainers Architecture: all Replaces: freebsd-buildutils (<< 9.0-10) Depends: original-awk, make, libbsd-dev (>= 0.4.0-1~), libexpat-dev Recommends: freebsd-buildutils Conflicts: kfreebsd-kernel-headers (<< 0.80) Breaks: freebsd-buildutils (<< 9.0-10) Size: 20106 SHA256: 3a6947269f9e499dab915ebec06e7a9e08892928d290654c96423b8570d47d57 SHA1: 0a45a39c55312b5fc2f991b722533132973ac541 MD5sum: dfd651afe06377304d800e48ab1db972 Description: Emulate a FreeBSD build environment This package provides a set of glue headers and symbolic links to emulate a FreeBSD build environment. . Its goal is to make it as easy as possible to build source code written for FreeBSD on Debian, by adding the necessary glue so that equivalent interfaces in packages like freebsd-buildutils, libbsd-dev or libexpat-dev are directly available to pristine FreeBSD code. . freebsd-glue strives for bug-for-bug compatibility and will even attempt to accommodate for unspecified features (such as implicit header inclusion), as long as this doesn't cause breakage in other areas. Section: devel Priority: extra Filename: pool/main/f/freebsd-glue/freebsd-glue_0.0.4_all.deb Package: freebsd-manpages Version: 8.2-1 Installed-Size: 11772 Maintainer: GNU/kFreeBSD Maintainers Architecture: all Suggests: man-browser Size: 6767148 SHA256: fe39dba927487546e7977353e4665ba482facf09d9def0674d75dfeb39bf8a7b SHA1: 833553994570b8e37f419ccef80f84915063e995 MD5sum: dbb15f17a69efb28c4e2b51a5269c479 Description: Manual pages for a GNU/kFreeBSD system This package contains a selection of manual pages from FreeBSD that are useful on a GNU/kFreeBSD system: 2 = System calls (functions provided by the kernel) 4 = Special files (usually found in /dev) 9 = Kernel routines Tag: admin::kernel, devel::doc, made-of::man, role::documentation Section: doc Priority: optional Filename: pool/main/f/freebsd-manpages/freebsd-manpages_8.2-1_all.deb Package: freebsd-sendpr Version: 3.113+8.2-1 Installed-Size: 70 Maintainer: GNU/kFreeBSD Maintainers Architecture: all Depends: mailutils | bsd-mailx, dpkg (>= 1.15.4) | install-info Conflicts: gnats, gnats-user Size: 33806 SHA256: fa4cc313a5c169a0a84ca318561e5a86215b0694e884762faa47df738dcc7030 SHA1: ea97b7f91667df5dd9567cb3adc66d27eb5a2f48 MD5sum: acb971dbef180d47929d5aceeabb0309 Description: FreeBSD fork of send-pr (from GNU GNATS) send-pr is a client utility for sending problem reports to a remote GNATS database. This is the FreeBSD version of send-pr, which contains a number of enhancements, and is primarily targeted at sending reports to the FreeBSD PR database. . It is useful for Debian maintainers (or even users) of software originated in FreeBSD, as it provides an easy way to send patches or feedback to upstream. Tag: devel::bugtracker, implemented-in::shell, interface::commandline, interface::text-mode, role::program, suite::bsd, works-with::bugs Section: utils Priority: extra Filename: pool/main/f/freebsd-sendpr/freebsd-sendpr_3.113+8.2-1_all.deb Package: freecad Version: 0.12.5284-dfsg-7 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 27841 Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-signals1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcoin60, libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), liboce-foundation2, liboce-modeling2, liboce-ocaf-lite2, liboce-ocaf2, liboce-visualization2, libpython2.7 (>= 2.7), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libsm6, libsoqt4-20 (>= 1.4.2~svn20090224), libstdc++6 (>= 4.6), libx11-6, libxerces-c28, libxext6, libxi6, libxmu6, libxt6, libzipios++0c2a, zlib1g (>= 1:1.1.4), python, python-support (>= 0.90.0), python-pivy Suggests: freecad-doc Homepage: http://sourceforge.net/apps/mediawiki/free-cad Priority: extra Section: science Filename: pool/main/f/freecad/freecad_0.12.5284-dfsg-7_armhf.deb Size: 11671698 SHA256: 806d6f45e6ca1d5b9dd077a117e57d1d2606aa879ea69e5fc249a0deba7b453a SHA1: ad7b531f732cbaea0505f7c87a36aa7b9962cf8f MD5sum: 721c3b409a16f492e468440ea12b41f8 Description: Extensible Open Source CAx program (alpha) FreeCAD is an Open Source CAx RAD based on OpenCasCade, Qt and Python. It features some key concepts like macro recording, workbenches, ability to run as a server and dynamically loadable application extensions and it is designed to be platform independent. . Currently, FreeCAD can import and display CAD models in IGES, STEP, and BRep formats and meshes in STL, BMS, AST and Wavefront OBJ formats. Editing and modeling features are currently somewhat limited. Package: freecad-dev Source: freecad Version: 0.12.5284-dfsg-7 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 2111 Depends: freecad (= 0.12.5284-dfsg-7), libeigen3-dev Homepage: http://sourceforge.net/apps/mediawiki/free-cad Priority: extra Section: libdevel Filename: pool/main/f/freecad/freecad-dev_0.12.5284-dfsg-7_armhf.deb Size: 333844 SHA256: 05e8b2c057e493de25f4915f08016767e17f3f6fdbd5d86f493d28ea9842055e SHA1: 5db1b56b9611833141ce270c7a6a6be4f7678a0e MD5sum: 4dfef45f7afad0c6de28e894598a3657 Description: FreeCAD development files FreeCAD is an Open Source CAx RAD based on OpenCasCade, Qt and Python. It features some key concepts like macro recording, workbenches, ability to run as a server and dynamically loadable application extensions and it is designed to be platform independent. For more details see http://sourceforge.net/projects/free-cad . This package contains headers and symlinks necessary to develop modules for FreeCAD. Package: freecad-doc Source: freecad Version: 0.12.5284-dfsg-7 Installed-Size: 28922 Maintainer: Debian Science Maintainers Architecture: all Depends: qt4-dev-tools Size: 28947060 SHA256: 5cc9c2b523aa47843b5600a9dd4277b5c13dc21ccd52f15cf85710b98a670bef SHA1: 9ebeb318284eb0cb612247a29427ddbf685e7f6b MD5sum: 6fd421ef39b2302c7f23bc341e353d5a Description: FreeCAD documentation FreeCAD is an Open Source CAx RAD based on OpenCasCade, Qt and Python. It features some key concepts like macro recording, workbenches, ability to run as a server and dynamically loadable application extensions and it is designed to be platform independent. For more details see http://sourceforge.net/projects/free-cad . This package contains the FreeCAD documentation. . The documentation is provided in Qt's new help format; the new help format version can be viewed in conjunction with the Qt Assistant found in the qt4-dev-tools package. Homepage: http://sourceforge.net/apps/mediawiki/free-cad Tag: role::documentation Section: doc Priority: extra Filename: pool/main/f/freecad/freecad-doc_0.12.5284-dfsg-7_all.deb Package: freecdb Version: 0.75 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 90 Depends: libc6 (>= 2.4) Priority: optional Section: utils Filename: pool/main/f/freecdb/freecdb_0.75_armhf.deb Size: 18778 SHA256: 7d689d016de2e02b44d4dca2b5394c1b10983068ba6ac4ab28938e15ae94780b SHA1: 5323ccfae0a1c6e765f1e203bf5b3a9771b1b8dc MD5sum: 79995bd5df5433251d45dc151224d173 Description: creating and reading constant databases freecdb is a fast, reliable, simple package for creating and reading constant databases. Its database structure provides several features: . * Fast lookups: A successful lookup in a large database normally takes just two disk accesses. An unsuccessful lookup takes only one. * Low overhead: A database uses 2048 bytes, plus 24 bytes per record, plus the space for keys and data. * No random limits: cdb can handle any database up to 4 gigabytes. There are no other restrictions; records don't even have to fit into memory. Databases are stored in a machine-independent format. * Fast atomic database replacement: cdbmake can rewrite an entire database two orders of magnitude faster than other hashing packages. * Fast database dumps: cdbdump prints the contents of a database in cdbmake-compatible format. . This package is derived from the cdb package, and uses the original cdb library. See http://cr.yp.to/cdb.html Package: freecell-solver-bin Source: freecell-solver Version: 3.12.0-1 Architecture: armhf Maintainer: Gergely Risko Installed-Size: 243 Depends: libc6 (>= 2.13-28), libfreecell-solver0 (>= 2.0.0), python Homepage: http://fc-solve.berlios.de/ Priority: optional Section: games Filename: pool/main/f/freecell-solver/freecell-solver-bin_3.12.0-1_armhf.deb Size: 110880 SHA256: a0f79864d05ba5fe0eee3a2fe8ec4de29b354f7f5449d7262c32b11fdefcc36d SHA1: 8a4393806eb5225e83fe4aa4e9078e44808adafa MD5sum: 450287b77a7c4a4905e8b27cffb91564 Description: Library for solving Freecell games Freecell Solver is a library for automatically solving boards of Freecell and similar variants of card Solitaire. This package contains the header files and static libraries necessary for developing programs using Freecell Solver. . This package contains the binaries included with freecell-solver Package: freeciv-client-extras Source: freeciv Version: 2.3.2-1+deb7u1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 3030 Depends: libatk1.0-0 (>= 1.12.4), libbz2-1.0, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), liblua5.1-0, libpango1.0-0 (>= 1.14.0), libreadline6 (>= 6.0), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4), freeciv-data Homepage: http://www.freeciv.org/ Priority: optional Section: games Filename: pool/main/f/freeciv/freeciv-client-extras_2.3.2-1+deb7u1_armhf.deb Size: 1295018 SHA256: 79faa6e78c1b0569d1a01a27e5bee483fe6f2752f2fa228072087698d1587aab SHA1: e55d64515e908f3f39bd97880dbf87616cf00d58 MD5sum: f35bede54c5364f75f747d4db434b512 Description: Civilization turn based strategy game (miscellaneous extras) Freeciv is a free clone of the turn based strategy game Civilization. In this game, each player becomes leader of a civilisation, fighting to obtain the ultimate goal: the extinction of all other civilisations. . This is a collection of supporting scripts and tools which may be useful to a Freeciv player. These include: * freeciv-manual: Generate an HTML manual for freeciv-server * freeciv-modpack: GTK+ modpack, ruleset and tileset downloader Package: freeciv-client-gtk Source: freeciv Version: 2.3.2-1+deb7u1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1564 Depends: libatk1.0-0 (>= 1.12.4), libbz2-1.0, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4), freeciv-data Recommends: freeciv-server (>= 2.3.0) Suggests: freeciv-sound, freeciv-client-extras Provides: freeciv, freeciv-client Homepage: http://www.freeciv.org/ Priority: optional Section: games Filename: pool/main/f/freeciv/freeciv-client-gtk_2.3.2-1+deb7u1_armhf.deb Size: 665412 SHA256: bd756fbf11c45cbfd43644a7898c2106669c35f7c62c584dbd22c2f9e8b33228 SHA1: 16d3c365870cd62522b1fc3479a654dd147252fe MD5sum: bf93be362d12ce7c0433e12b697a5ca4 Description: Civilization turn based strategy game (GTK+ client) Freeciv is a free clone of the turn based strategy game Civilization. In this game, each player becomes leader of a civilisation, fighting to obtain the ultimate goal: the extinction of all other civilisations. . This is the GTK+ version of the Freeciv client. Package: freeciv-client-sdl Source: freeciv Version: 2.3.2-1+deb7u1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1602 Depends: libbz2-1.0, libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4), freeciv-data, ttf-dejavu-core, fonts-ipafont-gothic | fonts-japanese-gothic | ttf-sazanami-gothic, ttf-unfonts-core, ttf-arphic-uming Recommends: freeciv-server (>= 2.3.0) Suggests: freeciv-sound Provides: freeciv, freeciv-client Homepage: http://www.freeciv.org/ Priority: optional Section: games Filename: pool/main/f/freeciv/freeciv-client-sdl_2.3.2-1+deb7u1_armhf.deb Size: 693884 SHA256: f05ff9aa2bd9f3c3b54d3b53a7ce1f7b051c04573f6589dc1896c337500c31cc SHA1: f33a7e7ed86b5a1cdb50de9266854c86c990383e MD5sum: bc4261c57d7abab95c7f5c310ed7c460 Description: Civilization turn based strategy game (SDL client) Freeciv is a free clone of the turn based strategy game Civilization. In this game, each player becomes leader of a civilisation, fighting to obtain the ultimate goal: the extinction of all other civilisations. . This is the SDL version of the Freeciv client. Package: freeciv-client-xaw3d Source: freeciv Version: 2.3.2-1+deb7u1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1515 Depends: libbz2-1.0, libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libpng12-0 (>= 1.2.13-4), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6, zlib1g (>= 1:1.1.4), freeciv-data Recommends: freeciv-server (>= 2.3.0) Suggests: freeciv-sound Provides: freeciv-client Homepage: http://www.freeciv.org/ Priority: optional Section: games Filename: pool/main/f/freeciv/freeciv-client-xaw3d_2.3.2-1+deb7u1_armhf.deb Size: 585210 SHA256: a98d1323a3388d8ee764e489420b8ed14f16533ec5c6ca29d00337385492cfdb SHA1: ca9002edfc5c17fe4aeea9bc6adb7b699b9e6b92 MD5sum: e71876c75284baed085647e4ca6099d2 Description: Civilization turn based strategy game (Xaw3D client) Freeciv is a free clone of the turn based strategy game Civilization. In this game, each player becomes leader of a civilisation, fighting to obtain the ultimate goal: the extinction of all other civilisations. . This is the Xaw3D version of the Freeciv client. Package: freeciv-data Source: freeciv Version: 2.3.2-1+deb7u1 Installed-Size: 28088 Maintainer: Debian Games Team Architecture: all Size: 16726386 SHA256: b8b87cf807bee20aedb1f1532ec8c58bee67fb8579b09f66498c9e1e4291de7d SHA1: c117c33c1c93e40a69edf36c07081b67e22894da MD5sum: 0ef477018ffc2e8eba00c63a6d9d844c Description: Civilization turn based strategy game (game data) Freeciv is a free clone of the turn based strategy game Civilization. In this game, each player becomes leader of a civilisation, fighting to obtain the ultimate goal: the extinction of all other civilisations. . These are the common data files for Freeciv. Homepage: http://www.freeciv.org/ Tag: game::strategy, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/f/freeciv/freeciv-data_2.3.2-1+deb7u1_all.deb Package: freeciv-server Source: freeciv Version: 2.3.2-1+deb7u1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1767 Depends: libbz2-1.0, libc6 (>= 2.13-28), liblua5.1-0, libreadline6 (>= 6.0), zlib1g (>= 1:1.1.4), freeciv-data Suggests: freeciv-client Homepage: http://www.freeciv.org/ Priority: optional Section: games Filename: pool/main/f/freeciv/freeciv-server_2.3.2-1+deb7u1_armhf.deb Size: 766656 SHA256: 27c2b36890b981b76a896e37e50fff0137155c322f632a5ff077e6460981acc8 SHA1: 019fe304823f13b56dfaa4bca45b8852919bd1fe MD5sum: 93519b5fbb071b6aa1f42bb6b9d3e0f8 Description: Civilization turn based strategy game (server files) Freeciv is a free clone of the turn based strategy game Civilization. In this game, each player becomes leader of a civilisation, fighting to obtain the ultimate goal: the extinction of all other civilisations. . This is the Freeciv game server. Package: freeciv-sound-standard Source: freeciv Version: 2.3.2-1+deb7u1 Installed-Size: 1332 Maintainer: Debian Games Team Architecture: all Provides: freeciv-sound Size: 1318758 SHA256: 423fb3f9f067cefd4ecd8851d35c713ecf1600d578e53f38b8fdb45348991ad8 SHA1: 68a20ee5a45067183a5800b96d91b5730f0dfe33 MD5sum: db154fb568c2a066dc06f2788af1ce9e Description: Civilization turn based strategy game (standard sound pack) Freeciv is a free clone of the turn based strategy game Civilization. In this game, each player becomes leader of a civilisation, fighting to obtain the ultimate goal: the extinction of all other civilisations. . This is the standard sound pack for Freeciv. It provides Freeciv clients with a set of sounds for different events and actions in the game. Homepage: http://www.freeciv.org/ Tag: made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/f/freeciv/freeciv-sound-standard_2.3.2-1+deb7u1_all.deb Package: freecode-submit Version: 2.4-1 Architecture: armhf Maintainer: Francois Marier Installed-Size: 61 Depends: python Homepage: http://www.catb.org/~esr/freecode-submit/ Priority: extra Section: devel Filename: pool/main/f/freecode-submit/freecode-submit_2.4-1_armhf.deb Size: 12674 SHA256: 12673d316f15e5f6ee475ea56e68c95caa0d4fee7b9a180beb6c7728c432307c SHA1: d2ab901aebc61cddc1450e7bae357d09670c11ff MD5sum: 95cdd0b4a196cdd26884323d0911d0d0 Description: remote submission of release updates to Freecode.com freecode-submit is a script that supports remote submission of release updates to Freecode (formerly Freshmeat.net) via its JSON API. . It is intended for use in project release scripts. It reads the metadata from an RFC-2822-like message on standard input, possibly with overrides by command-line switches. Package: freecol Version: 0.10.5+dfsg-1+deb7u1 Installed-Size: 40690 Maintainer: Debian Games Team Architecture: all Depends: openjdk-6-jre | java6-runtime, java-wrappers (>= 0.1.13), libcommons-cli-java, libcortado-java, libmiglayout-java, fonts-liberation (>= 1.07.0-2~) Size: 34752184 SHA256: 351127750ab0e57792680bb07354c91a020158d14f5e37f175ee10d7e55bdddb SHA1: 08f7834796aa52fddeb9de9f71a3e5a8a98d9f74 MD5sum: b6827d69cccbed18fed32ca84075be14 Description: open source remake of the old Colonization freecol is a game in the spirit of Civilization but taking place in a colonial background. Colonize the new world, build towns, trade or fight with natives and other European civilizations, trade with your homeland until you're ready to fight for your independance ! Homepage: http://www.freecol.org Tag: game::strategy, implemented-in::java, interface::x11, junior::TODO, role::program, scope::application, uitoolkit::xlib, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/f/freecol/freecol_0.10.5+dfsg-1+deb7u1_all.deb Package: freecraft Version: 1:1.20-1.1 Architecture: armhf Maintainer: Lincoln de Sousa Primo Clarete Installed-Size: 1110 Depends: fcmp (>= 1.18.20030306-1), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libx11-6, zlib1g (>= 1:1.1.4) Priority: optional Section: games Filename: pool/main/f/freecraft/freecraft_1.20-1.1_armhf.deb Size: 547588 SHA256: 8693aa8baa2f744879f1772e7dcfd4ff953c7244a55ced13a3a6fc0b61e5da5b SHA1: 4326d54fdbd9d4035856275bf5191243e33bd219 MD5sum: dad4afab99e03cbabb402c7e9819070c Description: Realtime fantasy strategy game for Unix and X FreeCraft is a clone of the realtime fantasy strategy game WarCraft II from Blizzard Entertainment, although it could be used to build other games similar to C&C or AoE. It is nearly feature complete compared to the original game, and includes a handful of Neat New Features, such as queuing peon/fighter production, finding out idle workers, and a completely new AI. It also has network connectivity for up to 16 players. . FreeCraft is not maintained by the upstream anymore, this is the last stable version of FreeCraft. . This version is compiled with some of the possible options, and thus has gzip, bunzip2 and SDL support (the last one only for i386 arches). The sound options will depend on your personal election on SDL packages. Package: freecraft-dbg Source: freecraft Version: 1:1.20-1.1 Architecture: armhf Maintainer: Lincoln de Sousa Primo Clarete Installed-Size: 2828 Depends: freecraft Priority: extra Section: debug Filename: pool/main/f/freecraft/freecraft-dbg_1.20-1.1_armhf.deb Size: 996464 SHA256: 7ff929848193f316f327e1f511a7d8b741bc4941f9f246486824dfb572e180e4 SHA1: 86ea81081d9378a44223f98580e245545831f78c MD5sum: f6024c7c8e3e8aaae87d67e4f797dcbe Description: Realtime fantasy strategy game - Debugging simbols FreeCraft is a clone of the realtime fantasy strategy game WarCraft II from Blizzard Entertainment, although it could be used to build other games similar to C&C or AoE. It is nearly feature complete compared to the original game, and includes a handful of Neat New Features, such as queuing peon/fighter production, finding out idle workers, and a completely new AI. It also has network connectivity for up to 16 players. . This package contains unstripped binaries used for debugging. Package: freedesktop-sound-theme Source: sound-theme-freedesktop Version: 0.7.pristine-2 Installed-Size: 70 Maintainer: Debian GNOME Maintainers Architecture: all Depends: sound-theme-freedesktop Size: 12648 SHA256: d470bb20fce09b58f443b3d7e07b7de01e789d22b2c5758fecd03ee35af32f65 SHA1: 997b01c7369738aa67afde8304be89723c5d390a MD5sum: 287a0540d874ef7ea730dda8d803fdfd Description: Transitional package for sound-theme-freedesktop This is a transitional package to ease upgrades to the sound-theme-freedesktop package. It can safely be removed. Homepage: http://www.freedesktop.org/ Section: oldlibs Priority: extra Filename: pool/main/s/sound-theme-freedesktop/freedesktop-sound-theme_0.7.pristine-2_all.deb Package: freediams Source: freemedforms-project Version: 0.7.6-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 3747 Depends: freemedforms-libs (= 0.7.6-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libx11-6, libxext6, freemedforms-freedata (= 0.7.6-1), freemedforms-common-resources (= 0.7.6-1) Suggests: freediams-doc, ttf-mscorefonts-installer Conflicts: freediams-data Homepage: http://www.freemedforms.com/ Priority: optional Section: science Filename: pool/main/f/freemedforms-project/freediams_0.7.6-1_armhf.deb Size: 1497208 SHA256: b207c403418f5195be219afb0d3d0499245c5af5c3ca05476c8a4a9f2b2ece9f SHA1: 20a6371630e5f6d49fa1da8c2ff40c329fcc687f MD5sum: c260733b6992614b9b5224168f337d3d Description: pharmaceutical drug prescription and interaction manager The FreeMedForms project provides a set of medical applications to help health professionals in their day-to-day practice. It is developed by an international community of medical doctors and computer specialists. . FreeDiams is a pharmaceutical drug prescription assistant which can be used to create complex prescriptions and to handle drug interactions. Package: freediams-doc-en Source: freemedforms-project Version: 0.7.6-1 Installed-Size: 4125 Maintainer: Debian Med Packaging Team Architecture: all Provides: freediams-doc Enhances: freediams Size: 3073376 SHA256: 953be081cbcee51dc01e6b273c360304a0a171547a7712172f9f9b57f919af85 SHA1: 9d72b2b3a025f0811ff068d746726fa9affc7e6d MD5sum: 3d13bf0723d3cf038168c859131516fe Description: FreeDiams english documentation The FreeMedForms project provides a set of medical applications to help health professionals in their day-to-day practice. It is developed by an international community of medical doctors and computer specialists. . FreeDiams is a pharmaceutical drug prescriptor assistant. It can be used to creation complex prescription and to manage drugs interactions. . This package contains the English documentation of freediams. Homepage: http://www.freemedforms.com/ Tag: field::medicine, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/f/freemedforms-project/freediams-doc-en_0.7.6-1_all.deb Package: freediams-doc-fr Source: freemedforms-project Version: 0.7.6-1 Installed-Size: 5766 Maintainer: Debian Med Packaging Team Architecture: all Provides: freediams-doc Enhances: freediams Size: 4345868 SHA256: 37dcb1f6496c1274963e4a1fcd313ea81fbf2e59e22234d9b414ea6f315682e2 SHA1: ff7537e2bd0d0dd09034f5b42f8955c69ec1dee6 MD5sum: 817af59b74995184d0375ac14b4fc6c8 Description: FreeDiams french documentation The FreeMedForms project provides a set of medical applications to help health professionals in their day-to-day practice. It is developed by an international community of medical doctors and computer specialists. . FreeDiams is a pharmaceutical drug prescriptor assistant. It can be used to creation complex prescription and to manage drugs interactions. . This package contains the French documentation of freediams. Homepage: http://www.freemedforms.com/ Tag: culture::french, field::medicine, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/f/freemedforms-project/freediams-doc-fr_0.7.6-1_all.deb Package: freedink Version: 1.08.20120427-2.1 Installed-Size: 21 Maintainer: Debian Games Team Architecture: all Depends: freedink-engine, freedink-dfarc Size: 1182 SHA256: 32363cd53e8794a97128972ad5947ffcbc8748e7d432b6c3a67d96d57b996270 SHA1: 33fa1884ad05018cb347f68dd10ecb0554862fa9 MD5sum: 2c2a59c0ee6b8a625e77fd2a76de503d Description: adventure and role-playing game Dink Smallwood is an adventure/role-playing game, similar to Zelda, made by RTsoft. Besides twisted humour, it includes the actual game editor, allowing players to create hundreds of new adventures called Dink Modules or D-Mods for short. . GNU FreeDink is a new and portable version of the game engine, which runs the original game as well as its D-Mods, with close compatibility, under multiple platforms. . This package is a metapackage to install the game, its data and a front-end to manage game options and D-Mods. Homepage: http://www.gnu.org/software/freedink/ Tag: game::adventure, game::rpg, implemented-in::c, role::metapackage, uitoolkit::sdl, use::gameplaying Section: games Priority: extra Filename: pool/main/f/freedink/freedink_1.08.20120427-2.1_all.deb Package: freedink-data Version: 1.08.20111016-1 Installed-Size: 82916 Maintainer: Debian Games Team Architecture: all Size: 27156000 SHA256: 2261f259035c2dbabea261e0dcd32dca04c541e7ece735b7d6726f50c06aa912 SHA1: 74cf29753308e9928bc7c01aeb9cb9d8b58319c7 MD5sum: 99a5c200e8a228d41235c0d3e10c02b0 Description: adventure and role-playing game (game data) Dink Smallwood is an adventure/role-playing game, similar to Zelda, made by RTsoft. Besides twisted humour, it includes the actual game editor, allowing players to create hundreds of new adventures called Dink Modules or D-Mods for short. . This package contains architecture-independent data for the original game, along with free sound and music replacements. Homepage: http://www.gnu.org/software/freedink/ Tag: made-of::audio, role::app-data Section: games Priority: extra Filename: pool/main/f/freedink-data/freedink-data_1.08.20111016-1_all.deb Package: freedink-dfarc Version: 3.10-1.1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 559 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1) Recommends: freedink, xdg-utils Homepage: http://www.gnu.org/software/freedink/ Priority: extra Section: games Filename: pool/main/f/freedink-dfarc/freedink-dfarc_3.10-1.1_armhf.deb Size: 143416 SHA256: 2709af8ad68f00f137d87f18c4ab4e7ce6eb59217a8ed67fd7bbb30f1002a029 SHA1: d3926bb752d61eb490321c36cc296f1e95d9708f MD5sum: 7dc3cd7804d79fd741a9135aeba8938d Description: frontend and .dmod installer for GNU FreeDink DFArc2 makes it easy to play and manage the Dink Smallwood game and its numerous Dink Modules (or D-Mods). Package: freedink-dfarc-dbg Source: freedink-dfarc Version: 3.10-1.1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 2574 Depends: freedink-dfarc (= 3.10-1.1) Homepage: http://www.gnu.org/software/freedink/ Priority: extra Section: debug Filename: pool/main/f/freedink-dfarc/freedink-dfarc-dbg_3.10-1.1_armhf.deb Size: 972176 SHA256: c569fe2570ad52f70b2f24223ee73cc9b36ad4975c80ddc073de30de593285bf SHA1: ec09565df369a2424baf0e95b8f4b4c225e15d65 MD5sum: c8afe10b6bd9c3dbb194a74463aff2fe Description: debugging symbols for dfarc DFArc2 makes it easy to play and manage the Dink Smallwood game and its numerous Dink Modules (or D-Mods). . This package contains the debugging symbols for dfarc. Package: freedink-engine Source: freedink Version: 1.08.20120427-2.1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1025 Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libsdl-gfx1.2-4 (>= 2.0.22), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), freedink-data, ttf-liberation, freepats Recommends: freedink-dfarc Homepage: http://www.gnu.org/software/freedink/ Priority: extra Section: games Filename: pool/main/f/freedink/freedink-engine_1.08.20120427-2.1_armhf.deb Size: 307382 SHA256: 963b7566a3b3efaeb96ccde15af27adf8ca353058f8c118957d4adaa6f4f1f8f SHA1: 6f85c0d9f9edd15dd2aa80e97c40b76144ebd9c6 MD5sum: bfb46206c21ccf817feed50998f3f90a Description: adventure and role-playing game (engine) Dink Smallwood is an adventure/role-playing game, similar to Zelda, made by RTsoft. Besides twisted humour, it includes the actual game editor, allowing players to create hundreds of new adventures called Dink Modules or D-Mods for short. . GNU FreeDink is a new and portable version of the game engine, which runs the original game as well as its D-Mods, with close compatibility, under multiple platforms. . This package contains the game engine alone. Package: freedink-engine-dbg Source: freedink Version: 1.08.20120427-2.1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1002 Depends: freedink-engine (= 1.08.20120427-2.1) Homepage: http://www.gnu.org/software/freedink/ Priority: extra Section: debug Filename: pool/main/f/freedink/freedink-engine-dbg_1.08.20120427-2.1_armhf.deb Size: 394612 SHA256: 68ff1ba93d0de7b4921f9ae5bb697c6b76eb31c9c879975bce411b8b16b74875 SHA1: 8be8c0701d3056713788c0af6a8684d90a0184a6 MD5sum: e41e9db9b8be6af701bb4a95ebde10a5 Description: debugging symbols for freedink Dink Smallwood is an adventure/role-playing game, similar to Zelda, made by RTsoft. Besides twisted humour, it includes the actual game editor, allowing players to create hundreds of new adventures called Dink Modules or D-Mods for short. . GNU FreeDink is a new and portable version of the game engine, which runs the original game as well as its D-Mods, with close compatibility, under multiple platforms. . This package contains the debugging symbols for freedink. Package: freedm Source: freedoom Version: 0.7-1 Installed-Size: 13260 Maintainer: Debian Games Team Architecture: all Provides: boom-wad Depends: boom-engine Conflicts: prboom (<< 2:2.5.0+dfsg1-5) Size: 4737588 SHA256: bab2aecf70447f31fb1a7f4ce29da9867f99668c2f461f10e2ca168e8566a699 SHA1: d1d87f385f77a11cc42629acf5e11338578331d8 MD5sum: 8463ec54bae75f049782d6052b699609 Description: multiplayer-oriented maps for Doom Freedoom is a project to create a complete Doom II-compatible IWAD file which is Free Software. . FreeDM is a sub-project to create a set of levels designed for deathmatch play. . FreeDM does not require Freedoom to play and can be used in conjunction with a boom-engine. Please note that this is designed for multiplayer and will not be of much use for single player. Homepage: http://freedoom.nongnu.org/ Tag: game::fps, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/f/freedoom/freedm_0.7-1_all.deb Package: freedoom Version: 0.7-1 Installed-Size: 27132 Maintainer: Debian Games Team Architecture: all Provides: boom-wad Depends: boom-engine Conflicts: prboom (<< 2:2.5.0+dfsg1-5) Size: 9635026 SHA256: e61ef332d3290b7031bcae3646ad7533bb5ef5ad2b31960d204d4aacbb41d833 SHA1: fe8b8a160fdf8a2d4389e415280974facb0bc0f3 MD5sum: 3499098413e0938ff34138df21a46598 Description: free game files for the 3D game DOOM Freedoom is a project to create a complete Doom II-compatible IWAD file which is Free Software. . The IWAD file is the file used by Doom which contains all the game data (graphics, sound effects, music, etc.). While the Doom source code is Free, you currently still need one of the proprietary IWAD files from id in order to play Doom. Freedoom aims to create a Free alternative. . Combined with any boom compatible Doom engine (such as prboom) this will result in a complete Free Doom-based game. Homepage: http://freedoom.nongnu.org/ Tag: game::fps, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/f/freedoom/freedoom_0.7-1_all.deb Package: freedroid Version: 1.0.2+cvs040112-4 Architecture: armhf Maintainer: Yann Dirson Installed-Size: 272 Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libvorbis0a (>= 1.1.2), zlib1g (>= 1:1.1.4), freedroid-data (= 1.0.2+cvs040112-4) Priority: optional Section: games Filename: pool/main/f/freedroid/freedroid_1.0.2+cvs040112-4_armhf.deb Size: 148322 SHA256: d32f2346e4e3cb01772643800c001370b4e07447d0bb82049515d45429ae5f70 SHA1: 5d17add35665456f9d6dd0b20906eadfba53602f MD5sum: f61dc51312f27a0c81edb13a57f7cd66 Description: Clone of Paradroid - a strategic shoot-em up You must clear a spaceship from all droids. To achieve this your device will need to take control of more powerful droids, more able to confront the most powerful ones. But you'll also need to manage your energy, as you won't be able to control any droid for an indefinite time, and even your own device has a limited lifetime. . Note that this package is different from the freedroidrpg game, developed by the same team, and also available in Debian. Package: freedroid-data Source: freedroid Version: 1.0.2+cvs040112-4 Installed-Size: 5824 Maintainer: Yann Dirson Architecture: all Size: 5252330 SHA256: 1077e1d5890e7243e25cc32b83f2640ab607c21a9e095fc2b92147594d9d7fe0 SHA1: 5d1bd13dc3c2102de413ba27889cab705455113c MD5sum: b9dd0af6d4db890d10a8330f09894f06 Description: Data files for freedroid - a strategic shoot-em up This package includes the sounds, graphics, and level definitions, for the freedroid game. Tag: game::arcade, interface::x11, role::app-data, uitoolkit::sdl, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/f/freedroid/freedroid-data_1.0.2+cvs040112-4_all.deb Package: freedroidrpg Version: 0.15.1-1 Architecture: armhf Maintainer: Bart Martens Installed-Size: 890 Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libjpeg8 (>= 8c), libogg0 (>= 1.0rc3), libpng12-0 (>= 1.2.13-4), libsdl-gfx1.2-4 (>= 2.0.22), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libvorbis0a (>= 1.1.2), zlib1g (>= 1:1.1.4), freedroidrpg-data (= 0.15.1-1) Homepage: http://freedroid.sourceforge.net/ Priority: optional Section: games Filename: pool/main/f/freedroidrpg/freedroidrpg_0.15.1-1_armhf.deb Size: 487396 SHA256: 28666d906bdedbc61c3ac8a8f6768c3fe2652ace9cdaa7da09bf4ed65240215f SHA1: bd95fbbafa91dcabdba809f098152aaf2f365376 MD5sum: 89aceead7758d8bba750f87b4382831b Description: Isometric RPG influenced by Paradroid Freedroid RPG is an RPG with isometric graphics. In it, the player is Tux, who must fight rebelling robots in order to restore peace to humankind. To do so,Tux may take over robots in a minigame based off the classic game Paradroid, or may simply blast them to pieces with a weapon. . Note that this is not the same game as Freedroid, also available in Debian. Package: freedroidrpg-data Source: freedroidrpg Version: 0.15.1-1 Installed-Size: 214050 Maintainer: Bart Martens Architecture: all Size: 208898100 SHA256: 806190f503b50e5a3609ae70306d250581abddaf0bdc35d280275b76873d2c23 SHA1: 5c9619ab9bc8811467b6c5d510ed50f19589cd61 MD5sum: f9e14d38fc49d57cf30d05955ff20805 Description: Data files for freedroidrpg Freedroid RPG is an RPG with isometric graphics. In it, the player is Tux, who must fight rebelling robots in order to restore peace to humankind. To do so,Tux may take over robots in a minigame based off the classic game Paradroid, or may simply blast them to pieces with a weapon. . Note that this is not the same game as Freedroid, also available in Debian. Homepage: http://freedroid.sourceforge.net/ Tag: game::rpg, role::app-data Section: games Priority: optional Filename: pool/main/f/freedroidrpg/freedroidrpg-data_0.15.1-1_all.deb Package: freefem Version: 3.5.8-5 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 55 Depends: libfreefem0 (= 3.5.8-5), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6 Suggests: freefem-examples (= 3.5.8-5), freefem-doc (= 3.5.8-5) Homepage: http://kfem.sourceforge.net Priority: optional Section: math Filename: pool/main/f/freefem/freefem_3.5.8-5_armhf.deb Size: 12678 SHA256: a4f61eb4319c744f02a1c79997ab56b91d577bf474c16c233f6a4c25e98bbedf SHA1: ea046200fa98c397eca5bb0848441dc8843a5c63 MD5sum: 30a92fed18acddd5752a23c730ac5484 Description: PDE oriented language using Finite Element Method FreeFEM is a language adapted to Partial Differential equation. The underlying method used is the Finite Element Method. This tool has been successfully used as a teaching tool and even as a research tool. Package: freefem++ Version: 3.19.1-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 42362 Depends: freeglut3, libamd2.2.0 (>= 1:3.4.0), libarpack2 (>= 2.1), libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libopenmpi1.3, libstdc++6 (>= 4.6), libumfpack5.4.0 (>= 1:3.4.0), libx11-6, libxext6, libxpm4, libxxf86vm1 Homepage: http://www.freefem.org/ff++/ Priority: optional Section: math Filename: pool/main/f/freefem++/freefem++_3.19.1-1_armhf.deb Size: 14271270 SHA256: cbd5f837762698ba396b3ec780965d8bc5010299f125978eee9f897321bd5e00 SHA1: 852f583aefaee009aa2999872e34d6b6cec29143 MD5sum: 70222ea1d48b2de581c4c270dbe7d3a1 Description: Provides the binaries of the FreeFem++ FE suite FreeFem++ is an implementation of a language dedicated to the finite element method. It enables you to solve Partial Differential Equations (PDE) easily. . Problems involving PDE from several branches of physics such as fluid-structure interactions require interpolations of data on several meshes and their manipulation within one program. FreeFem++ includes a fast quadtree-based interpolation algorithm and a language for the manipulation of these data on multiple meshes. It contains also a powerful mesh generation and adaption tool integrated seamlessly in FreeFem++ called bamg. . FreeFem++ is written in C++ and the FreeFem++ language is a C++ idiom allowing for a smooth learning curve. . This package contains the executables of FreeFem++. Package: freefem++-doc Source: freefem++ Version: 3.19.1-1 Installed-Size: 9665 Maintainer: Debian Science Maintainers Architecture: all Size: 9541100 SHA256: 873654fb9f612df7b85dfa9b40d050aef4f711cfe310721c22c2c7feb9bd5987 SHA1: c5c71da1042381714edc20de72b1d3bb686670f1 MD5sum: a023f50a62805dea12c7ee843c27e052 Description: Provides the documentation of the FreeFem++ FE suite FreeFem++ is an implementation of a language dedicated to the finite element method. It enables you to solve Partial Differential Equations (PDE) easily. . Problems involving PDE from several branches of physics such as fluid-structure interactions require interpolations of data on several meshes and their manipulation within one program. FreeFem++ includes a fast quadtree-based interpolation algorithm and a language for the manipulation of these data on multiple meshes. It contains also a powerful mesh generation and adaption tool integrated seamlessly in FreeFem++ called bamg. . FreeFem++ is written in C++ and the FreeFem++ language is a C++ idiom allowing for a smooth learning curve. . This package contains the documentation files of FreeFem++. Homepage: http://www.freefem.org/ff++/ Tag: field::physics, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/f/freefem++/freefem++-doc_3.19.1-1_all.deb Package: freefem-doc Source: freefem Version: 3.5.8-5 Installed-Size: 652 Maintainer: Debian Science Team Architecture: all Suggests: pdf-viewer Size: 388656 SHA256: cdb792a710529da0a13f6a0bc36e32c119f693b8ca2bfcdd7e0917d5d03688c2 SHA1: d13adf0c7c38cf2f4ff269522caf8221c5be049e MD5sum: 7fe7f2a22550c9efea8953795c5dbbc0 Description: Documentation for FreeFEM (html and pdf) FreeFEM is a language adapted to Partial Differential equation. The underlying method used is the Finite Element Method. This tool has been successfully used as a teaching tool and even as a research tool. Homepage: http://kfem.sourceforge.net Tag: devel::doc, devel::interpreter, field::physics, made-of::html, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/f/freefem/freefem-doc_3.5.8-5_all.deb Package: freefem-examples Source: freefem Version: 3.5.8-5 Installed-Size: 156 Maintainer: Debian Science Team Architecture: all Depends: freefem Size: 16490 SHA256: 1fbc294945b5a8b7ced43400bcda756d21f909c0e323183d91ac05cbc8f5850c SHA1: bdaaf165e01748d33cfcf9b17e430e38449ccc2e MD5sum: 9bf5b80e7d01548a967f9bb504d8feed Description: Example files for FreeFEM FreeFEM is a language adapted to Partial Differential equation. The underlying method used is the Finite Element Method. This tool has been successfully used as a teaching tool and even as a research tool. Homepage: http://kfem.sourceforge.net Tag: devel::examples, devel::interpreter, field::physics, role::documentation Section: math Priority: optional Filename: pool/main/f/freefem/freefem-examples_3.5.8-5_all.deb Package: freefem3d Version: 1.0pre10-3.1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 6154 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Suggests: doc-central Priority: optional Section: math Filename: pool/main/f/freefem3d/freefem3d_1.0pre10-3.1_armhf.deb Size: 4190896 SHA256: ec67a3e19a17a89afb20306e08023d508667b8165e9887718e8577aa351cd05e SHA1: 840fb3030b38213889c73189edfe2c741de39189 MD5sum: b1d33362ad2c5a41e57b9069ff3a2403 Description: Language and solver for partial differential equations in 3D FreeFEM3D (aka ff3d) is a 3D solver of partial differential equations (PDE). It is a member of the familly of the freefem programs (see http://www.freefem.org). . ff3d, as well as its cousins, is a PDE solver driven by a user-friendly language. It solves many kind of problems such as elasticity, fluids (Stokes and Navier-Stokes) and a lot more. The user has to enter the equation associated with the problem, giving either the PDE in strong formulation or weak (variational) formulation. . ff3d can use either the Finite Elements method (the mesh of the geometry being provided by the user) or a Fictitious Domain like approach where the geometry is described using Constructive Solid Geometry (CSG). This description is done using the POV-Ray language but others such as VRML could be added. . The processing of the results is left to the user. One can use various graphic tools: output in the MEdit mesh format or VTK are supported. The implementation of a VTK base visualization module is underway. . The goal of ff3d is to provide a good teaching tool and a research toolbox (the code is written in C++ and its design is such that new methods can be easily implemented). Package: freefoam-dev-doc Source: freefoam Version: 0.1.0-1 Installed-Size: 580813 Maintainer: Debian Science Maintainers Architecture: all Recommends: python Size: 69707214 SHA256: 2f1b2e61fdc7a93706343afad349558cbb4fd666721408d4e4fef804e6b8ebe6 SHA1: bcb13baa6de8be36dd0a1f15d3d73bc5d105fc1a MD5sum: c62d8bcd0c1fd34952e75026cb085226 Description: software for Computational Fluid Dynamics - developers documentation Library references and developers documentation for a collection of programs for grid generation, simulation and analyzing of complex fluid flows, post-processing and visualizing of the resulting data. The flows may involve chemical reactions, turbulence and heat transfer, solid dynamics, electromagnetics and even the pricing of financial options. FreeFOAM is a fork of OpenFOAM. Homepage: http://freefoam.wiki.sourceforge.net/ Section: doc Priority: optional Filename: pool/main/f/freefoam/freefoam-dev-doc_0.1.0-1_all.deb Package: freefoam-user-doc Source: freefoam Version: 0.1.0-1 Installed-Size: 32079 Maintainer: Debian Science Maintainers Architecture: all Recommends: python Size: 25019716 SHA256: 6844cc5d637483425ffcc55a1c18bcfb2f187767c71b1b3913da520028302291 SHA1: f967435a6ef7ccd919c174eb9725f9bc2c39e92b MD5sum: 011efc309a98529ffef439770bce9fce Description: software for Computational Fluid Dynamics - user documentation Tutorials and user documentation for a collection of programs for grid generation, simulation and analyzing of complex fluid flows, post-processing and visualizing of the resulting data. The flows may involve chemical reactions, turbulence and heat transfer, solid dynamics, electromagnetics and even the pricing of financial options. FreeFOAM is a fork of OpenFOAM. Homepage: http://freefoam.wiki.sourceforge.net/ Section: doc Priority: optional Filename: pool/main/f/freefoam/freefoam-user-doc_0.1.0-1_all.deb Package: freegish Version: 1.53+git20101011+dfsg-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 430 Depends: xdg-utils, freegish-data (= 1.53+git20101011+dfsg-2), libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libopenal1, libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libx11-6, libxext6, zlib1g (>= 1:1.1.4) Homepage: https://www.github.com/megagun/gish Priority: optional Section: games Filename: pool/main/f/freegish/freegish_1.53+git20101011+dfsg-2_armhf.deb Size: 188228 SHA256: c95d76ba542d1a3aac9b619ad196c5a08b6323d26f4e8da3d1d1f2c1e4358fa7 SHA1: 3177149f3e9bb5a7246376b599040e36849b8a48 MD5sum: 814f15e2d8492f27e4318f251049381e Description: physics based arcade game Freegish is a 2D platform game, where the player maneuvers character of a ball of tar. Character may become sticky, slick, heavy and can jump. . The game contains only first five levels of the single player campaign and few multiplayer levels for mini games like sumo or football. . Freegish is based on open sourced code of the famous game called Gish with added free art assets. Package: freegish-data Source: freegish Version: 1.53+git20101011+dfsg-2 Installed-Size: 4961 Maintainer: Debian Games Team Architecture: all Recommends: freegish Size: 690568 SHA256: a36aa9c98bc2f33fd2a6134241c7dae4fbaee76796878bd675393d7788aa0488 SHA1: 55fd964693633c95772d20d772fd0e23ef661e1e MD5sum: ea5c698626f12dae071b5f579cf4afb1 Description: data for the FreeGish arcade game Freegish is a 2D platform game, where the player maneuvers character of a ball of tar. Character may become sticky, slick, heavy and can jump. . The game contains only first five levels of the single player campaign and few multiplayer levels for mini games like sumo or football. . Freegish is based on open sourced code of the famous game called Gish with added free art assets. . This package holds data files needed for Freegish. Homepage: https://www.github.com/megagun/gish Tag: role::app-data Section: games Priority: optional Filename: pool/main/f/freegish/freegish-data_1.53+git20101011+dfsg-2_all.deb Package: freegish-dbg Source: freegish Version: 1.53+git20101011+dfsg-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 303 Depends: freegish (= 1.53+git20101011+dfsg-2) Homepage: https://www.github.com/megagun/gish Priority: extra Section: debug Filename: pool/main/f/freegish/freegish-dbg_1.53+git20101011+dfsg-2_armhf.deb Size: 224444 SHA256: ac9c9707a54e2a8c74eb9d441915b774eeed45ec5e62e1de45391b3a6c6174ae SHA1: 679a954ad9e8eee32133e8b1abf21231c8c2cee4 MD5sum: bf0e6c6f715bf4b62f3a5bec0d9b099a Description: arcade game (debbugging symbols) Freegish is a 2D platform game, where the player maneuvers character of a ball of tar. Character may become sticky, slick, heavy and can jump. . The game contains only first five levels of the single player campaign and few multiplayer levels for mini games like sumo or football. . Freegish is based on open sourced code of the famous game called Gish with added free art assets. . This package contains the debugging symbols. Package: freeglut3 Source: freeglut Version: 2.6.0-4 Architecture: armhf Maintainer: Anton Gladky Installed-Size: 282 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libx11-6, libxext6, libxi6 Multi-Arch: same Homepage: http://freeglut.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/f/freeglut/freeglut3_2.6.0-4_armhf.deb Size: 127752 SHA256: b286dd11117d05347f0e5fcd5cad78b35302b58b6b02bb02eb7771451afe4bb4 SHA1: 5a02f9950f7391295758611c0555049822f41d77 MD5sum: d52ba6e6d61a2894e68a2f603e1237db Description: OpenGL Utility Toolkit GLUT is a window system independent toolkit for writing OpenGL programs, implementing a simple windowing API, which makes learning about and exploring OpenGL programming very easy. . GLUT is designed for constructing small to medium sized OpenGL programs, however it is not a full-featured toolkit, so large applications requiring sophisticated user interfaces are better off using native window system toolkits like GTK+ or Motif. Package: freeglut3-dbg Source: freeglut Version: 2.6.0-4 Architecture: armhf Maintainer: Anton Gladky Installed-Size: 305 Depends: freeglut3 (= 2.6.0-4) Multi-Arch: same Homepage: http://freeglut.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/f/freeglut/freeglut3-dbg_2.6.0-4_armhf.deb Size: 191430 SHA256: 1baf7aad5db594e1fd93fcdc3f463ef45eccc7c481daf7f7302bd03d538a0f0e SHA1: c52243d692beb5c653a9502d5722d029dd06a411 MD5sum: 9aed5933808a3777f160ec1062f32cf9 Description: OpenGL Utility Toolkit debugging information GLUT is a window system independent toolkit for writing OpenGL programs. It implements a simple windowing API, which makes learning about and exploring OpenGL programming very easy. . GLUT is designed for constructing small to medium sized OpenGL programs, however it is not a full-featured toolkit, so large applications requiring sophisticated user interfaces are better off using native window system toolkits like GTK+ or Motif. . This package contains debugging symbols useful for tracing bugs in the freeglut3 package. Package: freeglut3-dev Source: freeglut Version: 2.6.0-4 Architecture: armhf Maintainer: Anton Gladky Installed-Size: 607 Depends: freeglut3 (= 2.6.0-4), libgl1-mesa-dev | libgl-dev, libglu1-mesa-dev | libglu-dev, libxt-dev, libxext-dev Multi-Arch: same Homepage: http://freeglut.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/f/freeglut/freeglut3-dev_2.6.0-4_armhf.deb Size: 208432 SHA256: 63c2031d9024b77218a576adc8db54cd7a7f6aabe37bb283b442c5fcbfc9fd0f SHA1: dbe18bb9669560b461d4a74273102bbf223dfc28 MD5sum: fb9139e1f2eda0aae76c32c64bce67fd Description: OpenGL Utility Toolkit development files GLUT is a window system independent toolkit for writing OpenGL programs. It implements a simple windowing API, which makes learning about and exploring OpenGL programming very easy. . GLUT is designed for constructing small to medium sized OpenGL programs, however it is not a full-featured toolkit, so large applications requiring sophisticated user interfaces are better off using native window system toolkits like GTK+ or Motif. . This package contains libraries, and headers suitable for software development with GLUT. Package: freehdl Version: 0.0.7-1.1 Architecture: armhf Maintainer: José L. Redrejo Rodríguez Installed-Size: 1331 Depends: libc6 (>= 2.13-28), libfreehdl0, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), g++, libfreehdl0-dev, guile-1.6 | guile, libtool Homepage: http://www.freehdl.seul.org Priority: optional Section: electronics Filename: pool/main/f/freehdl/freehdl_0.0.7-1.1_armhf.deb Size: 460064 SHA256: fc32b928a92551e43377c75fd12da4133745f76d69e58dfda0d103f773cb23f7 SHA1: c44d7f3851e30b288cc2bb0a818dcaeffa3f88d6 MD5sum: 97119026d1fc2a06add9858ba360a0ca Description: VHDL simulator for Linux This is a free VHDL simulator with these features: * Has a graphical waveform viewer. * Has a source level debugger. * Is VHDL-93 compliant. Package: freeipmi Version: 1.1.5-3 Installed-Size: 1 Maintainer: Yaroslav Halchenko Architecture: all Depends: freeipmi-common, freeipmi-tools, freeipmi-ipmidetect, freeipmi-bmc-watchdog Size: 912 SHA256: e501d83ed76a0224418d641bb85d2fe542de3ec8d1307adb415fb7c6bbd95555 SHA1: 761eacf760c92562f4c0fd2ce899dfe16904cfc4 MD5sum: 5bd75146b35bae58de94ccc52a74a1db Description: GNU implementation of the IPMI protocol FreeIPMI is a collection of Intelligent Platform Management IPMI system software. It provides in-band and out-of-band software and a development library conforming to the Intelligent Platform Management Interface (IPMI v1.5 and v2.0) standards. . This meta-package depends on all separate modules of freeipmi. Homepage: http://www.gnu.org/software/freeipmi/ Section: admin Priority: extra Filename: pool/main/f/freeipmi/freeipmi_1.1.5-3_all.deb Package: freeipmi-bmc-watchdog Source: freeipmi Version: 1.1.5-3 Architecture: armhf Maintainer: Yaroslav Halchenko Installed-Size: 309 Pre-Depends: dpkg (>= 1.15.7.2~) Depends: libc6 (>= 2.13-28), libfreeipmi12 (>= 1.1.5), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), freeipmi-tools Homepage: http://www.gnu.org/software/freeipmi/ Priority: extra Section: admin Filename: pool/main/f/freeipmi/freeipmi-bmc-watchdog_1.1.5-3_armhf.deb Size: 193772 SHA256: afcfbbc544f10cfd351284d25b1e515eb67086932612de5238e754a82be6b2f1 SHA1: d8dc22f50e6de352ca213abf7eb428f41ad88dd4 MD5sum: ef095eec271d34de2a3ec12ba157fdc6 Description: GNU implementation of the IPMI protocol - BMC watchdog FreeIPMI is a collection of Intelligent Platform Management IPMI system software. It provides in-band and out-of-band software and a development library conforming to the Intelligent Platform Management Interface (IPMI v1.5 and v2.0) standards. . This package contains a watchdog daemon for hardware BMC watchdogs. Package: freeipmi-common Source: freeipmi Version: 1.1.5-3 Installed-Size: 379 Maintainer: Yaroslav Halchenko Architecture: all Pre-Depends: dpkg (>= 1.15.7.2~) Suggests: freeipmi-tools Size: 296752 SHA256: 325c957fde4d4bc8a02a6582fa91baf6d7a54b33a14e140c78674b314688b62e SHA1: 23114ae1501e0068b809a292e716f37b2d88e218 MD5sum: 88cd798f6c4e0a60498ed173a0b79b46 Description: GNU implementation of the IPMI protocol - common files FreeIPMI is a collection of Intelligent Platform Management IPMI system software. It provides in-band and out-of-band software and a development library conforming to the Intelligent Platform Management Interface (IPMI v1.5 and v2.0) standards. . This package provides configuration used by the rest of FreeIPMI framework and generic documentation to orient the user. Homepage: http://www.gnu.org/software/freeipmi/ Section: admin Priority: extra Filename: pool/main/f/freeipmi/freeipmi-common_1.1.5-3_all.deb Package: freeipmi-ipmidetect Source: freeipmi Version: 1.1.5-3 Architecture: armhf Maintainer: Yaroslav Halchenko Installed-Size: 269 Pre-Depends: dpkg (>= 1.15.7.2~) Depends: libc6 (>= 2.13-28), libfreeipmi12 (>= 1.1.5), libgcrypt11 (>= 1.4.5), libipmidetect0 (>= 1.1.5) Homepage: http://www.gnu.org/software/freeipmi/ Priority: extra Section: admin Filename: pool/main/f/freeipmi/freeipmi-ipmidetect_1.1.5-3_armhf.deb Size: 174534 SHA256: ac48b51bcd723dc99d17da314c0a3675e29f8f2d833232330510d0e0b33ab2e6 SHA1: d51e99de60311011e50e83e6a62a65ef2fa3a992 MD5sum: d6e3bf2d4b03424f66397132dfa5d434 Description: GNU IPMI - IPMI node detection tool FreeIPMI is a collection of Intelligent Platform Management IPMI system software. It provides in-band and out-of-band software and a development library conforming to the Intelligent Platform Management Interface (IPMI v1.5 and v2.0) standards. . This package contains a tool and a daemon for detecting IPMI nodes. Package: freeipmi-tools Source: freeipmi Version: 1.1.5-3 Architecture: armhf Maintainer: Yaroslav Halchenko Installed-Size: 2926 Depends: libc6 (>= 2.13-28), libfreeipmi12 (>= 1.1.5), libgcrypt11 (>= 1.4.5), libipmiconsole2 (>= 1.1.5), libipmidetect0 (>= 1.1.5) Suggests: freeipmi-ipmidetect, freeipmi-bmc-watchdog Homepage: http://www.gnu.org/software/freeipmi/ Priority: extra Section: admin Filename: pool/main/f/freeipmi/freeipmi-tools_1.1.5-3_armhf.deb Size: 1541548 SHA256: e9151482e641285df8a05f5914f3172753957dfd3476fb3885b59392e1128774 SHA1: 5fb9f1f3caaccc6abd372ea033fd0d7ff90ff0b3 MD5sum: d0099fa4ec333b2ad6d37b8e960b0931 Description: GNU implementation of the IPMI protocol - tools FreeIPMI is a collection of Intelligent Platform Management IPMI system software. It provides in-band and out-of-band software and a development library conforming to the Intelligent Platform Management Interface (IPMI v1.5 and v2.0) standards. . This package contains assorted IPMI-related tools: * bmc-config - configure BMC values * bmc-info - display BMC information * ipmi-chassis - IPMI chassis management utility * ipmi-fru - display FRU information * ipmi-locate - IPMI probing utility * ipmi-oem - IPMI OEM utility * ipmi-raw - IPMI raw communication utility * ipmi-sel - display SEL entries * ipmi-sensors - display IPMI sensor information * ipmi-sensors-config - configure sensors * ipmiconsole - IPMI console utility * ipmiping - send IPMI Get Authentication Capabilitiy request * ipmipower - IPMI power control utility * pef-config - configure PEF values * rmcpping - send RMCP Ping to network hosts Package: freemat Version: 4.0-5 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 8651 Depends: libamd2.2.0 (>= 1:3.4.0), libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libncurses5 (>= 5.5-5~), libpcre3 (>= 8.10), libportaudio2 (>= 19+svn20101113), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6), libtinfo5, libumfpack5.4.0 (>= 1:3.4.0), libx11-6, libxext6, zlib1g (>= 1:1.1.4), freemat-data (= 4.0-5) Recommends: freemat-help Homepage: http://freemat.sourceforge.net Priority: optional Section: math Filename: pool/main/f/freemat/freemat_4.0-5_armhf.deb Size: 3526766 SHA256: 0a6ccd442ef121fbd42f9e9d9cff28beaccd2f2dc132096affe1824c964da615 SHA1: afa45d4347571259489c3942bc27eead3bda4a6d MD5sum: fcad35bb545e1a48ed49488199bae5d7 Description: mathematics framework (mostly matlab compatible) FreeMat is a free environment for rapid engineering and scientific prototyping and data processing. It is similar to commercial systems such as MATLAB from Mathworks, and IDL from Research Systems, but is Open Source. FreeMat is available under the GPL license. Package: freemat-data Source: freemat Version: 4.0-5 Installed-Size: 5598 Maintainer: Debian Science Maintainers Architecture: all Recommends: freemat Size: 4715516 SHA256: fe627c93dd791f7a7cdbab5a76d9b6ed39f16141bba5cfb010318b90b7e7e575 SHA1: 6d22013d1a1c17cdaaef0a172fdcc593e61df2ac MD5sum: 1b0d52796696fd0cdceb579375bf5bab Description: freemat data files FreeMat is a free environment for rapid engineering and scientific prototyping and data processing. It is similar to commercial systems such as MATLAB from Mathworks, and IDL from Research Systems, but is Open Source. FreeMat is available under the GPL license. . This package install freemat data files Homepage: http://freemat.sourceforge.net Tag: role::app-data Section: math Priority: optional Filename: pool/main/f/freemat/freemat-data_4.0-5_all.deb Package: freemat-help Source: freemat Version: 4.0-5 Installed-Size: 6304 Maintainer: Debian Science Maintainers Architecture: all Recommends: freemat Size: 4493882 SHA256: 9ad8f8f171732117cebeb695923a9139f2d6c661d4899b9b6a5d0ad3c949098d SHA1: 45322f32c5971e5f172ba1757f8490cac85c85d9 MD5sum: 5be8903d0167693642f122dd6da777e6 Description: freemat help files FreeMat is a free environment for rapid engineering and scientific prototyping and data processing. It is similar to commercial systems such as MATLAB from Mathworks, and IDL from Research Systems, but is Open Source. FreeMat is available under the GPL license. . This package install freemat help files Homepage: http://freemat.sourceforge.net Tag: field::mathematics, role::documentation Section: math Priority: optional Filename: pool/main/f/freemat/freemat-help_4.0-5_all.deb Package: freemedforms-common-resources Source: freemedforms-project Version: 0.7.6-1 Installed-Size: 1541 Maintainer: Debian Med Packaging Team Architecture: all Depends: freemedforms-theme (= 0.7.6-1), freemedforms-i18n (= 0.7.6-1) Size: 693878 SHA256: 3ee1692cb026ef5ef3172509232d63ee1b56f53f24aea51957dc1d6debb1fc5e SHA1: 359f177994bcb968689d7ab6b1101bbd307de6fc MD5sum: 51d1cab10e99b5e67da6f9f44ef25620 Description: common data for the FreeMedForms project applications The FreeMedForms project provides a set of medical applications to help health professionals in their day-to-day practice. It is developed by an international community of medical doctors and computer specialists. . This metapackage contains the architecture independent data. Homepage: http://www.freemedforms.com/ Section: science Priority: optional Filename: pool/main/f/freemedforms-project/freemedforms-common-resources_0.7.6-1_all.deb Package: freemedforms-emr Source: freemedforms-project Version: 0.7.6-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 9058 Depends: freemedforms-libs (= 0.7.6-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libx11-6, libxext6, freemedforms-freedata (= 0.7.6-1), freemedforms-common-resources (= 0.7.6-1), freemedforms-emr-resources (= 0.7.6-1) Suggests: freemedforms-emr-doc-en, freemedforms-emr-doc-fr Breaks: freemedforms Replaces: freemedforms Homepage: http://www.freemedforms.com/ Priority: optional Section: science Filename: pool/main/f/freemedforms-project/freemedforms-emr_0.7.6-1_armhf.deb Size: 3593760 SHA256: 0c3f56df2d6dfbc808f71c70f2fa6b250df068582c6913f13132991b59205287 SHA1: 444c3fe450ff0632ad327400820b590449226fc5 MD5sum: a78a1c320f5a9bce3d20381f71e758c7 Description: electronic medical record manager The FreeMedForms project provides a set of medical applications to help health professionals in their day-to-day practice. It is developed by an international community of medical doctors and computer specialists. . The main objective of FreeMedForms electronic medical records is to manage the EMR based on your medical practice or the practice of clinical research groups. Package: freemedforms-emr-doc-en Source: freemedforms-project Version: 0.7.6-1 Installed-Size: 2007 Maintainer: Debian Med Packaging Team Architecture: all Provides: freemedforms-emr-doc Enhances: freemedforms-emr Size: 1621576 SHA256: 91f5d93c593391d5fe30c0899527caabf03b90daf966c86d966abdde281955a2 SHA1: f10af3eedc51f590b97eb938b71692aafeee87b3 MD5sum: 25eb445cb3f35230bd37f3a0eca51ec0 Description: FreeMedForms EMR english documentation The FreeMedForms project provides a set of medical applications to help health professionals in their day-to-day practice. It is developed by an international community of medical doctors and computer specialists. . This package contains the English documentation of FreeMedForms EMR. Homepage: http://www.freemedforms.com/ Section: doc Priority: optional Filename: pool/main/f/freemedforms-project/freemedforms-emr-doc-en_0.7.6-1_all.deb Package: freemedforms-emr-doc-fr Source: freemedforms-project Version: 0.7.6-1 Installed-Size: 5279 Maintainer: Debian Med Packaging Team Architecture: all Provides: freemedforms-emr-doc Enhances: freemedforms-emr Size: 3963014 SHA256: a32729b736c3ccdc3367bed38988b432f6f64918f187f814ad569a7bcbc784b0 SHA1: e6f8614ac2c8be0f7b9a20f47487a5d2449cdc36 MD5sum: 1b931670ad4f2cda9d9ea83a29886c2b Description: FreeMedForms EMR french documentation The FreeMedForms project provides a set of medical applications to help health professionals in their day-to-day practice. It is developed by an international community of medical doctors and computer specialists. . This package contains the French documentation of FreeMedForms EMR. Homepage: http://www.freemedforms.com/ Section: doc Priority: optional Filename: pool/main/f/freemedforms-project/freemedforms-emr-doc-fr_0.7.6-1_all.deb Package: freemedforms-emr-resources Source: freemedforms-project Version: 0.7.6-1 Installed-Size: 2139 Maintainer: Debian Med Packaging Team Architecture: all Suggests: freemedforms-freedata (= 0.7.6-1) Size: 1292680 SHA256: 131bce4f63d8466aefa313b7d948ce407e58345d693879e1c4c7431833598e3a SHA1: a8e1da22f79ad67df6058861f96e3622bd79a210 MD5sum: 2fc3f327db5c12b22ed0e8680c6b0633 Description: data for the FreeMedForms EMR The FreeMedForms project provides a set of medical applications to help health professionals in their day-to-day practice. It is developed by an international community of medical doctors and computer specialists. . This package contains the architecture independent data specific to the FreeMedForms EMR. Homepage: http://www.freemedforms.com/ Section: science Priority: optional Filename: pool/main/f/freemedforms-project/freemedforms-emr-resources_0.7.6-1_all.deb Package: freemedforms-freedata Source: freemedforms-project Version: 0.7.6-1 Installed-Size: 14680 Maintainer: Debian Med Packaging Team Architecture: all Replaces: freemedforms-emr-resources (<< 0.7.4) Conflicts: freemedforms-emr-resources (<< 0.7.4) Breaks: freemedforms-emr-resources (<< 0.7.4) Size: 4148254 SHA256: a1ee4cf7517a70d650273b50625cd3c7ad56b3d888a60600ceba7c9d8792bb7a SHA1: 90daef3af9c505fc7a0a92094bbcd6002b77f38b MD5sum: 1fab6cc10f441b126c546a0e4f942dd5 Description: free extra-data for the FreeMedForms project The FreeMedForms project provides a set of medical applications to help health professionals in their day-to-day practice. It is developed by an international community of medical doctors and computer specialists. . This package contains the architecture independent free extra-data (like free drugs database). Homepage: http://www.freemedforms.com/ Section: science Priority: optional Filename: pool/main/f/freemedforms-project/freemedforms-freedata_0.7.6-1_all.deb Package: freemedforms-i18n Source: freemedforms-project Version: 0.7.6-1 Installed-Size: 2181 Maintainer: Debian Med Packaging Team Architecture: all Size: 580262 SHA256: e54b185d970084ea81a57438190508eadd35eade2783991c3677886edd8d22b1 SHA1: e72cf6cab7e28fa6dfdcbadd05ad98b859355289 MD5sum: c3e034b7172fbcb625a88a8710b831d0 Description: translations of the FreeMedForms project The FreeMedForms project provides a set of medical applications to help health professionals in their day-to-day practice. It is developed by an international community of medical doctors and computer specialists. . This package contains the translations of the project. Homepage: http://www.freemedforms.com/ Section: science Priority: optional Filename: pool/main/f/freemedforms-project/freemedforms-i18n_0.7.6-1_all.deb Package: freemedforms-libs Source: freemedforms-project Version: 0.7.6-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 2062 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.7.0), libqt4-sql (>= 4:4.7.0), libqt4-svg (>= 4:4.7.0), libqt4-xml (>= 4:4.7.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0), libx11-6, libxext6, zlib1g (>= 1:1.1.4), libqt4-core (>= 4:4.7.0), libqt4-gui (>= 4:4.7.0), libqt4-script (>= 4:4.7.0), libqt4-sql-sqlite (>= 4:4.7.0), libqt4-sql-mysql (>= 4:4.7.0) Homepage: http://www.freemedforms.com/ Priority: optional Section: science Filename: pool/main/f/freemedforms-project/freemedforms-libs_0.7.6-1_armhf.deb Size: 811494 SHA256: c948060b49f022297400e91d8d39e7de47dfab6977c48e5d5c91d50ccf4bb55f SHA1: d216833d098e19f92c2fceb939940dbaf34e87a4 MD5sum: 9ca8e3de35b7af4f73d8ce20342a6f6b Description: common libs for the FreeMedForms project The FreeMedForms project provides a set of medical applications to help health professionals in their day-to-day practice. It is developed by an international community of medical doctors and computer specialists. . This package contains common libs of the project. Package: freemedforms-project Version: 0.7.6-1 Installed-Size: 35 Maintainer: Debian Med Packaging Team Architecture: all Depends: freemedforms-emr (>= 0.7.6-1), freediams (>= 0.7.6-1), freeaccount (>= 0.7.6-1) Size: 6706 SHA256: afa1eb0d866ca930a9041b709a90e103c3bf48ad1ad8825e77cf0da815c4f5c2 SHA1: 9aced20113415ca8238e1dc016cf65b0c5b48149 MD5sum: e5c2ee5a36d8c9b782d04af958fd7a29 Description: FreeMedForms project The FreeMedForms project provides a set of medical applications to help health professionals in their day-to-day practice. It is developed by an international community of medical doctors and computer specialists. . This metapackage installs all applications belonging to FreeMedForms project. Homepage: http://www.freemedforms.com/ Section: science Priority: optional Filename: pool/main/f/freemedforms-project/freemedforms-project_0.7.6-1_all.deb Package: freemedforms-theme Source: freemedforms-project Version: 0.7.6-1 Installed-Size: 2517 Maintainer: Debian Med Packaging Team Architecture: all Size: 1350144 SHA256: 8f42d2b7fa66fa2b5f074c9511113007c9c8aa20845ad96ab5f0d422e10e2f85 SHA1: b0bb7f36e5ec9b43d2b47952b7e9d2c52dd65f2d MD5sum: fa9d401f2fdff356445b85abe4ae1a72 Description: theme for the FreeMedForms project The FreeMedForms project provides a set of medical applications to help health professionals in their day-to-day practice. It is developed by an international community of medical doctors and computer specialists. . Default theme for the FreeMedForms project applications. Homepage: http://www.freemedforms.com/ Section: science Priority: optional Filename: pool/main/f/freemedforms-project/freemedforms-theme_0.7.6-1_all.deb Package: freemind Version: 0.9.0+dfsg-2 Installed-Size: 3364 Maintainer: Debian Java Maintainers Architecture: all Replaces: freemind-plugins-time Depends: default-jre | sun-java6-jre, libjgoodies-forms-java, libjibx1.1-java | libjibx-java (>= 1.1), libjibx1.1-java | libjibx-java (<< 1.2), simplyhtml (>> 0.13) Recommends: freemind-doc, xdg-utils, java-wrappers Suggests: freemind-plugins-help, freemind-plugins-svg, freemind-plugins-script, freemind-browser Conflicts: freemind-plugins-time (<< 0.9.0+dfsg-2) Size: 2807810 SHA256: 56329666be078ffda5596c7b03d9e604576e5c73e173931db3a8839f9a0f15f7 SHA1: a0166dada97ed097f95932f33ca849711e4a474a MD5sum: fb503f447a15ce6017642fd139afbb6c Description: Java Program for creating and viewing Mindmaps Taking the Concept-Mapping approach to Human-Computer Interface design. A mind mapper, and at the same time an easy-to-operate hierarchical editor with strong emphasis on folding. These two are not really two different things, just two different descriptions of a single application. Often used for knowledge and content management. . FreeMind doesn't work with classpath based Java implementations. Use OpenJDK (or Sun's Java), and check freemind(1) for more information. Homepage: http://freemind.sourceforge.net/ Tag: implemented-in::java, interface::x11, role::program, scope::application, use::organizing, use::viewing, x11::application Section: editors Priority: optional Filename: pool/main/f/freemind/freemind_0.9.0+dfsg-2_all.deb Package: freemind-browser Source: freemind Version: 0.9.0+dfsg-2 Installed-Size: 1235 Maintainer: Debian Java Maintainers Architecture: all Recommends: thttpd | httpd Suggests: freemind Size: 1057614 SHA256: 1f2f9b5c918278b610cb56da31e701847ad0c8f21b11d67404fd0af1e9012b59 SHA1: c4a3805170f0716bf33430f96907c8d9f95ac7cd MD5sum: 55f67e18d61d032ff8cc1954e0123d42 Description: Java Applet for publishing Mindmaps produced with FreeMind This package contains a java applet as well as an example of an HTML file to publish FreeMind maps using a standard web server. Homepage: http://freemind.sourceforge.net/ Section: editors Priority: optional Filename: pool/main/f/freemind/freemind-browser_0.9.0+dfsg-2_all.deb Package: freemind-doc Source: freemind Version: 0.9.0+dfsg-2 Installed-Size: 2226 Maintainer: Debian Java Maintainers Architecture: all Recommends: evince | openoffice.org-writer | abiword | kword | pdf-viewer Suggests: freemind Size: 1086314 SHA256: e4e1ae7a9bb8fd5af45d3cce62b55b3471ff4f83c7cd13bc4bf21541b757a295 SHA1: 34fe9e61803ba4eb5ccf1e8f0130e4d4aaa8a6d1 MD5sum: 314a55c442897c8bf5a7667c1914439b Description: Documentation for FreeMind This package contains on one hand the FreeMind Documentation Mindmap as accessed through the menu 'Help -> Documentation', on the other hand the FreeMind Key Mapping reference, either in PDF (accessible through the menu 'Help -> Key Documentation PDF') or in OpenDocument format (editable). Homepage: http://freemind.sourceforge.net/ Tag: made-of::pdf, made-of::xml, role::documentation Section: doc Priority: optional Filename: pool/main/f/freemind/freemind-doc_0.9.0+dfsg-2_all.deb Package: freemind-plugins-help Source: freemind Version: 0.9.0+dfsg-2 Installed-Size: 371 Maintainer: Debian Java Maintainers Architecture: all Depends: freemind (= 0.9.0+dfsg-2), javahelp2 Size: 331630 SHA256: 58e6f81bad6f5e1ab21fc0214e9ecd983bf6466ec7d8d116745a44b3cc0d3c1e SHA1: 579ddb9bb83a1a81bf2e2a98cbe4a5bc205a6067 MD5sum: 55c3f1ba0047cc560f58dada5a37cad4 Description: Java Plugin for FreeMind to show an extended online help This plugin will add a menu point 'Help -> Online Help'. Homepage: http://freemind.sourceforge.net/ Tag: implemented-in::java, role::plugin, use::viewing Section: editors Priority: optional Filename: pool/main/f/freemind/freemind-plugins-help_0.9.0+dfsg-2_all.deb Package: freemind-plugins-script Source: freemind Version: 0.9.0+dfsg-2 Installed-Size: 95 Maintainer: Debian Java Maintainers Architecture: all Depends: freemind (= 0.9.0+dfsg-2), groovy Size: 49478 SHA256: 844eb8bab1a43a8a36e130db9236da2dbc0ec408fc48baff32b0c30e52fa48e9 SHA1: f95e90ddf78b4b8b07ee692d8fffb8cf4823b744 MD5sum: bed8ebc8e7303b045a27188af076b9b1 Description: Java Plugin for FreeMind to add scripting capabilities This plugin will add the menu points 'Tools -> Evaluate' and 'Tools -> Script Editor...'. Homepage: http://freemind.sourceforge.net/ Tag: implemented-in::java, role::plugin Section: editors Priority: optional Filename: pool/main/f/freemind/freemind-plugins-script_0.9.0+dfsg-2_all.deb Package: freemind-plugins-svg Source: freemind Version: 0.9.0+dfsg-2 Installed-Size: 60 Maintainer: Debian Java Maintainers Architecture: all Depends: freemind (= 0.9.0+dfsg-2), libbatik-java (>= 1.6), rhino Recommends: fop Size: 16786 SHA256: e31a4e9384794df0c6041769656e3c85db686307531e2e1de2c7d3937824d137 SHA1: 675e86a6b879b49efc444e10cc3773bd61f7c4ef MD5sum: d2bb411cc2d1e08686f5ba22d92070bf Description: Java Plugin for FreeMind to export Mindmaps to SVG and PDF This plugin will add two menu points 'File -> Export -> SVG-Export' and 'File -> Export -> PDF-Export'. The PDF export won't work without the recommended fop being installed. Homepage: http://freemind.sourceforge.net/ Tag: implemented-in::java, role::plugin, use::converting, works-with-format::pdf, works-with-format::svg Section: editors Priority: optional Filename: pool/main/f/freemind/freemind-plugins-svg_0.9.0+dfsg-2_all.deb Package: freenect Source: libfreenect Version: 1:0.1.2+dfsg-6 Architecture: armhf Maintainer: Nicolas Bourdaud Installed-Size: 32 Depends: libfreenect-bin, libfreenect-dev, libfreenect0.1, libfreenect-doc Homepage: http://openkinect.org/ Priority: extra Section: libs Filename: pool/main/libf/libfreenect/freenect_0.1.2+dfsg-6_armhf.deb Size: 7158 SHA256: fc68874b4c29328071ddb2f077b2b5484b4972a24786c5ce93dcdcdf1ae186d6 SHA1: 1e25d0b097d2dae9f9ece520ac0532111b15b929 MD5sum: 30331f511d9659fd881349e379ba92e7 Description: library for accessing Kinect device -- metapackage libfreenect is a cross-platform library that provides the necessary interfaces to activate, initialize, and communicate data with the Kinect hardware. Currently, the library supports access to RGB and depth video streams, motors, accelerometer and LED and provide binding in different languages (C++, Python...) . This library is the low level component of the OpenKinect project which is an open community of people interested in making use of the Xbox Kinect hardware with PCs and other devices. . This is the metapackage to install all components of the project. Package: freepats Version: 20060219-1 Installed-Size: 33224 Maintainer: Henrique de Moraes Holschuh Architecture: all Size: 28968538 SHA256: ee69ac035c31ed09af74c5cd069c5c6e355d402df3c3eee7e6b58db13cbfb3d6 SHA1: 7827c1f6919dcbe6c957096782229c8f43e1f25a MD5sum: c83e1ba34647ab46b24d01b6f8c333f4 Description: Free patch set for MIDI audio synthesis Freepats is a free patch set suitable for MIDI audio synthesis. It is not complete, nor comprehensive yet, and most, if not all patches are in the old and limited GUS patch format. . It is, however, the sole DFSG-compliant patch set in existence so far. New patches (including those in better formats, such as SF2 SoundFont banks) are welcome. Tag: role::app-data, sound::midi, use::playing Section: sound Priority: optional Filename: pool/main/f/freepats/freepats_20060219-1_all.deb Package: freeplane Version: 1.1.3-2+deb7u1 Installed-Size: 9627 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre | sun-java6-jre, javahelp2, groovy, libcommons-lang-java, libjgoodies-forms-java, simplyhtml, libbatik-java, rhino, fop, libxerces2-java, libxml-commons-external-java, libjaxp1.3-java, libjlatexmath-java, libknopflerfish-osgi-framework-java, libjortho-freeplane-java (= 1.1.3-2+deb7u1) Size: 7207216 SHA256: 8cec52ffefeed9d31a74b59958ed813fa2f101ff797081d062677369b9f0e6d9 SHA1: 5cf308c990c33764df2f706bcc644ccbd8794b0f MD5sum: 37bfaae9f3aae674b212e23cc2228842 Description: Java program to create and edit mind maps. Freeplane is a free, open source software application for creating mind maps (diagrams of connections between ideas), and electronic outlines. . New features of Freeplane include: . * Export to PNG, JPEG, SVG (in addition to HTML / XHTML and PDF) * Find / Replace in all open maps * Paste HTML as node structure * Outline mode * Scripting via Groovy * Spell Checker Homepage: http://freeplane.org/ Recommends: xdg-utils, java-wrappers Section: editors Priority: extra Filename: pool/main/f/freeplane/freeplane_1.1.3-2+deb7u1_all.deb Package: freeplayer Version: 20070531+dfsg.1-3 Installed-Size: 204 Maintainer: Vincent Danjean Architecture: all Depends: vlc (>= 0.8.6b) Size: 21950 SHA256: 028cb63a5cc8012d5d12425ec8afccbb55668d7604907aa33f7c5cb6b0d08299 SHA1: 2dac8224268b3dba19d537daa3bba98b59182c83 MD5sum: 631f7124136adc4700c06c26ef085a4c Description: wrapper around vlc for French ADSL FreeBox Freeplayer is a helper tool to allow the French ADSL FreeBox to use vlc and play/display the content of your computer on the TV linked to the FreeBox. . This package is useless without a FreeBox, ie the modem of the French ADSL IP provider "Free" (http://www.free.fr) Homepage: http://adsl.free.fr/multimedia/freeplayer/ Tag: hardware::modem:dsl, implemented-in::perl, implemented-in::shell, role::program Section: sound Priority: extra Filename: pool/main/f/freeplayer/freeplayer_20070531+dfsg.1-3_all.deb Package: freepops Version: 0.2.9-7 Architecture: armhf Maintainer: Enrico Tassi Installed-Size: 1242 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libexpat1 (>= 2.0.1), libgcrypt11 (>= 1.4.5), liblua5.1-0, lua-curl, lua-expat, lua-filesystem, lua-socket, lsb-base (>= 3.0-6) Recommends: dialog Homepage: http://www.freepops.org Priority: optional Section: mail Filename: pool/main/f/freepops/freepops_0.2.9-7_armhf.deb Size: 333756 SHA256: 8c9ce40a5102a71851b26a52f501101864c71cc3122e2d73092bfab76120abf1 SHA1: f138a2a1bf10ce7a3f1162cf54a49175048942de MD5sum: 2184e126c44ff5dd9a09406f4a2b3aab Description: POP3 interface to several webmail sites FreePOPs is a POP3 daemon that translates local POP3 commands to remote HTTP requests to the supported webmail sites. . FreePOPs can also be used as RSS aggregator and POP3 proxy. . This package also includes a dialog based updater program, to check for updates and optionally download them. Package: freepops-doc Source: freepops Version: 0.2.9-7 Installed-Size: 919 Maintainer: Enrico Tassi Architecture: all Size: 808302 SHA256: 8d021b07f356de5fcb969507b67eeb09b61657802677d7065feaaf7153481ecc SHA1: 461c95850134471369b2a71abc6b098697ed40a5 MD5sum: 11acc53338f1d1f4cea27025f892c9be Description: FreePOPs user/developer manual FreePOPs is a POP3 daemon that translates local POP3 commands to remote HTTP requests to the supported webmail sites. . FreePOPs can also be used as RSS aggregator and POP3 proxy. . This package includes the PDF manual for the software. Homepage: http://www.freepops.org Tag: interface::daemon, made-of::pdf, mail::pop, protocol::http, protocol::pop3, role::documentation, use::converting, use::downloading, works-with-format::xml, works-with-format::xml:rss, works-with::mail Section: doc Priority: optional Filename: pool/main/f/freepops/freepops-doc_0.2.9-7_all.deb Package: freepops-updater-fltk Source: freepops Version: 0.2.9-7 Architecture: armhf Maintainer: Enrico Tassi Installed-Size: 118 Depends: libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.6), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), freepops (>= 0.2.0) Homepage: http://www.freepops.org Priority: optional Section: mail Filename: pool/main/f/freepops/freepops-updater-fltk_0.2.9-7_armhf.deb Size: 40240 SHA256: 42912f766319de4c6ff6276f6a1d45d7339f62ba8115cebd3b65e2199862a290 SHA1: 66290e3dff9f24bed0b4ae19536312b1af2ecc05 MD5sum: e129b31f22af9b3cdeb8f375fe3f2f8c Description: Graphical interface for the freepops updater engine This package provides a click-and-update program to download the latest updates from the freepops website. . The user interface is based on FTLK, if you are not using a GTK+ based desktop environment this package is probably what you want to install. Package: freepops-updater-gnome Source: freepops Version: 0.2.9-7 Architecture: armhf Maintainer: Enrico Tassi Installed-Size: 103 Depends: freepops (>= 0.2.0), zenity Homepage: http://www.freepops.org Priority: optional Section: mail Filename: pool/main/f/freepops/freepops-updater-gnome_0.2.9-7_armhf.deb Size: 36742 SHA256: 848b91b37cb03e0e9bab4db04780cf55b157cf3ee988901c0c85866cf4486728 SHA1: 560a978e742ed4f9b2e6de0ce0c2dbe5c0de9c88 MD5sum: 2f5b6c7fa56fcf428fea63ac93fcb0eb Description: GNOME interface for the freepops updater engine This package provides a click-and-update program to download the latest updates from the freepops website. . The user interface is based on zenity, thus this package is recommended for GTK+ desktop environments like GNOME. Package: freepwing Version: 1.5-1 Installed-Size: 568 Maintainer: Masayuki Hatta (mhatta) Architecture: all Depends: make, perl Size: 84252 SHA256: c549ab38d140a4ddf47e64d0bd83b8c7964ab5031de49485606649f07af3eefa SHA1: bd5a5a8cac05d8307f3c89ae1f02512f0fbb867c MD5sum: 70fe6a5ab8e686c500bb96155c980e62 Description: EB to JIS X 4081 converter This program translates data in various "Electric Book" (popular in Japan) formats into a single JIS X 4081 format. JIS X 4081 is a subset of the EPWING V1, which is the standardized format for electric publishing. Thus after conversion, you can read such data with your favorite EPWING viewer without worrying which formats your data originally were. Tag: culture::japanese, implemented-in::perl, interface::commandline, role::program, scope::application, use::converting, use::viewing, works-with::text Section: utils Priority: optional Filename: pool/main/f/freepwing/freepwing_1.5-1_all.deb Package: freeradius Version: 2.1.12+dfsg-1.2+deb7u2 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 1611 Depends: lsb-base (>= 3.1-23.2), libc6 (>= 2.13-28), libfreeradius2 (= 2.1.12+dfsg-1.2+deb7u2), libgcc1 (>= 1:4.4.0), libgdbm3 (>= 1.8.3), libltdl7 (>= 2.4.2), libpam0g (>= 0.99.7.1), libperl5.14 (>= 5.14.2), libpython2.7 (>= 2.7), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), freeradius-common, ssl-cert, ca-certificates, adduser Recommends: freeradius-utils Suggests: freeradius-ldap, freeradius-postgresql, freeradius-mysql, freeradius-krb5 Provides: radius-server Homepage: http://www.freeradius.org/ Priority: optional Section: net Filename: pool/main/f/freeradius/freeradius_2.1.12+dfsg-1.2+deb7u2_armhf.deb Size: 643876 SHA256: 168239cfb4acee3aa639094904fa4ec737bc845014b24b227ddf0c3ac7cce7d3 SHA1: e9278d4a16743861ce587efec6c6f505ce9172e3 MD5sum: e9550285ef1e467dad85c42f811dbc6c Description: high-performance and highly configurable RADIUS server FreeRADIUS is a high-performance RADIUS server with support for: - many vendor-specific attributes - proxying and replicating requests by any criteria - authentication on system passwd, SQL, Kerberos, LDAP, users file, or PAM - multiple DEFAULT configurations - regexp matching in string attributes and lots more. Package: freeradius-common Source: freeradius Version: 2.1.12+dfsg-1.2+deb7u2 Installed-Size: 909 Maintainer: Josip Rodin Architecture: all Replaces: freeradius (<< 2.0) Depends: adduser Conflicts: radiusd-livingston, xtradius, yardradius Size: 272544 SHA256: d1effd27eb319013ff51f24accae302f3a9167c4b21c19c938132aacdcca697b SHA1: fefacc84b1b3078bda627ec43d053b453f7fefa0 MD5sum: adacb8454db57b39aa03e6f90e77bac6 Description: FreeRADIUS common files This package contains common files used by several of the other packages from the FreeRADIUS project. Homepage: http://www.freeradius.org/ Section: net Priority: optional Filename: pool/main/f/freeradius/freeradius-common_2.1.12+dfsg-1.2+deb7u2_all.deb Package: freeradius-dbg Source: freeradius Version: 2.1.12+dfsg-1.2+deb7u2 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 3074 Depends: freeradius (= 2.1.12+dfsg-1.2+deb7u2) Homepage: http://www.freeradius.org/ Priority: extra Section: debug Filename: pool/main/f/freeradius/freeradius-dbg_2.1.12+dfsg-1.2+deb7u2_armhf.deb Size: 1285140 SHA256: 42ed3ae6600f18690ce8b8a2ca37160e5f0310a51d538c8bc9d4841707c54308 SHA1: ee607048e5fa5cee8af16dd4cbf1a51302467900 MD5sum: 13703c71e3170468e492c60efdf932b8 Description: debug symbols for the FreeRADIUS packages FreeRADIUS is a modular, high performance and feature-rich RADIUS server. This package contains the detached debugging symbols for the Debian FreeRADIUS packages. Package: freeradius-dialupadmin Source: freeradius Version: 2.1.12+dfsg-1.2+deb7u2 Installed-Size: 544 Maintainer: Josip Rodin Architecture: all Depends: php5 | libapache2-mod-php5 | php5-cgi, apache2-mpm-prefork | httpd Suggests: php5-mysql | php5-pgsql, php5-ldap, libdate-manip-perl Size: 138578 SHA256: afa992d6eb853bfa3df2d0bcc21dce5b68766b4898d9c573b3b492f05b821289 SHA1: 8c17f46816eaef15305dcb9917b3a3035eb2e618 MD5sum: 1b8222c8155f71f611a9ddb6bcbc019d Description: set of PHP scripts for administering a FreeRADIUS server These scripts provide a web-based interface for administering a FreeRADIUS server which stores authentication information in either SQL or LDAP. Homepage: http://www.freeradius.org/ Section: net Priority: optional Filename: pool/main/f/freeradius/freeradius-dialupadmin_2.1.12+dfsg-1.2+deb7u2_all.deb Package: freeradius-iodbc Source: freeradius Version: 2.1.12+dfsg-1.2+deb7u2 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 45 Depends: freeradius (= 2.1.12+dfsg-1.2+deb7u2), libc6 (>= 2.13-28), libiodbc2 (>= 3.52.7) Homepage: http://www.freeradius.org/ Priority: optional Section: net Filename: pool/main/f/freeradius/freeradius-iodbc_2.1.12+dfsg-1.2+deb7u2_armhf.deb Size: 37956 SHA256: d9a178a21ed7c1d67294999c020af83f4ba901ed0b74a4e3d7f28123553426f0 SHA1: 29445c225ad5dce39fc6653023fcc63f5cb25224 MD5sum: db1b21e4e62172afecede58ec4b3b5a4 Description: iODBC module for FreeRADIUS server The FreeRADIUS server can use iODBC to access databases to authenticate users and do accounting, and this module is necessary for that. Package: freeradius-krb5 Source: freeradius Version: 2.1.12+dfsg-1.2+deb7u2 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 45 Depends: freeradius (= 2.1.12+dfsg-1.2+deb7u2), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libfreeradius2, libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.7dfsg) Homepage: http://www.freeradius.org/ Priority: optional Section: net Filename: pool/main/f/freeradius/freeradius-krb5_2.1.12+dfsg-1.2+deb7u2_armhf.deb Size: 39092 SHA256: 8634544d0e882a732acd27c6aa2bd198c9756392d301cafb12ed1067172d1c6f SHA1: 0e406e76ea3d97599939e75dd56ff2e1643afc2d MD5sum: 98c364386ff21805f51a229da0967cd3 Description: kerberos module for FreeRADIUS server The FreeRADIUS server can use Kerberos to authenticate users, and this module is necessary for that. Package: freeradius-ldap Source: freeradius Version: 2.1.12+dfsg-1.2+deb7u2 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 81 Depends: freeradius (= 2.1.12+dfsg-1.2+deb7u2), libc6 (>= 2.13-28), libfreeradius2, libldap-2.4-2 (>= 2.4.7) Homepage: http://www.freeradius.org/ Priority: optional Section: net Filename: pool/main/f/freeradius/freeradius-ldap_2.1.12+dfsg-1.2+deb7u2_armhf.deb Size: 55904 SHA256: 768f1e6f1a7cb0496099cd00dc391a9c19a18d45f01e396b41490000618a7be3 SHA1: e69c0e5147b12a63d119f9dd7cdef367273635a5 MD5sum: 8f9b3bf548796ba955ecc136e1363137 Description: LDAP module for FreeRADIUS server The FreeRADIUS server can use LDAP to authenticate users, and this module is necessary for that. Package: freeradius-mysql Source: freeradius Version: 2.1.12+dfsg-1.2+deb7u2 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 80 Depends: freeradius (= 2.1.12+dfsg-1.2+deb7u2), libc6 (>= 2.13-28), libmysqlclient18 (>= 5.5.24+dfsg-1), zlib1g (>= 1:1.1.4) Homepage: http://www.freeradius.org/ Priority: optional Section: net Filename: pool/main/f/freeradius/freeradius-mysql_2.1.12+dfsg-1.2+deb7u2_armhf.deb Size: 46574 SHA256: 965d3507f80252edc3286be74eda17b7d5101c4cad3cda48781c823ce709c1de SHA1: 8549867d2bd11545c6bf0dc014d04ae6cc90fba0 MD5sum: db004006c03538b04999d2369ad586d6 Description: MySQL module for FreeRADIUS server The FreeRADIUS server can use MySQL to authenticate users and do accounting, and this module is necessary for that. Package: freeradius-postgresql Source: freeradius Version: 2.1.12+dfsg-1.2+deb7u2 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 111 Depends: freeradius (= 2.1.12+dfsg-1.2+deb7u2), libc6 (>= 2.13-28), libpq5 Homepage: http://www.freeradius.org/ Priority: optional Section: net Filename: pool/main/f/freeradius/freeradius-postgresql_2.1.12+dfsg-1.2+deb7u2_armhf.deb Size: 57440 SHA256: e79bc744d1b6ff788604f5d6100b676cdd755e6f7621a8bbc114b5b67e30cda0 SHA1: e52065e7c854286a3146ec50cc0d8074a4d477fe MD5sum: 691f369ad80de34d43dcfe941732f0fc Description: PostgreSQL module for FreeRADIUS server The FreeRADIUS server can use PostgreSQL to authenticate users and do accounting, and this module is necessary for that. Package: freeradius-utils Source: freeradius Version: 2.1.12+dfsg-1.2+deb7u2 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 189 Depends: libc6 (>= 2.13-28), libfreeradius2 (= 2.1.12+dfsg-1.2+deb7u2), libgdbm3 (>= 1.8.3), libpcap0.8 (>= 0.9.8), libssl1.0.0 (>= 1.0.0), freeradius-common Recommends: libdbi-perl Conflicts: radiusd-livingston, yardradius Replaces: freeradius (<< 2.0) Homepage: http://www.freeradius.org/ Priority: optional Section: net Filename: pool/main/f/freeradius/freeradius-utils_2.1.12+dfsg-1.2+deb7u2_armhf.deb Size: 100780 SHA256: 46b61be7a2d87143a1168fd9e28ee99360992ebf486d08263211e2461f39618d SHA1: 3aa4ce7260e6e98346fcabee21693a55f0d8be2e MD5sum: 6e8f94a39203eff1f579ff6aa2cab110 Description: FreeRADIUS client utilities This package contains various client programs and utilities from the FreeRADIUS Server project, including: - radclient - radeapclient - radlast - radsniff - radsqlrelay - radtest - radwho - radzap - rlm_dbm_cat - rlm_dbm_parser - rlm_ippool_tool - smbencrypt Package: freerdp-dbg Source: freerdp Version: 1.0.1-1.1+deb7u4 Architecture: armhf Maintainer: Mike Gabriel Installed-Size: 1641 Depends: freerdp-x11 (= 1.0.1-1.1+deb7u4), libfreerdp1 (= 1.0.1-1.1+deb7u4), libfreerdp-plugins-standard (= 1.0.1-1.1+deb7u4) Homepage: http://www.freerdp.com/ Priority: extra Section: debug Filename: pool/main/f/freerdp/freerdp-dbg_1.0.1-1.1+deb7u4_armhf.deb Size: 1492132 SHA256: 2b3d4db06dfc57bd4792221d9eb3ed66fb70502395b48d5031865fdb29283a98 SHA1: 03fa2bd5ce3af363e2a76b60a89f377f00ab003f MD5sum: 3c8004a2fb0df1e7c8c198b7d3123f8d Description: RDP client for Windows Terminal Services (debug) FreeRDP is a client for Windows Terminal Services implementing the Remote Desktop Protocol (RDP). . This package contains the debug symbols. Package: freerdp-x11 Source: freerdp Version: 1.0.1-1.1+deb7u4 Architecture: armhf Maintainer: Mike Gabriel Installed-Size: 331 Depends: libc6 (>= 2.13-28), libfreerdp1 (>= 1.0.1), libssl1.0.0 (>= 1.0.0), libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxinerama1, libxkbfile1, libxv1, zlib1g (>= 1:1.1.4) Recommends: libfreerdp-plugins-standard Provides: freerdp Homepage: http://www.freerdp.com/ Priority: optional Section: x11 Filename: pool/main/f/freerdp/freerdp-x11_1.0.1-1.1+deb7u4_armhf.deb Size: 46766 SHA256: 8d498b97182af0aab62f7146c403158f04c8d299dc2c5ca771e60d388cef52c6 SHA1: f92143b911418b95e024c8da0f3d046ec609f028 MD5sum: 9d1ce9c4a08004c4f804181235ea6755 Description: RDP client for Windows Terminal Services FreeRDP is a client for Windows Terminal Services implementing the Remote Desktop Protocol (RDP). . Currently, the following Windows Versions are supported: . * Windows NT Server * Windows 2000 Terminal Server * Windows XP * Windows 2003 Server * Windows Vista * Windows 2008 Server * Windows 7 . This package contains the X11 based client. Package: freesci Version: 0.6.4-7 Architecture: armhf Maintainer: Bas Zoetekouw Installed-Size: 1116 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libreadline6 (>= 6.0), libx11-6, libxext6, libxrender1 Recommends: tk Suggests: freesci-doc Homepage: http://freesci.linuxgames.com/ Priority: extra Section: games Filename: pool/main/f/freesci/freesci_0.6.4-7_armhf.deb Size: 596534 SHA256: 0dcdc5e3fb5bef0d11e91105692e5af8c14d3229bf4d1dbbe05073be99dfeb72 SHA1: be926566ea0f7c0a1fd752f7c2d760e2da7f9ea3 MD5sum: 22fb75265ed2f8a3184af2b2fb475a6a Description: a portable interpreter for SCI games like Space Quest 3 FreeSCI is a portable interpreter for SCI games, such as the Space Quest series (starting with SQ3) or Leisure Suit Larry (2 and sequels). . FreeSCI is still incomplete. You should be able to finish some SCI games with it, though. This release has the following limitations (plus some bugs): - Only SCI0 games are supported (later versions are being worked on separately in the experimental "glutton" branch) - The SCI debug functions aren't fully supported (and probably never will be, since we're using our own debug functions) . It has the following improvements over Sierra SCI: - Support for various filters and extended drawing operations for graphics - saving and restoring the game state is possible from more places than the Sierra SCI engine allowed (using the debugger functions) - Better debugger - More portable - It's Free software :-) Package: freesci-doc Source: freesci Version: 0.6.4-7 Installed-Size: 1140 Maintainer: Bas Zoetekouw Architecture: all Size: 728046 SHA256: 699c2d650503ef83ad22ee1b09a4c62c15e6389e490cc7c8e0a662ce87ccc590 SHA1: 473f7356385990100cbe74b0fb7488b1a73891cf MD5sum: 35d0cd36454b7fe271519464587cb537 Description: Documentation for FreeSCI This is the documentation for FreeSCI, a portable interpreter for SCI games, such as the Space Quest series. It also contains a book describing the SCI (versions 0, 1 and 2) to the extent known to the general public. Homepage: http://freesci.linuxgames.com/ Tag: devel::interpreter, game::adventure, interface::text-mode, interface::x11, role::documentation, uitoolkit::ncurses, uitoolkit::sdl, uitoolkit::tk, use::gameplaying, x11::application Section: doc Priority: extra Filename: pool/main/f/freesci/freesci-doc_0.6.4-7_all.deb Package: freespacenotifier Source: kde-workspace Version: 4:4.8.4-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 201 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kde-workspace/freespacenotifier_4.8.4-6_armhf.deb Size: 53876 SHA256: a26d1f0054bf9fa8e42dbe975887234bb2b4d932d1b82b76340de391bf7506db SHA1: 43cfa67513139ef8ac6c878399caf77fbe0c2929 MD5sum: 4a7f5639d60ce5b7157d50323cb67c09 Description: free space notification module for KDE This module notifies the user when /home or one of the other directories which you can configure it to monitor are running out of space. . More technically, this package provides a module for kded, the KDE Daemon. . This package is part of the KDE base workspace module. Package: freespeak Version: 0.3.0-5 Installed-Size: 462 Maintainer: Debian QA Group Architecture: all Depends: gconf2 (>= 2.28.1-2), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-dbus (>= 0.80), python-gtk2 (>= 2.10), python-gnome2 (>= 2.12.4), python-webkit, python-gtkspell, python-lxml (>= 2.1.1), python-xlib (>= 0.14) Size: 104504 SHA256: 51ef3e4086bc5a4aae8a79b0943c9f87efd7fed0dac43ff670da44a15376d237 SHA1: 4bd7998acd0d87dd8ee98f029f3516095051c1b5 MD5sum: 514a25eda6ee42e94a47a570725ecd2e Description: GNOME frontend to online translator engines With this program you can translate text, web pages and request suggestions using online translation engines. Currently supported translators are Google, Yahoo, Open-Tran and FreeTranslation. Features include tabbed consulting, automatically copy and paste from/to clipboard, expandible in a very easy way by writing translator modules, easy to use and to configure, localized (currently English, Italian), good integration with free desktop environments (mostly in GNOME). Homepage: http://freespeak.berlios.de Tag: field::linguistics, implemented-in::python, role::program Section: utils Priority: optional Filename: pool/main/f/freespeak/freespeak_0.3.0-5_all.deb Package: freesweep Version: 0.90-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 126 Depends: libc6 (>= 2.7), libncurses5 (>= 5.5-5~), libtinfo5 Homepage: http://www.upl.cs.wisc.edu/~hartmann/sweep/ Priority: optional Section: games Filename: pool/main/f/freesweep/freesweep_0.90-2_armhf.deb Size: 39102 SHA256: ea4f8feb29d41da6942c866c7445259431954f993f4961ad5903712ad13d3af1 SHA1: 6e803fe7eefbbf5567191928fc54458f8e594a0b MD5sum: c13bd7007ce8e192d9294fc5a52517f5 Description: text-based minesweeper Freesweep is an implementation of the popular minesweeper game, where one tries to find all the mines without igniting any, based on hints given by the computer. Unlike most implementations of this game, Freesweep works in any visual text display - in Linux console, in an xterm, and in most text-based terminals currently in use. Package: freetable Version: 2.3-4 Installed-Size: 96 Maintainer: Felipe Augusto van de Wiel (faw) Architecture: all Depends: perl Size: 21532 SHA256: be900676aa5ac9be88b7d6a6f608aac9ef8493cec3eb07cadca553e91136f1c0 SHA1: c405a9e25366c62c8d3515633cc0e57063d0ec58 MD5sum: a96e9d38343ee95e9e385ed509371ee8 Description: Facilitates production of HTML tables Freetable is a perl script that aims to make the production of HTML tables a little easier. This script works as a filter, reading stdin and writing to stdout. . The input syntax is as roughly follows: . initial text (e.g. ... ). (X, Y) options for cell (X, Y) text for cell (X,Y)... ((X,Y)) options for header cell X,Y text for header cell (X,Y)... . Rows and cells may be specified in any order, numbering starts at 1. X and/or Y may be replaced by regular expression, explicit range or even arbitrary Perl code to indicate rows or columns. Options or text (or both) may be omitted for cells. Cells may be omitted completely if they are empty or fall under the rowspan/colspan specifications of another cell. Cells may contain arbitrary HTML text, including other freetable tables. Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, works-with-format::html, works-with::text Section: web Priority: optional Filename: pool/main/f/freetable/freetable_2.3-4_all.deb Package: freetalk Version: 3.2-11 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 243 Depends: guile-1.8, install-info, guile-1.8-libs, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgmp10, libidn11 (>= 1.13), libloudmouth1-0 (>= 1.1.4), libltdl7 (>= 2.4.2), libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libstdc++6 (>= 4.4.0), libtinfo5 Recommends: beep, dict, urlview Homepage: http://www.gnu.org/software/freetalk Priority: optional Section: net Filename: pool/main/f/freetalk/freetalk_3.2-11_armhf.deb Size: 85940 SHA256: dd528436a1aed1d0507c06a78101ea90e7718caf3ebb138c7c4a0db8ca72d097 SHA1: c06590a987257a1053ab0ce3220fd670bc7193e2 MD5sum: 5435a6c24a6ba644c6a99039aa0a3689 Description: console based Jabber client This package features a readline interface with completion of buddy names, commands and even ordinary English words. It is extensible, configurable, and scriptable through a Guile interface. Package: freetds-bin Source: freetds Version: 0.91-2+deb7u1 Architecture: armhf Maintainer: Steve Langasek Installed-Size: 644 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libreadline6 (>= 6.0), libsybdb5 (>= 0.82), freetds-common Homepage: http://www.freetds.org/ Priority: optional Section: database Filename: pool/main/f/freetds/freetds-bin_0.91-2+deb7u1_armhf.deb Size: 333704 SHA256: 5d1d841add9db163ad3012c656582bedd9b9b970b081093b7df6a9a590419495 SHA1: ed4e898d81b15446007ebedd08848cad232272da MD5sum: ce11923cf84707e059aa822347795886 Description: FreeTDS command-line utilities FreeTDS is an implementation of the Tabular DataStream protocol, used for connecting to MS SQL and Sybase servers over TCP/IP. . This package includes the command-line utilities shipped with FreeTDS. Package: freetds-common Source: freetds Version: 0.91-2+deb7u1 Installed-Size: 120 Maintainer: Steve Langasek Architecture: all Replaces: libct3, libct4 (<< 0.82-1) Size: 58430 SHA256: 62b2054f4072c7b91cf8bea47bc5e631835862ba323ada5d67909d7bf77a7b88 SHA1: d18fd99e795fb07d4c860604387c38a44d97cd4d MD5sum: f4fe32f2c421741f82c862f487c20596 Description: configuration files for FreeTDS SQL client libraries FreeTDS is an implementation of the Tabular DataStream protocol, used for connecting to MS SQL and Sybase servers over TCP/IP. . This package manages the configuration files that are common to all of the TDS client library implementations (CT-Lib, DB-Lib, and ODBC), stored in /etc/freetds/. Multi-Arch: foreign Homepage: http://www.freetds.org/ Tag: role::app-data Section: libs Priority: optional Filename: pool/main/f/freetds/freetds-common_0.91-2+deb7u1_all.deb Package: freetds-dev Source: freetds Version: 0.91-2+deb7u1 Architecture: armhf Maintainer: Steve Langasek Installed-Size: 1144 Depends: libct4 (= 0.91-2+deb7u1), libsybdb5 (= 0.91-2+deb7u1) Conflicts: crystalspace-dev Homepage: http://www.freetds.org/ Priority: optional Section: devel Filename: pool/main/f/freetds/freetds-dev_0.91-2+deb7u1_armhf.deb Size: 475860 SHA256: 5fc2a526a7085ecef25e2bbc90fa1a281077a0df617feb2f236f7a8b849908be SHA1: 35fbcc08f506430027740d2e9d7a335cd06cd8be MD5sum: 24a9d86f5d081fd3611e95d32c2d648e Description: MS SQL and Sybase client library (static libs and headers) FreeTDS is an implementation of the Tabular DataStream protocol, used for connecting to MS SQL and Sybase servers over TCP/IP. . This package includes the static libraries and header files for TDS, which you will need to develop applications that connect to MS SQL servers. You will also need this package if you are installing the Perl DBD::Sybase module on your system using CPAN. Package: freetennis Version: 0.4.8-9 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 424 Depends: liblablgl-ocaml-qo8y2, liblablgtk2-ocaml-yeug0, libsdl-ocaml-ciwj1, ocaml-base-3.12.1, ocaml-base-nox-3.12.1, freetennis-common (= 0.4.8-9) Homepage: http://freetennis.sourceforge.net/ Priority: optional Section: games Filename: pool/main/f/freetennis/freetennis_0.4.8-9_armhf.deb Size: 88664 SHA256: 1c0c9d15f057eceb4715e81c6357c890b5479b0c65661a46de717bd60f67992b SHA1: 4c1588052be29d06d5958c61c67b95f6664b9657 MD5sum: a7e6b9247100037f1c0328345d112e1d Description: Free Tennis - simulation game Free Tennis is a free software tennis simulation game. The game can be played against an A.I. or human-vs-human via LAN or internet. Package: freetennis-common Source: freetennis Version: 0.4.8-9 Installed-Size: 6772 Maintainer: Debian OCaml Maintainers Architecture: all Size: 6528968 SHA256: 40aee1d01128af50bebd1832efcf64ba056e8e0a4c9fddc666c6d26cabbd21b5 SHA1: d80183b2094eb420816abe761f48d9520172309e MD5sum: 83830d18da177e8bf3e426494003b28a Description: Free Tennis - simulation game Free Tennis is a free software tennis simulation game. The game can be played against an A.I. or human-vs-human via LAN or internet. . This package contains the architecture independent files. Homepage: http://freetennis.sourceforge.net/ Tag: game::arcade, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/f/freetennis/freetennis-common_0.4.8-9_all.deb Package: freetts Version: 1.2.2-3 Installed-Size: 13480 Maintainer: Bdale Garbee Architecture: all Depends: default-jre | java2-runtime Size: 9471214 SHA256: 59f6ab46c98699d7c8d57ee60ce390941e02158d52461809766f903b154373c9 SHA1: f3cab0a7567b0edec11964862e8e43dfd52c4e20 MD5sum: 70aa3bf067caa7680dcf079d1927df5e Description: speech synthesis system FreeTTS is a speech synthesis system written entirely in the Java(TM) programming language. It is based upon Flite, a small run-time speech synthesis engine developed at Carnegie Mellon University. Flite in turn is derived from the Festival Speech Synthesis System from the University of Edinburgh and the FestVox project from Carnegie Mellon University. Homepage: http://freetts.sourceforge.net Tag: accessibility::speech, implemented-in::java, role::program Section: java Priority: optional Filename: pool/main/f/freetts/freetts_1.2.2-3_all.deb Package: freetuxtv Version: 0.6.5~dfsg1-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 935 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcurl3 (>= 7.16.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libsqlite3-0 (>= 3.5.9), libvlc5 (>= 1.1.0), vlc Homepage: http://code.google.com/p/freetuxtv/ Priority: optional Section: video Filename: pool/main/f/freetuxtv/freetuxtv_0.6.5~dfsg1-1_armhf.deb Size: 255578 SHA256: defb0a091217b6360dccf4f9a2ffbdd62c4a9d69569b01a9f862b88264123f94 SHA1: 1db24ec8d43c2195ad605be8bf846616f7c43750 MD5sum: 442f03772c9b0ec38c66cfae5b15e80c Description: Internet television and radio player FreetuxTV is a video player based on LibVLC allowing you to watch and record TV on your PC. A large database (growing daily) of free WebTV/WebRadio/WebCam channels in more than 20 languages is accessible. You can also play streams from ISP television service if it provides this feature. Moreover, it is also possible to easily program your recording on each channel with differents transcoding formats. . The vlc package provides all multimedia codecs required by freetuxtv. Package: freetype2-demos Source: freetype Version: 2.4.9-1.1+deb7u7 Architecture: armhf Maintainer: Steve Langasek Installed-Size: 434 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.4.5), libx11-6, zlib1g (>= 1:1.1.4) Replaces: freetype-tools Homepage: http://www.freetype.org Priority: optional Section: utils Filename: pool/main/f/freetype/freetype2-demos_2.4.9-1.1+deb7u7_armhf.deb Size: 191518 SHA256: 37af50e288123175c35ae530624fef6f009ff9eef66c247bc61b0944b02dfe85 SHA1: 11878ba23d24790e601bf8fd28e14a29b96e0c8f MD5sum: 352c0a91738dde1c8ad33bbfa7e13b96 Description: FreeType 2 demonstration programs This package contains some demonstration programs and utilities which showcase the features of the FreeType 2 font engine. Package: freevial Version: 1.3-2 Installed-Size: 23670 Maintainer: Siegfried-Angel Gevatter Pujals Architecture: all Depends: python (>= 2.6.6-7~), python-pygame (>= 1.7), python-lxml, fonts-unfonts-core | ttf-unfonts-core | ttf-unfonts, ttf-freefont Size: 23343910 SHA256: 2a9d09aae6f6489315b71e25fa2c3e024a675db2d5e618587c50c5c3d1fcfe16 SHA1: 31423d4c48b3a88f3b90c0b9cc63375e26116435 MD5sum: e4bb0e9182943bee403c09a447060f5e Description: trivia platform for community events Freevial is a platform for trivia-like games, designed to be used on community events where ideally a projector and loudspeakers would be available. . It can be fully localized and themed and includes a customizable battery of questions (which are loaded from XML files) classified in ten different categories. . The game features amazing graphics, category selection in a gambling-machine like style, end screen, music and sounds, etc. . This package comes provisionally with a Catalan questions database. Homepage: https://launchpad.net/freevial Tag: game::puzzle, interface::x11, role::program, uitoolkit::sdl, use::entertaining, use::gameplaying, use::learning, use::playing, x11::application Section: games Priority: optional Filename: pool/main/f/freevial/freevial_1.3-2_all.deb Package: freevo Version: 1.9.2b2-4.2 Installed-Size: 5515 Maintainer: Freevo Debian Dream Team Architecture: all Replaces: freevo-doc (<< 1.9.2b2) Depends: debconf (>= 0.5) | debconf-2.0, python (>= 2.6.6-7~), python-freevo (= 1.9.2b2-4.2), freevo-data (= 1.9.2b2-4.2), mplayer, lsdvd, console-tools | kbd, debconf, adduser, xinit Recommends: cdparanoia, xine-ui, xmltv-util, libxmltv-perl Suggests: mencoder, libdvdcss2, lame, ttf-liberation | ttf-mscorefonts-installer, fbxine, flac, vorbis-tools, fbset, matrox-tools, nvram-wakeup, youtube-dl Breaks: freevo-doc (<< 1.9.2b2) Size: 1783434 SHA256: b89af643a2aa8b214030ada9d1b222b4961d35c74e9d73e9ad45fe534ff47127 SHA1: 28df3c5cfba59ba66a57eb591d01c0ab7ae78a30 MD5sum: 6a39c9267548ce2777de7589bfdfbfe7 Description: home theater framework - binaries Freevo is a complete home theater framework. It can operate as a Personal Video Recorder system for saving television input to disk, but can also browse and play pictures, music, games, and movies either from the hard disk or from CDs and DVDs. Freevo can be used to build a dedicated home theater system with a TV (plus remote), or can simply be run on a regular desktop computer with a monitor, mouse, and keyboard. . This package provides Freevo binaries and servers. Homepage: http://freevo.org/ Tag: implemented-in::python, interface::x11, role::program, use::playing, works-with::audio, works-with::video, x11::application Section: video Priority: optional Filename: pool/main/f/freevo/freevo_1.9.2b2-4.2_all.deb Package: freevo-data Source: freevo Version: 1.9.2b2-4.2 Installed-Size: 30110 Maintainer: Freevo Debian Dream Team Architecture: all Depends: ttf-dejavu, ttf-bitstream-vera Recommends: freevo (= 1.9.2b2-4.2) Conflicts: freevo-common (<< 1.8.0rc2), freevo-media Size: 23091484 SHA256: 3ff050f759b100628355e2daaaca189a96da1336b39a3c724b30b461fe31c40f SHA1: 4476fd206f5ae5c649b08a111299512d1af49baf MD5sum: 4b9defff512546fb6285615b8f285df9 Description: home theater framework - themes and data Freevo is a complete home theater framework. It can operate as a Personal Video Recorder system for saving television input to disk, but can also browse and play pictures, music, games, and movies either from the hard disk or from CDs and DVDs. Freevo can be used to build a dedicated home theater system with a TV (plus remote), or can simply be run on a regular desktop computer with a monitor, mouse, and keyboard. . This package contains themes, fonts, and other data for Freevo. Homepage: http://freevo.org/ Tag: made-of::font, role::app-data Section: video Priority: optional Filename: pool/main/f/freevo/freevo-data_1.9.2b2-4.2_all.deb Package: freevo-doc Source: freevo Version: 1.9.2b2-4.2 Installed-Size: 335 Maintainer: Freevo Debian Dream Team Architecture: all Recommends: freevo Size: 126898 SHA256: 8c6f98968badae7e26ca5eb81ad7e4fd6f1733912ce573a262bfbfc1e2f6c4de SHA1: 816512d2e18ac134ed7671c0dc8424e7a160c44d MD5sum: 1100e829aa70d9938c4305c1b680ad69 Description: home theater framework - documentation Freevo is a complete home theater framework. It can operate as a Personal Video Recorder system for saving television input to disk, but can also browse and play pictures, music, games, and movies either from the hard disk or from CDs and DVDs. Freevo can be used to build a dedicated home theater system with a TV (plus remote), or can simply be run on a regular desktop computer with a monitor, mouse, and keyboard. . This package contains documentation for Freevo in HTML. Homepage: http://freevo.org/ Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/f/freevo/freevo-doc_1.9.2b2-4.2_all.deb Package: freevo-lirc Source: freevo Version: 1.9.2b2-4.2 Installed-Size: 62 Maintainer: Freevo Debian Dream Team Architecture: all Depends: freevo (= 1.9.2b2-4.2), python-pylirc, lirc Size: 34702 SHA256: b0a8dc93dfde51941131f4bde6ee81b84cbf47d44648d3c7667e37ebe1d3ea32 SHA1: 5eab49616ba292be59517d34e7975ea8c51ddb2d MD5sum: d668e16b032959287cdc0cdaa5066778 Description: home theater framework - LIRC support Freevo is a complete home theater framework. It can operate as a Personal Video Recorder system for saving television input to disk, but can also browse and play pictures, music, games, and movies either from the hard disk or from CDs and DVDs. Freevo can be used to build a dedicated home theater system with a TV (plus remote), or can simply be run on a regular desktop computer with a monitor, mouse, and keyboard. . This package integrates Linux Infra-red Remote Control support for Freevo. Homepage: http://freevo.org/ Tag: hardware::input, role::plugin Section: video Priority: optional Filename: pool/main/f/freevo/freevo-lirc_1.9.2b2-4.2_all.deb Package: freewheeling Version: 0.6-1.1 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 848 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfluidsynth1, libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgnutls-openssl27, libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libogg0 (>= 1.0rc3), libsdl-gfx1.2-4 (>= 2.0.22), libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.3.0), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libx11-6, libxml2 (>= 2.7.4), jackd, ttf-dejavu, ttf-dustin Homepage: http://freewheeling.sourceforge.net Priority: optional Section: sound Filename: pool/main/f/freewheeling/freewheeling_0.6-1.1_armhf.deb Size: 292348 SHA256: aa1cea965774e4870383c7a00bef77c91be2cfb88535e0af9822d2a1ad3cc20b SHA1: 05dc54d06e3782efc30ff53604a7b054b7d4937d MD5sum: 84188bbf4eb448b8c12875b6e9a6c484 Description: live looping musical instrument FreeWheeling is a live looping instrument built for improvisation. Using a keyboard or a MIDI controller, it allows to build and control repetitive patterns from a live audio stream. . FreeWheeling uses the Jack Audio Connection Kit, Fluidsynth, and SDL. Package: freewnn-common Source: freewnn Version: 1.1.1~a021+cvs20100325-6 Installed-Size: 188 Maintainer: Keita Maehara Architecture: all Replaces: wnn-common Conflicts: freewnn-jserver (<< 1.1.0+1.1.1-a017-4), wnn-common Size: 45776 SHA256: 6806f97e91e0cfdc2a615c5218294c05b89cf2b6d8a9a60bc283bded29f10c36 SHA1: 775892268d1181fda312dace792d13f7c3591f29 MD5sum: 1d8a5ad5a814ff616a75fcf97340c157 Description: Files shared among the FreeWnn packages FreeWnn is a network-extensible Japanese/Chinese/Korean input system. It was jointly developed and released by the Software Research Group of Kyoto University Research Institute for Mathematical Science, OMRON Corporation and Astec, Inc. and now maintained by the FreeWnn Project. . This package contains files that are shared among the FreeWnn packages. Homepage: http://sourceforge.jp/projects/freewnn/ Tag: accessibility::input, culture::chinese, culture::japanese, culture::korean, culture::taiwanese, network::server, role::app-data Section: utils Priority: optional Filename: pool/main/f/freewnn/freewnn-common_1.1.1~a021+cvs20100325-6_all.deb Package: freewnn-cserver Source: freewnn Version: 1.1.1~a021+cvs20100325-6 Architecture: armhf Maintainer: Keita Maehara Installed-Size: 5098 Depends: freewnn-common, adduser (>= 3.34), libc6 (>= 2.4), libcwnn0, libtinfo5 Conflicts: cwnn Replaces: cwnn Homepage: http://sourceforge.jp/projects/freewnn/ Priority: optional Section: utils Filename: pool/main/f/freewnn/freewnn-cserver_1.1.1~a021+cvs20100325-6_armhf.deb Size: 1945870 SHA256: 673e9ab9a4b813fdf177a31aaf8b7beb03937770bf3e22eb6892ab3898052603 SHA1: a15459ec36ab51e02e90e1c392aa86dbabb34b73 MD5sum: 267ad59adfd4ced53c8b1073970bc5cc Description: Chinese input system FreeWnn cserver (cWnn) is an integrated Chinese input system running on Unix workstation. It supports a wide range of input methods, satisfying the needs of the Chinese users from all over the world, including P.R.China and Taiwan. FreeWnn cserver is capable of carrying out Hanzi conversion from an arbitrary Pinyin or Zhuyin sequence, hence improving the speed of Pinyin/Zhuyin input. Package: freewnn-jserver Source: freewnn Version: 1.1.1~a021+cvs20100325-6 Architecture: armhf Maintainer: Keita Maehara Installed-Size: 4364 Depends: freewnn-common, adduser (>= 3.34), libc6 (>= 2.4), libtinfo5, libwnn0 Conflicts: wnn Replaces: wnn Homepage: http://sourceforge.jp/projects/freewnn/ Priority: optional Section: utils Filename: pool/main/f/freewnn/freewnn-jserver_1.1.1~a021+cvs20100325-6_armhf.deb Size: 1512802 SHA256: 7513765a8865861d1d143d27f5273fbefa900899ab6ca527823c348ac3aca5de SHA1: 18a9b6e9fdf4b1b4d9e46cc0c3c36713d2da93a5 MD5sum: 51ea9892f9b89a771e6b9b5796ee8b3c Description: Japanese input system FreeWnn jserver (Wnn) is a network-extensible Kana-to-Kanji conversion system. It was jointly developed and released by the Software Research Group of Kyoto University Research Institute for Mathematical Science, OMRON Corporation and Astec, Inc. and now maintained by the FreeWnn Project. Package: freewnn-kserver Source: freewnn Version: 1.1.1~a021+cvs20100325-6 Architecture: armhf Maintainer: Keita Maehara Installed-Size: 2400 Depends: freewnn-common, adduser (>= 3.34), libc6 (>= 2.4), libkwnn0, libtinfo5 Conflicts: kwnn Replaces: kwnn Homepage: http://sourceforge.jp/projects/freewnn/ Priority: optional Section: utils Filename: pool/main/f/freewnn/freewnn-kserver_1.1.1~a021+cvs20100325-6_armhf.deb Size: 899820 SHA256: 5eb8f89bdb81f06040b102a26c0abda0eb5d5c4353d4f93ebdd3d9b3a923b23f SHA1: 83245da0a9c93c1a1d747ca7dbe9dc40199891ef MD5sum: 4bea0c342979197c6716927d6f7d67d5 Description: Korean input system FreeWnn kserver (kWnn) is an integrated Korean input system running on Unix workstation. It supports a wide range of input methods, satisfying the needs of the Korean users from all over the world. Package: frei0r-plugins Source: frei0r Version: 1.1.22git20091109-1.2 Architecture: armhf Maintainer: Luca Bigliardi Installed-Size: 4318 Depends: libc6 (>= 2.13-28), libgavl1 (>= 1.1.0), libgcc1 (>= 1:4.4.0), libopencv-calib3d2.3, libopencv-contrib2.3, libopencv-core2.3, libopencv-features2d2.3, libopencv-flann2.3, libopencv-highgui2.3, libopencv-imgproc2.3, libopencv-legacy2.3, libopencv-ml2.3, libopencv-objdetect2.3, libopencv-video2.3, libstdc++6 (>= 4.6) Conflicts: frei0r (<= 1.1.22) Replaces: frei0r Homepage: http://www.piksel.org/frei0r Priority: optional Section: video Filename: pool/main/f/frei0r/frei0r-plugins_1.1.22git20091109-1.2_armhf.deb Size: 324462 SHA256: 44b333aa22426b4585cdaa516e394397a2a5b78dc74a8a7d80f710bf328726ca SHA1: 14686903b198dd4321b99bd9210f303042f47b3b MD5sum: e78d70a3fdb1fcdec58d5c8a491d24ae Description: minimalistic plugin API for video effects, plugins collection frei0r is a minimalistic plugin API for video sources and filters. The behavior of the effects can be controlled from the host by simple parameters. The intent is to solve the recurring reimplementation or adaptation issue of standard effects. It is not meant as a generic API for all kinds of video applications. . frei0r plugins are used by several projects (e.g.: LiVES, Veejay, Open Movie Editor, FreeJ, Pure Data Visual Junk Tools, MLT framework). . This package contains a collection of plugins. Package: frei0r-plugins-dev Source: frei0r Version: 1.1.22git20091109-1.2 Architecture: armhf Maintainer: Luca Bigliardi Installed-Size: 57 Conflicts: frei0r-dev (<= 1.1.22) Replaces: frei0r-dev Homepage: http://www.piksel.org/frei0r Priority: optional Section: devel Filename: pool/main/f/frei0r/frei0r-plugins-dev_1.1.22git20091109-1.2_armhf.deb Size: 9388 SHA256: 7ca71fd1b2bceaa8bf99d7e0d36c6d5de03e97d8bb0279c78b573e4a9e5275b6 SHA1: 6b34de9bf987ec75ee5fc79a6a4121d5e1575f37 MD5sum: d87afadf98ba2122ca8ecc5663f60c99 Description: minimalistic plugin API for video effects, header files frei0r is a minimalistic plugin API for video sources and filters. The behavior of the effects can be controlled from the host by simple parameters. The intent is to solve the recurring reimplementation or adaptation issue of standard effects. It is not meant as a generic API for all kinds of video applications. . frei0r plugins are used by several projects (e.g.: LiVES, Veejay, Open Movie Editor, FreeJ, Pure Data Visual Junk Tools, MLT framework). . This package contains the header needed to enable frei0r in other package at build time. Package: frei0r-plugins-doc Source: frei0r Version: 1.1.22git20091109-1.2 Installed-Size: 195 Maintainer: Luca Bigliardi Architecture: all Replaces: frei0r-doc Conflicts: frei0r-doc (<= 1.1.22) Size: 30278 SHA256: 100f79e192c3c75f5a15ca723a167223d3785a5c45ffb52edb0cda46a680f778 SHA1: 152e164b5d757e7367b4a98c2d79e1cba8c6b3c5 MD5sum: c527f8f60460bbf4dccf262b09500b5b Description: minimalistic plugin API for video effects, API documentation frei0r is a minimalistic plugin API for video sources and filters. The behavior of the effects can be controlled from the host by simple parameters. The intent is to solve the recurring reimplementation or adaptation issue of standard effects. It is not meant as a generic API for all kinds of video applications. . frei0r plugins are used by several projects (e.g.: LiVES, Veejay, Open Movie Editor, FreeJ, Pure Data Visual Junk Tools, MLT framework). . This package contains the API documentation. Homepage: http://www.piksel.org/frei0r Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/f/frei0r/frei0r-plugins-doc_1.1.22git20091109-1.2_all.deb Package: freqtweak Version: 0.7.2-4 Architecture: armhf Maintainer: Bhavani Shankar Installed-Size: 711 Depends: libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libsigc++-1.2-5c2, libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libxml2 (>= 2.7.4), jackd Homepage: http://freqtweak.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/f/freqtweak/freqtweak_0.7.2-4_armhf.deb Size: 311440 SHA256: 68abeed03037e03c9dcbcf48d0052243eb5aa6a600329ec2d7c3005328428ff4 SHA1: dbd277ee01f9d1a104eb3b840b62a53d793bf36a MD5sum: b6be197cccbf6de36cdde5362f0a54f4 Description: Realtime audio frequency spectral manipulation FreqTweak is a tool for realtime audio spectral manipulation and display. It provides several algorithms for processing audio data in the frequency domain and a highly interactive GUI to manipulate the associated filters for each. It also provides high resolution spectral displays. Package: frescobaldi Version: 2.0.5+ds1-1 Installed-Size: 4451 Maintainer: Ryan Kavanagh Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), lilypond, python-poppler-qt4, python-qt4, python-pypm, tango-icon-theme Suggests: lilypond-doc, hyphen-hyphenation-patterns Size: 1328614 SHA256: 70faf0afeb2f1b8e128124a0ef495daaae7d32c3bac552962a1fcd213044e30c SHA1: c6cbd432ad1a7edb4f624967906b88e6d78d0fe6 MD5sum: a39e41bea46dcd05448d2ef762a75c40 Description: Qt4 LilyPond sheet music editor Frescobaldi is a LilyPond sheet music editor, with the following features: * Enter LilyPond scores, then build and preview them with a mouseclick * Powerful text editor with syntax highlighting and automatic completion * Point-and-click support: click on notes or on error messages to jump to the corresponding position in the LilyPond file * Midi player to proof-listen LilyPond-generated MIDI files * Powerful Score Wizard to quickly setup a music score * Snippet Manager to store and apply text snippets, templates or scripts * Use multiple versions of LilyPond, automatically selects the correct version * Built-in LilyPond documentation browser and built-in Frescobaldi User Guide * Translated into Dutch, English, French, German, Italian, Czech, Russian, Spanish, Galician, Turkish and Polish Frescobaldi also includes the following music functions: * Transpose music * Change music from relative to absolute and vice versa * Change the language used for note names * Change the rhythm (double, halve, add/remove dots, copy, paste) etc * Hyphenate lyrics using word-processor hyphenation dictionaries * Add spanners, dynamics, articulation easily using the Quick Insert panel * Update LilyPond syntax using convert-ly, with display of differences . In order to use lyric hyphenation, please install your languages' appropriate hyphenation packages, e.g. hyphen-fr for French, hyphen-ca for Catalan, etc. . Frescobaldi is the Qt4 successor of LilyKDE, a Kate plugin for KDE3. Homepage: http://www.frescobaldi.org/ Tag: implemented-in::python, interface::x11, role::program, suite::kde, uitoolkit::qt, use::editing, works-with::music-notation, x11::application Section: editors Priority: optional Filename: pool/main/f/frescobaldi/frescobaldi_2.0.5+ds1-1_all.deb Package: fretsonfire Version: 1.3.110.dfsg-3 Installed-Size: 31 Maintainer: Debian Games Team Architecture: all Depends: fretsonfire-game, fretsonfire-songs-sectoid, fretsonfire-songs-muldjord Size: 6370 SHA256: ec1f3d7fafdeae99aafdfbd7a3a128fecffb5450723ef32c2ba9cb70fb8a0eb9 SHA1: 1f277bb4f8f4941ff81b64a215e327e37ea43251 MD5sum: 905bf51fc1a30be6f34a550f5cae3474 Description: game of musical skill and fast fingers A game of musical skill and fast fingers. The aim of the game is to play guitar with the keyboard as accurately as possible. . Players must press buttons to keep in time with the rhythm of the music, shown by markers on screen. An official online high score list allows players to show off their skills to competitors around the globe. . The game comes with an easy to understand tutorial to get players started, and a song editor lets players edit and compose songs for use in the game. Homepage: http://fretsonfire.sourceforge.net/ Tag: field::arts, game::arcade, hardware::opengl, role::dummy, role::metapackage, use::gameplaying, works-with::music-notation Section: games Priority: optional Filename: pool/main/f/fretsonfire/fretsonfire_1.3.110.dfsg-3_all.deb Package: fretsonfire-game Source: fretsonfire Version: 1.3.110.dfsg-3 Installed-Size: 8038 Maintainer: Debian Games Team Architecture: all Depends: python, python-pygame, python-opengl, python-imaging, python-ogg, python-pyvorbis, ttf-dejavu, fonts-mgopen Recommends: fretsonfire-songs-sectoid, fretsonfire-songs-muldjord Suggests: vorbis-tools Size: 5644596 SHA256: 712c255a24c77d5ddc1b1836b2a35a3df55b8124da01cc9682ee073e773bb629 SHA1: f6106852cd425929a6458442ffddf9150723aef0 MD5sum: 9623e408dd0b40e530726e1d6741f0e3 Description: game of musical skill and fast fingers - Game files A game of musical skill and fast fingers. The aim of the game is to play guitar with the keyboard as accurately as possible. . This is the package containing the game executable code. You will need working sound and a 3D capable graphics card. Homepage: http://fretsonfire.sourceforge.net/ Tag: field::arts, game::arcade, hardware::opengl, implemented-in::python, interface::x11, role::program, uitoolkit::sdl, use::gameplaying, works-with::music-notation, x11::application Section: games Priority: optional Filename: pool/main/f/fretsonfire/fretsonfire-game_1.3.110.dfsg-3_all.deb Package: fretsonfire-songs-muldjord Version: 2.dfsg-1 Installed-Size: 29108 Maintainer: Debian Games Team Architecture: all Depends: fretsonfire-game Size: 29426576 SHA256: b0f4f98df577e53bcfe6195c8cc02c8c5bd76a8331f6cb06ccefdbb28546c31e SHA1: 09dbf0f1a04be8a5623bc2035d70c11b70ea5931 MD5sum: d23b821a80f6402a4fcecfe15fd1929d Description: game of musical skill and fast fingers - Songs Package Frets on Fire is a game of musical skill and fast fingers. The aim of the game is to play guitar with the keyboard as accurately as possible. . This is a data package of songs written by Muldjord Tag: role::app-data Section: games Priority: optional Filename: pool/main/f/fretsonfire-songs-muldjord/fretsonfire-songs-muldjord_2.dfsg-1_all.deb Package: fretsonfire-songs-sectoid Version: 1.dfsg-2 Installed-Size: 29760 Maintainer: Debian Games Team Architecture: all Depends: fretsonfire-game Size: 29631240 SHA256: 99b73e9f16d24ff7620bdf329550a08abd99cdc55d0989f88d5fb3bd5bdd0030 SHA1: 2b284821babe876f50ef96ea8dae8ea97898ee2e MD5sum: b38eaafb22422096bbbaaaabaf010dad Description: game of musical skill and fast fingers - Songs Package Frets on Fire is a game of musical skill and fast fingers. The aim of the game is to play guitar with the keyboard as accurately as possible. . This is a data package of songs written by Sectoid Tag: role::app-data Section: games Priority: optional Filename: pool/main/f/fretsonfire-songs-sectoid/fretsonfire-songs-sectoid_1.dfsg-2_all.deb Package: fritzing Version: 0.6.3b+dfsg-3.1 Architecture: armhf Maintainer: Enrique Hernández Bello Installed-Size: 4764 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4), fritzing-data (= 0.6.3b+dfsg-3.1), libqt4-dbus, libqt4-help, libqt4-opengl, libqt4-webkit, libqt4-xmlpatterns Homepage: http://fritzing.org Priority: optional Section: electronics Filename: pool/main/f/fritzing/fritzing_0.6.3b+dfsg-3.1_armhf.deb Size: 2230930 SHA256: 6e8e8277c194931d3c12b1bd65054f92fe5a0ca4514324ab6498ae94bf3c815e SHA1: e558c627e77e642a9252fa69af616177f0eb211f MD5sum: 39df3b8b64faa16ec890187743ddad1e Description: Easy-to-use electronic design software Fritzing is an open source project designed to help one transition from a prototype to a finished project. Aimed at users who want to produce or document circuits and experiments, one starts by building a physical prototype, then recreating it with Fritzing’s graphical editor. From there one can generate a schematic, PCB artwork, and PCB production files. Package: fritzing-data Source: fritzing Version: 0.6.3b+dfsg-3.1 Installed-Size: 49388 Maintainer: Enrique Hernández Bello Architecture: all Size: 5221386 SHA256: d45ff93f53c2411cf1b5df00bfbc63169b8da2a9218a823564e9ab9665174d3f SHA1: 685040b5bcabc5defcfff9d5b3ce7a54ce37484e MD5sum: 3e04e78f02ff26d66f2dd7e76d17e4cf Description: Easy-to-use electronic design software (data files) Fritzing is an open source project designed to help one transition from a prototype to a finished project. Aimed at users who want to produce or document circuits and experiments, one starts by building a physical prototype, then recreating it with Fritzing’s graphical editor. From there one can generate a schematic, PCB artwork, and PCB production files. . This package contains the architecture independent data files for Fritzing. Homepage: http://fritzing.org Tag: role::app-data Section: electronics Priority: optional Filename: pool/main/f/fritzing/fritzing-data_0.6.3b+dfsg-3.1_all.deb Package: frog Version: 0.12.15-3 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 469 Depends: libc6 (>= 2.13-28), libfolia1, libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libicu48 (>= 4.8-1), libmbt0, libpython2.7 (>= 2.7), libstdc++6 (>= 4.4.0), libtimbl3, libtimblserver2, libucto1, python (>= 2.5), python-support (>= 0.90.0), ucto Homepage: http://ilk.uvt.nl/frog/ Priority: extra Section: science Filename: pool/main/f/frog/frog_0.12.15-3_armhf.deb Size: 190578 SHA256: 3ff0b849632eb434efe6ff5ea4f559b1548666e1a7b63cca62e61b069169740c SHA1: 0b16d641166b21f01f435737a132b924d47034aa MD5sum: 1670cd5dbf7c0ec7b674a8ad34e108c6 Description: tagger and parser for Dutch language Memory-Based Learning (MBL) is a machine-learning method applicable to a wide range of tasks in Natural Language Processing (NLP). . Frog is a modular system integrating a morphosyntactic tagger, lemmatizer, morphological analyzer, and dependency parser for the Dutch language. It is based upon it's predecessor TADPOLE (TAgger, Dependency Parser, and mOrphoLogical analyzEr). Using Memory-Based Learning techniques, Tadpole tokenizes, tags, lemmatizes, and morphologically segments word tokens in incoming Dutch UTF-8 text files, and assigns a dependency graph to each sentence. Tadpole is particularly targeted at the increasing need for fast, automatic NLP systems applicable to very large (multi-million to billion word) document collections that are becoming available due to the progressive digitization of both new and old textual data. . NB: Frog can be considered alpha software, and is in a fair state of flux. . Frog is a product of the ILK Research Group (Tilburg University, The Netherlands) and the CLiPS Research Centre (University of Antwerp, Belgium). . If you do scientific research in NLP, Frog will likely be of use to you. Package: frogdata Version: 0.3-2 Installed-Size: 100539 Maintainer: Debian Science Team Architecture: all Replaces: frog (<< 0.12.15) Size: 19860696 SHA256: 465cdf22840763b5b1fd3c77939d6716fc44d42ed06a0a4b9d929a7dcc9c08a4 SHA1: 51668fea12622b0dccfacf7d68afc9aa27798585 MD5sum: 13c31f44e9c662ff90c5cfb257a05cbd Description: Data files for Frog Frog is a modular system integrating a morphosyntactic tagger, lemmatizer, morphological analyzer, and dependency parser for the Dutch language. . This package provided necessary datafiles for running Frog. . Frog is a product of the ILK Research Group (Tilburg University, The Netherlands) and the CLiPS Research Centre (University of Antwerp, Belgium). Homepage: http://ilk.uvt.nl/frog/ Section: science Priority: extra Filename: pool/main/f/frogdata/frogdata_0.3-2_all.deb Package: frogr Version: 0.7-2+deb7u1 Architecture: armhf Maintainer: Alberto Garcia Installed-Size: 315 Depends: frogr-data (= 0.7-2+deb7u1), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libexif12, libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libsoup-gnome2.4-1 (>= 2.27.4), libsoup2.4-1 (>= 2.26.1), libxml2 (>= 2.7.4) Recommends: yelp Homepage: https://wiki.gnome.org/Apps/Frogr Priority: optional Section: graphics Filename: pool/main/f/frogr/frogr_0.7-2+deb7u1_armhf.deb Size: 209884 SHA256: 66a7232f4d4d4b2e64592669310126b36cc1b1fb3b91f3c3b672e1b206d5ce94 SHA1: 696778b448ce8bd5004f353c6b27157965211dd7 MD5sum: bc36608f3dfa3fe61dae844b6877520e Description: Flickr Remote Organizer for GNOME Frogr is a small application for the GNOME desktop that allows users to manage their accounts in the Flickr image hosting website. It supports all the basic tasks, including uploading pictures, adding descriptions, setting tags and managing sets. Package: frogr-data Source: frogr Version: 0.7-2+deb7u1 Installed-Size: 3075 Maintainer: Alberto Garcia Architecture: all Replaces: frogr (<< 0.7) Recommends: frogr Breaks: frogr (<< 0.7) Size: 2508648 SHA256: 66a4950fbfec90ad681afc9e65eabf96d5c02fdf7d320892e7760d3048033f01 SHA1: 618523ae2bab0e91cb3ae0a6abd73a931f91f654 MD5sum: 5f5cb55c422b629962abc845429c8604 Description: Flickr Remote Organizer for GNOME - data files Frogr is a small application for the GNOME desktop that allows users to manage their accounts in the Flickr image hosting website. It supports all the basic tasks, including uploading pictures, adding descriptions, setting tags and managing sets. . This package contains the architecture-independent data files. Homepage: https://wiki.gnome.org/Apps/Frogr Section: graphics Priority: optional Filename: pool/main/f/frogr/frogr-data_0.7-2+deb7u1_all.deb Package: frontaccounting Version: 2.2.10-3.1 Installed-Size: 4284 Maintainer: Michael C. Schultheiss Architecture: all Depends: apache2 | httpd, libapache2-mod-php5 | php5-cgi | php5, mysql-client, php5-mysql | php5-mysqli, debconf (>= 0.5) | debconf-2.0, ucf (>= 0.28), ttf-bitstream-vera, wwwconfig-common (>= 0.0.37) Suggests: mysql-server Size: 971802 SHA256: 997969604e790b21f8457d9c1d04155b84be539b90924a1426e7da43735ad108 SHA1: fb48c1d4eaaf2cf60095632a920ff2ca31841984 MD5sum: dc015a0549dc08b891a1c9fcc958e842 Description: web-based double-entry accounting and ERP program This package provides a simple but powerful system for the entire Enterprise Resource Planning (ERP) chain, covering: . * Purchase orders; * Goods receivable notes; * Supplier invoices/Credit notes; * Payments; * Allocations; * Accounts payable; * Items and inventory; * Stock; * Manufacturing; * Sales orders; * Customer invoices/Credit notes; * Deposits; * Allocations; * Accounts receivable; * Dimensions; * General ledger with budget; * Languages; * Currencies; * Several companies. Homepage: http://frontaccounting.com Section: web Priority: optional Filename: pool/main/f/frontaccounting/frontaccounting_2.2.10-3.1_all.deb Package: frotz Version: 2.43-4 Architecture: armhf Maintainer: Robert Lemmen Installed-Size: 148 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Conflicts: zcode-support Replaces: zcode-support Provides: zcode-interpreter Homepage: http://frotz.sourceforge.net/ Priority: optional Section: games Filename: pool/main/f/frotz/frotz_2.43-4_armhf.deb Size: 68920 SHA256: f38224419a941ef0bf24d3a6c22d4a4c10caccb022e1474a20862f4cff4a26ae SHA1: 44bb444929e5c37955937b1579634488668856aa MD5sum: 45bdf64d992d778e121ffb3674d8f289 Description: interpreter of Z-code story-files Frotz interprets Z-code story-files, which are usually text adventure games (although a few arcade-style Z-code games have been written). Examples of such story files include the adventure games published by Infocom, as well as any games produced by compilers to this format, such as Inform. You can find a number of Inform-compiled games up for anonymous FTP at ftp://ftp.ifarchive.org/ or HTTP at http://www.ifarchive.org/ . Frotz complies with the Z Machine specification version 1.0. Package: frown Version: 0.6.1-13 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 10265 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Recommends: frown-doc (= 0.6.1-13) Priority: optional Section: devel Filename: pool/main/f/frown/frown_0.6.1-13_armhf.deb Size: 1752108 SHA256: e5a2b22deb70e162cc55d81ee294d58b810faf08b9029571a62198880795728d SHA1: 33a5b218944c2f403fa2534cef5587a562df7444 MD5sum: 227c9ab9a990416e9a5eb47d7eabf669 Description: LALR(k) parser generator for Haskell 98 Frown is inspired by the parser generator Happy and uses a syntax quite simular as the syntax used by Happy. Happy only handles LALR(1) grammars while Frown can use more extensive LALR(k) grammars and the parsers generated by Frown are also faster than the parsers generated by Happy. . The salient features of Frown are: - The generated parsers are time and space efficient. On the downside, the parsers are quite large. - Frown generates four different types of parsers. As a common characteristic, the parsers are genuinely functional (ie 'table-free'); the states of the underlying LR automaton are encoded as mutually recursive functions. Three output formats use a typed stack representation, one format due to Ross Paterson (code=stackless) works even without a stack. - Encoding states as functions means that each state can be treated individually as opposed to a table driven-approach, which necessitates a uniform treatment of states. For instance, look-ahead is only used when necessary to resolve conflicts. - Frown comes with debugging and tracing facilities; the standard output format due to Doaitse Swierstra (code=standard) may be useful for teaching LR parsing. - Common grammatical patterns such as repetition of symbols can be captured using rule schemata. There are several predefined rule schemata. - Terminal symbols are arbitrary variable-free Haskell patterns or guards. Both terminal and nonterminal symbols may have an arbitrary number of synthesized attributes. - Frown comes with extensive documentation; several example grammars are included. . Furthermore, Frown supports the use of monadic lexers, monadic semantic actions, precedences and associativity, the generation of backtracking parsers, multiple start symbols, error reporting and a weak form of error correction. Package: frown-doc Source: frown Version: 0.6.1-13 Installed-Size: 1148 Maintainer: Debian Haskell Group Architecture: all Suggests: doc-base Size: 572416 SHA256: 3ef10a27845d61719e70ddd031ab47806119152db26bbb58c2248ce69228f481 SHA1: 898a35cd3e6662d531ac212f857d3c6739c1e411 MD5sum: 2b327017db7a799df1e1e80330522efa Description: Manual of the frown parser generator for Haskell 98 The manual and examples of the frown LALR(k) parser generator. , Frown is inspired by the parser generator Happy and uses a syntax quite simular as the syntax used by Happy. Happy only handles LALR(1) grammars while Frown can use more extensive LALR(k) grammars and the parsers generated by Frown are also faster than the parsers generated by Happy. . The salient features of Frown are: - The generated parsers are time and space efficient. On the downside, the parsers are quite large. - Frown generates four different types of parsers. As a common characteristic, the parsers are genuinely functional (ie 'table-free'); the states of the underlying LR automaton are encoded as mutually recursive functions. Three output formats use a typed stack representation, one format due to Ross Paterson (code=stackless) works even without a stack. - Encoding states as functions means that each state can be treated individually as opposed to a table driven-approach, which necessitates a uniform treatment of states. For instance, look-ahead is only used when necessary to resolve conflicts. - Frown comes with debugging and tracing facilities; the standard output format due to Doaitse Swierstra (code=standard) may be useful for teaching LR parsing. - Common grammatical patterns such as repetition of symbols can be captured using rule schemata. There are several predefined rule schemata. - Terminal symbols are arbitrary variable-free Haskell patterns or guards. Both terminal and nonterminal symbols may have an arbitrary number of synthesized attributes. - Frown comes with extensive documentation; several example grammars are included. . Furthermore, Frown supports the use of monadic lexers, monadic semantic actions, precedences and associativity, the generation of backtracking parsers, multiple start symbols, error reporting and a weak form of error correction. Tag: devel::examples, devel::lang:haskell, made-of::html, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/f/frown/frown-doc_0.6.1-13_all.deb Package: frozen-bubble Version: 2.212-3+rpi1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 706 Depends: perl (>= 5.14.2-12+rpi1), perlapi-5.14.2, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libsdl-mixer1.2, libsdl-pango1, libsdl1.2debian (>= 1.2.11), frozen-bubble-data (= 2.212-3+rpi1), libalien-sdl-perl (>= 1.430-4), libcompress-bzip2-perl, liblocale-gettext-perl, libsdl-perl (>= 2.511) Replaces: frozen-bubble-lib Homepage: http://www.frozen-bubble.org/ Priority: extra Section: games Filename: pool/main/f/frozen-bubble/frozen-bubble_2.212-3+rpi1_armhf.deb Size: 193092 SHA256: d5affc0c66972434a864d777368d26403efdd8ddc1bc7adea04518326cf1cfda SHA1: aa0e8ea148bccad777452a32bb546c8486bd786c MD5sum: f512d42f94cde2579ec80c49c0a9c409 Description: cool game where you pop out the bubbles! Frozen-Bubble is a clone of the popular "Puzzle Bobble" game, in which you attempt to shoot bubbles into groups of the same color to cause them to pop. It features 100 single-player levels, a two-player mode, music and striking graphics. . This game is widely rumored to be responsible for delaying the Woody release. Package: frozen-bubble-data Source: frozen-bubble Version: 2.212-3+rpi1 Architecture: all Maintainer: Debian Perl Group Installed-Size: 23022 Recommends: frozen-bubble Homepage: http://www.frozen-bubble.org/ Priority: extra Section: games Filename: pool/main/f/frozen-bubble/frozen-bubble-data_2.212-3+rpi1_all.deb Size: 22351100 SHA256: bdd56473ab6e50a06086e76c54a66e3573cc89908d28eee5f091ec011254dc06 SHA1: 7dc76c95e2a6cd15f492dac53dc8946ab24e626c MD5sum: 1163b8fd5b66d43a9a03de30531dd747 Description: Data files for Frozen-Bubble game Frozen-Bubble is a clone of the popular "Puzzle Bobble" game, featuring 100 single-player levels and a two-player mode. . This package contains the sound files, graphics and levels for Frozen-Bubble. Package: fruit Version: 2.1.dfsg-6 Architecture: armhf Maintainer: Oliver Korff Installed-Size: 645 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Suggests: scid, xboard Homepage: http://wbec-ridderkerk.nl/html/details1/Fruit.html Priority: optional Section: games Filename: pool/main/f/fruit/fruit_2.1.dfsg-6_armhf.deb Size: 391206 SHA256: 7ce643be730562accb2f76961794613ace4f43c14ef78c5b7cf53df9e787bb05 SHA1: 5a46687f3f4c5ecd155c028d69e1bdbf259498ac MD5sum: 1170a53c7f308ea5e6e8b0feb5280d14 Description: chess engine, to calculate chess moves This is a very strong chess engine, placed second in the 13th world computer chess championship 2005. It uses the UCI (universal chess interface), for chess engines as communication protocol. This means to play against it, you will have to use an UCI capable interface, like knights. This distribution comes with an opening book of moves that the engine can use for the first few moves of the game. This increases performance in the chess games opening. Package: fs2ram Version: 0.3.10 Installed-Size: 172 Maintainer: Philippe Le Brouster Architecture: all Size: 9708 SHA256: 6da601d8550d59d26dad8aef976c09b7fdc788b63306d4b9bf216069f27f058d SHA1: 058ab37084c38e551e7b41f204b958bc7458f07c MD5sum: a44806279e9cc1b293497c99ccc8b3ff Description: manage post-mount/pre-unmount scripts for tmpfs across reboot fs2ram manages temporary filesystems across reboots. It is possible to associate a pre-unmount script to a given mountpoint. At each shutdown/reboot, fs2ram executes the pre-unmount script and then unmount the corresponding filesystem. Every pre-unmount script must print a post-mount script on the standard output. The post-mount script is saved and run at boot time after the corresponding filesystem is mounted by fs2ram. . This package provides two pre-unmount scripts aimed at preserving folder structure and file permissions across reboots; this needed e.g. for /var/cache or /var/log. Section: admin Priority: optional Filename: pool/main/f/fs2ram/fs2ram_0.3.10_all.deb Package: fsarchiver Version: 0.6.15-1 Architecture: armhf Maintainer: Michael Biebl Installed-Size: 267 Depends: e2fslibs (>= 1.42.2), libblkid1 (>= 2.16), libbz2-1.0, libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgpg-error0 (>= 1.10), liblzma5 (>= 5.1.1alpha+20110809), liblzo2-2, libuuid1 (>= 2.16), zlib1g (>= 1:1.1.4) Suggests: xfsprogs, reiserfsprogs, reiser4progs, jfsutils, ntfsprogs, ntfs-3g, btrfs-tools Homepage: http://www.fsarchiver.org/ Priority: optional Section: admin Filename: pool/main/f/fsarchiver/fsarchiver_0.6.15-1_armhf.deb Size: 111776 SHA256: 9d339829d8c4aa4d64e8a7fca687071d4cd99ea366d9e3010430244537d6cae3 SHA1: 4e152f803e60db60869b9a79fc3eb88dbcca6553 MD5sum: 860722e5ae39f834da7d83cafee1c4ea Description: file system archiver FSArchiver is a system tool that allows you to save the contents of a file system to a compressed archive file. The file system can be restored on a partition which has a different size and it can be restored on a different file system. Unlike tar/dar, FSArchiver also creates the file system when it extracts the data to partitions. Everything is checksummed in the archive in order to protect the data. If the archive is corrupt, you just lose the current file, not the whole archive. . It's still under heavy development so it should not be used for critical data. Package: fsgateway Version: 0.1.1-3 Architecture: armhf Maintainer: Debian CLI Applications Packaging Team Installed-Size: 167 Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libglib2.0-0 (>= 2.12.0), mono-runtime (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-npgsql4.0-cil (>= 1.0), libmono-posix4.0-cil (>= 2.10.1), libmono-sqlite4.0-cil (>= 2.10.7), libmono-system-data4.0-cil (>= 2.10.1), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), libmysql6.4-cil (>= 6.4.3) Homepage: http://code.google.com/p/fsgateway/ Priority: optional Section: utils Filename: pool/main/f/fsgateway/fsgateway_0.1.1-3_armhf.deb Size: 47088 SHA256: f9743f5b577ad10dd675a4b04e227d08ea0650c9f2f1ec58bd411a5eed9f7b48 SHA1: b79934357c73c0876f4995c9b0b6c4ee78a2e879 MD5sum: 691120f2d53c62dd4a84804b3787abcd Description: FUSE module to browse database's metadata, sugar datastores and xml files FsGateway allows you to use your preferred directory navigation tool to inspect a database's metadata, sugar datastores and xml files. . It allows you to compare two database structures in an easy way using the diff tool, or to find where a field is defined using the grep tool. . At the moment it supports the following mode of operation: . tagfs: Rewrites a directory tree using directory names as tags . xmlfs: Map the structure of an xml file as a directory tree. It supports elements, attributes and texts. The resulting path of a node is similar to its XPath path. . sugar datastore: Access a sugar datastore as a normal filesystem. . databases: Access a database's metadata as a directory tree. Drivers are available for PostgreSQL, MySql, Sqlite and SqlServer Package: fslint Version: 2.42-2 Installed-Size: 496 Maintainer: Pádraig Brady Architecture: all Depends: python (>= 2.3), python-gtk2 (>= 2.4), python-glade2, findutils (>= 4.1.1) Size: 128640 SHA256: 00d8075cc3deafe0236e4115874fe4b2446d040a6008ce9c494fea7cbddb3455 SHA1: 9a4beaa52637e57aa4279587f8281aa02f5a7804 MD5sum: 0c8d33e5f6a23b8a605a12dc40228fe4 Description: Utility collection to find and fix common errors in file storage FSlint is a toolkit to clean filesystem lint. It includes a GTK+ GUI as well as a command line interface and can be used to reclaim disk space. It has an interface for uninstalling packages, and it can find things like: . - Duplicate files - Problematic filenames - Temporary files - Bad symlinks - Empty directories - Nonstripped binaries Homepage: http://www.pixelbeat.org/fslint/ Tag: implemented-in::python, interface::commandline, interface::x11, role::program, scope::utility, uitoolkit::gtk, use::checking, works-with::file, x11::application Section: admin Priority: optional Filename: pool/main/f/fslint/fslint_2.42-2_all.deb Package: fsmark Version: 3.3-1 Architecture: armhf Maintainer: Martin Steigerwald Installed-Size: 77 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://sourceforge.net/projects/fsmark/ Priority: optional Section: utils Filename: pool/main/f/fsmark/fsmark_3.3-1_armhf.deb Size: 20254 SHA256: 23ad309f3489c2115fdca44a0f746bf483fa776e39a3f5c11798676eda12e2a5 SHA1: c224ccd51ac10a7a3c1f0a7d1bdf3f0a843d2539 MD5sum: d4660a2e49c4be9cd2cfb5175b64b95a Description: benchmark for simulating synchronous write workloads The fs_mark benchmark tests synchronous write workloads. It can vary the number of files, directory depth, etc. It has detailed timings for reads, writes, unlinks and fsyncs that make it good for simulating mail servers and other setups. Package: fso-datad Version: 0.11.0-1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 173 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfso-glib1 (>= 2012.02.16.1), libfsobasics0 (>= 0.9.99+git20110804), libfsoframework0 (>= 0.8.2+git20110805), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libxml2 (>= 2.7.4), fso-deviced, mobile-broadband-provider-info Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-datad/fso-datad_0.11.0-1_armhf.deb Size: 37920 SHA256: 497b241191a7c5888893f70524ff61a9f987e2ace55f8a953b1a72e93f98165f SHA1: 55b5959c4ac13992892d64bd2614395563353558 MD5sum: 2bffc25c1b663c034414885522f69442 Description: freesmartphone data daemon fsodeviced implements the freesmartphone.org Data API. . This API allows querying constant data about the world, such as geographic timezones, mobile broadband network providers, etc. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. Package: fso-datad-dbg Source: fso-datad Version: 0.11.0-1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 111 Depends: fso-datad (= 0.11.0-1) Homepage: http://freesmartphone.org/ Priority: extra Section: debug Filename: pool/main/f/fso-datad/fso-datad-dbg_0.11.0-1_armhf.deb Size: 50926 SHA256: ce2c9f44ff39865df583a6f94b8ab1ea776b70f11eca54a6a00dc600ed93d012 SHA1: db4e2c4bfcb77225b78e4f893c4c5f12c6f97097 MD5sum: 75d5cb1979da80ec83e3b25007e7d549 Description: freesmartphone data daemon (debug symbols) fso-datad is part of the freesmartphone.org software stack and provides world data services, such as country codes and timezones. . This package provides the debug symbols for fso-datad. Package: fso-deviced Source: fso-deviced (0.11.4-1) Version: 0.11.4-1+b1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 559 Pre-Depends: multiarch-support Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfso-glib1 (>= 2012.02.16.1), libfsobasics0 (>= 0.9.99+git20110804), libfsoframework0 (>= 0.8.2+git20110805), libfsoresource0 (>= 0.9.0+git20110805), libfsosystem0 (>= 0.1.0~git20120214), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), dbus Recommends: fso-deviced-platform Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-deviced/fso-deviced_0.11.4-1+b1_armhf.deb Size: 171630 SHA256: fb42864c6875590f7c078dfff4b743dafd44552aa3244ff70342fb1695e846f8 SHA1: d4934db062af545f32595f86140e6b6b4635fda2 MD5sum: ceff7587e655edcb2b79313ea5ae258f Description: freesmartphone.org device daemon fsodeviced implements the freesmartphone.org Device API. . This API allows peripheral control, such as managing audio, backlight brightness, LEDs, Vibrator, Accelerometer, and power control for devices without dedicated controlling daemon. It can deal with charging notification and RTC, forwarding button events and notifying about the system's idleness status. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. Package: fso-deviced-dbg Source: fso-deviced (0.11.4-1) Version: 0.11.4-1+b1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 1050 Depends: fso-deviced (= 0.11.4-1+b1), fso-deviced-player-gstreamer (= 0.11.4-1+b1), fso-deviced-player-canberra (= 0.11.4-1+b1), fso-deviced-n900 (= 0.11.4-1+b1), fso-deviced-palmpre (= 0.11.4-1+b1), fso-deviced-htc (= 0.11.4-1+b1) Homepage: http://freesmartphone.org/ Priority: extra Section: debug Filename: pool/main/f/fso-deviced/fso-deviced-dbg_0.11.4-1+b1_armhf.deb Size: 646022 SHA256: fb8aeeb0e355887ec69b229a477797c354b9b528ce38fee768a64e07424cc7c9 SHA1: be182c3f08efe818e6fb1853143837e56b475f95 MD5sum: 2c639f1400fff95c81e9e84316412617 Description: freesmartphone.org device daemon (debug symbols) fsodeviced implements the freesmartphone.org Device API. . This API allows peripheral control, such as managing audio, backlight brightness, LEDs, Vibrator, Accelerometer, and power control for devices without dedicated controlling daemon. It can deal with charging notification and RTC, forwarding button events and notifying about the system's idleness status. . This package provides the debug symbols for the fso-deviced package. Package: fso-deviced-gta04 Source: fso-deviced (0.11.4-1) Version: 0.11.4-1+b1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 72 Pre-Depends: multiarch-support Depends: fso-deviced (= 0.11.4-1+b1), libc6 (>= 2.13-28), libfso-glib1 (>= 2012.02.16.1), libfsobasics0 (>= 0.9.99+git20110804), libfsoframework0 (>= 0.8.2+git20110805), libglib2.0-0 (>= 2.26.0) Provides: fso-deviced-platform Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-deviced/fso-deviced-gta04_0.11.4-1+b1_armhf.deb Size: 5974 SHA256: 5478e0f85911e829d27922c1b96fab4ec732f374f7dc416dca952795e6299f84 SHA1: c7128164bf4ef9763377ac5c8851ae3faa19b1a2 MD5sum: 312cf2f5cd33134e6507a3be4a30ca20 Description: fso-deviced config files and plugins for the GTA04 fsodeviced implements the freesmartphone.org Device API. . This API allows peripheral control, such as managing audio, backlight brightness, LEDs, Vibrator, Accelerometer, and power control for devices without dedicated controlling daemon. It can deal with charging notification and RTC, forwarding button events and notifying about the system's idleness status. . This package contains all modules and config files needed for GTA04 device. Package: fso-deviced-htc Source: fso-deviced (0.11.4-1) Version: 0.11.4-1+b1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 104 Pre-Depends: multiarch-support Depends: fso-deviced (= 0.11.4-1+b1), libc6 (>= 2.13-28), libfso-glib1 (>= 2012.02.16.1), libfsobasics0 (>= 0.9.99+git20110804), libglib2.0-0 (>= 2.26.0) Provides: fso-deviced-platform Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-deviced/fso-deviced-htc_0.11.4-1+b1_armhf.deb Size: 12678 SHA256: dae8db6b961682c08ff66ce38b025415e13ce60c9b8d136b653fbdeebfc2bde2 SHA1: ff0e1f89dff0bd8db2e4898deb5206d1f55041cc MD5sum: d5f92a7cba7bc4226aa031064ea1cbe4 Description: fso-deviced config files and plugins for HTC devices fsodeviced implements the freesmartphone.org Device API. . This API allows peripheral control, such as managing audio, backlight brightness, LEDs, Vibrator, Accelerometer, and power control for devices without dedicated controlling daemon. It can deal with charging notification and RTC, forwarding button events and notifying about the system's idleness status. . This package contains all modules and config files needed for HTC devices. Package: fso-deviced-n900 Source: fso-deviced (0.11.4-1) Version: 0.11.4-1+b1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 104 Pre-Depends: multiarch-support Depends: fso-deviced (= 0.11.4-1+b1), libc6 (>= 2.13-28), libfso-glib1 (>= 2012.02.16.1), libfsobasics0 (>= 0.9.99+git20110804), libfsoframework0 (>= 0.8.2+git20110805), libfsoresource0 (>= 0.9.0+git20110805), libglib2.0-0 (>= 2.26.0) Provides: fso-deviced-platform Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-deviced/fso-deviced-n900_0.11.4-1+b1_armhf.deb Size: 16364 SHA256: 28a5ddb2acef57b9cfd2f44edf84aae19ad5f7191baff72bba049c1ae0337d87 SHA1: c141d521ad2f93916e754f078f017209b432dd0e MD5sum: 56c3d27d5194c547cdaad7f95d6d22dd Description: fso-deviced config files and plugins for Nokia 900 fsodeviced implements the freesmartphone.org Device API. . This API allows peripheral control, such as managing audio, backlight brightness, LEDs, Vibrator, Accelerometer, and power control for devices without dedicated controlling daemon. It can deal with charging notification and RTC, forwarding button events and notifying about the system's idleness status. . This package contains all modules and config files needed for the Nokia 900. Package: fso-deviced-palmpre Source: fso-deviced (0.11.4-1) Version: 0.11.4-1+b1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 165 Pre-Depends: multiarch-support Depends: fso-deviced (= 0.11.4-1+b1), libc6 (>= 2.13-28), libfso-glib1 (>= 2012.02.16.1), libfsobasics0 (>= 0.9.99+git20110804), libfsoframework0 (>= 0.8.2+git20110805), libfsoresource0 (>= 0.9.0+git20110805), libfsosystem0 (>= 0.1.0~git20120214), libfsotransport3 (>= 0.9.8+git20120308), libglib2.0-0 (>= 2.30.0) Provides: fso-deviced-platform Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-deviced/fso-deviced-palmpre_0.11.4-1+b1_armhf.deb Size: 41400 SHA256: 1e4b0ebd20ddda7b1102216fa04aca8c25b7b71c1ef8bd5fa540db7533d82037 SHA1: 6c744217f19837c63c202e4be2908f4f25f0ee0c MD5sum: 92b1204b46ca2f07f5062089e5766260 Description: fso-deviced config files and plugins for PalmPre devices fsodeviced implements the freesmartphone.org Device API. . This API allows peripheral control, such as managing audio, backlight brightness, LEDs, Vibrator, Accelerometer, and power control for devices without dedicated controlling daemon. It can deal with charging notification and RTC, forwarding button events and notifying about the system's idleness status. . This package contains all modules and config files needed for PalmPre devices. Package: fso-deviced-player-canberra Source: fso-deviced (0.11.4-1) Version: 0.11.4-1+b1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 58 Pre-Depends: multiarch-support Depends: fso-deviced (= 0.11.4-1+b1), libc6 (>= 2.13-28), libcanberra0 (>= 0.24), libfso-glib1 (>= 2012.02.16.1), libfsobasics0 (>= 0.9.99+git20110804), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.26.0) Provides: fso-deviced-player Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-deviced/fso-deviced-player-canberra_0.11.4-1+b1_armhf.deb Size: 7500 SHA256: 030fe598b5703b6e21dd7b1b9b0f54413e47013e8763705988fe4aee24a9cc72 SHA1: 9b3d501e037e819aa873a64410a9cf903fe3581a MD5sum: c4540ad5f9e9d6e1e9363e665e002c92 Description: Canberra player module for fso-deviced fsodeviced implements the freesmartphone.org Device API. . This API allows peripheral control, such as managing audio, backlight brightness, LEDs, Vibrator, Accelerometer, and power control for devices without dedicated controlling daemon. It can deal with charging notification and RTC, forwarding button events and notifying about the system's idleness status. . This package contains the canberra player module used by fso-deviced. Package: fso-deviced-player-gstreamer Source: fso-deviced (0.11.4-1) Version: 0.11.4-1+b1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 58 Pre-Depends: multiarch-support Depends: fso-deviced (= 0.11.4-1+b1), libc6 (>= 2.13-28), libfso-glib1 (>= 2012.02.16.1), libfsobasics0 (>= 0.9.99+git20110804), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libgstreamer0.10-0 (>= 0.10.7), gstreamer0.10-alsa Provides: fso-deviced-player Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-deviced/fso-deviced-player-gstreamer_0.11.4-1+b1_armhf.deb Size: 9042 SHA256: 829fb56f3ccf476c20a6ff6d68848fde40f7f033c35cae24b3fa96fbf840b025 SHA1: 7bcf3e00e057ef695209f81bec82388239df134f MD5sum: 5d43ff16b12002c65c92e660cd322a5f Description: Gstreamer player module for fso-deviced fsodeviced implements the freesmartphone.org Device API. . This API allows peripheral control, such as managing audio, backlight brightness, LEDs, Vibrator, Accelerometer, and power control for devices without dedicated controlling daemon. It can deal with charging notification and RTC, forwarding button events and notifying about the system's idleness status. . This package contains the GStreamer player module used by fso-deviced. Package: fso-frameworkd Version: 0.9.5.9+git20110512-4 Installed-Size: 1739 Maintainer: Debian freesmartphone.org Team Architecture: all Replaces: frameworkd, fso-frameworkd-wireless-glue Provides: frameworkd Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-serial, python-yaml, python-dbus, dbus, python-phoneutils Recommends: fso-sounds, fso-usaged, python-alsaaudio, python-rtc Conflicts: frameworkd, fso-config-gta01, fso-config-gta02, fso-frameworkd-wireless-glue, openmoko-panel-plugin (<= 0.8-1), python2.5 (<< 2.5.2-13), zhone (<< 0-git20090202) Size: 291830 SHA256: 23eafeae47c2445ed0988427edcf2022171027fafd654801da3f2c75b684e666 SHA1: 9897480f6f717c229dd826dbf626ed338d78cab8 MD5sum: d9b851628b5057a0db0f0d103aeed53c Description: freesmartphone.org Framework Daemon This package provides the backend daemon of the freesmartphone.org software stack. It provides all telephone related features, such as accepting and making calls, receiving and sending SMS and other hardware specifics via a D-Bus interface to the user interface programs. . This package is part of the freesmartphone.org software stack and it is targeted for smartphones. Homepage: http://freesmartphone.org/ Tag: role::program Section: misc Priority: extra Filename: pool/main/f/fso-frameworkd/fso-frameworkd_0.9.5.9+git20110512-4_all.deb Package: fso-gpsd Version: 0.8-3.1 Architecture: armhf Maintainer: Debian freesmartphone.org Team Installed-Size: 88 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.12.0), dbus, fso-frameworkd (>= 0.8.4.3-1) Priority: extra Section: misc Filename: pool/main/f/fso-gpsd/fso-gpsd_0.8-3.1_armhf.deb Size: 22000 SHA256: b486b521c148c6130806435e55e16e7fbef804d8d8efb12f4d841c28b9130e97 SHA1: df5a54ef06bad40aaeedf54e1e36b7dccfee8bd2 MD5sum: 307b539bf91ff8c1989887ba949a4991 Description: gpsd subset compatibility daemon for the freesmarpthone.org frameworkd The fso-gpsd daemon provides a functional subset of gpsd interface to client applications, while using the services of the freesmartphone.org frameworkd daemon. . This package is an addition to the freesmartphone.org software stack and is targeted for smartphones. Package: fso-gsm0710muxd Version: 0.9.3.1-3 Architecture: armhf Maintainer: Debian freesmartphone.org Team Installed-Size: 99 Depends: libc6 (>= 2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libglib2.0-0 (>= 2.14.0), dbus Conflicts: gsm0710muxd Replaces: gsm0710muxd Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-gsm0710muxd/fso-gsm0710muxd_0.9.3.1-3_armhf.deb Size: 24670 SHA256: 06cfb62b48c390f629248d9e641e765d2e6ecf045e4fbb1e43fdfb39e06f57cb SHA1: 3db054917a1c5f4c25aa877653daed2073393ebc MD5sum: 4c5ac4d8e870123a9eb79fb91e07b8fe Description: GSM 07.10 Multiplexer The gsm0710muxd daemon provides concurrenct access to the GSM modem by several process. Access to the multiplexer is managed via D-Bus command. . This GSM muxer is incompatible to the original muxer used by the pyNeo project. . This package is part of the freesmartphone.org software stack and it is targeted for smartphones. Package: fso-gsmd Version: 0.11.3-2 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 1171 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfso-glib1 (>= 2012.05.24.1), libfsobasics0 (>= 0.9.99+git20110804), libfsoframework0 (>= 0.8.2+git20110805), libfsoresource0 (>= 0.9.0+git20110805), libfsosystem0 (>= 0.1.0~git20120214), libfsotransport3 (>= 0.11.1), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libgsm0710mux2 (>= 0.9.2+git20110805) Recommends: fso-gsmd-platform Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-gsmd/fso-gsmd_0.11.3-2_armhf.deb Size: 425070 SHA256: 03dfb6e02097a73de6db79014f9de4b1020227735a11df6ddf2b514ecef47a7a SHA1: 386523b6ef3081d96fe52d8659198864f32f1616 MD5sum: 61f06e2576e8e7c65fc296474aeface2 Description: freesmartphone.org GSM daemon fsogsmd implements the freesmartphone.org GSM API. It takes care of all GSM related functions. . The different platforms (e.g. the Openmoko Freerunner) supported by fso-gsmd have custom lowlevel plugins, which are available in optional packages. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. Package: fso-gsmd-dbg Source: fso-gsmd Version: 0.11.3-2 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 1814 Depends: fso-gsmd (= 0.11.3-2), fso-gsmd-ezx (= 0.11.3-2), fso-gsmd-gta04 (= 0.11.3-2), fso-gsmd-htc (= 0.11.3-2), fso-gsmd-openmoko (= 0.11.3-2) Homepage: http://freesmartphone.org/ Priority: extra Section: debug Filename: pool/main/f/fso-gsmd/fso-gsmd-dbg_0.11.3-2_armhf.deb Size: 1265742 SHA256: c6692e0ebc0bf9ca11d5ad985dab7d6133a8db7cdf0e80228bfff8bde42bc6c6 SHA1: 10a3a4ad200811a75fe20fee23c55729914fc4eb MD5sum: 23b81cb21f3792be9fecbfe15c660f4b Description: debugging symbols for freesmartphone.org GSM daemon fsogsmd implements the freesmartphone.org GSM API. It takes care of all GSM related functions. . This package contains debugging symbols for fso-gsmd, fso-gsmd-openmoko, fso-gsmd-ezx and fso-gsmd-htc. Package: fso-gsmd-ezx Source: fso-gsmd Version: 0.11.3-2 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 106 Pre-Depends: multiarch-support Depends: fso-gsmd (= 0.11.3-2), libc6 (>= 2.13-28), libfso-glib1 (>= 2012.02.16.1), libfsobasics0 (>= 0.9.99+git20110804), libfsotransport3 (>= 0.9.8+git20120308), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.26.0) Recommends: fso-deviced-ezx Provides: fso-gsmd-platform Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-gsmd/fso-gsmd-ezx_0.11.3-2_armhf.deb Size: 19090 SHA256: cb163aaed8e192f3d247c67841173bbf48239c06f7b096146f735bd4561d9097 SHA1: f22c7ae43c9726c56f4becd0232886183a7ef5b1 MD5sum: 315dd169c775946fb50df33d3cdb1a19 Description: freesmartphone.org GSM daemon for Motorola EZX devices fsogsmd implements the freesmartphone.org GSM API. It takes care of all GSM related functions. . This package contains configuration files and plugins needed by Motorola EZX devices. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. Package: fso-gsmd-gta04 Source: fso-gsmd Version: 0.11.3-2 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 110 Pre-Depends: multiarch-support Depends: fso-gsmd (= 0.11.3-2), libc6 (>= 2.13-28), libfso-glib1 (>= 2012.02.16.1), libfsobasics0 (>= 0.9.99+git20110804), libfsotransport3 (>= 0.11.0), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.26.0) Recommends: fso-deviced-gta04 Provides: fso-gsmd-platform Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-gsmd/fso-gsmd-gta04_0.11.3-2_armhf.deb Size: 21070 SHA256: 51e491490209a36d59651136ced9b1dab477837efdc9607315fe77e59009cf8d SHA1: ab6a2f212f025793a44bfa5a24e7c26232b76e3c MD5sum: 9bb8990302c11f87d9bcd2d2216dc831 Description: freesmartphone.org GSM daemon for the GTA04 device fsogsmd implements the freesmartphone.org GSM API. It takes care of all GSM related functions. . This package contains configuration files and plugins needed by the GTA04 device. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. Package: fso-gsmd-htc Source: fso-gsmd Version: 0.11.3-2 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 107 Pre-Depends: multiarch-support Depends: fso-gsmd (= 0.11.3-2), libc6 (>= 2.13-28), libfsobasics0 (>= 0.9.99+git20110804), libfsotransport3 (>= 0.9.8+git20120308), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.26.0) Recommends: fso-deviced-htc Provides: fso-gsmd-platform Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-gsmd/fso-gsmd-htc_0.11.3-2_armhf.deb Size: 14760 SHA256: 9a54a7d7c9f116259a4aa0999c3927e0a249a2bcc6b2c4ffa55c854ff0c309a4 SHA1: 2af567fa629ebe5542aa6b002f8e51c2a2f84332 MD5sum: 2a51a67749ea990fa09be7945583d5c9 Description: freesmartphone.org GSM daemon for HTC devices fsogsmd implements the freesmartphone.org GSM API. It takes care of all GSM related functions. . This package contains configuration files and plugins needed by HTC devices. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. Package: fso-gsmd-openmoko Source: fso-gsmd Version: 0.11.3-2 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 127 Pre-Depends: multiarch-support Depends: fso-gsmd (= 0.11.3-2), libc6 (>= 2.13-28), libfso-glib1 (>= 2012.02.16.1), libfsobasics0 (>= 0.9.99+git20110804), libfsotransport3 (>= 0.9.8+git20120308), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.26.0) Recommends: fso-deviced-openmoko Provides: fso-gsmd-platform Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-gsmd/fso-gsmd-openmoko_0.11.3-2_armhf.deb Size: 27632 SHA256: f393d306063e2e71e086ccf5cf5376a7137ab5e741b68ecc36355bee48035402 SHA1: bfd6c63aea2bbc278bee870db4c2427af926273d MD5sum: 4732d9fd30b604fc05c08bc585b49916 Description: freesmartphone.org GSM daemon for Openmoko devices fsogsmd implements the freesmartphone.org GSM API. It takes care of all GSM related functions. . This package contains the configuration and plugins needed by the Openmoko Freerunner (also known as GTA02) and its predecessor GTA01. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. Package: fso-sounds-yue-base Source: yue-sounds-fso Version: 20081031-2 Installed-Size: 480 Maintainer: Debian freesmartphone.org Team Architecture: all Provides: fso-sounds Depends: gstreamer0.10-plugins-base Suggests: fso-sounds-yue-full, fso-frameworkd Conflicts: fso-frameworkd (<< 0.2.0-git20080909-7) Size: 354978 SHA256: d95863f7d2de4a5066fc43d83d2fd03b6a38eca6c9f3ea3d0d5ee988fe267102 SHA1: 99dcc02b4d043b74c7468a5bc3169e19d8a9569f MD5sum: daedbcf31f20645021788764640f0e83 Description: Yue base ringtones for the freesmartphone.org frameworkd This package provides the default ring- and message-tone files produced by Yue and to be used mainly with the freesmartphone.org frameworkd. . This package is not part of the freesmartphone.org software stack, nevertheless it is targeted for smartphones. Homepage: http://www.yue.it/ Tag: made-of::audio, role::app-data Section: misc Priority: extra Filename: pool/main/y/yue-sounds-fso/fso-sounds-yue-base_20081031-2_all.deb Package: fso-sounds-yue-full Source: yue-sounds-fso Version: 20081031-2 Installed-Size: 1276 Maintainer: Debian freesmartphone.org Team Architecture: all Depends: fso-sounds-yue-base Size: 1123924 SHA256: acebc2bd32d6368c7bcb542cf285c1f0f551e1866eed28b26fec0dd92d82374f SHA1: 097caff95651f16693262e8b2745816838746e5f MD5sum: d1e29301af2401176cd248cf921299b2 Description: Yue full ringtones for the freesmartphone.org frameworkd This package provides the whole set of ringtone files produced by Yue and to be used mainly with the freesmartphone.org frameworkd. . This package is not part of the freesmartphone.org software stack, nevertheless it is targeted for smartphones. Homepage: http://www.yue.it/ Tag: made-of::audio, role::app-data Section: misc Priority: extra Filename: pool/main/y/yue-sounds-fso/fso-sounds-yue-full_20081031-2_all.deb Package: fso-specs Version: 2012.05.24.1-1 Installed-Size: 422 Maintainer: Debian FreeSmartphone.Org Team Architecture: all Size: 73502 SHA256: 304fb766ecfbff00a1f408a43e677b79d362065dda7959af99bc06f614336dc8 SHA1: 4aab38636799b0c65b711796c05405d547ea1087 MD5sum: a2b17d43d8f94e5ebd72986522f79791 Description: freesmartphone.org D-Bus XML specification & documentation The Desktop-Bus prepares applications to communicate with another by the sending of predefined signals. The process is agnostic about programming languages, but the collaborating tools need to agree on a common set of signals and their interpretation. This package provides the DBus specifications of the freesmartphone.org software stack that is targeted for smartphones. Process these with a D-Bus binding generator to create stubs for your program. Homepage: http://freesmartphone.org/ Tag: devel::doc, role::documentation Section: devel Priority: extra Filename: pool/main/f/fso-specs/fso-specs_2012.05.24.1-1_all.deb Package: fso-usaged Version: 0.11.0-1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 250 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfso-glib1 (>= 2012.02.16.1), libfsobasics0 (>= 0.9.99+git20110804), libfsoframework0 (>= 0.8.2+git20110805), libgee2 (>= 0.5.2), libglib2.0-0 (>= 2.30.0) Recommends: fso-usaged-platform Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-usaged/fso-usaged_0.11.0-1_armhf.deb Size: 68938 SHA256: 6a01e7569a9240a0224eee7e344829570c535f4fffb2b5ffb0db03bd7a23ee87 SHA1: 9aef11bed6e601feb6dcda6e922eaf4f295c0f78 MD5sum: 85dc1eef0c4190179d99fef88579ff88 Description: freesmartphone.org usage daemon fsousaged implements the freesmartphone.org Usage API. It automatically controls system resources like GSM, GPS, Bluetooth, WiFi, Display or CPU. . Some platforms (e.g. the Openmoko Freerunner) have custom lowlevel plugins, which are available in optional packages. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. Package: fso-usaged-dbg Source: fso-usaged Version: 0.11.0-1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 268 Depends: fso-usaged (= 0.11.0-1), fso-usaged-htc (= 0.11.0-1), fso-usaged-palmpre (= 0.11.0-1) Homepage: http://freesmartphone.org/ Priority: extra Section: debug Filename: pool/main/f/fso-usaged/fso-usaged-dbg_0.11.0-1_armhf.deb Size: 150738 SHA256: 4835500b1d9361fcd3728f67e732e66eff1405e0b9b1acc3ed36469b7524601b SHA1: a13f8e51567e2927155b32de0f8fbac413ae53cb MD5sum: 4a9ad38fa3e7a40680f5b2aaa70c315d Description: debugging symbols for use with freesmartphone.org usage daemon fsousaged implements the freesmartphone.org Usage API. It automatically controls system resources like GSM, GPS, Bluetooth, WiFi, Display or CPU. . Some platforms (e.g. the Openmoko Freerunner) have custom lowlevel plugins, which are available in optional packages. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: fso-usaged-htc Source: fso-usaged Version: 0.11.0-1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 79 Pre-Depends: multiarch-support Depends: fso-usaged (= 0.11.0-1), libc6 (>= 2.13-28), libfsobasics0 (>= 0.9.99+git20110804), libglib2.0-0 (>= 2.26.0) Breaks: fso-usaged (<< 0.9.5+git20110411) Provides: fso-usaged-platform Multi-Arch: same Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-usaged/fso-usaged-htc_0.11.0-1_armhf.deb Size: 6100 SHA256: cacda73b28a51c2d75dac53884927e85608621de10a24aa0b91d65dcc96dae16 SHA1: 09c246d6f7229317213c42cc945d2526fed90824 MD5sum: b30f7f2c2f41540db058106aee18afb8 Description: freesmartphone.org usage daemon for HTC devices fsousaged implements the freesmartphone.org Usage API. It automatically controls system resources like GSM, GPS, Bluetooth, WiFi, Display or CPU. . This package contains the configuration and lowlevel plugins for the following HTC devices: . * Nexus One * HTC Dream / G1 * HTC HD2 (Leo) Package: fso-usaged-palmpre Source: fso-usaged Version: 0.11.0-1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 72 Pre-Depends: multiarch-support Depends: fso-usaged (= 0.11.0-1), libc6 (>= 2.13-28), libfsobasics0 (>= 0.9.99+git20110804), libglib2.0-0 (>= 2.26.0) Breaks: fso-usaged (<< 0.9.5+git20110411) Provides: fso-usaged-platform Multi-Arch: same Homepage: http://freesmartphone.org/ Priority: extra Section: misc Filename: pool/main/f/fso-usaged/fso-usaged-palmpre_0.11.0-1_armhf.deb Size: 6468 SHA256: fd8db593f7fb9e5ebe48c626b62e90222ef5b532769f14a1f93ca270d0ba57c3 SHA1: 17a7ea9f3f57aefdfe282f5781345b617c859504 MD5sum: 903c8cc128b50b39ddafce9c51c4ca92 Description: freesmartphone.org usage daemon for Palm Pre devices fsousaged implements the freesmartphone.org Usage API. It automatically controls system resources like GSM, GPS, Bluetooth, WiFi, Display or CPU. . This package contains the configuration and lowlevel plugins for the Palm Pre. Package: fspanel Version: 0.7-13 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 67 Depends: libc6 (>= 2.13-28), libx11-6, libxpm4 Homepage: http://freshmeat.net/projects/fspanel Priority: optional Section: x11 Filename: pool/main/f/fspanel/fspanel_0.7-13_armhf.deb Size: 17752 SHA256: a276e302172ad80b0488c4b6ce38fd0f7b45499307747ee8332d7872f5dcada0 SHA1: 12a51a4f79bb81a4471cf1cdd2617b2231b80862 MD5sum: d96a586ce8acd009a478688f42c0aa41 Description: minimalist panel for X A panel for X that lists all your windows, while consuming minimal disk, memory, and screen space. It works under any GNOME compliant window manager (e.g. E, Sawfish, WindowMaker, IceWM, Oroborus) and supports KDE's mini icons (the KWM_WIN_ICON atom). Package: fsprotect Version: 1.0.6 Installed-Size: 175 Maintainer: Stefanos Harhalakis Architecture: all Depends: initramfs-tools Size: 79408 SHA256: abebb887274465fc36acf80b8c797ecaedadec54e1fd4f78879b0f8f1e8d38b2 SHA1: 4766d7e6340e0ec726b63daf8c5d56f3f2966393 MD5sum: 97e3474b1e9530bd044fc532960d4525 Description: Helper scripts to make filesystems immutable This is a set of scripts that make immutable the root and other filesystems. Using aufs they pack a tmpfs filesystem and the filesystem forcing changes to be written to the tmpfs. . The root filesystem is protected by an initramfs script. Other filesystems are protected by an init script. All protected filesystems become read-only ensuring their immutability even on power-offs. . This can be used for public computers to prevent damage or changes. Homepage: http://www.v13.gr/ Section: admin Priority: extra Filename: pool/main/f/fsprotect/fsprotect_1.0.6_all.deb Package: fspy Version: 0.1.1-1 Architecture: armhf Maintainer: Giuseppe Iuculano Installed-Size: 68 Depends: libc6 (>= 2.4) Homepage: http://mytty.org/fspy Priority: optional Section: misc Filename: pool/main/f/fspy/fspy_0.1.1-1_armhf.deb Size: 14842 SHA256: 529d8cf52d59978a52e04ead6dce75cea11fabc23f7ba818fdf29113a633220f SHA1: 8c84a393393b6e75d0465e2b4993a1db2520b567 MD5sum: f3e44f36f10fad213122fca05843d5c1 Description: filesystem activity monitoring tool fspy is an easy to use Linux filesystem activity monitoring tool which is meant to be small, fast and to handle system resources conservative. You can apply filters, use diffing and your own output format in order to get the best results. Package: fstransform Version: 0.9.3-1 Architecture: armhf Maintainer: Giovanni Mascellani Installed-Size: 266 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://sourceforge.net/projects/fstransform/ Priority: extra Section: admin Filename: pool/main/f/fstransform/fstransform_0.9.3-1_armhf.deb Size: 111860 SHA256: 2615872753093a2e5722b5243eeb5720d474ef7552f85ef5f1315a6fe04a5ec4 SHA1: 52231e7882092b12b24bc2781b3e581d35d4229b MD5sum: d857cd14aa4f7eb3b35d85b06d50d2ed Description: Tool for in-place filesystem conversion fstransform is a tool to change a file-system from one format to another, for example from jfs/xfs/reiser to ext2/ext3/ext4, in-place and without the need for backup. Idea from convertfs, but it is fully independent code. . The current version of fstransform is fully functional, and ready for testing from the general public. It has been tested on the following file-systems, both as source and target: ext2, ext3, ext4, jfs, minix, reiserfs, xfs. Package: fstrcmp Version: 0.4.D001-1+deb7u1 Architecture: armhf Maintainer: Peter Miller Installed-Size: 65 Depends: libc6 (>= 2.13-28), libfstrcmp0 Breaks: libfstrcmp-dev (<< 0.4) Replaces: libfstrcmp-dev (<< 0.4) Homepage: http://fstrcmp.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/f/fstrcmp/fstrcmp_0.4.D001-1+deb7u1_armhf.deb Size: 21962 SHA256: 6d3d279819a94041590be0f6b7545c8bbba9b43bc995273daa3810c4c5100e45 SHA1: a1da06440cb961684d9ad589a8d488dee263b8fe MD5sum: f2d601bd462b43733692b56b9fb5cdc1 Description: fuzzy comparison of strings This package provides a command to make fuzzy string comparisons. Package: fsviewer-icons Version: 1.0-6 Installed-Size: 1235 Maintainer: Jari Aalto Architecture: all Size: 527686 SHA256: 5a5195eb0fceee8b020b7e36fa92231d686478716fb22baacc47cc967f244e91 SHA1: 4954098f628375eace1d60d214c55d6a37f79065 MD5sum: ceaa5bf21613ac9ac3d28fc3dfaf2f71 Description: icons for fsviewer to make it look more like the NeXT FileViewer Some nice XPM icons to provide fsviewer with a more NeXT-like look, although fsviewer does run without them. . These icons are only useful if used with fsviewer, which is a lightweight standalone (no need for openstep) filemanager based on the one included with NeXTSTEP. It integrates well with Window Maker but can be used with any WM. Features include Browser mode, DND and file operations. . Icon source files are included in TIFF format. Tag: interface::x11, made-of::icons, role::app-data, uitoolkit::gnustep, use::browsing, works-with::file Section: x11 Priority: extra Filename: pool/main/f/fsviewer-icons/fsviewer-icons_1.0-6_all.deb Package: fsvs Version: 1.2.3-0+nmu1 Architecture: armhf Maintainer: Sheldon Hearn Installed-Size: 467 Depends: libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdbm3 (>= 1.8.3), libpcre3 (>= 8.10), libsvn1 (>= 1.5) Recommends: subversion Homepage: http://fsvs.tigris.org/ Priority: optional Section: admin Filename: pool/main/f/fsvs/fsvs_1.2.3-0+nmu1_armhf.deb Size: 204664 SHA256: 639916618f541ecb9f4a194e880b94f3d9edecf6e34aa6f92ea458a25c18b07c SHA1: 0fe3de8708a6290f7ca767a96473b6ee25af4a80 MD5sum: b5dee4eacde96c2ce264fa4065384505 Description: Full system versioning with metadata support FSVS is a backup/restore/versioning/deployment tool for whole directory trees or filesystems, with a subversion repository as the backend. It can do overlays of multiple repositories, to achieve some content separation (base install, local modifications, etc.) Package: fswebcam Version: 20110717-1 Architecture: armhf Maintainer: Luca Niccoli Installed-Size: 138 Depends: libc6 (>= 2.13-28), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg) Homepage: http://www.firestorm.cx/fswebcam/ Priority: optional Section: graphics Filename: pool/main/f/fswebcam/fswebcam_20110717-1_armhf.deb Size: 52262 SHA256: 6c3e03deee3320dbc10f3d7fd07d1bc845d3ac69b8fae3ce2711e71811470a8a SHA1: 50805c882f3b18ff8d37a5cdbad09dd51352b3c0 MD5sum: 68b162df137b931f14466cdc14c9dda0 Description: Tiny and flexible webcam program Fswebcam is a tiny and flexible webcam command-line program for capturing images from a V4L1/V4L2 device. It accepts a number of formats, can skip the first (possibly bad) frames before performing the actual capture, and can perform simple manipulation on the captured image, such as resizing, averaging multiple frames or overlaying a caption or an image. Package: ftdi-eeprom Version: 0.3-2 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 55 Depends: libc6 (>= 2.13-28), libconfuse0 (>= 2.5), libftdi1 (>= 0.20) Homepage: http://www.intra2net.com/de/produkte/opensource/ftdi/ Priority: optional Section: utils Filename: pool/main/f/ftdi-eeprom/ftdi-eeprom_0.3-2_armhf.deb Size: 10108 SHA256: 60cbe8d6a649891720969542d270c1ba048044e699d53ef28ed4ce97fe95e8fc SHA1: e59e444e11293e6b99d4dc89a60f57e78faf5b50 MD5sum: 422d28517a797f9d7d5739ca05276a3e Description: Tool for reading/erasing/flashing FTDI USB chip eeproms ftdi-eeprom is a small tool for creating and uploading the configuration eeprom for the FTDI chip. This eeprom contains information such as vendor and product ID, manufacturer and product strings, revision, etc. Package: fte Version: 0.50.2b6-1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 332 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.1.1) Recommends: fte-xwindow | fte-terminal | fte-console Suggests: fte-docs, exuberant-ctags Conflicts: fte-cfgdocs (<< 0.49.13-3), fte-console (<< 0.49.13-3) Homepage: http://fte.sourceforge.net/ Priority: optional Section: editors Filename: pool/main/f/fte/fte_0.50.2b6-1_armhf.deb Size: 92078 SHA256: 40a8f99f8152c3fc14da8bbbe48451545307748e22c27bcd0e0684e89b0c3ac8 SHA1: c8ab385998b709fb1b46646e484b848e828838d2 MD5sum: 996128280b3bb2292e103586f393b41e Description: Text editor for programmers - base package FTE is a text editor for programmers. Some of its features are smart indentation for C, C++, Java, Perl; color syntax highlighting for many more languages; multiple file/window editing; column blocks; configurable menus and keyboard bindings; mouse support; undo/redo; regular expression search and replace; folding; background compiler execution. . This package contains files necessary to build a configuration file and some elementary documentation. There is no editor here; please select one of the packages in the dependencies. Package: fte-docs Source: fte Version: 0.50.2b6-1 Installed-Size: 240 Maintainer: Debian QA Group Architecture: all Replaces: fte-cfgdocs (<< 0.49.13-3) Recommends: fte (= 0.50.2b6-1) Size: 34002 SHA256: 4c2c1c27397e4acc0652c5c16f345741755d7a8b8c8937e75287327dfc94f28b SHA1: 7be071fc967a02ea44560e579b984630193769f7 MD5sum: eac12afdb7a1e5283e95572ee2a8d130 Description: Documentation and examples for the FTE editor FTE is a text editor for programmers. Some of its features are smart indentation for C, C++, Java, Perl; color syntax highlighting for many more languages; multiple file/window editing; column blocks; configurable menus and keyboard bindings; mouse support; undo/redo; regular expression search and replace; folding; background compiler execution. . This package contains HTML documentation and sample configuration files. Homepage: http://fte.sourceforge.net/ Tag: devel::doc, devel::editor, devel::lang:c, devel::lang:c++, devel::lang:java, devel::lang:perl, made-of::html, role::documentation, use::editing, works-with::text Section: editors Priority: optional Filename: pool/main/f/fte/fte-docs_0.50.2b6-1_all.deb Package: fte-terminal Source: fte Version: 0.50.2b6-1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 707 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libslang2 (>= 2.0.7-1), libstdc++6 (>= 4.4.0), fte (= 0.50.2b6-1) Provides: editor Homepage: http://fte.sourceforge.net/ Priority: optional Section: editors Filename: pool/main/f/fte/fte-terminal_0.50.2b6-1_armhf.deb Size: 193206 SHA256: ae42a1cf77e7d9795e2c003dd29ff8643900d8cfb0ce594d92aea34e207c63a1 SHA1: f31913c611714e2b6a9c7995141e849009980857 MD5sum: ac6282d9e90adf852ca3fd4e1e8d9b0f Description: Text editor for programmers - version for terminals FTE is a text editor for programmers. Some of its features are smart indentation for C, C++, Java, Perl; color syntax highlighting for many more languages; multiple file/window editing; column blocks; configurable menus and keyboard bindings; mouse support; undo/redo; regular expression search and replace; folding; background compiler execution. . This is the version for terminals (e.g. xterm, vt100). Package: fte-xwindow Source: fte Version: 0.50.2b6-1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 752 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6, libxpm4, fte (= 0.50.2b6-1) Replaces: fte (<< 0.49.2-1) Provides: editor Homepage: http://fte.sourceforge.net/ Priority: optional Section: editors Filename: pool/main/f/fte/fte-xwindow_0.50.2b6-1_armhf.deb Size: 205586 SHA256: 07ed500393616890ae5878eee302f28963921c7ca1c28aca65646848ef01fa0b SHA1: bd0569907755f9c666c49407c29a9abb5b266a8c MD5sum: 8e7a0fd12e900729161ff90a870b3048 Description: Text editor for programmers - X Window System edition with I18N support FTE is a text editor for programmers. Some of its features are smart indentation for C, C++, Java, Perl; color syntax highlighting for many more languages; multiple file/window editing; column blocks; configurable menus and keyboard bindings; mouse support; undo/redo; regular expression search and replace; folding; background compiler execution. . This is the X Window System edition, with internationalization support. Package: fteqcc Version: 3343+svn3400-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 304 Depends: libc6 (>= 2.4) Homepage: http://fteqw.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/f/fteqcc/fteqcc_3343+svn3400-3_armhf.deb Size: 99848 SHA256: 65ea9fb6b8691dccdc018d6a268db52e6723def31fea5450cc99d3b47cbf80cd SHA1: 8d882a1494584cde5e052c45137a551d37f5f851 MD5sum: aab35f61182797b261ba7c6f45d8780e Description: FTE QuakeC compiler fteqcc compiles QuakeC source code to binary, platform-independent code that Quake based engines can interpret. QuakeC is the language that Quake game logic is developed in and is used for developing modifications. . FTE QCC supports Quake1, QuakeWorld, Hexen2 and FTE Quake, 64bit architectures and multithreading. Package: ftgl-dev Source: ftgl Version: 2.1.3~rc5-4 Installed-Size: 69 Maintainer: Sam Hocevar Architecture: all Depends: libftgl-dev Size: 46374 SHA256: e0740938c22d3d658ee731f7de8a64e7719527ef5c7da07505042ff0f8e0b09e SHA1: dce2637ebb728c71a6ebaceebad7f512fca17255 MD5sum: a717d76eba63c6186444da4d91276433 Description: transitional dummy package This is a transitional dummy package. If nothing depends on it, this package can be safely removed. Tag: hardware::opengl, role::devel-lib, role::dummy, works-with::font, works-with::text, x11::library Section: devel Priority: optional Filename: pool/main/f/ftgl/ftgl-dev_2.1.3~rc5-4_all.deb Package: ftjam Version: 2.5.2-1.1 Architecture: armhf Maintainer: Yann Dirson Installed-Size: 312 Depends: libc6 (>= 2.7) Conflicts: jam (<< 2.3.2-3) Priority: optional Section: devel Filename: pool/main/f/ftjam/ftjam_2.5.2-1.1_armhf.deb Size: 126860 SHA256: bd4854b661c8a1e85a0e1dcac230ee345158f299b0f305f890f5b356b5d14bbd SHA1: d001f81e9e90d284289f4eceae4067cffc8fb711 MD5sum: 1c7df14514b9132c312907007b6a480f Description: FreeType version of Jam, a replacement for make This is a version of Jam with additional features and bugfixes, which is maintained by the FreeType project. . Perforce's Jam (formerly called Jam/MR, available in package "jam") is a powerful and highly customizable utility to build programs and other things, that can run on Un*x, Nt, VMS, OS/2 and Macintosh MPW, using portable Jamfiles. It can build large projects spread across many directories in one pass, and can run jobs in parallel where make would not. . It takes some time to fully apprehend, especially when one's already accustomed to make(1), but there's no comparison in power when comparing these two tools. . Standard rules: - can automatically extract header dependencies for C/C++ (you can customize for you own language) - provide for automatic "clean", "install", "uninstall" rules, so that an automake-like tool is not needed Package: ftnchek Version: 3.3.1-4 Architecture: armhf Maintainer: Mark Brown Installed-Size: 645 Depends: libc6 (>= 2.7) Suggests: fortran77-compiler Priority: extra Section: devel Filename: pool/main/f/ftnchek/ftnchek_3.3.1-4_armhf.deb Size: 279286 SHA256: 8127a2021fd0eed778c81fbfee7ff922d6198963bfa781ade85413633975140e SHA1: 581d013a6d9660a8d10d177a87a8e969f2e93846 MD5sum: e4472ee4e54334822d613d9d43a71e48 Description: A semantic checker for Fortran 77 programs ftnchek (short for Fortran checker) is a tool designed to detect certain errors in a Fortran program that a compiler usually does not, thus assisting users in the debugging of their Fortran programs. . Unlike syntax errors, semantic errors are legal in the Fortran language but are wasteful or may cause incorrect operation. For example, variables which are never used may indicate some omission in the program; uninitialized variables contain garbage which may cause incorrect results to be calculated; and variables which are not declared may not have the intended type. . ftnchek can also be used to provide call-trees, cross-reference of subprogram calls and COMMON blocks usage, source listings, symbol tables and other things you might find useful when debugging a Fortran program. Package: ftp Source: netkit-ftp Version: 0.17-27 Architecture: armhf Maintainer: Alberto Gonzalez Iniesta Installed-Size: 137 Depends: libc6 (>= 2.13-28), libreadline6 (>= 6.0), netbase Replaces: netstd Priority: standard Section: net Filename: pool/main/n/netkit-ftp/ftp_0.17-27_armhf.deb Size: 60646 SHA256: 24730915a252d89801aaca1a24135308fa1181b13a6d414e6dd7a6503b54355f SHA1: 654a2cd4b9e2fe76bbdbb27737ded2d764af330d MD5sum: 3bd58a6b6e1e623a5fba4469709510c1 Description: classical file transfer client This is the user interface to the ARPANET standard File Transfer Protocol. The program allows a user to transfer files to and from a remote network site. Package: ftp-proxy Source: proxy-suite Version: 1.9.2.4-8 Architecture: armhf Maintainer: Roberto Lumbreras Installed-Size: 162 Depends: libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7), libwrap0 (>= 7.6-4~) Recommends: ftp-proxy-doc Priority: optional Section: net Filename: pool/main/p/proxy-suite/ftp-proxy_1.9.2.4-8_armhf.deb Size: 65178 SHA256: 3e7a0b3f16a23969eb94b7c3970a37277f80cbee3a1b851593c5b4779a5d4a4b SHA1: 47c56b4554d71f0a650aeae6160f8d46eeb20692 MD5sum: 98a51d4e3313625acb32b709086aa8ae Description: application level proxy for the FTP protocol FTP-Proxy is a transparent, application-level proxy server for FTP connections, designed to protect FTP servers against attacks based on the FTP protocol. It is the first (and currently only) component of the SuSE Proxy Suite, a set of programs to enhance firewall security. . FTP-Proxy is much less complex than any current FTP server, has been designed with great care and performs chroot(), setuid(), setgid() to avoid possible vulnerabilities, and is believed to be immune against current known attacks. . FTP-Proxy features include: o Securely relays FTP connections between clients and servers o Can switch connections from active to passive and vice versa o Utilizes port ranges for both control and data connections o Provides extensive auditing (via syslog or rotating log files) o Can separate user related from system triggered audit events o Provides command restriction based on logged in user name o Allows command argument checking with regular expressions o Is able to retrieve configuration data from an LDAP directory o Has been thoroughly tested against buffer overflow attacks o Fully conforms to RFC 959 and 1123 (the basic FTP RFCs) o Planned to support RFC 1579 ("Firewall Friendly FTP") o Planned to support RFC 2428 (IPv6 Extensions for FTP) o Based on GNU AutoConf, supposed to run on many UNIX systems Package: ftp-proxy-doc Source: proxy-suite Version: 1.9.2.4-8 Installed-Size: 168 Maintainer: Roberto Lumbreras Architecture: all Depends: ftp-proxy Size: 104942 SHA256: cda55e84c583ff1bfbde516d1c0475072471c9512aa9a854059b4160b8e48d27 SHA1: c2d8712336efe8e9f7aa9940ca24bac29cb06c3f MD5sum: 1308ea6a396756b035222712f028e9a5 Description: documentation for ftp-proxy FTP-Proxy is a transparent, application-level proxy server for FTP connections, designed to protect FTP servers against attacks based on the FTP protocol. It is the first (and currently only) component of the SuSE Proxy Suite, a set of programs to enhance firewall security. . This package contains the documentation in PDF and HTML for ftp-proxy. Tag: made-of::html, made-of::pdf, protocol::ftp, role::documentation Section: doc Priority: optional Filename: pool/main/p/proxy-suite/ftp-proxy-doc_1.9.2.4-8_all.deb Package: ftp-ssl Source: netkit-ftp-ssl Version: 0.17.23+0.2-1 Architecture: armhf Maintainer: Ian Beckwith Installed-Size: 144 Depends: libc6 (>= 2.4), libeditline0, libssl1.0.0 (>= 1.0.0), netbase Conflicts: ftp (>= 0.10) Replaces: ftp (>= 0.10) Provides: ftp Priority: extra Section: net Filename: pool/main/n/netkit-ftp-ssl/ftp-ssl_0.17.23+0.2-1_armhf.deb Size: 65394 SHA256: 8a8982d9bbea79a40f4e9c11e60fe9a184058a4884509801a628fe69e50e5f5b SHA1: c8d5f7d56c1978ba50f2c2b547dd0c33c28e31e4 MD5sum: 85d6fd9a928b5e045ea9c10a5d233a14 Description: The FTP client with SSL or TLS encryption support ftp is the user interface to the ARPANET standard File Transfer Protocol. The program allows a user to transfer files to and from a remote network site. . ftp-ssl replaces normal ftp using SSL or TLS authentication and encryption. It interoperates with normal ftpd. It checks if the other side is also talking SSL or TLS, if not it falls back to normal ftp protocol. . Advantages over normal ftp(d): Your passwords and the data you send will not go in cleartext over the line. Nobody can get it with tcpdump or similar tools. Package: ftp-upload Version: 1.5 Installed-Size: 68 Maintainer: Roderick Schertler Architecture: all Depends: perl, libnet-perl Size: 13760 SHA256: fa26abd9e66a4c03826a8ea21772e5fe161c205e4550ace778476b986565b1f2 SHA1: f7fbf4a252f7a41e80715490bf45131fd0cebaa7 MD5sum: 2aac35eaa14747ba774c12f3ad0db2e1 Description: put files with FTP from a script ftp-upload transfers local files to another machine using FTP. It's meant to be used by scripts and such rather than interactively. There's no user interface, the program is controlled strictly via the command line. It is disciplined with its exit status. Tag: interface::commandline, network::client, protocol::ftp, role::program, use::transmission, works-with::file Section: net Priority: optional Filename: pool/main/f/ftp-upload/ftp-upload_1.5_all.deb Package: ftp.app Version: 0.3-1 Architecture: armhf Maintainer: Federico Gimenez Nieto Installed-Size: 230 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), gnustep-fslayout-fhs Homepage: http://www.nongnu.org/gap/ftp/ Priority: optional Section: gnustep Filename: pool/main/f/ftp.app/ftp.app_0.3-1_armhf.deb Size: 63766 SHA256: 1646d8180ac4e4d7bd2fe9fde47da7229a4a588d8402c8759bb1ed476db0389c SHA1: 2ddbb585b09897afebefd952591341eb4aa7c9b8 MD5sum: 30c86e8b704ad1d0154446599bad770c Description: File transfer protocol application for GNUstep This is a file transfer application (RFC 765) featuring a compact and easy interface. As most applications in GAP it tries to work on both GNUstep and MacOS X and retain the utmost possible similarity among the two version to ease working in both environments. . FTP supports different port arbitration modes: "default", standard "PORT" (also known as active) and standard "PASV" (also known as passive). Package: ftpcopy Version: 0.6.7-3 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 250 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Priority: optional Section: web Filename: pool/main/f/ftpcopy/ftpcopy_0.6.7-3_armhf.deb Size: 123548 SHA256: 2b56c3faf263c95a89893c7076a535999bee76df30144ea6c03526430f3e01c5 SHA1: ae457895cfb26e525fe3bc8a8c9a77e9a0570129 MD5sum: 86110de9a83bc0c4f30686c764dbf09c Description: FTP clients collection ftpcopy is a simple FTP client written to copy files or directories (recursively) from an FTP server. It was written to mirror FTP sites which support the EPLF directory listing format, but it also supports the traditional listing format (/bin/ls). . ftpls is an FTP client which generates directory listings, either in plain text or HTML. . The tools only support passive mode FTP. There is no plan to support active mode. . See http://www.ohse.de/uwe/ftpcopy.html for more information. Package: ftpd Source: linux-ftpd Version: 0.17-34 Architecture: armhf Maintainer: Alberto Gonzalez Iniesta Installed-Size: 133 Depends: openbsd-inetd | inet-superserver, libpam-modules, libc6 (>= 2.11), libpam0g (>= 0.99.7.1) Conflicts: ftp-server Replaces: netstd Provides: ftp-server Homepage: http://ftp.uk.linux.org/pub/linux/Networking/netkit/ Priority: extra Section: net Filename: pool/main/l/linux-ftpd/ftpd_0.17-34_armhf.deb Size: 51662 SHA256: 8ff4474f5417081ddaa2400cdf473b9884283c64cb62295daa336de1007faf9f SHA1: f4b54f3f877eb602faf53f294339ea18615fd5b2 MD5sum: d73fcc3947fe5cf577c16ef9aa20d067 Description: File Transfer Protocol (FTP) server This is the netkit ftp server. You are recommended to use one of its alternatives, such as vsftpd, proftpd, or pure-ftpd. . This server supports IPv6, and can be used in standalone mode as well as in inetd-slave mode, but other servers have better long-term security screening. . The server can be used with GNU/Linux, GNU/kFreeBSD, and GNU/Hurd. Package: ftpd-ssl Source: linux-ftpd-ssl Version: 0.17.33+0.3-1+deb7u1 Architecture: armhf Maintainer: Ian Beckwith Installed-Size: 102 Depends: openbsd-inetd | inet-superserver, libpam-modules, openssl (>= 0.9.8g-9), libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1), libssl1.0.0 (>= 1.0.0) Conflicts: ftp-server, ftpd Replaces: ftpd Provides: ftp-server Priority: extra Section: net Filename: pool/main/l/linux-ftpd-ssl/ftpd-ssl_0.17.33+0.3-1+deb7u1_armhf.deb Size: 61568 SHA256: 9c6a8bd6342635fe0af8e040ab39201c7c877dbd342392085fb1c9468f5d4a7e SHA1: 9a0474966cfd8be18af33df2ec98e7c412062ef2 MD5sum: fbdadae0c93898f0699679aba0e4b9a3 Description: FTP server with SSL encryption support This is the netkit ftp server with encryption support. . ftpd-ssl replaces normal ftpd using SSL authentication and encryption. It interoperates with normal ftp. It checks if the other side is also talking SSL, if not it falls back to normal ftp protocol. . Advantages over normal ftp(d): Your passwords and the data you send will not go in cleartext over the line. Nobody can get it with tcpdump or similar tools. Package: ftpgrab Version: 0.1.5-3 Architecture: armhf Maintainer: Christian T. Steigies Installed-Size: 104 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Priority: optional Section: net Filename: pool/main/f/ftpgrab/ftpgrab_0.1.5-3_armhf.deb Size: 37314 SHA256: 710c3e162c1c17fcf5ea0abfd1cc34dfa92df464763b6986653e3c32c7f431c2 SHA1: da87686346d0b05398ddf852ed30af682cb70c8b MD5sum: 00898534f2f223c30b9afd9cbdd20e7e Description: file mirroring utility ftpgrab is a utility for maintaining FTP mirrors. In fact not unlike the "Mirror" perl program. However ftpgrab is oriented towards the smaller site which doesn't have the resources to mirror entire version trees of software. . The primary "plus point" of ftpgrab is that it can base download decisions by parsing version numbers out of filenames. For example, ftpgrab will recognize that the file "linux-2.2.2.tar.gz" is newer than "linux-2.2.1.tar.gz" based on the version string. It will then download the new version and delete the old one when it is done, thus saving you mirroring 10 kernel versions all at >10Mb each. Package: ftphs-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ftphs-doc Size: 4068 SHA256: bfcb43195435c4ac55ddd6e9307b6fdd339352b70bb2fe489dde13a519891197 SHA1: bc0600b52aa48f27a9db3784277d6483d500c168 MD5sum: 8f44b51677e5d92417142ce59a05bb25 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::examples, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/ftphs-doc_8_all.deb Package: ftplib-dev Source: ftplib Version: 3.1-1-9 Architecture: armhf Maintainer: Raphaël Hertzog Installed-Size: 155 Depends: ftplib3 (= 3.1-1-9), libc6 (>= 2.4) Homepage: http://nbpfaus.net/~pfau/ftplib/ Priority: optional Section: libdevel Filename: pool/main/f/ftplib/ftplib-dev_3.1-1-9_armhf.deb Size: 50838 SHA256: 56abcaac44095d80eb88bf6fb97ed3cfe6ebc3c050ce63781d0236e8262274cd SHA1: 7d7ad8c2071821a2b5fe9d7e939eec472c6fc070 MD5sum: 4a40cc7843833453cc681b5b5b92535e Description: Library of callable ftp routines (development) Ftplib makes it easier for C programmers to use file transfer in their programs. This package is required to compile and link programs that use ftplib. It includes an example command line utility for transferring files via ftp (RFC959). Package: ftplib3 Source: ftplib Version: 3.1-1-9 Architecture: armhf Maintainer: Raphaël Hertzog Installed-Size: 67 Pre-Depends: multiarch-support Depends: libc6 (>= 2.7) Multi-Arch: same Homepage: http://nbpfaus.net/~pfau/ftplib/ Priority: optional Section: libs Filename: pool/main/f/ftplib/ftplib3_3.1-1-9_armhf.deb Size: 16114 SHA256: b291340ee98f54565356fd638e4094a123ff1c1bc10d551fa95ca70221a3d241 SHA1: a74d92a1e2b33d6e5c589a0be341d91d01e597c8 MD5sum: 7143528ad2c91e1010d79e3b1687571a Description: Library of callable ftp routines Ftplib presents a convenient C interface for the standard File Transfer Protocol (FTP). It makes it easier for programmers to use file transfer in their programs. Package: ftpmirror Version: 1.96+dfsg-13 Architecture: armhf Maintainer: Dario Minnucci Installed-Size: 745 Depends: perl (>= 5.14.2-9), libc6 (>= 2.4), perlapi-5.14.2 Recommends: cron Homepage: ftp://ftp.intec.co.jp/pub/utils/ftpmirror Priority: optional Section: net Filename: pool/main/f/ftpmirror/ftpmirror_1.96+dfsg-13_armhf.deb Size: 194198 SHA256: be45693c5af9b065ddf9646921059f9db53eb4d7a398299f780c4a3dd5fac7d2 SHA1: 4d04c306412e0d35a3bc183db03042accfefc269 MD5sum: c24af386e59ef171cac76db60bbc01f1 Description: Mirroring directory hierarchy using FTP protocol ftpmirror is an utility to copy directory hierarchy (usually called 'mirror') using FTP protocol. . A similar perl script exists, whose name is 'mirror', but ftpmirror requires less memory than mirror. Package: ftpwatch Version: 1.21 Installed-Size: 60 Maintainer: Hakan Ardo Architecture: all Depends: perl, libnet-perl Size: 5708 SHA256: 710872237be46ec4e04c37c2d9afcde534120ae62b024a3948f9808d53957d39 SHA1: 1b0b5807fffc88e18c7a1de271551a9c8dc13867 MD5sum: f373be362b08d432e8cdda3245c3f00f Description: Notifies you of changes on remote ftp servers This package should be installed as a cron job (for interested users) which every week makes a ftp connection to a list of sites and studies a set of files or directories and report any changes it finds by email. . Every user can have his own set of sites and directories to watch. Tag: interface::daemon, network::client, protocol::ftp, role::program, use::monitor, works-with::file Section: net Priority: extra Filename: pool/main/f/ftpwatch/ftpwatch_1.21_all.deb Package: fts Version: 1.1-1.1 Installed-Size: 44 Maintainer: Cajus Pollmeier Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-fuse, debconf (>= 0.5) | debconf-2.0, fuse, python-argparse, ucf, python-pkg-resources Pre-Depends: adduser Recommends: tftpd | atftpd | tftpd-hpa Size: 18996 SHA256: 59b3debfb771de96309bd28e3857dea64a690794e8296432ec10346557032343 SHA1: 65654705dfd9d45fbbe73ef339c24bab929c9f56 MD5sum: 97386f7d53a18306d772a3a8df6c09e1 Description: Modular TFTP/Fuse supplicant FTS is a modular fuse-tftp-supplicant which allows one to create pxelinux configurations for different types of clients using external modules on the fly. . It ships with modules for FAI (Fully automated install), OPSI (OpenPc integration), LTSP5 (Linux Terminal server project) and the clacks infrastructure daemon. . This package provides the main FUSE filesystem. Homepage: https://github.com/gonicus/fts Tag: devel::lang:perl, implemented-in::perl, role::shared-lib Section: utils Priority: optional Filename: pool/main/f/fts/fts_1.1-1.1_all.deb Package: fts-clacks Source: fts Version: 1.1-1.1 Installed-Size: 8 Maintainer: Cajus Pollmeier Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), fts Recommends: clacks-common Size: 5816 SHA256: 4d38aca71bedd494187006895c7fd42068eb9b2e87dfcd518e0799d0bb935aa6 SHA1: 0c4b095709eb657548335ad07eac2fb476ac8077 MD5sum: fd2324cb6b4e126691929de0cb14b531 Description: Clacks module for the TFTP/Fuse supplicant FTS is a modular fuse-tftp-supplicant which allows one to create pxelinux configurations for different types of clients using external modules on the fly. . This package provides the Clacks module for FTS. Homepage: https://github.com/gonicus/fts Section: utils Priority: optional Filename: pool/main/f/fts/fts-clacks_1.1-1.1_all.deb Package: fts-fai-ldap Source: fts Version: 1.1-1.1 Installed-Size: 14 Maintainer: Cajus Pollmeier Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), fts, python-ldap Size: 7296 SHA256: 95eea74a3f26744f74a148adb4c30b3d1401ae62508f9bece6cd11d321faed45 SHA1: b66a30494734d4fbe3ab774805dbc50202595def MD5sum: 29eb2ec00e5c8c7a1af5a1e38a7af8d9 Description: LDAP FAI module for the TFTP/Fuse supplicant FTS is a modular fuse-tftp-supplicant which allows one to create pxelinux configurations for different types of clients using external modules on the fly. . This package provides the FAI module for FTS which is using the LDAP backend in conjunction with GOsa and GOto to generate client configurations. Homepage: https://github.com/gonicus/fts Section: utils Priority: optional Filename: pool/main/f/fts/fts-fai-ldap_1.1-1.1_all.deb Package: fts-ltsp-ldap Source: fts Version: 1.1-1.1 Installed-Size: 14 Maintainer: Cajus Pollmeier Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), fts, python-ldap Size: 7072 SHA256: aaa5b8b8ff579046d85cee18350d1656047fd44e751362bab955af8a9df21105 SHA1: 77a9c5caf800f63e3a1e8c0577db5366100b7b53 MD5sum: 1a5f04cd5801652a9dbbcabc95940215 Description: LDAP LTSP module for the TFTP/Fuse supplicant FTS is a modular fuse-tftp-supplicant which allows one to create pxelinux configurations for different types of clients using external modules on the fly. . This package provides the LTSP5 module for FTS which is using the LDAP backend in conjunction with GOsa and GOto to generate client configurations. Homepage: https://github.com/gonicus/fts Section: utils Priority: optional Filename: pool/main/f/fts/fts-ltsp-ldap_1.1-1.1_all.deb Package: fts-opsi Source: fts Version: 1.1-1.1 Installed-Size: 11 Maintainer: Cajus Pollmeier Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), fts, python-ldap Size: 6488 SHA256: d378b66f7beea4654db77396b7d6545bc666a494d4d5ed41c754fc02266783de SHA1: 6a132403ed0d584827394929019aa9fa8fd63dce MD5sum: b1b182a0fe552d986deb59ab0ca25cb8 Description: LDAP LTSP module for the TFTP/Fuse supplicant FTS is a modular fuse-tftp-supplicant which allows one to create pxelinux configurations for different types of clients using external modules on the fly. . This package provides the OPSI module for FTS. Homepage: https://github.com/gonicus/fts Section: utils Priority: optional Filename: pool/main/f/fts/fts-opsi_1.1-1.1_all.deb Package: fullquottel Version: 0.1.2-2 Architecture: armhf Maintainer: gregor herrmann Installed-Size: 278 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: mailtextbody Homepage: http://www.toastfreeware.priv.at/ Priority: optional Section: mail Filename: pool/main/f/fullquottel/fullquottel_0.1.2-2_armhf.deb Size: 59996 SHA256: c8b1352e60b980ed1280d1270c3075f4a6208a7bd45304f49a869752dca75dee SHA1: 915677fcc6157293b71f34e5a33313cb56ada431 MD5sum: 67edb21125973485acad10165dc48371 Description: tool for recognizing top-posted e-mail and usenet replies Fullquottel performs several tests to determine if a message is written in the top-post style (a.k.a. "TOFU" or jeopardy-style quoting) - the full response above and a full quote of the original message below. . Each test is customizable and has an associated score. Fullquottel returns the total score for the message in several forms which are useful e.g. for sorting e-mail. Package: funcoeszz Version: 8.3-2 Installed-Size: 152 Maintainer: Eder L. Marques Architecture: all Depends: lynx Size: 57562 SHA256: 46bf2a34868e54424bb05ab9f138f64e189a7886f5a3779faf5ec45403d8be2f SHA1: f70bc67628d899a13bacea1dc6e33f4822a645a0 MD5sum: 1d0f6ac6a854826d01794c820b46b375 Description: script with 65 useful mini applications Funcoes ZZ is a bash script that contains 65 useful applications, ready to run from command line on UNIX systems (Linux, BSD, Cygwin, Mac OS X, and others). This functions includes: Conversions and calculations (dates, hours, measure units, CPF, CNPJ) File manipulation (change name, extension, content) Data manipulation (statistics, filters, password generators) Internet site queries (dictionaries, translators, news, searches) Homepage: http://funcoeszz.net/ Section: misc Priority: optional Filename: pool/main/f/funcoeszz/funcoeszz_8.3-2_all.deb Package: funkload Version: 1.13.0-1.1 Installed-Size: 594 Maintainer: Toni Mueller Architecture: all Depends: python, python-support (>= 0.90.0), python-pkg-resources, python-webunit (>= 1:1.3.10), python-docutils Recommends: tcpwatch-httpproxy, gnuplot (>= 4.2) Size: 155026 SHA256: 7ef1985254bee0b2866c0b89f9185a1fea659ffaf16ffae427965dcd91a85160 SHA1: 16e1d338ceecafee556a6adbbeb7d31778ad8db4 MD5sum: fb7c8ae520df35892df9d5b390de3f5b Description: web testing tool This web testing tool is designed to allow testing the functionality and performance of web applications in the same spirit as Perl's WWW::Mechanize. The tool supports recording clicks through a website, saving them into a Python class which you can later modify, and playing them back later. This allows you to script your web applications. 'funkload' also supports performance and load testing your applications. Tag: devel::testing-qa, devel::web, implemented-in::python, role::program Section: web Priority: extra Filename: pool/main/f/funkload/funkload_1.13.0-1.1_all.deb Package: funnelweb Version: 3.2-4.2 Architecture: armhf Maintainer: Yann Dirson Installed-Size: 214 Depends: libc6 (>= 2.13-28) Suggests: funnelweb-doc, texlive, task-devel-common Priority: extra Section: devel Filename: pool/main/f/funnelweb/funnelweb_3.2-4.2_armhf.deb Size: 86606 SHA256: 8961c3efaf1c4ccce67965e41b1e30dfe5e9e69f2095321abd911d90b2a70d4e SHA1: d4f29d25fe8b26a03c7f658c7756d1ae14ff2884 MD5sum: de20d0f0b23bc664cd8237a281c51d3a Description: literate-programming tool Literate-programming allows the programmer to write a program's code and the code's documentation, with equal importance accorded to both. This helps to produce well-documented code. . Unlike other literate-programming tools, funnelweb is not derived from Knuth's cweb. . It claims the following technical features: * Can be used with any programming language. * Runs on most platforms. * Mature and essentially bug-free (released 1992). * Simple; specifically designed to minimize errors. * High speed; doesn't stretch the development cycle. * Generates documentation in HTML and TeX forms. . This package does not include the reference, tutorial, and developer manuals. See package funnelweb-doc for these. Package: funnelweb-doc Version: 3.2d-3 Installed-Size: 1920 Maintainer: Yann Dirson Architecture: all Suggests: funnelweb Size: 219234 SHA256: 768f036d6b57c7d39f13c8f4a59e695c17bf14985ef5853acb9d47d1f5683be4 SHA1: 92eefd76ada9f16a95fc86f9580e72ec87f222a5 MD5sum: f0da6302a9c58b698b79f22a2821bee1 Description: Documentation for funnelweb This package includes the HTML manuals for the funnelweb litterate-programming system. These are the Tutorial Manual, the Reference Manual, and the Developer Manual. Tag: devel::doc, devel::docsystem, made-of::html, role::documentation, use::converting, works-with-format::html, works-with-format::tex, works-with::software:source, works-with::text Section: doc Priority: optional Filename: pool/main/f/funnelweb-doc/funnelweb-doc_3.2d-3_all.deb Package: funny-manpages Version: 1.3-5 Installed-Size: 140 Maintainer: Pawel Wiecek Architecture: all Suggests: asr-manpages (>= 1.3-4) Size: 31034 SHA256: 755230eb1cff6bfa8c4ffd5d1e1d5c9473866d35cfafd0e27d0272f74fdf1246 SHA1: 6d8a2b767b9e6a10565d27f7a28a00e6c56cc2cd MD5sum: f3e0b2795af3ee4330b75fcecd94ece6 Description: more funny manpages A set of miscellaneous humorous manpages (don't take them too seriously!). Includes, amongst others, rtfm (1). Warning! Some of these manpages might be treated offensive. You've been warned. Tag: devel::doc, game::toys, made-of::man, role::documentation Section: doc Priority: optional Filename: pool/main/f/funny-manpages/funny-manpages_1.3-5_all.deb Package: funnyboat Version: 1.5-8 Installed-Size: 4080 Maintainer: Debian Games Team Architecture: all Depends: python, python-support (>= 0.90.0), ttf-dejavu, python-pygame (>= 1.7), python-ogg Size: 3794452 SHA256: bf1f51d5e4b032ee3f0471833993bb4602d3b3a5752e404fa69617246300806f SHA1: 7a4f1d50893c80931196e44587ef6a0175473f72 MD5sum: 3d1744933632f30ab1916f9ecbd27509 Description: a side scrolling arcade shooter game on a steamboat Trip on the Funny Boat is side scrolling arcade shooter game on a steamboat equipped with a cannon and the ability to jump. The player will need to take advantage of waves to defeat the enemies and dodge hazards. Homepage: http://funnyboat.sf.net/ Tag: game::arcade, implemented-in::python, interface::x11, role::program, uitoolkit::sdl, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/f/funnyboat/funnyboat_1.5-8_all.deb Package: funtools Version: 1.4.4-3 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 400 Depends: libc6 (>= 2.13-28), libfuntools1, libwcstools0, zlib1g (>= 1:1.1.4) Homepage: https://www.cfa.harvard.edu/~john/funtools/ Priority: extra Section: science Filename: pool/main/f/funtools/funtools_1.4.4-3_armhf.deb Size: 221990 SHA256: da36c964e7609c31f092de96223a0a257cd0d2340735fc56388947d7baffb960 SHA1: 8572b3cd5413610a28ec2f881589d1a2ba92ffc8 MD5sum: 404319e3fbc1e0b1ef95b303fc70daad Description: Minimal buy-in FITS utility package Funtools, is a "minimal buy-in" FITS library and utility package developed at the High Energy Astrophysics Division of SAO. The Funtools library provides simplified access to a wide array of file types: standard astronomical FITS images and binary tables, raw arrays and binary event lists, and even tables of ASCII column data. A sophisticated region filtering library (compatible with ds9) filters images and tables using boolean operations between geometric shapes, support world coordinates, etc. Funtools also supports advanced capabilities such as optimized data searching using index files. . This package contains the tools. Package: furiusisomount Version: 0.11.3.1~repack1-0.1 Installed-Size: 444 Maintainer: Alessio Treglia Architecture: all Depends: python (>= 2.6.6-7~), fuseiso, fuseiso9660, python-gtk2, python-glade2, nautilus Suggests: brasero Size: 80890 SHA256: 3c45eebbf232a90404174e156e72273154f31b381281e45b0e273506ab15e8b3 SHA1: 4a8bc6d2de2f1c9b579de28516e5499babdfeaf9 MD5sum: 3f42064cec791a310474f2f6de777b5a Description: ISO, IMG, BIN, MDF and NRG image management utility Furius ISO Mount is a simple application for mounting ISO, IMG, BIN, MDF and NG image files even without burning them to disk. . It provides the following features: - Automatically Mounts ISO, IMG, BIN, MDF and NRG image files. - Automatically creates a mount point in your home directory. - Automatically Unmounts the Image files. - Automatically removes the mount directory to return your home directory to its previous state. - Automatically saves the history of the last 10 images mounted. - Mounts multiple images. - Burn ISO and IMG Files to optical disk. - Generate Md5 and SHA1 checksums. - Automatically retrieves any previously unmounted images. - Automatically generates a log file of all commands needed to mount and unmount images manually. Homepage: https://launchpad.net/furiusisomount Tag: role::program Section: otherosfs Priority: optional Filename: pool/main/f/furiusisomount/furiusisomount_0.11.3.1~repack1-0.1_all.deb Package: fuse Version: 2.9.0-2+deb7u2 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 98 Depends: libc6 (>= 2.13-28), libfuse2 (= 2.9.0-2+deb7u2), adduser, mount (>= 2.19.1), sed (>= 4), udev | makedev Conflicts: fuse-utils (<< 2.8.5-2~) Breaks: loop-aes-utils (<< 2.16.2-3~) Replaces: fuse-utils Homepage: http://fuse.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/f/fuse/fuse_2.9.0-2+deb7u2_armhf.deb Size: 70784 SHA256: 54c6a2d48dfa0310b6dac4cd6778de0371039d6d7e961dc8687b76631c418ec3 SHA1: ded1a56b81e2ff5f7068283fbab8643afbaebb15 MD5sum: 2c06ca111d38d0f64492e74334687c2d Description: Filesystem in Userspace Filesystem in Userspace (FUSE) is a simple interface for userspace programs to export a virtual filesystem to the Linux kernel. It also aims to provide a secure method for non privileged users to create and mount their own filesystem implementations. Package: fuse-convmvfs Version: 0.2.6-2 Architecture: armhf Maintainer: Stanislav Maslovski Installed-Size: 73 Depends: fuse, libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: http://fuse-convmvfs.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/f/fuse-convmvfs/fuse-convmvfs_0.2.6-2_armhf.deb Size: 17930 SHA256: 2d02f765cdb912d605634e5bd3c4712c01c1ac2410f848e7eb3048b01a916c8d SHA1: 4010dba87f416804221cc4849b7ce5b5172f1272 MD5sum: 25347311b3ee477678cd594757405469 Description: mirrors a whole filesystem tree from one charset to another convmvfs is a FUSE (File System in Userspace) utility that transparently mirrors a filesystem tree converting the filenames from one charset to another on the fly. Only the names of files and directories are converted; the file content remains intact. The mirrored tree is mounted at a given mountpoint. Package: fuse-dbg Source: fuse Version: 2.9.0-2+deb7u2 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 292 Depends: fuse (= 2.9.0-2+deb7u2), libfuse2 (= 2.9.0-2+deb7u2), libfuse-dev (= 2.9.0-2+deb7u2) Homepage: http://fuse.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/f/fuse/fuse-dbg_2.9.0-2+deb7u2_armhf.deb Size: 254228 SHA256: 7e33b0ccf28d0de76a144ea2208821b089e55ef7c484db796c87421f34f3c002 SHA1: 207afa74e09a49245420539b5e7f74e07b7b0a62 MD5sum: a6478670a848c636c227d5440ca1ff93 Description: Filesystem in Userspace Filesystem in Userspace (FUSE) is a simple interface for userspace programs to export a virtual filesystem to the Linux kernel. It also aims to provide a secure method for non privileged users to create and mount their own filesystem implementations. Package: fuse-emulator-common Source: fuse-emulator Version: 1.0.0.1a+dfsg1-4 Installed-Size: 152 Maintainer: Alberto Garcia Architecture: all Replaces: fuse-emulator (<< 1.0.0+dfsg1-1) Depends: opense-basic | spectrum-roms Recommends: fuse-emulator-gtk | fuse-emulator-sdl Suggests: spectrum-roms, fuse-emulator-utils Breaks: fuse-emulator (<< 1.0.0+dfsg1-1) Size: 80058 SHA256: c76001dc98f7471d3f1cec1644b1f9181c9a69f2ced540d6f912643c1c22d04b SHA1: afbb0dd7c734311868293a9a7a1ff293d28e075d MD5sum: fd61fcbaead357712b4cfc9292eabf4a Description: The Free Unix Spectrum Emulator (common files) Fuse is a Sinclair ZX Spectrum emulator. It supports several models (including 16K/48K/128K/+2/+2A/+3 and some clones), with quite faithful emulation of the display and sound. . Its features include: * Accurate Spectrum 16K/48K/128K/+2/+2A/+3 emulation. * Working Spectrum +3e and SE, Timex TC2048, TC2068 and TS2068, Pentagon 128, "512" (Pentagon 128 with extra memory) and 1024 and Scorpion ZS 256 emulation. * Runs at true Speccy speed on any computer you're likely to try it on. * It can load Z80, SZX and SNA snapshots, TAP and TZX virtual-tape files (including accelerated loading), MDR microdrive images and DSK floppy disk images, among many others. * Sound. * Emulation of most of the common joysticks used on the Spectrum (including Kempston, Sinclair and Cursor joysticks). * Emulation of some of the printers you could attach to a Spectrum. * Support for the RZX input recording file format, including rollback and 'competition mode'. * Emulation of the DivIDE, Interface I, Kempston mouse, Spectrum +3e, ZXATASP, ZXCF, Beta 128, Opus Discovery and +D interfaces. . This package provides files common to all versions of the emulator. To get the actual emulator, install fuse-emulator-gtk or fuse-emulator-sdl. Homepage: http://fuse-emulator.sourceforge.net/ Tag: role::app-data Section: otherosfs Priority: optional Filename: pool/main/f/fuse-emulator/fuse-emulator-common_1.0.0.1a+dfsg1-4_all.deb Package: fuse-emulator-gtk Source: fuse-emulator Version: 1.0.0.1a+dfsg1-4 Architecture: armhf Maintainer: Alberto Garcia Installed-Size: 939 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libspectrum8 (>= 1.0.0), libx11-6, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), fuse-emulator-common (= 1.0.0.1a+dfsg1-4) Homepage: http://fuse-emulator.sourceforge.net/ Priority: optional Section: otherosfs Filename: pool/main/f/fuse-emulator/fuse-emulator-gtk_1.0.0.1a+dfsg1-4_armhf.deb Size: 349826 SHA256: f94410cab64d5ca92f9b6ba57f4d04f1bc018c48c0be6589f1b74c101e9e0c43 SHA1: 31e33a134fe7cd614839b5bf13ed9f53cb7c6725 MD5sum: cb521e3145b57ab205fee2abf3fcd138 Description: The Free Unix Spectrum Emulator (GTK+ version) Fuse is a Sinclair ZX Spectrum emulator. It supports several models (including 16K/48K/128K/+2/+2A/+3 and some clones), with quite faithful emulation of the display and sound. . Its features include: * Accurate Spectrum 16K/48K/128K/+2/+2A/+3 emulation. * Working Spectrum +3e and SE, Timex TC2048, TC2068 and TS2068, Pentagon 128, "512" (Pentagon 128 with extra memory) and 1024 and Scorpion ZS 256 emulation. * Runs at true Speccy speed on any computer you're likely to try it on. * It can load Z80, SZX and SNA snapshots, TAP and TZX virtual-tape files (including accelerated loading), MDR microdrive images and DSK floppy disk images, among many others. * Sound. * Emulation of most of the common joysticks used on the Spectrum (including Kempston, Sinclair and Cursor joysticks). * Emulation of some of the printers you could attach to a Spectrum. * Support for the RZX input recording file format, including rollback and 'competition mode'. * Emulation of the DivIDE, Interface I, Kempston mouse, Spectrum +3e, ZXATASP, ZXCF, Beta 128, Opus Discovery and +D interfaces. . This package provides binaries for the GTK+ version of Fuse. Package: fuse-emulator-sdl Source: fuse-emulator Version: 1.0.0.1a+dfsg1-4 Architecture: armhf Maintainer: Alberto Garcia Installed-Size: 942 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libspectrum8 (>= 1.0.0), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), fuse-emulator-common (= 1.0.0.1a+dfsg1-4) Homepage: http://fuse-emulator.sourceforge.net/ Priority: optional Section: otherosfs Filename: pool/main/f/fuse-emulator/fuse-emulator-sdl_1.0.0.1a+dfsg1-4_armhf.deb Size: 347020 SHA256: 249c454c089aeb3f3ac4dd81a089c269016fd5b24fa6d60893d2464274a5a4fe SHA1: 335cd4adc7d452e2fa71706e67470225934659a4 MD5sum: 5236fcfc74bd74752a136194fbcc6673 Description: The Free Unix Spectrum Emulator (SDL version) Fuse is a Sinclair ZX Spectrum emulator. It supports several models (including 16K/48K/128K/+2/+2A/+3 and some clones), with quite faithful emulation of the display and sound. . Its features include: * Accurate Spectrum 16K/48K/128K/+2/+2A/+3 emulation. * Working Spectrum +3e and SE, Timex TC2048, TC2068 and TS2068, Pentagon 128, "512" (Pentagon 128 with extra memory) and 1024 and Scorpion ZS 256 emulation. * Runs at true Speccy speed on any computer you're likely to try it on. * It can load Z80, SZX and SNA snapshots, TAP and TZX virtual-tape files (including accelerated loading), MDR microdrive images and DSK floppy disk images, among many others. * Sound. * Emulation of most of the common joysticks used on the Spectrum (including Kempston, Sinclair and Cursor joysticks). * Emulation of some of the printers you could attach to a Spectrum. * Support for the RZX input recording file format, including rollback and 'competition mode'. * Emulation of the DivIDE, Interface I, Kempston mouse, Spectrum +3e, ZXATASP, ZXCF, Beta 128, Opus Discovery and +D interfaces. . This package provides binaries for the SDL version of Fuse. Package: fuse-emulator-utils Version: 1.0.0-4 Architecture: armhf Maintainer: Alberto Garcia Installed-Size: 232 Depends: libaudiofile1 (>= 0.3.3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.12.0), libspectrum8 (>= 1.0.0), libstdc++6 (>= 4.6) Homepage: http://fuse-emulator.sourceforge.net/ Priority: optional Section: otherosfs Filename: pool/main/f/fuse-emulator-utils/fuse-emulator-utils_1.0.0-4_armhf.deb Size: 88504 SHA256: 52349f9837b348d14daf080f0adb34f5e08926352c991378177ff0774ed6fc33 SHA1: cf9dabb98bbf21c88edee8d2b8e9fc67acb6a4b3 MD5sum: 1f5ea069bd3e4c2fde066ccfc63e2bf0 Description: The Free Unix Spectrum Emulator - Utilities The Fuse utilities are a few tools which may be of occasional use when dealing with ZX Spectrum emulator files. They were originally distributed with Fuse, the Free Unix Spectrum Emulator, but are now independent of Fuse and can be used on their own. . The available utilities are: * audio2tape: convert an audio file to tape format. * createhdf: create an empty .hdf IDE hard disk image. * listbasic: list the BASIC in a snapshot or tape file. * profile2map: convert Fuse profiler output to Z80-style map format. * raw2hdf: create a .hdf IDE hard disk image from another file. * rzxcheck: verify the digital signature in an RZX file. * rzxdump: list the contents of an RZX input recording file. * rzxtool: add, extract or remove the embedded snapshot from an RZX file, or compress or uncompress the file. * scl2trd: convert .scl disk images to .trd disk images. * snap2tzx: convert snapshots to TZX tape images. * snapconv: convert between snapshot formats. * tape2wav: convert a tape file to .wav audio format. * tapeconv: convert between .tzx and .tap files. * tzxlist: list the contents of a TZX file. Package: fuse-posixovl Version: 1.2.20120215+gitf5bfe35-1 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 66 Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1) Homepage: http://sourceforge.net/projects/posixovl Priority: optional Section: misc Filename: pool/main/f/fuse-posixovl/fuse-posixovl_1.2.20120215+gitf5bfe35-1_armhf.deb Size: 17830 SHA256: dbbd5a5a5d871d61cee50cdebd721332eee1435815950a063f2f2a53d2fb6aa5 SHA1: f73192b6f18eff18ff8442510a46d0fb4d7c3b1a MD5sum: b58b17f43d978c949b54370edda50b9f Description: FUSE file system that provides POSIX functionality With posixovl it is possible to mount FAT, VFAT, NTFS file system so that it behaves like POSIX. File permissions, symbolic links etc. are supported transparently. An extra file stores the information and the file system itself stays unmodified. It is a modern equivalent of the UMSDOS file system. . Typical use: Mount an USB FAT32 drive with posixovl and your files retain their permission settings. . This package extends mount and provides option '-t posixovl'. Package: fuse-utils Source: fuse Version: 2.9.0-2+deb7u2 Installed-Size: 69 Maintainer: Daniel Baumann Architecture: all Depends: fuse Size: 44138 SHA256: d6fc3100561d02eb04ae1d6e01db6b9002c1e99c317c874d3c2fb27939e97eba SHA1: f4533a74982fa60f368cf86bdbb992044733d986 MD5sum: dbb33e74e0fb30034f2e47c64e0a9644 Description: Filesystem in Userspace (transitional package) Package to ease upgrading from older fuse-utils packages to the new fuse package. . This package can be purged at anytime once the fuse package has been installed. Homepage: http://fuse.sourceforge.net/ Section: utils Priority: optional Filename: pool/main/f/fuse/fuse-utils_2.9.0-2+deb7u2_all.deb Package: fuse4bsd-dkms Source: fuse4bsd Version: 0.3.9~pre1.20080208-4 Installed-Size: 188 Maintainer: GNU/kFreeBSD Maintainers Architecture: all Depends: dkms (>= 2.2.0.1-3), freebsd-buildutils (>= 8.2-2), kfreebsd-headers-8 | kfreebsd-headers Size: 72036 SHA256: 2b92ef8c044d79750770d5c28a3a6f5a5b8ae410f4575895d1c27f160dabd316 SHA1: 685c46832359780626db799bf53a94030ada19b0 MD5sum: a84ccb070ebd1fd5a3f7c937ceb30e2b Description: FUSE module for kFreeBSD This package provides FUSE module for kernel of FreeBSD. Section: libs Priority: optional Filename: pool/main/f/fuse4bsd/fuse4bsd-dkms_0.3.9~pre1.20080208-4_all.deb Package: fusedav Version: 0.2-3.1 Architecture: armhf Maintainer: Sebastian Harl Installed-Size: 75 Depends: fuse, libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libneon27-gnutls Homepage: http://0pointer.de/lennart/projects/fusedav/ Priority: optional Section: web Filename: pool/main/f/fusedav/fusedav_0.2-3.1_armhf.deb Size: 21532 SHA256: 9459424805f4199d873eaac9864ad3cca30d4171547911e6d86b544ea6958b59 SHA1: 11fc575a63f3c5eef15175b3f66e69d6f629cd65 MD5sum: 6105f24443ad7314adef84a22912cd5c Description: filesystem to mount WebDAV shares fusedav is a userspace filesystem driver that allows you to mount WebDAV shares. This way you can transparently edit and manage files on a remote server. . It uses FUSE (Filesystem in USErspace), thus you need a FUSE-enabled kernel. . WebDAV (Web-based Distributed Authoring and Versioning) is a set of extensions to the HTTP protocol which allows users to collaboratively edit and manage files on remote web servers. Package: fuseiso Version: 20070708-3+deb7u1 Architecture: armhf Maintainer: David Paleino Installed-Size: 38 Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), zlib1g (>= 1:1.1.4), fuse Homepage: http://fuse.sourceforge.net/wiki/index.php/FuseIso Priority: optional Section: admin Filename: pool/main/f/fuseiso/fuseiso_20070708-3+deb7u1_armhf.deb Size: 22910 SHA256: 66f44f09da4b7497791be3346d09bdf65f6141ba5745d231707e2991a8f734f0 SHA1: 9c27d483cd5fbe6b889451956bfad1d5d9e3d1ba MD5sum: 78cf88b71ecb13ad2cce7c709fef3cc1 Description: FUSE module to mount ISO filesystem images This package provides a module to mount ISO filesystem images using FUSE. With FUSE it is possible to implement a fully functional filesystem in a userspace program. . It can also mount single-tracks .BIN, .MDF, .IMG and .NRG. Package: fusesmb Version: 0.8.7-1.2 Architecture: armhf Maintainer: Samuel Mimram Installed-Size: 108 Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libsmbclient (>= 3.0.24), fuse, samba-common, samba-common-bin Priority: optional Section: utils Filename: pool/main/f/fusesmb/fusesmb_0.8.7-1.2_armhf.deb Size: 27430 SHA256: 4021107a3d3b1743a1027805d0864d8c34ac54e02198c5f2c20df59e45862cb1 SHA1: 462c4b282b138ffec9f069ab52f525cd807a80e4 MD5sum: e3ca202ad5bc01a8c8ebd372bd127e3a Description: filesystem client based on the SMB file transfer protocol fusesmb is a filesystem client based on the SMB file transfer protocol. This gives the ability to transparently exchange files with Microsoft Windows servers and Unix servers running Samba. . It is based on FUSE (userspace filesystem framework for Linux), thus you will have to prepare fuse kernel module to be able to use it. Package: fusil Version: 1.4-1 Installed-Size: 824 Maintainer: Pierre Chifflier Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-ptrace (>= 0.5) Recommends: python-xlib, gcc Size: 130786 SHA256: 2342936f4905e7bdd7f87aa50e03bd2e44ce0e787d47a88d7a771d49aad9a334 SHA1: a4064d2632655b6b03a59ce4b77ca918585bef44 MD5sum: 9a3a9f501cdb8c9a8a78fcea04edf3ac Description: Fuzzing program to test applications Fusil is a fuzzing framework designed to expose bugs in software by changing random bits of its input. . It helps to start process with a prepared environment (limit memory, environment variables, redirect stdout, etc.), start network client or server, and create mangled files. Fusil has many probes to detect program crash: watch process exit code, watch process stdout and syslog for text patterns (eg. "segmentation fault"), watch session duration, watch cpu usage (process and system load), etc. . Fusil is based on a modular architecture. It computes a session score used to guess fuzzing parameters like number of injected errors to input files. Homepage: http://fusil.hachoir.org/ Python-Version: 2.5, 2.6 Section: devel Priority: extra Filename: pool/main/f/fusil/fusil_1.4-1_all.deb Package: fusion-icon Version: 0.1.0-2 Installed-Size: 264 Maintainer: Siegfried-Angel Gevatter Pujals (RainCT) Architecture: all Depends: python, python-central (>= 0.6.7), python-gtk2 | python-qt4, python-compizconfig, x11-utils, mesa-utils, compiz-gtk | compiz-kde | compiz-gnome (>= 1:0.7.6) Size: 30874 SHA256: 009c6922dae51a63476e4ef708886e2f02c034821d1e4270a5cd917285b0fc62 SHA1: d38f571826928d92bfd3c2c75538d9fc16a5b773 MD5sum: 09fa5e46c4da48fc7af4a37a3c08ea08 Description: tray icon to launch and manage Compiz Fusion The OpenCompositing Project brings 3D desktop visual effects that improve the usability and eye candy of the X Window System and provide increased productivity. . This package contains a tray icon that allows you to easily enable, disable and restart Compiz, and change the currently used window manager and/or window decorator. Python-Version: all Tag: role::program, use::configuring Section: x11 Priority: optional Filename: pool/main/f/fusion-icon/fusion-icon_0.1.0-2_all.deb Package: fusionforge-full Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 83 Maintainer: Roland Mas Architecture: all Replaces: gforge Provides: gforge Depends: debconf (>= 1.0.32) | debconf-2.0, ucf, gforge-common (= 5.2~rc1-5), gforge-web-apache2 | gforge-web, gforge-web-apache2-vhosts, gforge-db-postgresql | gforge-db, gforge-mta-exim4 | gforge-mta, gforge-shell-postgresql | gforge-shell, gforge-lists-mailman | gforge-lists, fusionforge-plugin-contribtracker, fusionforge-plugin-extratabs, fusionforge-plugin-globalsearch, fusionforge-plugin-mediawiki, fusionforge-plugin-projectlabels, fusionforge-plugin-scmarch, fusionforge-plugin-scmbzr, fusionforge-plugin-scmcvs, fusionforge-plugin-scmdarcs, fusionforge-plugin-scmgit, fusionforge-plugin-scmhg, fusionforge-plugin-scmsvn, fusionforge-plugin-blocks, fusionforge-plugin-hudson, fusionforge-plugin-oslc Conflicts: gforge, gforge-common (<< 5.2~rc1-5), gforge-cvs, sourceforge Size: 54452 SHA256: edd66124e5341f288a87f2b9b67ae7342191712424825932f98e93e344c29c80 SHA1: cd70fb04a3a00e60944c1ff235f05774cfb4c187 MD5sum: 54675d830edb86c94b41cb94d03ac49e Description: FusionForge collaborative development tool - full metapackage FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This metapackage installs a full FusionForge site with all plugins. Homepage: http://fusionforge.org/ Tag: role::metapackage Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-full_5.2~rc1-5_all.deb Package: fusionforge-minimal Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 83 Maintainer: Roland Mas Architecture: all Replaces: gforge Provides: gforge Depends: debconf (>= 1.0.32) | debconf-2.0, ucf, gforge-common (>= 5.2~rc1-5), gforge-web-apache2 | gforge-web, gforge-db-postgresql | gforge-db Conflicts: gforge, gforge-common (<< 5.2~rc1-5), gforge-cvs, sourceforge Size: 54316 SHA256: 234e6fcf7fff1141e638e0c6ddf823e48231a3bbbe72ba2d2224a3ca13f73f30 SHA1: df4ce9c54f95d4e0eb6f6d97e03f8f7c753d508c MD5sum: 30521b19a6dd766ad1a9c0573ed3f1c1 Description: FusionForge collaborative development tool - minimal metapackage FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This metapackage installs a minimal FusionForge site. Homepage: http://fusionforge.org/ Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-minimal_5.2~rc1-5_all.deb Package: fusionforge-plugin-authhttpd Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 131 Maintainer: Roland Mas Architecture: all Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web Size: 55792 SHA256: 194cc6ab030fe40010ce87ce69a34143a1154776b3250e206097ac22b1fc03c6 SHA1: 3de40a21486d029f23292f41e422297cc21b267c MD5sum: 6e20752c93e9730dda2414ca553e5559 Description: collaborative development tool - HTTPD authentication plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin contains an HTTPD authentication mechanism for FusionForge. It allows Apache authentication to be reused for FusionForge, for instance where Kerberos is used. Homepage: http://fusionforge.org/ Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-authhttpd_5.2~rc1-5_all.deb Package: fusionforge-plugin-authldap Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 141 Maintainer: Roland Mas Architecture: all Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web, php5-ldap Size: 58646 SHA256: 12eaed85a945980739cf4fed18a1b31044c505585a13d8078dca68711c37462a SHA1: cce6e1c4a8408ee7d08ea45392299a896061d8bc MD5sum: ffc7f978d4e629e9d681d15188027cfe Description: collaborative development tool - LDAP authentication plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin contains an LDAP authentication mechanism for FusionForge. It allows users to authenticate against an external LDAP directory, and syncs some of their personal information from LDAP into the FusionForge database. Homepage: http://fusionforge.org/ Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-authldap_5.2~rc1-5_all.deb Package: fusionforge-plugin-blocks Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 179 Maintainer: Roland Mas Architecture: all Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web, gforge-shell-postgresql | gforge-shell, php5-cli Size: 62900 SHA256: 343847ff571d827e305e02f1a4807f56d755e55e02fa5657e2575e8c002465ba SHA1: 7ecbd1162485c1b8e5deeab6cc7e5cec01dedb92 MD5sum: 12114e7a67fca8e3893fc5c795fa4af6 Description: collaborative development tool - Blocks plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin contains the Blocks subsystem of FusionForge. It allows each FusionForge project to have its own Blocks, and gives some control over it to the project's administrator. Homepage: http://fusionforge.org/ Tag: role::plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-blocks_5.2~rc1-5_all.deb Package: fusionforge-plugin-compactpreview Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 216 Maintainer: Roland Mas Architecture: all Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web Size: 76742 SHA256: f9d02a8da564835dc87c84cedc60720859ba93f638af0354c02968b54e6f9e9e SHA1: 66ac0515f99ad7232da7d702445f022f64b0989b MD5sum: 8e5fde8dc83c02eaa1b76875fbe42e8b Description: collaborative development tool - Compact Preview plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin adds support for user and project compact-preview (popups) compatible with the OSLC specifications. Homepage: http://fusionforge.org/ Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-compactpreview_5.2~rc1-5_all.deb Package: fusionforge-plugin-contribtracker Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 199 Maintainer: Roland Mas Architecture: all Replaces: gforge-plugin-contribtracker (<< 5.0.51-2) Depends: gforge-common (>= 4.6.99), gforge-db-postgresql (>= 4.6.99) | gforge-db, gforge-web-apache2 (>= 4.6.99) | gforge-web Conflicts: gforge-plugin-contribtracker (<< 5.0.51-2) Size: 64780 SHA256: 88f8f06e68f2be3dfcc9f6d4b72aaa9e09118a63bed2873c0ec46f9e05e5888e SHA1: 6c341fa6b92991dbc638879877ae40edb338500b MD5sum: 7027b97de979259518e91285e87e785a Description: Contribution tracker plugin for FusionForge FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin allows each project to display a list of significant contributions, along with their authors. Homepage: http://fusionforge.org/ Tag: role::plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-contribtracker_5.2~rc1-5_all.deb Package: fusionforge-plugin-doaprdf Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 147 Maintainer: Roland Mas Architecture: all Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web, php-http Size: 57412 SHA256: acf3da761b7a6aac0d548db0e4de82084fd2b3dc900262f9264351f2d9f9a413 SHA1: 1de658655338be6ffdbc276de5ca5df28d89f7bc MD5sum: f12b3c98166d582c29b175fd62bc1cee Description: collaborative development tool - DOAP RDF plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin provides DOAP RDF documents for projects on /projects URLs with content-negociation (application/rdf+xml). Homepage: http://fusionforge.org/ Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-doaprdf_5.2~rc1-5_all.deb Package: fusionforge-plugin-extratabs Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 154 Maintainer: Roland Mas Architecture: all Replaces: gforge-plugin-extratabs (<< 5.0.51-2) Provides: gforge-plugin-extratabs Depends: gforge-common (>= 4.6.99), gforge-db-postgresql (>= 4.6.99) | gforge-db, gforge-web-apache2 (>= 4.6.99) | gforge-web Conflicts: gforge-plugin-extratabs (<< 5.0.51-2) Size: 59826 SHA256: fcf2530d5d4bff53774b58d64123cb251020e821349ac35fd71a3882b2493fbb SHA1: 8d917714097e265bb2638628a6a26cabbb54410e MD5sum: 0bdaf3d148631505942094969662fea3 Description: Extratabs plugin for FusionForge FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin allows each project to define extra tabs with arbitrary titles and links. Homepage: http://fusionforge.org/ Tag: role::plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-extratabs_5.2~rc1-5_all.deb Package: fusionforge-plugin-extsubproj Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 229 Maintainer: Roland Mas Architecture: all Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web, libarc-php, fusionforge-plugin-compactpreview Size: 69458 SHA256: a1ed6dab727dfcf5106f1d09ef2cb0bf5274ad10d8e4561201ffacb4d39e9a44 SHA1: 6dd7c88b93971bb35b074a34f5ce5855a48b8b8a MD5sum: baeaf342c790e2a3610fbfe01503b591 Description: collaborative development tool - extsubproj plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin contains the extsubproj subsystem of FusionForge. It allows each FusionForge project to have its own extsubproj, and gives some control over it to the project's administrator. Homepage: http://fusionforge.org/ Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-extsubproj_5.2~rc1-5_all.deb Package: fusionforge-plugin-foafprofiles Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 149 Maintainer: Roland Mas Architecture: all Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web Size: 57878 SHA256: 8726d56521f0915b0dc29199eef12b297e805f7635e71f139982ecea63630bd0 SHA1: 8916f411df727aaf127e36fed8721c86a97ae425 MD5sum: 6d451ba3c631ae37697f7540bafa0f61 Description: collaborative development tool - FOAF Profiles plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin provides FOAF profiles for users on /users URLs with content-negociation (application/rdf+xml). Homepage: http://fusionforge.org/ Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-foafprofiles_5.2~rc1-5_all.deb Package: fusionforge-plugin-globalsearch Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 184 Maintainer: Roland Mas Architecture: all Replaces: gforge-plugin-globalsearch (<< 5.0.51-2) Provides: gforge-plugin-globalsearch Depends: gforge-common (>= 4.6.99), gforge-db-postgresql (>= 4.6.99) | gforge-db, gforge-web-apache2 (>= 4.6.99) | gforge-web, libxml-rss-perl, libgetopt-mixed-perl, libdbi-perl, libwww-perl, libcrypt-ssleay-perl, libunicode-string-perl Conflicts: gforge-plugin-globalsearch (<< 5.0.51-2) Size: 65082 SHA256: 6a9576c5b7ed5a7cb1c11d4829b9e85474a4e4e382e30714275b9b03cbf47108 SHA1: fc4d97d0dda2281693c21d3c74def1522ccf7d5e MD5sum: 7ccc49dc9a93b6c77894b36d520eb10a Description: Globalsearch plugin for FusionForge FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin contains a cross-FusionForge search engine. You can declare a list of other FusionForge sites, and search for projects hosted on these forges from your own. Homepage: http://fusionforge.org/ Tag: role::plugin, use::searching Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-globalsearch_5.2~rc1-5_all.deb Package: fusionforge-plugin-gravatar Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 114 Maintainer: Roland Mas Architecture: all Depends: gforge-common, gforge-db-postgresql | gforge-db, php5-cli Size: 54004 SHA256: e81a0a94b171d95e021b200afa3cb886d30ebab18f642cd23bddca5a42ff72b5 SHA1: 2a5d301481e3ac3c14a72ef6bde391986dcc4005 MD5sum: 58f681119ea3203d88a41ac3b7228af9 Description: collaborative development tool - Gravatar plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin contains the gravatar plugin of FusionForge. It allows each FusionForge user to have its gravatar icon displayed. Homepage: http://fusionforge.org/ Tag: role::plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-gravatar_5.2~rc1-5_all.deb Package: fusionforge-plugin-hudson Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 585 Maintainer: Roland Mas Architecture: all Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web, gforge-shell-postgresql | gforge-shell, php5-cli, libjs-scriptaculous Size: 346124 SHA256: 11ba345babf0b5d9dc29e75b104b20280a35ffbd1ef6f03f382afb82324239bf SHA1: e3cfcc0e02ac432489415fe881df693f53cb24df MD5sum: 365385a3ab6e3b8a8ccfc377a8bbcbd5 Description: collaborative development tool - Hudson plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin contains the Hudson subsystem of FusionForge. It allows each FusionForge project to have its own Hudson, and gives some control over it to the project's administrator. Homepage: http://fusionforge.org/ Tag: role::plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-hudson_5.2~rc1-5_all.deb Package: fusionforge-plugin-mediawiki Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 438 Maintainer: Roland Mas Architecture: all Replaces: gforge-plugin-mediawiki (<< 5.0.51-2) Provides: gforge-plugin-mediawiki Depends: gforge-common (>= 4.8), gforge-db-postgresql (>= 4.8) | gforge-db, gforge-web-apache2 (>= 4.8) | gforge-web, postgresql-contrib | mysql-server, mediawiki (>= 1:1.15.5-10~), php5-cli, php5-gd Conflicts: gforge-plugin-mediawiki (<< 5.0.51-2) Size: 90756 SHA256: 953f45862ab1984870bc4112ea7d1e1400c78de5b88ad01a7160e30ba5729874 SHA1: 683e3850c6a8cad02daebf1c3e86aced7f6503e5 MD5sum: c250c10d7fa2fbb37ce9427d5c6e69a6 Description: Mediawiki plugin for FusionForge FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin allows each project to embed Mediawiki under a tab. Homepage: http://fusionforge.org/ Tag: role::plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-mediawiki_5.2~rc1-5_all.deb Package: fusionforge-plugin-moinmoin Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 195 Maintainer: Roland Mas Architecture: all Depends: gforge-common (>= 4.8), gforge-db-postgresql (>= 4.8) | gforge-db, gforge-web-apache2 (>= 4.8) | gforge-web, python-moinmoin, python-psycopg2, libapache2-mod-wsgi, php5-cli Size: 61784 SHA256: 57d9d0c5ba5a5b6605f33be42019960e2bd422cb8085031f4e8a7d040871ca40 SHA1: b6f13f67c83c8d5cc5ef7405a975c56eaeffa06b MD5sum: d3681195425181f0b144d95aa5be9498 Description: MoinMoinWiki plugin for FusionForge FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin allows each project to embed MoinMoinWiki under a tab. Homepage: http://fusionforge.org/ Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-moinmoin_5.2~rc1-5_all.deb Package: fusionforge-plugin-oslc Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 790 Maintainer: Roland Mas Architecture: all Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web, zendframework (>> 1.10), php5-cli, php-pear, php-http (>= 1.4.1) Recommends: fusionforge-plugin-compactpreview Size: 182180 SHA256: ae9cc0f38b8c22dc1258ce8b93a6044742dd467290376d9bf01a7f1b112a0fba SHA1: 3dd58a54475c2ecbfdda359d535dfdd099db10e5 MD5sum: 98236376ffb007e03abec3f5a73e84d1 Description: OSLC-CM compatible plugin for FusionForge tracker system. OSLC-CM is a standard specification for APIs in Change Management applications. It is based on Web technologies such as REST, RDF, or AJAX. This package provides an OSLC-CM V2 compatible plugin for FusionForge tracker system. FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . OSLC-CM compatible plugin for FusionForge tracker system. Homepage: http://picoforge.int-evry.fr/cgi-bin/twiki/view/Oslc/Web/FusionForgeOslcServer Tag: role::plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-oslc_5.2~rc1-5_all.deb Package: fusionforge-plugin-projectimport Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 248 Maintainer: Roland Mas Architecture: all Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web, libarc-php Size: 76250 SHA256: 94a13d8576e00f773c5ece363e468f42826e9fe43e77fd9aa0796647c65f012a SHA1: 8892dd38b17bd638de0cb57b45b635c208a96048 MD5sum: 19edd1ff29ef979f3817c9cf68e2a0d4 Description: Project Import plugin for FusionForge This plugin allows the import of a project data previously exported with ForgePlucker, or a compatible tool. FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . Project Import plugin for FusionForge Homepage: https://fusionforge.org/plugins/mediawiki/wiki/fusionforge/index.php/Project_Import_plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-projectimport_5.2~rc1-5_all.deb Package: fusionforge-plugin-projectlabels Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 142 Maintainer: Roland Mas Architecture: all Replaces: gforge-plugin-projectlabels (<< 5.0.51-2) Provides: gforge-plugin-projectlabels Depends: gforge-common (>= 4.6.99), gforge-db-postgresql (>= 4.6.99) | gforge-db, gforge-web-apache2 (>= 4.6.99) | gforge-web Conflicts: gforge-plugin-projectlabels (<< 5.0.51-2) Size: 58430 SHA256: c1de667ff0eaa21ce0f4d8abbf13ec25f8e02385a40e97a6cb221bc44f5e0b1c SHA1: b5ca60c0107325c7f14fa962cd3a1ed911ef4e11 MD5sum: 532adf1f7e7e036e267181fc612505c4 Description: Projectlabels plugin for FusionForge FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This can be used to highlight some projects on a forge, for instance for a "project of the month". Homepage: http://fusionforge.org/ Tag: role::plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-projectlabels_5.2~rc1-5_all.deb Package: fusionforge-plugin-scmarch Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 156 Maintainer: Roland Mas Architecture: all Replaces: gforge-plugin-scmarch Provides: fusionforge-plugin-scm, gforge-plugin-scmarch Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web, gforge-shell-postgresql | gforge-shell, php5-cli, tla Conflicts: gforge-plugin-scmarch Size: 54704 SHA256: c2118284e485acb7d7e92932ce20dc923e2e5c91758277d1061c83e819e306be SHA1: 206c1d16dc2ee46c220e4c87beff06d344c50286 MD5sum: bc5a83b6feb575a9503bf2e244e9d768 Description: collaborative development tool - GNU Arch plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin contains the GNU Arch subsystem of FusionForge. It allows each FusionForge project to have its own Arch repository, and gives some control over it to the project's administrator. Homepage: http://fusionforge.org/ Tag: role::plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-scmarch_5.2~rc1-5_all.deb Package: fusionforge-plugin-scmbzr Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 186 Maintainer: Roland Mas Architecture: all Replaces: gforge-plugin-scmbzr Provides: fusionforge-plugin-scm, gforge-plugin-scmbzr Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web, gforge-shell-postgresql | gforge-shell, bzr, php5-cli Conflicts: gforge-plugin-scmbzr Size: 58696 SHA256: a9a23068ae3a670caae3f13fa44a3018e4974a500db39eb4afb4b7cded7fd64b SHA1: 03092952122f2efff4160e00f4e93b4d947191b1 MD5sum: 1e52729a2c8b05a1c6b6e3bfd64d0e73 Description: collaborative development tool - Bazaar plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin contains the Bazaar subsystem of FusionForge. It allows each FusionForge project to have its own Bazaar repository, and gives some control over it to the project's administrator. Homepage: http://fusionforge.org/ Tag: devel::rcs, role::plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-scmbzr_5.2~rc1-5_all.deb Package: fusionforge-plugin-scmcvs Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 241 Maintainer: Roland Mas Architecture: all Replaces: gforge-plugin-scmcvs Provides: fusionforge-plugin-scm, gforge-plugin-scmcvs Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web, gforge-shell-postgresql | gforge-shell, libipc-run-perl, rcs, liburi-perl, cvs, php5-cli, python, libphp-snoopy, openbsd-inetd | inet-superserver, update-inetd Conflicts: gforge-plugin-scmcvs Size: 75294 SHA256: 56e859b3841f7c5099df248a8d2dd0118537a9ffedbe2fe5d2c3216af5a4a8ea SHA1: ee757621f501a9d88acb503ff10908c7cf915777 MD5sum: ccb2fe5f7ddd59088171d9e0a20be51a Description: collaborative development tool - CVS plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin contains the CVS subsystem of FusionForge. It allows each FusionForge project to have its own CVS repository, and gives some control over it to the project's administrator. Homepage: http://fusionforge.org/ Tag: devel::rcs, role::plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-scmcvs_5.2~rc1-5_all.deb Package: fusionforge-plugin-scmdarcs Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 210 Maintainer: Roland Mas Architecture: all Replaces: gforge-plugin-scmdarcs Provides: fusionforge-plugin-scm, gforge-plugin-scmdarcs Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web, gforge-shell-postgresql | gforge-shell, darcs, darcsweb, php5-cli Conflicts: gforge-plugin-scmdarcs Size: 61992 SHA256: 6b5949d237b54b3a10523449cca80a17128069667756839616003b11d88ce550 SHA1: c6c2fdc2d769d3d5304f47996f231c517fee100d MD5sum: fbd2fd0e7fbfd04188be08b79cb5aaca Description: collaborative development tool - Darcs plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin contains the Darcs subsystem of FusionForge. It allows each FusionForge project to have its own Darcs repository, and gives some control over it to the project's administrator. Homepage: http://fusionforge.org/ Tag: devel::rcs, role::plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-scmdarcs_5.2~rc1-5_all.deb Package: fusionforge-plugin-scmgit Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 217 Maintainer: Roland Mas Architecture: all Replaces: gforge-plugin-scmgit (<< 5.0.51-2) Provides: fusionforge-plugin-scm, gforge-plugin-scmgit Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web, gforge-shell-postgresql | gforge-shell, git (>= 1:1.7) | git-core, gitweb (>= 1:1.7), php5-cli Conflicts: gforge-plugin-scmgit (<< 5.0.51-2) Size: 63520 SHA256: 480a405b46605ac5cfbc1b8eef9897821804633602eac1636c0222504ab6d765 SHA1: 8ec67d2a8e661313e1280a2d498c587b0eb44761 MD5sum: 07d3c802cf9782386455df9aec31a93b Description: collaborative development tool - Git plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin contains the Git subsystem of FusionForge. It allows each FusionForge project to have its own Git repository, and gives some control over it to the project's administrator. Homepage: http://fusionforge.org/ Tag: devel::rcs, role::plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-scmgit_5.2~rc1-5_all.deb Package: fusionforge-plugin-scmhg Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 157 Maintainer: Roland Mas Architecture: all Replaces: gforge-plugin-scmhg Provides: fusionforge-plugin-scm, gforge-plugin-scmhg Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web, gforge-shell-postgresql | gforge-shell, mercurial, php5-cli Conflicts: gforge-plugin-scmhg Size: 55086 SHA256: 4ea05b2a99c734e9cca1ef01ebd27f51681d6f6cf81ecbd74df3ce7a5ac7da59 SHA1: be7ebcea77b98986b5ad880d96f819a6470030cb MD5sum: 33d4797679353cc769fa802b7fd3178d Description: collaborative development tool - Mercurial (Hg) plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin contains the Mercurial (Hg) subsystem of FusionForge. It allows each FusionForge project to have its own Mercurial repository, and gives some control over it to the project's administrator. Homepage: http://fusionforge.org/ Tag: devel::rcs, role::plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-scmhg_5.2~rc1-5_all.deb Package: fusionforge-plugin-scmsvn Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 271 Maintainer: Roland Mas Architecture: all Replaces: gforge-plugin-scmsvn Provides: fusionforge-plugin-scm, gforge-plugin-scmsvn Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-web-apache2 | gforge-web, libapache2-svn, gforge-shell-postgresql | gforge-shell, subversion, subversion-tools, python-subversion, python (>= 2.3), php5-cli, openbsd-inetd | inet-superserver, update-inetd Conflicts: gforge-plugin-scmsvn Size: 74088 SHA256: 7e105adedeac792a20e5b296c4d5389027fac34cee660ac5eb54af184d989c2e SHA1: 9307cfd53d2704bc83852e82a35bb1eb43c34a59 MD5sum: a1753999e91ff0522888361cb3b39cfa Description: collaborative development tool - Subversion plugin FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This plugin contains the Subversion subsystem of FusionForge. It allows each FusionForge project to have its own Subversion repository, and gives some control over it to the project's administrator. Homepage: http://fusionforge.org/ Tag: devel::rcs, role::plugin Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-plugin-scmsvn_5.2~rc1-5_all.deb Package: fusionforge-standard Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 85 Maintainer: Roland Mas Architecture: all Replaces: gforge Provides: gforge Depends: debconf (>= 1.0.32) | debconf-2.0, ucf, gforge-common (= 5.2~rc1-5), gforge-web-apache2 | gforge-web, gforge-db-postgresql | gforge-db, gforge-mta-exim4 | gforge-mta, gforge-shell-postgresql | gforge-shell, gforge-lists-mailman | gforge-lists Recommends: fusionforge-plugin-scmsvn | fusionforge-plugin-scm Conflicts: gforge-common (<< 5.2~rc1-5), gforge-cvs, sourceforge Size: 55376 SHA256: 15482db2b43559fef84161db5a062a5d85b7d94502039cd2110f0c5f81c41741 SHA1: 0f2b33ea4b9fa79ab17135be85a76a3fe52398f6 MD5sum: bf1a03c0f5f9d7dca65e739e7d807f68 Description: FusionForge collaborative development tool - standard metapackage FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This metapackage installs a standard FusionForge site. Homepage: http://fusionforge.org/ Tag: role::metapackage Section: devel Priority: optional Filename: pool/main/f/fusionforge/fusionforge-standard_5.2~rc1-5_all.deb Package: fusioninventory-agent Version: 2.2.3-8 Architecture: armhf Maintainer: Gonéri Le Bouder Installed-Size: 934 Depends: perl, ucf, libnet-ip-perl, libwww-perl, libio-socket-ssl-perl, libproc-daemon-perl, libuniversal-require-perl, libproc-pid-file-perl, hdparm, libfile-which-perl, libxml-treepp-perl, libyaml-perl, libtext-template-perl, libjson-perl, pciutils, libhttp-daemon-perl Suggests: smartmontools, read-edid Homepage: http://fusioninventory.org/ Priority: extra Section: net Filename: pool/main/f/fusioninventory-agent/fusioninventory-agent_2.2.3-8_armhf.deb Size: 305392 SHA256: 5d54b6b2381ad751cbc3c40e599a022b9374f227f2174dc140eaad38cca357cf SHA1: e19557f9b1b2ded9ada5cee0502070d3a0a7bcf1 MD5sum: 28a5cc1b08c3d52005b5f29d899f2692 Description: Hardware and software inventory tool (client) FusionInventory Agent is an application designed to help a network or system administrator to keep track of the hardware and software configurations of computers that are installed on the network. This agent can send information about the computer to a OCS Inventory or GLPI server with the FusionInventory for GLPI plugin. Package: fusioninventory-for-glpi Version: 2.4.0-1 Installed-Size: 11940 Maintainer: David Hannequin Architecture: all Depends: glpi (>= 0.78), libjs-prototype Size: 1529942 SHA256: ca032dc3b65b4ca4b3bbe433bf22c6b71dbaa5396b89764ece609764dfee6758 SHA1: 589a864ed152011356c9850d11c89aa850b48432 MD5sum: f3878938f0486b1a4db8a14f5ad25e0f Description: FusionInventory Server embedded as a plugin into GLPI FusionInventory for GLPI is a plugin for GLPI allowing a direct connexion with FusionInventory's agents. . Accessible fonctionalities from GLPI's interface are : - Discovery of the equipment connected to the network - Equipment's inventory through SNMP - Hardware and software inventory of computers where the agent is installed - wake on LAN for computers Homepage: http://fusioninventory.org/ Section: net Priority: extra Filename: pool/main/f/fusioninventory-for-glpi/fusioninventory-for-glpi_2.4.0-1_all.deb Package: fuss-launcher Version: 0.5-1 Installed-Size: 232 Maintainer: Enrico Zini Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0), python-gtk2, python-gconf, python-xapian, python-xdg, apt-xapian-index (>= 0.35), app-install-data Size: 22136 SHA256: 637909020c62c194f9d8e5d91fefb82f74f14b56e2debb7d582a9e58b8cc2108 SHA1: ef46b94f8d2789c18ce7520a5f56efec52101faf MD5sum: f05a88d14824b51a698fc7221578e4ab Description: a simple application launcher This panel applet provides an application launcher developed by the FUSS project, which uses apt-xapian-index to find applications given a few keywords. . Since the index also contains Debian package information, keyword search is not limited to the application name and short description provided by desktop files. . You can also drag a file into Fuss Launcher to see the applications that can open it. Fuss Launcher also remembers frequently used applications and allows to build a quick-launch list of user favourites. Homepage: https://devel.fuss.bz.it/wiki/FussLauncher Python-Version: 2.5, 2.6 Section: gnome Priority: optional Filename: pool/main/f/fuss-launcher/fuss-launcher_0.5-1_all.deb Package: fuzz Version: 0.6-14 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 76 Depends: libc6 (>= 2.12) Homepage: http://freshmeat.net/projects/fuzz Priority: optional Section: devel Filename: pool/main/f/fuzz/fuzz_0.6-14_armhf.deb Size: 23512 SHA256: 4fd91b3c7024c08beda90a49a0cd139dd92be7f0a7f9c7b5563a3018b34d24d0 SHA1: 6df7053afe4a4ca935294d091e9cfcd05b078138 MD5sum: fe047d57a2217175dfe96582f58fbc31 Description: stress-test programs by giving them random input The fuzz generator is designed to attack certain kinds of software and expose one particular kind of bug common in software. This is the situation where the programmer implicitly makes some assumptions about the data stream that the program will be parsing. If the data stream is substantially different then the program might not be able to deal with it. Package: fuzzyocr Version: 3.6.0-7 Installed-Size: 347 Maintainer: Francois Marier Architecture: all Depends: spamassassin, tesseract-ocr-eng, gocr, ocrad, netpbm, giflib-tools, gifsicle, libstring-approx-perl, libdigest-md5-perl, libmldbm-sync-perl, libtie-cache-perl, libdbd-mysql-perl Size: 133476 SHA256: 50f350276291a4518ae31a54716fbfaa61af52cb8191619137d5d569669a610d SHA1: 3282c0806c19c4a83fa51d9d8d21d79a9d74d1af MD5sum: 19c9c6687ff94df3256bb2f394970342 Description: spamassassin plugin to check image attachments This Spamassassin plugin checks for specific keywords in image/gif, image/jpeg or image/png attachments, using gocr (an optical character recognition program). This plugin can be used to detect spam that puts all the real spam content in an attached image, while the mail itself is only random text and random html, without any URL's or identifiable information. Additionally to the normal OcrPlugin, it can do approximate matches on words, so errors in recognition or attempts to obfuscate the text inside the image will not cause the detection to fail. . This is a development version from SVN. Currently, this SVN version is the only one that works with spamassassin 3.2. Homepage: http://fuzzyocr.own-hero.net/ Tag: implemented-in::perl, mail::filters, role::plugin, role::program, use::scanning, works-with::image, works-with::image:raster, works-with::mail Section: mail Priority: extra Filename: pool/main/f/fuzzyocr/fuzzyocr_3.6.0-7_all.deb Package: fvwm Version: 1:2.5.30.ds-1.1 Architecture: armhf Maintainer: Manoj Srivastava Installed-Size: 7876 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfribidi0 (>= 0.19.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libice6 (>= 1:1.0.0), libpng12-0 (>= 1.2.13-4), libreadline6 (>= 6.0), librplay3 (>= 3.3.2-2), librsvg2-2 (>= 2.14.4), libsm6, libstroke0 (>= 0.5.1), libtinfo5, libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxft2 (>> 2.1.1), libxinerama1, libxpm4, libxrender1, zlib1g (>= 1:1.1.4), libperl4-corelibs-perl | perl (<< 5.12.3-7) Recommends: fvwm-icons, perl-tk, libx11-protocol-perl Suggests: fvwm-themes, m4, cpp, menu (>= 1.5), wm-icons Conflicts: fvwm-beta, fvwm-common, fvwm-gnome, fvwmtabs Replaces: fvwm-beta, fvwm-common, fvwm-gnome, fvwmtabs Provides: fvwm-gnome, x-window-manager Homepage: http://www.fvwm.org/ Priority: optional Section: x11 Filename: pool/main/f/fvwm/fvwm_2.5.30.ds-1.1_armhf.deb Size: 3879230 SHA256: 23600608821e28f62e727e7b6495b98299bc876ceb53ae45e0c4b304915e017d SHA1: 93eb9f8c277f74a16502adf3b52f3a9f47a9264f MD5sum: b181d396a95d89e66cb6535a0ced362d Description: F(?) Virtual Window Manager FVWM is a powerful ICCCM2 compliant multiple virtual desktop window manager for the X Window System. FVWM requires relatively little memory. . This 2.5 version includes new features like full support of the EWMH (Extended Window Manager Hints) specification, internationalization, improved window decoration code (no flickering anymore), bi-directional asian text support, FreeType font support (antialiasing), image rendering, Perl based module library, support for PNG images, side titles and much more. Package: fvwm-crystal Version: 3.0.5.dfsg-5 Installed-Size: 16368 Maintainer: Vincent Bernat Architecture: all Provides: x-window-manager Depends: python, python-support (>= 0.90.0), fvwm (>= 1:2.5.13) | fvwm-gnome (>= 1:2.5.13), rxvt-unicode | x-terminal-emulator, imagemagick, trayer | stalonetray, habak | hsetroot Recommends: rox-filer, xscreensaver, audacious | mpd | cdcd | quodlibet, mpc Suggests: sudo, menu, nautilus Size: 3894300 SHA256: ad92acda06c9c13fa5534f001553f70aa015c2993fee1784961dcf6e9b3ebfb8 SHA1: 92b15d72572b6d6e13d22d75fdb8bf05c2146781 MD5sum: a6c06a9d2c32914178a19c8d66750691 Description: Pretty Desktop Environment based on fvwm FVWM-crystal creates an easy to use desktop environment using fvwm2 as its window manager and main core. From another point of view its just a very powerful fvwm configuration. . A file manager may be optionally used to display desktop icons, ROX-filer and nautilus are supported for this task. FVWM-crystal furthermore has UI integration for various music players, among them audacious and mpd. . There is also a very powerful menu system that has an extensive default configuration but may be customized and extended by each user to fit personal requirements. Homepage: http://fvwm-crystal.org/ Tag: made-of::icons, role::app-data, scope::utility, x11::theme Section: x11 Priority: optional Filename: pool/main/f/fvwm-crystal/fvwm-crystal_3.0.5.dfsg-5_all.deb Package: fvwm-icons Version: 20070101-1 Installed-Size: 2640 Maintainer: Jari Aalto Architecture: all Replaces: fvwm-common Pre-Depends: x11-common (>= 1:7.0.0) Conflicts: fvwm-common Size: 375262 SHA256: 5cd8c57cbc3daf8b9973dec83803797bc3fd0fa49b89dd9a0f0c394fec15f8e2 SHA1: 2812492be0cf00f84854a3e7926264dbb7b542f4 MD5sum: 1706a049c7d5b0b9a8a5488de5d59515 Description: icon *.xpm files from fvwm development site This package contains small icons for use with window manager menus. The icons include generic symbols (balls, books, hexagons) and descriptive icons for programs (file manager, netscape logo etc.). Note, that these icons are quite basic and do not include any shadow effects. . Originally the icons were for FVWM which is a small memory footprint ICCCM2 compliant multiple virtual desktop window manager. Homepage: http://fvwm.org/download/icons.php Tag: interface::x11, made-of::icons, role::app-data, uitoolkit::gtk, x11::window-manager Section: x11 Priority: optional Filename: pool/main/f/fvwm-icons/fvwm-icons_20070101-1_all.deb Package: fvwm1 Version: 1.24r-55 Architecture: armhf Maintainer: Phil Brooke Installed-Size: 624 Depends: m4, libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4 Suggests: menu (>> 2.0), xfonts-100dpi, xfonts-75dpi, fvwm-icons | fvwm-common, librplay3 (>= 3.3.2-2) Conflicts: fvwm (<= 1.24r-26) Replaces: fvwm (<= 1.24r-26) Provides: x-window-manager Priority: extra Section: x11 Filename: pool/main/f/fvwm1/fvwm1_1.24r-55_armhf.deb Size: 285088 SHA256: dde61ffdaaeb270f4b60bf7499a911a56a670183f2764440733bce3193aa72e0 SHA1: 61abd4dad5c716e739558c6ae872e8f319cddff1 MD5sum: f3e66bc4ff694733132c1235058cfdcb Description: Old version of the F(?) Virtual Window Manager This package contains the old version of the FVWM windows manager. It is no longer supported upstream, having been replaced by FVWM 2, and so no non-Debian-related bugs will be fixed unless someone else provides a patch. . You probably only want to use this package instead of the fvwm package if you know what you are doing or have very specific requirements. . FVWM is a fairly small window manager which provides a three-dimensional appearance and a virtual desktop, complete with colour icons. . You will need to install the fvwm-common package if you want the xpmroot command and some icons, along with manual pages for the modules. Package: fwanalog Version: 0.6.9-6.2 Installed-Size: 414 Maintainer: Emanuele Rocca Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, perl, analog (>= 5.21), mailutils | mailx, adduser Suggests: iptables Size: 141454 SHA256: c902998594623ab9001364882946214730c04bb0f3af86452b74f346ff371fb3 SHA1: ea87137d2900af9db62a36b3451135f9d6c8a797 MD5sum: 3cfb0111eea49e2b51cd7e3fb0e134da Description: firewall log-file report generator (using analog) fwanalog is a shell script that parses and summarizes firewall logfiles. It is able to work on firewall logs from ipchains (Linux 2.2), iptables (Linux 2.4), ipf (OpenBSD, FreeBSD and NetBSD) and some ZyXEL/NetGear routers. . It uses analog to generate the log-files, which includes daily statistics and such, and is both formatted in html and text (for online perusing, and a daily email, for instance). Tag: admin::monitoring, implemented-in::shell, interface::commandline, role::program, scope::utility, security::firewall, security::log-analyzer, use::scanning, works-with::logfile Section: net Priority: optional Filename: pool/main/f/fwanalog/fwanalog_0.6.9-6.2_all.deb Package: fwbuilder Version: 5.1.0-3 Architecture: armhf Maintainer: Sylvestre Ledru Installed-Size: 30642 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libsnmp15 (>= 5.4.3~dfsg), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), zlib1g (>= 1:1.1.4), fwbuilder-common (= 5.1.0-3) Recommends: fwbuilder-doc Replaces: fwbuilder-backend, fwbuilder-bsd, fwbuilder-cisco, fwbuilder-linux, libfwbuilder9 Provides: fwbuilder-frontend Homepage: http://www.fwbuilder.org/ Priority: optional Section: net Filename: pool/main/f/fwbuilder/fwbuilder_5.1.0-3_armhf.deb Size: 11020606 SHA256: ae9cb5d83f4a439b88ea720b8d41c11f8bf1afc0318033f8e58d8f477d5d189e SHA1: 5f1bc32f76b176ea58f086fd84fc795037fd17eb MD5sum: d064892d03f505f04980e2e9701b5d84 Description: Firewall administration tool GUI Firewall Builder consists of an object-oriented GUI and a set of policy compilers for various firewall platforms. In Firewall Builder, firewall policy is a set of rules, each rule consists of abstract objects which represent real network objects and services (hosts, routers, firewalls, networks, protocols). Firewall Builder helps the user maintain a database of objects and allows policy editing using simple drag-and-drop operations. . This is the GUI part of fwbuilder Package: fwbuilder-common Source: fwbuilder Version: 5.1.0-3 Installed-Size: 1206 Maintainer: Sylvestre Ledru Architecture: all Size: 353710 SHA256: dc05e11cac523e4759207416d01d7dca4c8877d3a48a37001e7d730d3dcabbda SHA1: 8b20ed3ed864098f1babb861eb022f217e2dbaf3 MD5sum: 3f16c2691ffa5ceb8f77990e94f6a612 Description: Firewall administration tool GUI (common files) Firewall Builder consists of an object-oriented GUI and a set of policy compilers for various firewall platforms. In Firewall Builder, firewall policy is a set of rules, each rule consists of abstract objects which represent real network objects and services (hosts, routers, firewalls, networks, protocols). Firewall Builder helps the user maintain a database of objects and allows policy editing using simple drag-and-drop operations. . This is the arch independent common parts of fwbuilder Homepage: http://www.fwbuilder.org/ Tag: role::app-data, security::firewall, use::configuring, x11::application Section: net Priority: optional Filename: pool/main/f/fwbuilder/fwbuilder-common_5.1.0-3_all.deb Package: fwbuilder-dbg Source: fwbuilder Version: 5.1.0-3 Architecture: armhf Maintainer: Sylvestre Ledru Installed-Size: 221269 Depends: fwbuilder (= 5.1.0-3) Homepage: http://www.fwbuilder.org/ Priority: extra Section: debug Filename: pool/main/f/fwbuilder/fwbuilder-dbg_5.1.0-3_armhf.deb Size: 83872482 SHA256: 7f7d46413a232c769b6413a07737fce742902ed6502881947b2f54a60fa66bc0 SHA1: 692e2b32e4b7f726a58cb6fbcc68542ae707e9ac MD5sum: e7b3c654d7a6883c67106d88bf2ef9b4 Description: Firewall administration tool GUI (debugging symbols) Firewall Builder consists of an object-oriented GUI and a set of policy compilers for various firewall platforms. In Firewall Builder, firewall policy is a set of rules, each rule consists of abstract objects which represent real network objects and services (hosts, routers, firewalls, networks, protocols). Firewall Builder helps the user maintain a database of objects and allows policy editing using simple drag-and-drop operations. . This package ships the debugging symbols of fwbuilder. Package: fwbuilder-doc Source: fwbuilder Version: 5.1.0-3 Installed-Size: 1412 Maintainer: Sylvestre Ledru Architecture: all Size: 1076544 SHA256: 6080d30133776d4605dc9c371d66ecbaa9c3473cd43f5c1a206454ef12b9cf10 SHA1: 8c84823e0ba09eab374d8898d5713f5fd807363a MD5sum: 85f083d8fcb53b000a3ac5387cce6be0 Description: Firewall administration tool GUI documentation Firewall Builder consists of an object-oriented GUI and a set of policy compilers for various firewall platforms. In Firewall Builder, firewall policy is a set of rules, each rule consists of abstract objects which represent real network objects and services (hosts, routers, firewalls, networks, protocols). Firewall Builder helps the user maintain a database of objects and allows policy editing using simple drag-and-drop operations. . This is the documentation of fwbuilder Homepage: http://www.fwbuilder.org/ Tag: made-of::html, role::documentation, security::firewall, use::configuring Section: doc Priority: optional Filename: pool/main/f/fwbuilder/fwbuilder-doc_5.1.0-3_all.deb Package: fweb Version: 1.62-11.1 Architecture: armhf Maintainer: Yann Dirson Installed-Size: 620 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5, dpkg (>= 1.15.4) | install-info Recommends: texlive | c-compiler | fortran77-compiler | ratfor77 Suggests: c-compiler, fortran77-compiler, ratfor77, fweb-doc Homepage: http://freshmeat.net/projects/fweb Priority: optional Section: devel Filename: pool/main/f/fweb/fweb_1.62-11.1_armhf.deb Size: 293754 SHA256: 1b7555d0d5ecd036ccaf622fe38137136c100dd537e60bfbcb983474bfd55a78 SHA1: ec3fb11114fc8d5213c9d133210f704b98888056 MD5sum: 8823a7676b7ec02d88230b09f55f96c6 Description: literate-programming tool for C/C++/Fortran/Ratfor Literate-programming allows the programmer to write a program's code and its code's documentation, with equal importance accorded to both. This helps producing a well-documented code. . FWEB has grown out of Knuth and Levy's CWEB; it is far more configurable and customizable than the original, uses LaTeX to typeset documented code, provides a very powerful macro processor... . Ratfor programmers may appreciate the builtin Ratfor-to-Fortran translator if they don't have the right compiler. . Other languages than those cited may be used, but without code pretty-printing. Package: fweb-doc Source: fweb Version: 1.62-11.1 Installed-Size: 1632 Maintainer: Yann Dirson Architecture: all Replaces: fweb (<= 1.62-8) Depends: dpkg (>= 1.15.4) | install-info Suggests: doc-base, fweb Size: 512410 SHA256: 3e2faed4afed386bfafb6e295673fdac3a72c882e6de25aec301e67b1bad654b SHA1: 7ce6748487b143da01ef7e6ef9f76566b32e8493 MD5sum: d65583e1e1656a49c1a41c045256ff2e Description: Documentation for literate-programming tool Fweb Literate-programming allows the programmer to write a program's code and its code's documentation, with equal importance accorded to both. This helps producing a well-documented code. . This is the documentation for the F in HTML, info, and texinfo formats. Homepage: http://freshmeat.net/projects/fweb Tag: devel::doc, devel::docsystem, devel::lang:c, devel::lang:c++, devel::lang:fortran, made-of::html, made-of::info, role::documentation, works-with-format::tex, works-with::software:source, works-with::text Section: doc Priority: optional Filename: pool/main/f/fweb/fweb-doc_1.62-11.1_all.deb Package: fwknop-client Source: fwknop Version: 2.0.0rc2-2+deb7u2 Architecture: armhf Maintainer: Franck Joncourt Installed-Size: 93 Depends: libc6 (>= 2.13-28), libfko0 (= 2.0.0rc2-2+deb7u2) Homepage: http://www.cipherdyne.com/fwknop-c/ Priority: extra Section: admin Filename: pool/main/f/fwknop/fwknop-client_2.0.0rc2-2+deb7u2_armhf.deb Size: 37674 SHA256: e8e400f227c388c98304cfad735fc311cbeb007d0373361133ada11fcd23a7a6 SHA1: d0d527587372c430f3a137fc2dfc2f8e4c2a2691 MD5sum: b1dbbcddb657d13fe9fb798d43480355 Description: FireWall KNock OPerator client side - C version The FireWall KNock OPerator implements an authorization scheme called Single Packet Authorization (SPA), based on Netfilter and libpcap. . Its main application is to protect services such as OpenSSH with an additional layer of security in order to make the exploitation of vulnerabilities (both 0-day and unpatched code) much more difficult. . This is the client program responsible for accepting password input from the user, constructing SPA packets that conform to the fwknop packet format, and encrypting packet data. Package: fwknop-server Source: fwknop Version: 2.0.0rc2-2+deb7u2 Architecture: armhf Maintainer: Franck Joncourt Installed-Size: 159 Depends: libc6 (>= 2.13-28), libfko0 (= 2.0.0rc2-2+deb7u2), libgdbm3 (>= 1.8.3), libpcap0.8 (>= 0.9.8), default-mta | mail-transport-agent, iptables, lsb-base (>= 3.0-6) Homepage: http://www.cipherdyne.com/fwknop-c/ Priority: extra Section: admin Filename: pool/main/f/fwknop/fwknop-server_2.0.0rc2-2+deb7u2_armhf.deb Size: 54836 SHA256: 80418e76f8dd7490d99608735225a98b2a060b8a755db2cd569b8dbd64cbac62 SHA1: a0d308ec3fe1846da26f3afeec5208185d784627 MD5sum: bdada239bb778d0e0feeda3544e7a21a Description: FireWall KNock OPerator server side - C version The FireWall KNock OPerator implements an authorization scheme called Single Packet Authorization (SPA), based on Netfilter and libpcap. . Its main application is to protect services such as OpenSSH with an additional layer of security in order to make the exploitation of vulnerabilities (both 0-day and unpatched code) much more difficult. . The authorization server passively listens for authorization packets via libcap, thus preventing any connections from being processed on the traditional port. Access to a protected service is only granted after a valid encrypted and non-replayed packet is detected. Package: fwlogwatch Version: 1.2-2 Architecture: armhf Maintainer: Alberto Gonzalez Iniesta Installed-Size: 355 Depends: postfix | mail-transport-agent, debconf (>= 1.2.0) | debconf-2.0, rsyslog | system-log-daemon, libc6 (>= 2.7), zlib1g (>= 1:1.1.4) Priority: optional Section: net Filename: pool/main/f/fwlogwatch/fwlogwatch_1.2-2_armhf.deb Size: 136668 SHA256: 27016185f9732695568d192e74bc6f1569c06fdff9070a5d31b45314ceca268e SHA1: 847f6262b8aa48270b76ed8d96360c4add813702 MD5sum: 8d61357f75be12dd4b31ad1d74893367 Description: Firewall log analyzer fwlogwatch produces ipchains, netfilter/iptables, ipfilter, Cisco IOS and Cisco PIX log summary reports in text and HTML form and has a lot of options to find and display relevant patterns in connection attempts. With the data found it can also generate customizable incident reports from a template and send them to abuse contacts at offending sites or CERT coordination centers. Finally, it can also run as daemon and report anomalies or start countermeasures. Package: fwsnort Version: 1.6.2-1 Installed-Size: 263 Maintainer: Franck Joncourt Architecture: all Depends: perl, debconf (>= 0.5) | debconf-2.0, iptables, libnet-ip-perl, libiptables-parse-perl Recommends: snort-rules-default Size: 66732 SHA256: ee63f3b905eb2f1051b4b24667bf18cfbff316eccd5c46a4bcaae3d02d676464 SHA1: b6b211478c5be7b3fbbef26f4e23798e7556d226 MD5sum: b9014ce6407002ec8e725c7596dae924 Description: Snort-to-iptables rule translator Fwsnort translates Snort rules into equivalent iptables rules and generates a shell script that implements the resulting iptables commands. . This allows network traffic that matches Snort signatures to be logged and/or dropped by iptables directly without putting any interface into promiscuous mode or queuing packets from kernel to user space. Homepage: http://www.cipherdyne.org/fwsnort/ Tag: implemented-in::perl, interface::commandline, network::firewall, role::program, security::ids Section: admin Priority: optional Filename: pool/main/f/fwsnort/fwsnort_1.6.2-1_all.deb Package: fxcyberjack Source: pcsc-cyberjack Version: 3.99.5final.sp03-1 Architecture: armhf Maintainer: Frank Neuber Installed-Size: 292 Depends: libc6 (>= 2.13-28), libfox-1.6-0, libgcc1 (>= 1:4.4.0), libpcsclite1, libstdc++6 (>= 4.6), libusb-1.0-0 (>= 2:1.0.8), libxml2 (>= 2.7.4) Recommends: libifd-cyberjack6 Homepage: http://www.reiner-sct.com/ Priority: optional Section: misc Filename: pool/main/p/pcsc-cyberjack/fxcyberjack_3.99.5final.sp03-1_armhf.deb Size: 126572 SHA256: 9959990c5f2cec426d9ef0738fc00ec8512b5176f1a580972aa890f541103fa0 SHA1: c2fa1d866e2395993a37940fb8d7960d21cd0024 MD5sum: b55fb9f13ef530a663a0dcd65181ef76 Description: Graphical diagnostics and maintenance tool for Reiner SCT Cyberjacks This package contains the graphical tool which allows diagnosing typical driver setup problems. It is also able to flash new software to current cyberJack readers. Package: fxload Version: 0.0.20081013-1 Architecture: armhf Maintainer: Fumitoshi UKAI Installed-Size: 64 Depends: libc6 (>= 2.4) Enhances: hotplug Conflicts: hotplug-utils Replaces: hotplug-utils Provides: hotplug-utils Homepage: http://sourceforge.net/projects/linux-hotplug/ Priority: optional Section: admin Filename: pool/main/f/fxload/fxload_0.0.20081013-1_armhf.deb Size: 16050 SHA256: 4f8a91da7a0e1bdb1ccef449c8907a363d3a6011a9184c4179e06299fb3ea8fe SHA1: b6bb59ac59b46575c0fe1fbd07d4b9ad3e122055 MD5sum: 9fcf70c84d539bac479c21b2f7ebab6b Description: Firmware download to EZ-USB devices This program is conveniently able to download firmware into FX and FX2 ez-usb devices. It is intended to be invoked by hotplug scripts when the unprogrammed device appears on the bus. Package: fyre Version: 1.0.1-4 Architecture: armhf Maintainer: Christoph Haas Installed-Size: 374 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.1.1), libxml2 (>= 2.6.27) Homepage: http://fyre.navi.cx/ Priority: optional Section: graphics Filename: pool/main/f/fyre/fyre_1.0.1-4_armhf.deb Size: 85180 SHA256: 1c19b4596ab352796ae57af275ad6f1bf866a50290031d4fa02b540a056efb92 SHA1: a65c5f29f307b9b791f35d2bc0c3714e73211c3b MD5sum: 3dcb521496ce67c8eb1807456f922fef Description: interactively renders Peter de Jong maps (chaotic functions) Fyre provides a rendering of the Peter de Jong map, with an interactive GTK+ frontend and a command line interface for easy and efficient rendering of high-resolution, high quality images. Package: g++ Source: gcc-defaults (1.120) Version: 4:4.6.3-8 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 34 Depends: cpp (>= 4:4.6.3-8), gcc (>= 4:4.6.3-8), g++-4.6 (>= 4.4.7-1~), gcc-4.6 (>= 4.4.7-1~) Provides: c++-compiler Priority: optional Section: devel Filename: pool/main/g/gcc-defaults/g++_4.6.3-8_armhf.deb Size: 1362 SHA256: 461bbd04d99bcccbfd6e53b66b31498dd6f7a970fd0308f0b422596dd2f51686 SHA1: 20f8e87fdb737ffe4587dd920756437c31368c8a MD5sum: e54124d662f6034523985380b9a63812 Description: GNU C++ compiler This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. . This is a dependency package providing the default GNU C++ compiler. Package: g++-4.4 Source: gcc-4.4 Version: 4.4.7-3+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 9761 Depends: gcc-4.4-base (= 4.4.7-3+rpi1), gcc-4.4 (= 4.4.7-3+rpi1), libstdc++6-4.4-dev (= 4.4.7-3+rpi1), libc6 (>= 2.13-28), libgmp10, libmpfr4 (>= 3.1.0) Suggests: gcc-4.4-doc (>= 4.4.6-15), libstdc++6-4.4-dbg Provides: c++-compiler, c++abi2-dev Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.4/g++-4.4_4.4.7-3+rpi1_armhf.deb Size: 4697738 SHA256: 689b27c3e190d70352e529eea693d6a6e42239b5b9c0ce6a4b4c8c506bd4546d SHA1: c1f950bceff0e88a40c518a2082b59cf25e269f9 MD5sum: eb2f76d4e38371c633464f3ea5bf7b49 Description: GNU C++ compiler This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. Package: g++-4.5 Source: gcc-4.5 Version: 4.5.3-12+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 9807 Depends: gcc-4.5-base (= 4.5.3-12+rpi1), gcc-4.5 (= 4.5.3-12+rpi1), libstdc++6-4.5-dev (= 4.5.3-12+rpi1), libc6 (>= 2.13-28), libelfg0 (>= 0.8.12), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.5-doc (>= 4.5.3-2~), libstdc++6-4.5-dbg Provides: c++-compiler, c++abi2-dev Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.5/g++-4.5_4.5.3-12+rpi1_armhf.deb Size: 4256692 SHA256: 127256a6f47db3674c09e03cbbf396327857245492ca769c65be9ea39b0d5445 SHA1: 2754098733b6394f06a56f8cff8671924d496429 MD5sum: a27d81ab06ee3443885cf2e2ee4e71e2 Description: GNU C++ compiler This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. Package: g++-4.6 Source: gcc-4.6 Version: 4.6.3-14+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 10951 Depends: gcc-4.6-base (= 4.6.3-14+rpi1), gcc-4.6 (= 4.6.3-14+rpi1), libstdc++6-4.6-dev (= 4.6.3-14+rpi1), libc6 (>= 2.13-28), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.6-doc (>= 4.6.3-1), libstdc++6-4.6-dbg Provides: c++-compiler, c++abi2-dev Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.6/g++-4.6_4.6.3-14+rpi1_armhf.deb Size: 5171332 SHA256: acbf960d4fab6a948048cffb66e258e843297f9413079ee1003c4a06acfc9af5 SHA1: 1c05ccde38d24d4f560da03625a63415abf2883f MD5sum: 528b4fa05c7e3f0325547dc4c8821455 Description: GNU C++ compiler This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. Package: g++-4.7 Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 11279 Depends: gcc-4.7-base (= 4.7.2-5+rpi1), gcc-4.7 (= 4.7.2-5+rpi1), libstdc++6-4.7-dev (= 4.7.2-5+rpi1), libc6 (>= 2.13-28), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.7-doc (>= 4.7.2), libstdc++6-4.7-dbg Provides: c++-compiler, c++abi2-dev Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.7/g++-4.7_4.7.2-5+rpi1_armhf.deb Size: 5165574 SHA256: 8adc6fa378918e79b4f44aaa7e8444d23789ce9f146ceafb57ba85882ba99d4d SHA1: 20833546919aaf758062afc626fbf09761827d9e MD5sum: 455aa105caf1d502bf6a411136dde0b5 Description: GNU C++ compiler This is the GNU C++ compiler, a fairly portable optimizing compiler for C++. Package: g++-mingw-w64 Source: gcc-mingw-w64 (8) Version: 4.6.3-14+8 Installed-Size: 21 Maintainer: Stephen Kitt Architecture: all Depends: g++-mingw-w64-i686, g++-mingw-w64-x86-64, gcc-mingw-w64-base Size: 920 SHA256: 1d56bdeab95f7bcfab18c5fdb3a6a30b5d11ba7c09b82da7b39ae0336dc04fc1 SHA1: 2d38b73e20cbe8e837c7f392f0b2ad5696d2b0a9 MD5sum: 5b17235fa9130692685f4e2dcdf26f1f Description: GNU C++ compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the C++ compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Homepage: http://www.gnu.org/software/gcc/ Built-Using: gcc-4.6 (= 4.6.3-14) Section: devel Priority: extra Filename: pool/main/g/gcc-mingw-w64/g++-mingw-w64_4.6.3-14+8_all.deb Package: g++-mingw-w64-i686 Source: gcc-mingw-w64 (8) Version: 4.6.3-12+rpi1+8 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 20821 Depends: gcc-mingw-w64-i686 (= 4.6.3-12+rpi1+8), libstdc++6-4.6-dev (>= 4.6.3), gcc-mingw-w64-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.6-locales (>= 4.6.3) Breaks: gcc-mingw-w64 (<< 4.6.3-3+4) Replaces: gcc-mingw-w64 (<< 4.6.3-3+4) Built-Using: gcc-4.6 (= 4.6.3-12+rpi1) Homepage: http://www.gnu.org/software/gcc/ Priority: extra Section: devel Filename: pool/main/g/gcc-mingw-w64/g++-mingw-w64-i686_4.6.3-12+rpi1+8_armhf.deb Size: 7101452 SHA256: f3f191df4d11384a6a0029d2f1430b5f5e644d53a802efe5d67d3bb4e89cb67e SHA1: bf5e5820697563b05656ac12d64f575404e92227 MD5sum: bf840328697ef4d5348d162a49660c68 Description: GNU C++ compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C++ compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: g++-mingw-w64-x86-64 Source: gcc-mingw-w64 (8) Version: 4.6.3-12+rpi1+8 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 24199 Depends: gcc-mingw-w64-x86-64 (= 4.6.3-12+rpi1+8), libstdc++6-4.6-dev (>= 4.6.3), gcc-mingw-w64-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.6-locales (>= 4.6.3) Breaks: gcc-mingw-w64 (<< 4.6.3-3+4) Replaces: gcc-mingw-w64 (<< 4.6.3-3+4) Built-Using: gcc-4.6 (= 4.6.3-12+rpi1) Homepage: http://www.gnu.org/software/gcc/ Priority: extra Section: devel Filename: pool/main/g/gcc-mingw-w64/g++-mingw-w64-x86-64_4.6.3-12+rpi1+8_armhf.deb Size: 7597468 SHA256: a8b799b7129b079c1c47aac4c5f3a10640ee44111ee2e4d6a0c3a6d0e7872c06 SHA1: 4eb63c757cbf812dcb918e431f9e74a614a11862 MD5sum: a1158dad2e62e4ecc726f0480e3d02b0 Description: GNU C++ compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C++ compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: g-wrap Version: 1.9.14-1.1 Installed-Size: 232 Maintainer: Andreas Rottmann Architecture: all Depends: guile-1.8, guile-library (>= 0.1.1), dpkg (>= 1.15.4) | install-info Recommends: indent, libgwrap-runtime-dev (>= 1.9.14-1.1) Conflicts: guile-g-wrap (<< 1.9.9-1), libgwrapguile-dev Size: 71318 SHA256: 6536ca3fd1ce4baad69f32e793ee5d762ddbde64f87c549187f7032a9ccd833d SHA1: 0c96c99d954c5cfbda6482f630e60e4ad486582a MD5sum: 964f69711d20deaf92fc021eaa9beaea Description: scripting interface generator for C G-Wrap is a tool (and Guile library) for generating function wrappers for inter-language calls. It currently only supports generating Guile wrappers for C functions. . G-Wrap takes a set of interface declarations (written in Scheme) and wraps the described interface for Guile. Homepage: http://www.nongnu.org/g-wrap/ Tag: devel::code-generator, devel::lang:c, devel::lang:scheme, implemented-in::scheme, interface::commandline, role::program, scope::utility Section: lisp Priority: optional Filename: pool/main/g/g-wrap/g-wrap_1.9.14-1.1_all.deb Package: g15composer Version: 3.2-2 Architecture: armhf Maintainer: Giacomo Catenazzi Installed-Size: 86 Depends: libc6 (>= 2.4), libg15daemon-client1, libg15render1 Homepage: http://www.g15tools.com/ Priority: extra Section: utils Filename: pool/main/g/g15composer/g15composer_3.2-2_armhf.deb Size: 21700 SHA256: 189753e4dff19acc8288654b666665303a48a83f0229bab0d069bfd006b2d10b SHA1: 8334912a46631fc4d6c2da56c11ae6f75a4fb18a MD5sum: 59957f778357d310ff95e297ba670e95 Description: Scriptable command interface to libg15render G15composer provides a scriptable command interface to libg15render that exposes all of the text and graphics functions of libg15render to shell and other scripts. Package: g15daemon Version: 1.9.5.3-8.2 Architecture: armhf Maintainer: Giacomo Catenazzi Installed-Size: 166 Depends: libg15daemon-client1 (= 1.9.5.3-8.2), libc6 (>= 2.4), libg15-1, libg15render1 Recommends: xkb-data (>= 0.9+cvs.20070428-1) Homepage: http://www.g15tools.com/ Priority: extra Section: utils Filename: pool/main/g/g15daemon/g15daemon_1.9.5.3-8.2_armhf.deb Size: 40752 SHA256: baaeeed38fadca160fef849a9aaaf8b2270358558be563ffd84c798d6cd1fa16 SHA1: 471c386790815a94392643b752c5da67a0843ad4 MD5sum: 5b22931b6e02040ad92c2974b724be79 Description: Screen multiplexer for Logitech G15 Keyboard G15daemon provides multiple virtual screens for the LCD on the Logitech G11 and G15 keyboards. . This package contain the daemon and a generic client to talk to the daemon. Package: g15macro Version: 1.0.3-3 Architecture: armhf Maintainer: Giacomo Catenazzi Installed-Size: 71 Depends: g15daemon, libc6 (>= 2.13-28), libg15daemon-client1, libg15render1, libx11-6, libxtst6 Homepage: http://www.g15tools.com Priority: extra Section: utils Filename: pool/main/g/g15macro/g15macro_1.0.3-3_armhf.deb Size: 14446 SHA256: 1d29b34b2d745dbf9acf99d5d4bb6ba2ef2afac21e4f451436f991de3c61720a SHA1: 94bfc84d290e0aab2e73fccf0a5470c4ad01cd34 MD5sum: fb156a198bbadb9e75579feb0a2b1209 Description: A simple Macro recording/playback application for G15Daemon G15macro provides a way to record and playback keyboard macro using the M and G keys found on some Logitech keyboards. Package: g15mpd Version: 1.2svn.0.svn319-3 Architecture: armhf Maintainer: Giacomo Catenazzi Installed-Size: 62 Depends: libc6 (>= 2.7), libg15daemon-client1, libg15render1, libmpd1 Homepage: http://www.g15tools.com/ Priority: optional Section: utils Filename: pool/main/g/g15mpd/g15mpd_1.2svn.0.svn319-3_armhf.deb Size: 11926 SHA256: c4ff7f252fa05dbead32d34725067f603824ee59a0f2ad30b59d981d1558798e SHA1: 56e70f416c63ff6d362d5b876842f6b2cef78297 MD5sum: ae55f7fe96f532b41935ec8204a45591 Description: A simple frontend for the MPD Media Player Daemon, for use with g15daemon This package provides a fontend for MPD Media Player Daemon, displaying information on the LCD in G15 keyboard. Package: g15stats Version: 1.9.2-2 Architecture: armhf Maintainer: Giacomo Catenazzi Installed-Size: 88 Depends: g15daemon, libc6 (>= 2.13-28), libg15daemon-client1, libg15render1, libglib2.0-0 (>= 2.12.0), libgtop2-7 (>= 2.22.3) Homepage: http://www.g15tools.com Priority: extra Section: utils Filename: pool/main/g/g15stats/g15stats_1.9.2-2_armhf.deb Size: 27634 SHA256: e4df31b0e07cf77646b08b716f0c0c810a92561cca0d095974e338bd044694d4 SHA1: 864485a96617db54a6e253db4e8dd082743b091d MD5sum: 34fc7f2177b1f4affcf32443404000f2 Description: a CPU/Memory/Swap usage meter for G15Daemon The packages provides the following usage meter for LCD on some Logitech keyboards, usind g15daemon: . CPU Screen displays graphs of User/System/Nice and Idle time, along with LoadAVG and Uptime. . Memory Screen displays Memory Total & Free, and graph of Used vs Buffered+Cached Memory. . Swap Screen displays Used, Free and Total swap space, along with the number of pages currently paged in/out. . Network Screen displays Total bytes In/Out, history graph, Peak speed. Package: g2ipmsg Version: 0.9.6+dfsg-1.1 Architecture: armhf Maintainer: TANIGUCHI Takaki Installed-Size: 699 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgstreamer0.10-0 (>= 0.10.0), libgtk2.0-0 (>= 2.10.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2) Homepage: http://www.ipmsg.org/ Priority: optional Section: gnome Filename: pool/main/g/g2ipmsg/g2ipmsg_0.9.6+dfsg-1.1_armhf.deb Size: 288230 SHA256: a8d8fda400dac21760826670419a695a672ebce5109e0ff4abd9f1e342e72e47 SHA1: 23d64dbce005f3769c7d2b7150b9b08ab004cbd0 MD5sum: 666150051b296ae66a7be1ea13f0a5c4 Description: IP messenger clone for GNOME2 environments IP messsenger is one of popular win-popup style message exchanger in Japan. G2ipmsg is a kind of IP messenger clone for GNOME2 environments. Package: g2p-sk Version: 0.4.2-1 Installed-Size: 256 Maintainer: Ďoďo Ivanecký Architecture: all Depends: perl (>= 5.6.0-16), sylseg-sk (>= 0.3) Suggests: konwert Size: 81656 SHA256: e6f0e097856413384c7e4cabfbf85e77a142bce14e33446f2abc71c6126b8231 SHA1: 59550cb9e4cd1c9d7003d9ea034aec6240796744 MD5sum: 90280f7c49bb6ce758ab9d148834705b Description: Phonetic transcription for Slovak language g2p-sk implements rule based approach for the phonetic transcription. Each input word represented by the sequence of the graphemes is transcribed to the sequence of of the phones in the SAMPA coding. Tag: culture::slovak, field::linguistics, implemented-in::perl, role::program Section: text Priority: extra Filename: pool/main/g/g2p-sk/g2p-sk_0.4.2-1_all.deb Package: g3data Version: 1:1.5.3-2 Architecture: armhf Maintainer: Peter S Galbraith Installed-Size: 134 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0) Homepage: http://www.frantz.fi/software/g3data.php Priority: optional Section: science Filename: pool/main/g/g3data/g3data_1.5.3-2_armhf.deb Size: 45110 SHA256: 9f46165f8df72431e7cef6c82e1cc7b17c59e574086cd1bfb5ae7aee936054bb SHA1: f3d21c6fcd7bab538f8548178090fec865ddbaac MD5sum: b4241ef6694791828a0c8d42655e36a1 Description: extract data from scanned graphs g3data is used for extracting data from graphs. For example, graphs are typically published in scientific journals without tables of the actual data; g3data makes the process of extracting these data easy. Package: g3dviewer Version: 0.2.99.5~svn130-1 Architecture: armhf Maintainer: Sven Eckelmann Installed-Size: 217 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libg3d0 (>= 0.0.7), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.24.0), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.8.0), libgtkglext1, libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxml2 (>= 2.6.27), libxmu6, libxt6, libg3d-plugins Recommends: libg3d-plugin-gdkpixbuf Homepage: http://automagically.de/g3dviewer/ Priority: optional Section: graphics Filename: pool/main/g/g3dviewer/g3dviewer_0.2.99.5~svn130-1_armhf.deb Size: 54424 SHA256: 1d6211f0053a402adac702a652cd0d664f86db7df72fb619d62ec156ba204ea8 SHA1: 5d80341f8d08f17b5e9d4c9a88b3e451a4973c95 MD5sum: 011262d642fb716e9dc890a23089f09a Description: 3D model viewer for GTK+ G3DViewer is a 3D file viewer for GTK+ supporting a variety of file types by using the LibG3D plugin facility. Models can be inspected and rendered using OpenGL. Rendering options includes wireframe rendering, shadows, isometric view, specular lightning, and textures. . See 'libg3d-plugin*' for supported formats. Package: g3dviewer-dbg Source: g3dviewer Version: 0.2.99.5~svn130-1 Architecture: armhf Maintainer: Sven Eckelmann Installed-Size: 185 Depends: g3dviewer (= 0.2.99.5~svn130-1) Homepage: http://automagically.de/g3dviewer/ Priority: extra Section: debug Filename: pool/main/g/g3dviewer/g3dviewer-dbg_0.2.99.5~svn130-1_armhf.deb Size: 67192 SHA256: dc6e8fd1870e7b15b4ef302b04ab229f5b6ed0c063afc2967b8391a0124cf2ed SHA1: fa0a7c248c427f118f45eb1ad50acbe1a5654548 MD5sum: b3300b53681614b4ec665eaa0d6ab2ff Description: g3dviewer debug symbols package G3DViewer is a 3D file viewer for GTK+ supporting a variety of file types by using the LibG3D plugin facility. Models can be inspected and rendered using OpenGL. Rendering modes includes wireframe rendering, shadows, isometric view, specular lightning, and textures. . This package contains the debug files. Package: gabedit Version: 2.4.2-2 Architecture: armhf Maintainer: Debichem Team Installed-Size: 4592 Depends: openbabel, libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libgl2ps0, libglib2.0-0 (>= 2.24.0), libglu1-mesa | libglu1, libgomp1 (>= 4.2.1), libgtk2.0-0 (>= 2.8.0), libgtkglext1, libpango1.0-0 (>= 1.14.0) Recommends: mpqc Suggests: a2ps, cups-bsd | lpr, ftp-ssl | ftp, openssh-client | rsh-client, openssh-client | ssh-client Homepage: http://gabedit.sourceforge.net Priority: optional Section: science Filename: pool/main/g/gabedit/gabedit_2.4.2-2_armhf.deb Size: 1982846 SHA256: d64db763b9249237972d9a95bf573b3cd2f8668b5648a825e0a5d7e3463fa242 SHA1: 71e8da85a6918c95042499d32cfa43f992976d9d MD5sum: 27d8d80afceecb10b1ce34f80688e465 Description: graphical user interface to Ab Initio packages Gabedit is a graphical user interface to computational chemistry packages like: . - MPQC - GAMESS-US - Gaussian - Molcas - Molpro - Q-Chem . These Ab Initio software packages might run locally or on a remote server (supporting FTP, RSH and SSH). Gabedit can display a variety of calculation results including most major molecular file formats. The advanced "Molecule Builder" allows one to rapidly sketch in molecules and examine them in 3D. Graphics can further be exported to various formats, including animations. Package: gadfly Version: 1.0.0-15.1 Installed-Size: 58 Maintainer: Matthias Klose Architecture: all Depends: python, python-gadfly (= 1.0.0-15.1) Size: 27184 SHA256: 23d903e1842921b5ee6ad383919aa2181e24f8f4ee3bd5a5dc511f6ada38c78d SHA1: 5c264eaf3099d5ff21e3c97d1aaeea3a2fa2a2e0 MD5sum: 8c06161c8b81fb22ee66667f73535bc7 Description: Server and interactive shell for Gadfly SQL database Gadfly is a relational database management system which uses a large subset of very standard SQL as its query language. The underlying engine consists of Python modules. Gadfly stores the active database in memory, with logging to a file system for recovery from system or software failures (but not for disk failures). . This package contains an interactive shell and a server for use with the Gadfly SQL database. Tag: devel::lang:sql, implemented-in::python, interface::daemon, interface::shell, network::server, role::program, works-with::db Section: interpreters Priority: optional Filename: pool/main/g/gadfly/gadfly_1.0.0-15.1_all.deb Package: gadmin-bind Version: 0.2.5-2 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 226 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), bind9 (>= 9.3.2), dnsutils, menu Homepage: http://www.gadmintools.org/ Priority: optional Section: admin Filename: pool/main/g/gadmin-bind/gadmin-bind_0.2.5-2_armhf.deb Size: 85688 SHA256: 69f28854001da1a889c18423a337b338292867528eeae2eabbfedb5b78b18bda SHA1: 1da13b0b2898f78d78446063e7e99133ab4c320a MD5sum: cf1769a399bc3181a50dd1c2124b212b Description: GTK+ configuration tool for bind9 gadmin-bind is an easy to use GTK+ frontend for ISC BIND. It handles multiple domains and can switch from master to slave domain in three clicks. It can change the domain name for entire domains and subdomains, including domain resources such as MX, A, AAAA, CNAME, and NS. . gadmin-bind can also generate and set up secret keys for rndc, construct a chroot environment, and handle DDNS operations. Package: gadmin-bind-dbg Source: gadmin-bind Version: 0.2.5-2 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 527 Depends: gadmin-bind (= 0.2.5-2) Homepage: http://www.gadmintools.org/ Priority: extra Section: debug Filename: pool/main/g/gadmin-bind/gadmin-bind-dbg_0.2.5-2_armhf.deb Size: 142092 SHA256: 40934bbc46e5385d453835659b13729533944f6fcb2b07edb0986a7d12bb999b SHA1: bad900426953d6c4d7d9224d3783c629790a9707 MD5sum: 5f5a603216dfe141591913702a817a29 Description: GTK+ configuration tool for bind9 (debug) gadmin-bind is an easy to use GTK+ frontend for ISC BIND. It handles multiple domains and can switch from master to slave domain in three clicks. It can change the domain name for entire domains and subdomains, including domain resources such as MX, A, AAAA, CNAME, and NS. . This package contains the debugging symbols. Package: gadmin-openvpn-client Version: 0.1.2-4 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 193 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), menu, openvpn Homepage: http://www.gadmintools.org/ Priority: optional Section: admin Filename: pool/main/g/gadmin-openvpn-client/gadmin-openvpn-client_0.1.2-4_armhf.deb Size: 66394 SHA256: bf79d2fe3606fdc8bd62e390fdd8a750b0fe48d5155e9d94555eb8f1f69c4a4e SHA1: 234c7eb7b8188cf973922f6c71c3488026b84e0f MD5sum: d67052f1fdbaed4eb65c4decc55f1deb Description: GTK+ configuration tool for openvpn (client) gadmin-openvpn-client is a fast and easy to use GTK+ administration tool for the OpenVPN client. Package: gadmin-openvpn-client-dbg Source: gadmin-openvpn-client Version: 0.1.2-4 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 374 Depends: gadmin-openvpn-client (= 0.1.2-4) Homepage: http://www.gadmintools.org/ Priority: extra Section: debug Filename: pool/main/g/gadmin-openvpn-client/gadmin-openvpn-client-dbg_0.1.2-4_armhf.deb Size: 118742 SHA256: f43dc1e84a5fc47aa158d7a06403cc6dcc2eea0aa9f7945d9c96f1facc57a447 SHA1: 8fef019852252a37c9d2ed9566af4a0165035b26 MD5sum: cdaf21c08ea9ee780be9888130c6f959 Description: GTK+ configuration tool for openvpn (debug for client) gadmin-openvpn-client is a fast and easy to use GTK+ administration tool for the OpenVPN client. . This package contains the debugging symbols. Package: gadmin-openvpn-server Version: 0.1.5-3.1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 197 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), menu, openvpn Homepage: http://www.gadmintools.org/ Priority: optional Section: admin Filename: pool/main/g/gadmin-openvpn-server/gadmin-openvpn-server_0.1.5-3.1_armhf.deb Size: 73846 SHA256: 65c124f64104c1fe2672901d2c4a8bf5a9d12b8c3846997f1e2cc0c714c98cef SHA1: 0789ad343799cf0e52451086d336b7423219e71d MD5sum: 080e995a1790475df06e791f78f28d09 Description: GTK+ configuration tool for openvpn (server) gadmin-openvpn-server is a fast and easy to use GTK+ administration tool for the OpenVPN server. Package: gadmin-openvpn-server-dbg Source: gadmin-openvpn-server Version: 0.1.5-3.1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 525 Depends: gadmin-openvpn-server (= 0.1.5-3.1) Homepage: http://www.gadmintools.org/ Priority: extra Section: debug Filename: pool/main/g/gadmin-openvpn-server/gadmin-openvpn-server-dbg_0.1.5-3.1_armhf.deb Size: 159044 SHA256: 6c1868fcb09b5a0b4d5086a3fc17f0bb3538c155fb7f41064902c30af36881f6 SHA1: d1fd04e51223e44308ab6ccbb6d442b7d811f14b MD5sum: 8b03a47038fe61a91244238ff6623dcf Description: GTK+ configuration tool for openvpn (debug for server) gadmin-openvpn-server is a fast and easy to use GTK+ administration tool for the OpenVPN server. . This package contains the debugging symbols. Package: gadmin-proftpd Version: 1:0.4.2-1 Architecture: armhf Maintainer: Mahyuddin Susanto Installed-Size: 509 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), menu, proftpd-basic Homepage: http://www.gadmintools.org/ Priority: optional Section: admin Filename: pool/main/g/gadmin-proftpd/gadmin-proftpd_0.4.2-1_armhf.deb Size: 204058 SHA256: 9622e43da42452942fd9825613c5cfdd8c764f977c9f059e3aac2f2d758912ec SHA1: 4cd9fa6a800d7781c5956d14ab5f23de3e558aa3 MD5sum: c68f8245a8b634d7914418780c161a9e Description: GTK+ configuration tool for proftpd gadmin-proftpd is a fast and easy to use GTK+ administration tool for the Proftpd standalone server. . gadmin-proftpd gives admins easy access to virtual hosting, 8 layers of security including chrooted users and encrypted transfers on both the data and/or control channels. Package: gadmin-proftpd-dbg Source: gadmin-proftpd Version: 1:0.4.2-1 Architecture: armhf Maintainer: Mahyuddin Susanto Installed-Size: 725 Depends: gadmin-proftpd (= 1:0.4.2-1) Homepage: http://www.gadmintools.org/ Priority: extra Section: debug Filename: pool/main/g/gadmin-proftpd/gadmin-proftpd-dbg_0.4.2-1_armhf.deb Size: 249456 SHA256: e58d4b9183a31cc527c85c4489ee5eb185e55917c04e276184045fd0a2104368 SHA1: 98765b8e87218fe84ec5bd0a5d963b0aefa1f9e4 MD5sum: cd5131a52d0b73935f8bab18e1c9b5a0 Description: GTK+ configuration tool for proftpd debug package gadmin-proftpd is a fast and easy to use GTK+ administration tool for the Proftpd standalone server. . This package contains the debugging symbols. Package: gadmin-rsync Version: 0.1.7-1 Architecture: armhf Maintainer: Mahyuddin Susanto Installed-Size: 182 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), menu, rsync Recommends: openssh-client Homepage: http://www.gadmintools.org/ Priority: optional Section: admin Filename: pool/main/g/gadmin-rsync/gadmin-rsync_0.1.7-1_armhf.deb Size: 67818 SHA256: d8c7f6e614820679da65dc12e2ea4f174e9c2eeb027a8bc0567e197265b5135a SHA1: 3ff297b75de2c74fd8c10fabbcac90545e87eac3 MD5sum: 9675cf8440a65a66ff29ff5b73fcac3d Description: GTK+ configuration tool for rsync gadmin-rsync is an easy to use GTK+ frontend for the rsync server. . Feature: - Multiple backup sets that can be scheduled to run at specific times via cron. - Each of these backup sets can contain multiple remote or local data backups. - Backup sets that are started via cron schedules will generate log files for each of the data backups in the backup sets. - The backup logfiles will have the same names as the individual backup sets. - Individual backup sets can be run manually and the progress can be viewed in the progress tab. - Remote backups are fully automatic, differential and encrypted. - Keys are created for each host, uploaded and then installed on the remote hosts using a combination of ssh-keygen/scp and ssh. - Makes remote synchronizations scheduleable. Package: gadmin-rsync-dbg Source: gadmin-rsync Version: 0.1.7-1 Architecture: armhf Maintainer: Mahyuddin Susanto Installed-Size: 379 Depends: gadmin-rsync (= 0.1.7-1) Recommends: openssh-client Homepage: http://www.gadmintools.org/ Priority: extra Section: debug Filename: pool/main/g/gadmin-rsync/gadmin-rsync-dbg_0.1.7-1_armhf.deb Size: 134382 SHA256: 2e907107e310af1fab21994e636089c22e7a706aa9ae8253d43ebf7df665fb24 SHA1: 6da704c296fa45733818640a1a99e774bc7fee7f MD5sum: eedeb2702801602fb916629e87cb6578 Description: GTK+ configuration tool for rsync (debug) gadmin-rsync is an easy to use GTK+ frontend for the rsync server. . Feature: - Multiple backup sets that can be scheduled to run at specific times via cron. - Each of these backup sets can contain multiple remote or local data backups. - Backup sets that are started via cron schedules will generate log files for each of the data backups in the backup sets. - The backup logfiles will have the same names as the individual backup sets. - Individual backup sets can be run manually and the progress can be viewed in the progress tab. - Remote backups are fully automatic, differential and encrypted. - Keys are created for each host, uploaded and then installed on the remote hosts using a combination of ssh-keygen/scp and ssh. - Makes remote synchronizations scheduleable. . This package contains the debugging symbols. Package: gadmin-samba Version: 0.2.9-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 321 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), menu, samba, samba-common-bin Homepage: http://www.gadmintools.org/ Priority: optional Section: admin Filename: pool/main/g/gadmin-samba/gadmin-samba_0.2.9-3_armhf.deb Size: 117932 SHA256: cefae76a78987dd2bf667c59ba77e4db4d661f236e765be1505d1ce979b67dfa SHA1: 61dc036ef24dbdb4e55719fe5dfd36bc2d541a37 MD5sum: ac1e12e5fa2827228b76c1a437d72b16 Description: GTK+ configuration tool for samba gadmin-samba is an easy to use GTK+ frontend for the SAMBA file and print server. It features multiple local and remote user and group imports, on the fly share creation and user handling, including randomization of usernames and passwords. PDF printing to shared/private directories or email. It also features three levels of domain management strategies. Package: gadmin-samba-dbg Source: gadmin-samba Version: 0.2.9-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 754 Depends: gadmin-samba (= 0.2.9-3) Homepage: http://www.gadmintools.org/ Priority: extra Section: debug Filename: pool/main/g/gadmin-samba/gadmin-samba-dbg_0.2.9-3_armhf.deb Size: 248614 SHA256: 0dcd0750b74ce0924ba14e1734d2c9fb7e60d9b171a09ae406bfe2f4bad8e8f7 SHA1: 2eae79978207bc90b951d8f8e49694326941b43c MD5sum: ad29c89f8957711c8e1a7bea1c6db0a4 Description: GTK+ configuration tool for samba (debug) gadmin-samba is an easy to use GTK+ frontend for the SAMBA file and print server. It features multiple local and remote user and group imports, on the fly share creation and user handling, including randomization of usernames and passwords. PDF printing to shared/private directories or email. It also features three levels of domain management strategies. . This package contains the debugging symbols. Package: gadmin-tools Source: gadmintools-meta Version: 10 Installed-Size: 26 Maintainer: Debian QA Group Architecture: all Depends: gadmintools Size: 2816 SHA256: 9c5e955df4e6d94675cd0a217e4343e2c26d5c6cc980fb49a0169f7ed8c858a1 SHA1: 88f257d12093e3d0848c7d4f96c8f122d519de1d MD5sum: d8cb545f23f96eea9a0abd06cf596233 Description: GTK+ server administration tools (transitional package) Package to ease upgrading from older gadmin-tools packages to the new gadmintools package. . This package can be purged at anytime once the gadmintools package has been installed. Homepage: http://www.gadmintools.org/ Tag: admin::configuring, role::metapackage Section: admin Priority: optional Filename: pool/main/g/gadmintools-meta/gadmin-tools_10_all.deb Package: gadmintools Source: gadmintools-meta Version: 10 Installed-Size: 26 Maintainer: Debian QA Group Architecture: all Replaces: gadmin-tools Depends: gadmin-bind, gadmin-openvpn-client, gadmin-openvpn-server, gadmin-proftpd, gadmin-rsync, gadmin-samba Breaks: gadmin-tools (<< 6) Size: 2914 SHA256: 43e3ea5cd19292b7450445c30361620153fce88076ff4d2a8eb4a7430980a91a SHA1: f1753f1ec78794e9252bb5312dc508880a1ceb9b MD5sum: 11f11e0d5a98aaa87ad1326c223af58d Description: GTK+ server administration tools (meta-package) Gadmintools consists of several easy to use GTK+ server administration tools for the following programs: . * gadmin-bind - ISC BIND DNS server * gadmin-openvpn-client - OpenVPN client * gadmin-openvpn-server - OpenVPN Server * gadmin-proftpd - Proftpd FTP server * gadmin-rsync - Rsync server * gadmin-samba - Samba SMB server Homepage: http://www.gadmintools.org/ Tag: admin::configuring, role::dummy, role::metapackage Section: admin Priority: optional Filename: pool/main/g/gadmintools-meta/gadmintools_10_all.deb Package: gaduhistory Version: 0.5-2 Installed-Size: 140 Maintainer: Marcin Owsiany Architecture: all Depends: python-central (>= 0.6.11), python (>= 2.6) Enhances: ekg, ekg2-core Size: 18504 SHA256: 660033b4dce53cf753118df95c59a3e01206bc461ce972e1ee6553dfcbd098ae SHA1: 8306efd0a70ad7ba68cc4f046f870db05653ee49 MD5sum: b81f43a12da1706aa6b0db747b393e9c Description: EKG history viewer This program lets you view Gadu-Gadu chat history of the EKG and EKG2 programs in an ncurses-based text interface. It uses SQLite databases internally for fast access. Homepage: http://projects.socek.org/index.php/p/gaduhistory/ Tag: implemented-in::python, interface::text-mode, protocol::gadu-gadu, role::program, scope::utility, uitoolkit::ncurses, use::browsing, use::viewing, works-with::logfile, works-with::text Section: misc Priority: extra Filename: pool/main/g/gaduhistory/gaduhistory_0.5-2_all.deb Package: gaffitter Version: 0.6.0-1 Architecture: armhf Maintainer: Nacho Barrientos Arias Installed-Size: 190 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://gaffitter.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/g/gaffitter/gaffitter_0.6.0-1_armhf.deb Size: 73852 SHA256: 0cb8e06f6816c778a399c073f2511164990974fd171a304c04d96af5078ec5c8 SHA1: 945f99270bb41578d29c299969f03c1d690f3d4c MD5sum: 66509314d29f4aa1862e56853304207c Description: File subsets extractor based on genetic algorithms Genetic Algorithm File Fitter (gaffitter) is a command-line software written in C++ that extracts --via genetic algorithm-- subsets of an input list of files/directories that best fit the given volume size (target), such as CD, DVD and others. . gaffitter provides an intelligent manner (thanks to Genetic Algorithm) to minimize the error between the desired target size and the selected candidates of files/directories. Gaffitter highlights: . * Uses a global meta-heuristic (Genetic Algorithm search). * The command-line interface provides high integration (via pipe) with other tools, i.e. works as a "filter". * Allow the user to enter 'size identifier' pairs directly instead of file/dir names. * Pretty configurable. gaffitter have many input parameters to control/adjust its behavior (including GA params). Package: gaiksaurus Source: aiksaurus Version: 1.2.1+dev-0.12-6.1 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 52 Depends: libaiksaurus-1.2-0c2a (>= 1.2.1+dev-0.12), libaiksaurusgtk-1.2-0c2a (>= 1.2.1+dev-0.12), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.1.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0) Conflicts: libaiksaurusgtk-bin Replaces: libaiksaurusgtk-bin Provides: libaiksaurusgtk-bin Priority: optional Section: text Filename: pool/main/a/aiksaurus/gaiksaurus_1.2.1+dev-0.12-6.1_armhf.deb Size: 9690 SHA256: 37117980cd7f5a8829054aad03eba852ebc8b87bbc5b3df954d50eaf3a729647 SHA1: 0154ba54d719dfb5ce6d78be6ba5929778600112 MD5sum: 0512e7152ed37a681b87c786bdf6e45d Description: graphical interface to the Aiksaurus toolkit (GTK+ frontend) This is a graphical interface to the Aiksaurus English language thesaurus programming toolkit, meant to be embedded in other applications. . This contains gaiksaurus, a standalone GTK+ frontend for AiksaurusGTK. Package: gaim-extendedprefs Source: pidgin-extprefs Version: 0.7-2 Installed-Size: 32 Maintainer: Arjan Oosting Architecture: all Depends: pidgin-extprefs Size: 3848 SHA256: 38b7f6815d035efe936bdea252991a935b0584351a4648b7cce949b3b0c6e867 SHA1: 2779cc7c9b39f7afd67c4a12e051e47375d64ebf MD5sum: d3ae210ada5d59b02812f5d321ec1246 Description: plugin for the instant messenger pidgin (transitional package) The Pidgin Extended Preferences Plugin adds additional preferences that have been commonly called for in the past from Pidgin that are either already implemented and hidden, or trivial to implement via a plugin. . Homepage: http://gaim-extprefs.sourceforge.net/ . This is a transitional dummy package to ensure clean upgrades from old releases. It can be safely removed after upgrade. Tag: role::dummy Section: net Priority: optional Filename: pool/main/p/pidgin-extprefs/gaim-extendedprefs_0.7-2_all.deb Package: gaim-hotkeys Source: pidgin-hotkeys Version: 0.2.4-1.2 Installed-Size: 27 Maintainer: Ivan Yat-Cheung Wong Architecture: all Depends: pidgin-hotkeys Size: 3442 SHA256: 3675935d2a20afd91fedc3188082aa29655d79385c5e304696928bdee4fcc869 SHA1: 4c069ddc4674098a99acf282881190e06671d58b MD5sum: e2846de3b178558466f79d9145904a91 Description: Configurable global hotkeys for pidgin gaim-hotkeys is a dummy package that eases the transition to pidgin and depends on pidgin-hotkeys. It can be removed safely. Tag: role::dummy Section: net Priority: optional Filename: pool/main/p/pidgin-hotkeys/gaim-hotkeys_0.2.4-1.2_all.deb Package: gaim-librvp Version: 0.9.5-3 Installed-Size: 36 Maintainer: Devin Carraway Architecture: all Depends: pidgin-librvp Size: 3470 SHA256: 7e97f770ffddb75844f307d92cb4838a430288f27bf7b5a1f1163c950496a634 SHA1: e9fbd5947d3d3ecdebaed91e29dbca361916b261 MD5sum: 9b202134964961b0b6e688912875f074 Description: MS Exchange RVP instant messaging plugin for GAIM - transitional librvp is a plugin for GAIM which implements the RVP protocol used by Microsoft Exchange and its Windows Messenger client. . This is not an MSN Messenger protocol plugin; for that, see the main GAIM package. . This package has been superseded by pidgin-librvp, and can be safely removed. Tag: role::plugin, uitoolkit::gtk Section: net Priority: extra Filename: pool/main/g/gaim-librvp/gaim-librvp_0.9.5-3_all.deb Package: gaim-themes Version: 0.2-1 Installed-Size: 32 Maintainer: Martin Braure de Calignon Architecture: all Depends: pidgin-themes Size: 2114 SHA256: fc446899a2032642d8e9a0a3cb0def8649329873f72b93f760d828962c3334c8 SHA1: 82085ca36506ee2b9182fd5aa14753d8b7631706 MD5sum: 73517baba2035f1db4f82b5851c14a4e Description: Transitional dummy package This is a dummy package to ease transition from previous versions of gaim-themes. . It can be safely removed from your system. Tag: interface::x11, protocol::jabber, protocol::msn-messenger, protocol::ssl, protocol::yahoo-messenger, role::app-data, role::dummy, uitoolkit::gtk, use::chatting, x11::theme Section: net Priority: optional Filename: pool/main/g/gaim-themes/gaim-themes_0.2-1_all.deb Package: gaim-thinklight Source: pidgin-blinklight Version: 0.11.1-1 Installed-Size: 36 Maintainer: Joachim Breitner Architecture: all Depends: pidgin-blinklight Size: 4022 SHA256: 0e676c3753bfba012b3c9e4af99eb2b2322b53b8f6d04f66ece63687426b069c SHA1: ec9a1b32fbdaf796e1ecf6d57e23be5345b1e8cc MD5sum: 46459448af8900f0e6de866b09c477de Description: Transitional package to pidgin-thinklight This is a transitional dummy package, to make sure that users have a seamless upgrade from the gaim-thinklight to the new pidgin-blinklight package. It can safely be removed afterwards. Tag: role::dummy Section: net Priority: optional Filename: pool/main/p/pidgin-blinklight/gaim-thinklight_0.11.1-1_all.deb Package: gajim Version: 0.15.1-4.1+deb7u3 Installed-Size: 13506 Maintainer: Yann Leboulanger Architecture: all Depends: python (>= 2.6.6-7~), python-gtk2 (>= 2.22.0), dnsutils Suggests: python-gconf, python-gnome2, nautilus-sendto, avahi-daemon, python-avahi, network-manager, libgtkspell0, aspell-en, python-gnomekeyring, gnome-keyring, python-kerberos (>= 1.1), texlive-latex-base, dvipng, python-farstream, gstreamer0.10-plugins-ugly, python-pycurl, python-gupnp-igd Size: 4824476 SHA256: 38346afd6f85043b7fddd7360379c49e69f328e7b09732cd5f1dfa42530470e8 SHA1: a14ede73a0f6527d7f6bd6e6d41295d0422b7796 MD5sum: 8af889dc28e7397e9646a57b0b3d1224 Description: Jabber client written in PyGTK Gajim is a Jabber client. It has a tabbed user interface with normal chats, group chats, and has many features such as, TLS, GPG, SSL, multiple accounts, avatars, file transfers, audio/video call, D-Bus and Metacontacts. Homepage: http://www.gajim.org Recommends: dbus, python-dbus, notification-daemon, python-openssl (>= 0.12), python-crypto, python-pyasn1 Section: net Priority: optional Filename: pool/main/g/gajim/gajim_0.15.1-4.1+deb7u3_all.deb Package: galax Version: 1.1-10 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 13026 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libpcre3 (>= 8.10), libtinfo5, libcamomile-ocaml-data Homepage: http://galax.sourceforge.net/ Priority: optional Section: text Filename: pool/main/g/galax/galax_1.1-10_armhf.deb Size: 2417928 SHA256: 6ee4a397ddb90a1939ec0cec7a46c3fb5b6a551224a1c8a9e6dd14b3e165d448 SHA1: d95e872f0e02b9af995fad7336091bc4cb5a13e8 MD5sum: c0f55107e413ca5e4e1dabdc60d2cc0f Description: XQuery implementation with static typing - command line front-ends Galax is an implementation of XQuery, the W3C XML Query Language. . Galax implements XQuery 1.0 as specified by the W3C, as well as XPath 2.0, which is subset of XQuery 1.0. . Galax is a Schema-aware implementation of XQuery and supports static typing. Also thanks to that, Galax is a really fast implementation of the language. . Galax supports some advanced XQuery features, such as XML Schema validation, XML updates, XQuery optimization and projection, applications of XQuery to Web services. . This package contains the command line front-ends to evaluate XQuery queries and to validate XML documents against XML Schemas. Package: galax-doc Source: galax Version: 1.1-10 Installed-Size: 28438 Maintainer: Debian OCaml Maintainers Architecture: all Suggests: galax, libgalax-ocaml-dev Size: 1866138 SHA256: 3d3d4b3ee07916fd33f4a2cf70188d0399e6b0ef1f36a32e05ed24637b19c1fa SHA1: 170f6470b7d394653f1db16ba1099bb6759121ab MD5sum: 1a0a7125cc0f16f9866c912488267ddb Description: XQuery implementation with static typing - documentation Galax is an implementation of XQuery, the W3C XML Query Language. . Galax implements XQuery 1.0 as specified by the W3C, as well as XPath 2.0, which is subset of XQuery 1.0. . Galax is a Schema-aware implementation of XQuery and supports static typing. Also thanks to that, Galax is a really fast implementation of the language. . Galax supports some advanced XQuery features, such as XML Schema validation, XML updates, XQuery optimization and projection, applications of XQuery to Web services. . This package contains the Galax documentation. User's and reference manuals are provided, as well as the OCaml API reference for the Galax library. Homepage: http://galax.sourceforge.net/ Tag: devel::doc, devel::lang:ocaml, made-of::html, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/galax/galax-doc_1.1-10_all.deb Package: galax-extra Source: galax Version: 1.1-10 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 51123 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libpcre3 (>= 8.10), libtinfo5, libocamlnet-ocaml-kuut1, libpcre-ocaml-werc3, ocaml-base-nox-3.12.1, libcamomile-ocaml-data Recommends: galax Homepage: http://galax.sourceforge.net/ Priority: optional Section: text Filename: pool/main/g/galax/galax-extra_1.1-10_armhf.deb Size: 8950924 SHA256: 0eb76ea299e96c418eae8ceb605be8be9c79c10dd80cd91c28550cf6a05250c7 SHA1: 49f4827de787ea523e7ab506643dc666c0220f42 MD5sum: d2fded5903f64557c1ee0739b06302a5 Description: XQuery implementation with static typing - utilities Galax is an implementation of XQuery, the W3C XML Query Language. . Galax implements XQuery 1.0 as specified by the W3C, as well as XPath 2.0, which is subset of XQuery 1.0. . Galax is a Schema-aware implementation of XQuery and supports static typing. Also thanks to that, Galax is a really fast implementation of the language. . Galax supports some advanced XQuery features, such as XML Schema validation, XML updates, XQuery optimization and projection, applications of XQuery to Web services. . This package contains several command line utilities which complete the front-ends provided by the galax package. Utilities are provided to validate XML Schemas and WSDL, inspect query execution plans, and convert queries among alternative syntaxes (plain text and XQueryX). Package: galaxd Source: galax Version: 1.1-10 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 18869 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libpcre3 (>= 8.10), libtinfo5, libocamlnet-ocaml-kuut1, libpcre-ocaml-werc3, ocaml-base-nox-3.12.1, libcamomile-ocaml-data Suggests: galax Homepage: http://galax.sourceforge.net/ Priority: optional Section: net Filename: pool/main/g/galax/galaxd_1.1-10_armhf.deb Size: 3275822 SHA256: d1e9280253999f34d87b7453f82679c450508280c59b0d0f21297f2077270f4a SHA1: c131b95b0d056cd876d97e6b5da1dbca78e505cd MD5sum: 16ece1ba6961e90ba98e3238641828d5 Description: XQuery implementation with static typing - network servers Galax is an implementation of XQuery, the W3C XML Query Language. . Galax implements XQuery 1.0 as specified by the W3C, as well as XPath 2.0, which is subset of XQuery 1.0. . Galax is a Schema-aware implementation of XQuery and supports static typing. Also thanks to that, Galax is a really fast implementation of the language. . Galax supports some advanced XQuery features, such as XML Schema validation, XML updates, XQuery optimization and projection, applications of XQuery to Web services. . This package contains galaxd, the Galax network server which executes query plans issued by remote clients. Extra helpers for remote execution (zerod and webgui) are also provided by this package. Package: galculator Version: 1.3.4-1 Architecture: armhf Maintainer: Sebastien Bacher Installed-Size: 780 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27) Homepage: http://galculator.sourceforge.net/ Priority: optional Section: math Filename: pool/main/g/galculator/galculator_1.3.4-1_armhf.deb Size: 132404 SHA256: 78a129fe550751cc1d6d649fbee481abf974dcabc4add3afbbd77d0fb6dd53ef SHA1: 9a8906c6135a0ffba44be4bcfacda2ec8d5fab82 MD5sum: 1f4dca5ddb291374b27f0069a8f853d6 Description: A GTK+ 2.0 based calculator galculator is a scientific calculator. It supports different number bases (DEC/HEX/OCT/BIN) and angles bases (DEG/RAD/GRAD) and features a wide range of mathematical (basic arithmetic operations, trigonometric functions, etc) and other useful functions (memory, etc) at the moment. galculator can be used in algebraic mode as well as in Reverse Polish Notation. Package: gallery Version: 1.5.10.dfsg-1.1 Installed-Size: 7152 Maintainer: Michael C. Schultheiss Architecture: all Depends: apache2 | httpd, php5 | php5-cgi | libapache2-mod-php5 | php4 | php4-cgi | libapache2-mod-php4, netpbm (>= 9.20), debconf (>= 0.5) | debconf-2.0 Recommends: imagemagick, jhead, unzip, libjpeg-progs Size: 1711758 SHA256: 28b26ee707c778f9e936cf23b562213ac0e1f44dae7d2602b425da606213663d SHA1: 0b12c5afd1c7ea1f6be967f6f3f921373e596d78 MD5sum: db2711c61ec617a880fc9bf5de99f865 Description: a web-based photo album written in php Gallery is a web-based photo album with multiple user support. It provides users with the ability to create and maintain their own albums via an intuitive web interface. Photo management includes automatic thumbnail creation, image resizing, rotation, ordering, captioning, searching and more. Albums can have read, write and caption permissions per individual authenticated user for an additional level of privacy. . Created in an effort to prevent well meaning folks from sending obscenely large photos by email, Gallery provides an easy place for friends and relatives to create and maintain their own photo albums. . The upstream web site is: http://gallery.sf.net Tag: implemented-in::php, interface::web, network::server, role::program, use::organizing, web::application, works-with::image, works-with::image:raster Section: web Priority: optional Filename: pool/main/g/gallery/gallery_1.5.10.dfsg-1.1_all.deb Package: gallery-uploader Version: 2.4-1 Installed-Size: 404 Maintainer: Pietro Battiston Architecture: all Depends: python (>= 2.6.6-7~), python-gtk2, python-gobject (>= 2.15), python-gnome2, python-galleryremote, python-xdg, python (>= 2.7) | python-argparse Recommends: python-gnomekeyring, python-m2crypto Size: 47430 SHA256: ffa2bfe60825adbefdf25c894b51467639bb99f17975c6ff5243fa761290cfc0 SHA1: 701ab649a5974f85e7638d07afade1904ffb6e8c MD5sum: a9c088c68e7c5bb9a91aeca71eb250bc Description: graphical tool to upload pictures and videos to Gallery Gallery-uploader is a program which makes it immediate to upload pictures and videos to Gallery installations; Gallery (http://gallery.menalto.com/) is an advanced web photo album organizer. . Gallery-uploader can be used in two ways: * as a standalone program, which allows the user to browse for files to upload * as a script for Nautilus (the default GNOME file manager): for this to work, the single user must enable it (see the manual for more info). . This program is currently only able to work with installations of Gallery version 2.*, not 1.*. Homepage: http://www.pietrobattiston.it/gallery_uploader Tag: implemented-in::python, role::program, scope::utility Section: graphics Priority: optional Filename: pool/main/g/gallery-uploader/gallery-uploader_2.4-1_all.deb Package: galleta Version: 1.0+20040505-5 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 47 Depends: libc6 (>= 2.4) Homepage: http://sourceforge.net/project/showfiles.php?group_id=78332 Priority: optional Section: utils Filename: pool/main/g/galleta/galleta_1.0+20040505-5_armhf.deb Size: 7064 SHA256: 9042a5d54d9307f08719a640bc834a77ac65aeaa741be660c85c90ee90a4006c SHA1: 9b0d6f54ac1f271948e59be56066ffbe59cc79b3 MD5sum: 8350a00725de3fc45be9d02c7b3e8cc1 Description: An Internet Explorer cookie forensic analysis tool Galleta is a forensic tool that examines the content of cookie files produced by Microsofts Internet Explorer. . It parses the file and outputs a field separated that can be loaded in a spreadsheet. Package: galternatives Version: 0.13.5+nmu2 Installed-Size: 292 Maintainer: Ryan Niebur Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0), python-glade2 Recommends: gksu Conflicts: gksu (<< 0.8.0) Size: 30070 SHA256: 19c48134c5c2b983a8062546fbf1493fca63783933de44b3629e315cdd63f009 SHA1: 8423d2236ace1c27e2b27265cc43f456e1214386 MD5sum: c28b4794a1507b646ab2ccc907b9c5d3 Description: graphical setup tool for the alternatives system A GUI to help the system administrator to choose what program should provide a given service. . This is a graphical front-end to the update-alternatives program shipped with dpkg. Tag: admin::configuring, implemented-in::python, interface::x11, role::program, suite::debian, uitoolkit::gtk, use::configuring, x11::application Section: admin Priority: optional Filename: pool/main/g/galternatives/galternatives_0.13.5+nmu2_all.deb Package: gamazons Version: 0.83-4 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 211 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6 Homepage: http://www.yorgalily.org/gamazons/ Priority: optional Section: games Filename: pool/main/g/gamazons/gamazons_0.83-4_armhf.deb Size: 86786 SHA256: 984f64fa39c1e474bdb343d3dda1f33791391965ba84f4890b8dd8735a3541dd SHA1: 417455852a15e783214c0050fc40782156536726 MD5sum: b4f6baea562bd69c2aa997e6730c5d49 Description: Amazons boardgame for GNOME Amazons is a game played on a 10x10 chess board. Each side has four pieces (amazons) that move like chess queens (in a straight line in any direction). Instead of capturing pieces like in chess, the game is determined based on who moves last. . Each move consists of two parts. First an amazon moves to a new square and then fires an arrow to another square (the arrow is fired in a straight line in any direction from the square the amazon landed on). The square the arrow lands on becomes a permenant block for the rest of the game. No one can move over it, or fire an arrow over it. Every turn an amazon must move and fire an arrow, so every turn there is one less square available on the board. Try and block in your opponent or section off a good chunk of the board for yourself. Package: gambas3 Version: 3.1.1-2+rpi1 Architecture: all Maintainer: Gambas Debian Maintainers Installed-Size: 8 Depends: gambas3-gb-cairo (>= 3.1.1-2+rpi1), gambas3-gb-dbus (>= 3.1.1-2+rpi1), gambas3-examples (>= 3.1.1-2+rpi1), gambas3-gb-form-stock (>= 3.1.1-2+rpi1), gambas3-gb-chart (>= 3.1.1-2+rpi1), gambas3-gb-compress-bzlib2 (>= 3.1.1-2+rpi1), gambas3-gb-compress-zlib (>= 3.1.1-2+rpi1), gambas3-gb-crypt (>= 3.1.1-2+rpi1), gambas3-gb-db-form (>= 3.1.1-2+rpi1), gambas3-gb-db-mysql (>= 3.1.1-2+rpi1), gambas3-gb-db-postgresql (>= 3.1.1-2+rpi1), gambas3-gb-db-odbc (>= 3.1.1-2+rpi1), gambas3-gb-db-sqlite3 (>= 3.1.1-2+rpi1) | gambas3-gb-db-sqlite2 (>= 3.1.1-2+rpi1), gambas3-gb-desktop (>= 3.1.1-2+rpi1), gambas3-gb-form-dialog (>= 3.1.1-2+rpi1), gambas3-gb-form-mdi (>= 3.1.1-2+rpi1), gambas3-gb-gui (>= 3.1.1-2+rpi1), gambas3-gb-image-effect (>= 3.1.1-2+rpi1), gambas3-gb-image-imlib (>= 3.1.1-2+rpi1), gambas3-gb-image-io (>= 3.1.1-2+rpi1), gambas3-gb-net-curl (>= 3.1.1-2+rpi1), gambas3-gb-net-smtp (>= 3.1.1-2+rpi1), gambas3-gb-opengl-glsl (>= 3.1.1-2+rpi1), gambas3-gb-opengl-glu (>= 3.1.1-2+rpi1), gambas3-gb-pcre (>= 3.1.1-2+rpi1), gambas3-gb-pdf (>= 3.1.1-2+rpi1), gambas3-gb-qt4-ext (>= 3.1.1-2+rpi1), gambas3-gb-qt4-webkit (>= 3.1.1-2+rpi1), gambas3-gb-qt4-opengl, gambas3-gb-report (>= 3.1.1-2+rpi1), gambas3-gb-sdl-sound (>= 3.1.1-2+rpi1), gambas3-gb-settings (>= 3.1.1-2+rpi1), gambas3-gb-vb, gambas3-gb-v4l (>= 3.1.1-2+rpi1), gambas3-gb-web, gambas3-gb-xml-rpc (>= 3.1.1-2+rpi1), gambas3-gb-xml-xslt (>= 3.1.1-2+rpi1), gambas3-ide (>= 3.1.1-2+rpi1), gambas3-gb-mysql (>= 3.1.1-2+rpi1), gambas3-gb-option (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: devel Filename: pool/main/g/gambas3/gambas3_3.1.1-2+rpi1_all.deb Size: 4358 SHA256: ef9e3eb79fd39d844ac6cdd921fceff592a202a548af2c77f8707a28306c62fe SHA1: 984647a742c11ce93c8f380b1734ac9c2db1a25b MD5sum: 80b0f85524789a4ac9e29820eb99abb6 Description: Complete visual development environment for Gambas Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). With Gambas, you can quickly design your program GUI, access MySQL or PostgreSQL databases, pilot KDE applications with DCOP, translate your program into many languages, and so on... . This package doesn't include anything: it is a metapackage to install the IDE and all the available gambas components... Package: gambas3-dev Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 231 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: devel Filename: pool/main/g/gambas3/gambas3-dev_3.1.1-2+rpi1_armhf.deb Size: 102610 SHA256: 5b2c67965528acd401ec1ee2db2b139a80c59e43aa9100dc1167ff688c8bb3f9 SHA1: 28cd96c95642f600f97e082be3c17b85e0fe0bb7 MD5sum: 782f960a05d899e229bfac3e8fef5fdd Description: Gambas compilation tools Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This package includes the gambas compiler, archiver and informer. Package: gambas3-examples Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: all Maintainer: Gambas Debian Maintainers Installed-Size: 10755 Homepage: http://gambas.sourceforge.net Priority: optional Section: devel Filename: pool/main/g/gambas3/gambas3-examples_3.1.1-2+rpi1_all.deb Size: 5757954 SHA256: e8da8d4fa50f1964bc1b8264f11891e6d4df6cfcc6248c77c1e1b4d97067891e SHA1: c96964df2e053e64e13cc49a250b48885e1f9df1 MD5sum: 9c07e29ad685fecf89b816d89f2bbb1e Description: Gambas examples Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This package provides the examples. Package: gambas3-gb-cairo Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 69 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), gambas3-gb-image (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: devel Filename: pool/main/g/gambas3/gambas3-gb-cairo_3.1.1-2+rpi1_armhf.deb Size: 17090 SHA256: a449e7d095c0eb21d017497c96f80e8096dbd15676112bb21e55e4f754a9119b SHA1: d636cc495fb57cddccf17f686f0434d16fad9833 MD5sum: 8a31dee736f1ca2628332938279a5d61 Description: Gambas bindings for cairo Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This package includes Gambas bindings for cairo. Package: gambas3-gb-chart Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: all Maintainer: Gambas Debian Maintainers Installed-Size: 128 Depends: gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-gui (>= 3.1.1-2+rpi1), gambas3-gb-form (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-chart_3.1.1-2+rpi1_all.deb Size: 39418 SHA256: 2518ca3ed1b34f69b44ac5a19e147c83356db394074d693474a505a01c3364ef SHA1: 8ef33ae5a0f031bcba709247e33f3b1adeb5ec68 MD5sum: e93805223585687c05c9a815360d261b Description: Gambas charting component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This is a native gambas component to draw charts. Package: gambas3-gb-compress Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 40 Depends: libc6 (>= 2.13-28), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-compress_3.1.1-2+rpi1_armhf.deb Size: 7570 SHA256: de4240b6da7fc08fb143bf8ac0888c3086c5fb1d150aee7bb40b594defd94e23 SHA1: ff663892418404c880bc1cdcc336729b0dc69c01 MD5sum: f9aadc8def9343fa8bbe7c2969f124ce Description: Gambas compression component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component have the needed base libraries for the rest of compression components. Package: gambas3-gb-compress-bzlib2 Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 28 Depends: libbz2-1.0, libc6 (>= 2.13-28), gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-compress (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-compress-bzlib2_3.1.1-2+rpi1_armhf.deb Size: 7690 SHA256: 5ec6cbc7e6db1bafba12317d0e7040c46a3deb37fd086d04e154300c8332581e SHA1: c2ec7cac8cfd8c4e170858b3d3a6a0f946289f7d MD5sum: 56c3780ff28fee3710d015c7c79f8daa Description: Gambas bzlib2 component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows you to compress/uncompress data or files with the bzip2 algorithm. Package: gambas3-gb-compress-zlib Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 27 Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.2.3.3), gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-compress (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-compress-zlib_3.1.1-2+rpi1_armhf.deb Size: 7362 SHA256: 7c823c959d778185ffb16dcd9cf4771f03dff2fd55c0b55078598263c7bea8e0 SHA1: da8c858943aa30f28d65659148c26edcdf983248 MD5sum: 24a1aee63460b2cb59e1ee7b8a3148a3 Description: Gambas zlib compression component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows you to compress/uncompress data or files with the gzip and PKZIP algorithm. Package: gambas3-gb-crypt Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 39 Depends: libc6 (>= 2.13-28), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-crypt_3.1.1-2+rpi1_armhf.deb Size: 6862 SHA256: d7a66bfe679e937e499165fb0093dfb4092633b526442b3b1c849171babb175e SHA1: a9b3d5c72b42cf2ebd8453c2f93782731b8ead9b MD5sum: a2c094064f7d64a4dfad42716b55161f Description: Gambas crypt encription component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows one to use the crypt() glib function in gambas. Package: gambas3-gb-db Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 78 Depends: libc6 (>= 2.13-28), gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-form (>= 3.1.1-2+rpi1), gambas3-gb-qt4-ext (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-db_3.1.1-2+rpi1_armhf.deb Size: 24040 SHA256: 6327d86f0aff1d3de9ed48a8a54fb506f2a988803e66cec949597d144b7f3106 SHA1: 177de1479fdca667e6442a25a028dfbabc901695 MD5sum: e3f06accd8b697d18ef3a30e7217d24c Description: Gambas database access common libraries Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component provides the needed common libraries for data access. Package: gambas3-gb-db-form Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: all Maintainer: Gambas Debian Maintainers Installed-Size: 174 Depends: gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-db (>= 3.1.1-2+rpi1), gambas3-gb-form (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-db-form_3.1.1-2+rpi1_all.deb Size: 54134 SHA256: 455621d85c85e85d55a332c693920d0d0e14626949a7027d6c86ff9b1ca34617 SHA1: ff474a926d6ed879fb6acca5b5a2a29449ab632a MD5sum: caed717290c0ba049d76b5745f839d1b Description: Gambas database bound controls Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This is a native gambas component that implements data bound controls. It provides the following new controls: DataSource, DataBrowser, DataView, DataControl and DataCombo. Package: gambas3-gb-db-mysql Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 55 Depends: libc6 (>= 2.13-28), libmysqlclient18 (>= 5.5.24+dfsg-1), zlib1g (>= 1:1.1.4), gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-db (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-db-mysql_3.1.1-2+rpi1_armhf.deb Size: 15002 SHA256: 135a58e1bfc9ee5baa65c544f6b82708e01305dd26be306c735e4004f939a35f SHA1: 87346b11d94103f1c2ea5b807c441dc83df4f095 MD5sum: b804d15f50b4af7a7eb607fc1ff48daa Description: MySQL driver for the Gambas database component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows you to access MySQL databases. Package: gambas3-gb-db-odbc Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 50 Depends: libc6 (>= 2.13-28), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-db (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-db-odbc_3.1.1-2+rpi1_armhf.deb Size: 13296 SHA256: 7c1b9326e485779eecd1f664ba4300d681f8d582dc9220050f50ec70be43b20c SHA1: f126a0ae2dccce6807355f6eed9d8fe9ec898659 MD5sum: 97ae3fd335a9101d87d1798ccd5968bf Description: ODBC driver for the Gambas database component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows you to access to databases via unixODBC drivers from gambas code. Package: gambas3-gb-db-postgresql Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 61 Depends: libc6 (>= 2.13-28), libpq5, gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-db (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-db-postgresql_3.1.1-2+rpi1_armhf.deb Size: 15094 SHA256: bb4d5ff7c8c67d5990480a67ab3a0064bfa5df1be037127c2fd6b04364908d43 SHA1: eccab6d5c78a088f578a661ba6dcd8f284b2f5df MD5sum: 96c418948a5d6a5607ec1f5dd53278a7 Description: PostgreSQL driver for the Gambas database component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows you to access PostgreSQL databases. Package: gambas3-gb-db-sqlite2 Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 96 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsqlite0 (>= 2.8.17), libstdc++6 (>= 4.6), gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-db (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-db-sqlite2_3.1.1-2+rpi1_armhf.deb Size: 31690 SHA256: 35dcfc2a950c83fb427935b64bff8afb6f07d0cdf5ed67f89f119c2c8f90812c SHA1: ebfe189202aac0dfa13c7e641c5e1a08f4482f80 MD5sum: 9467b511d4a7a78e5e0c4479a7d4832e Description: Gambas sqlite2 driver database component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows you to access to sqlite 2 databases (not for sqlite 3, there is another package for sqlite 3) from gambas code. Package: gambas3-gb-db-sqlite3 Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 97 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.6), gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-db (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-db-sqlite3_3.1.1-2+rpi1_armhf.deb Size: 33122 SHA256: de2666d63c2eb4333768a031879242e2f65e2e40a6c6624754f04175d1f408b7 SHA1: dd1b065f61d9dd8747c18f624e6276e2c19aad80 MD5sum: f490198ea9641409789e5c22280f010b Description: Gambas sqlite3 driver database component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows you to access to sqlite3 databases (not for sqlite 2, there is another package for sqlite 2) from gambas code. Package: gambas3-gb-dbus Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 99 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: devel Filename: pool/main/g/gambas3/gambas3-gb-dbus_3.1.1-2+rpi1_armhf.deb Size: 28804 SHA256: 27a078ed6d8dc53ce8344b8bf45cf498a6322f5151dcec14c113f904ace78ce4 SHA1: 6585a7bca56e794b99b6b7341cccbe78643a6a6d MD5sum: 4b7eecf30117415f11db3ea579724e3f Description: Gambas bindings for DBUS Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This package includes Gambas bindings for the DBUS system. Package: gambas3-gb-desktop Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 342 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgnome-keyring0 (>= 2.20.3), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxtst6, gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-form (>= 3.1.1-2+rpi1), xdg-utils Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-desktop_3.1.1-2+rpi1_armhf.deb Size: 72068 SHA256: 162e862735ccb7b9ecb8bea2aa55bcf511be2f6ce0472a91ad1c6461e4cf8c66 SHA1: dfdd29fc063753903e97bbab712beeb789a136d3 MD5sum: 41e70bd9c72c3e2b2984de418fc74533 Description: Gambas Portland project compatibility component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component provides an access to the Portland project (http://portland.freedesktop.org/wiki/) xdg utilities. Package: gambas3-gb-eval-highlight Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: all Maintainer: Gambas Debian Maintainers Installed-Size: 68 Depends: gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-eval-highlight_3.1.1-2+rpi1_all.deb Size: 18104 SHA256: 6210427fc33a3e370fdb63f05f9180cd87fceff3430087868cb6d8424d994bf3 SHA1: a9c7659fd949d1c669b427c7364289a269272296 MD5sum: 2eb65489386b0d4283ab76a72dbc00a5 Description: Gambas syntax highlighting component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This package includes the component for syntax highlighting. Package: gambas3-gb-form Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: all Maintainer: Gambas Debian Maintainers Installed-Size: 782 Depends: gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-gui (>= 3.1.1-2+rpi1), gambas3-gb-settings (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-form_3.1.1-2+rpi1_all.deb Size: 273706 SHA256: ea21a260010aaeb81b7bf21b932d8fab637bf3774515c93cdc1fce13c9a466c2 SHA1: c62acb0d409425cc724e6e12099449eba4e9ea6a MD5sum: 8948d4af77312a7062b4b5518df109c4 Description: Gambas native form component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This is a native gambas component to serve as base for graphic components. Package: gambas3-gb-form-dialog Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: all Maintainer: Gambas Debian Maintainers Installed-Size: 51 Depends: gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-form (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-form-dialog_3.1.1-2+rpi1_all.deb Size: 9916 SHA256: c90f6745a1e5a3587cabb00fc687dc7ca7237ad98100c960956cfb563a976b33 SHA1: 72edb70735d0e0e67f62eaa518a790cf29760cb0 MD5sum: ad81865fb69d1e0d08a0369f7a27f0e5 Description: Gambas native mdi form component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This is a native gambas component that implements the Workspace control. Package: gambas3-gb-form-mdi Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: all Maintainer: Gambas Debian Maintainers Installed-Size: 253 Depends: gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-form (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-form-mdi_3.1.1-2+rpi1_all.deb Size: 142260 SHA256: b420d2c33261c671de13652d1f2d6553f29c60107c17ed3439483d4fc4b45083 SHA1: d7689f655b07e7b99f4bf461fe77e74cd39c44e1 MD5sum: 3e3a33cdd0af9bade9e09fd04838b968 Description: Gambas native mdi form component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This is a native gambas component that implements the Workspace control. Package: gambas3-gb-form-stock Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: all Maintainer: Gambas Debian Maintainers Installed-Size: 3479 Depends: gambas3-gb-form (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: devel Filename: pool/main/g/gambas3/gambas3-gb-form-stock_3.1.1-2+rpi1_all.deb Size: 828808 SHA256: 47dc515adcbfae5c59fe8c3e680842c172b19575eb347e8be9a18d6c5f9a423b SHA1: 64b08e9d4dae63c5eba9c7ce4db3d8ba4ee44873 MD5sum: 82057e6ce8581c3bb8336003eb2c00f5 Description: Gambas form stock icons Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This package includes support for stock form icons. Package: gambas3-gb-gtk Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 512 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.14.4), libstdc++6 (>= 4.1.1), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-gtk_3.1.1-2+rpi1_armhf.deb Size: 176750 SHA256: 963887e0689aee6fb88a4c61017db281a6860b8f3aa10646909b7924cb91f79d SHA1: fa41d293f71f8d2bf1e563198e9309d14edaf3ef MD5sum: 7483ea5218609a2cd111720d4ad1a12a Description: Gambas gtk component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . These are the gtk components for Gambas. Package: gambas3-gb-gui Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 68 Depends: libc6 (>= 2.13-28), gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-qt4 (>= 3.1.1-2+rpi1), gambas3-gb-gtk (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-gui_3.1.1-2+rpi1_armhf.deb Size: 14214 SHA256: 64f6fe16354b5e8b834ee8f45c9acc8853b039e57437c609b89adc59b0bbd271 SHA1: 98dfb129b6068108438c6e82037cbc191498f2d8 MD5sum: 181462adc71ac667fbf2ee5e671e0270 Description: Gambas graphical toolkit selector component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component just loads gb.qt4 if you are running KDE or gb.gtk in the other cases. It will make your application more desktop-friendly! If the GB_GUI environment variable is set, then gb.gui will load the component specified by its contents. Package: gambas3-gb-image Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 67 Depends: libc6 (>= 2.13-28), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-image_3.1.1-2+rpi1_armhf.deb Size: 22208 SHA256: e045b2102bf72b4ea6af1c0784bab9400cf34712ba95c77d9b37146da2a2d435 SHA1: 4d724865ce45eb01886e8404cabdffe5c74c9b70 MD5sum: de01776ae97ba8aa1cb914b9ceab1431 Description: Gambas image effects component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This is a new component for applying many various effects on images. The effects source code was ported from the KDE libkdefx library, which includes itself some ImageMagick algorithms, and from the KolourPaint program. Package: gambas3-gb-image-effect Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 85 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), gambas3-gb-image (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-image-effect_3.1.1-2+rpi1_armhf.deb Size: 31180 SHA256: bc78c162b2716fb0697a444895cac079525511edb44ced0f9097ad7bc829310b SHA1: 274b39cf906f24eb695f41ff99f92c3d4cb5b9ce MD5sum: 063e7f0c7c4bc6e29b4e5a59ebd73740 Description: Gambas image effects component: effects Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . Subcomponent for the image component: image effects. Package: gambas3-gb-image-imlib Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 39 Depends: libc6 (>= 2.13-28), libimlib2, gambas3-gb-image (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-image-imlib_3.1.1-2+rpi1_armhf.deb Size: 7216 SHA256: f630c2936d3048fdeb1b7c2950be9cb74b4298c27c907fee1d1eb56735775d28 SHA1: 3275e25178cae25916c097654033f8163ff37e21 MD5sum: 0696f25da3948f8b627f40d63b24cd0b Description: Gambas image effects component: IMLIB bindings Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . Subcomponent for the image component: bings to IMLIB. Package: gambas3-gb-image-io Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 39 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), gambas3-gb-image (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-image-io_3.1.1-2+rpi1_armhf.deb Size: 7342 SHA256: 85f3f93e63afc5acb46cf0639876a1178be344ae1a09e624ccf572eeeefcbf41 SHA1: 2db658d84b1fbc5c0446d356b2b3a41380b81a18 MD5sum: 4065b95b328cd3a2a879cf5bc4f1a273 Description: Gambas image effects component: I/O Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . Subcomponent for the image component: image I/O. Package: gambas3-gb-mysql Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 123 Depends: gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-mysql_3.1.1-2+rpi1_armhf.deb Size: 39244 SHA256: e6c34e9b93a14b9d832900cafd2ebb633bbeedb4f2eba24c0f79296424ca16f0 SHA1: 13c38cdacd98f115c2f20a5813937d7fb2d9e9e7 MD5sum: 264d8c1742f9ad7f8ab15c4db95d4331 Description: Gambas MySQL component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This package creates MySQL statements and executes them. Package: gambas3-gb-net Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 74 Depends: libc6 (>= 2.13-28), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-net_3.1.1-2+rpi1_armhf.deb Size: 22466 SHA256: 915cb35e14f55c2fb234da48d0c102c6bbc8fe92ce60b3eeef66ddca671193cf SHA1: 8a41068e749ab3ac2e2ad9a66a0fdccc2c2fe1b3 MD5sum: eb47cf4364172484033f62bc60776851 Description: Gambas networking component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows you to use TCP/IP and UDP sockets, and to access any serial ports. Package: gambas3-gb-net-curl Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 59 Depends: libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-net (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-net-curl_3.1.1-2+rpi1_armhf.deb Size: 15174 SHA256: 58e4d0fd286cda3291889decdccf22e3438d967fc48a08a2167066a144c0da97 SHA1: 3de9681e247c8a703980ab1d4381901bdc6a2b22 MD5sum: f871e8a65ddee552b874227c4b968cbf Description: Gambas advanced networking component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows your programs to easily become FTP or HTTP clients. Package: gambas3-gb-net-smtp Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 61 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-net (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-net-smtp_3.1.1-2+rpi1_armhf.deb Size: 17808 SHA256: 9270b84981d24f959a4bd83876b6c7ffd5421fef6d2e7dfa850b09f0304bc988 SHA1: b6378560833dc60f1f2bb6cb5602b952b39ff85a MD5sum: e5e2eac507939d30c560d25d784f31b6 Description: Gambas component to use smtp protocol Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows sending emails using smtp protocol. It contains one control, SmtpClient, that is used for defining the SMTP server, the SMTP port, the recipients, the sender, the subject, the mail contents, some attachments, and for finally sending the mail. Package: gambas3-gb-opengl Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 123 Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-opengl_3.1.1-2+rpi1_armhf.deb Size: 32550 SHA256: b98b7256c07d80e98fa9a4f8e03a4c566afb8cc886089bfb7625c767eca9fdc8 SHA1: c769af857e2aa7dba6aef97c8210e2f097c7d1db MD5sum: 411291c6133f5d4ba3410d3f16f78d27 Description: OpenGL component for Gambas Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows you 3D programming with OpenGL in gambas. Package: gambas3-gb-opengl-glsl Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 55 Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), gambas3-gb-opengl (>= 3.1.1-2+rpi1), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-opengl-glsl_3.1.1-2+rpi1_armhf.deb Size: 11152 SHA256: eeee1a51f35c51efb425182bfd1a3e533d0bf0f7b5f855281e53c3f7a324f733 SHA1: c2d52c8c06dbec3628a0665fdb1bd000b71bfeb5 MD5sum: 6187e7ccad959a9a5bc5c0d24c828039 Description: OpenGL component for Gambas: GL Shading Language subcomponent Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component accesses the OPenGL Shading Language. Package: gambas3-gb-opengl-glu Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 46 Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, gambas3-gb-opengl (>= 3.1.1-2+rpi1), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-opengl-glu_3.1.1-2+rpi1_armhf.deb Size: 9372 SHA256: db7a5c72a9eb6cfaf4704983fb1d74c9482e5fd69223191a1e57ce5c2eb2f6f4 SHA1: a233340fc22500f6a340ad498ce0d5c2db02ca56 MD5sum: d37a26813ff97ce550a237444a17883e Description: Gambas opengl-glu component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows you to use the Mesa libraries to do 3D operations. Package: gambas3-gb-option Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 40 Depends: libc6 (>= 2.13-28), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-option_3.1.1-2+rpi1_armhf.deb Size: 7626 SHA256: b7c6cdd8fd1a6ffe272da56ec82d157d20624a70c2215ee59f36261d950b79dc SHA1: ba56e3802b559c457dcf207fdb835d405c5f0f14 MD5sum: 551e7f0338518a8fd7fc823609ee6598 Description: Gambas option component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This package includes the component for option parsing. Package: gambas3-gb-pcre Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 40 Depends: libc6 (>= 2.13-28), libpcre3 (>= 8.10), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-pcre_3.1.1-2+rpi1_armhf.deb Size: 7618 SHA256: 34555cbffb49303aae65c1556563656fb2265af981da23ea349f44a1f67ddc18 SHA1: c14e88e782b3a9dbe93aea812cbde80c41661aa6 MD5sum: 51950a023c5889f055e704c355ced166 Description: Gambas regexp component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This experimental component allows you to use Perl compatible regular expresions within gambas code. Package: gambas3-gb-pdf Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 57 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libpoppler19 (>= 0.18.4), libstdc++6 (>= 4.1.1), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-pdf_3.1.1-2+rpi1_armhf.deb Size: 14992 SHA256: fe6ebea2e05ab56517b3b6190e9851efde0163c818a15dc33316e8dfdda5e633 SHA1: 207278d9a3d0169286fa61b6df7cf0d67f44932b MD5sum: a22f529b26cf68c9d7a6afda5dc15bb9 Description: Gambas pdf component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . PDF renderer component based on Poppler library. Package: gambas3-gb-qt4 Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 579 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-qt4_3.1.1-2+rpi1_armhf.deb Size: 195426 SHA256: 80e82235013b5c5c6bf65e257120b8481030979fe82a38f3b164f4f9b9c1687a SHA1: 6f2b1d421967c1fa3646bc6afe4beefcb28ef6a5 MD5sum: 63c07cbcb3378dcd9a213804dbfa385c Description: Gambas Qt GUI component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This package includes the Gambas QT GUI component. Package: gambas3-gb-qt4-ext Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 147 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-qt4 (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-qt4-ext_3.1.1-2+rpi1_armhf.deb Size: 52354 SHA256: d23920a5c750349dc9c4e30173ec2ac5c5ee5705e0fc465f41d9ce2ad8de31b4 SHA1: 002e04d6ca367543e6776c53c9deec32b89438e7 MD5sum: 258ec7f17c0904875615eec3b048c09d Description: Gambas extended Qt GUI component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component includes some uncommon QT controls. Package: gambas3-gb-qt4-opengl Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 45 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1), gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-qt4 (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-qt4-opengl_3.1.1-2+rpi1_armhf.deb Size: 9522 SHA256: 3594d492ec8cc7b37ebb87be0e06fb999416d159e36d40a34c93c676eb4436a3 SHA1: eccc89decae7b5c0bddaac9d8d356f4c74b58088 MD5sum: 72bbaa967a10fc09374289ebe371e7ef Description: OpenGL with QT toolkit Gambas component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows you integrate OpenGL in qt applications. Package: gambas3-gb-qt4-webkit Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 95 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0), gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-qt4 (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: kde Filename: pool/main/g/gambas3/gambas3-gb-qt4-webkit_3.1.1-2+rpi1_armhf.deb Size: 26744 SHA256: d9d9da1ab8d5744f84f2c00c224b7971642fbaff745c1770c6e7da48a74a1a65 SHA1: 4016aa3fe60e3255710a796b8d37bbd15d44af93 MD5sum: 49732f85973b993e48829179f36f64eb Description: Gambas WebKit component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows you to use the WebKit browser component from Qt4. Package: gambas3-gb-report Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: all Maintainer: Gambas Debian Maintainers Installed-Size: 270 Depends: gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-qt4 (>= 3.1.1-2+rpi1), gambas3-gb-form (>= 3.1.1-2+rpi1), gambas3-gb-db (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-report_3.1.1-2+rpi1_all.deb Size: 86466 SHA256: 6ce9891d0b89f710bef9b5b5db4289932c1f115c9e5bb806e9079a8978fe8878 SHA1: 541f1b774561dcd07024b106f014795973b7b2cc MD5sum: 529b64993dadde5d43e3341e6f2dfa43 Description: Gambas report component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component gives the tools and methods to create reports using Gambas. Package: gambas3-gb-sdl Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 94 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglew1.7 (>= 1.7.0), libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), libxcursor1 (>> 1.1.2), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-sdl_3.1.1-2+rpi1_armhf.deb Size: 29198 SHA256: 557e0d3213d935ddf7d7c6e00a3ce95031f902414e80289c52de4a63e559880c SHA1: d88793e26aefc355624fcf3988f73358458ba82f MD5sum: 3cc69e8ffa7c0d4532fa932ede6c8c8b Description: Gambas SDL component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component use the ttf fonts parts of the SDL library. If opengl drivers are installed it uses it to accelerate 2D and 3D drawing. Package: gambas3-gb-sdl-sound Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 49 Depends: libc6 (>= 2.13-28), libsdl-mixer1.2, gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-sdl (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-sdl-sound_3.1.1-2+rpi1_armhf.deb Size: 11820 SHA256: 095233af1f637927d19436c0cce247bf1e31b8711bef67a538648d9e73364b62 SHA1: bae51c6b1b93d431a248c9ec643234c83576631f MD5sum: 23e6489aaa3ec2919bcbdaec85b2f694 Description: Gambas SDL component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component use the sound image parts of the SDL library. It allows you to simultaneously play many sounds and a music stored in a file. Package: gambas3-gb-settings Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: all Maintainer: Gambas Debian Maintainers Installed-Size: 48 Depends: gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-settings_3.1.1-2+rpi1_all.deb Size: 10526 SHA256: 8c0591addb54100c73780db945ea36004895884689da95b31431dab709ed1f61 SHA1: 19317e7365283c20bd8be9bd63b52f069bad7a9c MD5sum: ad2d78f77004dd46bc20620f14afab83 Description: Gambas utilities class Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This is a component made in gambas providing several utilities. Package: gambas3-gb-signal Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 40 Depends: libc6 (>= 2.13-28), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-signal_3.1.1-2+rpi1_armhf.deb Size: 7672 SHA256: 00e68139c5c87de1ec9c69fb841a1be466b9e4ed50b172c5746da57b4c369c8f SHA1: 2874601a849158f1774c652bea64f2ffb8ce4831 MD5sum: 4757688ce0927086e185c820f861343c Description: Gambas OS signal library Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This package includes the component for access to OS signals. Package: gambas3-gb-v4l Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 58 Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libv4lconvert0 (>= 0.5.0), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-v4l_3.1.1-2+rpi1_armhf.deb Size: 17024 SHA256: 30da6b87732e5fa01b7fcbec6ec8fe456b5d495278b542884ae6d25dae80adc1 SHA1: bd4088eca084fac4db3ccf1d1027a94f24853e54 MD5sum: e0fe722af77d54c6fd001484b36f1201 Description: Gambas video for Linux component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This is a component to use v4l with gambas. Package: gambas3-gb-vb Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 41 Depends: libc6 (>= 2.13-28), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-vb_3.1.1-2+rpi1_armhf.deb Size: 8554 SHA256: 1008d62b25aecdf940896d21d7d94ab2a92ac66608bc29393e44951cad0d42ad SHA1: 01e840dc9ec5e6a020edbd9b9a880da48a7ebdc6 MD5sum: 5b8789a0e0fc6d66ca81ef8bc280bd58 Description: Gambas to Visual Basic(tm) compatibility component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This is a component to give compatibility with some MS Visual Basic functions. Package: gambas3-gb-web Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: all Maintainer: Gambas Debian Maintainers Installed-Size: 73 Depends: gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-web_3.1.1-2+rpi1_all.deb Size: 18262 SHA256: dedc0f4209f351994793b5eb94e73cdce59e764d43df274edb6799144a87dea1 SHA1: 156844ba0cbd21f83e86d39399b880c2a322e0f8 MD5sum: 1382bf935ab2e8917929e09d16ecd3bc Description: Gambas CGI for web applications component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This is a component for making CGI web applications using gambas, with an ASP-like interface. Package: gambas3-gb-xml Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 57 Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-xml_3.1.1-2+rpi1_armhf.deb Size: 13502 SHA256: 31192bf1760846eb13638289c66bae17d3de25b83a312a2ec4efa3798cde300f SHA1: f5b3929ad71563f373486cc143224b472a1c3cb2 MD5sum: ba578bcfab9a9c0a01627a1bae85f53b Description: Gambas XML component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component brings the power of the libxml libraries to Gambas. Package: gambas3-gb-xml-rpc Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 80 Depends: gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-xml (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-xml-rpc_3.1.1-2+rpi1_armhf.deb Size: 19734 SHA256: e12ef1157f87e8aad6066ad7c9a1b6a394db320177e267f59a03cd7b4172ab0b SHA1: 9038bfe1a57426a14d2386105f82affed3c94d35 MD5sum: 022486fd2633db86453fb3ffb119d77f Description: Gambas RPC component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component allows using RPC from a Gambas application. Package: gambas3-gb-xml-xslt Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 37 Depends: libc6 (>= 2.13-28), libxml2 (>= 2.6.27), libxslt1.1 (>= 1.1.25), gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-xml (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-gb-xml-xslt_3.1.1-2+rpi1_armhf.deb Size: 6234 SHA256: 0bbaa2a733b94261b95c83c60a8563f806f74eff80d1a0b735cd6356e9b4dbfb SHA1: 37d6adda8b3e88331b6178c9c547cd0932d8ce11 MD5sum: db448d0fe66ff8496c19f2caeef1f1af Description: Gambas XSLT component Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This component brings the power of the libxslt libraries to Gambas. Package: gambas3-ide Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: all Maintainer: Gambas Debian Maintainers Installed-Size: 3578 Depends: debhelper, fakeroot, gambas3-gb-image-effect (>= 3.1.1-2+rpi1), gambas3-dev (>= 3.1.1-2+rpi1), gambas3-gb-db-form (>= 3.1.1-2+rpi1), gambas3-gb-form-stock (>= 3.1.1-2+rpi1), gambas3-gb-form (>= 3.1.1-2+rpi1), gambas3-gb-form-dialog (>= 3.1.1-2+rpi1), gambas3-gb-form-mdi (>= 3.1.1-2+rpi1), gambas3-gb-db (>= 3.1.1-2+rpi1), gambas3-gb-desktop (>= 3.1.1-2+rpi1), gambas3-gb-qt4 (>= 3.1.1-2+rpi1), gambas3-gb-qt4-ext (>= 3.1.1-2+rpi1), gambas3-gb-settings (>= 3.1.1-2+rpi1), gambas3-runtime (>= 3.1.1-2+rpi1), gambas3-gb-qt4-webkit (>= 3.1.1-2+rpi1), gambas3-gb-eval-highlight (>= 3.1.1-2+rpi1) Recommends: gambas3-examples, rpm Homepage: http://gambas.sourceforge.net Priority: optional Section: devel Filename: pool/main/g/gambas3/gambas3-ide_3.1.1-2+rpi1_all.deb Size: 1560986 SHA256: f960630b0d1b4d2d22d6095218f0864889441920b6b84ee20647ea7341536f13 SHA1: c483ae1f3d267eb593de201c364b84d3c46a0855 MD5sum: fef024f5b03d5f010b8e4a9689b0df8c Description: Visual development environment for the Gambas programming language Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This package includes the Gambas Development Environment. Package: gambas3-runtime Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 607 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), xdg-utils Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-runtime_3.1.1-2+rpi1_armhf.deb Size: 245140 SHA256: f79f1360717729179a8ebe65f05e269b170ff7435d74131f7ca14aee137ee323 SHA1: a6a70d02e416f1e8fe523a32133f022d021f5f71 MD5sum: d858d0a31f2dcd319762f1a8b4eec414 Description: Gambas runtime interpreter Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This package includes the Gambas interpreter needed to run Gambas applications. Package: gambas3-script Source: gambas3 Version: 3.1.1-2+rpi1 Architecture: armhf Maintainer: Gambas Debian Maintainers Installed-Size: 95 Depends: gambas3-dev (>= 3.1.1-2+rpi1), gambas3-runtime (>= 3.1.1-2+rpi1) Homepage: http://gambas.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gambas3/gambas3-script_3.1.1-2+rpi1_armhf.deb Size: 24808 SHA256: 98b925c7d8d2c87c93c344114ff9ad08edfcc66ad4cb021fadafa5e7e15c68a0 SHA1: a35464708d6f9a0e67529f33aa6027d9d1fad262 MD5sum: 5ee0521cbf2be27ec4c8d3620045cbac Description: Gambas scripter Gambas is a free development environment based on a Basic interpreter with object extensions, like Visual Basic(tm) (but it is NOT a clone!). . This package includes the needed files to use Gambas as a scripting language. Package: gambc-doc Source: gambc Version: 4.2.8-1.1 Installed-Size: 4304 Maintainer: Kurt B. Kaiser Architecture: all Suggests: r5rs-doc Size: 3253880 SHA256: 214cceeb884066a636cbd61dfa50ed1700c788cc08dc3985360423fadc397561 SHA1: bc3855febfff9ad82b5b0b0bea31a1a5985a2d7e MD5sum: 05fdc83b8d07aba73a778591f2abceed Description: documentation for the Gambit-C interpreter and compiler The package includes info, .pdf, and text versions of the Gambit-C manual, a 200+ page document containing a complete description of the interpreter and compiler suite. Also included are numerous examples, benchmark code, and an implementation of syntax-case for Gambit-C. Homepage: http://www.iro.umontreal.ca/~gambit/ Tag: devel::doc, devel::examples, devel::lang:c, made-of::html, made-of::info, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/gambc/gambc-doc_4.2.8-1.1_all.deb Package: gambit Version: 0.2010.09.01-1.1 Architecture: armhf Maintainer: Ruben Molina Installed-Size: 9203 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1) Homepage: http://www.gambit-project.org/ Priority: optional Section: math Filename: pool/main/g/gambit/gambit_0.2010.09.01-1.1_armhf.deb Size: 3938022 SHA256: e3690a1a33155a789aadabba670f61af92efdef5e71fc3e6ef70d7402a60317b SHA1: 3bf86ab1166b3750c2040b0c761f7aeff3c7b66c MD5sum: 468cf15b41562d18b07422fb69a745d3 Description: game theory software and tools Gambit is a set of software tools for doing computation on finite, noncooperative games. These comprise a graphical interface for interactively building and analyzing general games in extensive or strategy form; a number of command-line tools for computing Nash equilibria and other solution concepts in games; and, a set of file formats for storing and communicating games to external tools. Package: gameclock Version: 4.0-3 Installed-Size: 133 Maintainer: Antoine Beaupré Architecture: all Depends: python (>= 2.3.5-7), python-gtk2 Size: 18530 SHA256: 646daac08c8721ac2bbdfae25b25f3edcac65c0f0a54d11102c1a80651c05971 SHA1: 9922350aa3614613ca04d18dc68cfc873f20aa3b MD5sum: 315df261db46f6aa2001e9262c02bad1 Description: simple chess clock to track time in real life games Fairly simple application designed to track the time spent thinking by the players during a chess game. Various clock engines are available (speed chess, fisher chess, board games or hourglass). The graphical interface is keyboard-driven and is intended to be minimal and simple. The code is made to be extensible to other game types. Homepage: https://redmine.koumbit.net/projects/gameclock Tag: game::board:chess, implemented-in::python, interface::x11, role::program, scope::application, uitoolkit::gtk, use::gameplaying, use::timekeeping, x11::application Section: games Priority: optional Filename: pool/main/g/gameclock/gameclock_4.0-3_all.deb Package: gameconqueror Source: scanmem Version: 0.12-2 Installed-Size: 416 Maintainer: WANG Lu Architecture: all Depends: gksu, python (>= 2.6.6-3~), python-gtk2, scanmem (>= 0.12) Size: 67386 SHA256: 5d4f4362b5580b5511039d12d4e9df2b11016d94df9560580ae2ad01d7e83b5d SHA1: 317d131311eba05d0c40c06aac840e43309ff945 MD5sum: 3a317f09a065a278327ca72a2d65e2f7 Description: GUI for scanmem, a game hacking tool Scanmem is a simple interactive debugging utility for Linux, used to locate the address of a variable in a running process. This can be used for the analysis or modification of a hostile process on a compromised machine, for reverse engineering, or as a "pokefinder" to cheat at video games. . GameConqueror is a GUI for scanmem, aims to provide more features than scanmem, and CheatEngline-alike user-friendly interface. Homepage: http://code.google.com/p/scanmem/ Section: utils Priority: extra Filename: pool/main/s/scanmem/gameconqueror_0.12-2_all.deb Package: gamera-doc Source: gamera Version: 3.3.3-2+deb7u1 Installed-Size: 3982 Maintainer: Jakub Wilk Architecture: all Pre-Depends: dpkg (>= 1.15.6) Suggests: python-gamera, gamera-gui Size: 2772294 SHA256: 3de69f619802df0f716a3b062342ab433367b3f159fd2bc2b4256e41af0d4474 SHA1: 15797090cbf4d5479b9b4b698a170155330e96b3 MD5sum: 3056701754d949bcc110c41438b6abc1 Description: documentation for the Gamera framework The Gamera framework is a Python library for building custom applications for document analysis and recognition. . This package contains documentation for Gamera. Homepage: http://gamera.sourceforge.net/ Tag: accessibility::ocr, devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/g/gamera/gamera-doc_3.3.3-2+deb7u1_all.deb Package: gamera-gui Source: gamera Version: 3.3.3-2+deb7u1 Installed-Size: 1091 Maintainer: Jakub Wilk Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0), python-gamera (>= 3.3.3-2+deb7u1), python-gamera (<= 3.3.3-2+deb7u1.0~), python-wxgtk2.8 Pre-Depends: dpkg (>= 1.15.6) Recommends: python-matplotlib, python-docutils Suggests: gamera-doc Size: 361866 SHA256: 06ed063e301ebe7c6ad85c3dad254e211b3961db4679150a0edea777973ae67a SHA1: 347e1c10cc6bc79ba6ab679f4386d516a15a5a36 MD5sum: fb9910ff41a3ea4c59abc5a53a5c4ea8 Description: GUI for the Gamera framework The Gamera framework is a Python library for building custom applications for document analysis and recognition. . This package contains GUI for Gamera. Homepage: http://gamera.sourceforge.net/ Tag: accessibility::ocr, implemented-in::python, interface::x11, role::program, scope::application, uitoolkit::gtk, works-with::image, works-with::image:raster, x11::application Section: python Priority: optional Filename: pool/main/g/gamera/gamera-gui_3.3.3-2+deb7u1_all.deb Package: games-thumbnails Version: 20120227 Installed-Size: 30896 Maintainer: Debian Games Team Architecture: all Size: 31641650 SHA256: 092ea2f45b5548bffba02f7921fa4419a639197be076da2071f40ec3293a8fae SHA1: c28eb915881520524c19c088fa6689e8904922ef MD5sum: 25f1bb98136527cbedd5447e9834cf65 Description: thumbnails of games in Debian This package contains thumbnails of different games in Debian. The images intend to show something visually representative from the game, and are derived from real screenshots. They are stored in .png and .jpg graphic formats with a 320x240 pixels resolution. Tag: role::data, suite::debian Section: misc Priority: extra Filename: pool/main/g/games-thumbnails/games-thumbnails_20120227_all.deb Package: gamgi Version: 0.15.8-1 Architecture: armhf Maintainer: Debichem Team Installed-Size: 2044 Depends: ttf-dejavu, libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libfreetype6 (>= 2.2.1), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.12.0), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.20.0), libgtkglext1 Suggests: gamgi-data, gamgi-doc Homepage: http://www.gamgi.org/ Priority: optional Section: science Filename: pool/main/g/gamgi/gamgi_0.15.8-1_armhf.deb Size: 776816 SHA256: 0c7db9dd9aa966ee274558cf92628bd7f88a4caabb257e4c31f92bcf3bc4d14c SHA1: c859ac7559ce4912fe82ee2f47555ebb067555c3 MD5sum: 9f512e82a3e37e8d61037972924762dc Description: General Atomistic Modelling Graphic Interface (GAMGI) The General Atomistic Modelling Graphic Interface (GAMGI) provides a graphical interface to build, view and analyze atomic structures. The program is aimed at the scientific community and provides a graphical interface to study atomic structures and to prepare images for presentations, and for teaching the atomic structure of matter. Package: gamgi-data Source: gamgi Version: 0.15.8-1 Installed-Size: 6006 Maintainer: Debichem Team Architecture: all Suggests: perl Enhances: gamgi Size: 807758 SHA256: cf6f8be4d0688f9b15bc8574fc0a9adf4eea91effaf38f7024ca355c621f2eea SHA1: 52052ccd06b9d2b0fd18294361a5602d3ac8adbe MD5sum: 3e6de7019636d10dc96ef95ece15083f Description: General Atomistic Modelling Graphic Interface (data) The General Atomistic Modelling Graphic Interface (GAMGI) provides a graphical interface to build, view and analyze atomic structures. . This package contains various data, example and script files. Homepage: http://www.gamgi.org/ Tag: role::app-data Section: science Priority: optional Filename: pool/main/g/gamgi/gamgi-data_0.15.8-1_all.deb Package: gamgi-doc Source: gamgi Version: 0.15.8-1 Installed-Size: 1913 Maintainer: Debichem Team Architecture: all Enhances: gamgi Size: 514404 SHA256: 4d3255f9b202f2615bf27fb1cb42830bbeedf5d917c453c7a3d5c262fd6d79ce SHA1: 398a80fbe81ee9cf447664ad1fd2f6fdf6542853 MD5sum: ef39bc53611186f218e3481535cad80f Description: General Atomistic Modelling Graphic Interface (documentation) The General Atomistic Modelling Graphic Interface (GAMGI) provides a graphical interface to build, view and analyze atomic structures. . This package contains the HTML documentation. Homepage: http://www.gamgi.org/ Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gamgi/gamgi-doc_0.15.8-1_all.deb Package: gamin Version: 0.1.10-4.1 Architecture: armhf Maintainer: Michael Banck Installed-Size: 134 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libgamin0 (= 0.1.10-4.1) Conflicts: fam Priority: optional Section: admin Filename: pool/main/g/gamin/gamin_0.1.10-4.1_armhf.deb Size: 62252 SHA256: c9374880aa4ea3fe3c6170c7117623b4b21c45cec4476241beefd3972c2a0582 SHA1: 257ac027bd99023ab5caa9558dc7bb25a501e41c MD5sum: f3813e92b2f90bc53df43cb23da40ac4 Description: File and directory monitoring system Gamin is a file and directory monitoring system which allows applications to detect when a file or a directory has been added, removed or modified by somebody else. . It can be used by desktops like KDE, GNOME or Xfce to have their virtual file systems keep track of changes to files and directories. For example, if a file manager displays a directory to the user, and the user removes one of the files via the command-line, gamin will notify the file manager of this change so that it can update the directory display. . Gamin has been designed as a drop-in replacement for FAM with security and maintainability in mind and can use Linux's advanced inotify service when available. Package: gamine Version: 1.1-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 74 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgstreamer0.10-0 (>= 0.10.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxml2 (>= 2.6.27), gamine-data (= 1.1-2), gstreamer0.10-plugins-good Homepage: http://gnunux.info/projets/gamine/ Priority: optional Section: games Filename: pool/main/g/gamine/gamine_1.1-2_armhf.deb Size: 16052 SHA256: 43762bf1119e162e933f076db3c190660071af131ed951ffd5dacba37e06c148 SHA1: 0cfc959d17b336329eb69a24fd880754609f93a3 MD5sum: 65c4a8c07827c8261cfccdc597cc1201 Description: an interactive game for young children Gamine is a game designed for 2 years old children who are not able to use a keyboard. . The child uses the mouse to draw coloured dots and lines on the screen. Package: gamine-data Source: gamine Version: 1.1-2 Installed-Size: 5312 Maintainer: Debian Games Team Architecture: all Suggests: gamine Size: 5066986 SHA256: 32d925a826fff8711b25e46b23a49bec844b3f4ce4ad50a0d9174d1ff7a78651 SHA1: aa4d70e443c0c612f1746da8b249f2cf606519c3 MD5sum: d29e968085826b2083977346f15a7b66 Description: data files for gamine game gamine is a game for young children. . This package contains sound and level data for the game. . You need the gamine package to use these data files. Homepage: http://gnunux.info/projets/gamine/ Tag: made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gamine/gamine-data_1.1-2_all.deb Package: gammu Version: 1.31.90-1 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 741 Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgammu7 (>= 1.31.90), libglib2.0-0 (>= 2.16.0), libgudev-1.0-0 (>= 146) Recommends: whiptail | dialog, libgammu-i18n (>= 1.31.90-1) Suggests: wammu, gammu-doc (>= 1.31.90-1) Homepage: http://www.gammu.org Priority: optional Section: comm Filename: pool/main/g/gammu/gammu_1.31.90-1_armhf.deb Size: 321830 SHA256: 1e1e2e84cdf4e51731a405108d2b3222b3abf1403331f030af19afbe4d06234c SHA1: 18573343f45e346694c6e772362bbc6a1005e3aa MD5sum: a91f6c03aed5d8f7bb9e281351b7ea8e Description: mobile phone management utility Gammu is command line utility and library to work with mobile phones from many vendors. Support for different models differs, but basic functions should work with majority of them. Program can work with contacts, messages (SMS, EMS and MMS), calendar, todos, filesystem, integrated radio, camera, etc. It also supports daemon mode to send and receive SMSes. . Currently supported phones include: . * Many Nokia models. * Alcatel BE5 (501/701), BF5 (715), BH4 (535/735). * AT capable phones (Siemens, Nokia, Alcatel, IPAQ). * OBEX and IrMC capable phones (Sony-Ericsson, Motorola). * Symbian phones through gnapplet. . This package contains Gammu binary as well as some examples. Package: gammu-doc Source: gammu Version: 1.31.90-1 Installed-Size: 3518 Maintainer: Michal Čihař Architecture: all Replaces: python-gammu-doc Depends: libjs-sphinxdoc Suggests: python-gammu (>= 1.31.90-1) Size: 673914 SHA256: 86aedca852e8c978cd5b9dd9f65af8b974ff414bf80cc4149b5df340ea4743ea SHA1: cf9a3e45e30fc262f8ad846fa47710710b333df4 MD5sum: 57021aea815d69f927ea009fb76c33ba Description: Gammu Manual This provides gammu module, that can work with any phone Gammu supports - many Nokias, Siemens, Alcatel, ... . This package contains The Gammu Manual, comprehensive documentation covering Gammu command line utilities, Gammu SMS Daemon, Python bindings for Gammu and libGammu library API. Homepage: http://www.gammu.org Tag: devel::doc, devel::examples, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gammu/gammu-doc_1.31.90-1_all.deb Package: gammu-smsd Source: gammu Version: 1.31.90-1 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 296 Depends: libc6 (>= 2.13-28), libgammu7 (>= 1.31.90), libgsmsd7 (>= 1.31.90), adduser Suggests: gammu, gammu-doc (>= 1.31.90-1) Homepage: http://www.gammu.org Priority: optional Section: comm Filename: pool/main/g/gammu/gammu-smsd_1.31.90-1_armhf.deb Size: 152140 SHA256: a85f4792be50ae474b9086cb578c5389089cf7609e0e8236f30586fc97d59d77 SHA1: 51b49fdfb29e7eab42d01e9e23b36718b63d8ad4 MD5sum: 3e4a502052d58f73d187a3f2645c515f Description: SMS message daemon Gammu is command line utility and library to work with mobile phones from many vendors. Support for different models differs, but basic functions should work with majority of them. Program can work with contacts, messages (SMS, EMS and MMS), calendar, todos, filesystem, integrated radio, camera, etc. It also supports daemon mode to send and receive SMSes. . Currently supported phones include: . * Many Nokia models. * Alcatel BE5 (501/701), BF5 (715), BH4 (535/735). * AT capable phones (Siemens, Nokia, Alcatel, IPAQ). * OBEX and IrMC capable phones (Sony-Ericsson, Motorola). * Symbian phones through gnapplet. . This package contains Gammu SMS Daemon and tool to inject messages into the queue. Package: gamt Source: amtterm Version: 1.3-1 Architecture: armhf Maintainer: Reinhard Tartler Installed-Size: 79 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libvte9 (>= 1:0.24.0), libx11-6 Priority: extra Section: net Filename: pool/main/a/amtterm/gamt_1.3-1_armhf.deb Size: 21006 SHA256: f76096eb9451493be6a825d66260d60f04f7959019bb691656a4e5baab46a9aa SHA1: def78a0d478a16497120963020378236516535f0 MD5sum: 05c78486ab1782c12c9ac718ddac78fd Description: Serial-over-lan (sol) client for Intel AMT, gtk version AMT (included in Intel vPro and Centrino Pro) provides out-of-band (OOB) management for Desktops and Laptops, using an agent integrated in the network adapter and in the motherboard. . Serial-over-lan provides a secure way to connect a remote computer, through a pseudo serial interface. . amtterm and gamt are two terminal tools to connect to that pseudo serial interface from a remote computer. amttool is a perl script to gather information about and remotely control AMT managed computers. Package: ganeti-htools Source: ganeti Version: 2.5.2-1+deb7u2 Architecture: armhf Maintainer: Debian Ganeti Team Installed-Size: 8325 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Breaks: ganeti2 (<< 2.4) Homepage: http://code.google.com/p/ganeti/ Priority: extra Section: admin Filename: pool/main/g/ganeti/ganeti-htools_2.5.2-1+deb7u2_armhf.deb Size: 1934712 SHA256: b6d578b1e8a0e7be14e4d294b913b79b220c6fb71180eebe7c2cb163b74f03d2 SHA1: daa93f683e3e1580e380f95ee8fa406d8eb9600f MD5sum: 1be11403e908c16e939ca3a64e0090f2 Description: Cluster allocation tools for Ganeti These are additional tools used for enhanced allocation and capacity calculation on Ganeti clusters. . The tools provided are: - hail, an iallocator script for ganeti - hbal, used to redistribute instances on the cluster - hspace, used for capacity calculation - hscan, used to gather cluster files for offline use in hbal/hspace Package: ganeti-instance-debootstrap Version: 0.11-1 Installed-Size: 33 Maintainer: Debian Ganeti Team Architecture: all Depends: debootstrap, dump, kpartx, initscripts Enhances: ganeti Breaks: ganeti (<< 1.2.7) Size: 16302 SHA256: 24313731b71292d089f27fd6c8f3d72aadb57bd674cd740b2db5a61ae3595e1d SHA1: 3a287126474bf53ea89c30a30f10352dae45bdf2 MD5sum: 972fb1ae049d0b71b57b5aa9fd68de9c Description: debootstrap-based instance OS definition for ganeti Ganeti is a virtual server cluster management software tool built on top of the Xen virtual machine monitor and other Open Source software. After setting it up it will provide you with an automated environment to manage highly available virtual machine instances. . This package provides an OS definition for ganeti that will allow installation of Debian (and possibly Unbuntu) instances via debootstrap. Homepage: http://code.google.com/p/ganeti/ Tag: admin::virtualization, implemented-in::shell, role::plugin Section: admin Priority: extra Filename: pool/main/g/ganeti-instance-debootstrap/ganeti-instance-debootstrap_0.11-1_all.deb Package: ganeti2 Source: ganeti Version: 2.5.2-1+deb7u2 Installed-Size: 5078 Maintainer: Debian Ganeti Team Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0), lvm2, openssh-client, openssh-server, bridge-utils, iproute, iputils-arping, openssl, python-simplejson, python-pyparsing, python-openssl, python-pyinotify, python-pycurl, python-paramiko, socat, libjs-jquery Suggests: drbd8-module-source (>= 8.0.7) Conflicts: ganeti Breaks: ganeti-htools (<< 0.3) Size: 1391106 SHA256: 9347c337b06df30ca9338d0534cb483f8e3354ee7bd71ba0c9f051ff648e8642 SHA1: 9741f20b352bdcdaadfc55156bd25a50de4bff5c MD5sum: e28ad03b7f7539b16138832993b0cba0 Description: Cluster-based virtualization management software Ganeti is a virtual server cluster management software tool built on top of the Xen virtual machine monitor and other Open Source software. After setting it up it will provide you with an automated environment to manage highly available virtual machine instances. . It has been designed to facilitate cluster management of virtual servers and to provide fast and simple recovery after physical failures using commodity hardware. . It will take care of operations such as redundant disk creation and management, operating system installation (in cooperation with OS-specific install scripts), startup, shutdown, failover of instances between physical systems. Homepage: http://code.google.com/p/ganeti/ Recommends: drbd8-utils (>= 8.0.7), qemu-kvm | xen-linux-system-2.6.32-5-xen-amd64 | xen-linux-system-2.6.32-5-xen-686, ganeti-instance-debootstrap, ndisc6 Section: admin Priority: extra Filename: pool/main/g/ganeti/ganeti2_2.5.2-1+deb7u2_all.deb Package: ganglia-modules-linux Version: 1.3.4-6 Architecture: armhf Maintainer: Daniel Pocock Installed-Size: 93 Depends: libc6 (>= 2.13-28), ganglia-monitor Homepage: https://github.com/ganglia/ganglia-modules-linux Priority: extra Section: admin Filename: pool/main/g/ganglia-modules-linux/ganglia-modules-linux_1.3.4-6_armhf.deb Size: 27078 SHA256: bb007118c20f14b552d60219e1b29968d206b8354e405976bd02b2e24b3b8914 SHA1: 3e28e83e8a7c535d5b159ca4fd2d7c114a0c0a29 MD5sum: 10885fd69a4365cd867b8b714926498c Description: Ganglia extra modules for Linux (IO, filesystems, multicpu) Ganglia's core modules provide essential metrics like RAM and CPU load and most of them are implemented for any platform where Ganglia runs. The ganglia-modules-linux project is not constrained by the requirements to support all the Ganglia platforms: these modules are implemented exclusively for Linux users. The implementations are high-performance C code. The exact modules currently included are IO statistics (similar to the statistics from iostat), individual filesystem capacity statistics and per-core CPU metrics. Package: ganglia-monitor Source: ganglia Version: 3.3.8-1+nmu1 Architecture: armhf Maintainer: Stuart Teasdale Installed-Size: 208 Depends: libapr1 (>= 1.2.7), libc6 (>= 2.13-28), libconfuse0 (>= 2.5), libexpat1 (>= 2.0.1), libganglia1 (= 3.3.8-1+nmu1), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), adduser Homepage: http://www.ganglia.info/ Priority: optional Section: net Filename: pool/main/g/ganglia/ganglia-monitor_3.3.8-1+nmu1_armhf.deb Size: 79266 SHA256: d4f90703d410ee786fde5e0a866d0b3eadc8244bb97ec9ce2f990bbc43f7aa8f SHA1: 27fcbe4a04957861f57864b8deadfaf483137a40 MD5sum: 1efe8828deb233b1ff9839bda5232212 Description: cluster monitoring toolkit - node daemon Ganglia is a scalable, real-time cluster monitoring environment that collects cluster statistics in an open and well-defined XML format. . This package contains the monitor core program. Package: ganglia-monitor-python Source: ganglia Version: 3.3.8-1+nmu1 Installed-Size: 305 Maintainer: Stuart Teasdale Architecture: all Depends: python, ganglia-monitor (>= 3.3.8-1+nmu1) Size: 57560 SHA256: 0e279ee20971eccc9a06d03cbfccea2e6e4ba8c6e782c4fdce3498656eaeb488 SHA1: b2006ef3e1c8e0226e2a969d1584b57d5afa6334 MD5sum: dd5a50a4bd8ae614e0080ca2dca6c6a8 Description: cluster monitoring toolkit - python modules Ganglia is a scalable, real-time cluster monitoring environment that collects cluster statistics in an open and well-defined XML format. . This package contains the monitor core python plugins. Homepage: http://www.ganglia.info/ Section: net Priority: optional Filename: pool/main/g/ganglia/ganglia-monitor-python_3.3.8-1+nmu1_all.deb Package: ganglia-webfrontend Source: ganglia Version: 3.3.8-1+nmu1 Installed-Size: 2588 Maintainer: Stuart Teasdale Architecture: all Depends: debconf (>= 0.5), apache | apache-ssl | apache-perl | apache2 | httpd-cgi, php5 | php5-cgi | libapache2-mod-php5, rrdtool, libgd2-noxpm | libgd2-xpm Recommends: gmetad, php5-gd Size: 662978 SHA256: 32371be92ff385370e591732d21e1c2ae6c4ad7797d2fd8739a5fe74add1d967 SHA1: 6ebf3cb475cfbb56335967027e2bc6cac70fbc1a MD5sum: 3b1cdff688c6b37f1559ec3547d98fae Description: cluster monitoring toolkit - web front-end Ganglia is a scalable, real-time cluster monitoring environment that collects cluster statistics in an open and well-defined XML format. . This package contains the PHP-based web front-end, which displays information gathered by 'gmetad'. Homepage: http://www.ganglia.info/ Section: net Priority: optional Filename: pool/main/g/ganglia/ganglia-webfrontend_3.3.8-1+nmu1_all.deb Package: gant Version: 1.9.7-1 Installed-Size: 387 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java2-runtime-headless, groovy, ant Recommends: maven2, libmaven-ant-tasks-java Size: 321758 SHA256: 3a5b9811b444f87c1512c85c746a434e90c62d59f0ef3bb311b1c99f76f71213 SHA1: 5148aaac6be480ce1f235e2548399da140601a24 MD5sum: edc52e8128c0daf7f7070249de136f26 Description: Groovy build framework based on scripting Ant tasks Gant is a build tool for scripting Ant tasks using Groovy instead of XML to specify the build logic. A Gant build specification is a Groovy script and so can bring all the power of Groovy to bear directly, something not possible with Ant scripts. Whilst it might be seen as a competitor to Ant, Gant uses Ant tasks for many of the actions, so Gant is really an alternative way of doing builds using Ant, but using a programming language rather than XML to specify the build rules. Homepage: http://gant.codehaus.org/ Section: devel Priority: optional Filename: pool/main/g/gant/gant_1.9.7-1_all.deb Package: ganyremote Version: 5.13-1 Installed-Size: 471 Maintainer: Philipp Huebner Architecture: all Depends: anyremote (>= 5.4.1), libgtk2.0-0, python, python-bluez (>= 0.9.1), python-gtk2 (>= 2.10) Size: 84070 SHA256: 27590cbbc0a6219cb2cb52aed31520ddda0b5d381f3d7b4bcdf082df852e8abe SHA1: 508c7c3448bceff6dc1ec5affcc22e73cda44209 MD5sum: 83522f87e4f717ef8bcc69f6a756eb04 Description: GTK+ frontend for anyRemote gAnyRemote package is GTK+ GUI frontend for anyRemote (http://anyremote.sourceforge.net/). The overall goal of this project is to provide remote control service on Linux through Bluetooth, InfraRed, Wi-Fi or TCP/IP connection. Homepage: http://anyremote.sourceforge.net/ Tag: implemented-in::python, interface::x11, role::program, uitoolkit::gtk Section: gnome Priority: extra Filename: pool/main/g/ganyremote/ganyremote_5.13-1_all.deb Package: gap Version: 4r4p12-2 Installed-Size: 88 Maintainer: Bill Allombert Architecture: all Depends: gap-core, gap-libs, gap-online-help Recommends: gap-doc, gap-dev, gap-trans-groups, gap-prim-groups, gap-small-groups Suggests: gap-small-groups-extra, gap-character-tables, gap-table-of-marks Size: 32556 SHA256: 16419a04f06de21dbed54df1f4ce180c428f4df7bb301f6c0f9cb23bd5fdbc24 SHA1: b34f815fde8b0d97418ec793de205efe0ea0135e MD5sum: 8203b13f09b98bb9e7b486cbdff64b53 Description: Groups, Algorithms and Programming computer algebra system GAP is a system for computational discrete algebra with particular emphasis on computational group theory, but which has already proved useful also in other areas. In the example text, gap is used to analyse Rubik's Cube using group theory. A kernel implements a Pascal-like language. . This is a dummy package that depends on the standard GAP components. Homepage: http://www.gap-system.org/ Tag: field::mathematics, role::metapackage Section: math Priority: optional Filename: pool/main/g/gap/gap_4r4p12-2_all.deb Package: gap-character-tables Source: gap-ctbllib Version: 1r1p3-5 Installed-Size: 4060 Maintainer: Bill Allombert Architecture: all Provides: gap-pkg-ctbllib Suggests: gap-table-of-marks Size: 3268052 SHA256: 85e21abf900f10742fef59c3724424eeb9ab00e8e877bb9dff526ec33e036f7d SHA1: ec3a06ae9ee46d1e8d94b0a30e99d215ea828745 MD5sum: b76636d7e2d6c8517150d25988f51b98 Description: GAP Library of character tables GAP is a system for computational discrete algebra with particular emphasis on computational group theory, but which has already proved useful also in other areas. In the example text, gap is used to analyse Rubik's Cube using group theory. A kernel implements a Pascal-like language. . This package contains the Character Table Library by Thomas Breuer. . Homepage: http://www.gap-system.org Tag: devel::library, field::mathematics, role::app-data Section: math Priority: optional Filename: pool/main/g/gap-ctbllib/gap-character-tables_1r1p3-5_all.deb Package: gap-core Source: gap Version: 4r4p12-2 Architecture: armhf Maintainer: Bill Allombert Installed-Size: 1575 Depends: libc6 (>= 2.13-28), gap-libs (= 4r4p12-2) Recommends: gap-online-help Suggests: gap-doc, gap-dev, gap-trans-groups, gap-prim-groups, gap-small-groups Replaces: gap (<< 4r3n4), gap-dev (<< 4r4p6) Homepage: http://www.gap-system.org/ Priority: optional Section: math Filename: pool/main/g/gap/gap-core_4r4p12-2_armhf.deb Size: 650214 SHA256: 4b7049b72c341b1b936c645aab8163904b6cc2b6d97a172b48fbb3b7e0192cc9 SHA1: 630467afdb6c92eda5afd853bce57cd6b8defb10 MD5sum: 07ce82eb064a6a619ac4af4d6bdb5797 Description: GAP computer algebra system, core components GAP is a system for computational discrete algebra with particular emphasis on computational group theory, but which has already proved useful also in other areas. In the example text, gap is used to analyse Rubik's Cube using group theory. A kernel implements a Pascal-like language. . This package contains the core GAP system. Package: gap-dev Source: gap Version: 4r4p12-2 Architecture: armhf Maintainer: Bill Allombert Installed-Size: 5065 Depends: gap-core (= 4r4p12-2), gcc Homepage: http://www.gap-system.org/ Priority: optional Section: devel Filename: pool/main/g/gap/gap-dev_4r4p12-2_armhf.deb Size: 1844686 SHA256: 098ff80de1a2afd98becc49452bf95fd7e319d723d97fd3f7d124f359ca9b427 SHA1: 6a46ef20178cf949d8a29766686b5294b0107026 MD5sum: 8533623591b39b8299c4b702dfe19332 Description: GAP computer algebra system, compiler and development files GAP is a system for computational discrete algebra with particular emphasis on computational group theory, but which has already proved useful also in other areas. In the example text, gap is used to analyse Rubik's Cube using group theory. A kernel implements a Pascal-like language. . This package contains the GAP compiler and the headers files and objects files necessary to build GAP packages. . The GAP compiler (GAC) creates C code from GAP code and then calls the system's C compiler to produce machine code from it. This can result in a speedup. Package: gap-doc Source: gap Version: 4r4p12-2 Installed-Size: 6464 Maintainer: Bill Allombert Architecture: all Suggests: gap Size: 5614808 SHA256: 1cdcc85cc834a40b20beb86fa40b8730f22963366b54a96544512e4cc810c3c3 SHA1: 530307ed70ea3cc2531a59cba34bb7dff2156781 MD5sum: 308d0ef34e114a053dd0ed94f963f4ae Description: GAP computer algebra system, documentation GAP is a system for computational discrete algebra with particular emphasis on computational group theory, but which has already proved useful also in other areas. In the example text, gap is used to analyse Rubik's Cube using group theory. A kernel implements a Pascal-like language. . This package contains the documentation in DVI and PDF formats. Homepage: http://www.gap-system.org/ Tag: devel::doc, field::mathematics, made-of::pdf, made-of::tex, role::documentation Section: doc Priority: optional Filename: pool/main/g/gap/gap-doc_4r4p12-2_all.deb Package: gap-guava Source: guava Version: 3.6-2 Architecture: armhf Maintainer: Tim Abbott Installed-Size: 8774 Depends: libc6 (>= 2.13-28) Provides: gap-pkg-guava Priority: optional Section: math Filename: pool/main/g/guava/gap-guava_3.6-2_armhf.deb Size: 2414590 SHA256: 9c192734febd24b5a84c5caad589cb1956d5e00ebab601a980164838b1fbecd0 SHA1: 36cbb054c2391a0f235a17a852984f151555a195 MD5sum: 7508215c5fd8acb6ef0482743ec1491b Description: Coding theory library for GAP GUAVA is a package that implements coding theory algorithms in GAP. Codes can be created and manipulated and information about codes can be calculated. Package: gap-libs Source: gap Version: 4r4p12-2 Installed-Size: 16944 Maintainer: Bill Allombert Architecture: all Size: 3127032 SHA256: f057678024fe5b1ea75d138180a6caae12c4c7a3a17165d534ff83e47b2abdb0 SHA1: 064afbf627fb6fb06b34b8a6b5c9d94cbbfbe117 MD5sum: 095563cfe8120d3d6a78cb2c81998de4 Description: GAP computer algebra system, essential GAP libraries GAP is a system for computational discrete algebra with particular emphasis on computational group theory, but which has already proved useful also in other areas. In the example text, gap is used to analyse Rubik's Cube using group theory. A kernel implements a Pascal-like language. . This package contains the essential GAP libraries (lib and grp). Homepage: http://www.gap-system.org/ Tag: devel::library, field::mathematics, role::shared-lib Section: math Priority: optional Filename: pool/main/g/gap/gap-libs_4r4p12-2_all.deb Package: gap-online-help Source: gap Version: 4r4p12-2 Installed-Size: 3980 Maintainer: Bill Allombert Architecture: all Depends: gap-core Size: 1013656 SHA256: 57fe2f03720acfd867b6ab2046d3aa7f402e7ee4e6aa666eb3e1d37aeb28fdf4 SHA1: 2dbb5fbd26914ebec347ae33bf1077e88555c068 MD5sum: abbcf2125d7feb8344d78a01edd6951e Description: GAP computer algebra system, online help GAP is a system for computational discrete algebra with particular emphasis on computational group theory, but which has already proved useful also in other areas. In the example text, gap is used to analyse Rubik's Cube using group theory. A kernel implements a Pascal-like language. . This package contains the documentation in TeX format needed for the online help system. Homepage: http://www.gap-system.org/ Tag: field::mathematics, made-of::tex, role::documentation Section: math Priority: optional Filename: pool/main/g/gap/gap-online-help_4r4p12-2_all.deb Package: gap-prim-groups Source: gap-gdat Version: 4r4p10-1 Installed-Size: 7844 Maintainer: Bill Allombert Architecture: all Provides: gap-prim Size: 7370318 SHA256: 2d3d6b93a35e61430bbc4c7e390440afcc29136b188fc4d30ce227f08547b587 SHA1: 5aff5cd405839713d01be26bda2f884b82223af4 MD5sum: dfe8107980b8b6e33226c0525a44d0d2 Description: Database of primitive groups for GAP GAP is a system for computational discrete algebra with particular emphasis on computational group theory, but which has already proved useful also in other areas. In the example text, gap is used to analyse Rubik's Cube using group theory. A kernel implements a Pascal-like language. . This package contains the database of primitive groups. . Homepage: Tag: devel::library, field::mathematics, role::app-data Section: math Priority: optional Filename: pool/main/g/gap-gdat/gap-prim-groups_4r4p10-1_all.deb Package: gap-small-groups Source: gap-gdat Version: 4r4p10-1 Installed-Size: 5692 Maintainer: Bill Allombert Architecture: all Suggests: gap-small-groups-extra Size: 3717046 SHA256: 602dfaf8e1e0e38b09aa0b95ae7fb82e4b22a66bd9dda3028f08ab8bae9e64b3 SHA1: fb351dde0ffa2814664f3863cc045959882a6e02 MD5sum: d73e3850a5211037636c7f3ad6ffed05 Description: Database of small groups for GAP GAP is a system for computational discrete algebra with particular emphasis on computational group theory, but which has already proved useful also in other areas. In the example text, gap is used to analyse Rubik's Cube using group theory. A kernel implements a Pascal-like language. . The Small Groups Library is a catalogue of groups of `small' order. This package contains the groups data and identification routines for groups of order up to 1000 except 512, 768 and groups whose order factorises in at most 3 primes. . Note that data for order 512, 768 and between 1000 and 2000 except 1024 are available separately in the gap-small-groups-extra packages. . Homepage: Tag: devel::library, field::mathematics, role::app-data Section: math Priority: optional Filename: pool/main/g/gap-gdat/gap-small-groups_4r4p10-1_all.deb Package: gap-small-groups-extra Source: gap-gdat Version: 4r4p10-1 Installed-Size: 20536 Maintainer: Bill Allombert Architecture: all Provides: gap-small Depends: gap-small-groups Size: 15122972 SHA256: 4d6a0b4ea4bb174b47935e27ba09123b09b19f0f40bb1d4eaf28fa4b291de3f9 SHA1: fad016321eee0e051423bbf5824d4602d4f568f5 MD5sum: 9da795e7ddaf463ca144484d92df7236 Description: Large database of small groups for GAP GAP is a system for computational discrete algebra with particular emphasis on computational group theory, but which has already proved useful also in other areas. In the example text, gap is used to analyse Rubik's Cube using group theory. A kernel implements a Pascal-like language. . The Small Groups Library is a catalogue of groups of `small' order. This package contains the groups data and identification routines for groups . * of order at most 2000 except 1024. * of cubefree order at most 50 000. * of order p^n for n <= 6 and all primes p. * of squarefree order. * whose order factorises in at most 3 primes. * of order q^n * p for q^n dividing 2^8, 3^6, 5^5, 7^4 and p prime different to q . The Small Groups Library provides access to these groups and a method to identify the catalogue number of a given group. . Homepage: Tag: devel::library, field::mathematics, role::app-data Section: math Priority: optional Filename: pool/main/g/gap-gdat/gap-small-groups-extra_4r4p10-1_all.deb Package: gap-table-of-marks Source: gap-tomlib Version: 1r1p4-1 Installed-Size: 14416 Maintainer: Bill Allombert Architecture: all Provides: gap-pkg-tomlib Depends: gap-libs (>= 4r4) Suggests: gap-character-tables Size: 14194738 SHA256: 4ddc13a743c9f9f0348d12a50d173cead4d662638e63ddc126c9ee14437daac1 SHA1: ea33db1172f1f4b547d5b625675c804842fb8862 MD5sum: 1cbca8abc5e9190348452ea88f504033 Description: GAP table of marks library GAP is a system for computational discrete algebra with particular emphasis on computational group theory, but which has already proved useful also in other areas. In the example text, gap is used to analyse Rubik's Cube using group theory. A kernel implements a Pascal-like language. . This package contains the table of marks library by G. Pfeiffer and Th. Merkwitz. Homepage: http://www.gap-system.org/ Tag: devel::library, field::mathematics, role::app-data Section: math Priority: optional Filename: pool/main/g/gap-tomlib/gap-table-of-marks_1r1p4-1_all.deb Package: gap-trans-groups Source: gap-gdat Version: 4r4p10-1 Installed-Size: 3456 Maintainer: Bill Allombert Architecture: all Provides: gap-trans Size: 3121392 SHA256: 38672b9d2d88b61237d896f590bf43f42da9260a367ca475a3365b5f9d78e2d2 SHA1: 7de0deb4ba7571ca3b3faa1c077e63f64b531417 MD5sum: 82ab1a1272958f166d93190cb4925ea9 Description: Database of transitive groups for GAP GAP is a system for computational discrete algebra with particular emphasis on computational group theory, but which has already proved useful also in other areas. In the example text, gap is used to analyse Rubik's Cube using group theory. A kernel implements a Pascal-like language. . This package contains the database of transitive groups. . Homepage: Tag: devel::library, field::mathematics, role::app-data Section: math Priority: optional Filename: pool/main/g/gap-gdat/gap-trans-groups_4r4p10-1_all.deb Package: gaphor Version: 0.17.0-1 Installed-Size: 2158 Maintainer: Dmitry Borodaenko Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-gaphas (>= 0.6.0), python-etk.docking, python-zope.component, python-pkg-resources, python-cairo, python-gnome2, python-gtk2, python-gobject, python-simplegeneric Size: 385870 SHA256: d08a5ae3600e20dd4dac67498e838c3677a62a29debaabb6a88354829bd4e955 SHA1: f9d352344582cf185303f052385394d4991d1b35 MD5sum: 4cf83bc5d0a1f4749c940e5786777f49 Description: UML modeling tool This program is an easy to use UML (Unified Modeling Language) modeling environment. It allows you to create UML diagrams for documentation and to assist you with design decisions. Homepage: http://github.com/amolenaar/gaphor Tag: implemented-in::python, interface::commandline, role::program, scope::utility, use::organizing, works-with::image, works-with::image:vector Section: devel Priority: optional Filename: pool/main/g/gaphor/gaphor_0.17.0-1_all.deb Package: garden-of-coloured-lights Version: 1.0.8-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 360 Depends: liballegro4.2 (>= 2:4.2.2), libc6 (>= 2.13-28), garden-of-coloured-lights-data (= 1.0.8-1) Homepage: http://garden.sourceforge.net/ Priority: optional Section: games Filename: pool/main/g/garden-of-coloured-lights/garden-of-coloured-lights_1.0.8-1_armhf.deb Size: 125576 SHA256: 325db4752aa24b37d31b8dc541416bd430002efed26dfc9c5c4ec419c66dd448 SHA1: 12b15518893d3e02c3f40af28bbbce559b910b42 MD5sum: a51098a82e3ff51a6aeaf35c908921ed Description: abstract vertical shooter with music elements The game is basically a vertical shooter with music elements. The enemies, in fact, are kind of musical. Linley has added a simple background tune, that gets mixed with the diffetent notes played when enemies shoot. . Part of what stands out about Garden of Coloured Lights are its graphics, that even though are kept quite simple, are also carefully taken care of. Every ship still has moving parts and mechanisms that open and close, and every level is different, even though all of them share a common theme. . Your ship comes equipped with 3 options, each with its own unique weapon. There are a few pre-designed schemes to play with, or you can create your own combination of weapons. . Garden of Coloured Lights was Linley Henzel's entry for the SHMUP-DEV Competition 2k7 Round 2. Package: garden-of-coloured-lights-data Source: garden-of-coloured-lights Version: 1.0.8-1 Installed-Size: 4008 Maintainer: Debian Games Team Architecture: all Suggests: garden-of-coloured-lights Size: 2207660 SHA256: 78d6fd4fcd935230ee0a149c65d7893b157a6615759398829ab51751f028e2df SHA1: 3ab2f6def7785f663e9618a62c228d843182c283 MD5sum: 08e2e6032c4ebc49258004027d9f6904 Description: abstract vertical shooter with music elements (data) The game is basically a vertical shooter with music elements. The enemies, in fact, are kind of musical. Linley has added a simple background tune, that gets mixed with the diffetent notes played when enemies shoot. . Part of what stands out about Garden of Coloured Lights are its graphics, that even though are kept quite simple, are also carefully taken care of. Every ship still has moving parts and mechanisms that open and close, and every level is different, even though all of them share a common theme. . Your ship comes equipped with 3 options, each with its own unique weapon. There are a few pre-designed schemes to play with, or you can create your own combination of weapons. . Garden of Coloured Lights was Linley Henzel's entry for the SHMUP-DEV Competition 2k7 Round 2. . This package contains the data files for Garden of Coloured Lights. Homepage: http://garden.sourceforge.net/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/g/garden-of-coloured-lights/garden-of-coloured-lights-data_1.0.8-1_all.deb Package: gargoyle-free Version: 2011.1-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 6953 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libjpeg8 (>= 8c), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libsdl-mixer1.2, libsdl-sound1.2 (>= 1.0.1), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), ttf-liberation, ttf-linux-libertine Provides: tads2-interpreter, tads3-interpreter, zcode-interpreter Homepage: http://ccxvii.net/gargoyle/ Priority: extra Section: games Filename: pool/main/g/gargoyle-free/gargoyle-free_2011.1-2_armhf.deb Size: 3155376 SHA256: d80ff6b0881fd3e5254fa20ca7fb1a9a7b6354178a3a456c428c826a9dd4c9a7 SHA1: cd53d33326a784af6d4aa140079e20220ea8eb6e MD5sum: ab5d23780019ff4db2e7394ce361e77b Description: graphical player for Interactive Fiction games Gargoyle is an Interactive Fiction (text adventure) player that supports all the major interactive fiction formats. . Most interactive fiction is distributed as portable game files. These portable game files come in many formats. In the past, you used to have to download a separate player (interpreter) for each format of IF you wanted to play. Instead, Gargoyle provides unified player. . Gargoyle is based on the standard interpreters for the formats it supports: .taf (Adrift games, played with Scare), .dat (AdvSys), *.agx/.d$$ (AGiliTy), .a3c (Alan3), .asl/.cas (Quest games, played with Geas), .jacl/.j2 (JACL), .l9/.sna (Level 9), .mag (Magnetic), *.saga (Scott Adams Grand Adventures), .gam/.t3 (TADS), *.z1/.z2/.z3/.z4/.z5/.z6/.z7/.z8 (Inform Z-Machine games, played with Frotz, Nitfol or Bocfel), .ulx/.blb/.blorb/.glb/.gblorb (Inform or Superglús games compiled to the Glulxe VM in Blorb archives, played with Git or Glulxe), .zlb, .zblorb (Inform Z-Machine games in Blorb archives, played with Frotz). . (note: do not confuse the Git Glux interpreter with the Git DVCS or the GNU Interactive Tools) . Gargoyle also features graphics, sounds and Unicode support. . Technically all the bundled interpreters support the Glk API to manage I/O (keyboard, graphics, sounds, file) in IF games. Gargoyle provides a Glk implementation called garglk that displays texts and images in a graphical Gtk window, with care on typography. . Limitations: . * This free version of gargoyle does not include the non-free Alan2 and Hugo interpreter (and use a different, free monospace font). . * While Gargoyle can display in-game pictures, it does not provide a way to display the cover art present in some Blorb archives. . * The TADS interpreter doesn't support HTML TADS; you can play the games, but will miss the hyperlinks. Package: garlic Version: 1.6-1.1 Architecture: armhf Maintainer: Debichem Team Installed-Size: 671 Depends: libc6 (>= 2.13-28), libx11-6 Suggests: garlic-doc, openbabel Homepage: http://www.zucic.org/garlic/ Priority: optional Section: science Filename: pool/main/g/garlic/garlic_1.6-1.1_armhf.deb Size: 253982 SHA256: 6efcf600a09b70cc85d5399ecaea20f21649247911f03cb3d6322c3ea28a0522 SHA1: c4b4a8af9d0c571f363a4673b202a83f6605e94a MD5sum: a321de7b62954d8b20d62f093636b721 Description: A visualization program for biomolecules Garlic is written for the investigation of membrane proteins. It may be used to visualize other proteins, as well as some geometric objects. This version of garlic recognizes PDB format version 2.1. Garlic may also be used to analyze protein sequences. . It only depends on the X libraries, no other libraries are needed. . Features include: - The slab position and thickness are visible in a small window. - Atomic bonds as well as atoms are treated as independent drawable objects. - The atomic and bond colors depend on position. Five mapping modes are available (as for slab). - Capable to display stereo image. - Capable to display other geometric objects, like membrane. - Atomic information is available for atom covered by the mouse pointer. No click required, just move the mouse pointer over the structure! - Capable to load more than one structure. - Capable to draw Ramachandran plot, helical wheel, Venn diagram, averaged hydrophobicity and hydrophobic moment plot. - The command prompt is available at the bottom of the main window. It is able to display one error message and one command string. Package: garlic-doc Version: 1.6-1 Installed-Size: 1760 Maintainer: Debichem Team Architecture: all Recommends: garlic Size: 986380 SHA256: 2176d8d7546c6befda19171a2b8f32259f4027be36d0fdec7900f7b63fb9039a SHA1: ee040ead060cc6ad7ab008aa93a9d142193e98bf MD5sum: 8e1d952c7f16ba5050bd41f189c33a73 Description: [Chemistry] a molecular visualization program - documents This is the documentation package for Garlic. . Garlic is probably the most portable molecular visualization program in the Unix world. It's written for the investigation of membrane proteins. It may be used to visualize other proteins, as well as some geometric objects. The name should has something to do with the structure and operation of this program. This version of garlic recognizes PDB format version 2.1. Garlic may also be used to analyze protein sequences. . Features include (but not limited to): o The slab position and thickness are visible in a small window. o Atomic bonds as well as atoms are treated as independent drawable objects. o The atomic and bond colors depend on position. Five mapping modes are available (as for slab). o Capable to display stereo image. o Capable to display other geometric objects, like membrane. o Atomic information is available for atom covered by the mouse pointer. No click required, just move the mouse pointer over the structure! o Capable to load more than one structure. o Capable to draw Ramachandran plot, helical wheel, Venn diagram, averaged hydrophobicity and hydrophobic moment plot. o The command prompt is available at the bottom of the main window. It is able to display one error message and one command string. Homepage: http://www.zucic.org/garlic/ Tag: field::biology, field::chemistry, role::documentation, use::viewing Section: doc Priority: optional Filename: pool/main/g/garlic-doc/garlic-doc_1.6-1_all.deb Package: garmin-ant-downloader Version: 0:20110626-1 Architecture: armhf Maintainer: Debian running development group Installed-Size: 93 Depends: libc6 (>= 2.4), libxml2 (>= 2.7.4) Priority: optional Section: utils Filename: pool/main/g/garmin-ant-downloader/garmin-ant-downloader_20110626-1_armhf.deb Size: 27570 SHA256: 23f68cb434fd0825c9d634a86d36a13d35210360e6147c1c358c588fd3ad6aad SHA1: 526be11e5e3cf05c69599bd514c5fcf5599991d9 MD5sum: b2c411eb875354560306bd5874acf7f1 Description: ANT+ information retrieval client for Garmin GPS products This software uses the Garmin ANT+ proprietary USB keys and communication protocol to retrieve information (such as GPS traces) from some Garmin Forerunner watches such as Forerunner 405. . The software was originally named "gant" but renamed when packaged to avoid confusion with existing Java software. Package: garmin-forerunner-tools Version: 0.10-3 Architecture: armhf Maintainer: Debian running develpment group Installed-Size: 606 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libusb-0.1-4 (>= 2:0.1.12) Homepage: http://garmintools.googlecode.com Priority: extra Section: utils Filename: pool/main/g/garmin-forerunner-tools/garmin-forerunner-tools_0.10-3_armhf.deb Size: 144262 SHA256: 1ab91dac29afcdfb2cb376277edb53df0f5dbb35eb436d0921b970a8377f840c SHA1: 904de6e620d820dd12695b203b97a1a5e40796e7 MD5sum: a75ef47696ae7c052a2beeb34703c148 Description: retrieve data from Garmin Forerunner/Edge GPS devices This package contains command-line tools to retrieve data from GPS devices from the Garmin Forerunner series (these are wristwatch-like devices that allow you to register your exact itinerary when doing outdoor sports) or the Garmin Edge series (the same for cycling computers). It works with the Forerunner 205 and 305 models and with the Edge 305 model, and possibly others. Track data can be translated into an XML format and into gpx, and into a polyline format for use with Google maps. . In contrast to the gpsbabel software, this software also downloads data specific to usage in sports like maximal speed, lap summary, calorie consumption, etc. Package: gastables Version: 0.3-2 Installed-Size: 136 Maintainer: Varun Hiremath Architecture: all Depends: python (>= 2.4), python-central (>= 0.6.11), python-gastables, python-wxgtk2.8, python-matplotlib Size: 14650 SHA256: 8d5ef44c265d2fb02d866ab4c58bec4f702e28f13e3fc92f1d841ec67e3e8334 SHA1: 17af436021384dbc1eaf9dce60dc2f9f2429f669 MD5sum: 726f5a197ccf59ea26630a301ebb06e1 Description: graphical user interface for compressible flow gas table modules Gas Tables include modules for compressible gas flow calculations. The main modules currently included are: - Isentropic Relations - Normal Shock Relations - Oblique Shock Relations - Fanno Flow - Isothermal Flow - Rayleigh Flow - Prandtl Meyer Functions Homepage: http://code.google.com/p/python-gastables/ Python-Version: >= 2.4 Tag: interface::x11, role::program, uitoolkit::wxwidgets, x11::application Section: python Priority: optional Filename: pool/main/g/gastables/gastables_0.3-2_all.deb Package: gatling Version: 0.12cvs20120114-4 Architecture: armhf Maintainer: Vedran Furač Installed-Size: 773 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libowfat0, libpolarssl0, libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4) Homepage: http://www.fefe.de/gatling/ Priority: optional Section: net Filename: pool/main/g/gatling/gatling_0.12cvs20120114-4_armhf.deb Size: 257364 SHA256: 658f860218e52a7a8a7cb36590028207a62c0623ee8d830921998d8cccfdc705 SHA1: 45bef67d6746f298561e86fc6f1ce4acd09f4e7e MD5sum: 451780ea802b6b8d1798f139f4fe16eb Description: high performance web server and file server Features: * Small (125k Linux-x86 binary with HTTP, FTP and SMB support) * Fast (measure for yourself, please) * Scalable * Uses platform-specific performance and scalability APIs * connection keep-alive * IPv6 support * transparent content negotiation * With optional directory index generation * Will only serve world readable files * Supports FTP and FTP upload as well * CGI support for HTTP, also SCGI and FastCGI (over IP sockets) * .htaccess support * Can detect some common mime types itself, like file(1) * Read-only SMB support Package: gauche Version: 0.9.1-5.1 Architecture: armhf Maintainer: Debian Gauche Maintainers Installed-Size: 3805 Depends: libc6 (>= 2.13-28), libgauche-0.9-0 (>= 0.9) Recommends: slib Suggests: r5rs-doc, gauche-doc, gauche-zlib, gauche-gdbm Breaks: gauche-dev (<= 0.9-21), wiliki (<< 0.5.1) Replaces: gauche-dev (<= 0.9-21) Homepage: http://practical-scheme.net/gauche/ Priority: optional Section: lisp Filename: pool/main/g/gauche/gauche_0.9.1-5.1_armhf.deb Size: 1222758 SHA256: 534dd4e428db425b0987d777bc44138edc5d9002c2921a99cb6e2556a44bec34 SHA1: 037428c8f70e43d0fc7286c25ce54db5fd31988b MD5sum: 99886d54a8d5aefcb693208a767ee2b6 Description: A Scheme implementation designed for script writing Gauche is a Scheme implementation developed to be a handy script interpreter, which allows programmers and system administrators to write small to large scripts for their daily chores. Quick startup, built-in system interface, native multilingual support are some of the author's goals. Package: gauche-c-wrapper Version: 0.6.1-4.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 595 Depends: gauche, libc6 (>= 2.13-28), libgauche-0.9-0 (>= 0.9.1), libgcc1 (>= 1:4.1.1), dpkg (>= 1.15.4) | install-info Homepage: http://homepage.mac.com/naoki.koguro/prog/c-wrapper/ Priority: optional Section: lisp Filename: pool/main/g/gauche-c-wrapper/gauche-c-wrapper_0.6.1-4.1_armhf.deb Size: 217882 SHA256: 14b5e015faf6296877c89bbfb0136383a8c0b821df559dfe026cb0b250cac7ed SHA1: 93f69f6b9a3d2f63dab51b6bc83b70531bcc87ef MD5sum: 5d5a99a181be33ce4bfa985b3807ad49 Description: Foreign function interface for Gauche to C libraries c-wrapper is a foreign function interface for C and Objective-C libraries. It can parse C header files, so you don't need to define functions, global variables and constants to use libraries. Package: gauche-dev Source: gauche Version: 0.9.1-5.1 Architecture: armhf Maintainer: Debian Gauche Maintainers Installed-Size: 831 Depends: gauche (>= 0.9.1-1), libc6 (>= 2.13-28) Breaks: gauche (<= 0.9-21) Replaces: gauche (<= 0.9-21) Homepage: http://practical-scheme.net/gauche/ Priority: optional Section: lisp Filename: pool/main/g/gauche/gauche-dev_0.9.1-5.1_armhf.deb Size: 314604 SHA256: 7c18e69d0334a8e8f9407d3c7461fd62e2c1cba651075107cb8b13d7cc82cff2 SHA1: d5433e9e0475bbbc314ca52a5d2e72b173cf0087 MD5sum: d6047d96af6d60748266f5db6c63e8a9 Description: Development files for Gauche Gauche is a Scheme implementation developed to be a handy script interpreter, which allows programmers and system administrators to write small to large scripts for their daily chores. Quick startup, built-in system interface, native multilingual support are some of the author's goals. . This package contains development files of Gauche. Package: gauche-doc Source: gauche Version: 0.9.1-5.1 Installed-Size: 1140 Maintainer: Debian Gauche Maintainers Architecture: all Depends: dpkg (>= 1.15.4) | install-info Size: 1136678 SHA256: d71ca2aced42392b767cceffe902942f178e512fc54d9a7d198f291b8f4a8d15 SHA1: 276fc33b620612223a2e967235ef14a1ecc80596 MD5sum: a8a8fe3e421a898d307352863f045a47 Description: Reference manual of Gauche Gauche is a Scheme implementation developed to be a handy script interpreter, which allows programmers and system administrators to write small to large scripts for their daily chores. Quick startup, built-in system interface, native multilingual support are some of the author's goals. . This package contains info documents of the reference manual of Gauche (English, Japanese). Homepage: http://practical-scheme.net/gauche/ Tag: devel::doc, devel::lang:scheme, role::documentation Section: doc Priority: optional Filename: pool/main/g/gauche/gauche-doc_0.9.1-5.1_all.deb Package: gauche-gdbm Source: gauche Version: 0.9.1-5.1 Architecture: armhf Maintainer: Debian Gauche Maintainers Installed-Size: 344 Depends: gauche (>= 0.9.1-1), libc6 (>= 2.13-28), libgdbm3 (>= 1.8.3) Homepage: http://practical-scheme.net/gauche/ Priority: optional Section: lisp Filename: pool/main/g/gauche/gauche-gdbm_0.9.1-5.1_armhf.deb Size: 208456 SHA256: e0410aaee78f8b79a1c2ceadfd1399974e721a572f635c35533b54b94af1a8cb SHA1: 053ae9aa288bd03016f8af83a5c6cbc819ecac7c MD5sum: 80f70d539be3ec1f98d0fe1686c4e27c Description: gdbm binding for Gauche Gauche is a Scheme implementation developed to be a handy script interpreter, which allows programmers and system administrators to write small to large scripts for their daily chores. Quick startup, built-in system interface, native multilingual support are some of the author's goals. . This package contains GDBM binding modules for Gauche. Package: gauche-gl Version: 0.4.4-5 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 1721 Depends: freeglut3, libc6 (>= 2.13-28), libgauche-0.9-0 (>= 0.9.1), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, dpkg (>= 1.15.4) | install-info Homepage: http://practical-scheme.net/gauche/ Priority: optional Section: lisp Filename: pool/main/g/gauche-gl/gauche-gl_0.4.4-5_armhf.deb Size: 892008 SHA256: e4c04a838b9aaceb8d7b6d5c7176cdd3f7293efe568c08922ac06c3e327d1ea2 SHA1: c95765e8e84af8bc668c0158e192e73549445041 MD5sum: 16a223b743d00d858c295ac65f33cd77 Description: Gauche bindings for OpenGL Gauche-gl is an extension module of Gauche Scheme implementation. It provides gl, gl.glut, and gl.math3d modules. Package: gauche-zlib Source: gauche Version: 0.9.1-5.1 Architecture: armhf Maintainer: Debian Gauche Maintainers Installed-Size: 248 Depends: gauche (>= 0.9.1-1), libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Breaks: gauche (<= 0.9-21), gauche-dev (<= 0.9-21) Replaces: gauche (<= 0.9-21) Homepage: http://practical-scheme.net/gauche/ Priority: optional Section: lisp Filename: pool/main/g/gauche/gauche-zlib_0.9.1-5.1_armhf.deb Size: 174894 SHA256: 6b8d2b65b6cee761e88748ea20585b0bf98c4012feb2a831d3d9e808a78fa610 SHA1: 7ee58844687abbb63db7af39341ba077480a4cc2 MD5sum: 9cd452489e032ba0b36ca8d4380e9128 Description: zlib binding for Gauche Gauche is a Scheme implementation developed to be a handy script interpreter, which allows programmers and system administrators to write small to large scripts for their daily chores. Quick startup, built-in system interface, native multilingual support are some of the author's goals. . This package contains zlib binding modules for Gauche. Package: gaupol Version: 0.19.2-1 Installed-Size: 1206 Maintainer: Piotr Ożarowski Architecture: all Depends: python (>= 2.6.6-7~), python-aeidon (>= 0.19.2), python-gtk2 (>= 2.16), python-gobject (>= 2.12.3-2+b1) Recommends: python-enchant (>= 1.1.5-2), iso-codes, python-chardet, python-gtkspell Suggests: vlc | mplayer, python-gst0.10 Size: 154938 SHA256: ec2aa349378e60a8615ab50bb3309ac15fd01b3e1771e0458bd9b1b877e73e88 SHA1: 7a6b685ff287f54fbd4349e2a9b2da01b8156625 MD5sum: f46d67fa8f1002cc520123ba9076d17d Description: subtitle editor for text-based subtitle files Text-based subtitles are commonly used with DivX video. Gaupol supports multiple subtitle file formats and provides means of text corrections and time manipulations. Gaupol's user interface is designed with attention to batch processing of multiple documents and convenient translating. . Supported formats are: * Advanced Sub Station Alpha (.ssa) * MicroDVD (.sub) * MPL2 (.txt) * MPsub (.sub) * SubRip (.srt) * Sub Station Alpha (.ssa) * SubViewer2 (.sub) * TMPlayer (.txt) . VobSubs (image-based subtitles used in DVDs) are NOT supported. Homepage: http://home.gna.org/gaupol/ Tag: implemented-in::python, interface::x11, role::program, scope::application, uitoolkit::gtk, use::editing, works-with::text, x11::application Section: gnome Priority: optional Filename: pool/main/g/gaupol/gaupol_0.19.2-1_all.deb Package: gausssum Version: 2.2.5-2 Installed-Size: 393 Maintainer: Debichem Team Architecture: all Depends: gnuplot, python-cclib, python-imaging-tk, python-numpy, python-tk, python2.7, python (>= 2.7), python (<< 2.8) Size: 146392 SHA256: 4fd1ac30d28cac436841eb266b9fd44ddb6e6d2133b64a4267874adcbe2d9c9f SHA1: 3330cb66977f2b478f2490e80a840ce252ef6896 MD5sum: cf5a11e1f7433d1c5adf7ac9ab72f62c Description: parse and display Gaussian, GAMESS, and etc's output GaussSum parses the output files of ADF, GAMESS, GAMESS-UK, Gaussian, Jaguar and PC GAMESS calculations to extract useful information. . GaussSum uses GNUPlot to display the progress of geometry optimisations, density of states spectrum, UV-VIS spectra, IR spectra, Raman spectra, and electron density difference maps. It can also display all lines containing an arbitrary phrase and more. Homepage: http://gausssum.sourceforge.net Tag: field::chemistry, implemented-in::python, role::program Section: science Priority: optional Filename: pool/main/g/gausssum/gausssum_2.2.5-2_all.deb Package: gav Version: 0.9.0-3 Architecture: armhf Maintainer: Ari Pollak Installed-Size: 264 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-net1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Recommends: gav-themes Homepage: http://gav.sf.net Priority: extra Section: games Filename: pool/main/g/gav/gav_0.9.0-3_armhf.deb Size: 122980 SHA256: b3e58181ac9308d96dec5a144d00aa7af2946d0bf3c4dee2623843b4b782cbff SHA1: b8bd60d30fc1da672a809e0e8dec8c4484a094b6 MD5sum: d5d993327f5a12097b74f128d68a82bb Description: GPL Arcade Volleyball GAV stands for GPL Arcade Volleyball, and is an SDL remake of the old DOS game Arcade Volleyball. It includes multiplayer, networking, and themeable graphics support. . More information, as well as additional themes, can be found at . Package: gav-themes Version: 0.7.3-2 Installed-Size: 788 Maintainer: Ari Pollak Architecture: all Recommends: gav Size: 607278 SHA256: 16cb3c7b538287a5907a7675e0331b29714c39434aa8f2b151bde7cac71ced04 SHA1: 6cc294fec275a2c76580aae52ef8b1e4e28da56b MD5sum: 14f92706b0acb14c8b22ace9c4886b2a Description: Extra themes for GPL Arcade Volleyball This package includes many additional themes for GPL Arcade Volleyball. Tag: game::arcade, interface::x11, role::app-data, uitoolkit::sdl, use::gameplaying, x11::theme Section: games Priority: extra Filename: pool/main/g/gav-themes/gav-themes_0.7.3-2_all.deb Package: gawk Version: 1:4.0.1+dfsg-2.1 Architecture: armhf Maintainer: Arthur Loiret Installed-Size: 2152 Pre-Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0), libsigsegv2 Suggests: gawk-doc Provides: awk Multi-Arch: foreign Homepage: http://www.gnu.org/software/gawk/ Priority: optional Section: interpreters Filename: pool/main/g/gawk/gawk_4.0.1+dfsg-2.1_armhf.deb Size: 943084 SHA256: e01c9eca7b8927ea120be10cf5350473b1108e22cf38de49959bef6cca830780 SHA1: 8a49fee6e233bb7e708919103b0ef15041e994e6 MD5sum: c6ba72d4b5d98cecd905387c34574fe1 Description: GNU awk, a pattern scanning and processing language `awk', a program that you can use to select particular records in a file and perform operations upon them. . Gawk is the GNU Project's implementation of the AWK programming language. It conforms to the definition of the language in the POSIX 1003.2 Command Language And Utilities Standard. This version in turn is based on the description in The AWK Programming Language, by Aho, Kernighan, and Weinberger, with the additional features defined in the System V Release 4 version of UNIX awk. Gawk also provides more recent Bell Labs awk extensions, and some GNU-specific extensions. Package: gbackground Version: 1.3-1 Installed-Size: 132 Maintainer: Alejandro Garrido Mota Architecture: all Depends: perl, libglib-perl, libgtk2-gladexml-perl, libgtk2-perl, libproc-pid-file-perl, libui-dialog-perl, libyaml-perl Size: 14198 SHA256: 037926c9e0d50487a457bef0714e5fe4b9eef294f75e8b89c3549200311e122e SHA1: c8a41f43c97995ba3fefa16757d660b86b18283e MD5sum: 13076cd56f7766947e9e6b8547b1b9b9 Description: interval-based gnome background changer Gbackground allows to change periodically the GNOME background in intervals of a second, it uses the GNOME tools to do this. The user indicates the source directory of the images. Homepage: http://www.mogaal.com/gbackground Tag: implemented-in::perl, interface::x11, role::program, scope::utility, uitoolkit::gtk, use::configuring, x11::application Section: gnome Priority: optional Filename: pool/main/g/gbackground/gbackground_1.3-1_all.deb Package: gbase Version: 0.5-2.2 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 57 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://www.hibernaculum.net/gbase/index.php Priority: optional Section: math Filename: pool/main/g/gbase/gbase_0.5-2.2_armhf.deb Size: 10212 SHA256: 6a6ed9bef8eee0b635dd07c20ebaf37f04aa5ac98d0bd4f8bbe1a055ef78f399 SHA1: 1f1f84f806166e60150d9199ad926bf15037b299 MD5sum: 49d786ce313ccc69336d39a65dc8d72e Description: small numeric base converter This simple program converts numbers between bases 2 (binary), 8 (octal), 10 (decimal) and 16 (hexadecimal). It can be used both from console or via a small GTK+-based X interface. Package: gbatnav Version: 1.0.4cvs20051004-5 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 420 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6 Homepage: http://batnav.sourceforge.net/ Priority: optional Section: games Filename: pool/main/g/gbatnav/gbatnav_1.0.4cvs20051004-5_armhf.deb Size: 116646 SHA256: 12adda9b42d3021cd1c60dd9a9fd97138aace544c901c0118e1a66312f61a07d SHA1: bc201f8f5d931837a0aece8ea83b149b41e0087e MD5sum: de4cd7c096fb3ad8b7d267173253cc52 Description: networked BattleShip game Batalla Naval is a networked BattleShip game. It supports multiple players and multiple robots at the same time. Package: gbemol Version: 0.3.2-2 Architecture: armhf Maintainer: Andrea Colangelo Installed-Size: 200 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4) Suggests: mpd Homepage: http://gbemol.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/g/gbemol/gbemol_0.3.2-2_armhf.deb Size: 61794 SHA256: 6aece59df14cd4705ef1473a660302488ac6f9f753b769cf5a8ac77e78fa85c8 SHA1: 086bb5b4693dd16c806eae0921e0a3336ed8b96e MD5sum: d44200c4a9e06ac41cb6c72dcc0acd5e Description: Graphical frontend for the Music Player Daemon (MPD) gbemol allows you to use MPD with a userfriendly interface. Features include an easy-to-use, tag-oriented library browser, a two mode playlist, cover art support (APIC tag only, for now), system tray icon docking, song, album and artist information. . Music Player Daemon is a server that allows remote access for playing audio files, streams and managing playlists. The daemon is controlled through a client which need not run on the same computer mpd runs on. Package: gbgoffice Version: 1.4-8 Architecture: armhf Maintainer: Damyan Ivanov Installed-Size: 345 Depends: libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0), libx11-6 Recommends: bgoffice-computer-terms Suggests: bgoffice-dict-downloader Homepage: http://gbgoffice.info Priority: optional Section: text Filename: pool/main/g/gbgoffice/gbgoffice_1.4-8_armhf.deb Size: 170714 SHA256: 1cf5935580dc72bf3f7dfa7c5a7486dd9f86343d262a85e0ac8a74a347487ed8 SHA1: e302acd65641791c7d99a91a7e285e3e59e009bd MD5sum: c4bf05064049a5d61ba075c1c61aa4fb Description: bgoffice dictionary frontend (GTK2) bgoffice is a project aimed on creating a full-featured desktop environment, translated and localized for Bulgarian users. . This package contains gbgoffice - a GTK2 program for working with all dictionaries, contained in bgoffice. It has clean interface, features GNOME notification area integration and clipboard monitoring. All features are customizable. . For Qt/KDE version of the program, see kbedic. Package: gbirthday Version: 0.6.6-2 Installed-Size: 310 Maintainer: Rolf Leggewie Architecture: all Depends: python (>= 2.6), python-gtk2 (>= 2.10), python-support (>= 0.90.0) Recommends: python-evolution, evolution-data-server Size: 51456 SHA256: ae228b08b6aa7fb356add22d95a2f48161116efa4eb70f205130853cbdfeede2 SHA1: 65eec88c5e91cbb20875299e965a33ed591b853c MD5sum: cc9f39482639bcc42fbd909cd3840be1 Description: birthday reminder applet GBirthday is an applet to help you remember your friends' and contacts' birthdays. It uses the notifcation area for alerts. Data can be stored in a number of address book formats, flat file CSV and MySQL database. Homepage: http://gbirthday.sf.net/ Python-Version: 2.6, 2.7 Tag: implemented-in::c, implemented-in::python, role::program, uitoolkit::gtk, works-with::pim, x11::application Section: gnome Priority: extra Filename: pool/main/g/gbirthday/gbirthday_0.6.6-2_all.deb Package: gbonds Version: 2.0.3-2.1 Architecture: armhf Maintainer: Richard Laager Installed-Size: 232 Depends: gbonds-data (>= 2.0.3), gbonds-data (<< 2.0.3-z), gconf-service, libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnome2-0 (>= 2.17.3), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libpopt0 (>= 1.14), libxml2 (>= 2.7.4) Homepage: http://gbonds.sourceforge.net Priority: optional Section: gnome Filename: pool/main/g/gbonds/gbonds_2.0.3-2.1_armhf.deb Size: 73196 SHA256: 9b5a451894c8cfe13890732ef32b64d59edffd348eee5a2e1d2e71646f8502af SHA1: 47bbe108fec136e0286702cfc180418ad08dc8ca MD5sum: 533f9d58b6e14486b714de2b51980308 Description: U.S. savings bond inventory program for GNOME GBonds is a savings bond inventory program for the GNOME desktop environment. It allows you to track the current redemption value and performance of your U.S. Savings Bonds and keep a valuable record of the bonds you own. GBonds is similar in functionality to Savings Bond Wizard from the U.S. Department of the Treasury. . Features: . * Tracks savings notes and series E, EE, and I savings bonds. * Uses U.S. Treasury Department redemption files without modification. * Imports inventories created with Savings Bond Wizard. * Tracks current value of both individual bonds and an entire inventory. Package: gbonds-data Source: gbonds Version: 2.0.3-2.1 Installed-Size: 2108 Maintainer: Richard Laager Architecture: all Replaces: gbonds (<< 2.0.3-1) Breaks: gbonds (<< 2.0.3-1) Size: 680716 SHA256: 4fe6dcfaaaab669e7f4655b7a7eca8c224e00b1da489b88175af8803630d7216 SHA1: 851f1d289ca7b029e04b68740424cd232491cd04 MD5sum: 0dce7fd7b105bee953fbacc9f3c5f8e5 Description: GBonds data files This package contains architecture-independent supporting data files required for use with GBonds, such as documentation, icons, and the Savings Bond redemption data files. Tag: role::app-data Section: gnome Priority: optional Filename: pool/main/g/gbonds/gbonds-data_2.0.3-2.1_all.deb Package: gbrainy Version: 1:2.2.2-1+rpi2 Architecture: all Maintainer: Siegfried-Angel Gevatter Pujals Installed-Size: 6786 Depends: mono-runtime (>= 2.10.1), libc6 (>= 2.13) | libc6.1 (>= 2.13) | libc0.1 (>= 2.13), libcanberra-gtk0, libglib2.0-cil (>= 2.12.10-1ubuntu1), libgtk2.0-0 (>= 2.24.0), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-cairo4.0-cil (>= 3.2.1), libmono-corlib4.5-cil (>= 3.2.8), libmono-csharp4.0c-cil (>= 3.2.8), libmono-posix4.0-cil (>= 3.2.3), libmono-system-core4.0-cil (>= 3.2.8), libmono-system-xml4.0-cil (>= 3.2.1), libmono-system4.0-cil (>= 3.2.8), librsvg2-2 (>= 2.36.1) Homepage: http://live.gnome.org/gbrainy Priority: optional Section: games Filename: pool/main/g/gbrainy/gbrainy_2.2.2-1+rpi2_all.deb Size: 998702 SHA256: 54cef8e7ea496d977becc7d3b35c28f427adc66aa00db93dde9f706c2e71c1bc SHA1: 32e798addf50c0845af4e1a9374182dc5eb5f787 MD5sum: 2bb819e49117d59d879a82fb148dfd45 Description: brain teaser game and trainer to have fun and to keep your brain trained gbrainy is a platform to train memory, arithmetical and logical capabilities with many sorts of different exercises of different difficulty levels. It should have something for all ages and purposes: kids whose parents want them to develop their capabilities, adults that want to keep their mind in form or just try it out for fun, older people that might need to do some memory exercises, etc. . It provides the following types of games: . * Logic Puzzles: games designed to challenge your reasoning and thinking skills. * Mental Calculation: games based on arithmetical operations designed to prove your mental calculation skills. * Memory Trainers: games designed to challenge your short term memory. * Verbal Analogies: games that challenge your verbal aptitude. Package: gbrowse Version: 2.48~dfsg-1 Installed-Size: 6709 Maintainer: Debian Med Packaging Team Architecture: all Depends: perl, bioperl (>= 1.6.901), libbio-graphics-perl (>= 2.26), libcgi-session-perl (>= 4.02), libgd-gd2-noxpm-perl (>= 2.07) | libgd-gd2-perl (>= 2.07), libio-string-perl, libjson-perl, libstatistics-descriptive-perl, libwww-perl, libhttp-daemon-perl, perl (>= 5.10.0) | libextutils-cbuilder-perl, libterm-readkey-perl, sqlite3, libdbd-sqlite3-perl, libjs-prototype (>= 1.7), libjs-scriptaculous (>= 1.9) Suggests: gbrowse-data, gbrowse-calign, libfile-nfslock-perl Size: 2634298 SHA256: d4a31ed5ffde39bc53b81c5ce28663db1d4a4c019091b2e7fe5c2d64d9421eed SHA1: 964d3ff3dbb7464fcfa485a68548ee06b877541a MD5sum: 17dba790bc946c45dc39aa44f338ba5c Description: GMOD Generic Genome Browser Generic Genome Browser is a simple but highly configurable web-based genome browser. It is a component of the Generic Model Organism Systems Database project (GMOD). Some of its features: * Simultaneous bird's eye and detailed views of the genome; * Scroll, zoom, center; * Attach arbitrary URLs to any annotation; * Order and appearance of tracks are customizable by administrator and end-user; * Search by annotation ID, name, or comment; * Supports third party annotation using GFF formats; * Settings persist across sessions; * DNA and GFF dumps; * Connectivity to different databases, including BioSQL and Chado; * Multi-language support; * Third-party feature loading; * Customizable plug-in architecture (e.g. run BLAST, dump & import many formats, find oligonucleotides, design primers, create restriction maps, edit features). Homepage: http://www.gbrowse.org/ Tag: field::biology, field::biology:bioinformatics, implemented-in::perl, interface::web, role::program, use::analysing, use::viewing, web::application, web::cgi Section: science Priority: optional Filename: pool/main/g/gbrowse/gbrowse_2.48~dfsg-1_all.deb Package: gbrowse-calign Source: gbrowse Version: 2.48~dfsg-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 122 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), gbrowse Homepage: http://www.gbrowse.org/ Priority: optional Section: science Filename: pool/main/g/gbrowse/gbrowse-calign_2.48~dfsg-1_armhf.deb Size: 35438 SHA256: 1bfcb4a7288212a1a640af51385cfbcfd91400c61e7a716b1a7f9528cec6abc5 SHA1: e1f980eaf702292f6d8835bd7d5a5fbd0ad9b09e MD5sum: feed450847acfb22949d2ed8166b34bf Description: CAlign helper This package provides the CAlign helper for use with Realign. It speeds up Smith-Waterman alignment. Package: gbrowse-data Source: gbrowse Version: 2.48~dfsg-1 Installed-Size: 11753 Maintainer: Debian Med Packaging Team Architecture: all Recommends: gbrowse Size: 7556716 SHA256: 65e789f0b09d5345f90017305691c01c9d44f11cb493b8f107565d8d232651d6 SHA1: 8ad8db5df634353489d9992769ce7c20861369e3 MD5sum: e776dde5e6f02d0e38960b6c530bbacf Description: Sample data to use GBrowse This package contains sample data to test the gbrowse tool with the Yeast genome. Homepage: http://www.gbrowse.org/ Tag: field::biology:bioinformatics, role::examples Section: science Priority: optional Filename: pool/main/g/gbrowse/gbrowse-data_2.48~dfsg-1_all.deb Package: gbsplay Version: 0.0.91-1 Architecture: armhf Maintainer: Gürkan Sengün Installed-Size: 174 Depends: libaudio2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Recommends: vorbis-tools Priority: optional Section: sound Filename: pool/main/g/gbsplay/gbsplay_0.0.91-1_armhf.deb Size: 55274 SHA256: e66b21e594b7fdfb004a8143856f340f867e308549626f725f44f0aca025cc26 SHA1: a08c9adf9786eb535bcf56430cd9c5b2cc52901e MD5sum: aad32bb09c0e6c25c0eb795a9be08ddc Description: A Gameboy sound player This program emulates the sound hardware of the Nintendo Gameboy. It is able to play the sounds from a Gameboy module dump (.GBS format) over /dev/dsp. Also included is the gbsinfo tool which displays information about a .GBS file. Package: gcal Version: 3.6.1-2 Architecture: armhf Maintainer: Thorsten Alteholz Installed-Size: 981 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5, dpkg (>= 1.15.4) | install-info, gcal-common (= 3.6.1-2) Homepage: http://directory.fsf.org/project/gcal/ Priority: optional Section: utils Filename: pool/main/g/gcal/gcal_3.6.1-2_armhf.deb Size: 526384 SHA256: 5d1ebb4205b29b4eb9b17569cade3eab213ea942b7cdf6b5236850791c69b728 SHA1: 4f3f13c409edf94553502740c1d1ad42f19aa2bb MD5sum: 02427c7d73deca46238c3a08559f38f6 Description: program for calculating and printing calendars Gcal displays a calendar for a month or a year, eternal holiday lists and fixed date lists, in many ways. The program correctly omits the dates that were skipped when the current Gregorian calendar replaced the earlier Julian calendar. . Apart from the usual and well known calendar functions like the output of a month or a year calendar sheet, or the output of an eternal holiday list, Gcal offers the facility to display fixed dates on the day of their occurrence and to remind or inform the user about them. So it is possible for users to receive an on-screen notification, at bootup or login, of all holidays or appointments which take place on that day. Notification by electronic mail is also possible. Package: gcal-common Source: gcal Version: 3.6.1-2 Installed-Size: 2002 Maintainer: Thorsten Alteholz Architecture: all Replaces: gcal (<= 3.01.1-9) Recommends: gcal (>= 3.6.1-2) Size: 746706 SHA256: c3a05e960c89058e849b1b4b353546b4b153017b739330c9fecb5b8e02b35497 SHA1: db5bfb36df7f064c20e533b26979d6082260c8e4 MD5sum: ff0365f1037c754ddf1ee3010a98e761 Description: gcal architecture independent files This package contains architecture independent files needed for gcal to run properly. Therefore, unless you have 'gcal' package installed, you will hardly find this package useful. Homepage: http://directory.fsf.org/project/gcal/ Tag: role::app-data Section: utils Priority: optional Filename: pool/main/g/gcal/gcal-common_3.6.1-2_all.deb Package: gcalcli Version: 2.1-2 Installed-Size: 104 Maintainer: Yaroslav Halchenko Architecture: all Depends: python, python-gdata (>= 1.0.7), python-dateutil Recommends: gxmessage Size: 18414 SHA256: 0cb664d86c518edaef1628bcc2903141802250ef74d81f41dce9bb3b6b27bc8e SHA1: 67c12d1faea5090a7bb80bd09bb5d1cc6b4e2664 MD5sum: ba0fb514b698d194e3e17cfa85259162 Description: Google Calendar Command Line Interface gcalcli is a Python application that allows you to access your Google Calendar from a command line. It's easy to get your agenda, search for events, and quickly add new events. Additionally gcalcli can be used as a reminder service to execute any application you want. Homepage: http://code.google.com/p/gcalcli/ Tag: implemented-in::python, role::program, use::timekeeping, works-with::software:running Section: utils Priority: extra Filename: pool/main/g/gcalcli/gcalcli_2.1-2_all.deb Package: gcalctool Version: 6.4.2.1-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 5008 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), dconf-gsettings-backend | gsettings-backend Recommends: yelp, gvfs, gnome-icon-theme-symbolic Homepage: http://live.gnome.org/Gcalctool Priority: optional Section: math Filename: pool/main/g/gcalctool/gcalctool_6.4.2.1-3_armhf.deb Size: 605522 SHA256: 964615b4f353ca5a6a722d1bd528c122b9b1b303de53eb4e9d75c7c7ca278695 SHA1: cb57a6afd9fcdb6d01cc0240367488f618911b3d MD5sum: 93a475ffbf78b5dc4b6479d3a418d47c Description: GNOME desktop calculator gcalctool is a powerful graphical calculator with financial, logical and scientific modes. It uses a multiple precision package to do its arithmetic to give a high degree of accuracy. Package: gcap Version: 0.1.1-1 Installed-Size: 16 Maintainer: TANIGUCHI Takaki Architecture: all Depends: perl, libxml-dom-perl, libgetopt-argvfile-perl Size: 11074 SHA256: e6d3d18d8e5814403d07a39333155191203250e447b8de49b02f3433c754c936 SHA1: 94e88ac6ca4465a0a9ce6dddd77de9eda83a403a MD5sum: fb5f90f6137955775f849091865753e7 Description: YouTube closed caption retriever gcap is a command line tool for retrieving YouTube closed captions. The retrieved closed captions are saved in SubRip (srt) file format. Homepage: http://code.google.com/p/gcap/ Tag: interface::commandline, role::program, use::downloading Section: web Priority: extra Filename: pool/main/g/gcap/gcap_0.1.1-1_all.deb Package: gcb Version: 1:1.07-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 54 Depends: libc6 (>= 2.4) Priority: optional Section: hamradio Filename: pool/main/g/gcb/gcb_1.07-3_armhf.deb Size: 8188 SHA256: 902447e4571d99d35a79285fd8bbbb7e179a792990b6f02a9ea3c76e98d7c28f SHA1: 88e157cd8b8a100f821057d9c33a51df86595b66 MD5sum: e616cd6cd6ee93b70ef05394e7cc5384 Description: Utility to calculate long and short path to a location Gcb computes long and short path given the latitude and longitude (degrees and minutes). You must input the lat/long of the two stations. The output will then be relative from station1 to station2. . Gcb is used by hamradio operators as a tool for pointing the antenna in the right direction, either by using the short (daylight) propagation path or using the long path, which is almost always via the dark side of the earth. . Gcb believes the earth to be a perfect circle, which means there will be small calculation errors. Package: gcc Source: gcc-defaults (1.120) Version: 4:4.6.3-8 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 41 Depends: cpp (>= 4:4.6.3-8), gcc-4.6 (>= 4.4.7-1~) Recommends: libc6-dev | libc-dev Suggests: gcc-multilib, make, manpages-dev, autoconf, automake1.9, libtool, flex, bison, gdb, gcc-doc Conflicts: gcc-doc (<< 1:2.95.3) Provides: c-compiler Priority: optional Section: devel Filename: pool/main/g/gcc-defaults/gcc_4.6.3-8_armhf.deb Size: 5010 SHA256: 5586d034f18df7f6d9e3e2106bd6bed8744b0d4b8405d7c288a09040ba7d6608 SHA1: 5de0db504018164835632b2b780548ed70170f2e MD5sum: 9f1cde5f61679ac39d4f6a6dd19d55c8 Description: GNU C compiler This is the GNU C compiler, a fairly portable optimizing compiler for C. . This is a dependency package providing the default GNU C compiler. Package: gcc-4.4 Version: 4.4.7-3+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 1605 Depends: gcc-4.4-base (= 4.4.7-3+rpi1), cpp-4.4 (= 4.4.7-3+rpi1), binutils (>= 2.20.1-15~), libgcc1 (>= 1:4.4.7-3+rpi1), libgomp1 (>= 4.4.7-3+rpi1), libc6 (>= 2.13-28) Recommends: libc6-dev (>= 2.13-5) Suggests: libmudflap0-4.4-dev (>= 4.4.7-3+rpi1), gcc-4.4-doc (>= 4.4.6-15), gcc-4.4-locales (>= 4.4.6-15), libgcc1-dbg, libgomp1-dbg, libmudflap0-dbg, libcloog-ppl0 (>= 0.15.8-1~), libppl-c2, libppl7 Provides: c-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.4/gcc-4.4_4.4.7-3+rpi1_armhf.deb Size: 622586 SHA256: 22a8b8c225bc283e38f55b3963a57b6bdbb46d2a47850a54d43a6ee8db353b51 SHA1: e2bb1c9aa8584866786aa56d6c5551b48fcaa41b MD5sum: 5bd891d15e2247d59da6160b8a22824e Description: GNU C compiler This is the GNU C compiler, a fairly portable optimizing compiler for C. Package: gcc-4.4-base Source: gcc-4.4 Version: 4.4.7-3+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 171 Conflicts: gcj-4.4-base (<< 4.4.6-9~) Breaks: gnat-4.4-base (<< 4.4.6-3~) Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gcc-4.4/gcc-4.4-base_4.4.7-3+rpi1_armhf.deb Size: 125712 SHA256: 49278ffe48dd5ccca89511569e2d5868b8196a7f740ab751395bd760fb1b8a81 SHA1: 0c423492c1976a09c96902580354467566f5b1e7 MD5sum: f2efcfe649efe2b963f0b834bf0737b7 Description: GCC, the GNU Compiler Collection (base package) This package contains files common to all languages and libraries contained in the GNU Compiler Collection (GCC). Package: gcc-4.4-locales Source: gcc-4.4 Version: 4.4.7-3+rpi1 Architecture: all Maintainer: Debian GCC Maintainers Installed-Size: 7493 Depends: gcc-4.4-base (>= 4.4.6-15), cpp-4.4 (>= 4.4.6-15) Recommends: gcc-4.4 (>= 4.4.6-15) Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.4/gcc-4.4-locales_4.4.7-3+rpi1_all.deb Size: 2289652 SHA256: e64e0d8bc6b81b622a46dee5453b0047b4cfa2c452a4eeb77df9e40984e00d75 SHA1: b62e7d35018eba7e743d344e138545cef4e00584 MD5sum: f31fee9d30c9259672d9c3a0c4da8e77 Description: GCC, the GNU compiler collection (native language support files) Native language support for GCC. Lets GCC speak your language, if translations are available. . Please do NOT submit bug reports in other languages than "C". Always reset your language settings to use the "C" locales. Package: gcc-4.4-source Source: gcc-4.4 Version: 4.4.7-3+rpi1 Architecture: all Maintainer: Debian GCC Maintainers Installed-Size: 54965 Depends: make (>= 3.81), autoconf2.59, automake1.9, quilt, patchutils Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.4/gcc-4.4-source_4.4.7-3+rpi1_all.deb Size: 51275726 SHA256: eda38c76a8349b2b68321418dd65d1a08df8d9818bb294e2bf3c035504577921 SHA1: 754c0d4a8c0edd68492c4f59221d480d851a137a MD5sum: 16b7ce7cfe08809f0d807b76607024e9 Description: Source of the GNU Compiler Collection This package contains the sources and patches which are needed to build the GNU Compiler Collection (GCC). Package: gcc-4.5 Version: 4.5.3-12+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 9946 Depends: gcc-4.5-base (= 4.5.3-12+rpi1), cpp-4.5 (= 4.5.3-12+rpi1), binutils (>= 2.20.1-14~), libgcc1 (>= 1:4.5.3-12+rpi1), libgomp1 (>= 4.5.3-12+rpi1), libc6 (>= 2.13-28), libelfg0 (>= 0.8.12), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Recommends: libc6-dev (>= 2.13-5) Suggests: libmudflap0-4.5-dev (>= 4.5.3-12+rpi1), gcc-4.5-doc (>= 4.5.3-2~), gcc-4.5-locales (>= 4.5.3-2~), libgcc1-dbg, libgomp1-dbg, libmudflap0-dbg, libcloog-ppl0 (>= 0.15.9-2~), libppl-c2, libppl7, binutils-gold (>= 2.20.1-14~) Provides: c-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.5/gcc-4.5_4.5.3-12+rpi1_armhf.deb Size: 4177578 SHA256: 9a6dde712883ddb960a35448e08512f8caa4d6b3cb73877892ee67bc7bb27c01 SHA1: 634a9aefa2018456b3c87e69a6743d83e2ba3b2d MD5sum: 5419db012470d37e9cc836eeb486bd8d Description: The GNU C compiler This is the GNU C compiler, a fairly portable optimizing compiler for C. Package: gcc-4.5-base Source: gcc-4.5 Version: 4.5.3-12+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 175 Breaks: gcj-4.5-base (<< 4.5.3-6~) Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: required Section: libs Filename: pool/main/g/gcc-4.5/gcc-4.5-base_4.5.3-12+rpi1_armhf.deb Size: 128224 SHA256: b40e3cfb49990afe67226115923f9b6f0fba247a5b62dce63d8981a4714f0714 SHA1: b395b24e905ce6e9dad5cccf5fa088f2969aee77 MD5sum: 35fa777d91048c92d2beda12597fca14 Description: The GNU Compiler Collection (base package) This package contains files common to all languages and libraries contained in the GNU Compiler Collection (GCC). Package: gcc-4.5-locales Source: gcc-4.5 Version: 4.5.3-12+rpi1 Architecture: all Maintainer: Debian GCC Maintainers Installed-Size: 7766 Depends: gcc-4.5-base (>= 4.5.3-2~), cpp-4.5 (>= 4.5.3-2~) Recommends: gcc-4.5 (>= 4.5.3-2~) Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.5/gcc-4.5-locales_4.5.3-12+rpi1_all.deb Size: 2395516 SHA256: ed208809ce0358a39a30ad0d678412be2dd9eb837b9c49f39790d6553e59bd81 SHA1: d04b48a91f8c38eae47d84357381842e6c3245bb MD5sum: d42f76bd6f94db6acffd0ab40b305a02 Description: GNU C compiler (native language support files) Native language support for GCC. Lets GCC speak your language, if translations are available. . Please do NOT submit bug reports in other languages than "C". Always reset your language settings to use the "C" locales. Package: gcc-4.5-plugin-dev Source: gcc-4.5 Version: 4.5.3-12+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 2529 Depends: gcc-4.5-base (= 4.5.3-12+rpi1), gcc-4.5 (= 4.5.3-12+rpi1), libgmp-dev (>= 2:5.0.1~) Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.5/gcc-4.5-plugin-dev_4.5.3-12+rpi1_armhf.deb Size: 584826 SHA256: 176dedba1a55614387032db2a400d35dfbb04832b0c8aa09311a4b18b7d8d4dd SHA1: 375393144b4cc22bc7ee7413a01d6fcabc1fb9c8 MD5sum: 2e2ffa76186c0e0a0eefdcf8bbe3c2b1 Description: Files for GNU GCC plugin development. This package contains (header) files for GNU GCC plugin development. It is only used for the development of GCC plugins, but not needed to run plugins. Package: gcc-4.5-source Source: gcc-4.5 Version: 4.5.3-12+rpi1 Architecture: all Maintainer: Debian GCC Maintainers Installed-Size: 57923 Depends: make (>= 3.81), autoconf2.64, automake, quilt, patchutils Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.5/gcc-4.5-source_4.5.3-12+rpi1_all.deb Size: 53685938 SHA256: a6828efd478ed26e455841b7cfd7da53454551e7c145bbb3633cd45486febd1c SHA1: 9418d5c433418e0fb1e0627d5442fe195231ebbc MD5sum: bf5c0ae62652602141e80ffdfb8c7d17 Description: Source of the GNU Compiler Collection This package contains the sources and patches which are needed to build the GNU Compiler Collection (GCC). Package: gcc-4.6 Version: 4.6.3-14+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 10381 Depends: gcc-4.6-base (= 4.6.3-14+rpi1), cpp-4.6 (= 4.6.3-14+rpi1), binutils (>= 2.21.1), libgcc1 (>= 1:4.6.3-14+rpi1), libgomp1 (>= 4.6.3-14+rpi1), libc6 (>= 2.13-28), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Recommends: libc6-dev (>= 2.13-5) Suggests: libmudflap0-4.6-dev (>= 4.6.3-14+rpi1), gcc-4.6-doc (>= 4.6.3-1), gcc-4.6-locales (>= 4.6.3-1), libgcc1-dbg, libgomp1-dbg, libquadmath-dbg, libmudflap0-dbg, binutils-gold (>= 2.21.1) Provides: c-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.6/gcc-4.6_4.6.3-14+rpi1_armhf.deb Size: 4471392 SHA256: 8e41b57449dcefde506ef0f29433182eb903a7fc580be811a5e93026c94553c7 SHA1: 6c2c0d1c598a7b8459713d7a1f4c3f91e62af99a MD5sum: 863e780ca7cb103e032e4a50212401b1 Description: GNU C compiler This is the GNU C compiler, a fairly portable optimizing compiler for C. Package: gcc-4.6-base Source: gcc-4.6 Version: 4.6.3-14+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 195 Breaks: dehydra (<= 0.9.hg20110609-2), gcj-4.6-base (<< 4.6.1-4~), gnat-4.6 (<< 4.6.1-5~) Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: required Section: libs Filename: pool/main/g/gcc-4.6/gcc-4.6-base_4.6.3-14+rpi1_armhf.deb Size: 140942 SHA256: 94551670b9d01de969410a622b98edf0c99b72c2c101965f288394e675fefdfc SHA1: 00ff76891866e50a99001d506d1a0a20de54c2db MD5sum: ea5d2e1a0d4a98be7902e760c6ec5953 Description: GCC, the GNU Compiler Collection (base package) This package contains files common to all languages and libraries contained in the GNU Compiler Collection (GCC). Package: gcc-4.6-locales Source: gcc-4.6 Version: 4.6.3-14+rpi1 Architecture: all Maintainer: Debian GCC Maintainers Installed-Size: 8580 Depends: gcc-4.6-base (>= 4.6.3-1), cpp-4.6 (>= 4.6.3-1) Recommends: gcc-4.6 (>= 4.6.3-1) Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.6/gcc-4.6-locales_4.6.3-14+rpi1_all.deb Size: 2629856 SHA256: 17d5ff61b26cb5c13bad26e7f515a45c3cbf641d2d40160c0407afc2471bd16d SHA1: ebb529e9792ecc5df7d5e62f1cae8c817fc29136 MD5sum: c5632d223527a54eeb838d1661438745 Description: GCC, the GNU compiler collection (native language support files) Native language support for GCC. Lets GCC speak your language, if translations are available. . Please do NOT submit bug reports in other languages than "C". Always reset your language settings to use the "C" locales. Package: gcc-4.6-plugin-dev Source: gcc-4.6 Version: 4.6.3-14+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 4437 Depends: gcc-4.6-base (= 4.6.3-14+rpi1), gcc-4.6 (= 4.6.3-14+rpi1), libgmp-dev (>= 2:5.0.1~), libc6 (>= 2.13-28) Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.6/gcc-4.6-plugin-dev_4.6.3-14+rpi1_armhf.deb Size: 833114 SHA256: 63034ed14414d32c294836e87ddf9af466e2dfd449a50e241dfe9403c3352761 SHA1: fc13d720c99b55c33a9a7da06368f65c5727212e MD5sum: 15f9dd72d006119bfdd0e00b4f6c27b6 Description: Files for GNU GCC plugin development. This package contains (header) files for GNU GCC plugin development. It is only used for the development of GCC plugins, but not needed to run plugins. Package: gcc-4.6-source Source: gcc-4.6 Version: 4.6.3-14+rpi1 Architecture: all Maintainer: Debian GCC Maintainers Installed-Size: 61229 Depends: make (>= 3.81), autoconf2.64, automake, quilt, patchutils, gawk Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.6/gcc-4.6-source_4.6.3-14+rpi1_all.deb Size: 58105156 SHA256: 1f8cacb16c69370e39206d356aacebe103480b53cf2e15e5e5621aa688cc049e SHA1: 367f6e080627000b829d9a720f1d3a003adb95a2 MD5sum: 5437d52431bb7029bb5e6cbc054845cd Description: Source of the GNU Compiler Collection This package contains the sources and patches which are needed to build the GNU Compiler Collection (GCC). Package: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 13230 Depends: gcc-4.7-base (= 4.7.2-5+rpi1), cpp-4.7 (= 4.7.2-5+rpi1), binutils (>= 2.21.1), libgcc1 (>= 1:4.7.2-5+rpi1), libgomp1 (>= 4.7.2-5+rpi1), libc6 (>= 2.13-28), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Recommends: libc6-dev (>= 2.13-5) Suggests: libmudflap0-4.7-dev (>= 4.7.2-5+rpi1), gcc-4.7-doc (>= 4.7.2), gcc-4.7-locales (>= 4.7.2), libgcc1-dbg, libgomp1-dbg, libitm1-dbg, libquadmath-dbg, libmudflap0-dbg, libcloog-ppl0 (>= 0.15.9-2~), libppl-c2, libppl7, binutils-gold (>= 2.21.1) Provides: c-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.7/gcc-4.7_4.7.2-5+rpi1_armhf.deb Size: 5326548 SHA256: 7fc16dc1ce3e09bd84b26c13c6a88804fde14336b4797536721760e93f73f0f6 SHA1: 68d0aa71b4478bf725f98e8b11120c2070bb513e MD5sum: d62819ddafe57650ea477d22dec127dd Description: GNU C compiler This is the GNU C compiler, a fairly portable optimizing compiler for C. Package: gcc-4.7-base Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 197 Breaks: dehydra (<= 0.9.hg20110609-2), gcj-4.4-base (<< 4.4.6-9~), gcj-4.6-base (<< 4.6.1-4~), gnat-4.4-base (<< 4.4.6-3~), gnat-4.6 (<< 4.6.1-5~) Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: required Section: libs Filename: pool/main/g/gcc-4.7/gcc-4.7-base_4.7.2-5+rpi1_armhf.deb Size: 144118 SHA256: 84f0b26274831608f4533f35ce37c12e1a3e4aca88009e737f7f2df1b1f2bdc8 SHA1: 033bdb785cfed17261a828b6ea7a949629e15e39 MD5sum: 349055ea19358e532336f1b8696262c7 Description: GCC, the GNU Compiler Collection (base package) This package contains files common to all languages and libraries contained in the GNU Compiler Collection (GCC). Package: gcc-4.7-locales Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: all Maintainer: Debian GCC Maintainers Installed-Size: 8888 Depends: gcc-4.7-base (>= 4.7.2), cpp-4.7 (>= 4.7.2) Recommends: gcc-4.7 (>= 4.7.2) Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.7/gcc-4.7-locales_4.7.2-5+rpi1_all.deb Size: 2711398 SHA256: f3944ecff64e839270773dc601d6c2f3804fd988f94a76e88319fba734410fa0 SHA1: 712d1125277e7af2675537dc8cfaf4f47b54ceba MD5sum: 5467c491daeb90ec8460c87e04efe7b4 Description: GCC, the GNU compiler collection (native language support files) Native language support for GCC. Lets GCC speak your language, if translations are available. . Please do NOT submit bug reports in other languages than "C". Always reset your language settings to use the "C" locales. Package: gcc-4.7-plugin-dev Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 5464 Depends: gcc-4.7-base (= 4.7.2-5+rpi1), gcc-4.7 (= 4.7.2-5+rpi1), libgmp-dev (>= 2:5.0.1~), libc6 (>= 2.13-28) Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.7/gcc-4.7-plugin-dev_4.7.2-5+rpi1_armhf.deb Size: 1026014 SHA256: 8c7adc5a55c7d9a06d8aed7ad0c72c05df531b44c33714e274f86f09fed67a95 SHA1: 3c3c76ef634af9cc133d8fc671bf4abf20901ff3 MD5sum: 6a29c21002e7acf1c4030e2133218007 Description: Files for GNU GCC plugin development. This package contains (header) files for GNU GCC plugin development. It is only used for the development of GCC plugins, but not needed to run plugins. Package: gcc-4.7-source Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: all Maintainer: Debian GCC Maintainers Installed-Size: 67616 Depends: make (>= 3.81), autoconf2.64, automake, quilt, patchutils, gawk Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.7/gcc-4.7-source_4.7.2-5+rpi1_all.deb Size: 64482700 SHA256: 6cdc74c969cdce6b847a9a5829ea1bebd63974656c37eb9bdf20c6d44a928dc6 SHA1: 787895afc1f137a2125bd8575a1b9903e83ee9ff MD5sum: cc07e9cd9628e48efa0fd044b61312f4 Description: Source of the GNU Compiler Collection This package contains the sources and patches which are needed to build the GNU Compiler Collection (GCC). Package: gcc-avr Version: 1:4.7.2-2 Architecture: armhf Maintainer: Hakan Ardo Installed-Size: 29364 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4), binutils-avr (>= 2.18-4) Suggests: task-c-devel, gcc-doc (>= 4:4.0.2-1), gcc-4.2, avr-libc (>= 1:1.6.2-2) Conflicts: avr-libc (<= 1:1.7.1-2) Provides: c-compiler-avr Built-Using: gcc-4.7 (= 4.7.2-4+rpi1) Priority: extra Section: devel Filename: pool/main/g/gcc-avr/gcc-avr_4.7.2-2_armhf.deb Size: 12047850 SHA256: b5dc5dbadf029cfb7a70d972363955e6f7e121a982d7d1cad2305f835912c3d5 SHA1: 8f0faa76234a49d7dd309e59cf55454d6688602b MD5sum: d3ff0ad85dc76d378c9440164562d4bd Description: The GNU C compiler (cross compiler for avr) This is the GNU C compiler, a fairly portable optimizing compiler which supports multiple languages. This package includes support for C. Package: gcc-h8300-hms Version: 1:3.4.6+dfsg-1 Architecture: armhf Maintainer: Michael Tautschnig Installed-Size: 6251 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), binutils-h8300-hms Suggests: gcc-doc Priority: extra Section: devel Filename: pool/main/g/gcc-h8300-hms/gcc-h8300-hms_3.4.6+dfsg-1_armhf.deb Size: 3139068 SHA256: 24a72c33654fc935f549f8e18585810746e0fa73e35ff256d4719b6596e55405 SHA1: cf94990122f5a11b4dd199e012e32caf3213a99d MD5sum: dd16ffecce908d23435b04d39f377b0a Description: GNU C compiler (cross compiler for h8300-hitachi-coff) This is the GNU C compiler, a fairly portable optimizing compiler which supports multiple languages. This package includes support for C. Package: gcc-m68hc1x Version: 1:3.3.6+3.1+dfsg-3 Architecture: armhf Maintainer: Arthur Loiret Installed-Size: 19791 Depends: libc6 (>= 2.11), libgcc1 (>= 1:4.4.0), binutils-m68hc1x (>= 1:2.15+2.92) Suggests: task-c-devel, newlib-m68hc1x Provides: c-compiler-m68hc11, c-compiler-m68hc12 Priority: extra Section: devel Filename: pool/main/g/gcc-m68hc1x/gcc-m68hc1x_3.3.6+3.1+dfsg-3_armhf.deb Size: 4412622 SHA256: af732c0fb631840717dc09bb7f4e3dafb5c8f8e7d030e5fea62e2fa568b82163 SHA1: 794cde7ec41b14861618aff3f9b71fb22e92b7d8 MD5sum: ccf218c5668aaa41ce5b1fe2f48a8837 Description: GNU C compiler for the Motorola 68HC11/12 processors This is the GNU C compiler, a fairly portable optimizing compiler which supports multiple languages. This package includes support for C for cross-compiling to a Motorola 68HC11/12 microcontroller-based target. Package: gcc-mingw-w64 Source: gcc-mingw-w64 (8) Version: 4.6.3-14+8 Installed-Size: 21 Maintainer: Stephen Kitt Architecture: all Depends: gcc-mingw-w64-i686, gcc-mingw-w64-x86-64, gcc-mingw-w64-base Recommends: g++-mingw-w64, gfortran-mingw-w64, gnat-mingw-w64 Size: 1084 SHA256: bb3df11f509dcadad4896de0c63096e36798baad577fa9831877fa692dfa04f7 SHA1: 9026babc002c232ba507d18dcee567e44e87a534 MD5sum: 223ce341f932cd3a16679dfb0124de6f Description: GNU C compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the C compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Homepage: http://www.gnu.org/software/gcc/ Built-Using: gcc-4.6 (= 4.6.3-14) Tag: devel::compiler, interface::commandline, role::program, suite::gnu, works-with::software:source Section: devel Priority: extra Filename: pool/main/g/gcc-mingw-w64/gcc-mingw-w64_4.6.3-14+8_all.deb Package: gcc-mingw-w64-base Source: gcc-mingw-w64 (8) Version: 4.6.3-12+rpi1+8 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 183 Built-Using: gcc-4.6 (= 4.6.3-12+rpi1) Homepage: http://www.gnu.org/software/gcc/ Priority: extra Section: devel Filename: pool/main/g/gcc-mingw-w64/gcc-mingw-w64-base_4.6.3-12+rpi1+8_armhf.deb Size: 146534 SHA256: 78f68c2c3def85bac4e096562b5bbc169db3a160c8e98c68b42df30817ad21c6 SHA1: de43d1d46edf740aad62e66683f667b455e9d4fe MD5sum: 63f8d715743606091566b95a5a132466 Description: GNU Compiler Collection for MinGW-w64 (base package) MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This empty package contains the documentation common to all gcc-mingw-w64 packages. Package: gcc-mingw-w64-i686 Source: gcc-mingw-w64 (8) Version: 4.6.3-12+rpi1+8 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 28177 Depends: binutils-mingw-w64-i686, mingw-w64-i686-dev | mingw-w64-dev, gcc-mingw-w64-base (= 4.6.3-12+rpi1+8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.6-locales (>= 4.6.3) Conflicts: gcc-mingw-w64-bootstrap Breaks: gcc-mingw-w64 (<< 4.6.3-3+4) Replaces: gcc-mingw-w64 (<< 4.6.3-3+4), gcc-mingw-w64-bootstrap Built-Using: gcc-4.6 (= 4.6.3-12+rpi1) Homepage: http://www.gnu.org/software/gcc/ Priority: extra Section: devel Filename: pool/main/g/gcc-mingw-w64/gcc-mingw-w64-i686_4.6.3-12+rpi1+8_armhf.deb Size: 12304870 SHA256: bd1e3945b20588c8a4fa148beccd933f0255178424a9c9574d53be8b498b17f2 SHA1: 3ee95af720e4531049f5971453c41b701da639fa MD5sum: e729b6fc8f7c075153ffccffef5831e9 Description: GNU C compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: gcc-mingw-w64-x86-64 Source: gcc-mingw-w64 (8) Version: 4.6.3-12+rpi1+8 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 28778 Depends: binutils-mingw-w64-x86-64, mingw-w64-x86-64-dev | mingw-w64-dev, gcc-mingw-w64-base (= 4.6.3-12+rpi1+8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.6-locales (>= 4.6.3) Conflicts: gcc-mingw-w64-bootstrap Breaks: gcc-mingw-w64 (<< 4.6.3-3+4) Replaces: gcc-mingw-w64 (<< 4.6.3-3+4), gcc-mingw-w64-bootstrap Built-Using: gcc-4.6 (= 4.6.3-12+rpi1) Homepage: http://www.gnu.org/software/gcc/ Priority: extra Section: devel Filename: pool/main/g/gcc-mingw-w64/gcc-mingw-w64-x86-64_4.6.3-12+rpi1+8_armhf.deb Size: 12405630 SHA256: 0bf7eba81c228ada47dd10f4ae46c918daa349cc122dbec2d53cd464ef533714 SHA1: 89e9cb336130d83e73ee73e32b471f62fa054070 MD5sum: 5317221914e3a4044666b9e1e8172080 Description: GNU C compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the C compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: gcc-mingw32 Source: gcc-mingw-w64 (8) Version: 4.6.3-14+8 Installed-Size: 43 Maintainer: Stephen Kitt Architecture: all Depends: binutils-mingw-w64-i686, binutils-mingw-w64-x86-64, gcc-mingw-w64-i686, gcc-mingw-w64-x86-64, g++-mingw-w64-i686, g++-mingw-w64-x86-64, gfortran-mingw-w64-i686, gfortran-mingw-w64-x86-64, gcc-mingw-w64-base Conflicts: mingw32-binutils Size: 2806 SHA256: c5f59f5ee40a394cbdd7196a71a59fd59b12c59634f1b065f957230a9abd3fcc SHA1: 38775a0647eaf1c3a404f886c88cca572efab65b MD5sum: 1a6ec52facbfc2902d1bfe619872d5c5 Description: GNU Compiler Collection for MinGW32 (transition package) MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This transition package replaces the old gcc-4.4.4-based gcc-mingw32 package and allows building software using the amd64-mingw32msvc and i586-mingw32msvc triplets. It also includes compatibility symlinks for amd64-mingw32msvc and i586-mingw32msvc binutils binaries. Homepage: http://www.gnu.org/software/gcc/ Built-Using: gcc-4.6 (= 4.6.3-14) Tag: devel::compiler, devel::lang:c, devel::lang:c++, devel::lang:fortran, implemented-in::c, interface::commandline, role::program, scope::utility, suite::gnu, works-with::software:source Section: devel Priority: extra Filename: pool/main/g/gcc-mingw-w64/gcc-mingw32_4.6.3-14+8_all.deb Package: gcc-msp430 Version: 4.6.3~mspgcc-20120406-3+deb7u2 Architecture: armhf Maintainer: Luca Bruno Installed-Size: 32247 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), msp430mcu, binutils-msp430 (>= 2.21~) Recommends: msp430-libc Priority: extra Section: devel Filename: pool/main/g/gcc-msp430/gcc-msp430_4.6.3~mspgcc-20120406-3+deb7u2_armhf.deb Size: 12881124 SHA256: b47f593a844cebf5f87a7792390ee8ecaef945517422d3cb387ee2b6be42b7d3 SHA1: 915e89ecb32dd927d8fec5864d18c73ce623e1a2 MD5sum: bd1936a62fb62d9c9e776bdf7f86ea4c Description: GNU C compiler (cross compiler for MSP430) This is the GNU C compiler, a fairly portable optimizing compiler for C for TI's MSP430 architecture. This package is primarily for MSP430 developers and cross-compilers and is not needed by normal users. Package: gccgo Source: gcc-defaults (1.120) Version: 4:4.7.2-1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 25 Depends: cpp (>= 4:4.6.3-8), gcc (>= 4:4.6.3-8), gccgo-4.7 (>= 4.7.2-1~) Provides: go-compiler Priority: optional Section: devel Filename: pool/main/g/gcc-defaults/gccgo_4.7.2-1_armhf.deb Size: 910 SHA256: 9e07299a868b6c0fb1588813fae8edca819d7ddb0005aa90ab04e7f3d8fd59bc SHA1: 53863d7575b60e15c6c00e63aea1ff98ff74b346 MD5sum: d14d93ea23bb45931c9288181d1681fc Description: Go compiler, based on the GCC backend This is the GNU Go compiler, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . This is a dependency package providing the default GNU Go compiler. Package: gccgo-4.7 Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 24533 Depends: gcc-4.7-base (= 4.7.2-5+rpi1), gcc-4.7 (= 4.7.2-5+rpi1), libgo0 (>= 4.7.2-5+rpi1), libc6-dev (>= 2.13-5), libc6 (>= 2.13-28), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gccgo-4.7-doc, libgo0-dbg Provides: go-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.7/gccgo-4.7_4.7.2-5+rpi1_armhf.deb Size: 8910610 SHA256: aab4a5d8e64d090e093bd016539ec4f789abfe27e7a940750fdab7d9715df4b6 SHA1: 1a87618806a4bdb36187b8824e43bf459c4c414d MD5sum: 1d5d81dd2973ae9ac1f3c3c9a29d68ec Description: GNU Go compiler This is the GNU Go compiler, which compiles Go on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gccxml Version: 0.9.0+cvs20120420-4 Architecture: armhf Maintainer: Steve M. Robbins Installed-Size: 10091 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), g++ Homepage: http://www.gccxml.org/ Priority: optional Section: devel Filename: pool/main/g/gccxml/gccxml_0.9.0+cvs20120420-4_armhf.deb Size: 3753678 SHA256: 00169e5c5fb1b5390b9b378b0ba31aa43f4dfb075a333eb3792e7d7f0fb86dfe SHA1: 27d3cf1f9227f9c3dbb9dd99f834de7f1f6718b3 MD5sum: fc7833def758700e4debfb913f6bdc11 Description: XML output extension to GCC There is one open-source C++ parser, the C++ front-end to GCC, which is currently able to deal with the language in its entirety. The purpose of the GCC-XML extension is to generate an XML description of a C++ program from GCC's internal representation. Since XML is easy to parse, other development tools will be able to work with C++ programs without the burden of a complicated C++ parser. Package: gcdmaster Source: cdrdao Version: 1:1.2.3-0.3 Architecture: armhf Maintainer: Christian Hübschi Installed-Size: 1136 Depends: gconf2 (>= 2.28.1-2), gconf-service, libao4 (>= 1.1.0), libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgconfmm-2.6-1c2 (>= 2.24.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglademm-2.4-1c2a (>= 2.6.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnome-vfsmm-2.6-1c2a (>= 2.22.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomecanvasmm-2.6-1c2a (>= 2.23.1), libgnomemm-2.6-1c2 (>= 2.16.0), libgnomeui-0 (>= 2.22.0), libgnomeuimm-2.6-1c2a (>= 2.16.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libice6 (>= 1:1.0.0), libogg0 (>= 1.0rc3), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libpopt0 (>= 1.14), libsigc++-2.0-0c2a (>= 2.0.2), libsm6, libstdc++6 (>= 4.6), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libxml2 (>= 2.6.27), cdrdao (= 1:1.2.3-0.3) Homepage: http://cdrdao.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/c/cdrdao/gcdmaster_1.2.3-0.3_armhf.deb Size: 444984 SHA256: 2a3840d500c3cd3438ae1abd0062ea97e1fd5667be1ec2dd2fdaf009553e699a SHA1: 6911fd9e17e9f54a0c181c09ba4610dd3069a7b1 MD5sum: 22d5a3b5aea03b13dfed6207ce712a9f Description: GNOME GUI for cdrdao GNOME CD Master is a GUI frontend for creating audio CDs and burning them using cdrdao. . Features: * Easy to use graphical interface * Multiple project support * Playing of Audio CD images * Easy dump of CDs to disk * CD to CD copy * Composition of new Audio CDs from wav files * Graphical insertion of Track Marks (to divide live recordings) * Easy CD-TEXT modification Package: gceph Source: ceph Version: 0.43-1+rpi1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 2201 Depends: libc6 (>= 2.13-28), libcrypto++9, libedit2 (>= 2.11-20080614-1), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtkmm-2.4-1c2a (>= 1:2.24.0), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libuuid1 (>= 2.16) Suggests: ceph Homepage: http://ceph.newdream.net/ Priority: optional Section: admin Filename: pool/main/c/ceph/gceph_0.43-1+rpi1_armhf.deb Size: 855658 SHA256: 26cd9b9e66dfd7b098f8fd28280bc0146ae190e8df55f08a003086ada9997d2d SHA1: fc812245d4e354f14fe198ee26d81ad7d528178a MD5sum: 542c6d3b0c125eb6ae1bcde2197378ac Description: Graphical ceph cluster status utility Ceph is a distributed network file system designed to provide excellent performance, reliability, and scalability. This is a gtk-based gui to monitor cluster status, similar to the 'ceph' command. Package: gceph-dbg Source: ceph Version: 0.43-1+rpi1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 21188 Depends: gceph (= 0.43-1+rpi1) Homepage: http://ceph.newdream.net/ Priority: extra Section: debug Filename: pool/main/c/ceph/gceph-dbg_0.43-1+rpi1_armhf.deb Size: 7360712 SHA256: 724311906d094cfa68a98ee0bae41cf384a78c2751c813f85b25ece7bcc40f46 SHA1: 8033ff6dc7660ea045522b374c5366045ea7e4df MD5sum: 11e13f4620ac21dbcde5f185e4488e26 Description: debugging symbols for gceph Ceph is a distributed network file system designed to provide excellent performance, reliability, and scalability. This is a gtk-based gui to monitor cluster status, similar to the 'ceph' command. . This package contains the debugging symbols for gceph. Package: gchempaint Source: gnome-chemistry-utils Version: 0.12.12-1 Architecture: armhf Maintainer: Debichem Team Installed-Size: 2528 Depends: gconf2 (>= 2.28.1-2), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgcu0 (>= 0.12.8), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgoffice-0.8-8 (>= 0.8.8), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4) Suggests: chemical-mime-data, gcu-bin Homepage: http://www.nongnu.org/gchemutils/ Priority: optional Section: science Filename: pool/main/g/gnome-chemistry-utils/gchempaint_0.12.12-1_armhf.deb Size: 1377772 SHA256: 9dafcdcc72eefe9b319e1bc973c30db3018806a9075b34663c03d3547810586e SHA1: c87bfe2b38f8d57b51f3dc8bd542ce44dc5b0f7a MD5sum: ad1e2f6609a50f231d42c7ddebce3f5a Description: 2D chemical structures editor for the GNOME2 desktop GChemPaint is an editor for 2D chemical structures with a multiple document interface. Drawn molecules can be searched at NIST Webbook and PubChem. Package: gcin Version: 2.7.6.1+dfsg-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 877 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk-3-0 (>= 3.0.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxtst6, gcin-data, gcin-tables Recommends: im-config | im-switch, gcin-gtk3-immodule Suggests: gcin-anthy, gcin-chewing, gcin-qt4-immodule Homepage: http://hyperrate.com/dir.php?eid=67 Priority: optional Section: utils Filename: pool/main/g/gcin/gcin_2.7.6.1+dfsg-1_armhf.deb Size: 401134 SHA256: 1256587fd532e04aaf562d246fdfc150c893f889ac1c330f283c5cf78d32f351 SHA1: 3896df45627ed2f292010cab71d6d5878d412ad9 MD5sum: 9f3166f1e9903edaa5ea5acd917a2454 Description: GTK+ based input method for Chinese users gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . This package contains only GTK2 immodule. For GTK3 and Qt4 immodule, please install correspondence packages. Package: gcin-anthy Source: gcin Version: 2.7.6.1+dfsg-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 170 Depends: libanthy0, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk-3-0 (>= 3.0.0), gcin (>= 2.7.6.1+dfsg-1), anthy Breaks: gcin (<< 2.7.2+dfsg-1) Replaces: gcin (<< 2.7.2+dfsg-1) Homepage: http://hyperrate.com/dir.php?eid=67 Priority: optional Section: utils Filename: pool/main/g/gcin/gcin-anthy_2.7.6.1+dfsg-1_armhf.deb Size: 101914 SHA256: 1f41eacf37dfe331ef38e085ae5aaa340c15abcbfa99b46e6c9b46f7df3595b4 SHA1: 9ef125bb023abbdb292af63ec7b66ab33565b580 MD5sum: b7af475373e29588f9b982c9811de278 Description: support library to use Anthy in gcin gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . This package is the support library to use anthy in gcin. Package: gcin-chewing Source: gcin Version: 2.7.6.1+dfsg-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 147 Depends: libc6 (>= 2.13-28), libchewing3, libglib2.0-0 (>= 2.12.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), gcin (>= 2.7.6.1+dfsg-1) Breaks: gcin (<< 2.7.2+dfsg-1) Replaces: gcin (<< 2.7.2+dfsg-1) Homepage: http://hyperrate.com/dir.php?eid=67 Priority: optional Section: utils Filename: pool/main/g/gcin/gcin-chewing_2.7.6.1+dfsg-1_armhf.deb Size: 93920 SHA256: fce981b606a27bc9cdf34b5432b3caa74d34d5741d5ab7a5eed45328176f0123 SHA1: 9041a16287c1b94e64ff70e41431cb56b057a0db MD5sum: 8991c1ce31a05dfd2ddc648fca37534c Description: support library to use Chewing in gcin gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . This package is the support library to use chewing in gcin. Package: gcin-data Source: gcin Version: 2.7.6.1+dfsg-1 Installed-Size: 228 Maintainer: IME Packaging Team Architecture: all Replaces: gcin (<< 2.7.2+dfsg-1) Breaks: gcin (<< 2.7.2+dfsg-1) Size: 168704 SHA256: 5863c369675117c3a3e4e8ca6df6ec919495e42da9c4ab55bf0510d7600a85a1 SHA1: a08007b68b129408c50c0e9beac8fa6e6fd0f27e MD5sum: 8974a6d9d1a329b7df60745c5e8cbb76 Description: icons and scripts for gcin gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . This package contains icons, scripts and other architecture-independent things. Homepage: http://hyperrate.com/dir.php?eid=67 Section: utils Priority: optional Filename: pool/main/g/gcin/gcin-data_2.7.6.1+dfsg-1_all.deb Package: gcin-dev Source: gcin Version: 2.7.6.1+dfsg-1 Installed-Size: 125 Maintainer: IME Packaging Team Architecture: all Depends: gcin (>= 2.7.6.1+dfsg-1) Size: 86078 SHA256: 2f3f7722c5d6eac12d353b01e558c767bf44f7d002762a1877e17833fc82c457 SHA1: ce83b10fa3239e542c869961ce3cc4bb16972c5f MD5sum: afcd399aab80e78a5797748cfd915b94 Description: GTK+ based input method platform - development files gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . This package provides header files of gcin. Homepage: http://hyperrate.com/dir.php?eid=67 Tag: devel::library, role::devel-lib Section: devel Priority: optional Filename: pool/main/g/gcin/gcin-dev_2.7.6.1+dfsg-1_all.deb Package: gcin-gtk3-immodule Source: gcin Version: 2.7.6.1+dfsg-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 144 Depends: gcin (>= 2.7.6.1+dfsg-1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0) Homepage: http://hyperrate.com/dir.php?eid=67 Priority: optional Section: utils Filename: pool/main/g/gcin/gcin-gtk3-immodule_2.7.6.1+dfsg-1_armhf.deb Size: 89210 SHA256: 582d0618c2778db005137be627f4aceeded42c6efa0de60b191b84be2571407d SHA1: e201fa29003a9bb896bdbd9fcce9ad265feb6897 MD5sum: 37da60952bda5ba3c5f374ccdf091874 Description: GTK3 input method module with gcin as backend gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . This package is the GTK3 input method module (immodule) for gcin. Package: gcin-qt4-immodule Source: gcin Version: 2.7.6.1+dfsg-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 165 Depends: gcin (>= 2.7.6.1+dfsg-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3) Homepage: http://hyperrate.com/dir.php?eid=67 Priority: optional Section: utils Filename: pool/main/g/gcin/gcin-qt4-immodule_2.7.6.1+dfsg-1_armhf.deb Size: 96210 SHA256: 89eac3c163f24d4c91c1788184b76aec3ec118187f3cf56e6e58ed50e7e17654 SHA1: 896a8fb5a55e26d4945742a304b2d138ac46769a MD5sum: 01c6cd4d2cfb2289f9fe3ae4e170bb6a Description: Qt4 input method module with gcin as backend gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . This package is the Qt4 input method module (immodule) for gcin. Package: gcin-tables Source: gcin Version: 2.7.6.1+dfsg-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 13878 Breaks: gcin (<< 2.7.2+dfsg-1) Replaces: gcin (<< 2.7.2+dfsg-1) Homepage: http://hyperrate.com/dir.php?eid=67 Priority: optional Section: utils Filename: pool/main/g/gcin/gcin-tables_2.7.6.1+dfsg-1_armhf.deb Size: 5703682 SHA256: e89d6fbd53b9ddafb05cd7bf6e8f3ea8d7d46a772486c68e06f698803829cc7b SHA1: 3b54b1f16e84353fde47c7ed44e8b32eb10204f6 MD5sum: 4ae0829ecd997c6379eba94337db21cf Description: input method tables for gcin gcin is a GTK+ based input method which focused mainly on Traditional Chinese. However, it is also very useful for Simplified Chinese, Japanese, and many other languages. . This package contains architecture-dependent input method tables. Package: gcipher Version: 1.1-1 Installed-Size: 0 Maintainer: Jeremy T. Bouse Architecture: all Depends: python, python-support (>= 0.90.0), python-gnome2, python-glade2 Size: 22052 SHA256: db2b7f10cb335b23e9868a4fdc852d4d6209ec38ca11f06709582f75dbb22dd0 SHA1: e2e2a91e5c2a0840cfd9a24786112a0b6129f45f MD5sum: fbb3f6c309c758e41761e8f2a063a3e4 Description: A simple "encryption" tool This is a simple "encryption" tool to work with common simple encryption algorithms (ROT13, Caesar, Vigenère, ...) . Gcipher does not provide any strong encryption and should not be used to encrypt any private data. . Gcipher can run as either a GUI, a command-line application, or a network proxy. Homepage: http://gcipher.sourceforge.net/ Tag: implemented-in::python, interface::commandline, interface::x11, network::service, role::program, scope::utility, security::cryptography, security::privacy, suite::gnome, uitoolkit::gtk, use::proxying, works-with::text, x11::application Section: utils Priority: optional Filename: pool/main/g/gcipher/gcipher_1.1-1_all.deb Package: gcj-4.4-base Source: gcj-4.4 Version: 4.4.7-1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 141 Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gcj-4.4/gcj-4.4-base_4.4.7-1_armhf.deb Size: 111184 SHA256: 853213aa86cc824c9df8fc3cc101346cd64f8a2b33b204c20fedeac0942445b9 SHA1: 691aabcf8060d7565f8bd538df803645bd0fd7cc MD5sum: 5014ce4ead8cc5c5bbd96aa46e863b44 Description: GCC, the GNU Compiler Collection (gcj base package) This package contains files common to all java related packages built from the GNU Compiler Collection (GCC). Package: gcj-4.4-jdk Source: gcj-4.4 Version: 4.4.7-1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 8870 Depends: gcj-4.4-base (= 4.4.7-1), g++-4.4 (>= 4.4.6-15), libc6-dev (>= 2.13-5), gcj-4.4-jre (= 4.4.7-1), libgcj10-dev (= 4.4.7-1), gcj-4.4-jre-lib (>= 4.4.6-11), ecj-gcj, libecj-java-gcj (>= 3.3.0-2), ecj1, fastjar, libgcj-bc, java-common, libantlr-java, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgcj10 (>= 4.4), libgmp10, libmpfr4 (>= 3.1.0), libstdc++6 (>= 4.1.1), zlib1g (>= 1:1.1.4), dpkg (>= 1.15.4) | install-info Suggests: gcj-4.4-source (>= 4.4.6-11), libgcj10-dbg Conflicts: cpp-4.1 (<< 4.1.1), gcc-4.1 (<< 4.1.1), gcj-4.4 Replaces: libgcj10 (<< 4.4.2-8) Provides: java-compiler, java-sdk, java2-sdk, java5-sdk Homepage: http://gcc.gnu.org/ Priority: optional Section: java Filename: pool/main/g/gcj-4.4/gcj-4.4-jdk_4.4.7-1_armhf.deb Size: 4116950 SHA256: 174e3c12c50efb57dc5ee62c83854ff20f1e278c8282997dda5c953d205b81e4 SHA1: c967dbb2d2f81b10c9b1d9d8a43be88d88a11f0e MD5sum: ac9e02a960803430aac317f9d2691b07 Description: gcj and classpath development tools for Java(TM) GCJ is a front end to the GCC compiler which can natively compile both Java(tm) source and bytecode files. The compiler can also generate class files. Other java development tools from classpath are included in this package. . The package contains as well a collection of wrapper scripts and symlinks. It is meant to provide a Java-SDK-like interface to the GCJ tool set. Package: gcj-4.4-jre Source: gcj-4.4 Version: 4.4.7-1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 21 Depends: gcj-4.4-base (= 4.4.7-1), gcj-4.4-jre-headless (= 4.4.7-1), libgcj10-awt (= 4.4.7-1) Provides: java-runtime, java1-runtime, java2-runtime, java5-runtime Homepage: http://gcc.gnu.org/ Priority: optional Section: java Filename: pool/main/g/gcj-4.4/gcj-4.4-jre_4.4.7-1_armhf.deb Size: 1014 SHA256: ade6d8309ec3732824e2cf4915b7645c89fee3fe2ced4926ea7e131af08bc141 SHA1: 397b64275da3d55754bc263e09b6c3716cb6b014 MD5sum: 00b03b9f20f880f0829b7b8ec7daf871 Description: Java runtime environment using GIJ/classpath GIJ is a Java bytecode interpreter, not limited to interpreting bytecode. It includes a class loader which can dynamically load shared objects, so it is possible to give it the name of a class which has been compiled and put into a shared library on the class path. . The package contains as well a collection of wrapper scripts and symlinks. It is meant to provide a Java-RTE-like interface to the GIJ/GCJ tool set. Package: gcj-4.4-jre-headless Source: gcj-4.4 Version: 4.4.7-1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 182 Depends: gcj-4.4-base (= 4.4.7-1), libgcj10 (= 4.4.7-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4), zlib1g (>= 1:1.1.4) Suggests: fastjar, gcj-4.4-jdk (= 4.4.7-1), libgcj10-awt (= 4.4.7-1) Conflicts: gij-4.4, java-gcj-compat (<< 1.0.76-4) Provides: java-runtime-headless, java1-runtime-headless, java2-runtime-headless, java5-runtime-headless Homepage: http://gcc.gnu.org/ Priority: optional Section: java Filename: pool/main/g/gcj-4.4/gcj-4.4-jre-headless_4.4.7-1_armhf.deb Size: 53494 SHA256: ab9dfa2188a97f2c2dc464dcdaa4cb8ae3cf79ed19624a1605d222af6caa95e2 SHA1: d8ce9b89b97d43958ffe3a8b7cad5968fe4fcc45 MD5sum: 3fd5a41591e244af6c36617c14667ac1 Description: Java runtime environment using GIJ/classpath (headless version) GIJ is a Java bytecode interpreter, not limited to interpreting bytecode. It includes a class loader which can dynamically load shared objects, so it is possible to give it the name of a class which has been compiled and put into a shared library on the class path. . The package contains as well a collection of wrapper scripts and symlinks. It is meant to provide a Java-RTE-like interface to the GIJ/GCJ tool set, limited to the headless tools and libraries. Package: gcj-4.4-jre-lib Source: gcj-4.4 Version: 4.4.7-1 Installed-Size: 11202 Maintainer: Debian GCC Maintainers Architecture: all Depends: gcj-4.4-base (>= 4.4.6-11), libgcj10 (>= 4.4.6-11) Size: 10476878 SHA256: 79af490d0054e5562cece8758f9905af5a29ce3108486283f87c63ae9451d5ab SHA1: 5c7adb0b962a45c8c3810b6e6ed0a28a0acb9e26 MD5sum: d2ca776b199062909f4d1b31a6742e2d Description: Java runtime library for use with gcj (jar files) This is the jar file that goes along with the gcj front end to gcc. Homepage: http://gcc.gnu.org/ Tag: devel::compiler, devel::lang:java, devel::library, devel::runtime, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/g/gcj-4.4/gcj-4.4-jre-lib_4.4.7-1_all.deb Package: gcj-4.4-source Source: gcj-4.4 Version: 4.4.7-1 Installed-Size: 12674 Maintainer: Debian GCC Maintainers Architecture: all Depends: gcj-4.4-base (>= 4.4.6-11), gcj-4.4-jdk (>= 4.4.6-11) Size: 12329946 SHA256: fd8a78cd8a818be2d67f3a7865ec75579fbe305f7df30b48cb80405756fcae6a SHA1: 3f68e1dbca10b1514b775400336bb947e7363bf6 MD5sum: bce3e0a58fafbb52d9316005977d6d7a Description: GCJ java sources for use in IDEs like eclipse and netbeans These are the java source files packaged as a zip file for use in development environments like eclipse and netbeans. Homepage: http://gcc.gnu.org/ Tag: devel::lang:java, role::source, suite::gnu Section: java Priority: optional Filename: pool/main/g/gcj-4.4/gcj-4.4-source_4.4.7-1_all.deb Package: gcj-4.6-base Source: gcj-4.6 Version: 4.6.3-1+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 163 Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gcj-4.6/gcj-4.6-base_4.6.3-1+rpi1_armhf.deb Size: 123898 SHA256: 566fa4ac1f84e151865de7b7ccb5aabce264930a1f43af42c828c4f096e090cd SHA1: f81f4c8241922c032e22769b61bc339fceec1fed MD5sum: 63ada0ad96a483d164d959cbc11558cd Description: GCC, the GNU Compiler Collection (gcj base package) This package contains files common to all java related packages built from the GNU Compiler Collection (GCC). Package: gcj-4.6-jdk Source: gcj-4.6 Version: 4.6.3-1+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 9695 Depends: gcj-4.6-base (= 4.6.3-1+rpi1), g++-4.6 (>= 4.6.1-16), libc6-dev (>= 2.13-5), gcj-4.6-jre (= 4.6.3-1+rpi1), libgcj12-dev (= 4.6.3-1+rpi1), gcj-4.6-jre-lib (>= 4.6.1-9), ecj-gcj, libecj-java-gcj (>= 3.5.1), ecj1, fastjar, libgcj-bc, java-common, libantlr-java, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgcj12 (>= 4.6), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), libstdc++6 (>= 4.1.1), zlib1g (>= 1:1.1.4), dpkg (>= 1.15.4) | install-info Suggests: gcj-4.6-source (>= 4.6.1-9), libgcj12-dbg Conflicts: cpp-4.1 (<< 4.1.1), gcc-4.1 (<< 4.1.1), gcj-4.4 Replaces: libgcj11 (<< 4.5-20100101-1) Provides: java-compiler, java-sdk, java2-sdk, java5-sdk Homepage: http://gcc.gnu.org/ Priority: optional Section: java Filename: pool/main/g/gcj-4.6/gcj-4.6-jdk_4.6.3-1+rpi1_armhf.deb Size: 4382520 SHA256: e9d1e12c0656d334d11e0531ed0e6475ffdd9a5b0b6444325dc82e6dfb7cc656 SHA1: 7a1f1802513d006438bfbe0071e45a52166fb19a MD5sum: 92c71af3d5922a07bd3866b2571452a4 Description: gcj and classpath development tools for Java(TM) GCJ is a front end to the GCC compiler which can natively compile both Java(tm) source and bytecode files. The compiler can also generate class files. Other java development tools from classpath are included in this package. . The package contains as well a collection of wrapper scripts and symlinks. It is meant to provide a Java-SDK-like interface to the GCJ tool set. Package: gcj-4.6-jre Source: gcj-4.6 Version: 4.6.3-1+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 21 Depends: gcj-4.6-base (= 4.6.3-1+rpi1), gcj-4.6-jre-headless (= 4.6.3-1+rpi1), libgcj12-awt (= 4.6.3-1+rpi1) Provides: java-runtime, java1-runtime, java2-runtime, java5-runtime Homepage: http://gcc.gnu.org/ Priority: optional Section: java Filename: pool/main/g/gcj-4.6/gcj-4.6-jre_4.6.3-1+rpi1_armhf.deb Size: 1016 SHA256: c740c9f73ae708dade7941666d7f02b2fa9972ccf6feea2aa139a5817438c014 SHA1: f984f69e06f95c9e4c2fd4e33512f69894ad501b MD5sum: 4e7812dd8e7456958b85698fd778b707 Description: Java runtime environment using GIJ/classpath GIJ is a Java bytecode interpreter, not limited to interpreting bytecode. It includes a class loader which can dynamically load shared objects, so it is possible to give it the name of a class which has been compiled and put into a shared library on the class path. . The package contains as well a collection of wrapper scripts and symlinks. It is meant to provide a Java-RTE-like interface to the GIJ/GCJ tool set. Package: gcj-4.6-jre-headless Source: gcj-4.6 Version: 4.6.3-1+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 178 Depends: gcj-4.6-base (= 4.6.3-1+rpi1), libgcj12 (= 4.6.3-1+rpi1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Suggests: fastjar, gcj-4.6-jdk (= 4.6.3-1+rpi1), libgcj12-awt (= 4.6.3-1+rpi1) Conflicts: gij-4.4, java-gcj-compat (<< 1.0.76-4) Provides: java-runtime-headless, java1-runtime-headless, java2-runtime-headless, java5-runtime-headless Homepage: http://gcc.gnu.org/ Priority: optional Section: java Filename: pool/main/g/gcj-4.6/gcj-4.6-jre-headless_4.6.3-1+rpi1_armhf.deb Size: 49222 SHA256: 43bffc5b7c6dd4a1ac997d63de2ffd8fd3426d3fb0743a05b47b1a4b54bf75be SHA1: 84acf368a314ae5f47310a7cc20c76cf1c2bfd52 MD5sum: 26bf2cff1375f757d0fec5fd0b1848f7 Description: Java runtime environment using GIJ/classpath (headless version) GIJ is a Java bytecode interpreter, not limited to interpreting bytecode. It includes a class loader which can dynamically load shared objects, so it is possible to give it the name of a class which has been compiled and put into a shared library on the class path. . The package contains as well a collection of wrapper scripts and symlinks. It is meant to provide a Java-RTE-like interface to the GIJ/GCJ tool set, limited to the headless tools and libraries. Package: gcj-4.6-jre-lib Source: gcj-4.6 Version: 4.6.3-1+rpi1 Architecture: all Maintainer: Debian GCC Maintainers Installed-Size: 11209 Depends: gcj-4.6-base (>= 4.6.1-9), libgcj12 (>= 4.6.1-9) Homepage: http://gcc.gnu.org/ Priority: optional Section: java Filename: pool/main/g/gcj-4.6/gcj-4.6-jre-lib_4.6.3-1+rpi1_all.deb Size: 10487148 SHA256: 6995b41306f0bfeb51ff063182b19d1f5e5a48927c4b7387ca3febe2827e90f7 SHA1: 334fccbcf8cdf7b42b32c5139a5b8680e35b16f7 MD5sum: d23f0627768a6f458cca77a7f12c0935 Description: Java runtime library for use with gcj (jar files) This is the jar file that goes along with the gcj front end to gcc. Package: gcj-4.6-source Source: gcj-4.6 Version: 4.6.3-1+rpi1 Architecture: all Maintainer: Debian GCC Maintainers Installed-Size: 12655 Depends: gcj-4.6-base (>= 4.6.1-9), gcj-4.6-jdk (>= 4.6.1-9) Homepage: http://gcc.gnu.org/ Priority: optional Section: java Filename: pool/main/g/gcj-4.6/gcj-4.6-source_4.6.3-1+rpi1_all.deb Size: 12299944 SHA256: de64a08ab3bf6e51397183c6472bc0383d77ed2163606386c315ede0d7de0f54 SHA1: 209b263d917ef89420c839ee29e4a51840e09bfc MD5sum: 366cd835c8dae48b4aefb91f345c9f3b Description: GCJ java sources for use in IDEs like eclipse and netbeans These are the java source files packaged as a zip file for use in development environments like eclipse and netbeans. Package: gcj-4.7-base Source: gcj-4.7 Version: 4.7.2-3+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 174 Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gcj-4.7/gcj-4.7-base_4.7.2-3+rpi1_armhf.deb Size: 136106 SHA256: ae9e0c88e429860a52e239fa012f9795529bfa16c3ada659f093f08d5d745dc8 SHA1: 237c80fa5aeb7de741e881421497bfb256a397f2 MD5sum: 9186342a3d2aebc61d7713dc27c9268f Description: GCC, the GNU Compiler Collection (gcj base package) This package contains files common to all java related packages built from the GNU Compiler Collection (GCC). Package: gcj-4.7-jdk Source: gcj-4.7 Version: 4.7.2-3+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 10753 Depends: gcj-4.7-base (= 4.7.2-3+rpi1), g++-4.7 (>= 4.7.2), libc6-dev (>= 2.13-5), gcj-4.7-jre (= 4.7.2-3+rpi1), libgcj13-dev (= 4.7.2-3+rpi1), gcj-4.7-jre-lib (>= 4.7.2), ecj-gcj, libecj-java-gcj (>= 3.5.1), ecj1, fastjar, libgcj-bc, java-common, libantlr-java, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgcj13 (>= 4.7), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), libstdc++6 (>= 4.1.1), zlib1g (>= 1:1.1.4), dpkg (>= 1.15.4) | install-info Suggests: gcj-4.7-source (>= 4.7.2), libgcj13-dbg Conflicts: cpp-4.1 (<< 4.1.1), gcc-4.1 (<< 4.1.1), gcj-4.4 Replaces: libgcj11 (<< 4.5-20100101-1) Provides: java-compiler, java-sdk, java2-sdk, java5-sdk Homepage: http://gcc.gnu.org/ Priority: optional Section: java Filename: pool/main/g/gcj-4.7/gcj-4.7-jdk_4.7.2-3+rpi1_armhf.deb Size: 5033150 SHA256: 86cd5e378294a3c3bb8a6e58e02aae6bd04a3bac5aafdaf1bd8c72ba016e1704 SHA1: 240b86cfb28cd5659bd82248329581b8575e32f6 MD5sum: 3c7eba7b1b82028d54f3e46c4e6b8b5f Description: gcj and classpath development tools for Java(TM) GCJ is a front end to the GCC compiler which can natively compile both Java(tm) source and bytecode files. The compiler can also generate class files. Other java development tools from classpath are included in this package. . The package contains as well a collection of wrapper scripts and symlinks. It is meant to provide a Java-SDK-like interface to the GCJ tool set. Package: gcj-4.7-jre Source: gcj-4.7 Version: 4.7.2-3+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 21 Depends: gcj-4.7-base (= 4.7.2-3+rpi1), gcj-4.7-jre-headless (= 4.7.2-3+rpi1), libgcj13-awt (= 4.7.2-3+rpi1) Provides: java-runtime, java1-runtime, java2-runtime, java5-runtime Homepage: http://gcc.gnu.org/ Priority: optional Section: java Filename: pool/main/g/gcj-4.7/gcj-4.7-jre_4.7.2-3+rpi1_armhf.deb Size: 1018 SHA256: b64bf0a366266ef820c8ace02fad8f3a90f00690dcf79c15dcb9f462b171fb49 SHA1: e906103d2f72220250d5f99ab4f642399de28dd9 MD5sum: 8438637ed0a9540b8abcbe532d5d1781 Description: Java runtime environment using GIJ/classpath GIJ is a Java bytecode interpreter, not limited to interpreting bytecode. It includes a class loader which can dynamically load shared objects, so it is possible to give it the name of a class which has been compiled and put into a shared library on the class path. . The package contains as well a collection of wrapper scripts and symlinks. It is meant to provide a Java-RTE-like interface to the GIJ/GCJ tool set. Package: gcj-4.7-jre-headless Source: gcj-4.7 Version: 4.7.2-3+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 180 Depends: gcj-4.7-base (= 4.7.2-3+rpi1), libgcj13 (= 4.7.2-3+rpi1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.7), zlib1g (>= 1:1.1.4) Suggests: fastjar, gcj-4.7-jdk (= 4.7.2-3+rpi1), libgcj13-awt (= 4.7.2-3+rpi1) Conflicts: gij-4.4, java-gcj-compat (<< 1.0.76-4) Provides: java-runtime-headless, java1-runtime-headless, java2-runtime-headless, java5-runtime-headless Homepage: http://gcc.gnu.org/ Priority: optional Section: java Filename: pool/main/g/gcj-4.7/gcj-4.7-jre-headless_4.7.2-3+rpi1_armhf.deb Size: 49422 SHA256: 820cab87354e32c48af583216c52edf0cf18376718735c4f1540dcc5462ba15a SHA1: 435f20b5b690ea7ff4333fb38c74f24b99afa464 MD5sum: 7c839bbffbf79b5343a1a341c165798e Description: Java runtime environment using GIJ/classpath (headless version) GIJ is a Java bytecode interpreter, not limited to interpreting bytecode. It includes a class loader which can dynamically load shared objects, so it is possible to give it the name of a class which has been compiled and put into a shared library on the class path. . The package contains as well a collection of wrapper scripts and symlinks. It is meant to provide a Java-RTE-like interface to the GIJ/GCJ tool set, limited to the headless tools and libraries. Package: gcj-4.7-jre-lib Source: gcj-4.7 Version: 4.7.2-3+rpi1 Architecture: all Maintainer: Debian GCC Maintainers Installed-Size: 11210 Depends: gcj-4.7-base (>= 4.7.2), libgcj13 (>= 4.7.2) Homepage: http://gcc.gnu.org/ Priority: optional Section: java Filename: pool/main/g/gcj-4.7/gcj-4.7-jre-lib_4.7.2-3+rpi1_all.deb Size: 10485786 SHA256: c40357a66447ac48465af4bee10b77cd7741e1b16cf1ed7fc01c9936a96b2b51 SHA1: c001ffda5313bdda5f260a15e225d059d6d03993 MD5sum: e8593c7b02940688a5124ac153f7432a Description: Java runtime library for use with gcj (jar files) This is the jar file that goes along with the gcj front end to gcc. Package: gcj-4.7-source Source: gcj-4.7 Version: 4.7.2-3+rpi1 Architecture: all Maintainer: Debian GCC Maintainers Installed-Size: 12655 Depends: gcj-4.7-base (>= 4.7.2), gcj-4.7-jdk (>= 4.7.2) Homepage: http://gcc.gnu.org/ Priority: optional Section: java Filename: pool/main/g/gcj-4.7/gcj-4.7-source_4.7.2-3+rpi1_all.deb Size: 12300822 SHA256: 7dd49ece9acc607d770f8b878af8f6e49654025b4fedce3fed11fd970fdfb689 SHA1: a7f45a0fe1742e1fb1ee565e9bcf3d0f0dff47be MD5sum: a62c84627c2c2213e5bfa8f987288dfa Description: GCJ java sources for use in IDEs like eclipse and netbeans These are the java source files packaged as a zip file for use in development environments like eclipse and netbeans. Package: gcj-jdk Source: gcc-defaults (1.120) Version: 4:4.7.2-1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 54 Depends: libgcj-common (>= 1:4.6.3-8), gcj-jre (>= 4:4.7.2-1), gcj-4.7-jdk (>= 4.7.2-1~) Conflicts: classpath-common (<= 2:0.97.2-1.1), gcj (<< 4:4.4.0-2), gjdoc, java-gcj-compat-dev (<< 1.0.80-2) Replaces: gjdoc, java-gcj-compat-dev (<< 1.0.80-2) Provides: gjdoc, java-compiler, java-gcj-compat-dev, java-sdk, java2-sdk, java5-sdk Priority: optional Section: java Filename: pool/main/g/gcc-defaults/gcj-jdk_4.7.2-1_armhf.deb Size: 6276 SHA256: 04ae0f758e1e0d3b4ea97bc0e1e16ee0eae71b8f18c4c6a94d7d224f0e4c175a SHA1: c4fe75b185132425f19e4d746ef869527c7ab797 MD5sum: bb02066f75166fe17827b8733719b2d0 Description: gcj and classpath development tools for Java(TM) GCJ is a front end to the GCC compiler which can natively compile both Java(tm) source and bytecode files. The compiler can also generate class files. Other java development tools from classpath are included in this package. . The package contains as well a collection of wrapper scripts and symlinks. It is meant to provide a Java-SDK-like interface to the GCJ tool set. Package: gcj-jre Source: gcc-defaults (1.120) Version: 4:4.7.2-1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 21 Depends: libgcj-common (>= 1:4.6.3-8), gcj-jre-headless (>= 4:4.7.2-1), gcj-4.7-jre (>= 4.7.2-1~) Provides: java-gcj-compat, java-runtime, java1-runtime, java2-runtime, java5-runtime Priority: optional Section: java Filename: pool/main/g/gcc-defaults/gcj-jre_4.7.2-1_armhf.deb Size: 1022 SHA256: b82880ad8dad31ba5d65c78ebec8119fbb126b50cfcb854b8156ef5d505ad8ac SHA1: 1a67dd83dd5b03c27038c054a96051576e9b46b6 MD5sum: 52d98f965ffd15816dcc4b1e67bbfcba Description: Java runtime environment using GIJ/classpath GIJ is a Java bytecode interpreter, not limited to interpreting bytecode. It includes a class loader which can dynamically load shared objects, so it is possible to give it the name of a class which has been compiled and put into a shared library on the class path. . The package contains as well a collection of wrapper scripts and symlinks. It is meant to provide a Java-RTE-like interface to the GIJ/GCJ tool set. Package: gcj-jre-headless Source: gcc-defaults (1.120) Version: 4:4.7.2-1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 41 Depends: libgcj-common (>= 1:4.6.3-8), gcj-4.7-jre-headless (>= 4.7.2-1~) Suggests: gcj-jdk Conflicts: gij (<< 4:4.4.0-2), java-gcj-compat-headless (<< 1.0.80-6) Replaces: java-gcj-compat-headless (<< 1.0.80-6) Provides: java-gcj-compat-headless, java-runtime-headless, java-virtual-machine, java1-runtime-headless, java2-runtime-headless, java5-runtime-headless Priority: optional Section: java Filename: pool/main/g/gcc-defaults/gcj-jre-headless_4.7.2-1_armhf.deb Size: 1480 SHA256: 59fac2636852b645847ce38ef17a4118966b08f1860d5981ea13968a3d653c0e SHA1: d6dbb9ad2304f48ef4c6dd6e9ff59c71e7b810f3 MD5sum: 7c78598a6b6bb735a2b2afc74d313b39 Description: Java runtime environment using GIJ/classpath (headless version) GIJ is a Java bytecode interpreter, not limited to interpreting bytecode. It includes a class loader which can dynamically load shared objects, so it is possible to give it the name of a class which has been compiled and put into a shared library on the class path. . The package contains as well a collection of wrapper scripts and symlinks. It is meant to provide a Java-RTE-like interface to the GIJ/GCJ tool set, limited to the headless tools and libraries. Package: gcj-native-helper Source: java-common (0.47+deb7u2) Version: 1:1.7-47+deb7u2 Architecture: armhf Maintainer: Debian Java Mailing List Installed-Size: 1 Depends: default-jdk (= 1:1.7-47+deb7u2), gcj-jdk Conflicts: default-jdk-builddep Replaces: default-jdk-builddep Provides: default-jdk-builddep Priority: optional Section: java Filename: pool/main/j/java-common/gcj-native-helper_1.7-47+deb7u2_armhf.deb Size: 986 SHA256: 3af37dccfe147b04723f7c1806fca23cbece15efc56c9ceaeefa4e796f22b60f SHA1: 354c28f8bf32557ce2470b4db8d3f70f9f6a4fef MD5sum: 8d49a7faba1890aeef970c57c78f3a0f Description: Standard helper tools for creating gcj native packages This package points to the build dependencies used to build gcj native packages. . Unlike default-jdk-builddep, this package only provides the helpers to compile jar/class files into native code and not a Java Development Kit (JDK). You do not need this package unless you are compiling jar/class files to native code. . Note: During the transition from default-jdk-builddep to gcj-native-helper, this package will also depend on default-jdk to avoid breakage. Package: gcl Version: 2.6.7+dfsga-1 Architecture: armhf Maintainer: Camm Maguire Installed-Size: 144991 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libreadline6 (>= 6.0), libx11-6, debconf (>= 1.2.0), gcc, emacs23 | emacsen, ucf Suggests: gcl-doc Priority: optional Section: lisp Filename: pool/main/g/gcl/gcl_2.6.7+dfsga-1_armhf.deb Size: 43691128 SHA256: a5bef205ac0eb77954fe44221b4c847d79f8b4f0991caaf66367a88cbe435843 SHA1: 0923e10e721f70dfcec069d4e2e7df54a0c2f7db MD5sum: d01dc25836f05cfb282e3e25609913ba Description: GNU Common Lisp compiler GNU Common Lisp (GCL) is a Common Lisp compiler and interpreter implemented in C, and complying mostly with the standard set forth in the book "Common Lisp, the Language I". It attempts to strike a useful middle ground in performance and portability from its design around C. . This package contains the Lisp system itself. Documentation is provided in the gcl-doc package. Package: gcl-doc Source: gcl Version: 2.6.7+dfsga-1 Installed-Size: 680 Maintainer: Camm Maguire Architecture: all Replaces: gclinfo Depends: dpkg (>= 1.15.4) | install-info Conflicts: gclinfo Size: 610128 SHA256: 73a4eeac964b649c030066b3cc5868a0f8bdd61b0c70b2faa4d5c616fe0db51e SHA1: 2da24e08afc4acda2865a7d63b2a54aa70bb4acd MD5sum: f85921956182145ec0d8060c65dd732e Description: Documentation for GNU Common Lisp GNU Common Lisp (GCL) is a Common Lisp compiler and interpreter implemented in C, and complying mostly with the standard set forth in the book "Common Lisp, the Language I". It attempts to strike a useful middle ground in performance and portability from its design around C. . This package contains Documentation in info format of both the system internals, as well as the graphical interface currently implemented in Tcl/Tk. Tag: devel::compiler, devel::doc, devel::lang:lisp, made-of::info, role::documentation, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gcl/gcl-doc_2.6.7+dfsga-1_all.deb Package: gco Version: 0.5.0-6 Installed-Size: 320 Maintainer: Sam Hocevar (Debian packages) Architecture: all Depends: python-support (>= 0.2), python-libxml2, python-gtk2, python-gnome2, python-glade2 Size: 30978 SHA256: 8cf8dc7660ef646c2f082f10096bde0353280c62089d080c786597fc0e6a3b23 SHA1: b534e3246b9cc38d44708a8a1d2c1ffb556c0c7d MD5sum: 0b4748c062c739c4f1ab672396a5532a Description: comics organizer GCO is a database for keeping track of your comics collection. It supports titles, publishers, types, writers, pencilers, inkers, cover prices, current prices, comments, and much more. It also allows you to sort the list in various ways. Tag: interface::x11, role::program, scope::application, suite::gnome, uitoolkit::gtk, use::organizing, x11::application Section: gnome Priority: extra Filename: pool/main/g/gco/gco_0.5.0-6_all.deb Package: gcolor2 Version: 0.4-2.1 Architecture: armhf Maintainer: Carlos C Soto Installed-Size: 98 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Priority: optional Section: gnome Filename: pool/main/g/gcolor2/gcolor2_0.4-2.1_armhf.deb Size: 24984 SHA256: 7aa6cde7f241f05d77fba34a4692821daa53d1b041c7055b15a31db492f34820 SHA1: 941c0b80aff9c23418f72555555ff66412e0c8df MD5sum: 5c7d402eec5046f359a228a15894a0c8 Description: Simple GTK2 color selector and picker Gcolor2 is a simple GTK2 color selector to provide a quick and easy way to find colors for whatever task is at hand. Colors can be saved and deleted as well. . Homepage: http://gcolor2.sourceforge.net/ Package: gcom Source: comgt Version: 0.32-2 Installed-Size: 20 Maintainer: Andreas "Jimmy" Gredler Architecture: all Depends: comgt Size: 774 SHA256: 8a77289d6f7bf93e5225f5a105c9ef830817261448a173f8cba2fd15027bc089 SHA1: ef0a509b7c35080cc620e5a425c0975c934b1d85 MD5sum: 3827a8f9622aaeabf32532cde768bcb8 Description: datacard control tool - transitional package This is a transitional package from gcom to comgt, because there was a name change for trademark reasons. It can be safely removed. Homepage: http://www.pharscape.org/ Tag: hardware::modem, role::dummy, role::program Section: net Priority: optional Filename: pool/main/c/comgt/gcom_0.32-2_all.deb Package: gcompris Version: 12.01-1 Architecture: armhf Maintainer: Yann Dirson Installed-Size: 1259 Depends: gcompris-data (= 12.01-1), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.4.10), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.0), libgtk2.0-0 (>= 2.20.0), libpango1.0-0 (>= 1.14.0), libpython2.7 (>= 2.7), librsvg2-2 (>= 2.26.0), libsqlite3-0 (>= 3.5.9), libx11-6, libxml2 (>= 2.7.4), python-pysqlite2, python-gtk2, gstreamer0.10-alsa | gstreamer0.10-audiosink, gstreamer0.10-plugins-base, gstreamer0.10-plugins-good, librsvg2-common (>= 2.18), python-cairo Suggests: gnuchess, gnucap, tuxpaint Replaces: gcompris-data (<< 8.4.1) Homepage: http://gcompris.net/ Priority: optional Section: games Filename: pool/main/g/gcompris/gcompris_12.01-1_armhf.deb Size: 505732 SHA256: 1eb51e30f2adecfad683a43b9cd9c5fa555e2c82e4fc2b3ced4040e7ff5441b8 SHA1: f707fd348d1cb5f5d67e60bed0191b111af2c954 MD5sum: 64e934fdc7fe77e617fb360f919b260e Description: Educational games for small children A large collection of educational games for small children, designed to be a unified interface to integrate more educational games. . Language-oriented games contain vocabulary, sounds, and voices for many different languages; check the gcompris-sound packages for your language. . Currently available boards include: * learning how to use a mouse and keyboard * learning simple arithmetic * learning how to read an analog clock * recognize letters after hearing their names * reading practice * small games (memory games, jigsaw puzzles, ...) * etc. . It is designed so that it is easy to implement new boards. . A number of boards are only available if other packages are installed; see the Suggests list for more details. Package: gcompris-data Source: gcompris Version: 12.01-1 Installed-Size: 124633 Maintainer: Yann Dirson Architecture: all Replaces: gcompris (<< 6.1-1) Depends: dpkg (>= 1.15.4) | install-info Recommends: gcompris, gcompris-sound-en | gcompris-sound Size: 75446730 SHA256: 449525dfec4b92fa06342f98bd9b437e7f5e643a718f71fbebb4efd274389356 SHA1: 9daa828ed0c9f38bb168b42c3a396853488190f2 MD5sum: 5d71469142ac0809ddecfb3c95bc2e91 Description: Data files for GCompris GCompris is a collection of educational games for small children. . This package contains the definitions of these standard boards, along with associated images. . Some boards make use of sounds. For those you'll have to install the gcompris-sound package for the languages you intend to use. Homepage: http://gcompris.net/ Tag: game::puzzle, game::typing, role::app-data, suite::gnome, uitoolkit::gtk, uitoolkit::sdl, use::gameplaying, use::learning Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-data_12.01-1_all.deb Package: gcompris-dbg Source: gcompris Version: 12.01-1 Architecture: armhf Maintainer: Yann Dirson Installed-Size: 3389 Depends: gcompris (= 12.01-1) Homepage: http://gcompris.net/ Priority: extra Section: debug Filename: pool/main/g/gcompris/gcompris-dbg_12.01-1_armhf.deb Size: 1401490 SHA256: 7802684122a59eb4b33ef195ce47720c6f74e111086dab6b715ac8b4f606a0f1 SHA1: a5a5d12d157bbee5ed97a920c97b00d05073fdcd MD5sum: ed51e16ffe2413193fb7c6b30f613799 Description: Debugging symbols for GCompris This package contains the stripped debugging symbols for GCompris. Package: gcompris-sound-af Source: gcompris Version: 12.01-1 Installed-Size: 1465 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 941938 SHA256: 47c2b2731807a3170b7d78f58d3e4039dda1d57567bf302a87322596bfb4a498 SHA1: 9c553b210afff539e20e3184f771763e4d3a2d6b MD5sum: 7e0963a141cba0f4926fa71cc45e6b63 Description: Afrikaans sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-af_12.01-1_all.deb Package: gcompris-sound-ar Source: gcompris Version: 12.01-1 Installed-Size: 1818 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 1272548 SHA256: 0728fadd0dcb3cf8ff113d28ca54c7d4ca23f0b51dd05898cf2d2266973ceef8 SHA1: 23e18e56b893cada29bbbd9c1133b2a9d3029ddd MD5sum: 71b930c9e02a5304ed3b9ed801cc9126 Description: Arabic (Tunisia) sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::arabic, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-ar_12.01-1_all.deb Package: gcompris-sound-ast Source: gcompris Version: 12.01-1 Installed-Size: 2731 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 2211172 SHA256: 842b68f802743a47ce6897f05bec09889515bc1240c175400a30aa9b40f0b251 SHA1: 68a1713134f3b2691b3fa520622f26f346ae8acc MD5sum: 2d22365084b8ddde86afb14dbe9d34a7 Description: Asturian sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-ast_12.01-1_all.deb Package: gcompris-sound-bg Source: gcompris Version: 12.01-1 Installed-Size: 1351 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 818550 SHA256: da4a5d43134c4f58684be54a7468a5c064542f884bd75edee1323ba0cec5f614 SHA1: 5275ea986d3de3b2bae11b41c47f53edee09d721 MD5sum: bee622ff22ca0be3ff3fa75c751df93b Description: Bulgarian sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::bulgarian, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-bg_12.01-1_all.deb Package: gcompris-sound-br Source: gcompris Version: 12.01-1 Installed-Size: 2322 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 1704192 SHA256: 42728f7a2a043ae62df68279788f5cdeded98bc3e2c5c8c6e58bc167e8015406 SHA1: 52e055a69bc5186a97e7b5dab421f430eef51c8b MD5sum: 673ca3994ec82eb9c0790d503aeb7d5f Description: Breton sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-br_12.01-1_all.deb Package: gcompris-sound-cs Source: gcompris Version: 12.01-1 Installed-Size: 1648 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 1053942 SHA256: e8051dd64ce93982911fc1dbb9705cff8608b7e051fb922dce652eea628b0b84 SHA1: f7f62dbc9b7faed8558031c7ed5341fcfdd5dcda MD5sum: ea64b21221e2c0cb855e5312bf080649 Description: Czech sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::czech, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-cs_12.01-1_all.deb Package: gcompris-sound-da Source: gcompris Version: 12.01-1 Installed-Size: 1024 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 470428 SHA256: bddd5fdb3b94b88ccb4be4f8277f7c3ec79a8ec6f985b81e0496f1b4f66bf63b SHA1: c8feb1f237d9416e412ac8d26399af1482a2a2ca MD5sum: 3b1aa1fc56b5b1fca947ae2ee252af5f Description: Danish sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::danish, game::puzzle, game::typing, made-of::audio, role::app-data, suite::gnome, use::gameplaying, use::learning Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-da_12.01-1_all.deb Package: gcompris-sound-de Source: gcompris Version: 12.01-1 Installed-Size: 699 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 449378 SHA256: 1ce515af2dfe73a685a2f70a5db518f46e4463513cdbf62e984207da4cb76572 SHA1: 862321b838e87873df3a09750f179fd922b5f7d5 MD5sum: a5ae328a0b2f4c343055cd84fa552e3e Description: German sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::german, game::puzzle, game::typing, made-of::audio, role::app-data, suite::gnome, use::gameplaying, use::learning Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-de_12.01-1_all.deb Package: gcompris-sound-el Source: gcompris Version: 12.01-1 Installed-Size: 3092 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 2317374 SHA256: f8fc1752a6518b861c702eb4850034b7ddb3ff0c932ef74f20036d2d55da7b69 SHA1: 6e3c163ae34f4f1b11f3b1c56df9364ebe2feecd MD5sum: 84fba25fc41a2991f6de96f79ed0b5f3 Description: Greek sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::greek, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-el_12.01-1_all.deb Package: gcompris-sound-en Source: gcompris Version: 12.01-1 Installed-Size: 5350 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 4727820 SHA256: 8ee426a0c22f1edc292be2571b720ddf2e39f5a06f9f1a3091357e4a99de3c7b SHA1: a21e5676da67b35a277e5f8d955f0989bc2902dd MD5sum: 0b5f1e027092c50b90c1bc0d456bfa51 Description: English sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: made-of::audio, role::app-data, use::learning Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-en_12.01-1_all.deb Package: gcompris-sound-eo Source: gcompris Version: 12.01-1 Installed-Size: 1388 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 818440 SHA256: 95e702bb16b978dec224a33c03272c9b8dd6b69674eba001913f535557f6c4ca SHA1: 43cac0e6881f74fad9859a296b28c288e3650843 MD5sum: f19025104d152336cbe375a702087799 Description: Esperanto sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::esperanto, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-eo_12.01-1_all.deb Package: gcompris-sound-es Source: gcompris Version: 12.01-1 Installed-Size: 1920 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 1451406 SHA256: fb11b2031611078362d2ef7e3fdd95f87758604989557a33c80f8ceb503da656 SHA1: 63a5e5e6aa2a0468172803e76353852b77391e71 MD5sum: 0cba6121c65835588991277dab15d24d Description: Spanish sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::spanish, game::puzzle, game::typing, made-of::audio, role::app-data, suite::gnome, use::gameplaying, use::learning Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-es_12.01-1_all.deb Package: gcompris-sound-eu Source: gcompris Version: 12.01-1 Installed-Size: 1438 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 911024 SHA256: ce97452216afb2d52146fd1e8be0f60cfca2d1e928c9f14a083b4831100389b2 SHA1: 909efe6491ed2ea9cd290b7d0b96bee30e642ab9 MD5sum: 4410a819b6b54ab5cc25351bd9304cc3 Description: Basque sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::basque, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-eu_12.01-1_all.deb Package: gcompris-sound-fi Source: gcompris Version: 12.01-1 Installed-Size: 2364 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 1821372 SHA256: 8bc3ba059c25c726f564aa8e201b2ca55e1e4f00280a6b2f4104d422d448c4a6 SHA1: 11ce6f481f325fc9a8561b9438b12872ef7c1d28 MD5sum: 515d18162a061c011ad13f9d6845cae8 Description: Finnish sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::finnish, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-fi_12.01-1_all.deb Package: gcompris-sound-fr Source: gcompris Version: 12.01-1 Installed-Size: 5570 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 4964652 SHA256: 892df994979ae80564cdb9f82991ea3bf2a1aef48b910f7f5862ce98e7d3c1bb SHA1: b52482d2a41ea9582f70959f713ade8deeb20567 MD5sum: 7a1250340707ae99f5af7d34f9be841f Description: French sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::french, game::puzzle, game::typing, made-of::audio, role::app-data, suite::gnome, use::gameplaying, use::learning Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-fr_12.01-1_all.deb Package: gcompris-sound-he Source: gcompris Version: 12.01-1 Installed-Size: 3246 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 2650928 SHA256: 4851642c31140703abd43991debe53163fefa1b91b903918874f0114a6f28b3c SHA1: 4beb109b72f13852a79c6d851c8b47782ac56ace MD5sum: e1c3b6b66b06cc45a440f5a0bffcda5f Description: Hebrew sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::hebrew, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-he_12.01-1_all.deb Package: gcompris-sound-hi Source: gcompris Version: 12.01-1 Installed-Size: 3340 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 2658830 SHA256: 7d918a84eec46891c8c2fb740dfbec64b51f17a6e59d7f4a26a4a3e280ba4a12 SHA1: a240a095527bd1581f9c076d88961301b8c0791e MD5sum: c03cdbf386bc0897c861e651b3ec1bc8 Description: Indian Hindi sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::hindi, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-hi_12.01-1_all.deb Package: gcompris-sound-hu Source: gcompris Version: 12.01-1 Installed-Size: 1123 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 686490 SHA256: 0c0c2a379d695741b88efe955bc64afa0aa147ee580efd5219d3a5d92588549f SHA1: 640970c51f440001bab2be177f0affa4ae5954f9 MD5sum: b59be9cc4c3c1904a16b4c6b8a005efd Description: Hungarian sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::hungarian, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-hu_12.01-1_all.deb Package: gcompris-sound-id Source: gcompris Version: 12.01-1 Installed-Size: 654 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 372670 SHA256: 10428052d6f5167946db512d66f785c6a663ec146ebb016171fbe75aa3815734 SHA1: 72d2cda12bd92db55a5d53d19064e6e9763627b9 MD5sum: 4be8595e4a5566fb595f59af4909e930 Description: Indonesian sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::indonesian, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-id_12.01-1_all.deb Package: gcompris-sound-it Source: gcompris Version: 12.01-1 Installed-Size: 1845 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 1356440 SHA256: 499375babba44629c5738048be23328edfd422816e1c0df22b50183e2f646c7c SHA1: d9a1468d9dbf3090b20db35b72cb6cafd19ee28c MD5sum: 6deea6d12717841197a2f5929b543bf2 Description: Italian sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::italian, game::puzzle, game::typing, made-of::audio, role::app-data, suite::gnome, use::gameplaying, use::learning Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-it_12.01-1_all.deb Package: gcompris-sound-mr Source: gcompris Version: 12.01-1 Installed-Size: 3569 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 2905428 SHA256: 5d06cddc18a5438d281a4f2b9060db4fc3afca1dc4e7605e48a35382f4323725 SHA1: 905c7e98f764f74735a18bd648fceeb2c8a7b992 MD5sum: 928810319ef4a2a05738343a07695818 Description: Indian Marathi sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-mr_12.01-1_all.deb Package: gcompris-sound-nb Source: gcompris Version: 12.01-1 Installed-Size: 2129 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 1432076 SHA256: 22a360215e5ffaf35f602d04b3570412cc992c3b09885cd26779f0676ee33fe9 SHA1: 8a47bf6602764bbae7963e17577e04d79e3d80f2 MD5sum: 4cfbdd7bed850a7f2193633590b5f571 Description: Norwegian (Bokmal) sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::bokmaal, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-nb_12.01-1_all.deb Package: gcompris-sound-nl Source: gcompris Version: 12.01-1 Installed-Size: 1419 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 869508 SHA256: f006a53488f958734ab760e42ec87fe488e5b53f9a5ccb0aba1dda4db4987032 SHA1: 0e847bfdda7b2d9d821e3def545ac57cb84f2dbf MD5sum: 578cd3fb7673822aa6df73534742208e Description: Dutch sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::dutch, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-nl_12.01-1_all.deb Package: gcompris-sound-nn Source: gcompris Version: 12.01-1 Installed-Size: 2129 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 1432078 SHA256: f3408af39de7358a69efaefe92eb4938262cc29ed9d6f51a965309a54b7faaa2 SHA1: e24fb6358e286fa74e72ff9a726aba83964cfae7 MD5sum: b8c8c5b0c4a6869f5174dea1308ca53d Description: Norwegian (Nynorsk) sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::nynorsk, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-nn_12.01-1_all.deb Package: gcompris-sound-pa Source: gcompris Version: 12.01-1 Installed-Size: 2633 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 1879358 SHA256: 44ad97b4c7131e0ac6868421627b62f1077d376d06cd205999aa5c921fab9891 SHA1: f7efeb89a8f0876f23e8d56f1e54fdc670373e8b MD5sum: 11046da17d38c437c6973916121921c1 Description: Punjabi sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::punjabi, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-pa_12.01-1_all.deb Package: gcompris-sound-pt Source: gcompris Version: 12.01-1 Installed-Size: 6347 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 5655052 SHA256: 4028e11f6938e9728fbca265a0b47c9c9c4aa9c955be17e60be87b0b0014add4 SHA1: 984286a25e5b5e0c0225d47c8913dda7893804bc MD5sum: a67a6db27615a5002348c780c8b08896 Description: Portuguese sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::portuguese, game::puzzle, game::typing, made-of::audio, role::app-data, suite::gnome, use::gameplaying, use::learning Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-pt_12.01-1_all.deb Package: gcompris-sound-ptbr Source: gcompris Version: 12.01-1 Installed-Size: 1255 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 729658 SHA256: 2c4e498f9587a7666496d24245220c72ce5b34e37fe4bf7c45e03da691dec6f7 SHA1: 6fc65bdff5753e05c63c5a50d3995ff26afac807 MD5sum: 41ec642960d578a9aa40ab02306df81a Description: Portuguese sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::brazilian, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-ptbr_12.01-1_all.deb Package: gcompris-sound-ru Source: gcompris Version: 12.01-1 Installed-Size: 1741 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 1084440 SHA256: 382cf066d827ab7b66c75be63b5bc2d87ac4019fb7b4dc172872e2d7065b9679 SHA1: 19930348d36ae0250cc9f7f8922daece68342e98 MD5sum: 2bb11b7502ba04b905ebde2ee188208f Description: Russian sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::russian, game::puzzle, game::typing, made-of::audio, role::app-data, suite::gnome, use::gameplaying, use::learning Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-ru_12.01-1_all.deb Package: gcompris-sound-sl Source: gcompris Version: 12.01-1 Installed-Size: 5921 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 5389838 SHA256: dca728ae54f6bf3a5929046f4de8fd7c094730fe955e80d0db82b05e52183040 SHA1: e70821d5ada129ab25d3a271535a619450b9bda7 MD5sum: 4d2d32641861da41da9c5b1c01036dcf Description: Slovenian sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-sl_12.01-1_all.deb Package: gcompris-sound-so Source: gcompris Version: 12.01-1 Installed-Size: 1595 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 1043050 SHA256: ec24f34f2eeb94de0424af83e2b21b946fbda27840044ed171d4afe7c7d27f17 SHA1: 5c7292910d034ab6cf805d279f968c5a22caef91 MD5sum: f2d0aba28a28954b1a8635f5f4335228 Description: Somali sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-so_12.01-1_all.deb Package: gcompris-sound-sr Source: gcompris Version: 12.01-1 Installed-Size: 1285 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 711582 SHA256: b94cdacf6c93669e68a1540de21304580d5f371f55f2c946dfe5f044537999e4 SHA1: 1e2621d85f9145aaec8ad0a2d4f1c50c13500412 MD5sum: 97812ded1097957bc4f3e1cea9561d77 Description: Serbian sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::serbian, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-sr_12.01-1_all.deb Package: gcompris-sound-sv Source: gcompris Version: 12.01-1 Installed-Size: 1985 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 1427312 SHA256: 9ad7d6e444806941e7fd237578b525db1ecd2f46ed6fcc1a96d2392cc934a5ca SHA1: 4c39bd1cedb448f1f68842a69164b2db36fe6d21 MD5sum: 19be376baeb88f3086522c54a4e1187a Description: Swedish sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::swedish, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-sv_12.01-1_all.deb Package: gcompris-sound-th Source: gcompris Version: 12.01-1 Installed-Size: 3521 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 2969528 SHA256: 55f83f5a0deb4bf4f2f437c70c51c7fd4b7996ad6bf340380f54413413100017 SHA1: 15b96d777cd923e64615d7e60b121f10a473c99a MD5sum: f2076976c2e5d2a1a1cbc02aff7aa8b5 Description: Thai sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-th_12.01-1_all.deb Package: gcompris-sound-tr Source: gcompris Version: 12.01-1 Installed-Size: 3204 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 2525008 SHA256: eeb59a21cbc66e6b833df2d27989168e7cf8c75236a2cd821b20df779c13dd3c SHA1: 5deaa26e510215ee78de61d94c42f924ce9c53f0 MD5sum: e52ec743e973b7c9b13d75edbe9d6918 Description: Turkish sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::turkish, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-tr_12.01-1_all.deb Package: gcompris-sound-ur Source: gcompris Version: 12.01-1 Installed-Size: 5647 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 5114888 SHA256: 357c11f7cd23c2c6d893a8ec3525b3f3889c5ea2bef8b61d7f27ebca370de879 SHA1: c485e6f3e771f8c322237c97edf6935350bc7ed8 MD5sum: 2cec1e4e900d6b2ef97378a2e19d5539 Description: Urdu sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-ur_12.01-1_all.deb Package: gcompris-sound-zhcn Source: gcompris Version: 12.01-1 Installed-Size: 4128 Maintainer: Yann Dirson Architecture: all Provides: gcompris-sound Depends: gcompris-data (= 12.01-1) Recommends: gcompris Size: 3469348 SHA256: 54484dd004fe8438d8a3d927d30f8d60dd12d20e64515f1fdb0a6d37611d7b47 SHA1: a9db94e4c50ca78509c809e6e79bf4c3098101b9 MD5sum: dd4cd65d5c456ce6f0c0922f440eb449 Description: Chinese sound files for GCompris GCompris is a collection of educational games for small children. . These are sounds used in some boards. Homepage: http://gcompris.net/ Tag: culture::chinese, made-of::audio, role::app-data Section: games Priority: optional Filename: pool/main/g/gcompris/gcompris-sound-zhcn_12.01-1_all.deb Package: gconf-cleaner Version: 0.0.3-5 Architecture: armhf Maintainer: Ludovico Cavedon Installed-Size: 152 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0) Homepage: http://code.google.com/p/gconf-cleaner/ Priority: optional Section: gnome Filename: pool/main/g/gconf-cleaner/gconf-cleaner_0.0.3-5_armhf.deb Size: 33832 SHA256: 149f8c414e94ed65c4fb9fa038f82d128cf586fda0ed86ba201b1f2775b56172 SHA1: f80fbc18f95fa6f0664a24d72e118149abad8296 MD5sum: ba47f7b4cbad3a6557bf4c754a2b906e Description: GConf database cleaner GConf Cleaner is a tool to clean your GConf database up that is possibly cluttered with unnecessary or invalid keys. . This tools scans the user's GConf database and lists the keys that are not associated with a schema (i.e. they are likely no longer useful). Then it allows the user to backup these keys and remove them from the database. Package: gconf-defaults-service Source: gconf Version: 3.2.5-1+build1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 459 Depends: gconf-service, libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.31.0), libpolkit-gobject-1-0 (>= 0.94), dbus-x11, gconf2-common (= 3.2.5-1+build1) Conflicts: gconf2-common (<< 2.24.0-3), libgconf2-4 (<< 2.24.0-3) Breaks: gconf-editor (<< 2.28) Replaces: gconf2-common (<< 2.24.0-3) Multi-Arch: foreign Homepage: http://projects.gnome.org/gconf/ Priority: optional Section: libs Filename: pool/main/g/gconf/gconf-defaults-service_3.2.5-1+build1_armhf.deb Size: 369476 SHA256: 5748898e597f81bf896a3f24f170cc56b3bd1f024dd3433e566155b6bb5345a3 SHA1: 2ab7278bfc316d4311c6fdd157e2963bd00f1222 MD5sum: c5f3e58875ac73a9fe934e8baf377117 Description: GNOME configuration database system (system defaults service) GConf is a configuration database system for storing application preferences. It supports default or mandatory settings set by the administrator, and changes to the database are instantly applied to all running applications. It is written for the GNOME desktop but doesn't require it. . This package contains the PolicyKit service that allows users to edit the system-wide defaults from a user session. Package: gconf-editor Version: 3.0.1-1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 3423 Depends: gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), gconf2 (>= 2.28.1-2), gconf-defaults-service (>= 2.28), policykit-1-gnome Priority: optional Section: utils Filename: pool/main/g/gconf-editor/gconf-editor_3.0.1-1_armhf.deb Size: 1301246 SHA256: 187d3c035f7d2328046185aa859b7c6d6348ee3a78c453d80a8b1d6a1427d60e SHA1: 4de29375803ec26c0d65a9f7e36b1d0522e51937 MD5sum: 2b73f403e4317861d64bb75df7dcc615 Description: editor for the GConf configuration system GConf-Editor is a tool used for editing the GConf configuration database. This is not the recommended way of setting desktop preferences, but it might be useful when the proper configuration utility for some software provides no way of changing some option. Package: gconf-gsettings-backend Source: gconf Version: 3.2.5-1+build1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 403 Depends: gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (= 3.2.5-1+build1), libglib2.0-0 (>= 2.31.0) Breaks: libgconf2-4 (<< 3.2) Replaces: libgconf2-4 (<< 3.2) Provides: gsettings-backend Multi-Arch: same Homepage: http://projects.gnome.org/gconf/ Priority: extra Section: libs Filename: pool/main/g/gconf/gconf-gsettings-backend_3.2.5-1+build1_armhf.deb Size: 359234 SHA256: 79f34c60336512ee1d53cc178b44ec26f224f878a2719dac4fefc834bba0c96e SHA1: f5dea165306a68bdd954b5cbc250bd8c284a3c00 MD5sum: 860acae50c6d08d22ad0892abed0bd5f Description: GNOME configuration database system - GSettings back-end GConf is a configuration database system for storing application preferences. . This package contains a backend to enable applications that use the newer GSettings framework to store their preferences to use GConf for storing the actual values. . You will need to explicitly enable it through your environment with GSETTINGS_BACKEND=gconf. It is strongly recommended to not use this backend unless you really know what you’re doing. Package: gconf-service Source: gconf Version: 3.2.5-1+build1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 541 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgconf-2-4 (= 3.2.5-1+build1), libglib2.0-0 (>= 2.31.0), libldap-2.4-2 (>= 2.4.7), libxml2 (>= 2.7.4), gconf2-common (= 3.2.5-1+build1) Breaks: gconf2-common (<< 3.2.3-2) Replaces: gconf2-common (<< 3.2.3-2) Multi-Arch: foreign Homepage: http://projects.gnome.org/gconf/ Priority: optional Section: libs Filename: pool/main/g/gconf/gconf-service_3.2.5-1+build1_armhf.deb Size: 414950 SHA256: de7c6163216cd0129c2551adf45035aa3aeef3e68e7a3abb1bd57a89593ed6de SHA1: 6a0cf8ea1d8e717e8a6ba1f7df4471b2937c33d0 MD5sum: f8d75efb6078e06d7e5e7e1259a98eef Description: GNOME configuration database system (D-Bus service) GConf is a configuration database system for storing application preferences. It supports default or mandatory settings set by the administrator, and changes to the database are instantly applied to all running applications. It is written for the GNOME desktop but doesn't require it. . This package contains the D-Bus enabled daemon that is used internally by the GConf library to access configuration data. Package: gconf2 Source: gconf Version: 3.2.5-1+build1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 584 Depends: gconf-service (= 3.2.5-1+build1), libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.31.8), libxml2 (>= 2.7.4), psmisc, dbus-x11, python Suggests: gconf-defaults-service Multi-Arch: foreign Homepage: http://projects.gnome.org/gconf/ Priority: optional Section: libs Filename: pool/main/g/gconf/gconf2_3.2.5-1+build1_armhf.deb Size: 430098 SHA256: 2452bef56525e1ff368ed257740616f2381d0bde8e13ded9450dc8302b5710d8 SHA1: 629b2178026f33922610964824c43eb7106046e1 MD5sum: 409a10d9dc0f90930107ea526aef7423 Description: GNOME configuration database system (support tools) GConf is a configuration database system for storing application preferences. It supports default or mandatory settings set by the administrator, and changes to the database are instantly applied to all running applications. It is written for the GNOME desktop but doesn't require it. . This package contains the command line tools: gconftool and gconf-merge-tree. Package: gconf2-common Source: gconf Version: 3.2.5-1+build1 Installed-Size: 6783 Maintainer: Josselin Mouette Architecture: all Depends: ucf Breaks: libgconf2-4 (<< 3.2.3-2) Size: 1023100 SHA256: cf4dea91f98f915cb07041a324449f2f8076d488495dbefd3679d50fda05050d SHA1: f76060e8fbeed4103b562a9e2969c650c781e50b MD5sum: 38278bd9fb261b6b61d6dd67f5b9ca50 Description: GNOME configuration database system (common files) GConf is a configuration database system for storing application preferences. It supports default or mandatory settings set by the administrator, and changes to the database are instantly applied to all running applications. It is written for the GNOME desktop but doesn't require it. . This package contains the default configuration and localization files. Multi-Arch: foreign Homepage: http://projects.gnome.org/gconf/ Tag: role::app-data, suite::gnome Section: libs Priority: optional Filename: pool/main/g/gconf/gconf2-common_3.2.5-1+build1_all.deb Package: gconjugue Version: 0.7.2-1 Architecture: armhf Maintainer: Joao Eriberto Mota Filho Installed-Size: 205 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://jalvesaq.googlepages.com/gconjugue.en.html Priority: optional Section: misc Filename: pool/main/g/gconjugue/gconjugue_0.7.2-1_armhf.deb Size: 53872 SHA256: 73dcf710001129d3d5c836b50552cc027c5512c6d1fc5e2dd7bd6fa036dfd620 SHA1: b1606f53bd7a8836a506ca0af7ae70a6d1868c33 MD5sum: b190eba91a103254cdbd44d1441ef496 Description: gtk program to conjugate Brazilian verbs Graphical program, based in conjugue (package brazilian-conjugate), to conjugate Portuguese verbs as spoken in Brazil. . Screenshot: http://www.eriberto.pro.br/debian/screenshots/gconjugue.jpg Package: gcp Version: 0.1.3-2 Installed-Size: 94 Maintainer: Thomas Preud'homme Architecture: all Depends: python (>= 2.6), python-gobject, python-dbus Recommends: python-progressbar Size: 18682 SHA256: 8a9b3f7fb6222e1904061525302a949def2b58c155a8aee7689c2d27998406c3 SHA1: e0a17115c2e803916e504afaf5adae27dd85f173 MD5sum: 457e56ffa67f17f1e92ebfe8b679b3ac Description: advanced command line file copy system gcp is an advanced command line file copy system with an interface similar to that of cp. It features: - transfer progression indication - continuous copying on error (skip to next file) - copy status logging - name mangling to handle target filesystem limitations - forced copy serialization - transfer lists management Homepage: http://wiki.goffi.org/wiki/Gcp/en Tag: implemented-in::python, interface::commandline, role::program, use::storing, works-with::file Section: utils Priority: extra Filename: pool/main/g/gcp/gcp_0.1.3-2_all.deb Package: gcpegg Version: 5.1-13 Architecture: armhf Maintainer: Bdale Garbee Installed-Size: 156 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5, kbd | console-tools Priority: optional Section: misc Filename: pool/main/g/gcpegg/gcpegg_5.1-13_armhf.deb Size: 42570 SHA256: eabaafed0a5fc24f164f0a728be5cfd28fdf549b46c4800479d94b4f5e32665d SHA1: f774d1e50f771a488a003e64b7ba18660946c59f MD5sum: 2411512707610707cd2989b923db9248 Description: Global Consciousness Project EGG Software The GCP studies data from random event generators in widely distributed locations, to discern non-random patterns that may result from the interaction of human consciousness with the generators. . This package provides the software required to operate a random event generator and return data to the project. Unless you are registered with the GCP and have received event generator hardware, this package will be useless to you. If you have no idea what this means, don't bother installing this package! . More GCP information is available at http://global-mind.org Package: gcr Version: 3.4.1-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 1519 Depends: dconf-gsettings-backend | gsettings-backend, libc6 (>= 2.13-28), libgcr-3-1 (>= 3.4.0), libglib2.0-0 (>= 2.32.0), libgtk-3-0 (>= 3.0.0), dbus-x11 Breaks: gnome-keyring (<< 3.3) Replaces: gnome-keyring (<< 3.3) Homepage: https://live.gnome.org/GnomeKeyring Priority: optional Section: gnome Filename: pool/main/g/gcr/gcr_3.4.1-3_armhf.deb Size: 337052 SHA256: b9a6b737c9d1763fbba54038ccab098cb49208f69cc5a4b2738a9a69ced324b1 SHA1: bf6cd1141fbed5b5379bea5c39ecca40f063e5a0 MD5sum: 9313211a73d5582626aae9806fd62aab Description: GNOME crypto services (daemon and tools) GCR is a library for crypto UI and related tasks. . This package contains the certificate viewer and prompter service. Package: gcrystal Source: gnome-chemistry-utils Version: 0.12.12-1 Architecture: armhf Maintainer: Debichem Team Installed-Size: 954 Depends: chemical-mime-data, gconf2 (>= 2.28.1-2), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libgcc1 (>= 1:4.4.0), libgcu0 (>= 0.12.8), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgoffice-0.8-8 (>= 0.8.8), libgsf-1-114 (>= 1.14.9), libgtk2.0-0 (>= 2.18.0), libgtkglext1, libstdc++6 (>= 4.6), libxml2 (>= 2.7.4) Homepage: http://www.nongnu.org/gchemutils/ Priority: optional Section: science Filename: pool/main/g/gnome-chemistry-utils/gcrystal_0.12.12-1_armhf.deb Size: 531302 SHA256: f018dceefff8ff61039b8657e583cce073dee074460a82e8faf6318284baf48e SHA1: 9e442b7b19927748785d70e4b81d370659c99477 MD5sum: e98140910ef085742224e4e27bb27c9f Description: lightweight crystal structures visualizer GNOME Crystal is a light model visualizer for crystal-structures. It is based on the GNOME Chemistry Utils and should display models of all sorts of crystal microscopic structures using OpenGL. Package: gcstar Version: 1.6.2-1 Installed-Size: 12256 Maintainer: Alexander Wirt Architecture: all Replaces: gcfilms Provides: gcfilms Depends: libgtk2-perl, libwww-perl, libarchive-tar-perl, libxml-simple-perl, libxml-parser-perl, libarchive-zip-perl, libmp3-tag-perl, libogg-vorbis-header-pureperl-perl, ttf-liberation, perl Recommends: libgtk2-spell-perl, libnet-freedb-perl, libdatetime-format-strptime-perl, libmp3-info-perl Conflicts: gcfilms (<= 6.4) Size: 4349516 SHA256: 740bf38db433f50319f4681b60d7092028742e51e3554f3e3e02accb7414f576 SHA1: f0823bf09cfe0802a4be2b8eadea9666dace3efc MD5sum: f7982f9e3a1847d39ab2773cd650626d Description: Manage your collections of movies, games, books, music and more GCstar is an application for managing your collections. It supports many types of collections, including movies, books, games, comics, stamps, coins, and many more. You can even create your own collection type for whatever unique thing it is that you collect. . Detailed information on each item can be automatically retrieved from the internet and you can store additional data, such as the location or who you've lent it to. You may also search and filter your collection by many criteria. . GCstar is the successor GCfilms and is compatible to its databases. As GCfilms isn't developed any more GCstars replaces GCfilms. Homepage: http://www.gcstar.org/ Tag: role::program, uitoolkit::gtk Section: x11 Priority: optional Filename: pool/main/g/gcstar/gcstar_1.6.2-1_all.deb Package: gcu-bin Source: gnome-chemistry-utils Version: 0.12.12-1 Architecture: armhf Maintainer: Debichem Team Installed-Size: 1687 Depends: chemical-mime-data, dconf-gsettings-backend | gsettings-backend, libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libgcc1 (>= 1:4.4.0), libgcu0 (>= 0.12.8), libglib2.0-0 (>= 2.24.0), libgoffice-0.8-8 (>= 0.8.8), libgsf-1-114 (>= 1.14.9), libgtk2.0-0 (>= 2.18.0), libgtkglext1, libstdc++6 (>= 4.6), libxml2 (>= 2.7.4) Suggests: gchempaint, gcrystal Homepage: http://www.nongnu.org/gchemutils/ Priority: optional Section: science Filename: pool/main/g/gnome-chemistry-utils/gcu-bin_0.12.12-1_armhf.deb Size: 1185448 SHA256: 1c3ce274d3425678375db307a7b749b0a10cc049e7ee210298b2141363c273e2 SHA1: b002e4739c752545a9ac4846dc833057a98cb8b5 MD5sum: e78b3e2e5c6e3a5156c6f7d7812a66a0 Description: GNOME chemistry utils (helper applications) The GNOME Chemistry Utils provide C++ classes and Gtk+-2 widgets related to chemistry. They will be used in future versions of both gcrystal and gchempaint. . This package provides 4 applications: . * a molecular structures viewer (GChem3D) * a molar mass calculator (GChemCalc) * a periodic table of the elements (GChemTable) * a spectra viewer (GSpectrum) Package: gcu-plugin Source: gnome-chemistry-utils Version: 0.12.12-1 Architecture: armhf Maintainer: Debichem Team Installed-Size: 97 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcu0 (>= 0.12.8), libglib2.0-0 (>= 2.16.1), libgoffice-0.8-8 (>= 0.8.8), libgtk2.0-0 (>= 2.24.0), libstdc++6 (>= 4.4.0), libx11-6, libxml2 (>= 2.7.4), iceweasel | iceape Homepage: http://www.nongnu.org/gchemutils/ Priority: optional Section: science Filename: pool/main/g/gnome-chemistry-utils/gcu-plugin_0.12.12-1_armhf.deb Size: 33416 SHA256: e7ea76ec96749389d5422187a93145b165d2573cb1a688cb28252fe6da1209fb SHA1: 8010381f9d3f0a26b398ce03118f8afe650cbf19 MD5sum: 2f4c4fe68c051acd102a12c5b89805a5 Description: GNOME chemistry utils (browser plugin) The GNOME Chemistry Utils provide C++ classes and Gtk+-2 widgets related to chemistry. They will be used in future versions of both gcrystal and gchempaint. . This package provides a browser plugin for Gecko-based browsers. It does not (yet) work with WebKit-based browsers. Package: gcx Version: 1.3-1.1 Architecture: armhf Maintainer: Riccardo Stagni Installed-Size: 640 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4) Recommends: gnuplot Homepage: http://gcx.sourceforge.net/ Priority: extra Section: science Filename: pool/main/g/gcx/gcx_1.3-1.1_armhf.deb Size: 309314 SHA256: c3bc4375635767328fd14d7b292051bf551712f882e5b0814395ebad35a51d55 SHA1: 32293168c9f633648ac20081f945eaa5d9b171d5 MD5sum: de9e3f83e51d8c37cc4c22da8bd87809 Description: astronomical image processing and photometry gtk+ application Gcx is an astronomical image processing and data reduction tool, with an easy to use graphical user interface. It provides a complete set of data reduction functions for CCD photometry, with frame WCS fitting, automatic star identification, aperture photometry of target and standard stars, single-frame ensemble photometry solution finding, multi-frame color coefficient fitting, extinction coefficient fitting, and all-sky photometry; as well as general-purpose astronomical image processing functions (bias, dark, flat, frame alignment and stacking); It can function as a FITS viewer. . The program can control CCD cameras and telescopes, and implement automatic observation scripting. Cameras are controlled through a hardware-specific server, to which gcx connects through a TCP socket. It generates FITS files with comprehensive header information. Package: gdal-bin Source: gdal Version: 1.9.0-3.1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 586 Depends: libarmadillo3, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libdap11, libdapclient3, libdapserver7, libepsilon0, libexpat1 (>= 2.0.1), libfreexl1 (>= 0.0.2~beta20110817), libgcc1 (>= 1:4.4.0), libgdal1 (>= 1.9.0), libgeos-c1 (>= 3.3.3), libgif4 (>= 4.1.4), libhdf4-0-alt, libhdf5-7, libjasper1, libjpeg8 (>= 8c), libkml0, liblzma5 (>= 5.1.1alpha+20110809), libmysqlclient18 (>= 5.5.24+dfsg-1), libnetcdfc7, libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libogdi3.2, libpng12-0 (>= 1.2.13-4), libpoppler19 (>= 0.18.4), libpq5, libproj0, libspatialite3 (>= 2.4.0~rc2), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.3.0), liburiparser1 (>= 0.6.0), libxerces-c28, odbcinst1debian2 (>= 2.2.11-3), zlib1g (>= 1:1.1.4) Suggests: python-gdal Homepage: http://www.gdal.org/gdal_utilities.html Priority: extra Section: science Filename: pool/main/g/gdal/gdal-bin_1.9.0-3.1_armhf.deb Size: 321918 SHA256: 3d879bed097ad4440f0317dd32f04a7d55581fbe31d4841037d3d111c32e33d3 SHA1: d1049932b58d66319626479c984dc0f12eb020bc MD5sum: c07ea1b3097f4f5f555b114e0c67c0ba Description: Geospatial Data Abstraction Library - Utility programs GDAL is a translator library for raster geospatial data formats. As a library, it presents a single abstract data model to the calling application for all supported formats. The related OGR library (which lives within the GDAL source tree) provides a similar capability for simple features vector data. . GDAL supports 40+ popular data formats, including commonly used ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in GIS and remote sensing software packages (ERDAS Imagine, ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote sensing and scientific data distribution formats such as HDF, EOS FAST, NOAA L1B, NetCDF, FITS. . OGR library supports popular vector formats like ESRI Shapefile, TIGER data, S57, MapInfo File, DGN, GML and more. . This package contains utility programs, based on GDAL/OGR library, namely gdal_translate, gdalinfo, gdaladdo, gdalwarp, ogr2ogr, ogrinfo, ogrtindex. Package: gdb Version: 7.4.1+dfsg-0.1 Architecture: armhf Maintainer: Hector Oron Installed-Size: 6527 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libpython2.7 (>= 2.7), libreadline6 (>= 6.0), libtinfo5, zlib1g (>= 1:1.2.0), gdbserver Suggests: gdb-doc Homepage: http://www.gnu.org/s/gdb/ Priority: optional Section: devel Filename: pool/main/g/gdb/gdb_7.4.1+dfsg-0.1_armhf.deb Size: 2478430 SHA256: a110541aba49d65764352ba8634e2d64ca9a277edbdffac492be0fc491a58c86 SHA1: eb34b67526395e6c179e7496201c7c2c26288d21 MD5sum: 85d3c968befa8de3e5eb45e5aa8d453c Description: The GNU Debugger GDB is a source-level debugger, capable of breaking programs at any specific line, displaying variable values, and determining where errors occurred. Currently, gdb supports C, C++, D, Objective-C, Fortran, Java, OpenCL C, Pascal, assembly, Modula-2, and Ada. A must-have for any serious programmer. Package: gdb-avr Version: 7.4-1 Architecture: armhf Maintainer: Hakan Ardo Installed-Size: 8853 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5 Suggests: gdb-doc Built-Using: gdb (= 7.4.1-3) Priority: extra Section: devel Filename: pool/main/g/gdb-avr/gdb-avr_7.4-1_armhf.deb Size: 3022392 SHA256: 7c361fadff2d16c4d828f9db636870054e6911da0f8e366aa149e6edeef37dfc SHA1: 46d331d9ea706298b3c1bcadb81ab6fa51c28cac MD5sum: 51abf53c5a3f5673e1aa4d37fd9236c9 Description: The GNU Debugger for avr This package has been compiled to target the avr architecture. GDB is a source-level debugger, capable of breaking programs at any specific line, displaying variable values, and determining where errors occurred. Currently, it works for C, C++, Fortran Modula 2 and Java programs. A must-have for any serious programmer. This package is primarily for avr developers and cross-compilers and is not needed by normal users or developers. Package: gdb-mingw-w64 Source: gdb-mingw-w64 (5) Version: 7.4.1-1.1+5 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 14704 Depends: gdb (>= 7.4.1), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libpython2.7 (>= 2.7), libreadline6 (>= 6.0), libtinfo5, zlib1g (>= 1:1.2.0) Recommends: gdb-mingw-w64-target Built-Using: gdb (= 7.4.1-1.1) Homepage: http://www.gnu.org/software/gdb/ Priority: extra Section: devel Filename: pool/main/g/gdb-mingw-w64/gdb-mingw-w64_7.4.1-1.1+5_armhf.deb Size: 6849540 SHA256: 79c6f80e1eb3997e9d4e00ddbcae1131c08de9cde9b1a32be64d5dc4c0099dfc SHA1: 855e4fb6c2f0682f9f41ccf0f7a52c3168b2b6e8 MD5sum: 8eab2250ae7ddbd362378619ee45fcbb Description: Cross-debugger for Win32 and Win64 using MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit Windows applications using the GNU Compiler Collection (gcc). . This package contains the gdb debugger which can be used with a Windows-hosted gdbserver to debug programs running on Windows hosts. . The gdb-mingw-w64-target package contains gdbserver and gdbreplay for 32- and 64-bit Windows. Package: gdb-mingw-w64-target Source: gdb-mingw-w64 (5) Version: 7.4.1-1.1+5 Installed-Size: 1898 Maintainer: Stephen Kitt Architecture: all Replaces: gdb-mingw-w64 (<< 7.3) Breaks: gdb-mingw-w64 (<< 7.3) Size: 744916 SHA256: b4233c264a9cee55db4407db8458ec58f61a883c29587449e117cb62cf710000 SHA1: 0248ad21656830ee40a32e54f3601a97af8ab0b8 MD5sum: e3754e7808ff13ba61524dd818557826 Description: Cross-debugger server for Win32 and Win64 using MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit Windows applications using the GNU Compiler Collection (gcc). . This package contains gdbserver and gdbreplay for 32- and 64-bit Windows. Homepage: http://www.gnu.org/software/gdb/ Built-Using: gdb (= 7.4.1-1.1) Tag: devel::debugger, role::program Section: devel Priority: extra Filename: pool/main/g/gdb-mingw-w64/gdb-mingw-w64-target_7.4.1-1.1+5_all.deb Package: gdb-minimal Source: gdb Version: 7.4.1+dfsg-0.1 Architecture: armhf Maintainer: Hector Oron Installed-Size: 3155 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0), libtinfo5, zlib1g (>= 1:1.2.0) Conflicts: gdb Homepage: http://www.gnu.org/s/gdb/ Priority: optional Section: devel Filename: pool/main/g/gdb/gdb-minimal_7.4.1+dfsg-0.1_armhf.deb Size: 1657122 SHA256: 5806b6b0a5c7f6bae5fba19b649efd3fd402793b6d81da25f1e5c807b0aba3bb SHA1: 81a68e0589953ec2eb9cb5af4895399987121401 MD5sum: d5c557ff93c10ef7e9234a741f609b70 Description: The GNU Debugger GDB is a source-level debugger, capable of breaking programs at any specific line, displaying variable values, and determining where errors occurred. Currently, gdb supports C, C++, D, Objective-C, Fortran, Java, OpenCL C, Pascal, assembly, Modula-2, and Ada. A must-have for any serious programmer. . This package contains a minimal version of GDB with optional features disabled. Package: gdb-multiarch Source: gdb Version: 7.4.1+dfsg-0.1 Architecture: armhf Maintainer: Hector Oron Installed-Size: 14515 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libpython2.7 (>= 2.7), libreadline6 (>= 6.0), libtinfo5, zlib1g (>= 1:1.2.0), gdb Homepage: http://www.gnu.org/s/gdb/ Priority: optional Section: devel Filename: pool/main/g/gdb/gdb-multiarch_7.4.1+dfsg-0.1_armhf.deb Size: 4173076 SHA256: bb3a6942f77fe31de06a3411ff8aec82505ec81f051a2a6e9bb0adc7f65aa346 SHA1: 7a9aed6e1fca24e7887aee41ab29a25e3452cb19 MD5sum: aaacde4e2ddcb872ac8a786d42169842 Description: The GNU Debugger (with support for multiple architectures) GDB is a source-level debugger, capable of breaking programs at any specific line, displaying variable values, and determining where errors occurred. Currently, it works for C, C++, Fortran Modula 2 and Java programs. A must-have for any serious programmer. . This package contains a version of GDB which supports multiple target architectures. Package: gdb-source Source: gdb Version: 7.4.1+dfsg-0.1 Installed-Size: 19994 Maintainer: Hector Oron Architecture: all Depends: gdb Size: 20289482 SHA256: 7818595875a45a171235296907fe1ca548417033f095ad2f0986769b2b161e0e SHA1: 8f41a78037e2162dbbba4cee039ca1d3aa9f7319 MD5sum: 275d1251d0d6c774b0218c01ca6367de Description: The GNU Debugger (source) GDB is a source-level debugger, capable of breaking programs at any specific line, displaying variable values, and determining where errors occurred. Currently, gdb supports C, C++, D, Objective-C, Fortran, Java, OpenCL C, Pascal, assembly, Modula-2, and Ada. A must-have for any serious programmer. . This package contains the sources and patches which are needed to build GDB. Homepage: http://www.gnu.org/s/gdb/ Tag: devel::debugger, role::source, suite::gnu Section: devel Priority: optional Filename: pool/main/g/gdb/gdb-source_7.4.1+dfsg-0.1_all.deb Package: gdbserver Source: gdb Version: 7.4.1+dfsg-0.1 Architecture: armhf Maintainer: Hector Oron Installed-Size: 321 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Replaces: gdb (<< 7.0.1-1) Homepage: http://www.gnu.org/s/gdb/ Priority: optional Section: devel Filename: pool/main/g/gdb/gdbserver_7.4.1+dfsg-0.1_armhf.deb Size: 116836 SHA256: 7bb499b1a02d8e330c34e3bc9e8906288930cb67890dbec8dddee8ab10273e76 SHA1: 014c27561bcd7a497f4c5d13a283dee13eaaf235 MD5sum: 36824f3bf4fda784bda0257d68ff890c Description: The GNU Debugger (remote server) GDB is a source-level debugger, capable of breaking programs at any specific line, displaying variable values, and determining where errors occurred. Currently, gdb supports C, C++, D, Objective-C, Fortran, Java, OpenCL C, Pascal, assembly, Modula-2, and Ada. A must-have for any serious programmer. . This package contains gdbserver. Install this to debug remotely from another system where GDB is installed. Package: gdc-4.4 Version: 1.063-4.4.7-1+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 9426 Depends: gcc-4.4-base (>= 4.4.6-15), g++-4.4 (>= 4.4.6-15), libphobos-4.4-dev (= 1.063-4.4.7-1+rpi1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libmpfr4 (>= 3.1.0), libstdc++6 (>= 4.4.0) Replaces: gdc (<< 4.4.6-5) Provides: d-compiler, d-v1-compiler Homepage: https://bitbucket.org/goshawk/gdc Priority: optional Section: devel Filename: pool/main/g/gdc-4.4/gdc-4.4_1.063-4.4.7-1+rpi1_armhf.deb Size: 4298316 SHA256: da31d8c5e4061c9c227e7af570ac2d3227121d9315c36d269a171ef63e1b2a4a SHA1: cb32ed16d74098e4c356eb24c8f5db8b1f7d9753 MD5sum: e5daa3e6db9f83b5cf565a5a3700b6dd Description: D compiler (version 1), based on the GCC backend This is the D compiler, which compiles D on platforms supported by the gcc compiler. It uses the GCC backend to generate optimised code. . This compiler supports D language version 1. Package: gdc-v1 Source: gcc-defaults (1.120) Version: 4.6.3-8 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 66 Depends: gdc-4.4 (>= 1.063-4.4.7-1~) Replaces: gdc-4.1 (<< 0.25-4.1.2-18), gdc-4.2 (<< 0.25-4.2.2-7), gdc-4.3 (<< 1:1.043-4.3.4-1) Priority: optional Section: devel Filename: pool/main/g/gcc-defaults/gdc-v1_4.6.3-8_armhf.deb Size: 16790 SHA256: 85d1df9a0097e27d2178f49afcc2812c6e94dce3257921bc4b65597c7924cd8e SHA1: 57a19cf17a592a09cae123d90f0a6679ce962f1d MD5sum: 0f18589f9aa6a97fa0a804c8a537d137 Description: D compiler (language version 1), based on the GCC backend This is a dependency package providing the default D compiler. Per policy, all packages that contain D sources must use this package in their Build-Depends line. . This compiler supports D language version 1. Package: gdcm-doc Source: gdcm Version: 2.2.0-14.1+rpi1 Architecture: all Maintainer: Debian Med Packaging Team Installed-Size: 42261 Depends: vtk-doc, doc-base Homepage: http://gdcm.sourceforge.net/ Priority: optional Section: doc Filename: pool/main/g/gdcm/gdcm-doc_2.2.0-14.1+rpi1_all.deb Size: 10666128 SHA256: ac5426674f7be3271cebb72089bfe73097f6d1ec1b2ebd9c5b3e13785095e60a SHA1: 42c5cd53043fdf7c75c84c6bb8ab89c915ce884c MD5sum: be38649f53d1e7af61e2bf983173b8a3 Description: Grassroots DICOM documentation Grassroots DiCoM is a C++ library for DICOM medical files. It is automatically wrapped to python/C#/Java (using swig). It supports RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated. . This is the documentation for gdcm and vtkgdcm Package: gddccontrol Source: ddccontrol Version: 0.4.2-10 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 112 Depends: ddccontrol (= 0.4.2-10), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libddccontrol0, libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpci3 (>= 1:3.1.9-2), libxml2 (>= 2.6.27) Homepage: http://ddccontrol.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/d/ddccontrol/gddccontrol_0.4.2-10_armhf.deb Size: 40204 SHA256: 5b1d3f0a18de93f25a7a36b512c7135997674979c5f42f9b0f4457436b95c263 SHA1: f3d4bd2d3e835419ccfaf3f888fbff9e6b8433c8 MD5sum: faa4180a13de4ae9cfcfc71cf203e070 Description: program to control monitor parameters (graphical interface) DDCcontrol is a tool used to control monitor parameters, like brightness and contrast, without using the OSD (On Screen Display) and the buttons in front of the monitor. . This package provides a graphical user interface. Package: gddrescue Version: 1.16-1 Architecture: armhf Maintainer: Michael Prokop Installed-Size: 183 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.gnu.org/software/ddrescue/ddrescue.html Priority: optional Section: utils Filename: pool/main/g/gddrescue/gddrescue_1.16-1_armhf.deb Size: 88348 SHA256: dda1b967dfb3004601ff75259a929a91a14ace46a2ff9e53641b649d2b1e4e0f SHA1: 8f220c7fc8c5fc3c02b540ac02aa767208594d7d MD5sum: 78b31147f5e9f4a69b97fefb04248161 Description: GNU data recovery tool The gddrescue tool copies data from one file or block device (hard disc, cdrom, etc) to another, trying hard to rescue data in case of read errors. . gddrescue does not truncate the output file if not asked to. So, every time you run it on the same output file, it tries to fill in the gaps. . The basic operation of gddrescue is fully automatic. That is, you don't have to wait for an error, stop the program, read the log, run it in reverse mode, etc. If you use the logfile feature of gddrescue, the data is rescued very efficiently (only the needed blocks are read). Also you can interrupt the rescue at any time and resume it later at the same point. . Automatic merging of backups: If you have two or more damaged copies of a file, cdrom, etc, and run gddrescue on all of them, one at a time, with the same output file, you will probably obtain a complete and error-free file. This is so because the probability of having damaged areas at the same places on different input files is very low. Using the logfile, only the needed blocks are read from the second and successive copies. . The logfile is periodically saved to disc. So in case of a crash you can resume the rescue with little recopying. Also, the same logfile can be used for multiple commands that copy different areas of the file, and for multiple recovery attempts over different subsets. . gddrescue aligns its I/O buffer to the sector size so that it can be used to read from raw devices. For efficiency reasons, also aligns it to the memory page size if page size is a multiple of sector size. . Please note that this is the GNU ddrescue version providing the ddrescue executable. The package is named gddrescue because the ddrescue version of Kurt Garloff used to have the ddrescue package name already. Package: gdebi Version: 0.8.7 Installed-Size: 196 Maintainer: Ubuntu Developers Architecture: all Depends: python (>= 2.6.6-7~), gdebi-core (= 0.8.7), gir1.2-gtk-3.0, gir1.2-vte-2.90, python-gi, gksu, gnome-icon-theme Recommends: libgtk2-perl, shared-mime-info Size: 40928 SHA256: f36d71f273b68aecc01f1e72aba01f366c0fcdaac051c63476079af43d27604d SHA1: 0dbe6a0eaf9b0db2a693fcd6f9f723d38a042158 MD5sum: 3f6b626e43940ecee149ba362cda135d Description: simple tool to install deb files - GNOME GUI gdebi lets you install local deb packages resolving and installing its dependencies. apt does the same, but only for remote (http, ftp) located packages. . This package contains the graphical user interface. Tag: admin::package-management, implemented-in::python, interface::x11, protocol::ftp, protocol::http, role::program, scope::utility, suite::debian, uitoolkit::gtk, use::downloading, works-with::software:package, x11::application Section: admin Priority: optional Filename: pool/main/g/gdebi/gdebi_0.8.7_all.deb Package: gdebi-core Source: gdebi Version: 0.8.7 Installed-Size: 1197 Maintainer: Ubuntu Developers Architecture: all Depends: python (>= 2.6.6-7~), python-apt (>= 0.7.97), python-debian (>= 0.1.15), file Suggests: xz-utils | xz-lzma Size: 177188 SHA256: 252afd3d665fb78663743c98e6c5877b62227501ea8d1ff8fad5b2e0dedbd98b SHA1: a5ed8586ed7442056108e120dfe5c36c9027ff22 MD5sum: a7b28adec58dc56acd6a9e74ec108416 Description: simple tool to install deb files gdebi lets you install local deb packages resolving and installing its dependencies. apt does the same, but only for remote (http, ftp) located packages. . This package contains the libraries and command-line utility. Tag: admin::package-management, implemented-in::python, interface::commandline, role::program, works-with::software:package Section: admin Priority: optional Filename: pool/main/g/gdebi/gdebi-core_0.8.7_all.deb Package: gdebi-kde Source: gdebi Version: 0.8.7 Installed-Size: 131 Maintainer: Ubuntu Developers Architecture: all Depends: python (>= 2.6.6-7~), gdebi-core (= 0.8.7), python-kde4, kdebase-runtime | kde-runtime | kdesudo Recommends: shared-mime-info Size: 29440 SHA256: f12d6cec5a3efdbcf802e4d912806fdb09d9ce2d1b75c116ec7ecb2f1851f734 SHA1: 58622df50d890c1e6a05e773902759691cfe573e MD5sum: 1cb1c228cf575a0e611ff0af37f10ce0 Description: simple tool to install deb files - KDE GUI gdebi lets you install local deb packages resolving and installing its dependencies. apt does the same, but only for remote (http, ftp) located packages. . This package contains the KDE user interface. Tag: admin::package-management, implemented-in::python, interface::x11, role::program, uitoolkit::qt, works-with::software:package, x11::application Section: admin Priority: optional Filename: pool/main/g/gdebi/gdebi-kde_0.8.7_all.deb Package: gdeskcal Version: 0.57.1-2.1 Installed-Size: 1064 Maintainer: Sebastien Bacher Architecture: all Depends: python, python-central (>= 0.6.11), python-gtk2 (>= 1.99.17-2) Recommends: gdesklets Size: 181922 SHA256: 0455a13fec2393fc9edd6578ca5c69866bc5b165d3abbc0accc4e1b713ff96a7 SHA1: 4b755993577070110d115fe4ebbbf0c4d223f5b5 MD5sum: 51b7038e1c1faf00c182dd044dfed3ed Description: A desktop calendar featuring transparency with smooth alpha-blending gDeskCal is a cute little eye-candy calendar for your desktop. It features transparency with smooth alpha-blending and its appearance can be changed completely by using skins. . Author: Martin Grimme Homepage: http://www.pycage.de/software_gdeskcal.html Python-Version: all Tag: implemented-in::python, interface::x11, role::program, scope::application, uitoolkit::gtk, use::timekeeping, works-with::pim, x11::application Section: x11 Priority: optional Filename: pool/main/g/gdeskcal/gdeskcal_0.57.1-2.1_all.deb Package: gdesklets Version: 0.36.1-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 4777 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libgtop2-7 (>= 2.22.3), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.14.4), python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), python-gnome2 (>= 2.10.0), python-gtk2 (>= 2.10.0), python-pyorbit (>= 2.0.1) Conflicts: gdesklets-data (<< 0.21) Replaces: gdesklets-data (<< 0.21) Priority: optional Section: gnome Filename: pool/main/g/gdesklets/gdesklets_0.36.1-5_armhf.deb Size: 2904642 SHA256: 74dda15f360b735153ab8dc8709055279555b97a482a28921f784d7c7e593510 SHA1: 6dcc57ec46467b2508457a7ac0282644c6e52cfa MD5sum: 6aae49e29e21ed88cefcbd5d11529785 Description: Architecture for desktop applets gDesklets is an architecture for "desklets", which are tiny applets sitting on your desktop in a symbiotic relationship of eye candy and usefulness. . You can populate your desktop with status meters, icon bars, weather sensors, news tickers... whatever you can imagine... Virtually anything is possible and may even be available some day. . This package includes a small number of applets. Package: gdevilspie Version: 1:0.5-2 Installed-Size: 204 Maintainer: Debian QA Group Architecture: all Depends: python, python-support (>= 0.90.0), python-wnck, python-glade2 Recommends: python-xdg, devilspie Size: 23374 SHA256: eb40bb8d79ec8cbcec4ac5562f7aa490af577faf531f23d03c83054d58713cbd SHA1: e938f0f4174524a615aaf37ae04a5df4f6662a53 MD5sum: d87b78ff41f6324ee367183f395ffaf7 Description: User friendly interface for devilspie gDevilspie is a graphical front-end for editing the Devilspie configuration file which allows you to run a particular application within a particular workspace in the GNOME panner in a particular manner. . gDevilspie also allows you to start and stop the Devilspie daemon. Homepage: http://code.google.com/p/gdevilspie/ Tag: interface::x11, role::program, uitoolkit::gtk, x11::application Section: gnome Priority: extra Filename: pool/main/g/gdevilspie/gdevilspie_0.5-2_all.deb Package: gdf-tools Source: libgdf Version: 0.1.2-2 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 195 Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdf0, libstdc++6 (>= 4.4.0) Homepage: http://sourceforge.net/projects/libgdf Priority: extra Section: utils Filename: pool/main/libg/libgdf/gdf-tools_0.1.2-2_armhf.deb Size: 47718 SHA256: 1f372908d1581b9ce911e07121c916a68f77e27cf5f5af520d2d805c9c69fe51 SHA1: 6e1055d48c95635c8e3ea1cb65d2cf855efeebd0 MD5sum: d59aa86d0fa1c0a16e6fef6a7d8e188f Description: IO library for the GDF -- helper tools GDF (General Dataformat for Biosignals) is intended to provide a generic storage for biosignals, such as EEG, ECG, MEG etc. . This package provides the tool shipped with the library (gdf_merger). Package: gdigi Version: 0.2.0+hg20110905r195-1 Architecture: armhf Maintainer: Ahmed Toulan Installed-Size: 308 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.14.0) Homepage: http://desowin.org/gdigi/ Priority: extra Section: sound Filename: pool/main/g/gdigi/gdigi_0.2.0+hg20110905r195-1_armhf.deb Size: 105358 SHA256: 444e487c99b1703c6b5565a988aa39b0065ec06418cf704a4787645e603bea88 SHA1: 4563a5086d44c851d9187ac3711d6fef7d3f3305 MD5sum: cbe74701cbf1bdb042c45337de6233e4 Description: utility to control DigiTech effect pedals gdigi is a tool aimed to provide X-Edit functionality to Linux users . Supported devices: * RP250 * RP255 * RP355 * RP500 * RP1000 * GNX3000 * GNX4K Package: gdis Version: 0.90-4 Architecture: armhf Maintainer: Debichem Team Installed-Size: 1304 Depends: gdis-data (= 0.90-4), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.31.8), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.8.0), libgtkglext1, libpango1.0-0 (>= 1.14.0) Suggests: openbabel Homepage: http://gdis.sourceforge.net Priority: optional Section: science Filename: pool/main/g/gdis/gdis_0.90-4_armhf.deb Size: 786336 SHA256: 3b89bee2f406dc546c8b1ef0e2c8f120d8f0998a2f8d3289ffe703c9b0981892 SHA1: 55d645b5e49af1bd1514a2bf33e2d9ed02397393 MD5sum: aee46c74dfa5b1c030fbe23e4eb102e7 Description: molecular and crystal model viewer A GTK+ based program for the display and manipulation of isolated molecules, periodic systems and crystalline habits. It is in development, but is nonetheless fairly functional. It has the following features: . * Support for several file types (CIF, BIOSYM, XYZ, XTL, MARVIN, and GULP) * A simple molecular creation and manipulation tool * A dialogue for creating starting configurations for molecular dynamics simulations * Assorted tools for visualization (geometry information, region highlighting, etc.) * Animation of BIOSYM files (also rendered animations, see below) . GDIS also allows you to perform the following functions through other packages: . * Model rendering (courtesy of POVRay) * Energy minimization (courtesy of GULP) * Morphology calculation (courtesy of cdd) * Space group processing (courtesy of SgInfo) * View the Periodic Table (courtesy of GPeriodic) * Load additional filetypes, such as PDB (courtesy of Babel) Package: gdis-data Source: gdis Version: 0.90-4 Installed-Size: 3819 Maintainer: Debichem Team Architecture: all Replaces: gdis (<< 0.90-2) Breaks: gdis (<< 0.90-2) Size: 818076 SHA256: 06ffbb806295b9f9609d7c13d09fb8b2d61f9292132e614e2e56bc1c22cb820a SHA1: 72105af39092d9e288ed30f6914e0660408616e5 MD5sum: 5d4f4274d75abf4160800ec9d5f4bf61 Description: molecular and crystal model viewer (data files) A GTK+ based program for the display and manipulation of isolated molecules, periodic systems and crystalline habits. It is in development, but is nonetheless fairly functional. . This package contains the GDIS data files. Homepage: http://gdis.sourceforge.net Tag: role::app-data Section: science Priority: optional Filename: pool/main/g/gdis/gdis-data_0.90-4_all.deb Package: gdisk Version: 0.8.5-1 Architecture: armhf Maintainer: Guillaume Delacour Installed-Size: 776 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libncurses5 (>= 5.5-5~), libpopt0 (>= 1.14), libstdc++6 (>= 4.4.0), libtinfo5, libuuid1 (>= 2.16), groff-base Homepage: http://sourceforge.net/projects/gptfdisk/ Priority: extra Section: admin Filename: pool/main/g/gdisk/gdisk_0.8.5-1_armhf.deb Size: 375796 SHA256: 122c89beeeb14fc8c4e7f68b35ef019602a1f260611e63374fb81bf2713e10bf SHA1: 8a3508820f6eca1144ed23c7b020391dc7571012 MD5sum: 7c8158d02585f798e1d59601acadbbc6 Description: GPT fdisk text-mode partitioning tool GPT fdisk (aka gdisk) is a text-mode partitioning tool that works on Globally Unique Identifier (GUID) Partition Table (GPT) disks, rather than on the more common (through 2009) Master Boot Record (MBR) partition tables. . Please note that gdisk is still in beta version. Package: gdm3 Version: 3.4.1-8 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 5617 Pre-Depends: dpkg (>= 1.15.7.2) Depends: libaccountsservice0 (>= 0.6.8), libatk1.0-0 (>= 1.12.4), libattr1 (>= 1:2.4.46-8), libaudit0 (>= 1.7.13), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libfontconfig1 (>= 2.9.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.0.0), libpam0g (>= 0.99.7.1), libpango1.0-0 (>= 1.14.0), libselinux1 (>= 1.32), libupower-glib1 (>= 0.9.0), libwrap0 (>= 7.6-4~), libx11-6, libxau6, libxdmcp6, libxklavier16 (>= 5.0), libxrandr2 (>= 2:1.2.99.3), dconf-gsettings-backend (>= 0.12.1-2), debconf (>= 0.5) | debconf-2.0, gir1.2-freedesktop, gir1.2-glib-2.0, adduser, libpam-modules (>= 0.72-1), libpam-runtime (>= 0.76-13.1), gnome-session-bin (>= 3.4.2.1-4~), gnome-settings-daemon (>= 3.2), metacity (>= 1:2.34.2), policykit-1-gnome, upower, gnome-session | x-session-manager | x-window-manager | x-terminal-emulator, lsb-base (>= 3.2-14), librsvg2-common, accountsservice (>= 0.6.12), gsettings-desktop-schemas, libglib2.0-bin (>= 2.26), dconf-tools (>= 0.12.1-2), x11-common (>= 1:7.6+11), x11-xserver-utils Recommends: zenity, xserver-xephyr, x11-xkb-utils, xserver-xorg, at-spi2-core, gnome-icon-theme, gnome-icon-theme-symbolic, desktop-base (>= 6) Suggests: libpam-gnome-keyring, gnome-orca, gok, gnome-shell Conflicts: gdm Breaks: gnome-control-center (<< 3.0), gnome-orca (<< 2.30.0-2), gnome-panel (<< 3.0), gnome-screensaver (<< 2.17.7), gnome-shell (<< 3.2) Provides: x-display-manager Priority: optional Section: gnome Filename: pool/main/g/gdm3/gdm3_3.4.1-8_armhf.deb Size: 719352 SHA256: 78b0add70ea2f8f5d83cfce46fe6d1fd47a93239f3fd2fdf3aab5dd55e29ffe8 SHA1: 7487802c9f59a9323ca3cf0233f0098ab588f9f9 MD5sum: 7f6345ba45324ed6b3bd79b2f861c683 Description: Next generation GNOME Display Manager GDM provides the equivalent of a "login:" prompt for X displays: it asks for a login and starts X sessions. . It provides all the functionality of XDM, including XDMCP support for managing remote displays, and extends it with the ability to start X servers on demand. . The greeter is written using the GNOME libraries and hence looks like a GNOME application - even to the extent of supporting themes! . This package contains the next generation GDM, which was developed using the technologies on which GNOME 3 is based. Package: gdmap Version: 0.8.1-2 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 274 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.18.0), libxml2 (>= 2.7.4) Homepage: http://gdmap.sourceforge.net Priority: optional Section: graphics Filename: pool/main/g/gdmap/gdmap_0.8.1-2_armhf.deb Size: 55968 SHA256: 2617add3357e0e0d109c6c0bdccb714361b93fde11a12a1a50a70a096b71784a SHA1: 923be8dba454d9a9b9cd967a4ab6b40a53cf9ff3 MD5sum: e4b5ebf5c3280d5db033af67050dea79 Description: Tool to visualize diskspace GdMap is a tool which allows you to visualize disk space. Ever wondered why your hard disk is full or what directory and files take up most of the space? With GdMap these questions can be answered quickly. To display directory structures cushion treemaps are used which visualize a complete folder or even the whole hard drive with one picture. Package: gdpc Version: 2.2.5-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 143 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0) Suggests: gdpc-examples (>= 2.2.5-2), gqview Homepage: http://www.frantz.fi/software/gdpc.php Priority: optional Section: science Filename: pool/main/g/gdpc/gdpc_2.2.5-2_armhf.deb Size: 37498 SHA256: 20d4fab4994397b307535b7de29dd8d89842bba604048c01607d30f27ce2bba8 SHA1: 01c391266d5881d747ee7a2a4dcefbb6014ee9e2 MD5sum: a5330988bd645954dc75ede02eca7bf3 Description: visualiser of molecular dynamic simulations gpdc is a graphical program for visualising output data from molecular dynamics simulations. It reads input in the standard xyz format, as well as other custom formats, and can output pictures of each frame in JPG or PNG format. Package: gdpc-examples Source: gdpc Version: 2.2.5-2 Installed-Size: 2385 Maintainer: Debian Med Packaging Team Architecture: all Recommends: gdpc (>= 2.2.5-2) Size: 2330028 SHA256: ba62cdc6d0807b53c4b1ea3f4c3b076227755054e4bacd97c97c9afc9b49207a SHA1: eb1385b9d6696ab95646d710aa4c8f658918838e MD5sum: 2daf33a499bf55814a3bbe2362dbb454 Description: example files for the gdpc program gpdc is a graphical program for visualising output data from molecular dynamics simulations. It reads input in the standard xyz format, as well as other custom formats, and can output pictures of each frame in JPG or PNG format. . This package contains examples to be used by the gdpc program. Homepage: http://www.frantz.fi/software/gdpc.php Tag: field::biology, field::biology:structural, field::chemistry, field::physics, role::documentation Section: science Priority: optional Filename: pool/main/g/gdpc/gdpc-examples_2.2.5-2_all.deb Package: geant321 Version: 1:3.21.14.dfsg-10 Installed-Size: 200 Maintainer: Debian Science Maintainers Architecture: all Depends: libgeant321-2-dev (>= 1:3.21.14.dfsg-7), cernlib-base-dev (>= 2006.dfsg.2-7), gfortran Suggests: geant321-doc Size: 53176 SHA256: 9bd4fe64727c50f6ed85857ddab31d98acec900111997655fca30ed780770a1d SHA1: 6e25ebaf86238200715313dc3ee7350f73b0cc8d MD5sum: 042a1dfb322b8ec720be8a5e12443c0d Description: [Physics] Particle detector description and simulation tool GEANT is a framework for simulating the passage of subatomic particles through matter, for instance, particle detectors. For maximum flexibility, GEANT simulations are performed by linking FORTRAN code supplied by the user with the GEANT library, then running the resulting executable. . This package includes gxint, a script that makes this linking step more convenient. Homepage: http://wwwasd.web.cern.ch/wwwasd/geant/index.html Tag: devel::lang:fortran, field::physics, implemented-in::fortran Section: science Priority: optional Filename: pool/main/g/geant321/geant321_3.21.14.dfsg-10_all.deb Package: geant321-data Source: geant321 Version: 1:3.21.14.dfsg-10 Installed-Size: 32270 Maintainer: Debian Science Maintainers Architecture: all Depends: cernlib-base Size: 9165500 SHA256: 27d7879735bfc1d16f2ba53f9d72b5926d480a77368319b9cd2c33f18894c552 SHA1: 4db40f508b5395d72a7b73668552d8c8737dfe5d MD5sum: 35cddddd76f1a17641878af3263a0065 Description: [Physics] Data for GEANT 3.21 detector simulator GEANT is a framework for simulating the passage of subatomic particles through matter, for instance, particle detectors. For maximum flexibility, GEANT simulations are performed by linking FORTRAN code supplied by the user with the GEANT library, then running the resulting executable. . This package includes neutron cross-section data for use by GEANT. Homepage: http://wwwasd.web.cern.ch/wwwasd/geant/index.html Tag: devel::lang:fortran, field::physics, role::app-data Section: science Priority: optional Filename: pool/main/g/geant321/geant321-data_3.21.14.dfsg-10_all.deb Package: geant321-doc Source: geant321 Version: 1:3.21.14.dfsg-10 Installed-Size: 108 Maintainer: Debian Science Maintainers Architecture: all Depends: cernlib-base Size: 68384 SHA256: 85a8a601b7a4564db1f064b16ed35fa176aa4c3c8afa5448b1ccfca17d413d93 SHA1: 82209d4b8273322a807e471d60e9d6df8d8216c1 MD5sum: 34e8a1524cae8b5cb40ecdc9c6233c25 Description: [Physics] Documentation for GEANT 3.21 GEANT is a framework for simulating the passage of subatomic particles through matter, for instance, particle detectors. For maximum flexibility, GEANT simulations are performed by linking FORTRAN code supplied by the user with the GEANT library, then running the resulting executable. . This package includes some documentation in text format about GEANT 3.21. Homepage: http://wwwasd.web.cern.ch/wwwasd/geant/index.html Tag: devel::lang:fortran, field::physics, role::documentation Section: doc Priority: optional Filename: pool/main/g/geant321/geant321-doc_3.21.14.dfsg-10_all.deb Package: geany Version: 1.22+dfsg-2 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 2292 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.22.0), libpango1.0-0 (>= 1.18.0), libstdc++6 (>= 4.4.0), geany-common (= 1.22+dfsg-2) Suggests: libvte9, doc-base Breaks: geany-plugins-common (<< 0.21) Provides: geany-abi-69, geany-api-215 Homepage: http://www.geany.org Priority: optional Section: devel Filename: pool/main/g/geany/geany_1.22+dfsg-2_armhf.deb Size: 1065356 SHA256: 5ab88a5bdb0c78dcca1be0f23ba011773fd82c6671515f29021156336d724dcb SHA1: 6df64afbe1232f22b0ca2048481958e5c74bc414 MD5sum: ff2b2e1da48dd911c7d2d9e2e6f074bf Description: fast and lightweight IDE Geany is a small and lightweight integrated development environment. It was developed to provide a small and fast IDE, which has only a few dependencies from other packages. It is using only the GTK2 toolkit and therefore you need only the GTK2 runtime libraries to run Geany. . The basic features of Geany are: - syntax highlighting - code completion - auto completion of constructs like if, for and while, XML and HTML - call tips - folding - many supported filetypes like C, Java, PHP, HTML, Python, Perl, Pascal - symbol lists - embedded terminal emulation Package: geany-common Source: geany Version: 1.22+dfsg-2 Installed-Size: 6187 Maintainer: Geany Packaging Team Architecture: all Replaces: geany (<< 0.21-1~) Breaks: geany (<< 0.21-1~) Size: 2335600 SHA256: 5cfc7d8aa660ed1ebadaf2db70c71b5611c6118fcd341f25c397ebd489b5f932 SHA1: 66499ffaa49f806da1409c77d18cd2d26dbfb7df MD5sum: d0514ec52779f586635f50ef3fc5ee23 Description: fast and lightweight IDE -- common files Geany is a small and lightweight integrated development environment. It was developed to provide a small and fast IDE, which has only a few dependencies from other packages. It is using only the GTK2 toolkit and therefore you need only the GTK2 runtime libraries to run Geany. . The basic features of Geany are: - syntax highlighting - code completion - auto completion of constructs like if, for and while, XML and HTML - call tips - folding - many supported filetypes like C, Java, PHP, HTML, Python, Perl, Pascal - symbol lists - embedded terminal emulation . This package contains arch independent files. Homepage: http://www.geany.org Tag: role::app-data Section: devel Priority: optional Filename: pool/main/g/geany/geany-common_1.22+dfsg-2_all.deb Package: geany-plugin-addons Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 97 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16.0) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-addons_0.21.1.dfsg-4_armhf.deb Size: 30578 SHA256: 589f5acd5755b211e0164474575820d61f1ab68c80eda115e85394dca78f4a12 SHA1: 7822d42a3d071fa802ddc24e8d314ceda60e6ff7 MD5sum: 7fb5562e047567b545715fd7954714d8 Description: miscellanous plugins for Geany This plugin adds various small addons to Geany which aren't worth an individual plugin, but might still be useful for people. * DocList: This addon places a new item in the toolbar and when clicked offers a menu listing all open files plus the 'Close All' and 'Close Other Documents' menu items. This can be useful to quickly access open files and switch to them. * OpenURI: Adds 'Open URI' and 'Copy URI' menu items to the editor menu when the word under the cursor looks like a URI. 'Open URI' uses the browser command configured in Geany to open it. * Tasks: The tasks plugin goes through a file being edited and picks out lines with "TODO" or "FIXME" in them. It collects the text after those words and putsthem in a new "Tasks" tab in the message window. Clicking on a task in thattab takes you to the line in the file where the task was defined. * Systray: Adds a status icon to the notification area (systray) and providesa simple popup menu with some basic actions. It can also be used to quickly show and hide the Geany main window. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-codenav Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 60 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-codenav_0.21.1.dfsg-4_armhf.deb Size: 13064 SHA256: b24de3f10753be39a19e6e338b3572fcfce51a9436c4debd6e8a84cc2c2f63db SHA1: c76222cadb8b5d69114180850cb5e11eb4a2062a MD5sum: 5a4cd3604cd5312c08dc3e2faed67f68 Description: code navigation plugin for Geany This plugin adds some facilities for navigating in code using Geany, making it possible to: * Switch between header and implementation * Go to a file by typing its name . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-debugger Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 182 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.16), libvte9 (>= 1:0.24.0) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-debugger_0.21.1.dfsg-4_armhf.deb Size: 63702 SHA256: 2210825ab3f8256ff22bd8e514cc076a3534f70101dcc9359cfc947d03ee8fc5 SHA1: a155e3cd09b79ea899040818c787b711e6504255 MD5sum: 86c3ab6a1eb67a2fb1f8d0707b523b54 Description: debugger plugin for Geany Features: * Debugger panel * Setting target, environment variables and command line arguments * Breakpoints * Watches, autos * Debug terminal * Debugger messages window * Variables calltips while debugging * Saving debug session data in a Geany project (can be switched through settings) * Double or single panel modes * Hotkeys . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-doc Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 73 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-doc_0.21.1.dfsg-4_armhf.deb Size: 14796 SHA256: 46e02cb54e2f8041543ad02a434463bed26d3f04ab5b738ce9641fd1ae0f8ff9 SHA1: de59a55a37352b20e4b54c5aaf98f0706e050a0a MD5sum: 8e083dfe7659d3c24a18f84826cae59b Description: documentation plugin for Geany Geanydoc is a plugin for the Geany IDE which is intended to be used to search for documentation API from different sources. It allows execution of specified commands on the current word at the cursor position or otherwise specified via a dialog to obtain this documentation. This documentation is displayed in the geany buffer as a tab called *DOC*, or may be displayed in an external program. . After installing this package, you'll need to enable the "Doc" plugin and then setup a keyword binding for it in Geany's preferences dialogue. . Geany is a small and lightweight integrated development environment using the GTK+ toolkit. Package: geany-plugin-extrasel Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 61 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-extrasel_0.21.1.dfsg-4_armhf.deb Size: 14550 SHA256: fb2af9e204ba67141445e03864ab1b3b509432e27ab0c97887a119aa843f4c16 SHA1: 28334a9f7302c3398653f57d97a47d22942f5f70 MD5sum: 170a7f18c7ae884ddec9be94c87228a2 Description: extra selection plugin for Geany The Extra Selection plugin adds the following functions to Geany:- * Go to matching brace and select (select to matching brace) * Go to line and select (select to line) * Ctrl+Shift+Alt+Left/Right/Home/End key - same as Ctrl+Shift, but for rectangular selection. * Column mode - while active, all (Ctrl)-Shift-Arrow keys do rectangle selection instead of stream. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-gdb Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 151 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), gdb, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.16) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-gdb_0.21.1.dfsg-4_armhf.deb Size: 53002 SHA256: 9b23af92d8db5fbf7573336d78c82083aa314dcf41f62713d90a5a754ccbe40b SHA1: fb8dd017a9d3a815e50adc5318456257de00e846 MD5sum: 8ed031663805e9251985153312b139a5 Description: GDB plugin for Geany GeanyGDB is a plugin for Geany which provides integrated debugging support within Geany via the GNU Debugger (gdb). . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. . The GNU Debugger is a source-level debugger for C, C++, Fortran, Modula 2 and Java programs. Package: geany-plugin-gendoc Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 168 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libctpl2 (>= 0.3), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.20.0), libpango1.0-0 (>= 1.14.0) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-gendoc_0.21.1.dfsg-4_armhf.deb Size: 54042 SHA256: 44b9845063c276260670679f958c74a9d6d31573df0afbb5048a51b8167754e4 SHA1: 1367a8561b5ffd6f41f42d18a1e25ae35c56c37c MD5sum: 0a29fb1f445ab89fb103b391681ec1aa Description: documentation generation plugin for Geany GeanyGenDoc is a plugin for Geany which provides support for automatically generating documentation based on comments in the source code. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-gproject Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 108 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16), libpango1.0-0 (>= 1.14.0) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-gproject_0.21.1.dfsg-4_armhf.deb Size: 30408 SHA256: ca8bb0805eac7eabecd3127516169d9def9d798ba9cfda6f4e046e90e986217c SHA1: 2977dd1bcf971806164da0e2c10c7292fd88fecc MD5sum: a1f5de2d6e08e1bd0a1de0ff3390ff86 Description: gproject plugin for Geany GProject is an extension of Geany's project management displaying a tree of files belonging to the project in the sidebar. In addition, it enables quick swapping between header and source files, searching project files by name and more. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-insertnum Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 67 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.16) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-insertnum_0.21.1.dfsg-4_armhf.deb Size: 17060 SHA256: dcea1ddb6a0409a734862023c1c8d885945dee5e4440d3f2599f5d2e2aa12bfc SHA1: dde7dbe63d0aef9e363b7105dd870c5702d2fd9b MD5sum: cf011be0d93900ccd49a1cd9236c6dd0 Description: number inserting plugin for Geany This plugin for Geany replaces a (possibly zero-width) rectangular selection with integer numbers, using start/step/base etc. specified by the user. For practical reasons, the number of lines is limited to 500000. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-latex Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 877 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-latex_0.21.1.dfsg-4_armhf.deb Size: 656610 SHA256: 6c3963e0976a9a68d3926f234a4e1410d6bbe0d520b73bdedaf6307007dd6a50 SHA1: 321023a72d9cde920148fca06d728be9d80a59d6 MD5sum: 185e2c074a8ee5429bc0071f2119c0f4 Description: improved LaTeX support plugin for Geany GeanyLaTeX is a plugin for the Geany IDE to improve work with LaTeX. Features include: * Wizard for creating a new LaTeX-document * Frontend for easy input of \ref{} and \label{} * Easy adding of special characters and environments through plugin menu entry * Support for adding new items to BibTeX database * Toolbar with commonly used format options * Bulk replacement and input replacement of special characters . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-lipsum Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 55 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-lipsum_0.21.1.dfsg-4_armhf.deb Size: 10644 SHA256: 3795a6f76bfef246f1527c1bc37110a0edabff70ab458eb3a6e081492a1e300c SHA1: 609f44b58efe721858fe53211de06816a2b74721 MD5sum: 00744803421b0aae552cb40d9e94d477 Description: Lorem Ipsum generator plugin for Geany GeanyLipsum is a plugin for Geany which implements a Lorem Ipsum generator to insert placeholder text into your document. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-lua Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 463 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.16), liblua5.1-0 Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-lua_0.21.1.dfsg-4_armhf.deb Size: 106784 SHA256: cb543438e138a90d6b6a26ec83059fc76fa1db554667f0eb93cb0806870038fd SHA1: a72b78e2289a9cebace3d236b17cf53cc0cd08fa MD5sum: c85b70ad026f0e3cbb8be959c809d50c Description: Lua scripting plugin for Geany GeanyLua is a plugin which provides a Lua scripting interface for the Geany IDE. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-macro Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 70 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-macro_0.21.1.dfsg-4_armhf.deb Size: 16844 SHA256: 088f2d19dcdaef106c8f7b4631c454b4183dc90bab56bd2ac0c235394f394eb1 SHA1: be96d4c02621d85464edb0a6d90a7315d40ec464 MD5sum: d7dd8996a1f4c6606712740eeb822823 Description: macro plugin for Geany Geanymacro is a plugin to provide user defined macros for Geany. This plugin allows you to record and use your own macros. Macros are sequences of actions that can then be repeated with a single key combination. So if you had dozens of lines where you wanted to delete the last 2 characters, you could simple start recording, press End, Backspace, Backspace, down line and then stop recording. Then simply trigger the macro and it would automatically edit the line and move to the next. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-numberedbookmarks Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 66 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18), libgtk2.0-0 (>= 2.16) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-numberedbookmarks_0.21.1.dfsg-4_armhf.deb Size: 14862 SHA256: 90494e1c487e3049f61aefb0520d307945779bbc8e3af866f0dd4bd4342e352e SHA1: 2a68f97a7d31286f88b6248fa3cf63211c782e0b MD5sum: dca8561fcf0945f3a4e501617c6c08d4 Description: numbered bookmarks plugin for Geany Geanynumberedbookmarks is a plugin to provide users with 10 numbered bookmarks (in addition to the usual bookkmarks). Normaly if you had more than one bookmark, you would have to cycle through them until you reached the one you wanted. With this plugin you can go straight to the bookmark that you want with a single key combination. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-pg Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 74 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libgpgme11 (>= 1.2.0), libgtk2.0-0 (>= 2.16) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-pg_0.21.1.dfsg-4_armhf.deb Size: 19254 SHA256: e880562ee4b1900450d0e8ba94c9559f40a84cb44ce0e03559f819577b360a08 SHA1: 0fd752bd678b3dacbd1fde7f5c6c8fb8e6968896 MD5sum: 18e317b7f828bde8696dd8c37496f6e9 Description: pg plugin for Geany GeanyPG is a plugin for Geany that allows the user to encrypt, decrypt and verify signatures with GnuPG. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-prettyprinter Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 65 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16), libxml2 (>= 2.7.4) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-prettyprinter_0.21.1.dfsg-4_armhf.deb Size: 15652 SHA256: 515d3dab4691adeca538b2ce59794c7377f897ad81f1bcef8377cfb9f567da93 SHA1: 75388c4861ea16a3d399b37037197358e1d3e448 MD5sum: f984da95c4826004348249ef2b011d01 Description: XML pretty printer for Geany This plugin gives Geany XML pretty-printing functionality, allowing it to beautify and enhance the readability of XML files. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-prj Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 81 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16), libpango1.0-0 (>= 1.14.0) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-prj_0.21.1.dfsg-4_armhf.deb Size: 22900 SHA256: 5e32c9fb5f55663217331bfa9018dd5262f8367bb2a880078c6192f8c695cf79 SHA1: 6e6301d0f57f68f99d397402038eb4a15cf0c04b MD5sum: 646d39706fdf3054cc70f8bb9929975d Description: alternative project manager for Geany GeanyPrj is a plugin for Geany that provides an alternative method for managing projects in Geany, which moves away from Geany's default project management style, which is session-based, instead implementing a system which automatically opens a project when oen of its files are opened. . Geany is a small and lightweight integrated development environment using the GTK+ toolkit. Package: geany-plugin-sendmail Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 63 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-sendmail_0.21.1.dfsg-4_armhf.deb Size: 16398 SHA256: bdd947b2bdd43e8a0b75a95fa03b55d2dcf0b0f9bbbe77062524cfaf24146a83 SHA1: 7e3708036495616b4b7e4dce15c7870e9e40cc26 MD5sum: d4569df4ae012fbd2484948533e66156 Description: mailer plugin for Geany GeanySendMail is a plugin to send a document as attachment using the preferred mail client from inside Geany. It is similar to the envelope symbol of most office tools and requires a mail client that is supporting remote calls. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-shiftcolumn Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 55 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-shiftcolumn_0.21.1.dfsg-4_armhf.deb Size: 10652 SHA256: 5e519aeeccbdc91e786ce67aa86ca66e228e5f53a7f0c9bb1cd7337db5074c16 SHA1: 6872f51d97543aac79d3e244f76b8fcfecfc7a38 MD5sum: 8f324685ff47095a0634aeccfb5dc13a Description: text column shifting plugin for Geany ShiftColumn is a plugin which allows text to be shifted horizontally in the Geany IDE. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-spellcheck Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 85 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libenchant1c2a (>= 1.6), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16.0) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-spellcheck_0.21.1.dfsg-4_armhf.deb Size: 28032 SHA256: 616b1dad3731dc180ac83242670a3988d753a8788a85a273ab9b0369767e7e32 SHA1: 4b651dbef5cdb366c4ff9b464f9e4fa8b4573661 MD5sum: 1d450dddf24efe6019024548c26c92e7 Description: spellcheck plugin for Geany Spellcheck is a plugin which checks the content of the current document in Geany with the spell check library Enchant. This plugin allows the whole document, or only a selection to be checked for spelling mistakes. Misspelt words are highlighted with a red squiggly underline, and wrong words are printed in Geany's messages window along with available suggestions. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-tableconvert Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 57 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-tableconvert_0.21.1.dfsg-4_armhf.deb Size: 11548 SHA256: 9d616ee295bf31464a62562528efcb0eee1bfb53748f474dd235466985a064ac SHA1: f1a3232d061bf41d5184839e126ec795e21edbf8 MD5sum: 56cdd29225717c5c02f32d1d74674679 Description: table convert plugin for Geany Tableconvert is a plugin which helps on converting a tabulator separated selection into a tables. Currently the plugin supports: * HTML * LaTeX * SQL . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-treebrowser Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 91 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-treebrowser_0.21.1.dfsg-4_armhf.deb Size: 28832 SHA256: 644f8157d4c3d0d20f55546a8b8121779e7c1ad1964f432d979ce8d9d9f93eca SHA1: a7ce35da84ac76cf12830a35a8d90bdc6302778d MD5sum: 803595b9dcfc880649c04f421cf617fd Description: tree browser plugin for Geany This plugin adds a tree browser to Geany, allowing the user to browse files using a tree view of the directory being browsed. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-updatechecker Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 57 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16), libsoup2.4-1 (>= 2.4.0) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-updatechecker_0.21.1.dfsg-4_armhf.deb Size: 11252 SHA256: 0a484cfd0a33870bc26f71da3571c4747bd34004c40b0872f5780e15e2368e34 SHA1: 1c6cc77cccb1169e04a15ae3ac964c8c7e876bc6 MD5sum: 0d00f3928a0cf8001d5fc9d91ef2d7fa Description: update checker plugin for Geany This plugin adds an update checker plugin to Geany, allowing users to check whether there is a more recent version of Geany available. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-vc Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 106 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16), libgtkspell0 (>= 2.0.10) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-vc_0.21.1.dfsg-4_armhf.deb Size: 31404 SHA256: a38477af92bc5484dd85f551bff3e0ecf4f44b6e414ef06790b1655a85a06a50 SHA1: 71e1010df5e2f00632d38cecfaee7a3f99f1df3c MD5sum: 79270e17c2a17dd345e9a66e28f4b74f Description: VCS plugin for Geany GeanyVC is a plugin for Geany that provides a uniform way of accessing the different version-control systems inside the Geany IDE. Only a small subset of vc operations are implemented, which are: * diff * log * status * revert * commit . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-webhelper Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 97 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.20.0), libwebkitgtk-1.0-0 (>= 1.3.10) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-webhelper_0.21.1.dfsg-4_armhf.deb Size: 27422 SHA256: 20f3877d1205c03f85c649e43ab16b5eba6749b678e88ac16a91ea1539da0ada SHA1: ca7170a91ac0d1cb5954b1fc2fa6d863129f7d04 MD5sum: d3acdce24798aa66e364a17fb7225882 Description: web helper plugin for Geany This plugin provides some web development facilities such as web page preview and some debugging tools (web inspector) for Geany. . Its prominent features include:- * A basic web view, which provides a display of any web page (using WebKit) * Automatic reloading of web view upon document saving * A web inspector/debugging tool for the web view's content (including a Javascript console, a viewer and editor of processed HTML and CSS, a network usage analysis tool and many more, thanks to WebKit) . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugin-xmlsnippets Source: geany-plugins Version: 0.21.1.dfsg-4 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 56 Depends: geany-abi-69, geany-plugins-common (= 0.21.1.dfsg-4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0) Enhances: geany Homepage: http://plugins.geany.org Priority: optional Section: devel Filename: pool/main/g/geany-plugins/geany-plugin-xmlsnippets_0.21.1.dfsg-4_armhf.deb Size: 11032 SHA256: ae34d77259aecb4c4331dc4d31bd03e0176a0d8525a7c379c5e8c15fdf7fcd4d SHA1: c099362f6cdaa46e04fb72a18c620126e028d43d MD5sum: cb4d62e5cc5a51264038296f570d37ad Description: XMLSnippets plugin for Geany This plugin extends XML/HTML tag autocompletion provided by Geany. It automatically inserts a matching snippet after you type an opening tag. . Geany is a small and lightweight integrated development environment using the Gtk+ toolkit. Package: geany-plugins Version: 0.21.1.dfsg-4 Installed-Size: 34 Maintainer: Geany Packaging Team Architecture: all Depends: geany-plugin-addons (>= 0.21.1.dfsg-4), geany-plugin-codenav (>= 0.21.1.dfsg-4), geany-plugin-debugger (>= 0.21.1.dfsg-4), geany-plugin-doc (>= 0.21.1.dfsg-4), geany-plugin-extrasel (>= 0.21.1.dfsg-4), geany-plugin-gdb (>= 0.21.1.dfsg-4), geany-plugin-gendoc (>= 0.21.1.dfsg-4), geany-plugin-gproject (>= 0.21.1.dfsg-4), geany-plugin-insertnum (>= 0.21.1.dfsg-4), geany-plugin-latex (>= 0.21.1.dfsg-4), geany-plugin-lipsum (>= 0.21.1.dfsg-4), geany-plugin-lua (>= 0.21.1.dfsg-4), geany-plugin-macro (>= 0.21.1.dfsg-4), geany-plugin-numberedbookmarks (>= 0.21.1.dfsg-4), geany-plugin-pg (>= 0.21.1.dfsg-4), geany-plugin-prj (>= 0.21.1.dfsg-4), geany-plugin-sendmail (>= 0.21.1.dfsg-4), geany-plugin-tableconvert (>= 0.21.1.dfsg-4), geany-plugin-vc (>= 0.21.1.dfsg-4), geany-plugin-prettyprinter (>= 0.21.1.dfsg-4), geany-plugin-shiftcolumn (>= 0.21.1.dfsg-4), geany-plugin-spellcheck (>= 0.21.1.dfsg-4), geany-plugin-treebrowser (>= 0.21.1.dfsg-4), geany-plugin-updatechecker (>= 0.21.1.dfsg-4), geany-plugin-webhelper (>= 0.21.1.dfsg-4), geany-plugin-xmlsnippets (>= 0.21.1.dfsg-4) Enhances: geany Size: 5794 SHA256: 5510f57047664734b941b789eb96fb5fb57335a145a82eecb3f75e4f3255e5b4 SHA1: 5c35278b534dc757acd3189c5a6240d6b64a5b7d MD5sum: 13dec7812d94b950250b658875855866 Description: set of plugins for Geany This is a metapackage which installs the whole set of plugins for Geany. . Geany is a fast and lightweight IDE. Homepage: http://plugins.geany.org Tag: role::metapackage, role::plugin Section: devel Priority: optional Filename: pool/main/g/geany-plugins/geany-plugins_0.21.1.dfsg-4_all.deb Package: geany-plugins-common Source: geany-plugins Version: 0.21.1.dfsg-4 Installed-Size: 842 Maintainer: Geany Packaging Team Architecture: all Depends: geany (>= 1.22) Breaks: geany-plugin-addons (<< 0.19), geany-plugin-doc (<< 0.19), geany-plugin-gdb (<< 0.19), geany-plugin-latex (<< 0.19), geany-plugin-lipsum (<< 0.19), geany-plugin-lua (<< 0.19), geany-plugin-prj (<< 0.19), geany-plugin-sendmail (<< 0.19), geany-plugin-shiftcolumn (<< 0.19), geany-plugin-spellcheck (<< 0.19), geany-plugin-vc (<< 0.19) Size: 278590 SHA256: c0e06190319c69aafdc68d50e0893522f118afe4a64fd861b27966a45ef8cf19 SHA1: ba2ecaccfb1dc8c6fcbb88102511b683fcac47cf MD5sum: 7976ede13446983f8975a6ff028f325b Description: set of plugins for Geany (translations) This package contains translations for the Geany Plugins. You probably do not want to install this package directly, but instead install one of the other plugins packages. Homepage: http://plugins.geany.org Tag: role::plugin Section: devel Priority: optional Filename: pool/main/g/geany-plugins/geany-plugins-common_0.21.1.dfsg-4_all.deb Package: gearhead Version: 1.100-2 Architecture: armhf Maintainer: Kari Pahula Installed-Size: 746 Depends: gearhead-data Homepage: http://gearhead.roguelikedevelopment.org/ Priority: optional Section: games Filename: pool/main/g/gearhead/gearhead_1.100-2_armhf.deb Size: 291604 SHA256: 6f185807c08290bfcdaadd38c982863a6b7c51acd366c3cec23fca46b8e8936b SHA1: dbcd84b244f58f2d3fb1f1231d484887e7d77e52 MD5sum: c0a4d1eb19c57f5204f9e90cc9f7250f Description: roguelike mecha role playing game A century and a half ago the Earth was nearly destroyed by nuclear war. Now, a federation of free city-states has begun to restore civilization. However, there are forces operating in the darkness which will unleash the horrors of the past age in a bid to determine the future of the human race. . Features of the game include random storyline generation, richly detailed character generation, complex NPC interaction, and of course over 150 different mechanical designs ranging from jet fighters to giant robots to city-smashing tanks. Package: gearhead-data Source: gearhead Version: 1.100-2 Installed-Size: 3292 Maintainer: Kari Pahula Architecture: all Size: 738292 SHA256: 19a0cd029098cddb9337ba6ff02769454527fdc43709ec9eb31084254dbf0d3e SHA1: 90a8cf1024ecb33e8ff7ffd9bf770d6252003abc MD5sum: 56b1bc72cb3d1d8ac8d3256b62846222 Description: data files for gearhead A century and a half ago the Earth was nearly destroyed by nuclear war. Now, a federation of free city-states has begun to restore civilization. However, there are forces operating in the darkness which will unleash the horrors of the past age in a bid to determine the future of the human race. . Features of the game include random storyline generation, richly detailed character generation, complex NPC interaction, and of course over 150 different mechanical designs ranging from jet fighters to giant robots to city-smashing tanks. . These are the common files for gearhead. Homepage: http://gearhead.roguelikedevelopment.org/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/g/gearhead/gearhead-data_1.100-2_all.deb Package: gearhead2 Version: 0.628-1 Architecture: armhf Maintainer: Kari Pahula Installed-Size: 1119 Depends: gearhead2-data Homepage: http://gearheadrpg.com/ Priority: optional Section: games Filename: pool/main/g/gearhead2/gearhead2_0.628-1_armhf.deb Size: 468026 SHA256: e9cd6859ade8e52a5fb0d9445c7405232f07842e825121cee924759653322cf4 SHA1: 103b08030d882d1f0776a6b2c5110c96eb914db2 MD5sum: 021e3a9a9c009a2bff3805f83aaf80ab Description: roguelike mecha role playing game in space Set a century and a half after nuclear war, you can explore a world where various factions compete to determine the future of the human race. Major features include random plot generation, a detailed character system, and over two hundred customizable mecha designs. . GearHead 2 is set five years after the events of GearHead 1. It is currently under development and is initially set in the L5 Orbital Pattern. Package: gearhead2-data Source: gearhead2 Version: 0.628-1 Installed-Size: 4016 Maintainer: Kari Pahula Architecture: all Size: 813866 SHA256: a330fc73115875d65c8e98f6dd31048a3b3c0d561309cfa4350db38842920f8d SHA1: 8159647ab200cce23cdf29ec503e6bfef957475e MD5sum: 330930ff4401f923c5e4670f006f1b42 Description: data files for gearhead2 Set a century and a half after nuclear war, you can explore a world where various factions compete to determine the future of the human race. Major features include random plot generation, a detailed character system, and over two hundred customizable mecha designs. . GearHead 2 is set five years after the events of GearHead 1. It is currently under development and is initially set in the L5 Orbital Pattern. . This package contains the data files for gearhead2. Homepage: http://gearheadrpg.com/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/g/gearhead2/gearhead2-data_0.628-1_all.deb Package: gearman Source: gearmand Version: 0.33-2 Installed-Size: 14 Maintainer: Stig Sandbeck Mathisen Architecture: all Depends: gearman-tools, gearman-job-server Size: 11274 SHA256: 0fe3d77f64d3f499ea6b45189dd9a18577ca649b2bc309c1d54e265b1f6b5265 SHA1: 6923cddbb743ed49db5454e40efac5cc56ec2344 MD5sum: 299bb1438adebb070d34c2675e36f6b8 Description: Distributed job queue Gearman is a system to farm out work to other machines, dispatching function calls to machines that are better suited to do work, to do work in parallel, to load balance lots of function calls, or to call functions between languages. . This package is an empty package that depends on both the client and the server. Homepage: http://launchpad.net/gearmand Tag: role::metapackage Section: misc Priority: extra Filename: pool/main/g/gearmand/gearman_0.33-2_all.deb Package: gearman-job-server Source: gearmand Version: 0.33-2 Architecture: armhf Maintainer: Stig Sandbeck Mathisen Installed-Size: 326 Pre-Depends: adduser Depends: libboost-program-options1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libevent-2.0-5 (>= 2.0.10-stable), libgcc1 (>= 1:4.4.0), libmemcached10, libmemcachedutil2, libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0) Recommends: memcached Homepage: http://launchpad.net/gearmand Priority: extra Section: misc Filename: pool/main/g/gearmand/gearman-job-server_0.33-2_armhf.deb Size: 114368 SHA256: ecf9ddd253ade1a683cf937b02bd1ecd5575be7f1e61baf0903961b073719aee SHA1: 88828dc2e736eff66b3bd6883e6802994c2a4eca MD5sum: 7df66a52cce7d34a5cea69ac6f41ed89 Description: Job server for the Gearman distributed job queue Gearman is a system to farm out work to other machines, dispatching function calls to machines that are better suited to do work, to do work in parallel, to load balance lots of function calls, or to call functions between languages. . This package contains the C reimplementation of the job server daemon Package: gearman-server Version: 1.11-2 Installed-Size: 172 Maintainer: Debian Perl Group Architecture: all Depends: perl, libgearman-client-perl, libdanga-socket-perl Size: 30432 SHA256: 8b5b385b971736486120841bef21b167a7719483da8764d18899335a84fcc18a SHA1: caec52dd4e29427cf8a8b9ba045c45902e0ea19e MD5sum: e5602f20452f376a0c287921a1e8113a Description: Gearman distributed job server and Perl interface Gearman is a system to farm out work to other machines, dispatching function calls to machines that are better suited to do work, to do work in parallel, to load balance lots of function calls, or even to call functions between languages. . This package contains both the Gearman server as well as the corresponding Perl bindings. Homepage: http://search.cpan.org/dist/Gearman-Server/ Tag: implemented-in::perl, role::program Section: perl Priority: optional Filename: pool/main/g/gearman-server/gearman-server_1.11-2_all.deb Package: gearman-tools Source: gearmand Version: 0.33-2 Architecture: armhf Maintainer: Stig Sandbeck Mathisen Installed-Size: 146 Depends: libgearman6 (= 0.33-2), libboost-program-options1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: gearman-worker Replaces: gearman-worker Provides: gearman-worker Homepage: http://launchpad.net/gearmand Priority: extra Section: misc Filename: pool/main/g/gearmand/gearman-tools_0.33-2_armhf.deb Size: 55674 SHA256: 1c1f743d90d1b460d179ab4268328d8e265d40c48058193640d0aff681865ed0 SHA1: dd915646addda60ca3d8b67a9289f3f7557b770f MD5sum: 6cae9fd5c1b545b8daf822a3b5f8e74d Description: Tools for the Gearman distributed job queue Gearman is a system to farm out work to other machines, dispatching function calls to machines that are better suited to do work, to do work in parallel, to load balance lots of function calls, or to call functions between languages. . This package contains some command line tools for manipulating gearman jobs. Package: gecko-mediaplayer Version: 1.0.6-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 622 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgmlib0 (>= 1.0.5), libstdc++6 (>= 4.3.0), gconf2 (>= 2.28.1-2), gnome-mplayer (>= 1.0.6) Homepage: http://code.google.com/p/gecko-mediaplayer/ Priority: optional Section: video Filename: pool/main/g/gecko-mediaplayer/gecko-mediaplayer_1.0.6-1_armhf.deb Size: 212982 SHA256: 7af4ed7056b5134f0511def8adfb0bb00001515015fc2c86db85eae0e370b7ac SHA1: 96b4b2b978caacd916d6f16ce4a69f176853938d MD5sum: fdbe740a6b2b2beb95f5bcb6b5109573 Description: Multimedia plug-in for Gecko browsers Gecko Media Player is a browser plug-in that uses GNOME MPlayer and Mplayer to play media in a browser. It uses the NS4 API and is therefore compatible with all NS4 derived browsers: Iceweasel, Firefox, Iceape, Epiphany, Galeon, Midbrowser, Xulrunner as well as with Konqueror, Opera and Google Chromium. . It is the modern replacement for mplayerplug-in (from the same author). Npp-Applications: ec8030f7-c20a-464f-9b0e-13a3a9e97384, 92650c4d-4b8e-4d2a-b7eb-24ecf4f6b63a, aa5ca914-c309-495d-91cf-3141bbb04115 Npp-Description: Play media off the net using the gnome-mplayer Npp-File: gecko-mediaplayer Npp-Mimetype: video/mpeg, audio/mpeg, video/x-mpeg, video/x-mpeg2, audio/x-mpeg, audio/mpeg2, audio/x-mpeg2, audio/mpeg3, audio/x-mpeg3, audio/mp3, audio/x-mpegurl, video/mp4, application/x-ogg, audio/ogg, application/ogg, video/fli, video/x-fli, video/vnd.vivo, application/x-nsv-vp3-mp3, audio/basic, audio/x-basic, audio/x-scpls, video/divx, video/vnd.divx, audio/midi, video/quicktime, video/x-quicktime, image/x-quicktime, video/quicktime, video/quicktime, application/x-quicktimeplayer, application/asx, video/x-ms-asf-plugin, video/x-msvideo, video/msvideo, application/x-mplayer2, application/x-ms-wmv, video/x-ms-asf, video/x-ms-wm, video/x-ms-wmv, audio/x-ms-wmv, video/x-ms-wmp, video/x-ms-wvx, audio/x-ms-wax, audio/x-ms-wma, application/x-drm-v2, audio/wav, audio/x-wav, audio/x-pn-realaudio, application/vnd.rn-realmedia, application/vnd.rn-realaudio, video/vnd.rn-realvideo, audio/x-realaudio, audio/x-pn-realaudio-plugin, application/smil, audio/x-mod Npp-Name: Gecko Media Player Package: gecrit Version: 2.8.3-1 Installed-Size: 737 Maintainer: Vincent Cheng Architecture: all Depends: exuberant-ctags, python-enchant, python-wxgtk2.8 Size: 206178 SHA256: b27a026fab1bbf3f73def390807b293229fbad10232a908ba3c9e77e8d5c48ff SHA1: e8c06ddf0ac720768784fec84ce587f6e6225363 MD5sum: 34dc062adcbc26b0ee7eb4e6ba5af95e Description: simple, easy-to-use Python IDE gEcrit is a Python IDE, with a focus on simplicity and ease of use. Some of its features include: * Editor geared towards Python, supporting indentation, code folding, syntax highlighting/checking, auto-completion, and bad brace checking * Integrated Python shell * Source tree browser * Autosaving * Multiple tabs * Printing * Spell-checking * Word searching/replacement * Pastebin.com integration Homepage: http://sourceforge.net/projects/gecrit/ Tag: devel::editor, devel::ide, devel::lang:python, implemented-in::python, interface::x11, role::program, uitoolkit::gtk, x11::application Section: editors Priority: optional Filename: pool/main/g/gecrit/gecrit_2.8.3-1_all.deb Package: geda Source: geda-gaf Version: 1:1.6.2-4.3 Installed-Size: 1 Maintainer: Debian Electronics Team Architecture: all Depends: geda-doc (= 1:1.6.2-4.3), geda-gschem, geda-gnetlist Recommends: geda-gsymcheck, geda-gattrib Suggests: geda-utils, geda-examples, gerbv, pcb Size: 1300 SHA256: 5e82eaf93d3de29e2b5d11ea90958c387cc8c0f1073daa1c8977351a47e374a9 SHA1: 90f36e179acd64c5351547a18cdff744407f7294 MD5sum: df79768707281caf38e9d6b13d81a3af Description: GPL EDA -- Electronics design software (metapackage) The gEDA project has produced and continues working on a full GPL'd suite and toolkit of Electronic Design Automation tools. These tools are used for electrical circuit design, schematic capture, simulation, prototyping, and production. Currently, the gEDA project offers a mature suite of free software applications for electronics design, including schematic capture, attribute management, bill of materials (BOM) generation, netlisting into over 20 netlist formats, analog and digital simulation, and printed circuit board (PCB) layout. . This is a metapackage which depends on the components required for a typical gEDA installation. Homepage: http://geda.seul.org/ Tag: field::electronics, role::dummy, role::metapackage Section: electronics Priority: optional Filename: pool/main/g/geda-gaf/geda_1.6.2-4.3_all.deb Package: geda-doc Source: geda-gaf Version: 1:1.6.2-4.3 Installed-Size: 4862 Maintainer: Debian Electronics Team Architecture: all Size: 2669002 SHA256: 4d22f98fb119fca939d7d86e8c6bfede77abc377135c8d1e966066c55b5e7966 SHA1: f061e1cf45ea526f09a20a87f93e7fbecdc616e4 MD5sum: 277726f4eff3174abe7f65a7b4a63ba2 Description: GPL EDA -- Electronics design software (documentation) The gEDA project has produced and continues working on a full GPL'd suite and toolkit of Electronic Design Automation tools. These tools are used for electrical circuit design, schematic capture, simulation, prototyping, and production. Currently, the gEDA project offers a mature suite of free software applications for electronics design, including schematic capture, attribute management, bill of materials (BOM) generation, netlisting into over 20 netlist formats, analog and digital simulation, and printed circuit board (PCB) layout. . This package contains the documentation. Homepage: http://geda.seul.org/ Tag: field::electronics, role::documentation Section: doc Priority: optional Filename: pool/main/g/geda-gaf/geda-doc_1.6.2-4.3_all.deb Package: geda-examples Source: geda-gaf Version: 1:1.6.2-4.3 Installed-Size: 465 Maintainer: Debian Electronics Team Architecture: all Recommends: geda Size: 191404 SHA256: 5b5df7d33e35fb3a2cf23ff75490b7e28d517451a83b55245590c97b4b177efb SHA1: af42313c6036768cfd4dc12b75c52449950d975d MD5sum: 2d0b2af786d2942ce8dfd558caca45c2 Description: GPL EDA -- Electronics design software (example designs) The gEDA project has produced and continues working on a full GPL'd suite and toolkit of Electronic Design Automation tools. These tools are used for electrical circuit design, schematic capture, simulation, prototyping, and production. Currently, the gEDA project offers a mature suite of free software applications for electronics design, including schematic capture, attribute management, bill of materials (BOM) generation, netlisting into over 20 netlist formats, analog and digital simulation, and printed circuit board (PCB) layout. . This package contains example designs created with gEDA. Homepage: http://geda.seul.org/ Tag: field::electronics, role::examples Section: electronics Priority: optional Filename: pool/main/g/geda-gaf/geda-examples_1.6.2-4.3_all.deb Package: geda-gattrib Source: geda-gaf Version: 1:1.6.2-4.3 Architecture: armhf Maintainer: Debian Electronics Team Installed-Size: 422 Depends: guile-1.8-libs, libc6 (>= 2.13-28), libgeda38 (>= 1.6.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), geda-symbols (>= 1:1.5.1), geda-symbols (<< 1:1.7.0~) Recommends: extra-xdg-menus Breaks: geda (<= 19990516-1) Homepage: http://geda.seul.org/ Priority: optional Section: electronics Filename: pool/main/g/geda-gaf/geda-gattrib_1.6.2-4.3_armhf.deb Size: 117030 SHA256: a59b53228410eb8afc0442bb2a6a89f5106eae44a3c0b5bee3e97b048ecce27a SHA1: ad97475d750edf9371293118fdedc81c003e5df3 MD5sum: 840d399b74a79be6d93b5e343aebac30 Description: GPL EDA -- Electronics design software (attribute editor) The gEDA project has produced and continues working on a full GPL'd suite and toolkit of Electronic Design Automation tools. These tools are used for electrical circuit design, schematic capture, simulation, prototyping, and production. Currently, the gEDA project offers a mature suite of free software applications for electronics design, including schematic capture, attribute management, bill of materials (BOM) generation, netlisting into over 20 netlist formats, analog and digital simulation, and printed circuit board (PCB) layout. . This package contains gattrib, the attribute editor. Package: geda-gnetlist Source: geda-gaf Version: 1:1.6.2-4.3 Architecture: armhf Maintainer: Debian Electronics Team Installed-Size: 660 Depends: guile-1.8-libs, libc6 (>= 2.13-28), libgeda38 (>= 1.6.0), libglib2.0-0 (>= 2.12.0), geda-symbols (>= 1:1.5.1), geda-symbols (<< 1:1.7.0~), mawk Breaks: geda (<= 19990516-1) Homepage: http://geda.seul.org/ Priority: optional Section: electronics Filename: pool/main/g/geda-gaf/geda-gnetlist_1.6.2-4.3_armhf.deb Size: 198970 SHA256: d300939a5bceba9628d91e98304400a8637610060246fbb3fb0e8c359a116208 SHA1: 8b3e3698f711a1757d15b3f9a6511747f5f22db9 MD5sum: d1439b161eb724f33b1bd4643d5ac4d3 Description: GPL EDA -- Electronics design software (netlister) The gEDA project has produced and continues working on a full GPL'd suite and toolkit of Electronic Design Automation tools. These tools are used for electrical circuit design, schematic capture, simulation, prototyping, and production. Currently, the gEDA project offers a mature suite of free software applications for electronics design, including schematic capture, attribute management, bill of materials (BOM) generation, netlisting into over 20 netlist formats, analog and digital simulation, and printed circuit board (PCB) layout. . This package contains gnetlist, the netlist generator. Package: geda-gschem Source: geda-gaf Version: 1:1.6.2-4.3 Architecture: armhf Maintainer: Debian Electronics Team Installed-Size: 1484 Depends: guile-1.8-libs, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libgeda38 (>= 1.6.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0), libstroke0 (>= 0.5.1), geda-symbols (>= 1:1.5.1), geda-symbols (<< 1:1.7.0~) Recommends: extra-xdg-menus Breaks: geda (<= 19990516-1) Homepage: http://geda.seul.org/ Priority: optional Section: electronics Filename: pool/main/g/geda-gaf/geda-gschem_1.6.2-4.3_armhf.deb Size: 542424 SHA256: 025580c8cfa14f5218813ff95b7474abf1b5693c9b22c2cbf4858693a4a2f17a SHA1: fb77d1b9427238aa279cd2578087240d25b551f5 MD5sum: 80d77f96f16f51880d08b4fe9a1a9260 Description: GPL EDA -- Electronics design software (schematic editor) The gEDA project has produced and continues working on a full GPL'd suite and toolkit of Electronic Design Automation tools. These tools are used for electrical circuit design, schematic capture, simulation, prototyping, and production. Currently, the gEDA project offers a mature suite of free software applications for electronics design, including schematic capture, attribute management, bill of materials (BOM) generation, netlisting into over 20 netlist formats, analog and digital simulation, and printed circuit board (PCB) layout. . This package contains gschem, the schematic editor. Package: geda-gsymcheck Source: geda-gaf Version: 1:1.6.2-4.3 Architecture: armhf Maintainer: Debian Electronics Team Installed-Size: 91 Depends: guile-1.8-libs, libc6 (>= 2.13-28), libgeda38 (>= 1.6.0), libglib2.0-0 (>= 2.12.0), geda-symbols (>= 1:1.5.1), geda-symbols (<< 1:1.7.0~) Homepage: http://geda.seul.org/ Priority: optional Section: electronics Filename: pool/main/g/geda-gaf/geda-gsymcheck_1.6.2-4.3_armhf.deb Size: 37020 SHA256: 87966e9776d2072cc6f5ea252bbf27abb81fc30ae588bfac1b3becbb3ba80e54 SHA1: 88be9094e6e86d7d74faef34e397b0fb92253f8a MD5sum: 1232da81d10b7d3e3ae78292e0548bd4 Description: GPL EDA -- Electronics design software (symbol checker) The gEDA project has produced and continues working on a full GPL'd suite and toolkit of Electronic Design Automation tools. These tools are used for electrical circuit design, schematic capture, simulation, prototyping, and production. Currently, the gEDA project offers a mature suite of free software applications for electronics design, including schematic capture, attribute management, bill of materials (BOM) generation, netlisting into over 20 netlist formats, analog and digital simulation, and printed circuit board (PCB) layout. . This package contains gsymcheck, the symbol checker. Package: geda-symbols Source: geda-gaf Version: 1:1.6.2-4.3 Installed-Size: 4232 Maintainer: Debian Electronics Team Architecture: all Conflicts: libgeda20, libgeda29 Size: 512264 SHA256: 7363809bc7031deb66c38b5c39e653905aaa886779d5afaf98a2af078424e0a7 SHA1: a583a7915cd15e72274bf87c27eff5c3ffdc8eb8 MD5sum: d87e10a29e9a2b56c8a6a21633e93c1f Description: GPL EDA -- Electronics design software (symbols library) The gEDA project has produced and continues working on a full GPL'd suite and toolkit of Electronic Design Automation tools. These tools are used for electrical circuit design, schematic capture, simulation, prototyping, and production. Currently, the gEDA project offers a mature suite of free software applications for electronics design, including schematic capture, attribute management, bill of materials (BOM) generation, netlisting into over 20 netlist formats, analog and digital simulation, and printed circuit board (PCB) layout. . This package includes the device symbols files for gschem. Homepage: http://geda.seul.org/ Tag: field::electronics, interface::x11, role::app-data, uitoolkit::gtk, x11::application Section: electronics Priority: optional Filename: pool/main/g/geda-gaf/geda-symbols_1.6.2-4.3_all.deb Package: geda-utils Source: geda-gaf Version: 1:1.6.2-4.3 Architecture: armhf Maintainer: Debian Electronics Team Installed-Size: 457 Depends: guile-1.8-libs, libc6 (>= 2.13-28), libgeda38 (>= 1.6.0), libglib2.0-0 (>= 2.24.0), python, gawk Breaks: geda (<= 19990516-1) Homepage: http://geda.seul.org/ Priority: optional Section: electronics Filename: pool/main/g/geda-gaf/geda-utils_1.6.2-4.3_armhf.deb Size: 177912 SHA256: f3e9b4205faccdb8da815018518b45b5f4b8ce5138c9cf74b2aad90ecbb41e8d SHA1: ef683c1d3c17e11aacb52787cb8abb002e9c327b MD5sum: cbd8c4e21342a324cb3bf988b750bf1d Description: GPL EDA -- Electronics design software (utilities) The gEDA project has produced and continues working on a full GPL'd suite and toolkit of Electronic Design Automation tools. These tools are used for electrical circuit design, schematic capture, simulation, prototyping, and production. Currently, the gEDA project offers a mature suite of free software applications for electronics design, including schematic capture, attribute management, bill of materials (BOM) generation, netlisting into over 20 netlist formats, analog and digital simulation, and printed circuit board (PCB) layout. . This package contains miscellaneous utilities related to gEDA. Package: geda-xgsch2pcb Version: 0.1.3-2 Installed-Size: 348 Maintainer: Debian Electronics Team Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0), geda-utils, geda-gschem, geda-gattrib, pcb, python-gtk2 (>= 2.8), python-gobject | python-gtk2 (<< 2.10), python-dbus Size: 47882 SHA256: 8bd6cc0f6c906eefaaa82b182e47a42f9bdc337e5ad1e9ab6fe67af37d9bae58 SHA1: 53e1f25dd4b4a36e1f95a3cf78e4528477224577 MD5sum: e7b75c058927a2d0b86b899027955333 Description: GPL EDA -- Electronics design software -- gschem -> PCB workflow GUI ``xgsch2pcb`` provides an intuitive, user-friendly graphical interface to the ``gsch2pcb`` command-line tool, part of the gEDA suite, which is used to generate and update a PCB layout. It works with schematics created by ``gschem``, part of the gEDA suite, and layouts created by ``pcb``, a PCB layout system commonly used with gEDA. Homepage: http://gpleda.org/tools/xgsch2pcb/index.html Tag: field::electronics, implemented-in::python, interface::x11, role::program, uitoolkit::gtk, x11::application Section: electronics Priority: optional Filename: pool/main/g/geda-xgsch2pcb/geda-xgsch2pcb_0.1.3-2_all.deb Package: gedit Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 2401 Depends: python2.7, python (>= 2.6.6-7~), python (<< 2.8), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libenchant1c2a (>= 1.6), libffi5 (>= 3.0.9), libgdk-pixbuf2.0-0 (>= 2.22.0), libgirepository-1.0-1 (>= 0.9.3), libglib2.0-0 (>= 2.31.18), libgtk-3-0 (>= 3.3.16), libgtksourceview-3.0-0 (>= 3.0.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libpeas-1.0-0 (>= 1.1.0), libsm6, libx11-6, libxml2 (>= 2.7.4), gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-gtksource-3.0, gir1.2-pango-1.0, gedit-common (>= 3.4), gedit-common (<< 3.5), gsettings-desktop-schemas, python-gi (>= 3.0), python-gi-cairo (>= 3.0), gir1.2-peas-1.0, iso-codes Recommends: zenity, yelp Suggests: gedit-plugins Breaks: gedit-plugins (<< 2.91) Homepage: http://www.gnome.org/projects/gedit/ Priority: optional Section: gnome Filename: pool/main/g/gedit/gedit_3.4.2-1_armhf.deb Size: 931894 SHA256: 2d9422d220542f89c0e6aa97d9e2ccde41ee0419cf453f93fe179483d0204287 SHA1: 3c402230641713400da6c876ad0b14f6f453340d MD5sum: 20517fbd5f4b779880c6ca6b3c9cd81b Description: official text editor of the GNOME desktop environment gedit is a text editor which supports most standard editor features, extending this basic functionality with other features not usually found in simple text editors. gedit is a graphical application which supports editing multiple text files in one window (known sometimes as tabs or MDI). . gedit fully supports international text through its use of the Unicode UTF-8 encoding in edited files. Its core feature set includes syntax highlighting of source code, auto indentation and printing and print preview support. . gedit is also extensible through its plugin system, which currently includes support for spell checking, comparing files, viewing CVS ChangeLogs, and adjusting indentation levels. Package: gedit-common Source: gedit Version: 3.4.2-1 Installed-Size: 12174 Maintainer: Debian GNOME Maintainers Architecture: all Depends: dconf-gsettings-backend | gsettings-backend Recommends: gedit Size: 3407194 SHA256: bf1ac87d86154b686173c5f92e9f0128eaebe703c7174a4a1b5d77cb1f0a4bd7 SHA1: b6ef7d9c67a8aecafacb0db8ae80ee04fdc93e91 MD5sum: fb35f7f181fb938030ccdf221430b62a Description: official text editor of the GNOME desktop environment (support files) gedit is a text editor which supports most standard editor features, extending this basic functionality with other features not usually found in simple text editors. . This package contains gedit's architecture-independent support files. Homepage: http://www.gnome.org/projects/gedit/ Tag: role::app-data, suite::gnome Section: gnome Priority: optional Filename: pool/main/g/gedit/gedit-common_3.4.2-1_all.deb Package: gedit-dev Source: gedit Version: 3.4.2-1 Installed-Size: 1073 Maintainer: Debian GNOME Maintainers Architecture: all Depends: gedit (>= 3.4), gedit (<< 3.5), libgtksourceview-3.0-dev, libpeas-dev Size: 275126 SHA256: 6c978e4eb4331764a9224de05d910c7fc738235627e49a670986803bd202f72c SHA1: 4839ab44e889f92e4c8a74e1c47a8b482d6aa13d MD5sum: 1b19ae81ba0f0ed708fdca2d26baaf7c Description: official text editor of the GNOME desktop environment (development files) gedit is a text editor which supports most standard editor features, extending this basic functionality with other features not usually found in simple text editors. gedit is a graphical application which supports editing multiple text files in one window (known sometimes as tabs or MDI). . gedit fully supports international text through its use of the Unicode UTF-8 encoding in edited files. Its core feature set includes syntax highlighting of source code, auto indentation and printing and print preview support. . gedit is also extensible through its plugin system, which currently includes support for spell checking, comparing files, viewing CVS ChangeLogs, and adjusting indentation levels. . This package is required to build plugins for gedit. Homepage: http://www.gnome.org/projects/gedit/ Tag: devel::library, implemented-in::c, interface::x11, role::devel-lib, suite::gnome, uitoolkit::gtk, use::editing, works-with::text, works-with::unicode, x11::application Section: devel Priority: optional Filename: pool/main/g/gedit/gedit-dev_3.4.2-1_all.deb Package: gedit-latex-plugin Version: 3.4.0-1 Installed-Size: 1142 Maintainer: Andrea Gasparini Architecture: all Depends: dconf-gsettings-backend | gsettings-backend, gedit (>= 3.0.6), rubber, python-dbus, python (>= 2.6.6-3~) | python-multiprocessing, python-glade2, gvfs-bin Recommends: texlive, python-enchant Size: 228492 SHA256: 847272b2a861fb93ccf3aa6853cad22cb4f8b54332a6f96df93859546c06948f SHA1: 36a9061296447866fe417b935cd75daf7d60711f MD5sum: ec13fc9e9c1f0c06990cc4b610136218 Description: gedit plugin for composing and compiling LaTeX documents This plugin assist you in a number of task: - Code Completion: if you type a prefix it shows you all matching commands and the structure and meaning of their arguments. If possible it shows options for the argument the cursor is in. - Assistants: there are several assistants for frequent tasks like creating the body of a new LaTeX file, inserting a graphics, inserting a table or a matrix, inserting source code listings, inserting BibTeX entries. - BibTeX Integration: an outline view is created for BibTeX files and the LaTeX completion is aware of bibliographies included per \bibliography and proposes their entries at the \cite command. BibTeX entries may be inserted with the help of a dialog. - Build System: The build system uses profiles like "PDF", "DVI" or "PostScript". Per default the plugin uses rubber for automated document compiling, but you may create your own profiles invoking the LaTeX command chain directly. Homepage: http://live.gnome.org/Gedit/LaTeXPlugin Tag: role::plugin, works-with-format::tex, works-with::text Section: gnome Priority: extra Filename: pool/main/g/gedit-latex-plugin/gedit-latex-plugin_3.4.0-1_all.deb Package: gedit-plugins Version: 3.4.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 4175 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libgdk-pixbuf2.0-0 (>= 2.22.0), libgirepository-1.0-1 (>= 0.9.2), libglib2.0-0 (>= 2.32.0), libgtk-3-0 (>= 3.4.0), libgtksourceview-3.0-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libpeas-1.0-0 (>= 1.0.0), dconf-gsettings-backend | gsettings-backend, python (>= 2.6.6-7~), python2.7, gedit (>= 3.4), gedit (<< 3.5), gir1.2-gucharmap-2.90, gir1.2-peas-1.0, gir1.2-vte-2.90, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, gir1.2-gtksource-3.0 Suggests: zeitgeist-datahub Homepage: http://live.gnome.org/Gedit/Plugins Priority: optional Section: gnome Filename: pool/main/g/gedit-plugins/gedit-plugins_3.4.0-1_armhf.deb Size: 1546956 SHA256: e3022c5f4721a90643c2c6149b4344e50bfe670d67bd0ec5ed9d0ce2781d8478 SHA1: cb31002bf2f8f55f731e17842af09afc3dc8bcd0 MD5sum: 4cd50300312edf302ce63d20e80c8d71 Description: set of plugins for gedit gedit-plugins contain a set of plugins for gedit, GNOME's text editor. . The following plugins are included: * Text Size: Easily increase and decrease the text size * SyncTeX: Synchronize between LaTeX and PDF with gedit and evince. * Embedded Terminal: Embed a terminal in the bottom pane. * Color Picker: Pick a color from a dialog and insert its hexadecimal representation. * Join/Split Lines: Join several lines or split long ones * Smart Spaces: Forget you're not using tabulations. * Word Completion: Word completion using the completion framework * Commander: Command line interface for advanced editing * Session Saver: Save and restore your working sessions * Multi Edit: Edit document in multiple places at once * Bracket Completion: Automatically adds closing brackets. * Character Map: Insert special characters just by clicking on them. * Bookmarks: Easy document navigation with bookmarks * Dashboard: A Dashboard for new tabs * Code comment: Comment out or uncomment a selected block of code. * Tag list: Provides a method to easily insert commonly used tags/strings into a document without having to type them. * Draw Spaces: Draw Spaces and Tabs Package: gedit-r-plugin Version: 0.7.1.2-Gtk3-1 Installed-Size: 1640 Maintainer: Tobias Hansen Architecture: all Depends: gedit (>= 3.0.0), python-vte, python, gir1.2-vte-2.90 Recommends: r-base-core Size: 1091166 SHA256: 450b4155a5f65516d7afa318eb65fa0c4a3aa6b02ed7d675ca65855cf1745bd3 SHA1: 9dc32500fd115626d41e0d5736ce86581f6ace32 MD5sum: 055592c3786d945b4690b542a01c76c8 Description: Gedit plugin for R statistical computing language This plugin serves as a lightweight IDE for R. In addition to standard gedit functionality such as syntax highlighting the additional features are: - bottom panel containing one or more different R consoles - executing predefined blocks of code - generating customized R code Homepage: http://rgedit.sourceforge.net/ Tag: devel::lang:r, role::plugin Section: gnome Priority: extra Filename: pool/main/g/gedit-r-plugin/gedit-r-plugin_0.7.1.2-Gtk3-1_all.deb Package: gedit-source-code-browser-plugin Version: 3.0.3-2 Installed-Size: 124 Maintainer: Pietro Battiston Architecture: all Depends: dconf-gsettings-backend | gsettings-backend, python (>= 2.6.6-7~), ctags, gedit (>= 3.0) Enhances: gedit Size: 17366 SHA256: 2b8fd92898fd853553fbd7d2b47fc9847ea72d2fa88eb0d8009ae32cb9dc78c8 SHA1: a476879c3140b6945b66dbc7d066df0e10e66758 MD5sum: b9d3275f4412b0df60a0e928643a1895 Description: source code class and function browser plugin for Gedit This plugin will add a new tab to the side pane in the Gedit text editor which shows symbols (functions, classes, variables, etc.) for the active document. Clicking a symbol in the list will jump to the line on which that symbol is defined. Homepage: https://github.com/Quixotix/gedit-source-code-browser Section: gnome Priority: optional Filename: pool/main/g/gedit-source-code-browser-plugin/gedit-source-code-browser-plugin_3.0.3-2_all.deb Package: gedit-valatoys-plugin Source: valatoys Version: 0.12.1-3 Architecture: armhf Maintainer: David Paleino Installed-Size: 617 Depends: libafrodite-0.12-2 (>= 0.12.1), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libgdk-pixbuf2.0-0 (>= 2.22.0), libgirepository-1.0-1 (>= 0.9.2), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.0.0), libgtksourceview-3.0-0 (>= 2.91.4), libpango1.0-0 (>= 1.14.0), libpeas-1.0-0 (>= 1.0.0), libvala-0.14-0 (>= 0.14.2), dconf-gsettings-backend | gsettings-backend Recommends: valac-0.14 Homepage: http://code.google.com/p/vtg/ Priority: optional Section: devel Filename: pool/main/v/valatoys/gedit-valatoys-plugin_0.12.1-3_armhf.deb Size: 238450 SHA256: aab71c9e082cd2e79f4f0b65ee1ab855a3714427efc218b9285586faed19bbdb SHA1: 06c9f833eb1ff3771ba054e62fb2a9a85747bd2b MD5sum: 21b85e6a2494449a41f07663cd3709a5 Description: Vala Toys for gEdit Vala Toys for gEdit is an experimental collection of plugins that extends the gEdit editor to make it a better developer editor. . Vtg tries to make less compromises as possible so, for now, its scope is narrowed only to support the Vala programming language. . Vtg is written in Vala itself and it is currently composed of just one plugin with four modules and it adds to gEdit: . * Bracket completion * Symbol completion * Project Manager * Project build / execute Package: gedit-valatoys-plugin-dbg Source: valatoys Version: 0.12.1-3 Architecture: armhf Maintainer: David Paleino Installed-Size: 1856 Depends: gedit-valatoys-plugin (= 0.12.1-3) Homepage: http://code.google.com/p/vtg/ Priority: extra Section: debug Filename: pool/main/v/valatoys/gedit-valatoys-plugin-dbg_0.12.1-3_armhf.deb Size: 665350 SHA256: ec6f741db81033fdb7d56f9d1778b7af770121d4d6c938b021be1203d9675541 SHA1: 7e70fad347d172c6eac81e09c7e6b4ef6f06137a MD5sum: 6d68ed179f6a137fbf7c9639bd6e2825 Description: Vala Toys for gEdit - debugging symbols Vala Toys for gEdit is an experimental collection of plugins that extends the gEdit editor to make it a better developer editor. . Vtg tries to make less compromises as possible so, for now, its scope is narrowed only to support the Vala programming language. . Vtg is written in Vala itself and it is currently composed of just one plugin with four modules and it adds to gEdit: . * Bracket completion * Symbol completion * Project Manager * Project build / execute . This package contains the debugging symbols Package: gedit-valencia-plugin Version: 0.3.0-3.1 Architecture: armhf Maintainer: David Paleino Installed-Size: 437 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libgirepository-1.0-1 (>= 0.9.2), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.0.0), libgtksourceview-3.0-0 (>= 2.91.4), libpango1.0-0 (>= 1.14.0), libpeas-1.0-0 (>= 1.0.0), libvala-0.14-0 (>= 0.14.2), libvte-2.90-9 (>= 1:0.27.2), libx11-6 Homepage: http://yorba.org/valencia/ Priority: optional Section: utils Filename: pool/main/g/gedit-valencia-plugin/gedit-valencia-plugin_0.3.0-3.1_armhf.deb Size: 118084 SHA256: f2ff3b65455c49d053a302d701490c4fef52eea23c9f4a133192844bdbc80bb3 SHA1: 0e37f3707f06a4f805896bcbc7036874f7d39f97 MD5sum: f08e788f023f8cfb558126922b8dfd14 Description: Vala plugin for gedit Valencia is a gedit plugin that turns gedit into a lightweight IDE for Vala. Using Valencia, you can easily browse between symbols in a Vala program. You can build a Vala program inside gedit and can easily jump to lines with build errors. You can also get tooltips for methods and get autocompletion suggestions by invoking autocomplete in the appropriate context. Package: geekcode Version: 1.7.3-5 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 154 Depends: libc6 (>= 2.7) Homepage: http://sourceforge.net/projects/geekcode/ Priority: optional Section: games Filename: pool/main/g/geekcode/geekcode_1.7.3-5_armhf.deb Size: 63344 SHA256: 897bac45bf5d4182e120401838e909050c6c4e1910d268f19ded0b3baee264ac SHA1: acba281534996ffbfed297d6808c33621943e28a MD5sum: c226eccdc2d537080212625aa8e3fc55 Description: Program for generating geekcode This is a program for generating the geekcode. See http://www.geekcode.com for more info and for discovering if you need the geekcode. Package: geeqie Version: 1:1.0-10.1 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 1236 Depends: libc6 (>= 2.13-28), libexiv2-12, libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.14.0), liblcms1 (>= 1.15-1), liblircclient0, libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6), geeqie-common (= 1:1.0-10.1) Recommends: exiftran, exiv2, ufraw-batch, zenity, imagemagick, librsvg2-common Suggests: gimp, xpaint, geeqie-dbg, libjpeg-progs, ufraw Breaks: gqview (<< 1:1.0~beta2-3) Replaces: gqview Homepage: http://geeqie.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/g/geeqie/geeqie_1.0-10.1_armhf.deb Size: 597960 SHA256: d9db29db8a9d288bd1436f9ac320a50a651c1ed5afe3f6521e77ee14571da00e SHA1: 09c61dcf6af56b90f91d7d2cd2662d3a4d7d361b MD5sum: 8b253bba3df29d1b6f1bf76c0b2396db Description: image viewer using GTK+ Geeqie is a browser for graphics files offering single click viewing of your graphics files. It includes thumbnail view, zoom, filtering features and external editor support. Package: geeqie-common Source: geeqie Version: 1:1.0-10.1 Installed-Size: 3327 Maintainer: Michal Čihař Architecture: all Replaces: geeqie (<< 1:1.0~beta2-7) Recommends: geeqie Breaks: geeqie (<< 1:1.0~beta2-7) Size: 871700 SHA256: dcfbaf9386516dd7e9414e13daaa18e58506681c3e562f5731e270cab234dc7a SHA1: 8dab0a6359c73b82644bfd8953ec220957f9541c MD5sum: 3b4e9da38af90d73b2b78dfdf91dccae Description: data files for Geeqie Geeqie is a browser for graphics files offering single click viewing of your graphics files. It includes thumbnail view, zoom, filtering features and external editor support. . This package contains data files for Geeqie such as documentation or locales. Homepage: http://geeqie.sourceforge.net/ Tag: role::app-data Section: graphics Priority: optional Filename: pool/main/g/geeqie/geeqie-common_1.0-10.1_all.deb Package: geeqie-dbg Source: geeqie Version: 1:1.0-10.1 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 3235 Depends: geeqie (= 1:1.0-10.1) Breaks: gqview-dbg (<< 1:1.0~beta2-3) Replaces: gqview-dbg Homepage: http://geeqie.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/g/geeqie/geeqie-dbg_1.0-10.1_armhf.deb Size: 1435678 SHA256: 0d5e145f03cef99d0485713ea7352cab42c3bcd1c4795c307d3644bc0b6ef56c SHA1: 129ca704642d7a2e0d6dc551fa0202a065cf20cf MD5sum: 6aea318a0fa98eac289c912aa920ba78 Description: debug symbols for Geeqie Geeqie is a browser for graphics files offering single click viewing of your graphics files. It includes thumbnail view, zoom, filtering features and external editor support. . This package contains the debugging symbols. Package: gegl Version: 0.2.0-2+nmu1 Architecture: armhf Maintainer: Matteo F. Vescovi Installed-Size: 198 Depends: libc6 (>= 2.13-28), libgegl-0.2-0, libglib2.0-0 (>= 2.28.0), libspiro0 Homepage: http://gegl.org/ Priority: optional Section: libs Filename: pool/main/g/gegl/gegl_0.2.0-2+nmu1_armhf.deb Size: 159884 SHA256: 16c90c64757f746b93e905be91aac4823ac3031d168ab4b933ddc12e37d07493 SHA1: 9e7d451f5cdeb2d4e21fc05834111bf2528dda89 MD5sum: fc98f399138bf84b9ed010b9e4874e8a Description: Generic Graphics Library Test Program GEGL (Generic Graphics Library) is a graph based image processing framework. . GEGLs original design was made to scratch GIMPs itches for a new compositing and processing core. This core is being designed to have minimal dependencies and a simple well defined API. . This package contains a test program. Package: geiser Version: 0.1.4-2 Installed-Size: 450 Maintainer: David Bremner Architecture: all Depends: emacsen-common, emacs23 (>= 23.2) | emacs23-nox (>= 23.2) | emacs23-lucid (>= 23.2), install-info Suggests: racket Size: 165404 SHA256: 99dcedc8165a73df25a9dfd816b7186f221ef77a702b426923575a38fc4e17ec SHA1: fbc6904454221c3ad302383449dd6fe18f58c833 MD5sum: e81f7824b00fc741782a41080eac3103 Description: enhanced Scheme interaction mode for Emacs Geiser features an enhanced REPL and a set of minor modes improving Emacs' basic scheme major mode. The main functionalities provided are: - Evaluation of forms in the namespace of the current module. - Macro expansion. - File/module loading. - Namespace-aware identifier completion (including local bindings, names visible in the current module, and module names). - Autodoc: the echo area shows information about the signature of the procedure/macro around point automatically. - Jump to definition of identifier at point. - Access to documentation (including docstrings when the implementation provides it). - Listings of identifiers exported by a given module. - Listings of callers/callees of procedures. - Rudimentary support for debugging (list of evaluation/compilation error in an Emacs' compilation-mode buffer). . Currently supports Racket, or Guile 2.0 Homepage: http://www.nongnu.org/geiser/ Section: lisp Priority: optional Filename: pool/main/g/geiser/geiser_0.1.4-2_all.deb Package: geki2 Version: 2.0.3-8 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1900 Depends: libc6 (>= 2.7), libkxl0 Homepage: http://kxl.orz.hm/ Priority: optional Section: games Filename: pool/main/g/geki2/geki2_2.0.3-8_armhf.deb Size: 674828 SHA256: 50876ea5382f4cdd57bec0d4a46dd1902db9544e4caf8613a806e5585bc7fa36 SHA1: 26d1c6ee9943adfe01eec66c15c933803a26878a MD5sum: 5fee25c8036c4162f8a5fa33d1fb7bb8 Description: Xenon-like vertical shoot'em-up Geki 2 is a vertical shoot'em-up game similar to classic arcade games such as Xenon, Target Renegade or Gunhed. It features six levels and two different weapons. Package: geki3 Version: 1.0.3-7 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1687 Depends: libc6 (>= 2.7), libkxl0 Priority: optional Section: games Filename: pool/main/g/geki3/geki3_1.0.3-7_armhf.deb Size: 658134 SHA256: e3cc928e2dfe001f7d23fa537b90508b4c8db899d0638b8bbdd4ae2ec3021206 SHA1: 55ac84e72dffd0f5cf860d3e9f009d723457caaa MD5sum: 8b5a324ce0700c42b0c61d602ee8841b Description: R-Type-like horizontal shoot'em-up Geki 3 is a horizontal shoot'em-up game similar to classic arcade games such as R-Type or Zero "All Your Base Are Belong To Us" Wing. It features four levels and various weapons. Package: gelemental Version: 1.2.0-8 Architecture: armhf Maintainer: Debichem Team Installed-Size: 1026 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libelemental0 (>= 1.2.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6) Homepage: http://web.archive.org/web/20080209213045/http://www.kdau.com/projects/gelemental/ Priority: optional Section: science Filename: pool/main/g/gelemental/gelemental_1.2.0-8_armhf.deb Size: 295880 SHA256: cfbe469be91fead66c69eacd3d73a2a0c1d35a30209001bc997d8e1a1d8d66ff SHA1: 0c261711be0d38b96f26764b3d380a6193d7322d MD5sum: 54809a4aded547d84b6ee6f304441153 Description: Periodic Table viewer gElemental is a GTK+ periodic table viewer that provides detailed information about chemical elements. . It features a table view which allows the elements to be coloured thematically by several properties, a sortable list view and an element properties dialog, displaying a variety of information, including historical, thermodynamic, electrochemical, and crystallographic properties. . This package contains the main application. Package: gem Version: 1:0.93.3-5 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 3913 Depends: libc6 (>= 2.13-28), libftgl2 (>= 2.1.3~rc5), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.4.0), libxxf86vm1, zlib1g (>= 1:1.1.4), puredata-core | pd Recommends: gem-doc, gem-extra, gem-plugin-gmerlin | gem-plugin-film, gem-plugin-magick | gem-plugin-image, gem-plugin-lqt | gem-plugin-record, gem-plugin-v4l2 | gem-plugin-video Suggests: pd-zexy, ttf-dejavu Homepage: http://gem.iem.at/ Priority: optional Section: graphics Filename: pool/main/g/gem/gem_0.93.3-5_armhf.deb Size: 1452394 SHA256: 794a9f2c94ddcdc391ef6d97cefac868ddbf54275bd816e3d894bcb04ab3b3b3 SHA1: deaf7e0d508590af47d9616bcd38c79db77b6b2a MD5sum: 6b67b5d14889cecb102c09b5fbd904cc Description: Graphics Environment for Multimedia - Pure Data library Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package contains the core library. If you want to use external data (live video capture, film footage, still images,...), you have to install one (or all) of the gem-plugin-* packages. Package: gem-dev Source: gem Version: 1:0.93.3-5 Installed-Size: 1231 Maintainer: Paul Brossier Architecture: all Depends: puredata-dev | puredata (<< 0.43), libgl1-mesa-dev | libgl-dev, libglu1-mesa-dev | libglu-dev, libftgl-dev Recommends: gem Suggests: pkg-config Size: 309304 SHA256: df542db688e283febd7a0076321f42c478f51eab91a3a6b4fa92d8d8b7476cc8 SHA1: cda238f10d126e289931850c4265fcaeef182d13 MD5sum: f5697f361606244d5895c30101c8f32b Description: Graphics Environment for Multimedia (development files) Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides the header-files for compiling externals (plugins) for Gem. Homepage: http://gem.iem.at/ Tag: devel::library, role::devel-lib Section: libdevel Priority: optional Filename: pool/main/g/gem/gem-dev_0.93.3-5_all.deb Package: gem-doc Source: gem Version: 1:0.93.3-5 Installed-Size: 4455 Maintainer: Paul Brossier Architecture: all Replaces: gem (<< 1:0.93) Recommends: gem Breaks: gem (<< 1:0.93) Size: 3444974 SHA256: 623f1ee5cb4f006bbb05c65275a09708cb9ec4eda527cc0e0722b5a87290e76b SHA1: e80d0693d71ab12b7d1dff966e171a53c67a1edd MD5sum: 967a3ba669f0bec998a78d29a0eafcf9 Description: Graphics Environment for Multimedia (documentation) Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package includes the documentation for Gem. Homepage: http://gem.iem.at/ Section: doc Priority: optional Filename: pool/main/g/gem/gem-doc_0.93.3-5_all.deb Package: gem-extra Source: gem Version: 1:0.93.3-5 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 316 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libxxf86vm1, zlib1g (>= 1:1.1.4), gem (>= 1:0.93.3-5), gem (<< 1:0.93.3+1~) Homepage: http://gem.iem.at/ Priority: optional Section: graphics Filename: pool/main/g/gem/gem-extra_0.93.3-5_armhf.deb Size: 191476 SHA256: cd072d80915dae88cd97a938a5981854538fe632ba570b97f1e2fef1461d0736 SHA1: c6cc8acd258742444d0ab6c02f200908d757b369 MD5sum: a4d932bc0bffe4c6ff7d45245da7f454 Description: Graphics Environment for Multimedia - extra objects Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package includes some contrib objects, mainly for tracking. Currently included objects are: [pix_mano], [pix_drum] tracking objects by Jaime Oliver [pix_fiducialtrack] reacTIVision-like tracking Package: gem-plugin-dc1394 Source: gem Version: 1:0.93.3-5 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 239 Depends: libc6 (>= 2.13-28), libdc1394-22, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libxxf86vm1, zlib1g (>= 1:1.1.4), gem (>= 1:0.93.3-5), gem (<< 1:0.93.3+1~) Provides: gem-plugin-video Homepage: http://gem.iem.at/ Priority: optional Section: graphics Filename: pool/main/g/gem/gem-plugin-dc1394_0.93.3-5_armhf.deb Size: 161350 SHA256: f72e4d04534f0aabda3340f6871093be3c1dc773587dcd89961ef83f69f3d530 SHA1: 88e128987b236c75d557dc66be1ca7e223565169 MD5sum: 203ca382636a00fe30a6dd6c49c1cd2c Description: Graphics Environment for Multimedia - DC1394 support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides video capturing using dc1394, allowing you to capture from your industry grade ("firewire") IIDC camera Package: gem-plugin-dv4l Source: gem Version: 1:0.93.3-5 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 222 Depends: libc6 (>= 2.13-28), libdv4, libgcc1 (>= 1:4.4.0), libiec61883-0 (>= 1.2.0), libraw1394-11, libstdc++6 (>= 4.4.0), libxxf86vm1, zlib1g (>= 1:1.1.4), gem (>= 1:0.93.3-5), gem (<< 1:0.93.3+1~) Provides: gem-plugin-video Homepage: http://gem.iem.at/ Priority: optional Section: graphics Filename: pool/main/g/gem/gem-plugin-dv4l_0.93.3-5_armhf.deb Size: 153730 SHA256: 7c87288ddf2b67b0478fce7f15d56ee5a0835f45427aa13c10561dcd368a9875 SHA1: f95dff3d5c017759b8d69e2a109c60c606a6bd0c MD5sum: f224de9e59811689cb888d3e8cce7cfa Description: Graphics Environment for Multimedia - DV support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides video capturing using libdv, allowing you to capture from your consumer-grade ("firewire") DV-camcorder. Package: gem-plugin-gmerlin Source: gem Version: 1:0.93.3-5 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 202 Depends: libc6 (>= 2.13-28), libgavl1 (>= 1.1.2), libgcc1 (>= 1:4.4.0), libgmerlin-avdec1 (>= 1.0.3), libstdc++6 (>= 4.4.0), libxxf86vm1, zlib1g (>= 1:1.1.4), gem (>= 1:0.93.3-5), gem (<< 1:0.93.3+1~) Provides: gem-plugin-film Homepage: http://gem.iem.at/ Priority: optional Section: graphics Filename: pool/main/g/gem/gem-plugin-gmerlin_0.93.3-5_armhf.deb Size: 143684 SHA256: 164eb7f9cef8ea60aa40d6ca1d26feffb1c800c5882daa8153cb3df13c19d2ea SHA1: ccc9494e3e9afef8f671b8932dd3f215e78f4b54 MD5sum: 1fceee12591f39ab21ebe28a3f5a57bb Description: Graphics Environment for Multimedia - GMERLIN support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides film/movie decoding using the gmerlin-avdecoder library. Package: gem-plugin-jpeg Source: gem Version: 1:0.93.3-5 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 206 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libstdc++6 (>= 4.4.0), libxxf86vm1, zlib1g (>= 1:1.1.4), gem (>= 1:0.93.3-5), gem (<< 1:0.93.3+1~) Provides: gem-plugin-image Homepage: http://gem.iem.at/ Priority: optional Section: graphics Filename: pool/main/g/gem/gem-plugin-jpeg_0.93.3-5_armhf.deb Size: 145288 SHA256: 633d3790d814cd6f029b7cf9c446d565c0ee192907dac9b24a8b77dc8c5fa476 SHA1: 6fc94f64840f1472bbe6d9233ceafd479930eb36 MD5sum: 019acda8d1d5d9c732957eb3dad44615 Description: Graphics Environment for Multimedia - JPEG support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides image input and output using the libjpeg library. If you have installed the gem-plugin-magick package as well, you might find that you don't need this package as you can already read and write jpeg images. Package: gem-plugin-lqt Source: gem Version: 1:0.93.3-5 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 248 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libquicktime2 (>= 2:1.2.2), libstdc++6 (>= 4.4.0), libxxf86vm1, zlib1g (>= 1:1.1.4), gem (>= 1:0.93.3-5), gem (<< 1:0.93.3+1~) Provides: gem-plugin-film, gem-plugin-record Homepage: http://gem.iem.at/ Priority: optional Section: graphics Filename: pool/main/g/gem/gem-plugin-lqt_0.93.3-5_armhf.deb Size: 162514 SHA256: a57e55a9565ae69f8702ef20fadde9c4628062813bc978dc19b3461fa9f9b261 SHA1: ac901f64eb377ce8720ecc7783dc22286cb7d0ef MD5sum: 185186de487a3c2f5448a72ccbe0112d Description: Graphics Environment for Multimedia - LQT support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides film/movie input and output using the libquicktime library. Package: gem-plugin-magick Source: gem Version: 1:0.93.3-5 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 214 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libmagick++5 (>= 8:6.7.7.2), libmagickcore5 (>= 8:6.7.7.2), libstdc++6 (>= 4.4.0), libxxf86vm1, zlib1g (>= 1:1.1.4), gem (>= 1:0.93.3-5), gem (<< 1:0.93.3+1~) Provides: gem-plugin-image Homepage: http://gem.iem.at/ Priority: optional Section: graphics Filename: pool/main/g/gem/gem-plugin-magick_0.93.3-5_armhf.deb Size: 148688 SHA256: f65626c4707fef431b2078cd65181042448470ba48ad271395cfa08cbbaeb4a4 SHA1: 9f869f22297e53b4cf424bb3732e64032de3b4e2 MD5sum: dd12592fd68c033c9e777f60d82758b7 Description: Graphics Environment for Multimedia - ImageMagick support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides image input and output using the ImageMagick library. Package: gem-plugin-mpeg3 Source: gem Version: 1:0.93.3-5 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 202 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmpeg3-1 (>= 1.5.4), libstdc++6 (>= 4.4.0), libxxf86vm1, zlib1g (>= 1:1.1.4), gem (>= 1:0.93.3-5), gem (<< 1:0.93.3+1~) Provides: gem-plugin-film Homepage: http://gem.iem.at/ Priority: optional Section: graphics Filename: pool/main/g/gem/gem-plugin-mpeg3_0.93.3-5_armhf.deb Size: 143980 SHA256: 157021aaaaa231fac608f14ebe3027947503791371ba39b33420e2c903fcac09 SHA1: 032f73239804a4fb6d0d27552bd7d62923f6e07d MD5sum: 602dae17f7adce670f0a03d97a3c1705 Description: Graphics Environment for Multimedia - MPEG support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides film/movie decoding using the libmpeg3 library (allowing you to read MPEG-1 and MPEG-2 video files) Package: gem-plugin-sgi Source: gem Version: 1:0.93.3-5 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 210 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libxxf86vm1, zlib1g (>= 1:1.1.4), gem (>= 1:0.93.3-5), gem (<< 1:0.93.3+1~) Provides: gem-plugin-image Homepage: http://gem.iem.at/ Priority: optional Section: graphics Filename: pool/main/g/gem/gem-plugin-sgi_0.93.3-5_armhf.deb Size: 149058 SHA256: 4bede16f812ec8beb5873971b9fe3b725c3987f06230615ff7c0166ef4901b19 SHA1: 62b78c0b2a31278cffe057e60f91812431762d63 MD5sum: 29635807e8a92a79770f400304537a96 Description: Graphics Environment for Multimedia - SGI support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides image loading for SGI images. If you have installed the gem-plugin-magick package as well, you might find that you don't need this package as you can already read and write(!) SGI images. Package: gem-plugin-tiff Source: gem Version: 1:0.93.3-5 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 202 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libtiff4 (>> 3.9.5-3~), libxxf86vm1, zlib1g (>= 1:1.1.4), gem (>= 1:0.93.3-5), gem (<< 1:0.93.3+1~) Provides: gem-plugin-image Homepage: http://gem.iem.at/ Priority: optional Section: graphics Filename: pool/main/g/gem/gem-plugin-tiff_0.93.3-5_armhf.deb Size: 143322 SHA256: d1fa071656ef1123e366816f8107f76beb91efcb1cfc95183f4358128f8d2d98 SHA1: 3d17cb7b0e071ece625168e22069cee948acbc52 MD5sum: 4634d5c79a69101113b46512a512a474 Description: Graphics Environment for Multimedia - TIFF support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides image input and output using the libtiff library. If you have installed the gem-plugin-magick package as well, you might find that you don't need this package as you can already read and write TIFF images. Package: gem-plugin-unicap Source: gem Version: 1:0.93.3-5 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 235 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libunicap2, libxxf86vm1, zlib1g (>= 1:1.1.4), gem (>= 1:0.93.3-5), gem (<< 1:0.93.3+1~) Provides: gem-plugin-video Homepage: http://gem.iem.at/ Priority: optional Section: graphics Filename: pool/main/g/gem/gem-plugin-unicap_0.93.3-5_armhf.deb Size: 158182 SHA256: 82c2b2eba341d2c1cf11977d140a58fb41ef9d3540223563c4dbcd55e51dca7c SHA1: eb95d327de485b930ae7c562de48980fecf9f73e MD5sum: aea389afb3825c9a07f0719a6c3b3c8c Description: Graphics Environment for Multimedia - unicap support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides video capturing using unicap. Package: gem-plugin-v4l2 Source: gem Version: 1:0.93.3-5 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 276 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libv4l-0 (>= 0.5.0), libxxf86vm1, zlib1g (>= 1:1.1.4), gem (>= 1:0.93.3-5), gem (<< 1:0.93.3+1~) Suggests: v4l2loopback-dkms | v4l2loopback-modules Provides: gem-plugin-record, gem-plugin-video Homepage: http://gem.iem.at/ Priority: optional Section: graphics Filename: pool/main/g/gem/gem-plugin-v4l2_0.93.3-5_armhf.deb Size: 175124 SHA256: 928a5c874e62471d0d858065eb140053948d22b90196da1a7893c43c283a05b7 SHA1: f27008dfeedbd5678dcc7f8550d7131b5ed2d405 MD5sum: b0ea2a24fbadb621aafae3c0d7ba8569 Description: Graphics Environment for Multimedia - V4L2 output support Gem is a loadable library for Pure Data (Pd), which adds OpenGL graphics rendering and animation to Pd. Pd is a graphical programming language and computer music system. . This package provides video input and output using V4L2. . For video output, you might want to use a loopback device, for which you will have to install the v4l2loopback kernel module as well. Package: gem2deb Version: 0.3.0 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 183 Depends: ruby | ruby-interpreter, ruby1.8, rubygems (>= 1.8.12-1~), ruby1.8-dev, ruby1.9.1 (>= 1.9.3.0-1~), ruby1.9.1-dev (>= 1.9.3.0-1~), build-essential, devscripts, debhelper (>= 7.0.50~), perl Priority: optional Section: ruby Filename: pool/main/g/gem2deb/gem2deb_0.3.0_all.deb Size: 43524 SHA256: 797f4c85673198048ddc2e153004a3cb17ad10d6aa592ed14f3d874807de8912 SHA1: 1104fe88521c9ea038339717470c1483558fce98 MD5sum: 6ed4c4060602d6ced249bf8d3e365020 Description: Debian Ruby packaging suite gem2deb is a set of tools to create Debian package from ruby software distributed with Rubygems. gem2tgz converts the .gem file to a tar archive, then dh-make-ruby creates a Debian source package from that archive. That Debian package uses the included Debhelper7 helper, dh_ruby, to generate a proper Debian package. Both pure-ruby and native packages are supported. Package: gemanx-gtk2 Version: 0.1.0.3-2 Architecture: armhf Maintainer: Debian Chinese Team Installed-Size: 390 Depends: libgemanx-core0 (= 0.1.0.3-2), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.14.0), libmagic1, libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6), libx11-6 Homepage: http://code.google.com/p/gemanx Priority: optional Section: net Filename: pool/main/g/gemanx-gtk2/gemanx-gtk2_0.1.0.3-2_armhf.deb Size: 149314 SHA256: c8f91892603f3d9158ffa8299994807a677aff9db7ecdeee53f4aca10393d8a6 SHA1: f9689065c61584e59443b1af8b16657e5548abd7 MD5sum: cd5833acf607fae45dfe07f6021a5783 Description: Term BBS Client beyond PCMan X PCMan X is a newly developed GPL'd version of PCMan, a full-featured famous BBS client. It aimed to be an easy-to-use yet full-featured telnet client facilitating BBS browsing with the ability to process double-byte characters. Some handy functions like tabbed-browsing, auto-login and a built-in ANSI editor enabling colored text editing are also provided. . gemanx-gtk2 is a fork of PCMan X, including many patches to make it works better with BBS in mainland China. Package: gemdropx Version: 0.9-6 Architecture: armhf Maintainer: Christian T. Steigies Installed-Size: 1586 Depends: libc6 (>= 2.13-28), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11) Homepage: http://www.newbreedsoftware.com/gemdropx Priority: optional Section: games Filename: pool/main/g/gemdropx/gemdropx_0.9-6_armhf.deb Size: 875892 SHA256: 54826a8a3e25f6ce422f5850254501237c883186a096d6b9419e99903f57625f SHA1: c4c0e175196c0c205fc7d3466ea4d87014d106d9 MD5sum: 43a5d7ef18ae6ee6617fc02ee05284dc Description: Gem Drop X is an interesting one-player puzzle game for X11 The game is played with YOU at the bottom of the screen. At the top is a random assortment of colored shapes ("gems"). As time goes on, more gems appear at the very top of the screen, pushing the rest downwards. The game is over when the gems reach the bottom. . It's your job to keep the screen from filling up. You do this by "grabbing" gems from the top of the screen, carrying them around, if need be, and "throwing" them back up. . If, when you throw some gems back up, you create a "match" of 3 or more gems in a vertical column, they disappear (with a cool little explosion). At this point, if there are any "matches" of the same gem to the left or right of this column, they disappear too! And so on. This is great for cool chain-reactions! You also get more points for the more gems that disappear in a match. (Matching four gives as many points as matching three twice, for example.) Package: gems Version: 1.1.1-2 Architecture: armhf Maintainer: Maximiliano Curia Installed-Size: 101 Depends: libc6 (>= 2.13-28) Homepage: http://gforge.lug.fi.uba.ar/projects/gemsd/ Priority: optional Section: misc Filename: pool/main/g/gems/gems_1.1.1-2_armhf.deb Size: 27708 SHA256: fb4e6e67bfec445dea19113190225bab0940c80b3f82d36e137eebed8ac528c0 SHA1: 85fa210080b5bf0f3ed76e2a4aea624b4c72e4fa MD5sum: eaacdbd33e16f69bda722f21af3bbfce Description: Shows a console session in several terminals The gems system is a client/server application that allows one to show a single console session in different computers or terminals in real time. It can also be used to transmit any other kind of data to more than one computer at the same time, via a network connection. . It was designed as an educational tool for teachers that have to show in a computer lab how to do certain things with the console. Using the gems system, each student can observe in his/her own terminal everything the teacher does. Package: genbackupdata Version: 1.6-1 Installed-Size: 128 Maintainer: Lars Wirzenius Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-cliapp (>= 0.9), python-ttystatus Size: 9838 SHA256: 39d2817fa97310f486ad647e695f66fdc47088c2e17efc4e0cd40413c2f6ac79 SHA1: 9926bf1df4093424a41041307cfb6d37490ec237 MD5sum: 81139528187c3bb3484c57779fe31f71 Description: generate test data sets for backup software genbackupdata creates or modifies directory trees in ways that simulate real filesystems sufficiently well for performance testing of backup software. For example, it can create files that are a mix of small text files and big binary files, with the binary files containing random binary junk which compresses badly. This can then be backed up, and later the directory tree can be changed by creating new files, modifying files, or deleting or renaming files. The backup can then be run again. Homepage: http://braawi.org/genbackupdata/ Section: devel Priority: optional Filename: pool/main/g/genbackupdata/genbackupdata_1.6-1_all.deb Package: gendarme Source: mono-tools Version: 2.11+git20131009.5b1ef35-1+rpi2 Architecture: all Maintainer: Debian Mono Group Installed-Size: 930 Depends: mono-runtime (>= 2.10.1), libmono-cecil-private-cil (>= 3.2.8), libmono-corlib4.5-cil (>= 3.2.8), libmono-sharpzip4.84-cil (>= 1.0), libmono-system-core4.0-cil (>= 3.2.8), libmono-system-drawing4.0-cil (>= 3.0.6), libmono-system-web-services4.0-cil (>= 1.0), libmono-system-windows-forms4.0-cil (>= 1.0), libmono-system-xml4.0-cil (>= 3.2.1), libmono-system4.0-cil (>= 3.2.8) Conflicts: mono-tools-devel (<< 2.0) Replaces: mono-tools-devel (<< 2.0) Homepage: http://www.mono-project.com/Gendarme Priority: optional Section: devel Filename: pool/main/m/mono-tools/gendarme_2.11+git20131009.5b1ef35-1+rpi2_all.deb Size: 360834 SHA256: 566257faba318d1495dbe6e667ffa333fc40ad68477b65e51b5637e365678366 SHA1: e772da459ef1a8f12708e662fba192ffd4f83146 MD5sum: 42c55cc73874a94bb495fa3117966b4c Description: extensible rule-based checker for CLI assemblies Gendarme is a extensible rule-based tool to find problems in .NET applications and libraries. Gendarme inspects programs and libraries that contain code in ECMA CIL format (Mono and .NET) and looks for common problems with the code, problems that compiler do not typically check or have not historically checked. Package: genders Version: 1.18-1 Architecture: armhf Maintainer: Brian Pellin Installed-Size: 98 Depends: libc6 (>= 2.13-28), libgenders0 Suggests: rdist Conflicts: pdsh (<< 2.8-1-1) Homepage: https://computing.llnl.gov/linux/genders.html Priority: optional Section: admin Filename: pool/main/g/genders/genders_1.18-1_armhf.deb Size: 45290 SHA256: 839e7522b9cf76da35f272afbe13b858fe94367337b22d5f2ffdfe7c26cf5e02 SHA1: f6138c0fdcca4b50982f8b77bfe56f303f0cd598 MD5sum: a525741fbb73d6d5cc9f2dd65c1ee1ac Description: cluster configuration management database tools Genders is a static cluster configuration database used for cluster configuration management. It is used by a variety of tools and scripts for management of large clusters. The genders database is typically replicated on every node of the cluster. It describes the layout and configuration of the cluster so that tools and scripts can sense the variations of cluster nodes. By abstracting this information into a plain text file, it becomes possible to change the configuration of a cluster by modifying only one file. Package: generatorrunner Version: 0.6.16-1 Architecture: armhf Maintainer: Didier Raboud Installed-Size: 104 Depends: libapiextractor0.10, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgenrunner0.6 (>= 0.6.3), libqt4-xml (>= 4:4.7.0), libqtcore4 (>= 4:4.7.0), libstdc++6 (>= 4.4.0) Recommends: docgenerator Homepage: http://www.pyside.org/home-binding/binding-generator/ Priority: extra Section: devel Filename: pool/main/g/generatorrunner/generatorrunner_0.6.16-1_armhf.deb Size: 45448 SHA256: 7385c68162caa258c870d81ff7a40b986337d3f557f646d384b0d352bea60534 SHA1: 96b05bd9c39e8c6866d9954c66bfaed191584ebe MD5sum: 0e01caaf17dc6df9418ec05688841dee Description: plugin-based application to run apiextractor-based generators Runs generator plugins, such as docgenerator. . The Binding Generator is a utility that parses the headers for a given C/C++ library and modifies this data with the information and guides from XML files (called typesystem files) containing complementar semantic information, modifications, renamings, etc, in order to generate binding source code (or documentation, or anything you want) for the target language for which it was written. Package: generatorrunner-dbg Source: generatorrunner Version: 0.6.16-1 Architecture: armhf Maintainer: Didier Raboud Installed-Size: 882 Depends: generatorrunner (>= 0.6.16-1), docgenerator (>= 0.6.16-1), libgenrunner0.6 (>= 0.6.16-1) Homepage: http://www.pyside.org/home-binding/binding-generator/ Priority: extra Section: debug Filename: pool/main/g/generatorrunner/generatorrunner-dbg_0.6.16-1_armhf.deb Size: 798682 SHA256: 3b10d8c58ad957dc7367dcef0725f6e6762fa494d7f82d0e8eb1bc44e6d2ebb6 SHA1: 5150376fa849ace654e2366bfca93fb802258640 MD5sum: b213e8d4ac4cf1089663786f615ecde1 Description: debugging symbols for generatorrunner, and its brothers The Binding Generator is a utility that parses the headers for a given C/C++ library and modifies this data with the information and guides from XML files (called typesystem files) containing complementar semantic information, modifications, renamings, etc, in order to generate binding source code (or documentation, or anything you want) for the target language for which it was written. . This package contains the debugging symbols for generatorrunner, docgenerator and libgenrunner. Package: generatorrunner-doc Source: generatorrunner Version: 0.6.16-1 Installed-Size: 267 Maintainer: Didier Raboud Architecture: all Depends: libjs-sphinxdoc (>= 1.0) Size: 173078 SHA256: 018e8c9686f86ff4ea2fb09f28211d030502f9dac782770a8b6dfa09e4cd06b2 SHA1: 53c591bf5ab48f327316966dd6fd4926704fa5a7 MD5sum: ab97294157d90494a7043b82121a5fb2 Description: documentation for generatorrunner, and its brothers The Binding Generator is a utility that parses the headers for a given C/C++ library and modifies this data with the information and guides from XML files (called typesystem files) containing complementar semantic information, modifications, renamings, etc, in order to generate binding source code (or documentation, or anything you want) for the target language for which it was written. . This package contains the documentation for generatorrunner, docgenerator and libgenrunner. Homepage: http://www.pyside.org/home-binding/binding-generator/ Tag: devel::doc, devel::lang:c, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/g/generatorrunner/generatorrunner-doc_0.6.16-1_all.deb Package: geneweb Version: 6.05.1-1 Architecture: armhf Maintainer: Christian Perrier Installed-Size: 6937 Pre-Depends: debconf (>= 0.5) | debconf-2.0, iso-codes, isoquery, adduser, dpkg (>= 1.15.6~) Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5, perl5-base, lsb-base (>= 3.0-6) Suggests: gwtp, gwsetup, lynx | www-browser Homepage: http://www.geneweb.org/ Priority: optional Section: misc Filename: pool/main/g/geneweb/geneweb_6.05.1-1_armhf.deb Size: 1036984 SHA256: 98bb3e93825f36c2799b474d711cdfd4a54cc97d9cfd129538c8d290dcf4fefd SHA1: 77d32c34b6a0b2da3e107312253203ab4d6e5b54 MD5sum: 8668424c6cadf4b710aebb87b2b08497 Description: genealogy software with web interface Geneweb allows keeping track of ancestral data. It is a powerful system for maintaining a set of data about your family history. It supports much of the GEDCOM tag system for data storage, and can be used either as your primary system for archiving genealogical data, or as a web service (through a CGI interface) for publishing your data for others to use. . Geneweb is under active use and development, so its feature set is constantly improving. It already boasts features not found in most off-the-shelf products, such as consanguinity and relationship calculations, as well as other statistical analysis tools. Package: genext2fs Version: 1.4.1-4 Architecture: armhf Maintainer: Jérémie Koenig Installed-Size: 74 Depends: libc6 (>= 2.4) Priority: optional Section: admin Filename: pool/main/g/genext2fs/genext2fs_1.4.1-4_armhf.deb Size: 24860 SHA256: de9fbe94a53a7596c1e99581623f4dd155dad5c54507965d6d82322c912cc879 SHA1: 43ed958fabdc388429d528f158e8a6d951baf912 MD5sum: 320536208ab873aef45e8e3e03479997 Description: ext2 filesystem generator for embedded systems genext2fs is meant to generate an ext2 filesystem as a normal (non-root) user. It doesn't require you to mount the image file to copy files on it. It doesn't even require you to be the superuser to make device nodes. Package: gengetopt Version: 2.22.5-1 Architecture: armhf Maintainer: Alessio Treglia Installed-Size: 828 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.gnu.org/software/gengetopt/ Priority: optional Section: devel Filename: pool/main/g/gengetopt/gengetopt_2.22.5-1_armhf.deb Size: 320504 SHA256: cb38d65befa35b686b5c64776f77f160ae4e2b701dfc93d5d621d0cf68bd6ae3 SHA1: eb0922527723906213160d6a3c468aa83630445b MD5sum: c9dae3dab862491481a59d92ce19b340 Description: skeleton main.c generator gengetopt reads an interface description file, and writes a skeleton main.c file. gengetopt supports: long and short options, 11 types of parameters (including flag, int, double, string, and function call), and a usage message. Package: genisoimage Source: cdrkit Version: 9:1.1.11-2 Architecture: armhf Maintainer: Joerg Jaspert Installed-Size: 1268 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmagic1, zlib1g (>= 1:1.1.4) Suggests: wodim, cdrkit-doc Conflicts: mkhybrid, mkisofs (<< 9:1.0) Replaces: mkisofs (<< 9:1.0) Priority: optional Section: otherosfs Filename: pool/main/c/cdrkit/genisoimage_1.1.11-2_armhf.deb Size: 585874 SHA256: da6b28a81237bb5647987ffd987168856fea0bea1d766b201f49df988b979026 SHA1: 04bd9b70f270997e1b2f967b9150b1df57f620ea MD5sum: 224a3187c3ac42fece4f273920303ed6 Description: Creates ISO-9660 CD-ROM filesystem images genisoimage is a pre-mastering program for creating ISO-9660 CD-ROM filesystem images, which can then be written to CD or DVD media using the wodim program. genisoimage includes support for making bootable "El Torito" CDs, as well as CDs with support for the Macintosh HFS filesystem. . The package also includes extra tools useful for working with ISO images: * mkzftree - create ISO-9660 image with compressed contents * dirsplit - easily separate large directory contents into disks of predefined size * geteltorito - extract an El Torito boot image from a CD image . Please install cdrkit-doc if you want most of the documentation and README files. Package: genisovh Version: 0.1-3 Architecture: armhf Maintainer: Thiemo Seufer Installed-Size: 43 Depends: libc6 (>= 2.4) Priority: optional Section: utils Filename: pool/main/g/genisovh/genisovh_0.1-3_armhf.deb Size: 5374 SHA256: 665c936cf1743c7f76217c1c53dcb30f7b43463932d8a8e372509676f0ff4ef8 SHA1: 57f66af340c893309f60663bb03de366afa414e6 MD5sum: ce373874c27c43439724c44add4e70ed Description: Make CD-ROMs bootable for SGI MIPS machines Genisovh creates a Disk Volume Header (dvh) on a CD image and records bootable images therein. This allows the SGI firmware to boot those images without needing knowledge about the filesystem on the CD image. Package: genius Version: 1.0.14-1 Architecture: armhf Maintainer: Sebastian Dröge Installed-Size: 630 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libgmp10, libmpfr4 (>= 3.1.0), libreadline6 (>= 6.0), libtinfo5, genius-common (= 1.0.14-1) Homepage: http://www.5z.com/jirka/genius.html Priority: optional Section: gnome Filename: pool/main/g/genius/genius_1.0.14-1_armhf.deb Size: 328988 SHA256: 113af7456f542b59ce254542864d0565f798194826147264f9da5214d3fbebb8 SHA1: c33039ea8be2e1c68d734a83fd59c7dc6927121e MD5sum: 377c3b944dcb062f61805eaf50523698 Description: advanced general purpose calculator program (CLI frontend) Genius is a general purpose calculator program similar in some aspects to BC, Matlab or Maple. It is useful both as a simple calculator and as a research or educational tool. The syntax is very intuitive and is designed to mimic how mathematics is usually written. . This package contains a command line interface for genius. Package: genius-common Source: genius Version: 1.0.14-1 Architecture: armhf Maintainer: Sebastian Dröge Installed-Size: 3344 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libmpfr4 (>= 3.1.0), libreadline6 (>= 6.0) Homepage: http://www.5z.com/jirka/genius.html Priority: optional Section: gnome Filename: pool/main/g/genius/genius-common_1.0.14-1_armhf.deb Size: 1025306 SHA256: 3f5af3a8dcde258812c98da38df1750b543bb89cfd02fc62e4115d26dd108daf SHA1: 71e435d082c44a10492f1aa8bbdcc496810e0dbc MD5sum: dbbdc5767ef90c3c3ffedf4fe11d2f8b Description: advanced general purpose calculator program (common files) Genius is a general purpose calculator program similar in some aspects to BC, Matlab or Maple. It is useful both as a simple calculator and as a research or educational tool. The syntax is very intuitive and is designed to mimic how mathematics is usually written. . This package contains common files for genius. Package: genius-dev Source: genius Version: 1.0.14-1 Architecture: armhf Maintainer: Sebastian Dröge Installed-Size: 178 Depends: libglib2.0-dev (>= 2.12.0), libgmp3-dev, libmpfr-dev (>= 2.0.0), libc6-dev | libc-dev Homepage: http://www.5z.com/jirka/genius.html Priority: optional Section: devel Filename: pool/main/g/genius/genius-dev_1.0.14-1_armhf.deb Size: 103902 SHA256: 03318c9a2bb9e3a1e8d957c5c87249a358f7721fe5a6a9a2cc08a1ad1d461178 SHA1: d6c6a1200e3e858f8631c970b74c163447ebfba8 MD5sum: 0aeeb28c1e24206402b899baf2df9fe6 Description: advanced general purpose calculator program (development files) Genius is a general purpose calculator program similar in some aspects to BC, Matlab or Maple. It is useful both as a simple calculator and as a research or educational tool. The syntax is very intuitive and is designed to mimic how mathematics is usually written. . This package contains development files needed for developing and building plugins. Package: genparse Version: 0.9.1-1 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 369 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), dpkg (>= 1.15.4) | install-info Homepage: http://genparse.sourceforge.net Priority: optional Section: devel Filename: pool/main/g/genparse/genparse_0.9.1-1_armhf.deb Size: 169908 SHA256: 65691f5a3f41e4cf110bf3e97623348654ba3980b023391e1fa3ce1a71e904cb SHA1: bf0f5804ec89f9f36e6bb466a90a81ea536a719d MD5sum: 6fbbcd202f052af79a989337d1c8ac95 Description: command line parser generator From simple and concise specification file, you can define the command line parameters and switches that you would like to be able to pass to your program. Genparse creates the C code of the parser for you, which you can then compile as a separate file and link with your program. Package: genromfs Version: 0.5.2-2 Architecture: armhf Maintainer: Juan Cespedes Installed-Size: 58 Depends: libc6 (>= 2.7) Priority: extra Section: admin Filename: pool/main/g/genromfs/genromfs_0.5.2-2_armhf.deb Size: 17702 SHA256: b19b81f11da2b7b313a6ce3db6c6eb93c57ff19ca4f9627848135357f45382cf SHA1: 4d8e7780c7968c353d9613d0dea28bcbb9a226e3 MD5sum: 3c7ad80d88146a0b608c9bf539dcea5f Description: This is the mkfs equivalent for romfs filesystem You need it to build a romfs filesystem. romfs is a small, read-only filesystem intended for installation/rescue disks or "embedded" applications. . This filesystem is supported by Linux 2.1.25 and later. Package: gentle Version: 1.9+cvs20100605+dfsg1-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 6149 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmysqlclient18 (>= 5.5.24+dfsg-1), libsqlite0 (>= 2.8.17), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libtinyxml2.6.2, libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1) Recommends: clustalw (>= 2.1+lgpl) Homepage: http://gentle.magnusmanske.de Priority: optional Section: science Filename: pool/main/g/gentle/gentle_1.9+cvs20100605+dfsg1-1_armhf.deb Size: 2638492 SHA256: ef59c25dda7d3d6af467dd9f9ae0c7db141a01e9523e8c6cf421b65c71f72326 SHA1: 19465baa8642f73e3457a82015715ef11bda09b8 MD5sum: f7e59c861eca15c5e10e96a026a749f5 Description: suite to plan genetic cloning GENtle is a software for DNA and amino acid editing, database management, plasmid maps, restriction and ligation, alignments, sequencer data import, calculators, gel image display, PCR, and much more. Package: gentoo Version: 0.19.13-2 Architecture: armhf Maintainer: Innocent De Marchi Installed-Size: 1782 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0) Suggests: file Homepage: http://www.obsession.se/gentoo/ Priority: optional Section: x11 Filename: pool/main/g/gentoo/gentoo_0.19.13-2_armhf.deb Size: 745458 SHA256: 72566ce638529dbc0affc4862bbf0f51869a974568a87796b2e611b5f1481a7d SHA1: 516b10c2264423d2c3ff782ab5f481909e082aef MD5sum: dc584cc23866a911b2adb9a7176751e1 Description: fully GUI-configurable, two-pane X file manager gentoo is a two-pane file manager for the X Window System. gentoo lets the user do (almost) all of the configuration and customizing from within the program itself. If you still prefer to hand-edit configuration files, they're fairly easy to work with since they are written in an XML format. . gentoo features a fairly complex and powerful file identification system, coupled to an object-oriented style system, which together give you a lot of control over how files of different types are displayed and acted upon. Additionally, over a hundred pixmap images are available for use in file type descriptions. . gentoo was written from scratch in ANSI C, and it utilizes the GTK+ toolkit for its interface. Package: genus2reduction Version: 0.3-2.2 Architecture: armhf Maintainer: Tim Abbott Installed-Size: 87 Depends: libc6 (>= 2.13-28), libpari-gmp3 (>= 2.5.0-1) Homepage: http://www.math.u-bordeaux.fr/~liu/G2R/ Priority: optional Section: math Filename: pool/main/g/genus2reduction/genus2reduction_0.3-2.2_armhf.deb Size: 31038 SHA256: 1130bb8a2ad665a4924b2f7a6d8d16bfd2b8d728921cb4f8ae815807da85f5ab SHA1: f706b206ebdcdac92ad5edf95e47a0be9bca291e MD5sum: 086536de8976b34b1d7478cfa0c1e1e3 Description: Conductor and Reduction Types for Genus 2 Curves genus2reduction is a program for computing the conductor and reduction types for a genus 2 hyperelliptic curve. . As an example of genus2reduction's functionality, let C be a proper smooth curve of genus 2 defined by a hyperelliptic equation y^2+Q(x)y=P(x), where P(x) and Q(x) are polynomials with rational coefficients such that deg(Q(x))<4, deg(P(x))<7. Let J(C) be the Jacobian of C, let X be the minimal regular model of C over the ring of integers Z. . This program determines the reduction of C at any prime number p (that is the special fiber X_p of X over p), and the exponent f of the conductor of J(C) at p. Package: geoclue Version: 0.12.0-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 78 Depends: gconf-service, libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libgconf-2-4 (>= 2.31.1), libgeoclue0 (>= 0.11.1), libglib2.0-0 (>= 2.16.0), libnm-glib4 (>= 0.7.999), libxml2 (>= 2.6.27) Recommends: geoclue-hostip, geoclue-localnet, geoclue-yahoo, geoclue-manual Homepage: http://www.freedesktop.org/wiki/Software/GeoClue Priority: optional Section: utils Filename: pool/main/g/geoclue/geoclue_0.12.0-4_armhf.deb Size: 20886 SHA256: d7c1b1d66d009a1d4d7cea3aee9099505a324eca8924f417f525c49cca062c83 SHA1: 5e6bd614077220e25a35fabbed065800857f27bd MD5sum: 5450cda26d54f0815de713d7b47512d8 Description: Geographic information framework GeoClue provides applications access to various geographical information sources using a D-Bus API or a C library. . This package contains the master server for GeoClue. Package: geoclue-examples Source: geoclue Version: 0.12.0-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 77 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgeoclue0 (>= 0.11.1+git20091217), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27), geoclue (= 0.12.0-4) Replaces: geoclue (<< 0.11.1-4) Homepage: http://www.freedesktop.org/wiki/Software/GeoClue Priority: optional Section: devel Filename: pool/main/g/geoclue/geoclue-examples_0.12.0-4_armhf.deb Size: 15800 SHA256: 089b736145e1e00b810b4f12e155a1fb270365189fa6efb7fedf6d662d6ce66f SHA1: f5e0cf9d45fc80849085fa0fe447dfb89ae23704 MD5sum: 282b698b0dfaf74f6b30db83b4d5eab7 Description: GeoClue example clients GeoClue provides applications access to various geographical information sources using a D-Bus API or a C library. . This package provides examples of clients using different backends through the C library. Package: geoclue-geonames Source: geoclue Version: 0.12.0-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 58 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgeoclue0 (>= 0.12.0), libglib2.0-0 (>= 2.16.0), libxml2 (>= 2.6.27), geoclue (= 0.12.0-4) Provides: geoclue-provider Homepage: http://www.freedesktop.org/wiki/Software/GeoClue Priority: optional Section: utils Filename: pool/main/g/geoclue/geoclue-geonames_0.12.0-4_armhf.deb Size: 10020 SHA256: 89508958cea15751860ef29187bdd7b0c7f0cf5f78bc8c808b9d310aa8e968bf SHA1: ebbfa2f10cc4f750e5a128340a88f69a02091cc3 MD5sum: 6a28fccac4190bb2fbe97a07fd88a475 Description: Geocoder provider for GeoClue (geonames) GeoClue provides applications access to various geographical information sources using a D-Bus API or a C library. . This package provides a geocoder backend for GeoClue. The location information comes from www.geonames.org. Package: geoclue-gsmloc Source: geoclue Version: 0.12.0-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 70 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgeoclue0 (>= 0.12.0), libglib2.0-0 (>= 2.16.0), libxml2 (>= 2.6.27), geoclue (= 0.12.0-4) Provides: geoclue-provider Homepage: http://www.freedesktop.org/wiki/Software/GeoClue Priority: optional Section: utils Filename: pool/main/g/geoclue/geoclue-gsmloc_0.12.0-4_armhf.deb Size: 14968 SHA256: e6dfe43b060836b990b9624c66690d2e215dd972c5672b5bd8f809c81103478d SHA1: aa4f6dbdecd14490ab50613dc05d1f2dab1c20ac MD5sum: 99b61383cd351d80269d3e15c592fc7b Description: Position server for GeoClue (GSM) GeoClue provides applications access to various geographical information sources using a D-Bus API or a C library. . This package provides a positioning backend for GeoClue. The location information comes from a GSM (cellular) device through the ofono stack and opencellid.org. Package: geoclue-hostip Source: geoclue Version: 0.12.0-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 57 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgeoclue0 (>= 0.12.0), libglib2.0-0 (>= 2.14.0), libxml2 (>= 2.6.27), geoclue (= 0.12.0-4) Provides: geoclue-provider Homepage: http://www.freedesktop.org/wiki/Software/GeoClue Priority: optional Section: utils Filename: pool/main/g/geoclue/geoclue-hostip_0.12.0-4_armhf.deb Size: 9222 SHA256: d76bb7d62f3fb45dc81c518b8f9cb5547e6a58ccd2ed2695962cc35b4234eefc SHA1: 98d27b149ecf7083932f052207b39c25eda19bd9 MD5sum: 936a2abd665930eb8aea94abd8f82352 Description: Position server for GeoClue (hostip) GeoClue provides applications access to various geographical information sources using a D-Bus API or a C library. . This package provides a positioning backend for GeoClue. It uses the IP geolocation database (http://hostip.info). Package: geoclue-localnet Source: geoclue Version: 0.12.0-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 62 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libgeoclue0 (>= 0.12.0), libglib2.0-0 (>= 2.16.0), libxml2 (>= 2.6.27), geoclue (= 0.12.0-4) Provides: geoclue-provider Homepage: http://www.freedesktop.org/wiki/Software/GeoClue Priority: optional Section: utils Filename: pool/main/g/geoclue/geoclue-localnet_0.12.0-4_armhf.deb Size: 12004 SHA256: 79a9bd9bbd4b186fe3960ac3bf6180c16173ae23726910ec6202a607d6a79d1d SHA1: cbe68dff1a6c008b6dbee8db325cd3e51edcde13 MD5sum: e39c82ef19e3492bb3fb7231b2832ce4 Description: Position server for GeoClue (local network) GeoClue provides applications access to various geographical information sources using a D-Bus API or a C library. . This package provides a positioning backend for GeoClue. The location information comes from a configuration file containing information about the current network. Package: geoclue-manual Source: geoclue Version: 0.12.0-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 58 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libgeoclue0 (>= 0.11.1), libglib2.0-0 (>= 2.14.0), libxml2 (>= 2.6.27), geoclue (= 0.12.0-4) Provides: geoclue-provider Homepage: http://www.freedesktop.org/wiki/Software/GeoClue Priority: optional Section: utils Filename: pool/main/g/geoclue/geoclue-manual_0.12.0-4_armhf.deb Size: 9750 SHA256: b62d85926fcf27e911d03afa72fa0f3333fef02ee6bac91ba2cc4dd87394890b SHA1: 3609ca3cbf1daafed016a1d23a71e11b4a9dc010 MD5sum: cc3229bcd13b724e79c95fbccec26258 Description: Position server for GeoClue (manual) GeoClue provides applications access to various geographical information sources using a D-Bus API or a C library. . This package provides a positioning backend for GeoClue. It works by letting the user specify the location. Package: geoclue-nominatim Source: geoclue Version: 0.12.0-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 59 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgeoclue0 (>= 0.12.0), libglib2.0-0 (>= 2.14.0), libxml2 (>= 2.6.27), geoclue (= 0.12.0-4) Provides: geoclue-provider Homepage: http://www.freedesktop.org/wiki/Software/GeoClue Priority: optional Section: utils Filename: pool/main/g/geoclue/geoclue-nominatim_0.12.0-4_armhf.deb Size: 10238 SHA256: e429236ff826a65f41ebab8652bfa038573ea01a99b9af4f0a28ba9deeb86336 SHA1: 778cbe2cab1835dd723ee49930e173cf1094885f MD5sum: 3f096ba2e339e2aed1bd2e712f56da4f Description: Geocoding and reverse-geocoding server for GeoClue (Nominatim) GeoClue provides applications access to various geographical information sources using a D-Bus API or a C library. . This package provides a geocoding and reverse-geocoding backend for GeoClue. It uses the Nominatim (OpenStreetMap) API. Package: geoclue-plazes Source: geoclue Version: 0.12.0-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 59 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgeoclue0 (>= 0.12.0), libglib2.0-0 (>= 2.16.0), libxml2 (>= 2.6.27), geoclue (= 0.12.0-4) Provides: geoclue-provider Homepage: http://www.freedesktop.org/wiki/Software/GeoClue Priority: optional Section: utils Filename: pool/main/g/geoclue/geoclue-plazes_0.12.0-4_armhf.deb Size: 10432 SHA256: fde8aa7c826572d88b866a6b8a15f20e2e75f4f76d90d363671a6e24e20062cc SHA1: 78560ed3948bf4824f374722de91f43ee8d77aea MD5sum: 3f30d45b5eedc086ba05c3e7c92e3a67 Description: Position server for GeoClue (Plazes) GeoClue provides applications access to various geographical information sources using a D-Bus API or a C library. . This package provides a positioning backend for GeoClue. It uses the plazes.com router/access point location database (http://plazes.com). Package: geoclue-skyhook Source: geoclue Version: 0.12.0-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 59 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgeoclue0 (>= 0.11.1), libglib2.0-0 (>= 2.14.0), libsoup-gnome2.4-1 (>= 2.27.4), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.7.4), geoclue (= 0.12.0-4) Provides: geoclue-provider Homepage: http://www.freedesktop.org/wiki/Software/GeoClue Priority: optional Section: utils Filename: pool/main/g/geoclue/geoclue-skyhook_0.12.0-4_armhf.deb Size: 10238 SHA256: 8b7185a5563d787aecfc83e221811adcdf19d0eea766dec63da0849b33bcfa60 SHA1: db34a3e9e2b921c3b83c0e33d4c2b3b74082bad1 MD5sum: 6dfc623bdb5a84b87f7ab309de6b594f Description: Map and geocode server for GeoClue (Skyhook) GeoClue provides applications access to various geographical information sources using a D-Bus API or a C library. . This package provides a map and geocoding backend for GeoClue. It uses Skyhook provider. Package: geoclue-yahoo Source: geoclue Version: 0.12.0-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 57 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgeoclue0 (>= 0.11.1), libglib2.0-0 (>= 2.14.0), libxml2 (>= 2.6.27), geoclue (= 0.12.0-4) Provides: geoclue-provider Homepage: http://www.freedesktop.org/wiki/Software/GeoClue Priority: optional Section: utils Filename: pool/main/g/geoclue/geoclue-yahoo_0.12.0-4_armhf.deb Size: 9260 SHA256: 28c7cae390abd56d407054cd77870b76a182e15f04e1a5c8a3bc85226717eed5 SHA1: 98d74e56d202b74838cfc550ce9f96573e124325 MD5sum: 63bd831f526b8cd3c6d22f0b04765850 Description: Map and geocode server for GeoClue (Yahoo) GeoClue provides applications access to various geographical information sources using a D-Bus API or a C library. . This package provides a map and geocoding backend for GeoClue. It uses the Yahoo map API. Package: geogebra Version: 4.0.34.0+dfsg1-1 Installed-Size: 5903 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre | java5-runtime | java6-runtime | java7-runtime, icedtea-netx-common, libcollections15-java, libcommons-math-java, libfreehep-graphics2d-java, libfreehep-graphicsio-emf-java (>= 2.1.1-emfplus+dfsg1-2), libfreehep-graphicsio-java, libfreehep-graphicsio-pdf-java, libfreehep-graphicsio-svg-java, libfreehep-io-java, libfreehep-util-java, libfreehep-xml-java, libjfugue-java, libjlatexmath-java, librhino-java (>= 1.7R3-5), mathpiper (>= 0.81f+svn4469+dfsg3) Suggests: cups Size: 5331282 SHA256: 3e9bdd99687089e8fcb9ab4a706bddc60b56bfc998c925e4aaed72093e8b7d1d SHA1: 0235571766628b0d82cefa151755ceeeec5c149b MD5sum: 816233c05fbb641b3a90f157a275f6c8 Description: Dynamic mathematics software for education GeoGebra is a dynamic geometry program. You can do constructions with points, vectors, segments, lines, conic sections as well as functions and change them dynamically afterwards. On the other hand, equations and coordinates can be entered directly. . Support for many geometric constructions is provided, as well as support for many calculus-based tools (derivatives, osculating circle, ...). . GeoGebra files can be exported in many different formats, or as interactive applets for web pages. Homepage: http://www.geogebra.org/ Tag: field::mathematics, implemented-in::java, interface::x11, role::program, scope::application, uitoolkit::xlib, use::learning, works-with-format::png, works-with-format::svg, works-with::image, works-with::image:raster, works-with::image:vector, x11::applet, x11::application Section: education Priority: extra Filename: pool/main/g/geogebra/geogebra_4.0.34.0+dfsg1-1_all.deb Package: geogebra-gnome Source: geogebra Version: 4.0.34.0+dfsg1-1 Installed-Size: 75 Maintainer: Debian Java Maintainers Architecture: all Depends: geogebra, imagemagick Size: 16530 SHA256: 330b91d5b005417255ed9ac5b80501a01abf7242cf893b95d9e784fb7a2a62a5 SHA1: 708d4c3a45affb3042893edfaf01bcdf4f34cc28 MD5sum: baba1e40cab1820ef2e4010165e17613 Description: GNOME integration layer for GeoGebra GeoGebra is a dynamic geometry system. You can do constructions with points, vectors, segments, lines, conic sections as well as functions and change them dynamically afterwards. On the other hand, equations and coordinates can be entered directly. . Support for many geometric constructions is provided, as well as support for many elementary calculus-based tools (derivatives, osculating circle, ...). . GeoGebra files can be exported in many different formats, or as interactive applets for web pages. . This package contains the GNOME thumbnailer for the GeoGebra file format. Homepage: http://www.geogebra.org/ Section: education Priority: extra Filename: pool/main/g/geogebra/geogebra-gnome_4.0.34.0+dfsg1-1_all.deb Package: geogebra-kde Version: 1.0-1 Architecture: armhf Maintainer: Giovanni Mascellani Installed-Size: 49 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1), geogebra Homepage: http://www.geogebra.org/en/wiki/index.php/GeoGebra_in_Linux Priority: extra Section: kde Filename: pool/main/g/geogebra-kde/geogebra-kde_1.0-1_armhf.deb Size: 5744 SHA256: 0ce00344d06f8f43b249950350b6f00d41d23f7e443f71db1282c0f6a4d639db SHA1: e6e3c35ab59f2f3914467bea18caf3e5c10b77fd MD5sum: fc3ce3a0ea985b2d9f38d5f807ca891f Description: KDE integration layer for GeoGebra GeoGebra is a dynamic geometry system. You can do constructions with points, vectors, segments, lines, conic sections as well as functions and change them dynamically afterwards. On the other hand, equations and coordinates can be entered directly. . Support for many geometric constructions is provided, as well as support for many elementary calculus-based tools (derivatives, osculating circle, ...). . GeoGebra files can be exported in many different formats, or as interactive applets for web pages. . This package contains the KDE thumbnailer for the GeoGebra file format. Package: geographiclib-tools Source: geographiclib Version: 1.21-1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 6375 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgeographiclib9, libstdc++6 (>= 4.4.0) Recommends: bzip2 Suggests: proj-bin Homepage: http://geographiclib.sf.net/ Priority: optional Section: science Filename: pool/main/g/geographiclib/geographiclib-tools_1.21-1_armhf.deb Size: 1268172 SHA256: 2ae52a55a6406004e73c1ef9c6c89694cb4260a5bd7b46fcd531f43d573aab1e SHA1: 67cc163ff7823c2d1db78ea3f2614d312e3c53d1 MD5sum: 26bee02c134aec87b6b0d538f6b669c6 Description: A C++ library to solve some geodesic problems -- tools GeographicLib is a small set of C++ classes for converting between geographic, UTM, UPS, MGRS, geocentric, and local cartesian coordinates, for geoid calculations, and for computing geodesic. It is a suitable replacement for the core functionality provided by NGA Geotrans. . This package contains some core tools based on the GeographicLib library. Package: geoip-bin Source: geoip Version: 1.4.8+dfsg-3 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 172 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libgeoip1 (>= 1.4.8+dfsg), libstdc++6 (>= 4.4.0) Replaces: libgeoip1 (<= 1.4.4.dfsg-2) Homepage: http://www.maxmind.com/ Priority: optional Section: net Filename: pool/main/g/geoip/geoip-bin_1.4.8+dfsg-3_armhf.deb Size: 57332 SHA256: 99deb8293bd3f76a9e5dd6880b772345e648ef1f03a545b6a25c29c7bc6e32b5 SHA1: fbb60a1bbbbc8851247d46708876fcf600bf80bd MD5sum: 4c9dca14b525648fad6b5d9c359027e8 Description: IP lookup command line tools that use the GeoIP library GeoIP is a C library that enables the user to find the country that any IP address or hostname originates from. It uses a file based database. . This database simply contains IP blocks as keys, and countries as values and it should be more complete and accurate than using reverse DNS lookups. . This package contains the command line utilities to resolve the IP numbers using the GeoIP library. Package: geoip-database Version: 20130213-1 Installed-Size: 4698 Maintainer: Patrick Matthäi Architecture: all Pre-Depends: dpkg (>= 1.15.6~) Recommends: libgeoip1 Breaks: libgeoip1 (<< 1.4.5.dfsg) Size: 1466170 SHA256: df7964e8b511e80d760353561346a7332efc5dd12623124b0898d31615491e31 SHA1: b3549c92b2f29c7df911ce07e24a54d23ecef130 MD5sum: 0db209bac78f72ff969382c4e0cb7dec Description: IP lookup command line tools that use the GeoIP library (country database) GeoIP is a C library that enables the user to find the country that any IP address or hostname originates from. It uses a file based database. . This database simply contains IP blocks as keys, and countries as values and it should be more complete and accurate than using reverse DNS lookups. . This package contains the free GeoLiteCountry database. Homepage: http://www.maxmind.com/ Tag: field::geography, role::app-data Section: net Priority: optional Filename: pool/main/g/geoip-database/geoip-database_20130213-1_all.deb Package: geomview Version: 1.9.4-3 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 6654 Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgeomview-1.9.4, libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.3.0), libx11-6, libxext6, libxt6, zlib1g (>= 1:1.1.4), dpkg (>= 1.15.4) | install-info Recommends: xpdf Homepage: http://www.geomview.org/ Priority: optional Section: math Filename: pool/main/g/geomview/geomview_1.9.4-3_armhf.deb Size: 3731784 SHA256: 1c7d82a15dec663a3f450e1bc5834d9df57a18db43d650afb33a153472d1fb27 SHA1: b8f0b44a6fd54299e3df7011712df3bc0dcd507a MD5sum: 55fef23f5cdaf365859ed976a11f0d05 Description: interactive geometry viewing program Geomview is interactive geometry software which is particularly appropriate for mathematics research and education. In particular, geomview can display things in hyperbolic and spherical space as well as Euclidean space. . Geomview allows multiple independently controllable objects and cameras. It provides interactive control for motion, appearances (including lighting, shading, and materials), picking on an object, edge or vertex level, snapshots in SGI image file or Renderman RIB format, and adding or deleting objects is provided through direct mouse manipulation, control panels, and keyboard shortcuts. External programs can drive desired aspects of the viewer (such as continually loading changing geometry or controlling the motion of certain objects) while allowing interactive control of everything else. Package: geotiff-bin Source: libgeotiff-dfsg Version: 1.3.0+dfsg-3+rpi1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 109 Depends: libc6 (>= 2.13-28), libgeotiff2, libproj0, libtiff5 (>> 4.0.0-1~) Suggests: gdal-bin, libgeotiff-epsg Homepage: http://geotiff.osgeo.org/ Priority: extra Section: science Filename: pool/main/libg/libgeotiff-dfsg/geotiff-bin_1.3.0+dfsg-3+rpi1_armhf.deb Size: 44652 SHA256: 0a4768d16132ee65a495448c6a9f3c8038114362c5220b10c114da7056a1b725 SHA1: cf040c21a2264c81946925c94487cb49add7f5b9 MD5sum: 169ddbc40e86fc729a1e16e83e6dafab Description: the GeoTIFF library -- tools This C library supports TIFF 6.0 based interchange format for georeferenced raster imagery. The GeoTIFF standard has been developed for reading, and writing geographic meta-information tags on top of TIFF raster. . The GeoTIFF library comes with two utility programs here included: . listgeo - dumps the metadata of a GeoTIFF file. geotifcp - applies metadata to a TIFF file, making it a GeoTIFF file. Package: geotranz Version: 3.1-2.1 Installed-Size: 6537 Maintainer: Roberto Lumbreras Architecture: all Depends: openjdk-6-jre | java6-runtime, libgeotranz3.1 (>= 3.1) Recommends: geotranz-help (>= 3.1), geotranz-help (<< 3.1+) Suggests: geotranz-doc Size: 5075322 SHA256: a554f4f4516b17d4287018f7c2ba6f90f76e687e0c01c5adc827bfaa781ee701 SHA1: 8648c0f2d9ec5bd9751417719218c8cdebc8709c MD5sum: 89cfcbeb3cb12b5018c8d533728d3b99 Description: GEOgraphic coordinates TRANslator GEOTRANZ (Geographic Translator) is an application program which allows you to easily convert geographic coordinates among a wide variety of coordinate systems, map projections, and datums. Currently, twenty-five different coordinate systems, map projections, grids, and coding schemes, and over two hundred different datums, are supported. . The user interface of GEOTRANZ is similar to that of a calculator, but can also be used to efficiently convert large numbers of coordinates contained in text files. . GEOTRANZ is the Debian name of GEOTRANS, a product of the National Geospatial-Intelligence Agency (NGA) and U.S. Army Engineering Research and Development Center. . This package contains the geotranz java graphical interface. Homepage: http://earth-info.nga.mil/GandG/geotrans/ Tag: field::geography, role::program, use::converting Section: utils Priority: optional Filename: pool/main/g/geotranz/geotranz_3.1-2.1_all.deb Package: geotranz-doc Source: geotranz Version: 3.1-2.1 Installed-Size: 11824 Maintainer: Roberto Lumbreras Architecture: all Size: 4119994 SHA256: fc8d52570469dca2c708879c11ddac659f141b739bf1bc9f00e869feca109355 SHA1: e92ed94fb7cd30a9e710b5e92304f9a15faa67b1 MD5sum: a7aceda557b11d6a34f2afe6b3c37532 Description: GEOgraphic coordinates TRANslator (documentation) GEOTRANZ (Geographic Translator) is an application program which allows you to easily convert geographic coordinates among a wide variety of coordinate systems, map projections, and datums. Currently, twenty-five different coordinate systems, map projections, grids, and coding schemes, and over two hundred different datums, are supported. . GEOTRANZ is the Debian name of GEOTRANS, a product of the National Geospatial-Intelligence Agency (NGA) and U.S. Army Engineering Research and Development Center. . This package contains a lot of documentation and examples. Homepage: http://earth-info.nga.mil/GandG/geotrans/ Tag: field::geography, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/geotranz/geotranz-doc_3.1-2.1_all.deb Package: geotranz-help Source: geotranz Version: 3.1-2.1 Installed-Size: 2216 Maintainer: Roberto Lumbreras Architecture: all Size: 1266942 SHA256: fb741cd39646e26502cf1149febc9dddb2b6cff8caf35f3d484b71c28ecd64fa SHA1: 580a26d4cbcda47dbd6025cc1053155daac2c035 MD5sum: 18e6016fba68f252dc8c2a0a38a02aa1 Description: GEOgraphic coordinates TRANslator (help files) GEOTRANZ (Geographic Translator) is an application program which allows you to easily convert geographic coordinates among a wide variety of coordinate systems, map projections, and datums. Currently, twenty-five different coordinate systems, map projections, grids, and coding schemes, and over two hundred different datums, are supported. . GEOTRANZ is the Debian name of GEOTRANS, a product of the National Geospatial-Intelligence Agency (NGA) and U.S. Army Engineering Research and Development Center. . This package contains the help files for the GEOTRANZ graphical interface. Homepage: http://earth-info.nga.mil/GandG/geotrans/ Tag: role::app-data, role::documentation Section: doc Priority: optional Filename: pool/main/g/geotranz/geotranz-help_3.1-2.1_all.deb Package: gerbv Version: 2.6.0-1 Architecture: armhf Maintainer: Debian Electronics Team Installed-Size: 4701 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0) Recommends: extra-xdg-menus Homepage: http://gerbv.gpleda.org/ Priority: optional Section: electronics Filename: pool/main/g/gerbv/gerbv_2.6.0-1_armhf.deb Size: 1199074 SHA256: 89cbeefaa30bc4ddaf38762fb295e41b486d9d6d5ae850e808ea54a6ed056de9 SHA1: 8e77d2b92ca6b322e2fd83fa2b0130da1f723b25 MD5sum: 4c313947a9f175f5055f7e0c363868c3 Description: Gerber file viewer for PCB design gerbv is a utility for viewing Gerber RS-274X files, Excellon drill files, and CSV pick-and-place files. Gerber files are used for communicating printed circuit board (PCB) designs to PCB manufacturers. Package: germinate Version: 2.10 Installed-Size: 88 Maintainer: Colin Watson Architecture: all Depends: python3 (>= 3.0), perl, python3-germinate (= 2.10) Size: 32078 SHA256: 39266bfb89a70304312f8ffcfdaa0a25d239a11a3cc5458f1a1961ab7f9975fe SHA1: 6402962f0666d95d22ae495bbfa651d23b453d25 MD5sum: d4bb6d9945a0bd16afe66db0a5e0230f Description: expand dependencies in a list of seed packages Germinate takes lists of seed packages and expands their dependencies to produce a full list of packages. This can be used for purposes such as managing the list of packages present in a derived distribution's archive or CD builds. Section: utils Priority: optional Filename: pool/main/g/germinate/germinate_2.10_all.deb Package: gerris Version: 20110329-dfsg.2-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 511 Depends: libgfs-dev, m4, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgfortran3 (>= 4.6), libgfs-1.3-2, libglib2.0-0 (>= 2.16.0), libgts-0.7-5 (>= 0.7.6) Suggests: python Conflicts: gerris-mpi Replaces: gerris-mpi Homepage: http://gfs.sourceforge.net/ Priority: optional Section: science Filename: pool/main/g/gerris/gerris_20110329-dfsg.2-1_armhf.deb Size: 203134 SHA256: cef206715400004e5945469dc1a44ac2b4e5b7ec6848f9b843bf02b767895e14 SHA1: 51322cc04cb578bca38ec0ed423b748380901566 MD5sum: 3bc5b7426c0738ffe147dcbbe5b92c5c Description: Gerris Flow Solver Gerris is a system for the solution of the partial differential equations describing fluid flow. . A brief summary of its main (current) features: . * Solves the time-dependent incompressible variable-density Euler, Stokes or Navier-Stokes equations * Adaptive mesh refinement: the resolution is adapted dynamically to the features of the flow * Entirely automatic mesh generation in complex geometries * Second-order in space and time * Unlimited number of advected/diffused passive tracers * Flexible specification of additional source terms * Portable parallel support using the MPI library * Volume of Fluid advection scheme for interfacial flows . For multi-cpu MPI support, install gerris-mpi instead. Package: gerris-mpi Source: gerris Version: 20110329-dfsg.2-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 525 Depends: libgfs-mpi-dev, m4, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgfortran3 (>= 4.6), libgfs-mpi-1.3-2, libglib2.0-0 (>= 2.16.0), libgts-0.7-5 (>= 0.7.6), libopenmpi1.3 Suggests: python Conflicts: gerris Replaces: gerris Homepage: http://gfs.sourceforge.net/ Priority: optional Section: science Filename: pool/main/g/gerris/gerris-mpi_20110329-dfsg.2-1_armhf.deb Size: 208926 SHA256: af35153c41a5b5688811e00d03175dc0ad5d9be5d9362c4c604c248d44d1b032 SHA1: a1b30228010c25739346f0f687a001af947d1608 MD5sum: 7eebc6871cf663fb100eb9b82e439e36 Description: Gerris Flow Solver Gerris is a system for the solution of the partial differential equations describing fluid flow. . A brief summary of its main (current) features: . * Solves the time-dependent incompressible variable-density Euler, Stokes or Navier-Stokes equations * Adaptive mesh refinement: the resolution is adapted dynamically to the features of the flow * Entirely automatic mesh generation in complex geometries * Second-order in space and time * Unlimited number of advected/diffused passive tracers * Flexible specification of additional source terms * Portable parallel support using the MPI library * Volume of Fluid advection scheme for interfacial flows . This package has MPI support built in. Package: gerstensaft Version: 0.3-4 Architecture: armhf Maintainer: Martin Schulze Installed-Size: 227 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), sendfile Homepage: http://www.infodrom.org/projects/gerstensaft/ Priority: extra Section: net Filename: pool/main/g/gerstensaft/gerstensaft_0.3-4_armhf.deb Size: 48698 SHA256: e3b059f7e4a91c4a60f00f0fd154d5e273fb7badd21f535d670e6465969bc609 SHA1: 31678cc383c33590744ea68e837b54ff0efe8395 MD5sum: 102a8ade556401ff305f0817e2c7dcba Description: Frontend for Simple Asynchronous File Transfer Gerstensaft is an easy to use graphical oriented frontend for sendfile(1). It features sending files and directories and provides a history for addresses. Package: ges0.10-tools Source: gstreamer0.10-editing-services Version: 0.10.1-2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 80 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libges-0.10-0 (>= 0.10.0.3), libglib2.0-0 (>= 2.22), libgstreamer-plugins-base0.10-0 (>= 0.10.32), libgstreamer0.10-0 (>= 0.10.32) Homepage: http://gstreamer.freedesktop.org Priority: optional Section: utils Filename: pool/main/g/gstreamer0.10-editing-services/ges0.10-tools_0.10.1-2_armhf.deb Size: 41896 SHA256: 0cfab0d753b33fd166616d2347ad35a3588f8f7819d487db7be2815b3ff8ed7c SHA1: 4d521e27779ce63c44f896a391f7b790e0099be9 MD5sum: 29648eb7e266a3cb722fc4463ac0df85 Description: Tools for use with the GStreamer editing services The GStreamer multimedia framework and the accompanying GNonLin set of plugins for non-linear editing offer all the building blocks for: * Decoding and encoding to a wide variety of formats, through all the available GStreamer plugins. * Easily choosing segments of streams and arranging them through time through the GNonLin set of plugins. But all those building blocks only offer stream-level access, which results in developers who want to write non-linear editors to write a consequent amount of code to get to the level of non-linear editing notions which are closer and more meaningful for the end-user (and therefore the application). . The GStreamer Editing Services (GES) aims to fill the gap between GStreamer/GNonLin and the application developer by offering a series of classes to simplify the creation of many kind of editing-related applications. . This package contains command-line tools for GStreamer editing services. Package: gesftpserver Version: 0.1-3 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 100 Depends: libc6 (>= 2.13-28) Enhances: openssh-server Homepage: http://www.greenend.org.uk/rjk/sftpserver/ Priority: optional Section: utils Filename: pool/main/g/gesftpserver/gesftpserver_0.1-3_armhf.deb Size: 33478 SHA256: db19034fc9066f8580e1f03c3bff0cd4be9aebe9cdd945962c0c32d637164c94 SHA1: 7b0f43da77058d32d60cfaac8fc89f67d0691ff7 MD5sum: 729f974b200862dd681e9d26fd187865 Description: sftp server submodule for OpenSSH Green End SFTP Server is an SFTP server supporting up to protocol version 6. It is possible to use it as a drop-in replacement for the OpenSSH server (which supports only protocol version 3). . * Protocol versions 3 and higher: * Upload and download files * List files * Create directories and symbolic links * Rename and delete files * Protocol versions 4 and higher: * Filename encoding translation * Text mode transfers * String owner/group names (instead of numeric) * Sub-second timestamps (where supported by server OS) * Protocol versions 5 and higher: * Extended rename semantics (e.g. atomic overwrite) * Protocol versions 6 and higher: * Create hard links * Several SFTP extensions . Features of SFTP protocol versions are listed more detailed at . . Features beyond the v3 set depend on suitable client support. A list of clients and the versions they support is at . . NB! This server is currently experimental and still under development. Don't trust your critical data to it. The code has an extensive and growing test suite (invoke 'make check' to run it) but bugs may yet remain. Package: gespeaker Version: 0.7-3 Installed-Size: 460 Maintainer: Fabio Castelli Architecture: all Depends: espeak, espeak-data, librsvg2-common, python-gtk2, python-glade2, python-gobject, alsa-utils | pulseaudio-utils, python (>= 2.4), python-support (>= 0.90.0) Suggests: mbrola Size: 89780 SHA256: 1200e90fc9ec55a8af3bd73bd1714e9ff1ce074a9277af5fb0d593f6f7fda3b8 SHA1: 835ee653d4f88ba0ec69cb36aa225d6537b74990 MD5sum: 12dafaae840205145b7e3018fcc22634 Description: GTK+ front-end for eSpeak and mbrola Gespeaker is a GTK+ frontend for eSpeak and mbrola. It allows to play a text in many languages with settings for voice, pitch, volume, speed and word gap. . Since version 0.6 it can use mbrola package and voices to obtain a more realistic text reading experience. Homepage: http://code.google.com/p/gespeaker/ Tag: accessibility::speech, implemented-in::python, interface::x11, role::program, scope::application, sound::speech, uitoolkit::gtk, use::entertaining, works-with::audio, works-with::text, x11::application Section: sound Priority: optional Filename: pool/main/g/gespeaker/gespeaker_0.7-3_all.deb Package: get-flash-videos Version: 1.25~git2012.06.27-1 Installed-Size: 346 Maintainer: Debian Perl Group Architecture: all Depends: libcrypt-blowfish-perl, libdata-amf-perl, libhtml-parser-perl, libhtml-tree-perl, libtie-ixhash-perl, liburi-perl, libwww-mechanize-perl, libwww-perl, rtmpdump, perl Recommends: get-iplayer, libcrypt-rijndael-perl, liblwp-protocol-socks-perl, libxml-simple-perl Suggests: mplayer Size: 104852 SHA256: 3154ca631c17ae62df61d24bf422d0940f9f467c76ebfbaf2a056a36aca40f11 SHA1: 4b42b4d09ad9335591a40b208793ddeca1ee050c MD5sum: 65be20b5553706571cc5fce9d7e840a6 Description: video downloader for various Flash-based video hosting sites get-flash-videos download videos from various Flash-based video hosting sites, without having to use the Flash player. Handy for saving videos for watching offline, and means you don't have to keep upgrading Flash for sites that insist on a newer version of the player. . Includes support for the following sites/players (and more!): . YouTube, eHow, Brightcove (used by many sites like Channel 4, Daily Telegraph ...), BBC (news, etc), Metacafe, 5min, Google, fliqz, nicovideo, vimeo, Blip, Break, Collegehumor, Muzu, Sevenload, Megavideo, Wat.tv. . Also includes a 'generic' method which works on many other sites. Homepage: http://code.google.com/p/get-flash-videos/ Tag: implemented-in::perl, role::program, use::downloading, works-with-format::swf Section: web Priority: extra Filename: pool/main/g/get-flash-videos/get-flash-videos_1.25~git2012.06.27-1_all.deb Package: get-iplayer Version: 2.82-2+deb7u1 Installed-Size: 619 Maintainer: Jonathan Wiltshire Architecture: all Depends: rtmpdump | flvstreamer, libwww-perl, libxml-simple-perl, perl Recommends: id3v2, libmp3-info-perl, atomicparsley Suggests: mplayer, ffmpeg Size: 173814 SHA256: 676dad28c297b310943a807f898edb3409ced2cec4e846dc7069822f86308579 SHA1: 41ab055591e95f67e294c3197545008f284df791 MD5sum: 8ccb2fe6fe892e3c4b2c0e8761362240 Description: download/stream available BBC iPlayer TV and radio programmes get_iplayer lists, searches and records BBC iPlayer TV/Radio, BBC Podcast programmes. Other third-party plugins may be available. . get_iplayer has three modes: recording a complete programme for later playback, streaming a programme directly to a playback application, such as mplayer; and as a Personal Video Recorder (PVR), subscribing to search terms and recording programmes automatically. It can also stream or record live BBC iPlayer output. Homepage: http://www.infradead.org/get_iplayer Tag: implemented-in::perl, role::program Section: video Priority: optional Filename: pool/main/g/get-iplayer/get-iplayer_2.82-2+deb7u1_all.deb Package: getdata Version: 0.1-1 Installed-Size: 180 Maintainer: Steffen Moeller Architecture: all Depends: perl Recommends: perl-doc Suggests: biomaj Size: 23552 SHA256: 6853fe4f765e8ff54c798a73c88357c54d1901be15f7bd1f0fc0cb8390c06014 SHA1: a473a8c5a2d9936873be37b1be3bbc30ef847c38 MD5sum: eabf51454f8e4702141b00c16a76ea13 Description: management of external databases Many scientific communities share the problem of regularly updating external databases. With every update, also various tasks need to be performed for the update of indices that need to be recreated. This work depends on the tools that are available locally and is not always completely simple. . This package provides the getData Perl script, which in some not so complicated manner performs the invocation to wget to download data and then knows how to perform the indexing. There is only a hash table to be filled with the commands to be executed. Maintainers of scientific packages that are strongly coupled to public datasets are invited to add a runtime dependency to this package and add instructions for getData to follow. Homepage: http://debian-med.alioth.debian.org Section: science Priority: optional Filename: pool/main/g/getdata/getdata_0.1-1_all.deb Package: getlive Version: 0.59-1 Installed-Size: 144 Maintainer: Martín Ferrari Architecture: all Depends: perl, liburi-perl, curl Recommends: procmail | mail-transport-agent Size: 29934 SHA256: 84a7b1ee8c85464c4e23240c50d67bf494cd1130762066ab1a79f55dff043d1a SHA1: ca01c634866f64236c261ae8e160ff931fecf85f MD5sum: 17095436b7605dd00c015c166bde199b Description: fetch mail from your Hotmail Live account GetLive is a utility that fetches mail from your Hotmail/Windows Live account. The mail is then presented to any filter (typically procmail) for further processing or dropping in a local mailbox. Homepage: http://getlive.sourceforge.net/ Tag: implemented-in::perl, interface::daemon, network::client, role::program, scope::utility, works-with::mail Section: mail Priority: optional Filename: pool/main/g/getlive/getlive_0.59-1_all.deb Package: getmail4 Version: 4.46.0-1~deb7u1 Installed-Size: 673 Maintainer: Osamu Aoki Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8) Conflicts: getmail Size: 198528 SHA256: 4abdc544bdfaa4702582a07833fb389945afbe57f55b15415e7233a208a3e698 SHA1: 2df01d9de856f89c2436986bfa7eef11b746e5ef MD5sum: 133afb9cd775bdd710675be088b413cf Description: mail retriever with support for POP3, IMAP4 and SDPS getmail is intended as a simple replacement for fetchmail. It retrieves mail (either all messages, or only unread messages) from one or more POP3/IMAP4/SDPS servers for one or more email accounts, and reliably delivers into a qmail-style Maildir, mbox file or to a command (pipe delivery) like maildrop or procmail, specified on a per-account basis. getmail also has support for domain (multidrop) mailboxes. . Supported protocols: POP3, POP3-over-SSL, IMAP4, IMAP4-over-SSL, and SDPS mail. Homepage: http://pyropus.ca/software/getmail/ Multi-Arch: foreign Section: mail Priority: optional Filename: pool/main/g/getmail4/getmail4_4.46.0-1~deb7u1_all.deb Package: getstream Version: 20081204-1.1 Architecture: armhf Maintainer: Herve Rousseau Installed-Size: 126 Depends: libc6 (>= 2.13-28), libevent-2.0-5 (>= 2.0.10-stable), libglib2.0-0 (>= 2.24.0) Homepage: http://silicon-verl.de/home/flo/projects/streaming/ Priority: extra Section: net Filename: pool/main/g/getstream/getstream_20081204-1.1_armhf.deb Size: 39174 SHA256: 7f4322123be392aea170f6f56fc32ad148f3adf8e100e04572565716a41714fd SHA1: b1e4946c52aea4c77d02bb11035804ecf3e0828f MD5sum: f0609833772be08020705d07c3149fb2 Description: DVB streaming application getstream is a small utility which is able to pull a MPEG transport stream from a DVB card and stream it to the local area network. It is written to replace VLC, and uses much less CPU and memory than VLC. Package: gettext Version: 0.18.1.1-9 Architecture: armhf Maintainer: Santiago Vila Installed-Size: 5968 Depends: libc6 (>= 2.13-28), libcroco3 (>= 0.6.2), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgomp1 (>= 4.2.1), libncurses5 (>= 5.5-5~), libtinfo5, libunistring0, libxml2 (>= 2.7.4), libgettextpo0 (= 0.18.1.1-9), libasprintf0c2 (= 0.18.1.1-9), gettext-base, dpkg (>= 1.15.4) | install-info Recommends: curl | wget | lynx-cur, autopoint Suggests: gettext-doc Breaks: autopoint (<= 0.17-11) Provides: libasprintf-dev, libgettextpo-dev Homepage: http://www.gnu.org/software/gettext/ Priority: optional Section: devel Filename: pool/main/g/gettext/gettext_0.18.1.1-9_armhf.deb Size: 1878564 SHA256: 39ad3cc7577624e29e9aef243f7985f740f98761131ab574e70cc5924ca60ba9 SHA1: 0f31ff65d6e5c5304f3adec1a44692636ad0a576 MD5sum: 1033a60c85b73517cc8d4737f049fd2e Description: GNU Internationalization utilities Interesting for authors or maintainers of other packages or programs which they want to see internationalized. Package: gettext-base Source: gettext Version: 0.18.1.1-9 Architecture: armhf Maintainer: Santiago Vila Installed-Size: 862 Depends: libc6 (>= 2.13-28), libasprintf0c2 (>= 0.18.1.1-6) Homepage: http://www.gnu.org/software/gettext/ Priority: standard Section: utils Filename: pool/main/g/gettext/gettext-base_0.18.1.1-9_armhf.deb Size: 137524 SHA256: fa8f1f6f236b8e901ffdbdec9d464b4a31b4f1fd5b34617e5f8c9c0b86db2939 SHA1: 016f3a3d4efb48f54798afbde30607a3d376a790 MD5sum: e7a4b2eaf9270945fe861408310bf7f9 Description: GNU Internationalization utilities for the base system This package includes the gettext and ngettext programs which allow other packages to internationalize the messages given by shell scripts. Package: gettext-doc Source: gettext Version: 0.18.1.1-9 Installed-Size: 3961 Maintainer: Santiago Vila Architecture: all Size: 913990 SHA256: 58555ec7ac59f02a2f5de19ee4ec7a273e0115177cd72657950900bfc76cb35d SHA1: 82081a793ffcfa465e1951ed37be862e7cfb95c7 MD5sum: dd765b86e48de47b130ce270f47fbfde Description: Documentation for GNU gettext This package contains the HTML documentation for GNU gettext, as well as simple "hello world" examples in several programming languages. Homepage: http://www.gnu.org/software/gettext/ Tag: devel::doc, devel::i18n, made-of::html, role::documentation, suite::gnu, use::converting, works-with::text Section: doc Priority: optional Filename: pool/main/g/gettext/gettext-doc_0.18.1.1-9_all.deb Package: gettext-el Source: gettext Version: 0.18.1.1-9 Installed-Size: 241 Maintainer: Santiago Vila Architecture: all Depends: gettext, emacs23 | emacsen Size: 60108 SHA256: d7613ef58c83f4edc035d0745c3f4356279f2498a106ab6a01b50c03cc622c76 SHA1: 47c76c5ca4b65b0929118d91b0ac9601f192cfb0 MD5sum: 13aad9783bebd8b477151ae066670a7f Description: Emacs po-mode for editing gettext .po files This package contains po-mode.el for easy .po editing using emacs. Homepage: http://www.gnu.org/software/gettext/ Tag: devel::i18n, implemented-in::lisp, role::plugin, suite::emacs, use::editing, works-with-format::po, works-with::text Section: lisp Priority: optional Filename: pool/main/g/gettext/gettext-el_0.18.1.1-9_all.deb Package: gettext-lint Version: 0.4-2 Installed-Size: 208 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Depends: python Size: 24164 SHA256: 3ff06a6e4b07e92c36000b3bc46e76514846605473f10fa5a32dc347c2c013a9 SHA1: 652c79092c64756d2135982bb36d4ebcb3da2246 MD5sum: 193f4ecd419176e1bcd9c35f97b6b820 Description: Collection of tools for checking PO and POT files Gettext-lint provides an assorted collection of tools that make it easy to check the consistency, validity and spelling of gettext files (both PO and POT). . This package includes: * POFileStatus - PO file validator and status reporting * POFileChecker - searches for common mistakes in PO files * POFileConsistency - PO file consistency checks * POFileEquiv - PO file glossary generator * POFileGlossary - search for translation errors against a glossary * POFileFill - automatically translate a PO file based on other files * POFileSpell - PO file spell checker * POFileClean - eliminates untranslated strings Homepage: http://gettext-lint.sourceforge.net/ Section: devel Priority: extra Filename: pool/main/g/gettext-lint/gettext-lint_0.4-2_all.deb Package: gexec Version: 0.4-1 Architecture: armhf Maintainer: Johann Rudloff Installed-Size: 60 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Suggests: gksu, xterm Priority: optional Section: x11 Filename: pool/main/g/gexec/gexec_0.4-1_armhf.deb Size: 13932 SHA256: 97c2753522427e6eccfeb5d1027d450e9dce4edbc61de0bc74cb5c48b25ef09b SHA1: 2fa810b922d4bbed0392e80f9cff0ff9a226b04b MD5sum: 2eb6b0eea96697a3e8dba813f8edbf19 Description: Small command executer with autocompletion using GTK+ gexec is a small and simple command executer using GTK+. It features autocompletition and a command history. Furthermore gexec can run the chosen command as root or in a terminal emulator. Package: geximon Version: 0.7.7-2 Installed-Size: 268 Maintainer: David Watson Architecture: all Depends: python, python-central (>= 0.6.11), python-gtk2 Recommends: sudo, exim | exim4-base Size: 40482 SHA256: 93496390664f30786f9a1d96f74dec0bf61c4e86247f0026b44232213a10a133 SHA1: 7e39623acb522c3185f605d0644aecb5e828fd56 MD5sum: 0925107e53d81c28072dca13fc4991a4 Description: a monitor for the exim MTA geximon is a Gtk2 reimplementation of eximon, an X monitor for the exim mail transport agent. It has all features of the original (tracking the log, managing the mail queue, plotting, etc.) and more. It also integrates with exiwhat (allowing you to manage active exim instances), exigrep, and eximstats. The interface is intuitive, compact, and easy to use. Homepage: http://projects.planetwatson.co.uk/wiki/geximon Python-Version: current Tag: admin::monitoring, implemented-in::python, interface::x11, mail::smtp, role::program, scope::utility, uitoolkit::gtk, works-with::mail Section: mail Priority: optional Filename: pool/main/g/geximon/geximon_0.7.7-2_all.deb Package: gextractwinicons Version: 0.3.1-1 Installed-Size: 368 Maintainer: Fabio Castelli Architecture: all Depends: python-gtk2, python-glade2, librsvg2-common, icoutils, python (>= 2.5) Size: 19722 SHA256: ea7157767b467d90fbc7cfb88c4652c17f6b3a2043d08b87278677e616c2fd0b SHA1: e7493548fdd52ee74a13458ecef25a0b26248d00 MD5sum: bf83cede34953728d60ba854f9f589e3 Description: extracts cursors and icons from MS Windows compatible resource files gExtractWinIcons can extract cursors, and icons from MS Windows compatible resource files (like .exe, .dll, .ocx, .cpl). . Both icons, cursors and PNG images can be extracted from resources files with different resolution and color depth. The extracted icon files can be also used for "favicon.ico" website icon. Homepage: http://code.google.com/p/gextractwinicons/ Section: graphics Priority: optional Filename: pool/main/g/gextractwinicons/gextractwinicons_0.3.1-1_all.deb Package: gfan Version: 0.3dfsg-1.1 Architecture: armhf Maintainer: Tim Abbott Installed-Size: 826 Depends: libc6 (>= 2.4), libcdd0, libgcc1 (>= 1:4.4.0), libgmp10, libstdc++6 (>= 4.6) Homepage: http://www.math.tu-berlin.de/~jensen/software/gfan/gfan.html Priority: optional Section: math Filename: pool/main/g/gfan/gfan_0.3dfsg-1.1_armhf.deb Size: 385518 SHA256: 461e416a7ca60c8e3f143bb7a65fddd71ea9bcbf0b4b8e9fcc08871edd250720 SHA1: 8225cfde67319eb595405c10b86beba52247ea76 MD5sum: 57919242202aff7c8d26e8af20024cfa Description: Program for computing with Groebner fans Gfan is a software package for computing Groebner fans and tropical varieties. These are polyhedral fans associated to polynomial ideals. The maximal cones of a Groebner fan are in bijection with the marked reduced Groebner bases of its defining ideal. The software computes all marked reduced Groebner bases of an ideal. Their union is a universal Groebner basis. The tropical variety of a polynomial ideal is a certain subcomplex of the Groebner fan. Gfan contains algorithms for computing this complex for general ideals and specialized algorithms for tropical curves, tropical hypersurfaces and tropical varieties of prime ideals. In addition to the above core functions the package contains many tools which are useful in the study of Groebner bases, initial ideals and tropical geometry. Among these are an interactive traversal program for Groebner fans and programs for graphical renderings. . For ordinary Groebner basis computations Gfan is not competitive in speed compared to programs such as CoCoA, Singular and Macaulay2. Package: gfarm-client Source: gfarm Version: 2.4.1-1.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 294 Depends: libc6 (>= 2.13-28), libgfarm1 Suggests: gfarm-doc Homepage: http://datafarm.apgrid.org/ Priority: extra Section: utils Filename: pool/main/g/gfarm/gfarm-client_2.4.1-1.1_armhf.deb Size: 125936 SHA256: 2ceb3d0eb35f808c7253de464d3f1198f64b1a5d20d6282c658ef57823fb04c7 SHA1: 97df5ace790dc884122292f4a5e419489302c29d MD5sum: 0e13989c8ccd18243781cc45d5a1aa4f Description: Gfarm file system clients The Gfarm file system is designed to turn commodity PCs into nodes of a distributed storage network, implementing the Grid Datafarm architecture for global petascale data-intensive computing. It solves performance and reliability problems in NFS and AFS by means of multiple file replicas, and not only prevents performance degradation due to access concentration, but also supports fault tolerance and disaster recovery. . This package provides client utilities for Gfarm. Package: gfarm-doc Source: gfarm Version: 2.4.1-1.1 Installed-Size: 189 Maintainer: NIIBE Yutaka Architecture: all Size: 133598 SHA256: 66c7a7c9839bd34a30cce108314c05b37c8ee8a353df3fb0558415a0da7d5a4f SHA1: a264fabe7cb26ca81114206b92e8445a171f3e42 MD5sum: 333e9e25b54bb312261ea2f938bd8ff7 Description: Gfarm file system documentation The Gfarm file system is designed to turn commodity PCs into nodes of a distributed storage network, implementing the Grid Datafarm architecture for global petascale data-intensive computing. It solves performance and reliability problems in NFS and AFS by means of multiple file replicas, and not only prevents performance degradation due to access concentration, but also supports fault tolerance and disaster recovery. . This package provides documentation for Gfarm. Homepage: http://datafarm.apgrid.org/ Tag: admin::filesystem, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/g/gfarm/gfarm-doc_2.4.1-1.1_all.deb Package: gfarm2fs Version: 1.2.2-1.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 75 Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgfarm1, libssl1.0.0 (>= 1.0.0) Recommends: fuse-utils Homepage: http://datafarm.apgrid.org/ Priority: extra Section: net Filename: pool/main/g/gfarm2fs/gfarm2fs_1.2.2-1.1_armhf.deb Size: 19860 SHA256: edee1bef759da5cb3203b913f60b1174ab0e8760a957ea852ca0778f951c6a6e SHA1: 0b47aab8ffadfa2b6f1eb1555511d0fec6f7146b MD5sum: f1d635113766dacb876fb66e6ed6a190 Description: FUSE program to mount the Gfarm file system gfarm2fs is a FUSE program which gives you access to the Gfarm file system. Package: gfax Version: 0.7.7+ds-2 Installed-Size: 604 Maintainer: Debian CLI Applications Team Architecture: all Depends: gconf2 (>= 2.28.1-2), mono-runtime (>= 2.10.1), libgconf2.0-cil (>= 2.24.0), libglade2.0-cil (>= 2.12.10), libglib2.0-cil (>= 2.12.10), libgnome2.24-cil (>= 2.24.0), libgtk2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.1), efax | hylafax-client Size: 170398 SHA256: f4399ab89b89c79723c84262f8d03483ad77e7abb650f30fd2c15bed7d5fd30e SHA1: 2aa7c4bf67c54dbc16159341bc6c74edc03475ee MD5sum: 7adb7059ed5663cbc49907b4b5fe5fbd Description: GNOME frontend for fax programs The GFAX project aims to provide a free front end to the various facsimile programs available for Linux and other operating systems that use the GNOME project. This is a GNOME-2.x port of GFAX and is written in C# using Mono and Gtk#. . GFAX provides the familiar "pop up" window and phone book support when one "prints" to a "fax" printer. Homepage: http://www.cowlug.org/gfax/ Tag: hardware::modem, implemented-in::c-sharp, interface::x11, network::client, role::program, scope::application, suite::gnome, uitoolkit::gtk, use::transmission, works-with::fax, x11::application Section: gnome Priority: extra Filename: pool/main/g/gfax/gfax_0.7.7+ds-2_all.deb Package: gff2aplot Version: 2.0-7 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 628 Depends: libc6 (>= 2.13-28), perl Suggests: postscript-viewer, gff2ps Homepage: http://genome.imim.es/software/gfftools/GFF2APLOT.html Priority: optional Section: science Filename: pool/main/g/gff2aplot/gff2aplot_2.0-7_armhf.deb Size: 331972 SHA256: 07d7f24573b5345a394a0c7c91e638a22c60a6e43b4a74c8f8a99755000a4691 SHA1: 5987f66a3d1815de4c298519609813e98a9cf176 MD5sum: 67ecafa53eb13ae121d7802db68c0a78 Description: pair-wise alignment-plots for genomic sequences in PostScript A program to visualize the alignment of two genomic sequences together with their annotations. From GFF-format input files it produces PostScript figures for that alignment. The following menu lists many features of gff2aplot: * Comprehensive alignment plots for any GFF-feature. Attributes are defined separately so you can modify only whatsoever attributes for a given file or share same customization across different data-sets. * All parameters are set by default within the program, but it can be also fully configured via gff2ps-like flexible customization files. Program can handle several of such files, summarizing all the settings before producing the corresponding figure. Moreover, all customization parameters can be set via command-line switches, which allows users to play with those parameters before adding any to a customization file. * Source order is taken from input files, if you swap file order you can visualize alignment and its annotation with the new input arrangement. * All alignment scores can be visualized in a PiP box below gff2aplot area, using grey-color scale, user-defined color scale or score-dependent gradients. * Scalable fonts, which can also be chosen among the basic PostScript default fonts. Feature and group labels can be rotated to improve readability in both annotation axes. * The program is still defined as a Unix filter so it can handle data from files, redirections and pipes, writing output to standard-output and warnings to standard error. * gff2aplot is able to manage many physical page formats (from A0 to A10, and more -see available page sizes in its manual-), including user-defined ones. This allows, for instance, the generation of poster size genomic maps, or the use of a continuous-paper supporting plotting device, either in portrait or landscape. * You can draw different alignments on same alignment plot and distinguish them by using different colors for each. * Shape dictionary has been expanded, so that further feature shapes are now available (see manual). * Annotation projections through alignment plots (so called ribbons) emulate transparencies via complementary color fill patterns. This feature allows to show color pseudo-blending when horizontal and vertical ribbons overlap. Package: gff2ps Version: 0.98d-4 Installed-Size: 209 Maintainer: Debian Med Packaging Team Architecture: all Depends: gawk Recommends: gv | postscript-viewer Size: 51282 SHA256: 95b902e1f1984e0d5bcad9f8392d7e01d5af86a64b4b66d923c61ab086bd2075 SHA1: 3df35d4f187968d906d577c1f8b7b4b2bcca4d7b MD5sum: d0979dcd176702eec28664bf24b948e7 Description: produces PostScript graphical output from GFF-files gff2ps is a script program developed with the aim of converting gff-formatted records into high quality one-dimensional plots in PostScript. Such plots maybe useful for comparing genomic structures and to visualizing outputs from genome annotation programs. It can be used in a very simple way, because it assumes that the GFF file itself carries enough formatting information, but it also allows through a number of options and/or a configuration file, for a great degree of customization. Homepage: http://genome.imim.es/software/gfftools/GFF2PS.html Tag: field::biology, field::biology:bioinformatics, implemented-in::shell, interface::commandline, role::program, scope::utility, use::converting, use::viewing, works-with-format::postscript, works-with::TODO, works-with::image:vector Section: science Priority: optional Filename: pool/main/g/gff2ps/gff2ps_0.98d-4_all.deb Package: gfm Version: 1.03-2 Architecture: armhf Maintainer: Krzysztof Burghardt Installed-Size: 265 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.1.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.1.1), libticables2-1, libticalcs2-7, libticonv3, libtifiles2-5, libxml2 (>= 2.6.27) Suggests: tilp2 Homepage: http://lpg.ticalc.org/prj_gfm/ Priority: optional Section: math Filename: pool/main/g/gfm/gfm_1.03-2_armhf.deb Size: 46312 SHA256: db0db56973ccb3429701d0f6572f24cc924963d39cc6e256913fc4a130e7470a SHA1: 9fe61a6b4dc22c822a5692eb20eb42e469aa30b5 MD5sum: cf2edd187519b6f2f4dd6d966b9e2c01 Description: Texas Instruments hand-helds file manipulation program for X The GFM is an application allowing to manipulate single/group/tigroup files of all Texas Instruments hand-helds. It can create a new file, open an existing file, save file, rename variables, remove variables, create folders, group files into a group/tigroup file, ungroup a group/tigroup file into single files. Package: gfmd Source: gfarm Version: 2.4.1-1.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 490 Depends: libc6 (>= 2.13-28), libgfarm1, libldap-2.4-2 (>= 2.4.7), libpq5 Suggests: gfarm-doc, postgresql, slapd Homepage: http://datafarm.apgrid.org/ Priority: extra Section: net Filename: pool/main/g/gfarm/gfmd_2.4.1-1.1_armhf.deb Size: 177340 SHA256: b1b05e39f1fde3b4e229616519ad5168e5d74f3a659900f60c2f4e9860e6fb2f SHA1: 9d39f6f98e00bf0c5e5255190315ea0c454e2e88 MD5sum: c7be0604c68fd50e386922f6b379bc1f Description: Gfarm file system metadata server The Gfarm file system is designed to turn commodity PCs into nodes of a distributed storage network, implementing the Grid Datafarm architecture for global petascale data-intensive computing. It solves performance and reliability problems in NFS and AFS by means of multiple file replicas, and not only prevents performance degradation due to access concentration, but also supports fault tolerance and disaster recovery. . This package provides the Gfarm metadata server, which equips a host to function as a server node managing file system metadata. Package: gforge Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 80 Maintainer: Roland Mas Architecture: all Depends: fusionforge-standard Size: 51612 SHA256: 1f24493933631f0d9245a3872c027fce6c723d1078f527451d04613f11231e41 SHA1: d94632b86a04bb3658dc1439608e693f953339dd MD5sum: 4d34ae1b8660bda8d6789de18c2bf66c Description: Dummy upgrade package for FusionForge FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This is a transitional package and can safely be removed; see the fusionforge-standard package instead. Homepage: http://fusionforge.org/ Tag: admin::user-management, devel::bugtracker, devel::docsystem, devel::rcs, implemented-in::perl, implemented-in::php, interface::web, mail::list, protocol::http, role::metapackage, role::program, suite::gforge, web::application, works-with::bugs, works-with::file, works-with::people, works-with::software:source Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge_5.2~rc1-5_all.deb Package: gforge-common Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 4509 Maintainer: Roland Mas Architecture: all Depends: debconf (>= 1.0.32) | debconf-2.0, ucf, cpio, lockfile-progs, adduser, php5-cli, php-htmlpurifier (>= 4.0), libphp-simplepie, python Conflicts: gforge-plugin-scmccase (<< 4.1), gforge-plugin-scmcvs (<< 4.1), gforge-plugin-scmsvn (<< 4.1), gforge-theme-starterpack (<< 4.0), sourceforge Size: 1231210 SHA256: fd9e518382cc2b7f2d9785ce37fa562d95389a4f59fc484596e8ae186a190bd6 SHA1: 61188a880576cb1b780b93a92f912d27d267b4c2 MD5sum: 2bb1e91a2bda4e2bba6da8c64fb378dc Description: collaborative development tool - shared files FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This package contains files and programs used by several other subpackages. Homepage: http://fusionforge.org/ Tag: admin::user-management, implemented-in::perl, implemented-in::php, interface::web, protocol::http, role::app-data, suite::gforge, use::configuring, web::application Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-common_5.2~rc1-5_all.deb Package: gforge-db-postgresql Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 958 Maintainer: Roland Mas Architecture: all Provides: gforge-db Depends: gforge-common, postgresql (>= 8.4), perl, libdbi-perl, libdbd-pg-perl, libmime-base64-perl, libhtml-parser-perl, libtext-autoformat-perl, libmail-sendmail-perl, libsort-versions-perl, debianutils (>= 1.7), debconf (>= 1.0.32) | debconf-2.0, ucf, php5-cli, php5-pgsql Conflicts: gforge-db Size: 217974 SHA256: 3958e2f33e7b1a62eb843f79f96640279c153416cd9eaa60627d45e363adb38e SHA1: 2c1577c0caa5e8c1a0f53394749305de9a865290 MD5sum: 07c81cfbe3e350dacc195f2088e31f37 Description: collaborative development tool - database (using PostgreSQL) FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This package installs, configures and maintains the FusionForge database. Homepage: http://fusionforge.org/ Tag: devel::lang:sql, implemented-in::perl, implemented-in::php, interface::web, protocol::http, role::plugin, suite::gforge, web::application, works-with::db Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-db-postgresql_5.2~rc1-5_all.deb Package: gforge-dns-bind9 Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 142 Maintainer: Roland Mas Architecture: all Provides: gforge-dns Depends: gforge-common, gforge-db-postgresql | gforge-db, perl, libdbi-perl, libdbd-pg-perl, debianutils (>= 1.7), debconf (>= 1.0.32) | debconf-2.0, ucf, bind9 Conflicts: gforge-dns Size: 58588 SHA256: 649ee39cbe2e816f86849db2fbe7ab723491dbc17e3b01fe68154db4f10e9a45 SHA1: 91edbbfd0add10d20a7588d5de59f025d59d0e3a MD5sum: a13d3cccbe3dfe06de1b5e9a7844beef Description: collaborative development tool - DNS management (using Bind9) FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This package configures and maintains the DNS zones for FusionForge. Homepage: http://fusionforge.org/ Tag: implemented-in::perl, implemented-in::php, interface::web, protocol::dns, protocol::http, role::plugin, suite::gforge, use::configuring, web::application Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-dns-bind9_5.2~rc1-5_all.deb Package: gforge-ftp-proftpd Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 148 Maintainer: Roland Mas Architecture: all Provides: gforge-ftp Depends: gforge-common, gforge-shell-postgresql | gforge-shell, proftpd, perl, debianutils (>= 1.7), debconf (>= 1.0.32) | debconf-2.0, ucf, php5-cli Conflicts: gforge-ftp Size: 58596 SHA256: d0ab27ad56c10984e933b6ea67260322f1462330e90a78b6260ae128e6db7ca1 SHA1: 7e1fbe6c0307b2e31fc16090d4fbecec227946cf MD5sum: 165174c92831c021144a20dacf81e675 Description: collaborative development tool - FTP management (using ProFTPd) FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This package configures the ProFTPd FTP server for FusionForge. Homepage: http://fusionforge.org/ Tag: implemented-in::perl, implemented-in::php, interface::web, protocol::ftp, protocol::http, role::plugin, suite::gforge, use::downloading, web::application, works-with::file Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-ftp-proftpd_5.2~rc1-5_all.deb Package: gforge-lists-mailman Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 129 Maintainer: Roland Mas Architecture: all Provides: gforge-lists Depends: gforge-common, gforge-db-postgresql | gforge-db, gforge-mta-exim4 | gforge-mta, apache2 (>= 2.0.52), perl, libdbi-perl, libdbd-pg-perl, debianutils (>= 1.7), debconf (>= 1.0.32) | debconf-2.0, ucf, mailman (>= 2.1-3) Conflicts: gforge-lists Size: 57356 SHA256: 75bb7f7a60646baf0eed74123d043c6aa0edf7aa7a1d8117a35f73325fa6636f SHA1: 5c857e95568e6b438a9083f7f06b928248dce645 MD5sum: 0f0798ba5ae44e07255f7c8bf6821a09 Description: collaborative development tool - mailing-lists (using Mailman) FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This package controls the interaction between FusionForge and Mailman. Homepage: http://fusionforge.org/ Tag: implemented-in::perl, implemented-in::php, interface::web, mail::list, protocol::http, protocol::smtp, role::plugin, suite::gforge, web::application, works-with::mail Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-lists-mailman_5.2~rc1-5_all.deb Package: gforge-mta-courier Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 80 Maintainer: Roland Mas Architecture: all Provides: gforge-mta Depends: gforge-common, gforge-db-postgresql | gforge-db, perl, debianutils (>= 1.7), debconf (>= 1.0.32) | debconf-2.0, ucf, courier-mta Conflicts: gforge-mta Size: 51710 SHA256: a973716f8f49e4e49ab585f3fc66e1c5f19e6ad111feef03ac15caa81ea7cbda SHA1: f90664c1987c22dce61bf0628f2fb4474ff02f5e MD5sum: 39d13b74a97919990baa6f52ad5e7332 Description: collaborative development tool - mail tools (using Courier) FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This package configures the Courier mail transfer agent to run FusionForge. Homepage: http://fusionforge.org/ Tag: implemented-in::perl, role::plugin, suite::gforge Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-mta-courier_5.2~rc1-5_all.deb Package: gforge-mta-exim4 Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 129 Maintainer: Roland Mas Architecture: all Provides: gforge-mta Depends: gforge-common, gforge-db-postgresql | gforge-db, perl, debianutils (>= 1.7), debconf (>= 1.0.32) | debconf-2.0, ucf, exim4-daemon-heavy Conflicts: gforge-mta Size: 57952 SHA256: 32ec9f3e0b653e57ee2afff1a6291679258aeb2c9997603092c0fc1a75bbcfef SHA1: 96c400f7f5cb82d3da4a978ac53236653d30671f MD5sum: 5b0eee3a7ff62a9884e265f1b964a972 Description: collaborative development tool - mail tools (using Exim 4) FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This package configures the Exim 4 mail transfer agent to run FusionForge. Homepage: http://fusionforge.org/ Tag: implemented-in::perl, mail::smtp, protocol::smtp, role::plugin, suite::gforge, works-with::mail Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-mta-exim4_5.2~rc1-5_all.deb Package: gforge-mta-postfix Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 126 Maintainer: Roland Mas Architecture: all Provides: gforge-mta Depends: gforge-common, gforge-db-postgresql | gforge-db, perl, debianutils (>= 1.7), debconf (>= 1.0.32) | debconf-2.0, ucf, postfix, postfix-pgsql Conflicts: gforge-mta Size: 57340 SHA256: 3da69421ead6b093893b1e12d79dcd8069b807c99b460a1c3bca60b1777cce41 SHA1: ce68f7bf3cc20a8c6b53121d6e7408a113e1da6a MD5sum: c12ccdc528cb21f61fd736d8038fbec1 Description: collaborative development tool - mail tools (using Postfix) FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This package configures the Postfix mail transfer agent to run FusionForge. Homepage: http://fusionforge.org/ Tag: implemented-in::perl, mail::smtp, protocol::smtp, role::plugin, suite::gforge, works-with::mail Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-mta-postfix_5.2~rc1-5_all.deb Package: gforge-plugin-extratabs Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 80 Maintainer: Roland Mas Architecture: all Depends: fusionforge-plugin-extratabs Size: 51458 SHA256: 75afb488057b26b55b36fa2cbce68362a164ddf68d53805a00d7c08f7a6b684a SHA1: 7d063587564be6f9ee011f8f9000e335108fa8a5 MD5sum: 00916b28462782d8e342f803c2a1349e Description: Extratabs plugin for FusionForge (transitional package) This package is only present for transitional purposes and can be safely removed. Homepage: http://fusionforge.org/ Tag: role::plugin, suite::gforge Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-plugin-extratabs_5.2~rc1-5_all.deb Package: gforge-plugin-globalsearch Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 80 Maintainer: Roland Mas Architecture: all Depends: fusionforge-plugin-globalsearch Size: 51466 SHA256: d8eda7f460b4b865c07b10cf7b4b859118eee366d3590665d9ab24f11f3976d7 SHA1: 1e05f361f8016d315da0e3a4b35c63d63c5ac21d MD5sum: a6ee759f89c07b3cb498c150404a635b Description: Globalsearch plugin for FusionForge (transitional package) This package is only present for transitional purposes and can be safely removed. Homepage: http://fusionforge.org/ Tag: role::plugin, suite::gforge Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-plugin-globalsearch_5.2~rc1-5_all.deb Package: gforge-plugin-mediawiki Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 80 Maintainer: Roland Mas Architecture: all Depends: fusionforge-plugin-mediawiki Size: 51464 SHA256: 1af368ed897c91b07398bfd329c0f5f670e614854e85784a4a3c7ff7c81de23a SHA1: 58af145a4376f0041f2c8036793df2c89c8c5329 MD5sum: 53a30fc51f8028e0ea8c16f63afe0de6 Description: Mediawiki plugin for FusionForge (transitional package) This package is only present for transitional purposes and can be safely removed. Homepage: http://fusionforge.org/ Tag: role::plugin, suite::gforge Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-plugin-mediawiki_5.2~rc1-5_all.deb Package: gforge-plugin-projectlabels Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 80 Maintainer: Roland Mas Architecture: all Depends: fusionforge-plugin-projectlabels Size: 51464 SHA256: dc2b0dbece04689630087b3499eabc2d1247c4ac9c522b87fbc68736e6659119 SHA1: cab105bef29156b3205716c8ebb896308b6f5aca MD5sum: c988763643dbe240767335c0e4e7b32c Description: Projectlabels plugin for FusionForge (transitional package) This package is only present for transitional purposes and can be safely removed. Homepage: http://fusionforge.org/ Tag: role::plugin, suite::gforge Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-plugin-projectlabels_5.2~rc1-5_all.deb Package: gforge-plugin-scmgit Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 80 Maintainer: Roland Mas Architecture: all Depends: fusionforge-plugin-scmgit Size: 51450 SHA256: 035b14a8cbe0fe28ab8dcab0a9292e300eb1f5cc43e6002510de7d647f90d0ec SHA1: ebb1db12c076dac11b515230a411c29c82d647c3 MD5sum: 08028523c6d1459782483dac81f20cfb Description: Git plugin for FusionForge (transitional package) This package is only present for transitional purposes and can be safely removed. Homepage: http://fusionforge.org/ Tag: devel::rcs, role::plugin, suite::gforge Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-plugin-scmgit_5.2~rc1-5_all.deb Package: gforge-shell-postgresql Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 154 Maintainer: Roland Mas Architecture: all Provides: gforge-shell Depends: gforge-common, gforge-db-postgresql, perl, debianutils (>= 1.7), debconf (>= 1.0.32) | debconf-2.0, ucf, openssh-server, libnss-pgsql2 Recommends: nscd Conflicts: gforge-shell Size: 57848 SHA256: 6f859127e4de3e07208e31097a81df9c4f7f8f6b4ea96988a33923bb99ca04b3 SHA1: f95ed6dda38e91139780aa15a27d177847da4525 MD5sum: edefc8def8989a3f12b8b7489884a3e7 Description: collaborative development tool - shell accounts (using PostgreSQL) FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This package provides shell accounts authenticated via the PostGreSQL database to FusionForge users. Homepage: http://fusionforge.org/ Tag: admin::user-management, implemented-in::perl, role::plugin, suite::gforge Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-shell-postgresql_5.2~rc1-5_all.deb Package: gforge-web-apache Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 80 Maintainer: Roland Mas Architecture: all Depends: gforge-web-apache2 Size: 51648 SHA256: b746a898b1f23e9dc693148d28d47b5e9533819eb8d52b04e15de04ac983b854 SHA1: 026b80cd770b0e9fec52df59a1f160a4fa769214 MD5sum: 7de3ae02bca980b4e88053bf945d0de2 Description: transitional package to gforge-web-apache2 FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This dummy package exists only as a means to make transitions to apache2 easier. You can safely uninstall it. Homepage: http://fusionforge.org/ Tag: implemented-in::perl, implemented-in::php, implemented-in::shell, interface::web, protocol::http, role::dummy, role::plugin, suite::apache, suite::gforge, web::application, web::server Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-web-apache_5.2~rc1-5_all.deb Package: gforge-web-apache2 Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 5406 Maintainer: Roland Mas Architecture: all Provides: gforge-web Depends: gforge-common, gforge-db-postgresql | gforge-db, libapache2-mod-php5, php5-cgi, php5-pgsql, php5-gd, perl, libdbi-perl, libdbd-pg-perl, debianutils (>= 1.7), debconf (>= 1.0.32) | debconf-2.0, ucf, cronolog, python, ssl-cert, libnusoap-php, libphp-simplepie, php-http, libjs-yui, libjs-scriptaculous, libjs-jquery, libjs-jquery-tipsy, libjs-jquery-ui, libjs-jquery-ui-theme-overcast, libphp-jpgraph Recommends: locales | locales-all Conflicts: gforge-web Size: 2129070 SHA256: 1856132670607c0f9879d0bc38e3ef9268ec466d800f9067ccf7619b922e5b9e SHA1: ecac1113553432374bc51a8f9ccb98d1fdd0ab97 MD5sum: 48552c00dc0e8e58e3600d23f700db09 Description: collaborative development tool - web part (using Apache) FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This package contains the files needed to run the web part of FusionForge on an Apache webserver. Homepage: http://fusionforge.org/ Tag: suite::gforge Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-web-apache2_5.2~rc1-5_all.deb Package: gforge-web-apache2-vhosts Source: fusionforge Version: 5.2~rc1-5 Installed-Size: 134 Maintainer: Roland Mas Architecture: all Depends: gforge-web-apache2 Size: 54810 SHA256: d8e63784d8eaf8c871826de3971f1e1016706ad4485f73f8fd4a4020317d14e9 SHA1: 7ba61554c0e2a2d04119c416501f4e76eed36df3 MD5sum: 6f1cf1e37162dac2f14542797d6cbfe4 Description: collaborative development tool - web vhosts (using Apache) FusionForge provides many tools to aid collaboration in a development project, such as bug-tracking, task management, mailing-lists, SCM repository, forums, support request helper, web/FTP hosting, release management, etc. All these services are integrated into one web site and managed through a web interface. . This package contains the files needed to run project vhosts FusionForge on an Apache webserver. Homepage: http://fusionforge.org/ Tag: suite::gforge Section: devel Priority: optional Filename: pool/main/f/fusionforge/gforge-web-apache2-vhosts_5.2~rc1-5_all.deb Package: gforth Version: 0.7.0+ds2-0.1 Architecture: armhf Maintainer: Peter Pentchev Installed-Size: 469 Depends: libc6 (>= 2.13-28), libffcall1 (>= 1.10+2.41), libffi5 (>= 3.0.9), libltdl7 (>= 2.4.2), dpkg (>= 1.15.4) | install-info, gforth-common (= 0.7.0+ds2-0.1), gforth-lib (= 0.7.0+ds2-0.1) Multi-Arch: foreign Homepage: http://www.complang.tuwien.ac.at/projects/forth.html Priority: optional Section: interpreters Filename: pool/main/g/gforth/gforth_0.7.0+ds2-0.1_armhf.deb Size: 156758 SHA256: bdca018dbd9312f503439062a19e48aaa990321c75cbfda0682d0c885beb6669 SHA1: e249edf43d2a8a0170b1ce1a1f31e61b837140bc MD5sum: 8700e96b800d1b7d92540234207cd29a Description: GNU Forth Language Environment This is the GNU'ish implementation of a Forth programming environment. . Forth, as a language, is best known for being stack-based, and completely extensible. Each Forth environment provides one or more dictionaries of pre-defined words, and programming in Forth consists of defining and executing new words that are combinations of previously defined words. It has been said that learning Forth changes forever the way you think about writing programs. . For more information about Forth, visit the Forth Interest Group web site at http://www.forth.org/fig.html. Package: gforth-common Source: gforth Version: 0.7.0+ds2-0.1 Installed-Size: 1512 Maintainer: Peter Pentchev Architecture: all Replaces: gforth (<< 0.7.0+ds1-1) Recommends: gforth (>= 0.7.0+ds2-0.1) Breaks: gforth (<< 0.7.0+ds1-1) Size: 421662 SHA256: a2dd0a4e8d18487d8ab46f9671508c6701743fbec69520c36e953d58ec54a1db SHA1: 1b870a05933f9dc54310ce93d8ee441c529e4990 MD5sum: 3418d015f04c41c5393e406f56af2391 Description: GNU Forth architecture-independent dictionaries This is the GNU'ish implementation of a Forth programming environment. . Forth, as a language, is best known for being stack-based, and completely extensible. Each Forth environment provides one or more dictionaries of pre-defined words, and programming in Forth consists of defining and executing new words that are combinations of previously defined words. It has been said that learning Forth changes forever the way you think about writing programs. . This package provides the architecture-independent Forth dictionaries. Multi-Arch: foreign Homepage: http://www.complang.tuwien.ac.at/projects/forth.html Section: interpreters Priority: optional Filename: pool/main/g/gforth/gforth-common_0.7.0+ds2-0.1_all.deb Package: gforth-lib Source: gforth Version: 0.7.0+ds2-0.1 Architecture: armhf Maintainer: Peter Pentchev Installed-Size: 334 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libffcall1 (>= 1.10+2.41), libffi5 (>= 3.0.9), libltdl7 (>= 2.4.2) Multi-Arch: same Homepage: http://www.complang.tuwien.ac.at/projects/forth.html Priority: optional Section: interpreters Filename: pool/main/g/gforth/gforth-lib_0.7.0+ds2-0.1_armhf.deb Size: 110340 SHA256: 94b8d320e88abd623e89bdad2c89d94f582aed13cfc508d7d597c2c3a86c9000 SHA1: 1c026b3c4771cf738870d61287363487c506eaa2 MD5sum: cc7a0f94bc1f58faf6e585e57e7690f8 Description: GNU Forth Language Environment architecture-dependent files This is the GNU'ish implementation of a Forth programming environment. . Forth, as a language, is best known for being stack-based, and completely extensible. Each Forth environment provides one or more dictionaries of pre-defined words, and programming in Forth consists of defining and executing new words that are combinations of previously defined words. It has been said that learning Forth changes forever the way you think about writing programs. . This package provides the architecture-dependent precompiled libraries. Package: gfortran Source: gcc-defaults (1.120) Version: 4:4.6.3-8 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 33 Depends: cpp (>= 4:4.6.3-8), gcc (>= 4:4.6.3-8), gfortran-4.6 (>= 4.4.7-1~) Suggests: gfortran-doc Provides: fortran-compiler Priority: optional Section: devel Filename: pool/main/g/gcc-defaults/gfortran_4.6.3-8_armhf.deb Size: 1134 SHA256: 5f63f97fe9c8125074a2f100664410f2644398430ffd9a62e0298fcc55a3777a SHA1: 81993ec64d542170243f7bbabdc6c03cabcc538f MD5sum: 138bbdd47e9db67b1072f6f8b8b0dfe1 Description: GNU Fortran 95 compiler This is the GNU Fortran 95 compiler, which compiles Fortran 95 on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . This is a dependency package providing the default GNU Fortran 95 compiler. Package: gfortran-4.4 Source: gcc-4.4 Version: 4.4.7-3+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 10599 Depends: gcc-4.4-base (= 4.4.7-3+rpi1), gcc-4.4 (= 4.4.7-3+rpi1), libgfortran3 (>= 4.4.7-3+rpi1), libc6-dev (>= 2.13-5), libc6 (>= 2.13-28), libgmp10, libmpfr4 (>= 3.1.0) Suggests: gfortran-4.4-doc, libgfortran3-dbg Replaces: libgfortran3-dev Provides: fortran95-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.4/gfortran-4.4_4.4.7-3+rpi1_armhf.deb Size: 4513946 SHA256: 9c847915e305f7b252f2340004fab5f76cb18236360da1c9061981579267acdd SHA1: 8f532fecdebc6a62fe44ca20839d7de91ac33a16 MD5sum: c6b3ff02c1dcf209d7365e92758acc8a Description: GNU Fortran 95 compiler This is the GNU Fortran compiler, which compiles Fortran 95 on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gfortran-4.5 Source: gcc-4.5 Version: 4.5.3-12+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 11007 Depends: gcc-4.5-base (= 4.5.3-12+rpi1), gcc-4.5 (= 4.5.3-12+rpi1), libgfortran3 (>= 4.5.3-12+rpi1), libc6-dev (>= 2.13-5), libc6 (>= 2.13-28), libelfg0 (>= 0.8.12), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gfortran-4.5-doc, libgfortran3-dbg Replaces: libgfortran3-dev Provides: fortran95-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.5/gfortran-4.5_4.5.3-12+rpi1_armhf.deb Size: 4470620 SHA256: 48ccce970936335a4bf72ea5121cf03674e757b95accb5abbb6306b20e352678 SHA1: 0f812d2a4ac9c23733658be614208d9962286a5d MD5sum: e6b2a94910af0ee068510d28b541cb04 Description: GNU Fortran compiler This is the GNU Fortran compiler, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gfortran-4.6 Source: gcc-4.6 Version: 4.6.3-14+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 11649 Depends: gcc-4.6-base (= 4.6.3-14+rpi1), gcc-4.6 (= 4.6.3-14+rpi1), libgfortran3 (>= 4.6.3-14+rpi1), libc6-dev (>= 2.13-5), libc6 (>= 2.13-28), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gfortran-4.6-doc, libgfortran3-dbg Replaces: libgfortran3-dev Provides: fortran95-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.6/gfortran-4.6_4.6.3-14+rpi1_armhf.deb Size: 4833326 SHA256: e93671b551c8fd3783243c4909e518b08b4b9ad81ec62332823a5f92a2fd0fcc SHA1: fad39d3b7cd6ec010c32d3ed7b0af7a80ed194ed MD5sum: bfda33d265a55916a05f9cf555f0a4fc Description: GNU Fortran compiler This is the GNU Fortran compiler, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gfortran-4.7 Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 12493 Depends: gcc-4.7-base (= 4.7.2-5+rpi1), gcc-4.7 (= 4.7.2-5+rpi1), libgfortran3 (>= 4.7.2-5+rpi1), libc6-dev (>= 2.13-5), libc6 (>= 2.13-28), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gfortran-4.7-doc, libgfortran3-dbg Replaces: libgfortran3-dev Provides: fortran95-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.7/gfortran-4.7_4.7.2-5+rpi1_armhf.deb Size: 5359954 SHA256: 9f12400d7017a9d6891563a868ee4b5770d3f4422b26e63a81db6acd0a83ee32 SHA1: 360e9b0c03e3f205aaa5360bea8eef540fcc76b8 MD5sum: 086fe11ba39f3c828b39b65483010bd6 Description: GNU Fortran compiler This is the GNU Fortran compiler, which compiles Fortran on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gfortran-mingw-w64 Source: gcc-mingw-w64 (8) Version: 4.6.3-14+8 Installed-Size: 21 Maintainer: Stephen Kitt Architecture: all Depends: gfortran-mingw-w64-i686, gfortran-mingw-w64-x86-64, gcc-mingw-w64-base Size: 928 SHA256: c3625d74379583c06eaf50445ad82926b996990d13278b13b561646ab1a40ff4 SHA1: 5e5eafeb231c65b44422e5c86dcd03ea35d91057 MD5sum: 09a0404fd43517af64386af547f4447c Description: GNU Fortran compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Fortran compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Homepage: http://www.gnu.org/software/gcc/ Built-Using: gcc-4.6 (= 4.6.3-14) Section: devel Priority: extra Filename: pool/main/g/gcc-mingw-w64/gfortran-mingw-w64_4.6.3-14+8_all.deb Package: gfortran-mingw-w64-i686 Source: gcc-mingw-w64 (8) Version: 4.6.3-12+rpi1+8 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 21734 Depends: gcc-mingw-w64-i686 (= 4.6.3-12+rpi1+8), gcc-mingw-w64-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.6-locales (>= 4.6.3) Breaks: gcc-mingw-w64 (<< 4.6.3-3+4) Replaces: gcc-mingw-w64 (<< 4.6.3-3+4) Built-Using: gcc-4.6 (= 4.6.3-12+rpi1) Homepage: http://www.gnu.org/software/gcc/ Priority: extra Section: devel Filename: pool/main/g/gcc-mingw-w64/gfortran-mingw-w64-i686_4.6.3-12+rpi1+8_armhf.deb Size: 6659796 SHA256: ea9b11514d0e871bd3dbfaf5a5242d58e1c39d4439ac5f09d9e01c21e11ce040 SHA1: 6cbe0fb39525bdfabd53d7bd4ecc1af6f605158a MD5sum: 9c60d35338046deb36b2dd5035daa64b Description: GNU Fortran compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Fortran compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: gfortran-mingw-w64-x86-64 Source: gcc-mingw-w64 (8) Version: 4.6.3-12+rpi1+8 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 25396 Depends: gcc-mingw-w64-x86-64 (= 4.6.3-12+rpi1+8), gcc-mingw-w64-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.6-locales (>= 4.6.3) Breaks: gcc-mingw-w64 (<< 4.6.3-3+4) Replaces: gcc-mingw-w64 (<< 4.6.3-3+4) Built-Using: gcc-4.6 (= 4.6.3-12+rpi1) Homepage: http://www.gnu.org/software/gcc/ Priority: extra Section: devel Filename: pool/main/g/gcc-mingw-w64/gfortran-mingw-w64-x86-64_4.6.3-12+rpi1+8_armhf.deb Size: 7212300 SHA256: 4bf96d4b06a40cee88dab2c6fd72e49534c6c289ee02c020d829709ceb854c4b SHA1: ab4ecd4d8245a6a9807ecb83bbf5a7eb633f7643 MD5sum: 34345b659bc65adf9c6b1889c2cfd4e1 Description: GNU Fortran compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Fortran compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: gfpoken Version: 0.32-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 352 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0) Homepage: https://savannah.nongnu.org/projects/gfpoken/ Priority: optional Section: games Filename: pool/main/g/gfpoken/gfpoken_0.32-2_armhf.deb Size: 255596 SHA256: 6217299aa81b2721897599191bf4bfdf3b208329ab26a63faf5adb648209d9c4 SHA1: f01878602e748daf2b9477d584b03435edb6632d MD5sum: 60aa42ae2f6b1b223dff246172b3f378 Description: Recreate a grid of mirrors from clues given by tests Send balls through an invisible grid of mirrors (and other interesting widgets) and observe where they end up. Then, try to recreate the same grid from the results you just obtained. Package: gfs-pcmk Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 200 Depends: libc6 (>= 2.13-28), libccs3 (>= 3.0.12), libcib1 (>= 1.1.7), libcpg4 (>= 1.4.2), libcrmcluster1 (>= 1.1.7), libcrmcommon2 (>= 1.1.7), libdlmcontrol3 (>= 3.0.12), libglib2.0-0 (>= 2.12.0), libldap-2.4-2 (>= 2.4.7), liblogthread3 (>= 3.0.12), libsackpt3 (>= 1.1.4), libtotem-pg4 (>= 1.4.2), libxml2 (>= 2.7.4) Priority: optional Section: admin Filename: pool/main/r/redhat-cluster/gfs-pcmk_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 94782 SHA256: fa2117a03913b700bfc94f30ab9221cfcd2af8b98d774303bd153485f5e39c86 SHA1: dafbae78956466911e8e6ba4112b839d3828730a MD5sum: 60e237ee4ca1d3b3450554a075fbad10 Description: Red Hat cluster suite - GFS pacemaker module This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . This package contains the GFS module for pacemaker. Package: gfs-tools Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 482 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libxml2 (>= 2.7.4), gfs2-tools Recommends: redhat-cluster-modules Priority: optional Section: admin Filename: pool/main/r/redhat-cluster/gfs-tools_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 230512 SHA256: 39d0a43197e298a34dc92647ec1c1dcd440c62fd218066bcb43f58901ee5a7e3 SHA1: 88b197860a2b5d0629792ebc25c2dbc3c8e41fbc MD5sum: 43ee6165341ad84f5706370b2a8ffcfa Description: Red Hat cluster suite - global file system tools This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . The Global File System allows a cluster of machines to concurrently access shared storage hardware like SANs or iSCSI and network block devices. GFS can be deployed to build high-availability services without the single point of failure of a file server. . This package contains tools for creating and managing global file systems. GFS itself is a set of kernel modules. . Note: the package requires gfs2-tools installed; this is known to be reliable even though the GFS2 kernel modules themselves are highly experimental and *MUST NOT* be used in a production environment yet. Package: gfs2-tools Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 699 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libncurses5 (>= 5.5-5~), libtinfo5, libxml2 (>= 2.7.4), psmisc, cman Priority: optional Section: admin Filename: pool/main/r/redhat-cluster/gfs2-tools_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 332150 SHA256: f02f871e05ad268e8e423d3df2aba0b2283e37215b2a6043fbdf9c85af26f51a SHA1: 66222a9dbb84455eae599c2ce21710f97d9d01aa MD5sum: ca006e2b3c614599c78e108fc941351f Description: Red Hat cluster suite - global file system 2 tools This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . The Global File System allows a cluster of machines to concurrently access shared storage hardware like SANs or iSCSI and network block devices. GFS can be deployed to build high-availability services without the single point of failure of a file server. . This package contains tools for creating and managing global file systems. GFS itself is a set of kernel modules. . The GFS2 kernel modules themselves are highly experimental and *MUST NOT* be used in a production environment yet. Package: gfsd Source: gfarm Version: 2.4.1-1.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 173 Depends: libc6 (>= 2.13-28), libgfarm1, libssl1.0.0 (>= 1.0.0) Suggests: gfarm-doc Homepage: http://datafarm.apgrid.org/ Priority: extra Section: net Filename: pool/main/g/gfarm/gfsd_2.4.1-1.1_armhf.deb Size: 45446 SHA256: 540cb93fae5d3d5b75bf1be5b63cfd2c647eff3a8ee8fef5b91ee5e39ec16828 SHA1: 251f2240835eba16a4f8cd648c7e739a1ed67d51 MD5sum: 60a1e6cfe62b114987442743f1c9e44a Description: Gfarm file system daemon The Gfarm file system is designed to turn commodity PCs into nodes of a distributed storage network, implementing the Grid Datafarm architecture for global petascale data-intensive computing. It solves performance and reliability problems in NFS and AFS by means of multiple file replicas, and not only prevents performance degradation due to access concentration, but also supports fault tolerance and disaster recovery. . This package provides the Gfarm file system daemon, which equips a host to function as a storage node for the network. Package: gftp Version: 2.0.19-4 Installed-Size: 77 Maintainer: Cleto Martín Architecture: all Depends: gftp-gtk (>= 2.0.19-4), gftp-text (>= 2.0.19-4) Size: 55120 SHA256: baca572e9e196c84a4bf2556390ed66c15c6347ccf86a3346a0ff8af6517375d SHA1: 6137633ce74204c03d52786c61a8027f17e1818c MD5sum: 2192c408b0f04e82eff5b74daeac5b7c Description: X/GTK+ and console FTP client (metapackage) gFTP is a multithreaded FTP client, available in two versions: * version for X, written using GLib and GTK+ * version for the console, using only GLib . This is an upgrade convenience package, it's only useful for depending on. Homepage: http://www.gftp.org/ Tag: interface::x11, network::client, protocol::ftp, role::metapackage, uitoolkit::gtk, use::downloading, works-with::file, x11::application Section: net Priority: optional Filename: pool/main/g/gftp/gftp_2.0.19-4_all.deb Package: gftp-common Source: gftp Version: 2.0.19-4 Architecture: armhf Maintainer: Cleto Martín Installed-Size: 3170 Homepage: http://www.gftp.org/ Priority: optional Section: net Filename: pool/main/g/gftp/gftp-common_2.0.19-4_armhf.deb Size: 959260 SHA256: 8dec1a152391fb04a500c5020d99575d2c4288e204570d9c8d24673e0831a3aa SHA1: c2aa933f26bd2e3670f4aaeef321b9f33dc0c032 MD5sum: 590531007657e53f03294bba889b9935 Description: shared files for other gFTP packages gFTP is a multithreaded FTP client. This package contains the locale data used by both gftp-gtk and gftp-text, along with a common manual page. . gFTP features: * simultaneous downloads, * resuming of interrupted file transfers, * file transfer queues, * downloading of entire directories, * FTP and HTTP proxy support, * remote directory caching, * passive and non-passive file transfers, * drag-n-drop support, * bookmarks menu, * support for SSH and SSH2 file transfers, * support FXP transferts, * stop button, and many more features. . Author: Brian Masney Package: gftp-gtk Source: gftp Version: 2.0.19-4 Architecture: armhf Maintainer: Cleto Martín Installed-Size: 514 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), gftp-common (= 2.0.19-4) Conflicts: gftp-common (<< 2.0.14-1) Homepage: http://www.gftp.org/ Priority: optional Section: net Filename: pool/main/g/gftp/gftp-gtk_2.0.19-4_armhf.deb Size: 227366 SHA256: afa79c2ca24e6f79a81ef2b783f4274952459991878c376c2c1145cd316afe8e SHA1: 8aa6c93d712c91a45eaa4387041bc1c81c2be538 MD5sum: 2a2099df79f242b3c9cb5d5b92e57f14 Description: X/GTK+ FTP client gFTP graphical version is a multithreaded FTP client running under X and written using GLib/GTK+. . gFTP features: * simultaneous downloads, * resuming of interrupted file transfers, * file transfer queues, * downloading of entire directories, * FTP and HTTP proxy support, * remote directory caching, * passive and non-passive file transfers, * drag-n-drop support, * bookmarks menu, * support for SSH and SSH2 file transfers, * support FXP transfers, * stop button, and many more features. . Author: Brian Masney Package: gftp-text Source: gftp Version: 2.0.19-4 Architecture: armhf Maintainer: Cleto Martín Installed-Size: 250 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libreadline6 (>= 6.0), libtinfo5, gftp-common (= 2.0.19-4) Homepage: http://www.gftp.org/ Priority: optional Section: net Filename: pool/main/g/gftp/gftp-text_2.0.19-4_armhf.deb Size: 132808 SHA256: 513d7296ba48c5a9ce6bb0574e07561b62ff1ad43ec2c291214c2a986640efc8 SHA1: 0ce3b0a5033b9ea7ff80b8a3efb158b9f43333dd MD5sum: 671ee602612c6c9d463527b05b14254e Description: colored FTP client using GLib gFTP text version is a multithreaded FTP client running under console and written using GLib. . gFTP features: * simultaneous downloads, * resuming of interrupted file transfers, * file transfer queues, * downloading of entire directories, * FTP and HTTP proxy support, * remote directory caching, * passive and non-passive file transfers, * drag-n-drop support, * bookmarks menu, * support for SSH and SSH2 file transfers, * support FXP transferts, * stop button, and many more features. . Author: Brian Masney Package: ggobi Version: 2.1.10-4 Architecture: armhf Maintainer: Dirk Eddelbuettel Installed-Size: 3267 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcdt4, libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgraph4, libgtk2.0-0 (>= 2.8.0), libgvc5, libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4) Priority: optional Section: math Filename: pool/main/g/ggobi/ggobi_2.1.10-4_armhf.deb Size: 1245090 SHA256: cefbc0748edb933768da1512834339b21c29a27ad46beae4b341c6293f370353 SHA1: 646cbe918c93c57aad0d00aa0f6e598d21e37d12 MD5sum: 6d5d0a22734a829e21176418ed416c7c Description: Data visualization system for high-dimensional data GGobi is an open source visualization program for exploring high-dimensional data. It provides highly dynamic and interactive graphics such as tours, as well as familiar graphics such as the scatterplot, barchart and parallel coordinates plots. Plots are interactive and linked with brushing and identification. . See http://www.ggobi.org for more information. Package: ggzcore-bin Source: ggz-client-libs Version: 0.0.14.1-1.1 Architecture: armhf Maintainer: Debian GGZ Maintainers Installed-Size: 184 Depends: libc6 (>= 2.13-28), libggz2 (>= 0.0.14.1), libggzcore9 (>= 0.0.14.1), libggzmod4 (>= 0.0.14.1) Conflicts: libggzcore-utils Replaces: libggzcore-utils Homepage: http://www.ggzgamingzone.org/ Priority: optional Section: utils Filename: pool/main/g/ggz-client-libs/ggzcore-bin_0.0.14.1-1.1_armhf.deb Size: 76796 SHA256: a97d768da64e31fb022dd4277a1a8e667b86ab43e2e5f201afb3018243b7ee77 SHA1: 0093c5aa5a2dd1d026442da15511b131972e37a8 MD5sum: d5dd906128b89b28bf0888cef18f0c91 Description: GGZ Gaming Zone: various command-line helper programs There are two helper tools provided with GGZ: . The developer utility ggz-config manages the database of game clients and allows developers to query GGZ parameters. . With ggz-wrapper it is possible to let any program connect to a GGZ server, communicating with standard input/output channels. This is used by the GGZ plugins for instant messengers. . This package is part of the GGZ Gaming Zone, a multiuser networked gaming environment. Package: ghc Version: 7.4.1-4+rpi1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 231208 Depends: gcc (>= 4:4.2), llvm-3.0, libgmp-dev, libffi-dev, libbsd-dev, libc6-dev, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libncursesw5 (>= 5.6+20070908), libtinfo5 Suggests: perl, ghc-prof, ghc-doc, haskell-doc Conflicts: ghc6 (<< 7), libghc-array-dev, libghc-base-dev, libghc-bin-package-db-dev, libghc-binary-dev, libghc-bytestring-dev, libghc-cabal-dev, libghc-containers-dev, libghc-deepseq-dev, libghc-directory-dev, libghc-extensible-exceptions-dev, libghc-filepath-dev, libghc-ghc-prim-dev, libghc-haskell2010-dev, libghc-haskell98-dev, libghc-hoopl-dev, libghc-hpc-dev, libghc-integer-gmp-dev, libghc-old-locale-dev, libghc-old-time-dev, libghc-pretty-dev, libghc-process-dev, libghc-rts-dev, libghc-template-haskell-dev, libghc-time-dev, libghc-unix-dev Breaks: cabal-install (<< 0.8.0), ghc-doc (<= 6.12.1-8), haskell-devscripts (<< 0.6.19) Replaces: ghc6 (<< 7) Provides: haskell-compiler, libghc-array-dev, libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev, libghc-base-dev-4.5.0.0-d93df, libghc-bin-package-db-dev, libghc-bin-package-db-dev-0.0.0.0-339c0, libghc-binary-dev, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-cabal-dev, libghc-cabal-dev-1.14.0-3e0a6, libghc-containers-dev, libghc-containers-dev-0.4.2.1-7c545, libghc-deepseq-dev, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-directory-dev, libghc-directory-dev-1.1.0.2-57272, libghc-extensible-exceptions-dev, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libghc-filepath-dev, libghc-filepath-dev-1.3.0.0-163d9, libghc-ghc-dev-7.4.1-79b6c, libghc-ghc-prim-dev, libghc-ghc-prim-dev-0.2.0.0-bd29c, libghc-haskell2010-dev, libghc-haskell2010-dev-1.1.0.1-e8942, libghc-haskell98-dev, libghc-haskell98-dev-2.0.0.1-84c51, libghc-hoopl-dev, libghc-hoopl-dev-3.8.7.3-a5423, libghc-hpc-dev, libghc-hpc-dev-0.5.1.1-649db, libghc-integer-gmp-dev, libghc-integer-gmp-dev-0.4.0.0-ec87c, libghc-old-locale-dev, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-pretty-dev, libghc-pretty-dev-1.1.1.0-7e118, libghc-process-dev, libghc-process-dev-1.1.0.1-75c65, libghc-rts-dev, libghc-template-haskell-dev, libghc-template-haskell-dev-2.7.0.0-e9ca7, libghc-time-dev, libghc-time-dev-1.4-ec63b, libghc-unix-dev, libghc-unix-dev-2.5.1.0-77272 Homepage: http://haskell.org/ghc/ Priority: extra Section: haskell Filename: pool/main/g/ghc/ghc_7.4.1-4+rpi1_armhf.deb Size: 52308028 SHA256: d996b5d3b34264caad38f7c99b1070c222f3ac69d1f9ae557af97efb7df2b763 SHA1: 8a6ba36f799a6ef113e3cf50a566a0066d3e799e MD5sum: 79c70db16e69b5617a3761e735db0f42 Description: The Glasgow Haskell Compilation system The Glorious Glasgow Haskell Compilation system (GHC) is a compiler for Haskell. . Haskell is "the" standard lazy functional programming language. The language definition and additional documentation can be found in the `haskell-doc' package. Alternatively, there is an online version at http://haskell.org/onlinereport/. Package: ghc-doc Source: ghc Version: 7.4.1-4+rpi1 Architecture: all Maintainer: Debian Haskell Group Installed-Size: 97529 Depends: haddock-interface-19, perl Suggests: haskell-doc Conflicts: ghc (<= 7.0.3-1), ghc6-doc (<< 7), libghc-binary-doc Replaces: ghc6-doc (<< 7), libghc-binary-doc Provides: libghc-array-doc, libghc-base-doc, libghc-bin-package-db-doc, libghc-binary-doc, libghc-bytestring-doc, libghc-cabal-doc, libghc-containers-doc, libghc-deepseq-doc, libghc-directory-doc, libghc-extensible-exceptions-doc, libghc-filepath-doc, libghc-ghc-prim-doc, libghc-haskell2010-doc, libghc-haskell98-doc, libghc-hoopl-doc, libghc-hpc-doc, libghc-integer-gmp-doc, libghc-old-locale-doc, libghc-old-time-doc, libghc-pretty-doc, libghc-process-doc, libghc-rts-doc, libghc-template-haskell-doc, libghc-time-doc, libghc-unix-doc Homepage: http://haskell.org/ghc/ Priority: extra Section: doc Filename: pool/main/g/ghc/ghc-doc_7.4.1-4+rpi1_all.deb Size: 11381274 SHA256: c7815c8a733113abbcb35137d6aa7f8d2382755b073f11f1f612f824cf12769c SHA1: 15344f137dbf04e97f1b3a9ff5053ebec8f3751f MD5sum: 1d239121b80e50d38e1734f9335b6fb5 Description: Documentation for the Glasgow Haskell Compilation system The Glorious Glasgow Haskell Compilation system (GHC) is a compiler for Haskell. . Haskell is "the" standard lazy functional programming language. The language definition and additional documentation can be found in the `haskell-doc' package. Alternatively, there is an online version at http://haskell.org/onlinereport/. . This package includes HTML, DVI and PS versions of the SGML-based documentation around GHC. Package: ghc-haddock Source: ghc Version: 7.4.1-4+rpi1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 44067 Depends: ghc (= 7.4.1-4+rpi1), libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Conflicts: haddock Provides: haddock, haddock-interface-19 Homepage: http://www.haskell.org/haddock/ Priority: extra Section: haskell Filename: pool/main/g/ghc/ghc-haddock_7.4.1-4+rpi1_armhf.deb Size: 9975550 SHA256: 5be9ccd72cf6f14e57c474ff87332876ff85aa74d312555dff3235784efdb8a3 SHA1: bd5860824cb0d4719651984156943eb8bcb2c17e MD5sum: b8111ec1b685847eba497b58a7293d3f Description: Documentation tool for annotated Haskell source code Haddock is a tool for automatically generating documentation from annotated Haskell source code. It is primary intended for documenting libraries, but it should be useful for any kind of Haskell code. . Haddock lets you write documentation annotations next to the definitions of functions and types in the source code, in a syntax that is easy on the eye when writing the source code (no heavyweight mark-up). The documentation generated by Haddock is fully hyperlinked: click on a type name in a type signature to go straight to the definition, and documentation, for that type. . Haddock can generate documentation in multiple formats; currently HTML is implemented, and there is partial support for generating DocBook. . This package contains Haddock version 2.10.0. Package: ghc-prof Source: ghc Version: 7.4.1-4+rpi1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 220563 Depends: ghc (= 7.4.1-4+rpi1) Conflicts: ghc6-prof (<< 7) Replaces: ghc6-prof (<< 7) Provides: libghc-array-prof, libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof, libghc-base-prof-4.5.0.0-d93df, libghc-bin-package-db-prof, libghc-bin-package-db-prof-0.0.0.0-339c0, libghc-binary-prof, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-cabal-prof, libghc-cabal-prof-1.14.0-3e0a6, libghc-containers-prof, libghc-containers-prof-0.4.2.1-7c545, libghc-deepseq-prof, libghc-deepseq-prof-1.3.0.0-6c19e, libghc-directory-prof, libghc-directory-prof-1.1.0.2-57272, libghc-extensible-exceptions-prof, libghc-extensible-exceptions-prof-0.1.1.4-d27a1, libghc-filepath-prof, libghc-filepath-prof-1.3.0.0-163d9, libghc-ghc-prim-prof, libghc-ghc-prim-prof-0.2.0.0-bd29c, libghc-ghc-prof-7.4.1-79b6c, libghc-haskell2010-prof, libghc-haskell2010-prof-1.1.0.1-e8942, libghc-haskell98-prof, libghc-haskell98-prof-2.0.0.1-84c51, libghc-hoopl-prof, libghc-hoopl-prof-3.8.7.3-a5423, libghc-hpc-prof, libghc-hpc-prof-0.5.1.1-649db, libghc-integer-gmp-prof, libghc-integer-gmp-prof-0.4.0.0-ec87c, libghc-old-locale-prof, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-pretty-prof, libghc-pretty-prof-1.1.1.0-7e118, libghc-process-prof, libghc-process-prof-1.1.0.1-75c65, libghc-rts-prof, libghc-template-haskell-prof, libghc-template-haskell-prof-2.7.0.0-e9ca7, libghc-time-prof, libghc-time-prof-1.4-ec63b, libghc-unix-prof, libghc-unix-prof-2.5.1.0-77272 Homepage: http://haskell.org/ghc/ Priority: extra Section: haskell Filename: pool/main/g/ghc/ghc-prof_7.4.1-4+rpi1_armhf.deb Size: 49195400 SHA256: 56c58db1bbfd50c0afc49eebb264df3d50cbe3fc0f83115ead7ebe477b5f07f8 SHA1: a2966b9d3c02bd2d508b839c1fc3a67a36b729f4 MD5sum: 200f52c476593e792428d776d18aec15 Description: Profiling libraries for the Glasgow Haskell Compilation system The Glorious Glasgow Haskell Compilation system (GHC) is a compiler for Haskell. . Haskell is "the" standard lazy functional programming language. The language definition and additional documentation can be found in the `haskell-doc' package. Alternatively, there is an online version at http://haskell.org/onlinereport/. . This package contains additional profiling libraries. They are only needed, if you want to take a closer look on where exactly your program burns CPU cycles. Package: ghc-testsuite Version: 7.4.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 95 Homepage: http://hackage.haskell.org/trac/ghc/wiki/Building/RunningTests Priority: extra Section: haskell Filename: pool/main/g/ghc-testsuite/ghc-testsuite_7.4.1-3_armhf.deb Size: 68984 SHA256: cb9edec125790ff4468470d98e1d8f243a0cfa348d0c34bb21bbfd391458bd75 SHA1: 74142a0dc4465d68b76d478f94e5b702e6933e81 MD5sum: 727433618caf9fd5ab487a0db0135900 Description: GHC testsuite results This package is just a container for the results of the GHC testsuite. There is usually no point in installing this package. Package: ghc6 Source: ghc Version: 7.4.1-4+rpi1 Architecture: all Maintainer: Debian Haskell Group Installed-Size: 54 Depends: ghc Homepage: http://haskell.org/ghc/ Priority: extra Section: haskell Filename: pool/main/g/ghc/ghc6_7.4.1-4+rpi1_all.deb Size: 27058 SHA256: 45ef6453e94e436d8d296a00ac4c5aca6aebf242aeb0546c2a5f0843898ac0a9 SHA1: d3020ac18aada5a203c28bb559ac83ef89ee9662 MD5sum: cf3c06bca8635a93cd57619c5131d6d4 Description: transitional dummy package This package ensures a smooth transition from the package name ghc6 to the package name ghc, and can safely be removed. Package: ghc6-doc Source: ghc Version: 7.4.1-4+rpi1 Architecture: all Maintainer: Debian Haskell Group Installed-Size: 55 Depends: ghc-doc Homepage: http://haskell.org/ghc/ Priority: extra Section: doc Filename: pool/main/g/ghc/ghc6-doc_7.4.1-4+rpi1_all.deb Size: 27184 SHA256: bd16c8fab36194c1e31fa2108a93b6c80b00cefb71746a18796030620988db63 SHA1: 689aa12e5a29073cec6f885a540a2a73ff23bfc1 MD5sum: d4e40e4ffaece6b4794fc886b0a38ecb Description: transitional dummy package This package ensures a smooth transition from the package name ghc6-doc to the package name ghc-doc, and can safely be removed. Package: ghc6-prof Source: ghc Version: 7.4.1-4+rpi1 Architecture: all Maintainer: Debian Haskell Group Installed-Size: 53 Depends: ghc-prof Homepage: http://haskell.org/ghc/ Priority: extra Section: haskell Filename: pool/main/g/ghc/ghc6-prof_7.4.1-4+rpi1_all.deb Size: 26728 SHA256: 8d2b01fdec7e81244c6c60f922d233f601b49171bda6ecef5cd589d98ed66830 SHA1: d4af1d1ba58eb2d1c6114c7cf304fef2cc274c4d MD5sum: d4c926132e2b8a45b56b968727628481 Description: transitional dummy package This package ensures a smooth transition from the package name ghc6-prof to the package name ghc-prof, and can safely be removed. Package: ghemical Version: 3.0.0-1 Architecture: armhf Maintainer: Debichem Team Installed-Size: 2661 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libghemical5, libgl1-mesa-glx | libgl1, libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.8.0), libgtkglext1, libice6 (>= 1:1.0.0), libmopac7-1gf, liboglappth2, libopenbabel4, libpango1.0-0 (>= 1.14.0), libsc7, libsm6, libstdc++6 (>= 4.6), libx11-6, libxml2 (>= 2.6.27), libxmu6, libxt6, mpqc Homepage: http://bioinformatics.org/ghemical/ghemical/ Priority: optional Section: gnome Filename: pool/main/g/ghemical/ghemical_3.0.0-1_armhf.deb Size: 1918118 SHA256: 44ae7c7515d28df6aefe24e9129e36d82621e1cf589063e8306d2537e261293c SHA1: 13fb3084b9272c76b359d071a3e47beb96d78332 MD5sum: a3c061c268cdfdd9bcc934805fbba3cb Description: GNOME molecular modelling environment Ghemical is a computational chemistry software package written in C++. It has a graphical user interface and it supports both quantum- mechanics (semi-empirical) models and molecular mechanics models. Geometry optimization, molecular dynamics and a large set of visualization tools using OpenGL are currently available. . Ghemical relies on external code to provide the quantum-mechanical calculations. Semi-empirical methods MNDO, MINDO/3, AM1 and PM3 come from the MOPAC7 package (Public Domain), and are included in the package. The MPQC package is used to provide ab initio methods: the methods based on Hartree-Fock theory are currently supported with basis sets ranging from STO-3G to 6-31G**. Package: ghex Version: 3.4.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 2970 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgail-3-0 (>= 3.0.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.10), libgtk-3-0 (>= 3.3.16), libgtkhex-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), dconf-gsettings-backend | gsettings-backend Homepage: http://live.gnome.org/Ghex Priority: optional Section: gnome Filename: pool/main/g/ghex/ghex_3.4.1-1_armhf.deb Size: 1188344 SHA256: 3ab7e98fef14558bafe7eff1346a219211f78490c269f569deefcecdf0c97050 SHA1: 0d8058756d2e672abcc7f1246754b4b8c7c7a530 MD5sum: 745b2801d619fa2c234ed3ec1d87f805 Description: GNOME Hex editor for files The GHex program can view and edit files in two ways, hex or ascii. Good for editing saved game files. Package: ghextris Version: 0.9.0-3 Installed-Size: 168 Maintainer: Debian Games Team Architecture: all Depends: python, python-glade2 (>= 2.2.0-1), python-gnome2 (>= 2.0.2-1), python-gtk2 (>= 2.2.0-1) Size: 13934 SHA256: c705e63a1628695a205954b82635710bdec0bd1cc9181805ddd1a471925be559 SHA1: 7c469f5539e1913f8b36753ba96177a21a0a48a5 MD5sum: 09621e59389ea601f296578dbb51f1b1 Description: A Tetris-like game on a hexagonal grid The object of the game is basically the same as in Tetris; use the pieces that fall down from the top of the game area to construct fully filled lines, which will then disappear to make room for more pieces. The twist is that the pieces are composed of hexagonal blocks, so your visualization skills will get an extra workout. Homepage: http://mjr.iki.fi/software/ghextris Tag: game::tetris, implemented-in::python, interface::x11, role::program, suite::gnome, uitoolkit::gtk, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/g/ghextris/ghextris_0.9.0-3_all.deb Package: ghkl Source: hkl Version: 4.0.3-4 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 461 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgsl0ldbl (>= 1.9), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libhkl4 (>= 4.0.3), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6) Homepage: http://www.synchrotron-soleil.fr/portal/page/portal/Instrumentation/EnvironnementInstrumental/hkl Priority: extra Section: science Filename: pool/main/h/hkl/ghkl_4.0.3-4_armhf.deb Size: 201064 SHA256: c27c09e708baff52c5c417ac3a1e42c6ea6630d390c82a55cd156e19b859f6a8 SHA1: 89867349e1e45e7fce9a8b80995119b943230374 MD5sum: a9196653a963131a6aecee4a415f9d20 Description: diffractometer computation control application The hkl library is a framework for diffraction computation and diffractometer control, heavily used at the SOLEIL synchrotron. It supports various types of diffractometer geometry: Eulerian 4-circle, Eulerian 6-circle, kappa 4-circle, kappa 6-circle, and z-axis geometry. For each of these it provides several numerically computed modes, such as bisector and constant psi. . This package provides a gui on top of the hkl library. Package: ghostess Version: 20120105-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 162 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.14.0), libgtk2.0-0 (>= 2.14.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblo7 (>= 0.26~repack) Recommends: jackd, qjackctl Homepage: http://smbolton.com/linux.html Priority: optional Section: sound Filename: pool/main/g/ghostess/ghostess_20120105-1_armhf.deb Size: 70306 SHA256: 39788aa90ae82c0ec4c51551fcb619a5f5f01c4acafe298d7fb8d73ae8270078 SHA1: 3ddb8c34c0c2c342a65b38d9f92c66bcd24f3abe MD5sum: fca1bebac3ca342ea18a048a44a718d6 Description: A graphical DSSI plugin host A graphical DSSI host, based on jack-dssi-host, but capable of saving and restoring plugin configuration, as well as specifying MIDI channels and layering synths. Package: ghostscript Version: 9.05~dfsg-6.3+deb7u8 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 198 Depends: libc6 (>= 2.13-28), libgs9 (= 9.05~dfsg-6.3+deb7u8), gsfonts (>= 6.0-1), debconf | debconf-2.0, debianutils (>= 1.6) Suggests: ghostscript-cups, ghostscript-x, hpijs Breaks: ghostscript-x (<< 8.64~dfsg-8) Provides: postscript-viewer Multi-Arch: foreign Homepage: http://www.ghostscript.com/ Priority: optional Section: text Filename: pool/main/g/ghostscript/ghostscript_9.05~dfsg-6.3+deb7u8_armhf.deb Size: 81034 SHA256: db3335841ef47f8f5b6cbd1f6227eaad355012327912ccfd2b5e4e9a8460d674 SHA1: 78d4a39a935e7f371093cd28a531402668de6aa4 MD5sum: d0169b87cff94eeef62bbc888825319a Description: interpreter for the PostScript language and for PDF GPL Ghostscript is used for PostScript/PDF preview and printing. Usually as a back-end to a program such as ghostview, it can display PostScript and PDF documents in an X11 environment. . Furthermore, it can render PostScript and PDF files as graphics to be printed on non-PostScript printers. Supported printers include common dot-matrix, inkjet and laser models. Package: ghostscript-cups Source: ghostscript Version: 9.05~dfsg-6.3+deb7u8 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 181 Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libcupsimage2 (>= 1.4.0), libdbus-1-3 (>= 1.0.2), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgssapi-krb5-2 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), zlib1g (>= 1:1.1.4), ghostscript (>= 8.64~dfsg-8) Recommends: cups, cups-client, colord Conflicts: ghostscript (<< 8.64~dfsg-8) Replaces: ghostscript (<< 8.64~dfsg-8) Homepage: http://www.ghostscript.com/ Priority: optional Section: text Filename: pool/main/g/ghostscript/ghostscript-cups_9.05~dfsg-6.3+deb7u8_armhf.deb Size: 60732 SHA256: 8746014a1630f887a632a21fa1b721b635f57d6f111d17e78aa81f2d8b9d9408 SHA1: fc3f939dddd4706de0ee9f5151bca98d761ed889 MD5sum: ce3672c35fe2915262909c2e02a10e1c Description: interpreter for the PostScript language and for PDF - CUPS filters GPL Ghostscript is used for PostScript/PDF preview and printing. Usually as a back-end to a program such as ghostview, it can display PostScript and PDF documents in an X11 environment. . This package contains the CUPS filters, drivers, and PPDs which come with GPL Ghostscript. Package: ghostscript-dbg Source: ghostscript Version: 9.05~dfsg-6.3+deb7u8 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 19773 Depends: libgs9 (= 9.05~dfsg-6.3+deb7u8) | ghostscript (= 9.05~dfsg-6.3+deb7u8) | ghostcript-cups (= 9.05~dfsg-6.3+deb7u8) | ghostcript-x (= 9.05~dfsg-6.3+deb7u8) Homepage: http://www.ghostscript.com/ Priority: extra Section: debug Filename: pool/main/g/ghostscript/ghostscript-dbg_9.05~dfsg-6.3+deb7u8_armhf.deb Size: 4118788 SHA256: 4ef800fde756828a91abe24ccfc6ccfd7ca0753e83cfe1280ed40f9190ac81e5 SHA1: 388ad48e002471ba284fa1919ef79c7c05d04e94 MD5sum: be89fe494c45e2eedfc4d19f4b85f08c Description: interpreter for the PostScript language and for PDF - Debug symbols GPL Ghostscript is used for PostScript/PDF preview and printing. Usually as a back-end to a program such as ghostview, it can display PostScript and PDF documents in an X11 environment. . This package contains the debugging symbols for ghostscript, ghostscript-x, ghostscript-cups and libgs9. Package: ghostscript-doc Source: ghostscript Version: 9.05~dfsg-6.3+deb7u8 Installed-Size: 11819 Maintainer: Debian Printing Team Architecture: all Suggests: ghostscript Size: 2316946 SHA256: 2552cafc0579054b3d6f5aa1efc7246bab073baaa88344c49487fedfdac9cee6 SHA1: c8856a432ba594e16e4e507c0052dc624a4730c5 MD5sum: bd2106d17509f9d5c7f6689c0389c29e Description: interpreter for the PostScript language and for PDF - Documentation GPL Ghostscript is used for PostScript/PDF preview and printing. Usually as a back-end to a program such as ghostview, it can display PostScript and PDF documents in an X11 environment. . This package contains documentation for GPL Ghostscript, mainly targeted developers and advanced users. Homepage: http://www.ghostscript.com/ Section: doc Priority: optional Filename: pool/main/g/ghostscript/ghostscript-doc_9.05~dfsg-6.3+deb7u8_all.deb Package: ghostscript-x Source: ghostscript Version: 9.05~dfsg-6.3+deb7u8 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 173 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxt6, ghostscript (= 9.05~dfsg-6.3+deb7u8) Homepage: http://www.ghostscript.com/ Priority: optional Section: text Filename: pool/main/g/ghostscript/ghostscript-x_9.05~dfsg-6.3+deb7u8_armhf.deb Size: 69888 SHA256: aa1ece45a00d01e6d34adc3e38cfb928be94a6af84340d5293b18456ac431f04 SHA1: 80c69553fcadd84377d71998c887acadb135c0ed MD5sum: 5687e25b547f61edeb0b42094a856010 Description: interpreter for the PostScript language and for PDF - X11 support GPL Ghostscript is used for PostScript/PDF preview and printing. Usually as a back-end to a program such as ghostview, it can display PostScript and PDF documents in an X11 environment. . This package contains the GPL Ghostscript output device for X11. Package: giblib-dev Source: giblib Version: 1.2.4-8 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 122 Depends: giblib1 (= 1.2.4-8), libx11-dev, libimlib2-dev, libfreetype6-dev Homepage: http://linuxbrit.co.uk/giblib/ Priority: optional Section: libdevel Filename: pool/main/g/giblib/giblib-dev_1.2.4-8_armhf.deb Size: 22516 SHA256: a9d4360681006de7adddd91ab4d00d0f74bad1744830042b2a68a32c42b32e28 SHA1: c9f99fa828f6269e98ef076025e68c2642d0b395 MD5sum: a221b00f3be789e2db2d6e6ce55a7cc3 Description: headers for giblib headers and static libraries for giblib, a library of handy stuff. . giblib contains an imlib2 wrapper to avoid the context stuff, doubly-linked lists and font styles. Package: giblib1 Source: giblib Version: 1.2.4-8 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 79 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libimlib2, libx11-6, libxext6, zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://linuxbrit.co.uk/giblib/ Priority: optional Section: libs Filename: pool/main/g/giblib/giblib1_1.2.4-8_armhf.deb Size: 18982 SHA256: 81611fd78303b01b61fb2af751a8c4ee24d1f81d57451a99a0c212b42c1c8287 SHA1: 9dc654a1ba609d56248e7ff81a897a5e60e514bd MD5sum: 274ece14e53747b6e37796a410700b30 Description: wrapper library for imlib2, and other stuff giblib is a library of handy stuff. Contains an imlib2 wrapper to avoid the context stuff, doubly-linked lists and font styles. Package: giblib1-dbg Source: giblib Version: 1.2.4-8 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 78 Depends: giblib1 (= 1.2.4-8) Multi-Arch: same Homepage: http://linuxbrit.co.uk/giblib/ Priority: extra Section: debug Filename: pool/main/g/giblib/giblib1-dbg_1.2.4-8_armhf.deb Size: 30252 SHA256: 88a397b4b00c8fd68449611974c57bef5c5a82f05ffd3e9217eb3d459b9de86f SHA1: df25f9e9a39d86f28ddcaf438f5fbd0032b485d6 MD5sum: 721129d27b0b0c9902d223d51785a773 Description: debugging symbols for giblib1 giblib is a library of handy stuff. Contains an imlib2 wrapper to avoid the context stuff, doubly-linked lists and font styles. . This package contains the debugging symbols for giblib1. Package: gif2apng Version: 1.7-3 Architecture: armhf Maintainer: Khalid El Fathi Installed-Size: 63 Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Suggests: apng2gif Homepage: http://gif2apng.sourceforge.net Priority: optional Section: graphics Filename: pool/main/g/gif2apng/gif2apng_1.7-3_armhf.deb Size: 16178 SHA256: 7e62172904619a6cb1694c989cffde6a3d384200c2e4578fbcd51b4cae0fdbae SHA1: 280e9141044da4de1cce04f3c41356f477d5967c MD5sum: b7d509055ea15de081eee2fafdee87c4 Description: tool for converting animated GIF images to APNG format This progrmam provides a command line tool for converting images from animated GIF to Animated PNG format. . The Animated Portable Network Graphics (APNG) file format is an extension to the Portable Network Graphics (PNG) specification. It allows for animated PNG files that work similarly to animated GIF files, while retaining backward compatibility with non-animated PNG files and adding support for 8-bit transparency and 24-bit images. Package: gif2png Version: 2.5.8-1 Architecture: armhf Maintainer: Erik Schanze Installed-Size: 107 Depends: libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4) Recommends: python (>= 1.5.2) Homepage: http://www.catb.org/~esr/gif2png/ Priority: optional Section: graphics Filename: pool/main/g/gif2png/gif2png_2.5.8-1_armhf.deb Size: 42314 SHA256: 6d49fea31fb02fa46d7bed05e4e1d1d56d6b0ee2498405eb5887eba8b995eebf SHA1: eb9337eb8eb540eed25881f63257cf6e2e9cc69f MD5sum: 606216583c6e04dd7d5779c050e590ee Description: GIF -> PNG conversions This program can convert GIF images to PNG images. It also contains the script "web2png" which converts entire websites from GIF to PNG and updates the HTML accordingly. Package: giflib-dbg Source: giflib Version: 4.1.6-10+deb7u1 Architecture: armhf Maintainer: Thibaut Gridel Installed-Size: 685 Depends: giflib-tools (= 4.1.6-10+deb7u1), libgif4 (= 4.1.6-10+deb7u1), libgif-dev (= 4.1.6-10+deb7u1) Homepage: http://giflib.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/g/giflib/giflib-dbg_4.1.6-10+deb7u1_armhf.deb Size: 190186 SHA256: 347d6c4d7dacfbd47519f8e1b1a04dd29ef5d848cf4d63b7870b7066d99cf57e SHA1: 73211c36974bc1ff94d1212b1ad118e9171bae97 MD5sum: 6ad5d52303c77999fabf162c2ac1b7bd Description: library for GIF images (debug) GIFLIB is a package of portable tools and library routines for working with GIF images. . This package contains the debugging symbols. Package: giflib-tools Source: giflib Version: 4.1.6-10+deb7u1 Architecture: armhf Maintainer: Thibaut Gridel Installed-Size: 539 Depends: libc6 (>= 2.13-28), libgif4 (>= 4.1.4), libperl4-corelibs-perl | perl (<< 5.12.3-7) Provides: libungif-bin Homepage: http://giflib.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/g/giflib/giflib-tools_4.1.6-10+deb7u1_armhf.deb Size: 185828 SHA256: 671b89482b7893b9163a28d82dc917f300ef48eb5a3a45fd4f415bc851a0030d SHA1: 92ded402f893ff3416d8f81de878f9e18431acb5 MD5sum: 4162b7e857ba63ef87d4ae25d797d45a Description: library for GIF images (utilities) GIFLIB is a package of portable tools and library routines for working with GIF images. . This package contains additional utilities. Package: gifsicle Version: 1.67-1.1~deb7u1 Architecture: armhf Maintainer: Gürkan Sengün Installed-Size: 224 Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6 Homepage: http://www.lcdf.org/gifsicle/ Priority: optional Section: graphics Filename: pool/main/g/gifsicle/gifsicle_1.67-1.1~deb7u1_armhf.deb Size: 139556 SHA256: 9cca15355154efcb51697dcde2434293e62cac29d3053557ae94bf145fc3e40b SHA1: 279dbc76d9ad5fa0ad9f26a794ac271a98f221d2 MD5sum: 47d74994db6d06e09e2b2ef450d01d7f Description: Tool for manipulating GIF images This is a tool for manipulating GIF image files. It has good support for transparency and colormap manipulation, simple image transformations (cropping, flipping), and creating, deconstructing, and editing GIF animations, which it can also optimize for space. Package: gifti-bin Source: gifticlib Version: 1.0.9-1 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 115 Depends: libc6 (>= 2.13-28), libgiftiio0, libnifti2 Homepage: http://www.nitrc.org/projects/gifti Priority: optional Section: utils Filename: pool/main/g/gifticlib/gifti-bin_1.0.9-1_armhf.deb Size: 29058 SHA256: 4dd1911811ea3db44c619faee1af8a7d0f661f25ec0f017f0d8b67e30545f6ee SHA1: 40958625e1ba2212f07f54848bc04e2c93af7829 MD5sum: 404c72f640fa90eff7f564fc46a562f7 Description: tools shipped with the GIFTI library GIFTI is an XML-based file format for cortical surface data. This reference IO implementation is developed by the Neuroimaging Informatics Technology Initiative (NIfTI). . This package provides the tools that are shipped with the library (gifti_tool and gifti_test). Package: giftrans Version: 1.12.2-16 Architecture: armhf Maintainer: Chris Lamb Installed-Size: 59 Depends: libc6 (>= 2.4), x11-common Priority: optional Section: graphics Filename: pool/main/g/giftrans/giftrans_1.12.2-16_armhf.deb Size: 14588 SHA256: c0e693a44dc6d26a894f53482df61910e1213a1b0e7fab4512ff2836da39a6df SHA1: 71ee9bd0ffa6f4c80bac2bcb1cef3a5d8eac1ee1 MD5sum: 2d98265c87d820fea049efe8c311ddd2 Description: Convert any GIF file into a GIF89a Allows for setting a specific transparent or background color of GIF images as well as changing colors, adding or removing comments. Also provides the ability to analyze GIF contents. Package: gigedit Version: 0.2.0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 2703 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgig6, libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.6) Homepage: http://www.linuxsampler.org/ Priority: optional Section: sound Filename: pool/main/g/gigedit/gigedit_0.2.0-1_armhf.deb Size: 958748 SHA256: 319f7d1acff81c66d8174053e8429e169939f3dc1ed5cec754b1edd676f46c2a SHA1: 0537434d09c03774bc13303b20d51eac9f21b2f8 MD5sum: 3b640a21ef3eab203b3f5b824f00b523 Description: instrument editor for Gigasampler files gigedit is an instrument editor allowing to modify existing Gigasampler files, as well as creating new ones from scratch. The GUI is based on the GTK+ (gtkmm) toolkit. Even though it is created as a subproject of the LinuxSampler project, it is currently a completely independent stand-alone editor. Package: giggle Version: 0.6.1-2 Architecture: armhf Maintainer: Dmitry Smirnov Installed-Size: 2414 Depends: git (>= 1:1.5.6.3) | git-core (>= 1:1.5.6.3), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libgtksourceview-3.0-0 (>= 3.0), libpango1.0-0 (>= 1.14.0) Suggests: giggle-personal-details-plugin, giggle-terminal-view-plugin Homepage: http://live.gnome.org/giggle Priority: optional Section: vcs Filename: pool/main/g/giggle/giggle_0.6.1-2_armhf.deb Size: 1578480 SHA256: 6488e224dc42a4bdd397b92933a1376473f207f41c6117ea8df35990ff241630 SHA1: 4f0259c2bebe2c66f96364b6ddad022620201fd1 MD5sum: 95e911862262518549d2c73b0b0b4837 Description: Gtk+ frontend for the git directory tracker Giggle is a Gtk frontend to the git directory tracker. With Giggle you will be able to visualize and browse easily the revision tree, view changed files and differences between revisions, visualize summarized info for the project, commit changes and other useful tasks for any git projects contributor. Package: giggle-personal-details-plugin Source: giggle Version: 0.6.1-2 Architecture: armhf Maintainer: Dmitry Smirnov Installed-Size: 69 Depends: giggle (= 0.6.1-2), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18), libgtk-3-0 (>= 3.0.0) Homepage: http://live.gnome.org/giggle Priority: optional Section: vcs Filename: pool/main/g/giggle/giggle-personal-details-plugin_0.6.1-2_armhf.deb Size: 13820 SHA256: a775be97e450002036314866850b4c948f4647da9aafcf48a34c5702b7c4a37a SHA1: b7b70ab9ebbae5d8cfaab53a0ee37139c8f00c69 MD5sum: 984bd56fe7bafd7f403208c651602558 Description: Gtk+ frontend for the git directory tracker - personal details plugin Giggle is a Gtk frontend to the git directory tracker. With Giggle you will be able to visualize and browse easily the revision tree, view changed files and differences between revisions, visualize summarized info for the project, commit changes and other useful tasks for any git projects contributor. . This package provides integration with evolution addressbooks Package: giggle-terminal-view-plugin Source: giggle Version: 0.6.1-2 Architecture: armhf Maintainer: Dmitry Smirnov Installed-Size: 69 Depends: giggle (= 0.6.1-2), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18), libgtk-3-0 (>= 3.0.0), libvte-2.90-9 (>= 1:0.27.2) Homepage: http://live.gnome.org/giggle Priority: optional Section: vcs Filename: pool/main/g/giggle/giggle-terminal-view-plugin_0.6.1-2_armhf.deb Size: 14760 SHA256: 3922e955478faf04381a6d95fc0490de708642e7c8f843d79fa84576004c7efa SHA1: 5dbe51134275c9be4f6a5883590978002b20b68f MD5sum: 002d38483cf1bd43c249661b14004bf3 Description: Gtk+ frontend for the git directory tracker - terminal plugin Giggle is a Gtk frontend to the git directory tracker. With Giggle you will be able to visualize and browse easily the revision tree, view changed files and differences between revisions, visualize summarized info for the project, commit changes and other useful tasks for any git projects contributor. . This package contains the terminal plugin Package: gigolo Version: 0.4.1+dfsg-1 Architecture: armhf Maintainer: Debian Xfce Maintainers Installed-Size: 745 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libx11-6 Recommends: gvfs-bin Homepage: http://www.uvena.de/gigolo/ Priority: optional Section: xfce Filename: pool/main/g/gigolo/gigolo_0.4.1+dfsg-1_armhf.deb Size: 158122 SHA256: 6b448da54814614c3eaf3435631803b7c3f1b330286b952d0427df00577b33fe SHA1: 3343ea2af4eff8e36b006656abfd4207b653e87a MD5sum: bf15c13f82e3afd126c0791764ec50f5 Description: frontend to manage connections to remote filesystems using GIO/GVfs Gigolo is a frontend to easily manage connections to remote filesystems using GIO/GVfs. It allows you to quickly connect/mount a remote filesystem and manage bookmarks of such. Package: gigolo-dbg Source: gigolo Version: 0.4.1+dfsg-1 Architecture: armhf Maintainer: Debian Xfce Maintainers Installed-Size: 482 Depends: gigolo (= 0.4.1+dfsg-1) Homepage: http://www.uvena.de/gigolo/ Priority: extra Section: debug Filename: pool/main/g/gigolo/gigolo-dbg_0.4.1+dfsg-1_armhf.deb Size: 206076 SHA256: 81182e3e298ed31416f0b3e944fcf2c3922805f763d9fb1d9a3189de5a7f6504 SHA1: 34b23c0a79c64951ec351a156367b7aecafe15dc MD5sum: 5e7085586a2780919cf3d300fd4e9425 Description: frontend to manage connections to remote filesystems using GIO/GVfs (debug) Gigolo is a frontend to easily manage connections to remote filesystems using GIO/GVfs. It allows you to quickly connect/mount a remote filesystem and manage bookmarks of such. . This package contains the debugging symbols. Package: gigtools Source: libgig Version: 3.3.0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 131 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgig6, libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0), libuuid1 (>= 2.16) Homepage: http://www.linuxsampler.org/libgig/ Priority: optional Section: utils Filename: pool/main/libg/libgig/gigtools_3.3.0-2_armhf.deb Size: 39778 SHA256: c260747f2a440f0aa3bc4c7fee2664334843fb95945dc360ec9a6afe553b06d9 SHA1: 4368ac691a2f4be33f8ff19c6001ef545898808b MD5sum: 08b964a814fd6f2778f7a431ccb0bbd0 Description: command line tools for Gigasampler and DLS Level 1/2 files Raw file handling for audio sampler files based on DLS Level 1/2 and Gigasampler. These files are typically used in modern day audio waveform samplers. This package contains the following command line tools: . gigdump: Prints out the content of a .gig file. gigextract: Extracts samples from a .gig file. dlsdump: Prints out the content of a DLS file. rifftree: Prints out the RIFF tree of an arbitrary RIFF file. Package: gimmix Version: 0.5.7.1-4 Architecture: armhf Maintainer: mpd maintainers Installed-Size: 447 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libmpd1, libnxml-abi-0.18.3, libnxml0, libpango1.0-0 (>= 1.14.0), libtag1c2a (>= 1.5), libtagc0 (>= 1.5), libx11-6, libxml2 (>= 2.6.27) Homepage: http://gimmix.berlios.de/index.php Priority: optional Section: sound Filename: pool/main/g/gimmix/gimmix_0.5.7.1-4_armhf.deb Size: 116064 SHA256: 73591fa448e185fca157d54766d992f1bb8f280b475426f5d01541cf1554bac1 SHA1: b0c59d7c1476ad5efbc82271502fc7f2c128c9f7 MD5sum: e7a1907b90cd3b8bb60ac1f680cc1b1e Description: graphical music player daemon (MPD) client using GTK+2 Gimmix is a graphical music player daemon (MPD) client using GTK+2. It's very simple and easy to use, yet offers many features to make your audio experience a pleasant one. . Features : * Simple and Clean Interface. * Library Browser. * Library search. (search by artist, album, filename, etc) * Playlist management (manage mpd playlists) * ID3v2 tag editing support. * System tray icon support. * Support for controlling gimmix through Keyboard. * Notification support (Displays the currently playing song in systray). * Small memory footprint. Package: gimp Version: 2.8.2-2+deb7u3 Architecture: armhf Maintainer: Ari Pollak Installed-Size: 12937 Depends: libgimp2.0 (>= 2.8.2), libgimp2.0 (<= 2.8.2-z), gimp-data (>= 2.8.2), gimp-data (<= 2.8.2-z), python-gtk2 (>= 2.8.0), libaa1 (>= 1.4p5), libatk1.0-0 (>= 1.12.4), libbabl-0.1-0 (>= 0.1.10), libbz2-1.0, libc6 (>= 2.13-28), libcairo2 (>= 1.10.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libexif12, libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgegl-0.2-0 (>= 0.2.0), libglib2.0-0 (>= 2.31.8), libgs9 (>= 8.61.dfsg.1), libgtk2.0-0 (>= 2.24.10), libgudev-1.0-0 (>= 146), libice6 (>= 1:1.0.0), libjasper1, libjavascriptcoregtk-1.0-0 (>= 1.5.1), libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), libmng1 (>= 1.0.10), libpango1.0-0 (>= 1.29.4), libpng12-0 (>= 1.2.13-4), libpoppler-glib8 (>= 0.18), librsvg2-2 (>= 2.14.4), libsm6, libsoup2.4-1 (>= 2.4.0), libtiff4 (>> 3.9.5-3~), libwebkitgtk-1.0-0 (>= 1.3.10), libwmf0.2-7 (>= 0.2.8.4), libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxfixes3, libxmu6, libxpm4, libxt6, zlib1g (>= 1:1.1.4), python (>= 2.6.6-7~), python2.7 Recommends: ghostscript Suggests: gimp-help-en | gimp-help, gimp-data-extras, gvfs-backends, libasound2 Breaks: gimp-plugin-registry (<< 4.20120506) Replaces: gimp-plugin-registry (<< 4.20120506) Provides: gimp-helpbrowser, gimp-python Homepage: http://www.gimp.org/ Priority: optional Section: graphics Filename: pool/main/g/gimp/gimp_2.8.2-2+deb7u3_armhf.deb Size: 3753594 SHA256: d533a6355d37dfdac9cf94892626224544462c022edaa10321060819c16fac5c SHA1: 4206ff6c324a883fcde4fdb6c70b039a8a74314f MD5sum: 8629cb6d9bac8b5d5ff629fa3c72f290 Description: The GNU Image Manipulation Program GIMP is an advanced picture editor. You can use it to edit, enhance, and retouch photos and scans, create drawings, and make your own images. It has a large collection of professional-level editing tools and filters, similar to the ones you might find in Photoshop. Numerous fine-control settings and features like layers, paths, masks, and scripting give you total control over your images. . Many image file formats are supported, including JPEG, Photoshop (.psd), and Paint Shop Pro (.psp) files. It can also be used to scan and print photos. . To open files remotely (like over HTTP), install the gvfs-backends package. . To use a MIDI device (like a musical keyboard) as an input controller in GIMP, install libasound2 and read the how-to at /usr/share/doc/gimp/README.MIDI Package: gimp-cbmplugs Source: cbmplugs Version: 1.2.2-1 Architecture: armhf Maintainer: David Weinehall Installed-Size: 609 Depends: gimp, libc6 (>= 2.13-28), libgimp2.0 (>= 2.4.0), libglib2.0-0 (>= 2.24.0) Conflicts: gimp1.2-cbmplugs, gimp1.3-cbmplugs Replaces: gimp1.2-cbmplugs, gimp1.3-cbmplugs Priority: optional Section: graphics Filename: pool/main/c/cbmplugs/gimp-cbmplugs_1.2.2-1_armhf.deb Size: 54178 SHA256: df8d2cd7d6776bea7d5f3ab2d3c060883fb75f7c917bed0932bd3ca0de56e875 SHA1: 77a6588ef182d50c32bd816173725ad57910044f MD5sum: 267ddeb781e4e02b3a3c27c2df97a0d2 Description: plugins for The GIMP to import/export Commodore 64 files This set of plugins provides The GIMP with support for importing from and exporting to several different file-formats used on the Commodore 64. A palette that tries to imitate the colours of the Commodore 64 as closely as possible is also included. Package: gimp-data Source: gimp Version: 2.8.2-2+deb7u3 Installed-Size: 42614 Maintainer: Ari Pollak Architecture: all Replaces: gimp (<< 2.4.0~rc2-2), gimp-python (<< 2.6.0) Conflicts: gimp (<< 2.4.0~rc2-2), gimp-python (<< 2.6.0) Size: 8118846 SHA256: 40d3ca4cff5622dbc867963dc686a973d030b538e8c2638ef5e76b6a48510637 SHA1: 87aae4a8f084ea13fd32c244d6b55f72b485da55 MD5sum: 0e9cc366fcb71724ccecde75b3b179d3 Description: Data files for GIMP This package contains architecture-independent supporting data files for use with GIMP. Homepage: http://www.gimp.org/ Recommends: gimp Section: graphics Priority: optional Filename: pool/main/g/gimp/gimp-data_2.8.2-2+deb7u3_all.deb Package: gimp-data-extras Version: 1:2.0.1-3 Installed-Size: 8472 Maintainer: Ari Pollak Architecture: all Enhances: gimp Size: 4697882 SHA256: d7cb182d89880107988ff277514b6ea435fc0a19d1c3577692f9bf5e0baa7746 SHA1: 8de393fb966108bfca8915b94c39b5f91f5333ef MD5sum: 3166323c104319cf8cebf00d405c9a51 Description: An extra set of brushes, palettes, and gradients for The GIMP This package contains extra brushes, palettes, and gradients for extra GIMPy artistic enjoyment. Tag: role::app-data, suite::gimp, suite::gnu, use::editing, works-with::image, works-with::image:raster Section: graphics Priority: optional Filename: pool/main/g/gimp-data-extras/gimp-data-extras_2.0.1-3_all.deb Package: gimp-dbg Source: gimp Version: 2.8.2-2+deb7u3 Architecture: armhf Maintainer: Ari Pollak Installed-Size: 38058 Depends: gimp (= 2.8.2-2+deb7u3) | libgimp2.0 (= 2.8.2-2+deb7u3) Homepage: http://www.gimp.org/ Priority: extra Section: debug Filename: pool/main/g/gimp/gimp-dbg_2.8.2-2+deb7u3_armhf.deb Size: 9202212 SHA256: 6b0622ed934824fe9746f1ebee1230cce51ef838d90ce009b1c5001b1227a5ca SHA1: ddbdac70848e392a47b04f2943dbbad30e30caf6 MD5sum: 87d80add148989dd98ffec98dae9e57f Description: Debugging symbols for GIMP This package includes the debugging symbols useful for debugging GIMP and its libraries, contained in the gimp and libgimp2.0 packages. The debugging symbols are used for execution tracing and core dump analysis. Package: gimp-dcraw Version: 1.31-1.1 Architecture: armhf Maintainer: Steve King Installed-Size: 60 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgimp2.0 (>= 2.4.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), gimp (>= 2.0), dcraw Homepage: http://www.cybercom.net/~dcoffin/dcraw/ Priority: extra Section: graphics Filename: pool/main/g/gimp-dcraw/gimp-dcraw_1.31-1.1_armhf.deb Size: 9080 SHA256: 8dfd46cabe9d390fa90c9a820efb53d82fedc7a55b12c510e3dcf6b7a1182b8b SHA1: 526b6bd537e2b81ad2c8d1d5fbea602ae95f9db3 MD5sum: b8684f9ba8640fac28076b85a356a2f6 Description: GIMP plug-in for loading RAW digital photos This is a plug-in for the GIMP which uses dcraw to load the RAW format files used by certain digital cameras (see dcraw for supported models). It is by the same author as dcraw itself. Package: gimp-dds Version: 2.0.9-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 284 Depends: libc6 (>= 2.13-28), libgimp2.0 (>= 2.6), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0) Homepage: http://code.google.com/p/gimp-dds/ Priority: optional Section: graphics Filename: pool/main/g/gimp-dds/gimp-dds_2.0.9-3_armhf.deb Size: 210742 SHA256: 1151d34ef43005eefa3e3dd3366880c5e42576414005090f0e4bb5803964544d SHA1: d9d0b6fe622adacc39b8ba0e2e95d788bf1e1d3d MD5sum: eb944c2bf5c73ab7b1b39b3e97d8f788 Description: DDS (DirectDraw Surface) plugin for the gimp gimp-dds is a plugin for the gimp that lets you manipulate Microsoft DirectDraw surfaces. These kind of files are widely used in 3D games for textures and the like. Package: gimp-dimage-color Version: 1.1.0-3.1 Architecture: armhf Maintainer: James Troup Installed-Size: 72 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgimp2.0 (>= 2.4.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), gimp (>= 2.0) Suggests: gimp1.2-perl Priority: extra Section: graphics Filename: pool/main/g/gimp-dimage-color/gimp-dimage-color_1.1.0-3.1_armhf.deb Size: 20234 SHA256: 31d8c7c6203ffa3243caf7e49baace21d0b4d895801548e2e28a4aae73294f88 SHA1: d890e5ebfcc53c2f17ffc1ce2872306d0331447e MD5sum: c22aa0f4c88afe808ba28fc9b9f7aadb Description: GIMP plugin to convert Minolta DiMAGE pictures to sRGB colour space This plugin converts images produced by some Minolta DiMAGE digital cameras (DiMAGE 5/7 and S304 at least) into sRGB colour space. These cameras produces images in a custom colour space so that pictures look "washed out" when viewed on a monitor without conversion. . This package enables Linux users to do the same conversions as they can with the Minolta DiMAGE Viewer Utility. Package: gimp-gap Version: 2.6.0+dfsg-3 Architecture: armhf Maintainer: Thibaut Paumard Installed-Size: 10828 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgimp2.0 (>= 2.6.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.9.0), libjpeg8 (>= 8c), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4), gimp (>= 2.6.0) Recommends: mplayer Homepage: http://www.gimp.org/tutorials/Using_GAP/ Priority: optional Section: graphics Filename: pool/main/g/gimp-gap/gimp-gap_2.6.0+dfsg-3_armhf.deb Size: 4006798 SHA256: cba42e4037a4908e201d1c7df4b17fa5066b5b8a0ff7e3db7993a412c2d86056 SHA1: 1e7c981d9b3ccf44716da2fa4c8d5ff63cd0f7df MD5sum: 6301f409d59b8892499e53d743e78210 Description: animation package for the GIMP The GIMP Animation Package (GAP) is a collection of plug-ins to extend the GIMP with capabilities to edit and create animations and movies as sequences of single frames. It adds a Video menu to image windows in the GIMP. Package: gimp-gluas Source: gluas Version: 0.1.20-1 Architecture: armhf Maintainer: Nelson A. de Oliveira Installed-Size: 229 Depends: gimp (>= 2.6), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgimp2.0 (>= 2.4.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), liblua5.1-0, libpango1.0-0 (>= 1.14.0) Homepage: http://pippin.gimp.org/plug-ins/gluas/ Priority: optional Section: graphics Filename: pool/main/g/gluas/gimp-gluas_0.1.20-1_armhf.deb Size: 26584 SHA256: 2a95979417eff2a239489531bccd6017c4bbbd69b3968a96ecd6048195d854b6 SHA1: a435fd26e414eac72585ec0737c5d06dc23fe852 MD5sum: cb2a10aebd9788cc3ce25de3f1361c36 Description: Lua environment plug-in for GIMP Gluas is a GIMP plug-in providing an enviroment for testing algorithms for image processing, using the Lua interpreter. The environment contains a simple editor for entering the algorithms. Package: gimp-gmic Source: gmic Version: 1.5.1.6+dfsg-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 3697 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgimp2.0 (>= 2.8.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), gimp (>= 2.6) Suggests: gmic Enhances: gimp Homepage: http://gmic.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/g/gmic/gimp-gmic_1.5.1.6+dfsg-4_armhf.deb Size: 1057950 SHA256: 51d68cc7faf7b4f4b4aed7412b97d864be3e9d59e6dff4b706c213ebbb416884 SHA1: ac7e8b4a05e195040e84d4a23361ae98e3ec1aeb MD5sum: b6b310f2c2f43cfc3a516f23f0a20692 Description: GIMP plugin for GREYC's Magic Image Converter G'MIC is an interpreter of image processing macros whose goal is to convert, manipulate and visualize generic 1D/2D/3D multi-spectral image files. This includes classical color images, but also more complex data as image sequences or 3D volumetric images. . This package contains the GIMP plugin. Package: gimp-gutenprint Source: gutenprint Version: 5.2.9-1 Architecture: armhf Maintainer: Debian Printing Group Installed-Size: 173 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgimp2.0 (>= 2.4.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libgutenprint2 (>= 5.2.9), libgutenprintui2-1 (>= 5.2.9), libpango1.0-0 (>= 1.14.0), gimp (>= 2.4.0~rc1-1) Suggests: gutenprint-doc (>= 5.2.9-1), gutenprint-locales (>= 5.2.9-1) Enhances: gimp Priority: optional Section: graphics Filename: pool/main/g/gutenprint/gimp-gutenprint_5.2.9-1_armhf.deb Size: 111338 SHA256: 289e7452552b3a3534b05bfd6e49bd7af3c4404df694ad4ed85575f6ec72db9b SHA1: 9dd56f78194bf341323e80c460ac59c44f64f128 MD5sum: 25ab6cdb83cb80316eb344a1267cd24c Description: print plugin for the GIMP This package includes the Gutenprint Print plugin for the GIMP. . Gutenprint is the print facility for the GIMP, and in addition a suite of drivers that may be used with common UNIX spooling systems using GhostScript or CUPS. These drivers provide printing quality for UNIX/Linux on a par with proprietary vendor-supplied drivers in many cases, and can be used for many of the most demanding printing tasks. Gutenprint was formerly known as Gimp-Print. Package: gimp-help-common Source: gimp-help Version: 2.6.1-1 Installed-Size: 48 Maintainer: Ari Pollak Architecture: all Size: 10720 SHA256: a3cd5c7c901038816cc3d83e62ac04b2ca2d94015be35c49631f75c8c7d6d49f SHA1: f0edc95aa46f1fc2481e617b80d34e81baa1c132 MD5sum: 7a3a4a0fa3a3ed852d9caa3a2eec2300 Description: Data files for the GIMP documentation This package contains necessary files common to all GIMP help packages, such as graphics and screenshots. Homepage: http://www.gimp.org Tag: role::documentation, suite::gimp, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gimp-help/gimp-help-common_2.6.1-1_all.deb Package: gimp-help-de Source: gimp-help Version: 2.6.1-1 Installed-Size: 44028 Maintainer: Ari Pollak Architecture: all Provides: gimp-help Depends: gimp-help-common (= 2.6.1-1), gimp-helpbrowser | www-browser Enhances: gimp Size: 33338870 SHA256: 5fff846927af90cef17364ce6f9b6fa8b94c2f00c46745cc1e0f4307ddce1c85 SHA1: a6dfd3a7fba66ffe262013a533434d480e0c895e MD5sum: be3c7b42d6836d780d877b5d5ab0c369 Description: Documentation for the GIMP (German) This package contains the documentation files for the GIMP designed for use with the internal GIMP help browser or external web browsers. . This package contains the documentation for the GIMP in German. Homepage: http://www.gimp.org Tag: culture::german, made-of::html, role::documentation, suite::gimp, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gimp-help/gimp-help-de_2.6.1-1_all.deb Package: gimp-help-en Source: gimp-help Version: 2.6.1-1 Installed-Size: 39732 Maintainer: Ari Pollak Architecture: all Provides: gimp-help Depends: gimp-help-common (= 2.6.1-1), gimp-helpbrowser | www-browser Enhances: gimp Size: 29113642 SHA256: 0200f90925eeeea8b08549b5851cae7aec9ed6ba5adf55ba386acfbc19d28102 SHA1: 30fa8d6344c9b911e307da0fbb11c41eeb48e132 MD5sum: e3cdee42894540ac57e8b8c2a7217763 Description: Documentation for the GIMP (English) This package contains the documentation files for the GIMP designed for use with the internal GIMP help browser or external web browsers. . This package contains the documentation for the GIMP in English. Homepage: http://www.gimp.org Tag: made-of::html, role::documentation, suite::gimp, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gimp-help/gimp-help-en_2.6.1-1_all.deb Package: gimp-help-es Source: gimp-help Version: 2.6.1-1 Installed-Size: 40880 Maintainer: Ari Pollak Architecture: all Provides: gimp-help Depends: gimp-help-common (= 2.6.1-1), gimp-helpbrowser | www-browser Enhances: gimp Size: 30259092 SHA256: 2560135e12e3cd37a30d58962562b5c899d7e5b17c5609ef29dcb6ab39d4f6d4 SHA1: ca71aefa1b65ef1d58ad70670eb43b485d890086 MD5sum: f9eecae73d889921b88baf1c7f6441d1 Description: Documentation for the GIMP (Spanish) This package contains the documentation files for the GIMP designed for use with the internal GIMP help browser or external web browsers. . This package contains the documentation for the GIMP in Spanish. Homepage: http://www.gimp.org Tag: culture::spanish, made-of::html, role::documentation, suite::gimp, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gimp-help/gimp-help-es_2.6.1-1_all.deb Package: gimp-help-fr Source: gimp-help Version: 2.6.1-1 Installed-Size: 40036 Maintainer: Ari Pollak Architecture: all Provides: gimp-help Depends: gimp-help-common (= 2.6.1-1), gimp-helpbrowser | www-browser Enhances: gimp Size: 29367498 SHA256: 66f250d749046e6cf39c4e5fd7fc9d62c4941f4b70dc796e909dd0c0a606ec94 SHA1: e300ac0321c6fac19e4fbde3104c2c6be7f4ff3a MD5sum: c90e9e4f5295375501bf79e649b00f00 Description: Documentation for the GIMP (French) This package contains the documentation files for the GIMP designed for use with the internal GIMP help browser or external web browsers. . This package contains the documentation for the GIMP in French. Homepage: http://www.gimp.org Tag: culture::french, made-of::html, role::documentation, suite::gimp, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gimp-help/gimp-help-fr_2.6.1-1_all.deb Package: gimp-help-it Source: gimp-help Version: 2.6.1-1 Installed-Size: 44680 Maintainer: Ari Pollak Architecture: all Provides: gimp-help Depends: gimp-help-common (= 2.6.1-1), gimp-helpbrowser | www-browser Enhances: gimp Size: 34084220 SHA256: f52df7fb68d7b65c83c5caa64432a5184281eac4e6caa0834f376ec7e4f24ec0 SHA1: 2a5c0075ea32afebd800735970ec34df91f44cbe MD5sum: bf671ba6096f931f2515aca72cafaccb Description: Documentation for the GIMP (Italian) This package contains the documentation files for the GIMP designed for use with the internal GIMP help browser or external web browsers. . This package contains the documentation for the GIMP in Italian. Homepage: http://www.gimp.org Tag: culture::italian, made-of::html, role::documentation, suite::gimp, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gimp-help/gimp-help-it_2.6.1-1_all.deb Package: gimp-help-ko Source: gimp-help Version: 2.6.1-1 Installed-Size: 39256 Maintainer: Ari Pollak Architecture: all Provides: gimp-help Depends: gimp-help-common (= 2.6.1-1), gimp-helpbrowser | www-browser Enhances: gimp Size: 28687816 SHA256: fa55b3e9b61cef00110b85252ff98354612f53df510dd438730c1bc840e709da SHA1: 600637ce80a7d175a603c1466ff30d0623199f11 MD5sum: 82db279ae070dbf1204d1bad7848a5a3 Description: Documentation for the GIMP (Korean) This package contains the documentation files for the GIMP designed for use with the internal GIMP help browser or external web browsers. . This package contains the documentation for the GIMP in Korean. Homepage: http://www.gimp.org Tag: culture::korean, made-of::html, role::documentation, suite::gimp, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gimp-help/gimp-help-ko_2.6.1-1_all.deb Package: gimp-help-nl Source: gimp-help Version: 2.6.1-1 Installed-Size: 39880 Maintainer: Ari Pollak Architecture: all Provides: gimp-help Depends: gimp-help-common (= 2.6.1-1), gimp-helpbrowser | www-browser Enhances: gimp Size: 29241782 SHA256: 0a14c3749c641f73c16de5176b170e24a803a52ce3610ec559ab4e54c2f3305e SHA1: 8977bd5154cc24c20df43cb786ceac8722dfb001 MD5sum: c235c44c94f3035ff06db79cbb9fba42 Description: Documentation for the GIMP (Dutch) This package contains the documentation files for the GIMP designed for use with the internal GIMP help browser or external web browsers. . This package contains the documentation for the GIMP in Dutch. Homepage: http://www.gimp.org Tag: culture::dutch, made-of::html, role::documentation, suite::gimp, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gimp-help/gimp-help-nl_2.6.1-1_all.deb Package: gimp-help-nn Source: gimp-help Version: 2.6.1-1 Installed-Size: 33948 Maintainer: Ari Pollak Architecture: all Provides: gimp-help Depends: gimp-help-common (= 2.6.1-1), gimp-helpbrowser | www-browser Enhances: gimp Size: 23702826 SHA256: fcfce4f9beaf68200cd4e0eb4845f431f5b1e4af35bd7e618c98618e0fb90155 SHA1: 9cf249278067006e46d25a5e2676baf600048742 MD5sum: 7769b8732835c463941426b831ec9594 Description: Documentation for the GIMP (Norwegian) This package contains the documentation files for the GIMP designed for use with the internal GIMP help browser or external web browsers. . This package contains the documentation for the GIMP in Norwegian. Homepage: http://www.gimp.org Tag: culture::norwegian, role::documentation, suite::gimp, use::learning Section: doc Priority: optional Filename: pool/main/g/gimp-help/gimp-help-nn_2.6.1-1_all.deb Package: gimp-help-pl Source: gimp-help Version: 2.6.1-1 Installed-Size: 39764 Maintainer: Ari Pollak Architecture: all Provides: gimp-help Depends: gimp-help-common (= 2.6.1-1), gimp-helpbrowser | www-browser Enhances: gimp Size: 29134858 SHA256: 2108aa22ce83300fd34e3c7f5fd861cfb9b34777cc922c8d47ebdc43822114aa SHA1: 8db1f9665f960ad0e7348610b02eb9b137c7a977 MD5sum: a879d1fd5449100cf2846bed122237cb Description: Documentation for the GIMP (Polish) This package contains the documentation files for the GIMP designed for use with the internal GIMP help browser or external web browsers. . This package contains the documentation for the GIMP in Polish. Homepage: http://www.gimp.org Tag: culture::polish, made-of::html, role::documentation, suite::gimp Section: doc Priority: optional Filename: pool/main/g/gimp-help/gimp-help-pl_2.6.1-1_all.deb Package: gimp-help-ru Source: gimp-help Version: 2.6.1-1 Installed-Size: 40696 Maintainer: Ari Pollak Architecture: all Provides: gimp-help Depends: gimp-help-common (= 2.6.1-1), gimp-helpbrowser | www-browser Enhances: gimp Size: 29715522 SHA256: c77928d4cf48fa6d44e1e24f5b42335afab28644832ecdee69f9a1bd0dfcfd7f SHA1: 8c28d58aa84a8006b1f9cdc4a1744bbd9e3aacbd MD5sum: d72f2d8371ea91ca8f9834c7412fe2cf Description: Documentation for the GIMP (Russian) This package contains the documentation files for the GIMP designed for use with the internal GIMP help browser or external web browsers. . This package contains the documentation for the GIMP in Russian. Homepage: http://www.gimp.org Tag: culture::russian, made-of::html, role::documentation, suite::gimp, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gimp-help/gimp-help-ru_2.6.1-1_all.deb Package: gimp-help-sv Source: gimp-help Version: 2.6.1-1 Installed-Size: 40400 Maintainer: Ari Pollak Architecture: all Provides: gimp-help Depends: gimp-help-common (= 2.6.1-1), gimp-helpbrowser | www-browser Enhances: gimp Size: 29809228 SHA256: 2d24c08e67949c94775f1ba07c15b8cadcaa708f68abd385f54cea0dd2b2754c SHA1: ad2b6a199c5f3d0f283ba15c090acb5bf2d6661d MD5sum: 450e44cb7d6231272832c9d7463bfcf4 Description: Documentation for the GIMP (Swedish) This package contains the documentation files for the GIMP designed for use with the internal GIMP help browser or external web browsers. . This package contains the documentation for the GIMP in Swedish. Homepage: http://www.gimp.org Tag: culture::swedish, made-of::html, role::documentation, suite::gimp, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gimp-help/gimp-help-sv_2.6.1-1_all.deb Package: gimp-lensfun Source: gimplensfun Version: 0.2.1-1 Architecture: armhf Maintainer: Evgeni Golov Installed-Size: 84 Depends: libc6 (>= 2.13-28), libexiv2-12, libgcc1 (>= 1:4.4.0), libgimp2.0 (>= 2.4.0), libglib2.0-0 (>= 2.24.0), libgomp1 (>= 4.2.1), libgtk2.0-0 (>= 2.8.0), liblensfun0, libstdc++6 (>= 4.6) Homepage: http://lensfun.sebastiankraft.net/ Priority: optional Section: graphics Filename: pool/main/g/gimplensfun/gimp-lensfun_0.2.1-1_armhf.deb Size: 21642 SHA256: b48b5906d121c23322c04c412d54781ac1b9af650095376e2761d362cddf47b1 SHA1: 77367a87fa51dbcf670fbf23960526fa04a3f1b4 MD5sum: f9cfe90d980f7058129e128eb439e5c2 Description: Gimp plugin to correct lens distortion using the lensfun library GimpLensfun uses the lensfun library to correct lens distortion of common cameras and lenses. Package: gimp-plugin-registry Version: 5.20120621 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 4323 Depends: gimp (>= 2.6), libatk1.0-0 (>= 1.12.4), libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgimp2.0 (>= 2.6), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglib2.0-0 (>= 2.31.8), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.14.0), libgtkglext1, libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), liblapack3, liblcms1 (>= 1.15-1), liblqr-1-0 (>= 0.4.0), libpango1.0-0 (>= 1.14.0), libsm6, libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libx11-6, libxmu6, libxt6, python, libtiff-tools, xdg-utils Recommends: gimp-gmic Suggests: icc-profiles Enhances: gimp Breaks: gimp-refocus (<< 0.9.0-2), gimp-resynthesizer (<< 0.16-2~), gimp-save-for-web Replaces: gimp-refocus (<< 0.9.0-2), gimp-resynthesizer, gimp-save-for-web Provides: gimp-resynthesizer, gimp-save-for-web Priority: optional Section: graphics Filename: pool/main/g/gimp-plugin-registry/gimp-plugin-registry_5.20120621_armhf.deb Size: 1688296 SHA256: a4e8bd4447b4438be4e22f5610414364670fe652bf625adf2b95c0c0ccd872aa SHA1: b914124bebaf502546210a69591e6ec575e52a6c MD5sum: ca5ba60e3f6450322b5c7e872a122c2c Description: repository of optional extensions for GIMP The package contains the following plugins: . * Add Film Grain (2.8): Helps adding realistic film grain to BW images. * btn4ws (0.8.0.1): Generates a series of buttons in three states (passive, active, pressed) with various selectable effects on them, as well as XHTML, CSS and JavaScript code for using the buttons. * Black and White Film Simulation (1.1): Converts the selected layer into Black and White using the channel mixer. Tries to produce results resembling tonal qualities of film. * CMYK Tiff 2 PDF for Gimp (20090321): This plugin completes the prepress workflow of using Separate+ to generate CMYK Tiff images by allowing you to convert the saved CMYK Tiff image into a PDF file. * Contact Sheet (2.16): Generates a contact sheet(s) for a directory of images. * David's Batch Processor (1.1.9): A simple batch processing plugin for The Gimp - it allows the user to automatically perform operations (such as resize) on a collection of image files. * Diana-Holga2 (c): Diana/Holga Toys Cameras effect simulator. * El Samuko GIMP Scripts: * Antique Photo Border Script: This script simulates a yellowed and slightly jagged border like these of old photographies. * Che Guevara Script: This script generates a poster like the famous Che Guevara one from Jim Fitzpatrick. * Cyanotype Script: This script simulates the Cyanotype printing process. * Difference Layer Script This script generates two difference layers from the two layers on the top. It's similar to GIMP's built-in Grain Extract/Merge function, but the tonal range is bigger. Now you can apply further editing and "switch on/off" the adjustment by switching the visibility of the subtractive and the additive layer. Also you can change the modification intensity by changing the intensity of these two layers. * Erosion Sharpen: Sharpens the image with erosion and dilation. * Escape Line Script: This script creates escaping lines from any point. It's similar in function to the built-in Line-Nova Script, but much more flexible. So you can chose the center, the thickness, the angle, the length, the offset and the randomness. * Film Grain Script: This is yet another script which simulates the typical film grain of high ISO pictures. * First Photo Border Script: This script simulates the cut-off of the first picture of a film roll of cheap cameras like Lomo. * Lomo Script with Old Style Colors This script simulates the Lomo effect. * Movie 300 Script: This script simulates the color style of the movie "300". * National Geographic Script: This script simulates a high quality (portrait) photo like these from the National Geographic. * Obama "HOPE" Script: This script generates a poster like the famous Obama "HOPE" one from Shepard Fairey. * Rainy Landscape Script: This script changes a dry landscape to a wet one. * Photochrom Script: This script simulates a photochrom image, a lithographic printing process from the 1890's. * Sprocket Hole Script: This script simulates complete exposed 35mm film strips with frame numbers, lettering, overexposed sprocketholes and DX film edge barcodes. * Sunny Landscape Script: This script changes a rainy landscape to a sunny one. * Technicolor 2 Color Script: This script simulates the 2 Color Technicolor effect. * Technicolor 3 Color Script: This script simulates the 3 Color Technicolor effect. * Vintage Look Script: This script simulates a 70s vintage look. * Exposure Blend (1.3b): Prompt for 3 images in a bracketed exposure series (e.g. 0,-2,+2 EV) and blend these into a contrast enhanced image. * EZ Perspective: Specialized tool for easily correcting or changing perspective. * Fix-CA (3.0.2): Corrects chromatic aberration in photos * Focus-Blur (3.2.6): This plugin tries to simulate an out-of-focus blur * GIMP FX Foundry (r111): Probably the largest script collection available for The GIMP. * GIMP-Mask: Do and undo several popular image masking (that is, censoring) methods (CP, FL, Q0, MEKO). * Warming and Cooling Filters (May 24, 2010): Warm or cool an image using one of several methods: Wratten, Roy's Warm, Brauer's Warm, Pasty Cadaveric Look * Layer-Effects (4/12/2012): This is a series of scripts that implement various layer effects: Drop Shadow, Inner Shadow, Outer Glow, Inner Glow, Bevel and Emboss, Satin, Color Overlay, Gradient Overlay, Pattern Overlay, Stroke * Liquid Rescale (0.7.1): Content-aware rescaling. Keeps the features of the image while rescaling along a single direction. * Normalmap (1.2.2): Allows you to convert images into RGB normal maps for use in per-pixel lighting applications. * OpenRaster load/save handler (20110529-1d32622): OpenRaster is an effort by the Create project[1] to offer a standardized and open interchange format for raster-based applications. This plugin allows one to load and save files in the OpenRaster format. * Planet Render (1-2): Creates a planet. Color, size and sun orientation can be set. * Refocus (0.9.1): The GIMP plugin to refocus images using FIR Wiener filtering. During image processing operations such as scanning and scaling, images tend to get blurry. The blurred impression of these images is due to the fact that image pixels are averaged with their neighbors. Blurred images don't have sharp boundaries and look as though they have been taken with an unfocused camera. * Resynthesizer (2.0): Gimp plugin for texture synthesis This gimp plugin takes samples of textures, and synthesizes larger textures from them. It can be used to extend textures (including making tileable textures), remove objects from textures, and make themed images. * Save for Web (0.29.0): Allows to experiment with various popular web format options. It shows an automatically updated preview and file size statistics. * Separate+ (0.5.8): Separate+ is a plug-in that generates color separations from an RGB image, proofs CMYK colors on the monitor and exports the CMYK TIFF file. * Smart Seperate Sharpening (2.8): This script implements a new version of smart sharpening (redux) combined with separate sharpen to give better results. You can find more about Smart Sharpening at http://www.gimpguru.org/Tutorials/SmartSharpening2/ * Streak-Camera simulation (0.6): A streak camera images an object through a slit - thus getting a "one dimensional image". This image is propagated along the second dimension of the image plane at a constant speed. The result is a picture of the time dependency of the object. * Traditional Orton: This is an effect invented by Michael Orton in the 1990’s, which consists of taking two copies of an image, one blurred, and one sharp, and mixing them to produce an image with a dreamy quality. It is especially well suited to landscape and flower photography. * Wavelet Decompose (0.1.2): The wavelet decompose plugin decomposes a layer of an image into layers of wavelet scales. This means that you can edit the image on different detail scales (frequencies). The trivial recomposition of the image can be done by GIMP's layer modes so you can see the results of your modifications instantly. Among the applications are retouching, noise reduction, and enhancing global contrast. * Wavelet Denoise (0.3.1): The wavelet denoise plugin is a tool to selectively reduce noise in individual channels of an image with optional RGB<->YCbCr conversion. It has a user interface to adjust the amount of denoising applied. The wavelet nature of the algorithm makes the processing quite fast. Package: gimp-resynthesizer Version: 0.16-3 Installed-Size: 30 Maintainer: Bernd Zeimetz Architecture: all Depends: gimp-plugin-registry (>= 5.20120523~) Size: 5946 SHA256: a1b9dae48326e4581daefe5e6a2fe832b935ff57cd42eb2043b88bf64bbb99cb SHA1: 15e9fed5c345232f7b65536e8d35d2393d521b4a MD5sum: d65410438aaab0f66b42006cc869d939 Description: Transitional package for gimp-plugin-registry This is a transitional package to ease the transition to gimp-plugin-registry, which contains the resynthesizer plugin now. . You can safely remove this package. Tag: field::arts, implemented-in::c, interface::x11, role::plugin, scope::utility, suite::gimp, uitoolkit::gtk, use::editing, works-with::image, works-with::image:raster Section: graphics Priority: optional Filename: pool/main/g/gimp-resynthesizer/gimp-resynthesizer_0.16-3_all.deb Package: gimp-texturize Version: 2.1-2 Architecture: armhf Maintainer: Mohammed Adnène Trojette Installed-Size: 155 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgimp2.0 (>= 2.4.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.3.0), gimp (>= 2.0) Homepage: http://gimp-texturize.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/g/gimp-texturize/gimp-texturize_2.1-2_armhf.deb Size: 29110 SHA256: 6106465bcadd5528ca1672655f4835c8be82086d21a877a562c48ef56d16c73a SHA1: c3872c091d45f04a0bfe75474c7b1f2701b715ad MD5sum: 62cf711b8f96a273873b7b59a0a55613 Description: generates large textures from a small sample Gimp-texturize is a plug-in for the GIMP, a famous picture editor and manipulator. . A few images are designed to be copy-pasted one next to another and still look natural, but the result is usually periodic and very monotonous. The Texturize plugin allows you to have a realistic pseudo-periodicity. Package: gimp-ufraw Source: ufraw Version: 0.18-2 Architecture: armhf Maintainer: Hubert Chathi Installed-Size: 1003 Depends: libatk1.0-0 (>= 1.12.4), libbz2-1.0, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexiv2-12, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgimp2.0 (>= 2.4.0), libglib2.0-0 (>= 2.24.0), libgomp1 (>= 4.2.1), libgtk2.0-0 (>= 2.12.0), libgtkimageview0, libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), liblensfun0, libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), zlib1g (>= 1:1.1.4), gimp (>= 2.2) Suggests: ufraw Conflicts: gimp-dcraw Homepage: http://ufraw.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/u/ufraw/gimp-ufraw_0.18-2_armhf.deb Size: 444010 SHA256: 060b0e22c697632c968fca273105a3183c3f11fce190ee423d76db62c02d8195 SHA1: e3b0e373c6bbae33351067443b8f19bcab7a447c MD5sum: afb1fa0548d1dd72f2adf79afa85f4e7 Description: gimp importer for raw camera images This is a graphical tool to import raw data from high-end digital cameras into the Gimp. . The Unidentified Flying Raw (UFRaw) is a utility for converting and manipulating raw images from digital cameras. It can be used as a stand-alone tool or as a Gimp plug-in, and images can be batch processed using the command-line interface. UFRaw reads most existing raw formats using Dave Coffin's raw conversion utility DCRaw, and it supports basic color management using Little CMS, allowing the user to apply color profiles. Package: ginac-tools Source: ginac Version: 1.6.2-1 Architecture: armhf Maintainer: Richard Kreckel Installed-Size: 258 Depends: libc6 (>= 2.4), libcln6, libgcc1 (>= 1:4.4.0), libginac2, libreadline6 (>= 6.0), libstdc++6 (>= 4.6) Recommends: doc-base Homepage: http://www.ginac.de/ Priority: optional Section: math Filename: pool/main/g/ginac/ginac-tools_1.6.2-1_armhf.deb Size: 105158 SHA256: ca2aeed1ffef3ca34ff824d52873549aaa5a9c57a7fadb77fa42348aa70ad78c SHA1: d883d89c2e29331dced1e2f4858faf67a3a35f48 MD5sum: b1c2511b3839c46d6ddc0f59c796590a Description: GiNaC symbolic framework support tools GiNaC (which stands for "GiNaC is Not a CAS (Computer Algebra System)") is a library for doing symbolic (i.e. non-numeric) computation directly in the C++ programming language. . This package provides some additional tools, like the popular ginsh (GiNaC interactive shell) and viewgar (for inspecting GiNaC archive files). Package: ginkgocadx Version: 2.12.0.4889-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 11018 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.8.10), libdcmtk2 (>= 3.6.0), libfftw3-3, libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdcm2.2, libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libinsighttoolkit3.20, libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libsqlite3-0 (>= 3.7.6.1), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libvtk5.8, libwrap0 (>= 7.6-4~), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Homepage: http://ginkgo-cadx.com/ Priority: optional Section: science Filename: pool/main/g/ginkgocadx/ginkgocadx_2.12.0.4889-1_armhf.deb Size: 4101426 SHA256: 1ae312caf903cc3180b2dc656650b48402c530d0c1f5f8db87b315519e3d1a30 SHA1: 1c5fa38859816abcc5fe229331000ca42dc9c0bd MD5sum: fd2aec9daee5f0e7ec075f599da38bb0 Description: Medical Imaging Software and complete DICOM Viewer Ginkgo CADx provides a complete DICOM viewer solution with advanced capabilities and support for extensions. . * Easy and customizable interface through profiles. * Full featured DICOM image visualization. * Complete tool set (measure, markers, text, ...). * Multiple modalities support (Neurological, Radiological, Dermatological, Ophthalmological, Ultrasound, Endoscopy, ...) * Dicomization support from JPEG, PNG, GIF and TIFF. * Full EMH integration support: HL7 standard and IHE compliant workflows. * PACS Workstation (C-FIND, C-MOVE, C-STORE...) * Extensible through custom extensions. - Retinal image mosaic composition. - Automatic retinal analysis diagnostics. - Psoriasis automatic diagnostics. Package: ginspector Version: 20050529-3.1 Installed-Size: 52 Maintainer: NIIBE Yutaka Architecture: all Depends: libginspx0 (>= 20050529-3.1) Size: 4706 SHA256: 9726a24f47233dda90197186d00c50a824655b277ef1471a45bb0902049b0dc2 SHA1: ec82d7986c653242148d36a5cf7b09ee36fac531 MD5sum: fc5963419a8c441f95e762362c90f0b5 Description: GTK+ object/class inspector G-Inspector invokes a GTK+ application and inspects its objects. It shows widgets hierarchy, properties of widgets, etc. It can blink a specific widget instance so that you can identify it. It can handle Glib objects too. Homepage: http://sourceforge.net/projects/g-inspector/ Tag: devel::debugger, devel::testing-qa, interface::x11, role::program, scope::utility, uitoolkit::gtk, x11::application Section: devel Priority: extra Filename: pool/main/g/ginspector/ginspector_20050529-3.1_all.deb Package: gip Version: 1.7.0-1-3 Architecture: armhf Maintainer: Ignace Mouzannar Installed-Size: 642 Depends: libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6) Homepage: http://code.google.com/p/gip/ Priority: optional Section: gnome Filename: pool/main/g/gip/gip_1.7.0-1-3_armhf.deb Size: 111124 SHA256: 74f688f9a107fc5074032cda8d1083d11c765cbb9134661055eb7d8cea67d87e SHA1: 48d65486de3e62c2ed86ec40dc117983d81ee7f4 MD5sum: 50b1a53ab374c66c52e3f2a9747adb32 Description: IP calculator for GNOME desktop environment Gip provides system administrators with tools for IP address based calculations. For example, an administrator who needs to find out which IP prefix length equals the IP netmask 255.255.240.0, just types in the mask and gets the prefix length presented. But many more advanced calculations can be made. Gip can convert an address range into a list of prefix lengths. It can also split subnets using a given IP netmask or IP prefix length. Many more calculations are possible. Package: gir1.2-accountsservice-1.0 Source: accountsservice Version: 0.6.21-8 Architecture: armhf Maintainer: Alessio Treglia Installed-Size: 48 Depends: gir1.2-glib-2.0, libaccountsservice0 (>= 0.6.13) Breaks: gir1.2-accountservice-1.0 (<< 0.6.12-4) Replaces: gir1.2-accountservice-1.0 (<< 0.6.12-4) Homepage: http://cgit.freedesktop.org/accountsservice/ Priority: optional Section: introspection Filename: pool/main/a/accountsservice/gir1.2-accountsservice-1.0_0.6.21-8_armhf.deb Size: 11522 SHA256: 551f1e0515496978ef6be612ef669fc18ac027a75d9cd0781b402cf691fc1f72 SHA1: cad06908c54dda98148cabdfae745667fa6c27e5 MD5sum: eef50299fb3f07e878dd8091f905fed4 Description: GObject introspection data for AccountService The AccountService project provides a set of D-Bus interfaces for querying and manipulating user account information and an implementation of these interfaces, based on the useradd, usermod and userdel commands. . This package provides the introspection data for the AccountService library. Package: gir1.2-anjuta-3.0 Source: anjuta Version: 2:3.4.3-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 467 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libanjuta-3-0 (>= 2:3.2.0) Homepage: http://www.anjuta.org/ Priority: optional Section: introspection Filename: pool/main/a/anjuta/gir1.2-anjuta-3.0_3.4.3-1_armhf.deb Size: 397058 SHA256: 3be4addce035c301fbb5ef69057fed989fd69c9af090641820a256e44bff70fa SHA1: c5797d5877483e0e015930bf6b97caac7c57b92b MD5sum: b2664a1532926cd2995ce7aed1ebd55b Description: GObject introspection data for the Anjuta libraries This IDE for C/C++ and GNOME/Gtk+ applications has features that enable easy debugging, management of code and GUI design by providing a simple and usable user interface. It also integrates with version control systems like CVS, Git or Subversion. . This package contains introspection data for the Anjuta libraries. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-appindicator-0.1 Source: libappindicator Version: 0.4.92-2 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 74 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-2.0, gir1.2-pango-1.0, libappindicator1 (>= 0.4.90) Conflicts: gir1.0-appindicator-0.1 Replaces: gir1.0-appindicator-0.1 Homepage: https://launchpad.net/libappindicator Priority: optional Section: introspection Filename: pool/main/liba/libappindicator/gir1.2-appindicator-0.1_0.4.92-2_armhf.deb Size: 38394 SHA256: c65967ad5448d350f134260bf849a85539ba087353605917b2c0dafd1555741b SHA1: 8332665ec4e0f65d22db0f57890679cabdcfc0cf MD5sum: 3e2ad07818bf6bc7adc4640fe2d11da6 Description: Typelib files for libappindicator1 A library to allow applications to export a menu into the panel. Based on KSNI it also works in KDE and will fallback to generic Systray support if none of those are available. . This package can be used by other packages using the GIRepository format to generate dynamic bindings for libappindicator. Package: gir1.2-appindicator3-0.1 Source: libappindicator Version: 0.4.92-2 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 74 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libappindicator3-1 (>= 0.4.90) Homepage: https://launchpad.net/libappindicator Priority: optional Section: introspection Filename: pool/main/liba/libappindicator/gir1.2-appindicator3-0.1_0.4.92-2_armhf.deb Size: 38388 SHA256: 806cd0b301aabf9d6f25d47722aec536ccbac7019d75937f10abd13dd2fb1bdb SHA1: 0ad5306b4854247c7307af18f1249c451689a5fe MD5sum: 430c2569138582d3bf0a289fc03079f8 Description: Typelib files for libappindicator3-1 A library to allow applications to export a menu into the panel. Based on KSNI it also works in KDE and will fallback to generic Systray support if none of those are available. . This package can be used by other packages using the GIRepository format to generate dynamic bindings for libappindicator3. Package: gir1.2-atk-1.0 Source: atk1.0 Version: 2.4.0-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 139 Depends: gir1.2-glib-2.0, libatk1.0-0 (>= 1.32.0) Conflicts: gir1.0-atk-1.0 Replaces: gir1.0-gtk-2.0 (<< 2.22), gobject-introspection-repository Homepage: http://www.gtk.org/ Priority: optional Section: introspection Filename: pool/main/a/atk1.0/gir1.2-atk-1.0_2.4.0-2_armhf.deb Size: 64894 SHA256: 9d6108ffe6ab32c4d45ae467a4ea4054128c94289a13a0e2d0f3422a4e2d270e SHA1: 64ef707be688d98a084afc84edea5d44c892aef7 MD5sum: 6f97276458ccdb56f354a135730c6232 Description: ATK accessibility toolkit (GObject introspection) ATK is a toolkit providing accessibility interfaces for applications or other toolkits. By implementing these interfaces, those other toolkits or applications can be used with tools such as screen readers, magnifiers, and other alternative input devices. . This package can be used by other packages using the GIRepository format to generate dynamic bindings Package: gir1.2-atspi-2.0 Source: at-spi2-core Version: 2.5.3-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 81 Depends: gir1.2-glib-2.0, libatspi2.0-0 Replaces: gir1.0-gtk-2.0 (<< 2.22), gobject-introspection-repository Homepage: http://live.gnome.org/Accessibility Priority: optional Section: introspection Filename: pool/main/a/at-spi2-core/gir1.2-atspi-2.0_2.5.3-2_armhf.deb Size: 17940 SHA256: 1dce4e40c0dac1ab3411dc2a027cc52d044c6f6430afbcd907b590904674230a SHA1: ef87dc6cd664d1c2e1039d55c1d4705708e28ca7 MD5sum: 1aba82e10076d0e3e040baa4bac73afa Description: Assistive Technology Service Provider (GObject introspection) This package can be used by other packages using the GIRepository format to generate dynamic bindings Package: gir1.2-brasero-3.0 Source: brasero Version: 3.4.1-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 589 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libbrasero-media3-1 (>= 3.0.0) Homepage: http://www.gnome.org/projects/brasero/ Priority: optional Section: introspection Filename: pool/main/b/brasero/gir1.2-brasero-3.0_3.4.1-4_armhf.deb Size: 526054 SHA256: 572f7b05975c96229b7d416d4b23d5f0e488b1ad365d513e3cc3620e80bc07b1 SHA1: 5f9dfd34efe16065eec8312a65962cd802583595 MD5sum: 18fbb61a18eef9c5cac7c7301858acf9 Description: CD/DVD burning library for GNOME - GObject introspection data This package contains introspection data for the GNOME CD/DVD burning library . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-caribou-1.0 Source: caribou Version: 0.4.4-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 51 Depends: libcaribou0 (= 0.4.4-1), gir1.2-glib-2.0 Priority: optional Section: introspection Filename: pool/main/c/caribou/gir1.2-caribou-1.0_0.4.4-1_armhf.deb Size: 9454 SHA256: 155cf73e4a5b0501fb083d4ad179aa6a7771a84910ada4d080c0f097c7573a8f SHA1: 6e4cbc72d8ea979114b00ce1637e51f7634023ab MD5sum: 08c84cca4f40a4a908a6613f707a5902 Description: GObject introspection for the Caribou library An input assistive technology intended for switch and pointer users. . This package contains introspection data for the libcaribou library. It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-champlain-0.12 Source: libchamplain Version: 0.12.3-1 Architecture: armhf Maintainer: Sjoerd Simons Installed-Size: 204 Depends: gir1.2-atk-1.0, gir1.2-clutter-1.0, gir1.2-cogl-1.0, gir1.2-coglpango-1.0, gir1.2-freedesktop, gir1.2-glib-2.0, gir1.2-json-1.0, gir1.2-pango-1.0, libchamplain-0.12-0 (>= 0.12.1) Homepage: http://projects.gnome.org/libchamplain/ Priority: optional Section: introspection Filename: pool/main/libc/libchamplain/gir1.2-champlain-0.12_0.12.3-1_armhf.deb Size: 143676 SHA256: 1137544363bab19fcecd0ae3c085191c93ecad98362537fb6b11a6ba3bf62e44 SHA1: 3b6cfcdc780807ddcdf6900d587ebc30fbb8796c MD5sum: 2ea78085c56ef07a8dfabf2ca239ad29 Description: C library providing ClutterActor to display maps (GObject introspection) Libchamplain is a C library providing a ClutterActor to display maps. . It supports numerous free map sources such as OpenStreetMap (default), OpenArialMap and Maps for free. . This package contains the GObject introspection file for libchamplain. Package: gir1.2-cheese-3.0 Source: cheese Version: 3.4.2-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 191 Depends: gir1.2-atk-1.0, gir1.2-clutter-1.0, gir1.2-cogl-1.0, gir1.2-coglpango-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gstreamer-0.10, gir1.2-json-1.0, gir1.2-pango-1.0, libcheese3 (>= 3.0.1) Homepage: http://projects.gnome.org/cheese/ Priority: optional Section: introspection Filename: pool/main/c/cheese/gir1.2-cheese-3.0_3.4.2-2_armhf.deb Size: 160564 SHA256: a55cbc6109b158bd63ec7ac113dbba76cdff2979e3ed1d1ea53db68fe76dddcd SHA1: 0e7c6d55063186e5917aa31c68274f4e30102720 MD5sum: 9a318ae8d371f15bebbf4bf5068cafe5 Description: tool to take pictures and videos from your webcam - gir bindings A webcam application that supports image and video capture. Makes it easy to take photos and videos of you, your friends, pets or whatever you want. Allows you to apply fancy visual effects, fine-control image settings and has features such as Multi-Burst mode, Countdown timer for photos. . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-clinica-0.2 Source: clinica Version: 0.2.1~dfsg-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 262 Homepage: http://launchpad.net/clinica-project Priority: optional Section: introspection Filename: pool/main/c/clinica/gir1.2-clinica-0.2_0.2.1~dfsg-1_armhf.deb Size: 31420 SHA256: 929f46c48fcaa11e5e361a51dbc6f0dc305e7e395acbf44fc41eb7e5a2a290ba SHA1: 57441dc9babf2c77deed3281c338fe0538abbad8 MD5sum: 1e13869e13ef53dfcb8185e7e4217471 Description: Simple medical records manager (gobject introspection files) Simple tool for the desktop to mantain medical records. It is thought to be easy to use and it's mainly addressed to a single doctor. . It features: * Patient management * Doctor management (with associated patients) * Visit creation/editing * Medicine search online (via plugins) * Calendar for events and visits * Extensibility via plugins . This package contains the GObject introspection files. Package: gir1.2-clutter-1.0 Source: clutter-1.0 Version: 1.10.8-2+rpi1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 719 Depends: gir1.2-atk-1.0, gir1.2-cogl-1.0, gir1.2-coglpango-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-json-1.0, gir1.2-pango-1.0, libclutter-1.0-0 (>= 1.10.0) Conflicts: gir1.0-clutter-1.0 Breaks: libclutter-1.0-0 (<< 1.0.8) Replaces: gir1.0-clutter-1.0, libclutter-1.0-0 (<< 1.0.8) Homepage: http://www.clutter-project.org/ Priority: optional Section: introspection Filename: pool/main/c/clutter-1.0/gir1.2-clutter-1.0_1.10.8-2+rpi1_armhf.deb Size: 324648 SHA256: 624b31c8c511e37b182997fdfad8f9c8d7733ac018bef5778f1e02708fc47644 SHA1: b2690b48bc8b9ec923e5083f44786bb9b8320ce7 MD5sum: fe3931a31dd20795f785779b6c8d2907 Description: GObject introspection data for the Clutter 1.0 library This package contains introspection data for the Clutter scene graph library. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-clutter-gst-1.0 Source: clutter-gst Version: 1.5.4-1+build0 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 48 Depends: gir1.2-atk-1.0, gir1.2-clutter-1.0, gir1.2-cogl-1.0, gir1.2-coglpango-1.0, gir1.2-freedesktop, gir1.2-glib-2.0, gir1.2-gst-plugins-base-0.10, gir1.2-gstreamer-0.10, gir1.2-json-1.0, gir1.2-pango-1.0, libclutter-gst-1.0-0 (>= 1.3.14) Homepage: http://www.clutter-project.org/ Priority: optional Section: introspection Filename: pool/main/c/clutter-gst/gir1.2-clutter-gst-1.0_1.5.4-1+build0_armhf.deb Size: 9928 SHA256: c9164a374647a2836a13c0505f42ba82e42fc0e32fb2b99f909d3e85f25c55f5 SHA1: a8e204fcb2dcb0e304718199092531652e81f8c0 MD5sum: 00e491bc46dd63b9d794e8274d8f8d11 Description: Gobject introspection data for Clutter GStreamer elements Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-cogl-1.0 Source: cogl Version: 1.10.2-7+rpi1 Architecture: armhf Maintainer: Rico Tzschichholz Installed-Size: 98 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, libcogl9 (>= 1.9.4) Conflicts: gir1.0-clutter-1.0 Breaks: gir1.2-clutter-1.0 (<< 1.7) Replaces: gir1.0-clutter-1.0, gir1.2-clutter-1.0 (<< 1.7) Priority: optional Section: introspection Filename: pool/main/c/cogl/gir1.2-cogl-1.0_1.10.2-7+rpi1_armhf.deb Size: 29544 SHA256: aadbbc2ecdd524ef0b3cd0db811146de4abeb647af702ab0f3a6dfc56210468f SHA1: adf1f220e0aaf0923829374ee9a0abc8c1b4dccf MD5sum: bd3ae0dc00de0f0411e50e9163699958 Description: GObject introspection data for the Cogl 1.0 library This package contains introspection data for the Clutter scene graph library. . It can be used by packages using the GIRepository format to generate dynamic bindings for libcogl. Package: gir1.2-coglpango-1.0 Source: cogl Version: 1.10.2-7+rpi1 Architecture: armhf Maintainer: Rico Tzschichholz Installed-Size: 57 Depends: gir1.2-cogl-1.0 (= 1.10.2-7+rpi1), gir1.2-freedesktop, gir1.2-glib-2.0, gir1.2-pango-1.0, libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.7.4) Breaks: gir1.2-cogl-1.0 (<< 1.8.0-1) Replaces: gir1.2-cogl-1.0 (<< 1.8.0-1) Priority: optional Section: introspection Filename: pool/main/c/cogl/gir1.2-coglpango-1.0_1.10.2-7+rpi1_armhf.deb Size: 19834 SHA256: cd7a1695a29aa8d1f3e0b8ed719d36efcd65f52b39fa4051a86e66748f3d2aea SHA1: 9aeb7981f124c42b82c9577133bed9829b3a6c69 MD5sum: ed04e46a83b4103fb0a0e972ceb72e3b Description: GObject introspection data for the CoglPango 1.0 library This package contains introspection data for the Clutter scene graph library. . It can be used by packages using the GIRepository format to generate dynamic bindings for libcogl-pango. Package: gir1.2-colord-1.0 Source: colord Version: 0.1.21-1 Architecture: armhf Maintainer: Christopher James Halse Rogers Installed-Size: 135 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libcolord-gtk1 (>= 0.1.20), libcolord1 (>= 0.1.20) Homepage: http://www.freedesktop.org/software/colord/ Priority: optional Section: introspection Filename: pool/main/c/colord/gir1.2-colord-1.0_0.1.21-1_armhf.deb Size: 72654 SHA256: 407c2112e3ab0259933c9ca43c311d88c54e3f1b5d6ccc22886b72a807da733c SHA1: bf8562ff476f4454cc5e6ba2e85f979c84136b8c MD5sum: 78b348fba2887df8c31f11afd685fe12 Description: GObject introspection data for the colord library This package contains introspection data for libcolord, a gobject-based convenience library for programs to interact with the colord system daemon. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-colorhug-1.0 Source: colorhug-client Version: 0.1.10-1 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 48 Homepage: http://www.hughski.com/downloads.html Priority: extra Section: introspection Filename: pool/main/c/colorhug-client/gir1.2-colorhug-1.0_0.1.10-1_armhf.deb Size: 10440 SHA256: f15979b5054d42998b699d0cdf5b7977cc886b0b81df2d145b46311bedcb5cb2 SHA1: 5fd45af6f57a0fea79f18edcc24bb21b6fced022 MD5sum: 3536a66481eb15b1ddc707a455ee9daf Description: GObject introspection data for the Hughski Colorimeter The Hughski ColorHug colorimeter is a low cost open-source hardware sensor used to calibrate screens. . This package includes GObject introspection data for the library used by client tools which allows the user to upgrade the firmware on the sensor, set the color calibration matrix or to access the sensor. Package: gir1.2-cryptui-0.0 Source: libcryptui Version: 3.2.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 46 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libcryptui0a Homepage: http://developer.gnome.org/libcryptui/ Priority: optional Section: libs Filename: pool/main/libc/libcryptui/gir1.2-cryptui-0.0_3.2.2-1_armhf.deb Size: 11282 SHA256: 7abc0201db92bceef8e676a0d4c0cb6fcdc99d012082a5cb01c6fcee0d80525e SHA1: 458b90f811608c930af0adf4c63e4c33ce50a50e MD5sum: 489b393d192cb43e82794ac4179362bd Description: GObject introspection data for the CryptUI library CryptUI is a library to manage OpenPGP prompts on GUI applications. . This package contains introspection data for the CryptUI library. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-dbusmenu-glib-0.4 Source: libdbusmenu Version: 0.6.2-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 111 Depends: libdbusmenu-glib4 (= 0.6.2-1), gir1.2-glib-2.0 Breaks: gir1.2-indicate-0.5 (<< 0.5.0-0ubuntu4), gir1.2-unity-3.0 (<< 3.8.4-0ubuntu2) Homepage: https://launchpad.net/dbusmenu Priority: optional Section: introspection Filename: pool/main/libd/libdbusmenu/gir1.2-dbusmenu-glib-0.4_0.6.2-1_armhf.deb Size: 69570 SHA256: 8064b3d81fa230ef0cd078b7e1a489f85f45bf095cb299d1e56ffa83d427cf9f SHA1: a0827cf34bf50a1eb276ce9c58ae13ad60644144 MD5sum: a408d6cdfb951d97ab90258084c216f4 Description: typelib file for libdbusmenu-glib4 libdbusmenu passes a menu structure across DBus so that a program can create a menu simply without worrying about how it is displayed on the other side of the bus. . This package can be used by other packages using the GIRepository format to generate dynamic bindings for libdbusmenu-glib4. Package: gir1.2-dbusmenu-gtk-0.4 Source: libdbusmenu Version: 0.6.2-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 98 Depends: libdbusmenu-gtk4 (= 0.6.2-1), gir1.2-atk-1.0, gir1.2-dbusmenu-glib-0.4 (= 0.6.2-1), gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-2.0, gir1.2-pango-1.0, libdbusmenu-glib4 (>= 0.4.2) Homepage: https://launchpad.net/dbusmenu Priority: optional Section: introspection Filename: pool/main/libd/libdbusmenu/gir1.2-dbusmenu-gtk-0.4_0.6.2-1_armhf.deb Size: 65398 SHA256: d776008bca6b5b2f8224612f1d7947de50dd1529f553b33339a8d4c98ea7d0d1 SHA1: 8c54f831a675e45f2cc1ed2d1ac74ec64ffb5eec MD5sum: dd565f1b6a809dcb1ae8229cf284ee19 Description: typelib file for libdbusmenu-gtk4 libdbusmenu passes a menu structure across DBus so that a program can create a menu simply without worrying about how it is displayed on the other side of the bus. . This package can be used by other packages using the GIRepository format to generate dynamic bindings for libdbusmenu-gtk4. Package: gir1.2-dbusmenu-gtk3-0.4 Source: libdbusmenu Version: 0.6.2-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 98 Depends: libdbusmenu-gtk3-4 (= 0.6.2-1), gir1.2-atk-1.0, gir1.2-dbusmenu-glib-0.4 (= 0.6.2-1), gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libdbusmenu-glib4 (>= 0.4.2) Homepage: https://launchpad.net/dbusmenu Priority: optional Section: introspection Filename: pool/main/libd/libdbusmenu/gir1.2-dbusmenu-gtk3-0.4_0.6.2-1_armhf.deb Size: 65420 SHA256: cd7b679db7c7a6e31d9090e32d2cdd5087c9902834c7175e2a01ed99099279af SHA1: 7376f177aac7c60ce26092aacfe24e03df96ed7f MD5sum: 546a57d24f959212d4afc240165649c5 Description: typelib file for libdbusmenu-gtk3-4 libdbusmenu passes a menu structure across DBus so that a program can create a menu simply without worrying about how it is displayed on the other side of the bus. . This package can be used by other packages using the GIRepository format to generate dynamic bindings for libdbusmenu-gtk4. Package: gir1.2-dee-1.0 Source: dee Version: 1.0.10-3 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 120 Depends: gir1.2-glib-2.0, libdee-1.0-4 (>= 1.0.6) Breaks: gir1.2-dee-0.5 Replaces: gir1.2-dee-0.5 Homepage: https://launchpad.net/dee Priority: optional Section: libs Filename: pool/main/d/dee/gir1.2-dee-1.0_1.0.10-3_armhf.deb Size: 18050 SHA256: b72a123d10482e6eb46314ab4348f9a008b64a84351d4e981b443d7249bb86e4 SHA1: c6c458e2dfed16b785a380bf433db6e79ee59f67 MD5sum: 6dad0a7f489c750699755a899c0b7e31 Description: GObject introspection data for the Dee library This package contains introspection data for the Dee library. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-ebook-1.2 Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 484 Depends: gir1.2-edataserver-1.2 (= 3.4.4-3+deb7u1), gir1.2-freedesktop, gir1.2-glib-2.0, libebook-1.2-13 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: introspection Filename: pool/main/e/evolution-data-server/gir1.2-ebook-1.2_3.4.4-3+deb7u1_armhf.deb Size: 423574 SHA256: ca7fc4bcf73238e2a9178a0b532ccb795604fa384ca57ac4fc14a2f25bd3f779 SHA1: 37576c3c9ea6e2b326802eb95be1c96a3f8e1fd9 MD5sum: aaccb7ac940bf3e0025f19805736188f Description: GObject introspection for the EBook library The data server, called "Evolution Data Server" is responsible for managing calendar and addressbook information. . This package contains introspection data for the libeebook library. It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-ecalendar-1.2 Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 466 Depends: gir1.2-edataserver-1.2 (= 3.4.4-3+deb7u1), gir1.2-freedesktop, gir1.2-glib-2.0, libecal-1.2-11 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: introspection Filename: pool/main/e/evolution-data-server/gir1.2-ecalendar-1.2_3.4.4-3+deb7u1_armhf.deb Size: 419676 SHA256: 0bb73e14c3d656555d1bd2d3879866c1824d4a4b53dc4bdaf17b17a5a4029100 SHA1: ba6ba9d64e41e964d24909ad7fa8b56435bc1f73 MD5sum: fb80fe8c448fc5f5c04deadb069d99d1 Description: GObject introspection for the ECalendar library Evolution is the integrated mail, calendar, task and address book distributed suite from Novell, Inc. . This package contains introspection data for the libecal library. It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-edataserver-1.2 Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 488 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, libedataserver-1.2-16 (>= 3.4.4) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: introspection Filename: pool/main/e/evolution-data-server/gir1.2-edataserver-1.2_3.4.4-3+deb7u1_armhf.deb Size: 424316 SHA256: 60cb1eebaba111285df5b02c9a2f847482bddc10f8c10b4d322ad407f10be3ae SHA1: 2d92b354a43e41708245d9f3b40528fefb795b18 MD5sum: d14ed41a7e83f47c18fa4a756226d59b Description: GObject introspection for the EDataServer library The data server, called "Evolution Data Server" is responsible for managing calendar and addressbook information. . This package contains introspection data for the libedataserver library. It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-emerillon-0.2 Source: emerillon (0.1.90-1) Version: 0.1.90-1+b2 Architecture: armhf Maintainer: Mathieu Trudel Installed-Size: 53 Depends: gir1.2-atk-1.0, gir1.2-champlain-0.12, gir1.2-clutter-1.0, gir1.2-cogl-1.0, gir1.2-coglpango-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-json-1.0, gir1.2-pango-1.0 Homepage: http://projects.gnome.org/emerillon/ Priority: optional Section: libs Filename: pool/main/e/emerillon/gir1.2-emerillon-0.2_0.1.90-1+b2_armhf.deb Size: 19252 SHA256: 6188d8a88af67b7df3ae6d6ce5ea5c8943cb35937ef38f948c300696ef5dbf5b SHA1: c72518e14fbc8c0615bd62551f0cf92eb4b4d069 MD5sum: 2eaa0560d59c04f0d16939a2f8181a3e Description: map viewer for the GNOME desktop (GObject introspection) Emerillon is a map viewer. Aiming at simple user interface, Emerillon is a powerful, extensible application. It features OpenStreetMap based maps. Use it to browse maps, search the map for places, placemark places for later quick access and more! . Emerillon takes its name from one of the three vessels commanded by Jacques Cartier for his trip to New France in 1535. . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-epiphany-3.4 Source: epiphany-browser Version: 3.4.2-2.1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 1174 Depends: epiphany-browser (= 3.4.2-2.1), gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-javascriptcoregtk-3.0, gir1.2-pango-1.0, gir1.2-soup-2.4, gir1.2-webkit-3.0 Homepage: http://www.gnome.org/projects/epiphany/ Priority: optional Section: introspection Filename: pool/main/e/epiphany-browser/gir1.2-epiphany-3.4_3.4.2-2.1_armhf.deb Size: 1133232 SHA256: 25d2d84e2dc0ed31783d75ee0b68ca7bef4ebba836246782e7679ed2bcf06374 SHA1: 08dbb5cc4bee12e5c63fd9c1fb939b6c0d637c8d MD5sum: 785bb81aa41213e355f60bf8a5d9cdb2 Description: GObject introspection data for the GNOME web browser Epiphany is a simple yet powerful GNOME web browser targeted at non-technical users. Its principles are simplicity and standards compliance. . This package contains introspection data for the GNOME web browser. It allows writing extensions in languages that can use this data to generate bindings. Package: gir1.2-evd-0.1 Source: event-dance Version: 0.1.20-2 Architecture: armhf Maintainer: Alberto Garcia Installed-Size: 204 Depends: gir1.2-glib-2.0, gir1.2-json-1.0, gir1.2-soup-2.4, libevd-0.1-0 (>= 0.1.20), libgcrypt11 (>= 1.4.5), libuuid1 (>= 2.16) Homepage: https://gitorious.org/eventdance Priority: optional Section: introspection Filename: pool/main/e/event-dance/gir1.2-evd-0.1_0.1.20-2_armhf.deb Size: 127466 SHA256: 9a16ca32ecee3d2f2d247fc96df101bdd390a8f2e38e38b8820a776632ed59bf SHA1: 65d7a9980035b986b870c436f43f505d1fefeb69 MD5sum: f9cad704a5178b62c88019f37d297ced Description: Peer-to-peer inter-process communication library - GObject introspection data EventDance is an open source library for interconnecting heterogeneous applications in a simple, secure and scalable fashion. It provides a nice API to send and receive data among distributed applications over different types of transports. This and other features like cryptography, make EventDance a perfect choice for peer-to-peer application development. . This package contains the GObject introspection data. It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-evince-3.0 Source: evince Version: 3.4.0-3.1+deb7u2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 565 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libevdocument3-4 (>= 3.3.90), libevview3-3 (>= 3.3.90) Provides: gir1.2-evince-document-3.0, gir1.2-evince-view-3.0 Homepage: http://www.gnome.org/projects/evince/ Priority: optional Section: introspection Filename: pool/main/e/evince/gir1.2-evince-3.0_3.4.0-3.1+deb7u2_armhf.deb Size: 499144 SHA256: 491fc2c702e9e7912232df2f19ad4d2c3da5a71430330627d7b155de5cbcc1e3 SHA1: 75bb38d77f190e2a4134163ff925f443ddf2ff2c MD5sum: e51f04cc2c38f42fd5f174bbf25d546b Description: GObject introspection data for the evince libraries This package contains introspection data for the libevview and libevdocument library. . Evince is a simple multi-page document viewer. It can display and print PostScript (PS), Encapsulated PostScript (EPS), DjVu, DVI, Portable Document Format (PDF) and XML Paper Specification (XPS) files. When supported by the document, it also allows searching for text, copying text to the clipboard, hypertext navigation, and table-of-contents bookmarks. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-farstream-0.1 Source: farstream Version: 0.1.2-1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 236 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, gir1.2-gstreamer-0.10, libfarstream-0.1-0 (>= 0.1.1) Homepage: http://www.freedesktop.org/software/farstream/releases/farstream/ Priority: optional Section: introspection Filename: pool/main/f/farstream/gir1.2-farstream-0.1_0.1.2-1_armhf.deb Size: 195686 SHA256: 7e0c330a769609508aafeeb0590d96189ad67dffed98572c4db0659fff3160aa SHA1: d185fe7c1349243890b3b0c80e0d700991398b79 MD5sum: baa46735e8d5742fc5631c08619f3316 Description: Audio/Video communications framework: GObject-Introspection The Farstream project is an effort to create a framework to deal with all known audio/video conferencing protocols. On one side it offers a generic API that makes it possible to write plugins for different streaming protocols, on the other side it offers an API for clients to use those plugins. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-fcitx-1.0 Source: fcitx Version: 1:4.2.4.1-7 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 93 Depends: fcitx-libs, gir1.2-glib-2.0 Suggests: fcitx (>= 1:4.2.0) Breaks: fcitx (<< 1:4.2.0) Replaces: fcitx (<< 1:4.2.0) Homepage: http://code.google.com/p/fcitx/ Priority: optional Section: introspection Filename: pool/main/f/fcitx/gir1.2-fcitx-1.0_4.2.4.1-7_armhf.deb Size: 28780 SHA256: 3da98bdf470100620f321516c9a0dd2e4887fd91dcdea4ef077ef06ffae06fc3 SHA1: d2f3f784cd0398529311a69328f241109d39a55b MD5sum: 9b2cb20ac9ecf14ec4ea0af33645a8eb Description: Flexible Input Method Framework - GObject introspection Fcitx is a input method framework with extension support, which provides an interface for entering characters of different scripts in applications using a variety of mapping systems. . It offers a pleasant and modern experience, with intuitive graphical configuration tools and customizable skins and mapping tables. It is highly modularized and extensible, with GTK+ 2/3 and Qt4 IM Modules, support for UIs based on Fbterm, pure Xlib, GTK+, or KDE, and a developer-friendly API. . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-folks-0.6 Source: folks (0.6.9-1) Version: 0.6.9-1+b1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 254 Depends: gir1.2-gee-1.0, gir1.2-glib-2.0 Homepage: http://telepathy.freedesktop.org/wiki/Folks Priority: optional Section: introspection Filename: pool/main/f/folks/gir1.2-folks-0.6_0.6.9-1+b1_armhf.deb Size: 191876 SHA256: fc97a4da6dbb465692a1e625ee30c386c7aff1770360c0a962a40cf27f37799f SHA1: faef2b8202413c0514beef93876b4a8bd4b5c50d MD5sum: 581be7bdc90e42aa797c065e27e0e87d Description: library to aggregates people into metacontacts - GObject-Introspection libfolks is a library that aggregates people from multiple sources (eg, Telepathy connection managers and eventually evolution data server, Facebook, etc.) to create metacontacts. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-freedesktop Source: gobject-introspection Version: 1.32.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 58 Depends: gir1.2-glib-2.0 (= 1.32.1-1), libcairo-gobject2 (>= 1.10.0) Conflicts: gir1.0-freedesktop, gobject-introspection-freedesktop Homepage: http://live.gnome.org/GObjectIntrospection Priority: optional Section: introspection Filename: pool/main/g/gobject-introspection/gir1.2-freedesktop_1.32.1-1_armhf.deb Size: 19898 SHA256: 9429d0e51c5246c45e634bd857c9a23a9d59f2f4bb4412aaba3ce08ac6466189 SHA1: 1a411d77e61a576b0d84e24991b8a62276f84ca6 MD5sum: 73863c5d25a8347527ee6038c499f155 Description: Introspection data for some FreeDesktop components GObject Introspection is a project for providing machine readable introspection data of the API of C libraries. This introspection data can be used in several different use cases, for example automatic code generation for bindings, API verification and documentation generation. . GObject Introspection contains tools to generate and handle the introspection data. . This package contains small pieces of introspection data for the Cairo, FontConfig, FreeType, GL, and some XOrg libraries. They are distributed in this package temporarily, while the original sources do not include support for GObject Introspection. They are far from complete and only include what is necessary for other introspection packages to work properly. Package: gir1.2-ganv-1.0 Source: ganv Version: 0~svn4468~dfsg0-1 Architecture: armhf Maintainer: Alessio Treglia Installed-Size: 57 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-2.0, gir1.2-pango-1.0, libganv-1-1 Homepage: http://dev.drobilla.net/browser/trunk/ganv Priority: optional Section: introspection Filename: pool/main/g/ganv/gir1.2-ganv-1.0_0~svn4468~dfsg0-1_armhf.deb Size: 9556 SHA256: 167072f5b3414ad021359c0fb7a7d69353a1bc845bff203785c5d40aab90ab6c SHA1: 36718aabee451393362d5ac0f66558ccded34987 MD5sum: 7b7429d2b80a9fe3ee55711bf3c85616 Description: GObject Introspection data for Ganv Ganv is an interactive Gtk canvas widget for graph-based interfaces (patchers, modular synthesizers, finite state automata, interactive graphs, etc). . This package provides the introspection data for the Ganv library. Package: gir1.2-gck-1 Source: gcr Version: 3.4.1-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 176 Depends: gir1.2-glib-2.0, libgck-1-0 (>= 3.3.90) Homepage: https://live.gnome.org/GnomeKeyring Priority: optional Section: introspection Filename: pool/main/g/gcr/gir1.2-gck-1_3.4.1-3_armhf.deb Size: 124050 SHA256: 1158e07e3f74d3f4b15eeae899e5a0c0a99e9b39d79c001ecc6850f5ab129bd3 SHA1: cf4bbe332df5f6073c87ce112781a4890c0a3425 MD5sum: dd73568b7a6ead2f531dee3e8ab6ba95 Description: GObject introspection data for the GCK library This package contains introspection data for GCK, a wrapper based on GLib implementing the PKCS#11 (Cryptoki) interface. . It can be used by interpreters understanding the GIRepository format to write programs using the GCK library. Package: gir1.2-gconf-2.0 Source: gconf Version: 3.2.5-1+build1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 392 Depends: gconf-service, gir1.2-glib-2.0, libgconf-2-4 (>= 2.31.1) Conflicts: gir1.0-gconf-2.0 Homepage: http://projects.gnome.org/gconf/ Priority: optional Section: introspection Filename: pool/main/g/gconf/gir1.2-gconf-2.0_3.2.5-1+build1_armhf.deb Size: 356992 SHA256: 03a0c748a55f788a55414392160c132647f9a23a6d16a38ecb4a1855f156f044 SHA1: 55616cb0cf289281e5fefb11d73838c00a1a166a MD5sum: bc3ff35c5076f3d71cd02a299495cbae Description: GNOME configuration database system (GObject-Introspection) GConf is a configuration database system for storing application preferences. It supports default or mandatory settings set by the administrator, and changes to the database are instantly applied to all running applications. It is written for the GNOME desktop but doesn't require it. . This package contains introspection data for GConf. It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gcr-3 Source: gcr Version: 3.4.1-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 204 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gck-1 (= 3.4.1-3), gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libgcr-3-1 (>= 3.4.0) Homepage: https://live.gnome.org/GnomeKeyring Priority: optional Section: introspection Filename: pool/main/g/gcr/gir1.2-gcr-3_3.4.1-3_armhf.deb Size: 133580 SHA256: daeed41aa5070b5fde986553dd55cb19288aeddcc53b4a9522c4532f46ceeb61 SHA1: a3544a2d2cde05a168db3d43dcbcd563eda27c86 MD5sum: c7f67e9c4d71dab6a7abbe1a9e47fa08 Description: GObject introspection data for the GCR library This package contains introspection data for GCK, a library for crypto UI and related tasks. . It can be used by interpreters understanding the GIRepository format to write programs using the GCR library. Package: gir1.2-gda-4.0 Source: libgda4 Version: 4.2.8-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 304 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, libgda-4.0-4 (>= 4.2.8) Conflicts: gir1.0-gda-4.0 Replaces: gir1.0-gda-4.0 Homepage: http://www.gnome-db.org/ Priority: optional Section: libs Filename: pool/main/libg/libgda4/gir1.2-gda-4.0_4.2.8-2_armhf.deb Size: 149932 SHA256: 6331665a87778653e9367a40ecb94091b4bfef640649494000454620328e915f SHA1: 71ea91734a3f5ed9ab8b88c9e35e271ce76f03cb MD5sum: 9f9ae00380490e2cc67e32857ce835aa Description: data abstraction library based on GLib -- GObject Introspection libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains introspection data for libgda. Package: gir1.2-gda-5.0 Source: libgda5 Version: 5.0.3-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 317 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, libgda-5.0-4 (>= 5.0.2) Homepage: http://www.gnome-db.org/ Priority: optional Section: introspection Filename: pool/main/libg/libgda5/gir1.2-gda-5.0_5.0.3-2_armhf.deb Size: 162658 SHA256: 8211b6cb094fab983953236346ec54c7594e1e6374f9b172ed05b660d10056d0 SHA1: f90c53660eb7c064fda2626e99bc0045c10d7836 MD5sum: b4e3ed50ff9d565c050b348d82d7be71 Description: data abstraction library based on GLib -- GObject Introspection libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains introspection data for libgda. Package: gir1.2-gdata-0.0 Source: libgdata Version: 0.12.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 323 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, gir1.2-soup-2.4, libgdata13 (>= 0.11.0) Conflicts: gir1.0-gdata-0.0 Homepage: http://live.gnome.org/libgdata Priority: optional Section: introspection Filename: pool/main/libg/libgdata/gir1.2-gdata-0.0_0.12.0-1_armhf.deb Size: 195744 SHA256: f7446feeb47450b035807927dc7f8dca73febce1b77ba8264d20311d5a26d716 SHA1: 8967fb8747cc5702159fadb1ae5c55e488a10928 MD5sum: 6f8f0c934cb539fe997fe26b25b68367 Description: GObject introspection data for the GData webservices library libgdata is a GLib-based library for accessing online service APIs using the GData protocol — most notably, Google's services. It provides APIs to access the common Google services, and has full asynchronous support. . This package contains introspection data for the GData webservices library. It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gdesktopenums-3.0 Source: gsettings-desktop-schemas Version: 3.4.2-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 44 Homepage: http://www.gnome.org/ Priority: optional Section: gnome Filename: pool/main/g/gsettings-desktop-schemas/gir1.2-gdesktopenums-3.0_3.4.2-3_armhf.deb Size: 8320 SHA256: 8377b62c1fa08430e680a78f2a291258799bce466fad53a9e214dd9302b993b7 SHA1: 5c7cfbb2024142678d685837bf9b34feac95a0ff MD5sum: 4c0aed370574863fbadf0d31a457a3a5 Description: GObject introspection for GSettings desktop-wide schemas gsettings-desktop-schemas contains a collection of GSettings schemas for settings shared by various components of a desktop. . This package contains introspection data for GSettings desktop-wide schemas. It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gdkpixbuf-2.0 Source: gdk-pixbuf Version: 2.26.1-1+deb7u8 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 32 Depends: gir1.2-glib-2.0, libgdk-pixbuf2.0-0 (>= 2.25.2) Conflicts: gir1.0-gdkpixbuf-2.0 Replaces: gir1.0-gtk-2.0 (<< 2.21.3), gir1.2-gtk-2.0 (<< 2.21.3) Homepage: http://www.gtk.org/ Priority: optional Section: introspection Filename: pool/main/g/gdk-pixbuf/gir1.2-gdkpixbuf-2.0_2.26.1-1+deb7u8_armhf.deb Size: 14240 SHA256: 215f80a0b4521da5062a68ecbedf394cbab5b56219f12be499e2a3be6b1ee84a SHA1: f449e47055b63d3482938926900b3ec8edfff4c9 MD5sum: b8e4097a47358372a97c0aa034b1fb98 Description: GDK Pixbuf library - GObject-Introspection The GDK Pixbuf library provides: - Image loading and saving facilities. - Fast scaling and compositing of pixbufs. - Simple animation loading (ie. animated GIFs) . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gdl-3 Source: gdl Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 81 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libgdl-3-2 (>= 3.3.91) Priority: optional Section: introspection Filename: pool/main/g/gdl/gir1.2-gdl-3_3.4.2-1_armhf.deb Size: 37032 SHA256: b2ab4b488d8964ce20f34021188b0df87ab7a5940599670e5a9d98c852259b79 SHA1: 5a2c941da1b84719c0cd0270feae9b811102270d MD5sum: a98b14b1f649750d86a01163f6d2ee58 Description: GObject introspection data for the GDL library This package contains introspection data for the GNOME DevTool libraries, a support library for GNOME development tools. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gee-1.0 Source: libgee Version: 0.6.4-2 Architecture: armhf Maintainer: Maintainers of Vala packages Installed-Size: 82 Depends: gir1.2-glib-2.0 Homepage: http://live.gnome.org/Libgee Priority: optional Section: introspection Filename: pool/main/libg/libgee/gir1.2-gee-1.0_0.6.4-2_armhf.deb Size: 22800 SHA256: 6ff0768eea51fe9ee67f2516ea7aba9c4e0348968138b7cc4140f0f85cfbbf78 SHA1: 4e3f4bbc371b6e4337f94a4795f4cce09a7b1746 MD5sum: fb361d4e08a977b92de39c2cfeedbf83 Description: GLib Telepathy connection manager library (GObject-Introspection) This package contains introspection data for libgee, which can be used to generate dynamic bindings. . libgee is a collection library providing GObject-based interfaces and classes for commonly used data structures. Package: gir1.2-geocodeglib-1.0 Source: geocode-glib Version: 0.99.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 41 Depends: gir1.2-glib-2.0, gir1.2-json-1.0, gir1.2-soup-2.4, libgeocode-glib0 (>= 0.99.0) Priority: optional Section: libs Filename: pool/main/g/geocode-glib/gir1.2-geocodeglib-1.0_0.99.0-1_armhf.deb Size: 7588 SHA256: 85693388c43cf29a01fc122a906468102c4a3d83d8da521f49f2a73f9d3ba779 SHA1: 8e82e0d1148f36bcb60606d431f1ed31825863f6 MD5sum: 7a74c6a7736c44cc7705c913d503633e Description: introspection data for geocode-glib library Geocode-glib allows you to do geocoding (going from a place name, to a longitude/latitude pair) and reverse geocoding (finding a place name from coordinates) using Yahoo! Place Finder API. . This library should be used in place of Geoclue's D-Bus API for geocoding and reverse geocoding. . This package contains GObjectIntrospection data for geocode-glib. Package: gir1.2-ges-0.10 Source: gstreamer0.10-editing-services Version: 0.10.1-2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 101 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, gir1.2-gst-plugins-base-0.10 (>= 0.10.32), gir1.2-gstreamer-0.10 (>= 0.10.32), libges-0.10-0 (>= 0.10.0.3) Homepage: http://gstreamer.freedesktop.org Priority: optional Section: libs Filename: pool/main/g/gstreamer0.10-editing-services/gir1.2-ges-0.10_0.10.1-2_armhf.deb Size: 42846 SHA256: fa127c171abf62fa7788796856432210455ab4798c9b63a784b5fc299d82daa0 SHA1: 540513c64671a40dce4c44068f3ffe2f8dfa8fdf MD5sum: 8fd8c0f234b846ac30929bdfffcd6494 Description: GObject introspection data for the GES library The GStreamer multimedia framework and the accompanying GNonLin set of plugins for non-linear editing offer all the building blocks for: * Decoding and encoding to a wide variety of formats, through all the available GStreamer plugins. * Easily choosing segments of streams and arranging them through time through the GNonLin set of plugins. But all those building blocks only offer stream-level access, which results in developers who want to write non-linear editors to write a consequent amount of code to get to the level of non-linear editing notions which are closer and more meaningful for the end-user (and therefore the application). . The GStreamer Editing Services (GES) aims to fill the gap between GStreamer/GNonLin and the application developer by offering a series of classes to simplify the creation of many kind of editing-related applications. . This package contains introspection data for the GStreamer editing services. Package: gir1.2-gkbd-3.0 Source: libgnomekbd Version: 3.4.0.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 56 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, gir1.2-xkl-1.0, libgnomekbd7 (>= 3.0.0.1) Conflicts: gir1.2-gnomekbd-3.0 Replaces: gir1.2-gnomekbd-3.0 Priority: optional Section: introspection Filename: pool/main/libg/libgnomekbd/gir1.2-gkbd-3.0_3.4.0.2-1_armhf.deb Size: 13824 SHA256: 79a1219bdf9e13ee5eb4cb52f7536a347ac93d2941110b01e67b008e2a730ffd SHA1: d969fdcf671c145a0b9190d0f40de98300da95e3 MD5sum: eb91bcb275c6478a890195fd9543d8e5 Description: GObject introspection data for the GnomeKbd library libgnomekbd offers an API to manage the keyboard in GNOME applications. . libgnomekbdui offers an API to display a graphical user interface for libgnomekbd operations. . This package contains introspection data for the GnomeKbd library. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gladeui-2.0 Source: glade Version: 3.12.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 302 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libgladeui-2-0 Homepage: http://glade.gnome.org/ Priority: optional Section: introspection Filename: pool/main/g/glade/gir1.2-gladeui-2.0_3.12.1-1_armhf.deb Size: 209430 SHA256: 82242a1b6bd6dba85443166e03acd0275c0bc7bcf48da45f3d60d4a43ac93539 SHA1: ad389aa994731efa90606c2f6cacca9cf5dd21b0 MD5sum: e1e4bd1922f33566c3c49bcba2e6463f Description: GObject introspection data for the GTK+ User Interface library Glade is a RAD tool to enable quick and easy development of user interfaces for the GTK+ toolkit. . Glade is, since its "3.0" major version, highly modular, and composed of widgets which can be used by other applications to integrate functionality similar to the one provided by the Glade application itself. . This package contains introspection data for the GTK+ User Interface Build core library. Package: gir1.2-glib-2.0 Source: gobject-introspection Version: 1.32.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 574 Depends: libgirepository-1.0-1 (>= 1.32.1), libglib2.0-0 (>= 2.31.22) Conflicts: gir1.0-glib-2.0, gobject-introspection-glib-2.0 Breaks: gnome-shell (<< 3.0.2-6), python-gobject (<< 2.90) Homepage: http://live.gnome.org/GObjectIntrospection Priority: optional Section: introspection Filename: pool/main/g/gobject-introspection/gir1.2-glib-2.0_1.32.1-1_armhf.deb Size: 169790 SHA256: 23c25633f2964547f1db4ee2af74cc454f4b5371cdf700b5461095dcfbd8cf94 SHA1: 889fb8d5278d78d27e76ecf2e4593733f0d42a5a MD5sum: b4a9c8f459eee272808b817ec1ea764f Description: Introspection data for GLib, GObject, Gio and GModule GObject Introspection is a project for providing machine readable introspection data of the API of C libraries. This introspection data can be used in several different use cases, for example automatic code generation for bindings, API verification and documentation generation. . GObject Introspection contains tools to generate and handle the introspection data. . This package contains the introspection data for the GLib, GObject, GModule and Gio libraries. Package: gir1.2-gmenu-3.0 Source: gnome-menus Version: 3.4.2-5 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 86 Depends: gir1.2-glib-2.0, libgnome-menu-3-0 (>= 3.4.2-3~) Conflicts: gobject-introspection-repository Priority: optional Section: introspection Filename: pool/main/g/gnome-menus/gir1.2-gmenu-3.0_3.4.2-5_armhf.deb Size: 51978 SHA256: 4ad65336b2bc320f7bee928ee94c1099b35a41b110ea5d58d920870a5ec16fe9 SHA1: c14537716681fc061ccb1ef7d8001935015cf038 MD5sum: 463c0115023762c14cd1234d194a6bdd Description: GObject introspection data for the GNOME menu library This package contains introspection data for GNOME menu, an implementation of the desktop menu specification from freedesktop.org. . It can be used by languages supporting dynamic bindings with the GIRepository format. Package: gir1.2-gnomebluetooth-1.0 Source: gnome-bluetooth Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 229 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libgnome-bluetooth10 (>= 3.4.0) Homepage: http://live.gnome.org/GnomeBluetooth Priority: optional Section: introspection Filename: pool/main/g/gnome-bluetooth/gir1.2-gnomebluetooth-1.0_3.4.2-1_armhf.deb Size: 193558 SHA256: 86900cd586ee02b2bbb89f236eebd0bc4badd63258b34d1a85cd30f955c71cb5 SHA1: 2cc7facfaf4f3b7ad04b2e1aa79b83c322bf809e MD5sum: a1c6a56d8f3cba7b69bce61042045057 Description: Introspection data for GnomeBluetooth This package contains tools for managing and manipulating Bluetooth devices using the GNOME desktop. . The libraries included provide support to gnome-bluetooth. . This package contains the introspection data for GnomeBluetooth Package: gir1.2-gnomedesktop-3.0 Source: gnome-desktop3 Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 146 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libgnome-desktop-3-2 (>= 3.4.0) Priority: optional Section: introspection Filename: pool/main/g/gnome-desktop3/gir1.2-gnomedesktop-3.0_3.4.2-1_armhf.deb Size: 104114 SHA256: 0bd01a0eff7140e76cffb300658cf61ba7ed9b11a4cced6139c2d536c4163902 SHA1: 54d2605b41cb83927997395aef5f51f124dc37b6 MD5sum: 8b450906f1213bb216e53b72f8fc91cb Description: Introspection data for GnomeDesktop This package provides the include files and static library for the GNOME desktop library functions. . This package contains the introspection data for GnomeDesktop Package: gir1.2-gnomekeyring-1.0 Source: libgnome-keyring Version: 3.4.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 78 Depends: libgnome-keyring0 (= 3.4.1-1), gir1.2-glib-2.0 Homepage: http://live.gnome.org/GnomeKeyring Priority: optional Section: introspection Filename: pool/main/libg/libgnome-keyring/gir1.2-gnomekeyring-1.0_3.4.1-1_armhf.deb Size: 37280 SHA256: ecec747e0c43f31298a4ff07ff688f9b000933adf3a88da5041da0767c5fc1ba SHA1: 7d2be2504849d60768557986ffc8c08a41e6d31e MD5sum: d931a1fe7dc0e01a99f713b9e1a12185 Description: GNOME keyring services library - introspection data gnome-keyring is a daemon in the session, similar to ssh-agent, and other applications can use it to store passwords and other sensitive information. . The program can manage several keyrings, each with its own master password, and there is also a session keyring which is never stored to disk, but forgotten when the session ends. . This package provides introspection data for libgnome-keyring. It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-goa-1.0 Source: gnome-online-accounts Version: 3.4.2-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 69 Depends: gir1.2-glib-2.0, libgoa-1.0-0 (>= 3.3.0) Homepage: https://live.gnome.org/OnlineAccounts Priority: optional Section: introspection Filename: pool/main/g/gnome-online-accounts/gir1.2-goa-1.0_3.4.2-2_armhf.deb Size: 12622 SHA256: 23820ced19a37496936ce7736f3ca0e02f640c612d6934ba71dd6e0653a24e8f SHA1: 2f9cc3310ee2a820d333995001046ac59902e33a MD5sum: 2d8e8a3c46b5a940c86686f1b188b474 Description: Introspection data for GNOME Online Accounts This package contains the GNOME Online Accounts service, which provides a centralized place for managing online accounts (Google, etc) for the GNOME desktop. . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-grilo-0.1 Source: grilo Version: 0.1.19-1 Architecture: armhf Maintainer: Alberto Garcia Installed-Size: 167 Depends: gir1.2-glib-2.0, gir1.2-soup-2.4, libgrilo-0.1-0 (>= 0.1.19-1) Homepage: http://live.gnome.org/Grilo Priority: optional Section: introspection Filename: pool/main/g/grilo/gir1.2-grilo-0.1_0.1.19-1_armhf.deb Size: 106242 SHA256: b064b83078fc3e91a6a1315715cbff595cdf5debdf56e34f27bdd7dcb78c839a SHA1: ac2a5489c1a94af91d716d136b3b49cd8586340a MD5sum: bf36c25812ec244fe8274e10c359c4f1 Description: Framework for discovering and browsing media - GObject introspection data Grilo is a framework focused on making media discovery and browsing easy for application developers. . More precisely, Grilo provides: * A single, high-level API that abstracts the differences among various media content providers, allowing application developers to integrate content from various services and sources easily. * A collection of plugins for accessing content from various media providers. Developers can share efforts and code by writing plugins for the framework that are application agnostic. * A flexible API that allows plugin developers to write plugins of various kinds. . This package contains the GObject introspection data. It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gssdp-1.0 Source: gssdp Version: 0.12.2.1-2 Architecture: armhf Maintainer: Ross Burton Installed-Size: 45 Depends: gir1.2-glib-2.0, libgssdp-1.0-3 (>= 0.12.0) Conflicts: gir1.0-gssdp-1.0 Replaces: gir1.0-gssdp-1.0 Homepage: http://www.gupnp.org Priority: optional Section: introspection Filename: pool/main/g/gssdp/gir1.2-gssdp-1.0_0.12.2.1-2_armhf.deb Size: 10040 SHA256: 75a6798dbe5dedb0d05151f0386e9014bd92bcba39f6a7b63516e2df6d6e063b SHA1: bdb1ed7c2be99cf7b37433cda505c900b4b2474f MD5sum: 1afd22974fef4c9c887dd9173416bba6 Description: GObject introspection data for the GSSDP library This package contains introspection data for GSSDP, a SSDP library. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gst-plugins-base-0.10 Source: gst-plugins-base0.10 Version: 0.10.36-1.1+deb7u2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 747 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, gir1.2-gstreamer-0.10, libgstreamer0.10-0 (>= 0.10.36) Conflicts: gir1.0-gst-plugins-base-0.10 Replaces: gir1.0-gst-plugins-base-0.10, gobject-introspection-repository (<< 0.6.5-2), libgstreamer-plugins-base0.10-0 (<< 0.10.25-3) Homepage: http://gstreamer.freedesktop.org Priority: optional Section: introspection Filename: pool/main/g/gst-plugins-base0.10/gir1.2-gst-plugins-base-0.10_0.10.36-1.1+deb7u2_armhf.deb Size: 612244 SHA256: 1aae889a60299c588c6cb166c1937430d07403dabaa864dc469df96b2d4b315c SHA1: 735de76c98feda5ba3ae84b992090269cef5a5ea MD5sum: f12f8a02cca02a87f5ca96204a841727 Description: Description: GObject introspection data for the GStreamer Plugins Base library This package contains introspection data for the GStreamer Plugins Base library. . GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gst-rtsp-server-0.10 Source: gstreamer0.10-rtsp Version: 0.10.8-3 Architecture: armhf Maintainer: Sebastian Reichel Installed-Size: 53 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, gir1.2-gst-plugins-base-0.10, gir1.2-gstreamer-0.10, libgstrtspserver-0.10-0 (>= 0.10.8) Homepage: http://people.freedesktop.org/~wtay/ Priority: optional Section: introspection Filename: pool/main/g/gstreamer0.10-rtsp/gir1.2-gst-rtsp-server-0.10_0.10.8-3_armhf.deb Size: 9574 SHA256: 7b344236953237bd13b171d8f33bc4e77803ce2df835e50b4fc017cf8dd9858c SHA1: aa421965d2f11741e99385ba81ec96e85c7b70a3 MD5sum: 40d43ea670961008de8dc81e3d5a072c Description: GObject introspection data for the gst-rtsp-server library This package contains introspection data for the GStreamer RTSP server library. . GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gstreamer-0.10 Source: gstreamer0.10 Version: 0.10.36-1.2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 854 Depends: gir1.2-freedesktop, gir1.2-glib-2.0 Conflicts: gir1.0-gstreamer-0.10 Replaces: gir1.0-gstreamer-0.10, gobject-introspection-repository (<< 0.6.5-2), libgstreamer0.10-0 (<< 0.10.25-3) Homepage: http://gstreamer.freedesktop.org Priority: optional Section: introspection Filename: pool/main/g/gstreamer0.10/gir1.2-gstreamer-0.10_0.10.36-1.2_armhf.deb Size: 690376 SHA256: 4d6a3c10f0105edccabf2716114914abdfae7b66abd6289619e927d269b6f0de SHA1: 4f157da27049d6540efd43dd8ad8b01dc7eed33c MD5sum: 55334632fa608edfe62623fd745687fa Description: Description: GObject introspection data for the GStreamer library This package contains introspection data for the GStreamer streaming media framework. . GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gtk-2.0 Source: gtk+2.0 Version: 2.24.10-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1305 Depends: libgtk2.0-common, gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-pango-1.0, libgtk2.0-0 (>= 2.24.0) Conflicts: gir1.0-gtk-2.0, gobject-introspection-repository Replaces: gir1.0-gtk-2.0 Homepage: http://www.gtk.org/ Priority: optional Section: introspection Filename: pool/main/g/gtk+2.0/gir1.2-gtk-2.0_2.24.10-2_armhf.deb Size: 638272 SHA256: 3420c79338e6b79802679db4cd504fbd71751ba69e78123e382e79ab46b1549c SHA1: 2768b7d0390bd4c5283a0b747d3aae2545b509eb MD5sum: 28d7509271eafbfa9638065e9afa43b8 Description: GTK+ graphical user interface library -- gir bindings GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gtk-3.0 Source: gtk+3.0 Version: 3.4.2-7+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 843 Depends: libgtk-3-common, gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-pango-1.0, libgtk-3-0 (>= 3.3.18) Homepage: http://www.gtk.org/ Priority: optional Section: introspection Filename: pool/main/g/gtk+3.0/gir1.2-gtk-3.0_3.4.2-7+deb7u1_armhf.deb Size: 207244 SHA256: 1a7b087eadda931f9fb7fb730bb472ca8619774d1aaff6a4267091b3d50c2871 SHA1: ba8e99b7749ab6eb59f99f7c8cea9e3c205d38f2 MD5sum: 825aded0b3839c9d0d41907ac870df7a Description: GTK+ graphical user interface library -- gir bindings GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gtk-vnc-2.0 Source: gtk-vnc Version: 0.5.0-3.1 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 87 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libgtk-vnc-2.0-0 (>= 0.5.0), libgvnc-1.0-0 (>= 0.5.0) Provides: gir1.2-gvnc-1.0 Priority: optional Section: libs Filename: pool/main/g/gtk-vnc/gir1.2-gtk-vnc-2.0_0.5.0-3.1_armhf.deb Size: 36898 SHA256: 2940a0898d55a9832abd696dc755ba392d62a5f646a8b24c313808d941070a28 SHA1: 0ee01b604cb70584a46e4fce353773abb5fa5275 MD5sum: 6aef88f917f623dd22d13da9fbd67df0 Description: GObject introspection data for GTK-VNC. This package contains introspection data for the GTK-VNC library. . It is built using coroutines, allowing it to be completely asynchronous while remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the VeNCrypt authentication extension providing SSL/TLS encryption with x509 certificate authentication. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gtkchamplain-0.12 Source: libchamplain Version: 0.12.3-1 Architecture: armhf Maintainer: Sjoerd Simons Installed-Size: 163 Depends: gir1.2-atk-1.0, gir1.2-champlain-0.12 (= 0.12.3-1), gir1.2-clutter-1.0, gir1.2-cogl-1.0, gir1.2-coglpango-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-json-1.0, gir1.2-pango-1.0, libchamplain-gtk-0.12-0 (>= 0.11.0) Homepage: http://projects.gnome.org/libchamplain/ Priority: optional Section: introspection Filename: pool/main/libc/libchamplain/gir1.2-gtkchamplain-0.12_0.12.3-1_armhf.deb Size: 132366 SHA256: 3ba4b127e02b3c945eb30236a013d8c91d3c1552ea36d7c92ee79a6512cbc78c SHA1: 256d1be623217e18fa3ec6e2836c8127fee9fc69 MD5sum: 721dac9adb21fe1942cd0de1782395cb Description: Gtk+ widget to display maps (GObject introspection) Libchamplain-gtk is a C library aimed to provide a Gtk+ widget to display rasterized maps and markers. . It supports numerous free map sources such as OpenStreetMap (default), OpenArialMap and Maps for free. . This package contains the GObject introspection file for libchamplain-gtk. Package: gir1.2-gtkclutter-1.0 Source: clutter-gtk (1.2.0-2) Version: 1.2.0-2+b1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 44 Depends: gir1.2-atk-1.0, gir1.2-clutter-1.0, gir1.2-cogl-1.0, gir1.2-coglpango-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-json-1.0, gir1.2-pango-1.0, libclutter-gtk-1.0-0 (>= 0.91.8) Conflicts: gir1.0-clutter-gtk-1.0 Replaces: gir1.0-clutter-gtk-1.0 Homepage: http://www.clutter-project.org/ Priority: optional Section: introspection Filename: pool/main/c/clutter-gtk/gir1.2-gtkclutter-1.0_1.2.0-2+b1_armhf.deb Size: 10302 SHA256: 402ef7f092e828ea1c3f9a1294b647e874d55501c4f8a3c1b0467664d9678a17 SHA1: fc30381fa8fe182655d3a0e53140f4a0bf815260 MD5sum: 31928d306845ab6573599a9dbd6d2dd5 Description: GObject introspection data for the GTK+ Clutter library This package contains introspection data for the GTK+ Clutter widget. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gtksource-3.0 Source: gtksourceview3 Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 180 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libgtksourceview-3.0-0 (>= 3.3.4) Homepage: http://projects.gnome.org/gtksourceview/ Priority: optional Section: introspection Filename: pool/main/g/gtksourceview3/gir1.2-gtksource-3.0_3.4.2-1_armhf.deb Size: 114638 SHA256: 787a925182d53b0e96dd1ebd76ac4fdb6913394fdd3c7b3eee9a1acad842a268 SHA1: e16bfe0a22465fb707bc4c3e57418f57ddd3825d MD5sum: d6e89e4aa92cc8bad6c7a9f77b8f7a14 Description: gir files for the GTK+ syntax highlighting widget GtkSourceView is a text widget that extends the standard GTK+ 3.x text widget GtkTextView. It improves GtkTextView by implementing syntax highlighting and other features typical of a source editor. . This package contains gobject introspection information. Package: gir1.2-gtop-2.0 Source: libgtop2 Version: 2.28.4-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 117 Depends: gir1.2-glib-2.0, libgtop2-7 (>= 2.24.0) Priority: optional Section: introspection Filename: pool/main/libg/libgtop2/gir1.2-gtop-2.0_2.28.4-3_armhf.deb Size: 56624 SHA256: af3523585fae9894e0151fa8a1149c05d90fded9d7b0ac61311b32e2f1179988 SHA1: 958ea13cd21c42ef93156f55b7d2329160cd56d8 MD5sum: a6aacf4bf87cb84ee23742fdbd710823 Description: gtop system monitoring library (gir bindings) The gtop library reads information about processes and the state of the system. It is used by the GNOME desktop environment. . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gucharmap-2.90 Source: gucharmap Version: 1:3.4.1.1-2.1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 261 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libgucharmap-2-90-7 (>= 1:3.4.0.1) Homepage: http://live.gnome.org/Gucharmap Priority: optional Section: introspection Filename: pool/main/g/gucharmap/gir1.2-gucharmap-2.90_3.4.1.1-2.1_armhf.deb Size: 219028 SHA256: cbcbc3993850c608b62085c08be11b69fc9b3643d7a8800d0dc7b248acee2087 SHA1: 9855207c7bd364bfc01dd5a95e489f8a877eaa1d MD5sum: 6333410fa78c4444c75e1f3695dc6d37 Description: GObject introspection data for the Unicode browser widget library The libgucharmap library contains a Unicode browser widget; it is most prominently used by the "gucharmap" program. See the package of the same name for more information. . This package contains introspection data for the Unicode browser widget library. Package: gir1.2-gudev-1.0 Source: udev Version: 175-7.2 Architecture: armhf Maintainer: Marco d'Itri Installed-Size: 36 Depends: libgudev-1.0-0 (>= 165), gir1.2-glib-2.0, libglib2.0-0 Conflicts: gir1.0-gudev-1.0 Replaces: gir1.0-gudev-1.0 Priority: optional Section: introspection Filename: pool/main/u/udev/gir1.2-gudev-1.0_175-7.2_armhf.deb Size: 3000 SHA256: 0cb6ca03a1a5c156279547e969878deb7d809976c2b790faa9694c8273f6de94 SHA1: 1a94a91d127a84b7325ba2844fcffe0c3f64a598 MD5sum: 94fcda5fc5e06819fe5625fe0ccc4bdf Description: libgudev-1.0 introspection data This package contains the GObject-introspection data of libgudev-1.0 in binary typelib format. Package: gir1.2-gupnp-1.0 Source: gupnp Version: 0.18.4-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 68 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, gir1.2-gssdp-1.0, gir1.2-soup-2.4, libgupnp-1.0-4 (>= 0.18.0) Conflicts: gir1.0-gupnp-1.0 Replaces: gir1.0-gupnp-1.0 Homepage: http://live.gnome.org/GObjectIntrospection Priority: optional Section: introspection Filename: pool/main/g/gupnp/gir1.2-gupnp-1.0_0.18.4-1_armhf.deb Size: 20828 SHA256: f26fb14fe4b7a149d05c2c2a5b98b54348f9d0b72999e4c4b216d0232a3d1b8a SHA1: 4b656f69e7018a86cf04eace58120cee9d7e00ee MD5sum: 917ac1cdb2c3124f67b643a92de663c4 Description: GObject introspection data for the GUPnP library This package contains introspection data for GUPnP, a UPnP library. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gupnp-av-1.0 Source: gupnp-av Version: 0.10.3-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 64 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, gir1.2-gssdp-1.0, gir1.2-gupnp-1.0, gir1.2-soup-2.4, libgupnp-av-1.0-2 (>= 0.10.0) Conflicts: gir1.0-gupnp-av-1.0 Replaces: gir1.0-gupnp-av-1.0 Homepage: http://live.gnome.org/GObjectIntrospection Priority: optional Section: introspection Filename: pool/main/g/gupnp-av/gir1.2-gupnp-av-1.0_0.10.3-1_armhf.deb Size: 16276 SHA256: a5698937ab62bca88171af260649e3ef1c4146841161a73eb2869bc84fb65b95 SHA1: 1f301073ea439091e5fb0942c347507af90c9ad6 MD5sum: a7a15e0e6873adb56ce90c0439f27f71 Description: GObject introspection data for the GUPnP-AV library This package contains introspection data for GUPnP-AV, a UPnP-AV library. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gupnp-dlna-1.0 Source: gupnp-dlna Version: 0.6.6-1 Installed-Size: 32 Maintainer: Ross Burton Architecture: all Depends: gir1.2-gupnpdlna-1.0 Size: 7420 SHA256: 590ad8c1daf16fa1bfc3ab89ff249d5f6f3de8b2fdbf5b19e1290598133d62d5 SHA1: c8a9af4912809557f7ddc531c6735e7436169390 MD5sum: 14ed57e32134e50fa375ce054550b2b4 Description: transitional dummy package This is a dummy package to help transition to the new package name. The namespace for gupnp-dlna was changed upstream to not clash with the gupnp namespace, and is now gupnpdlna. This package was renamed to match the new upstream namespace and is now called gir1.2-gupnpdlna-1.0 instead of gir1.2-gupnp-dlna-1.0. . It's safe and recommended that you remove this (empty transitional) package if you find it installed on your system. Homepage: http://www.gupnp.org Section: introspection Priority: optional Filename: pool/main/g/gupnp-dlna/gir1.2-gupnp-dlna-1.0_0.6.6-1_all.deb Package: gir1.2-gupnpdlna-1.0 Source: gupnp-dlna Version: 0.6.6-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 43 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, gir1.2-gst-plugins-base-0.10, gir1.2-gstreamer-0.10, libgstreamer0.10-0 (>= 0.10.29.2), libgupnp-dlna-1.0-2 (>= 0.6.0) Conflicts: gir1.0-gupnp-1.0, gir1.2-gupnp-dlna-1.0 (<< 0.6.6~) Replaces: gir1.0-gupnp-1.0, gir1.2-gupnp-dlna-1.0 (<< 0.6.6~) Homepage: http://live.gnome.org/GObjectIntrospection Priority: optional Section: introspection Filename: pool/main/g/gupnp-dlna/gir1.2-gupnpdlna-1.0_0.6.6-1_armhf.deb Size: 8714 SHA256: cc41e289d71109f2674908d2ccfc41a8d611afd31bbe553d81d5f6a650255685 SHA1: 91f666ef179546f0826452168f9d38a13cc0a5da MD5sum: 157252eb44f0cab78c24b854d5743f20 Description: GObject introspection data for the DLNA utility library for GUPnP This package contains introspection data for the DLNA utility library for GUPnP, a UPnP library. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gupnpigd-1.0 Source: gupnp-igd Version: 0.2.1-2 Architecture: armhf Maintainer: Laurent Bigonville Installed-Size: 47 Depends: gir1.2-glib-2.0, libgupnp-igd-1.0-4 (>= 0.2.1) Homepage: http://live.gnome.org/GObjectIntrospection Priority: optional Section: introspection Filename: pool/main/g/gupnp-igd/gir1.2-gupnpigd-1.0_0.2.1-2_armhf.deb Size: 14650 SHA256: a42f0912f1a166cad89c17f59fb2bf6323c1387d1bfe84851e96150d3170cc62 SHA1: 11ace7a2add6df452cdfdb51a2cab8bd57243b76 MD5sum: 7473cc3a2567ae8867af3451f62e7380 Description: GObject introspection data for the GUPnP IGD library This package contains introspection data for GUPnP IGD, a UPnP Internet Gateway Device library. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gweather-3.0 Source: libgweather Version: 3.4.1-1+build1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 53 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libgweather-3-0 (>= 3.0.0) Priority: optional Section: introspection Filename: pool/main/libg/libgweather/gir1.2-gweather-3.0_3.4.1-1+build1_armhf.deb Size: 17338 SHA256: 9a7c5d2ef4de09181d72cab0f00c8f139d52e965f41062ba0bb0e12e81f4cc95 SHA1: 61a9b1f6215622ce2772e89a38045a540ae10d64 MD5sum: 83cc6cf41e1fe339758b271b3e8ee85a Description: GObject introspection data for the GWeather library libgweather is a library to access weather information from online services for numerous locations. . This package contains introspection data for the GWeather library. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-gxps-0.1 Source: libgxps Version: 0.2.2-2+deb7u1 Architecture: armhf Maintainer: Savvas Radevic Installed-Size: 19 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, libgxps2 (>= 0.2.1) Homepage: https://live.gnome.org/libgxps Priority: optional Section: introspection Filename: pool/main/libg/libgxps/gir1.2-gxps-0.1_0.2.2-2+deb7u1_armhf.deb Size: 15886 SHA256: e7f6571f1525c2acc3de5c3113a68e7deaa05bf2790b8f5154e1ca4667473eaa SHA1: 10f826c5ea1152afc47036886f50afc3c97dae34 MD5sum: fa7206ba2362cb3b1c136b9d3fe353cb Description: GObject introspection data for the gxps library OpenXPS or XPS stands for XML Paper Specification. It is based on XML and it's a new electronic paper format originally developed by Microsoft and it serves as a PDF alternative. XPS files are usually created using "Microsoft XPS Document Writer" in Windows environments. It is now standardized as an open standard document format. . Quoting Wikipedia: An XPS file is in fact a Unicoded ZIP archive using the Open Packaging Conventions, containing the files which make up the document. These include an XML markup file for each page, text, embedded fonts, raster images, 2D vector graphics, as well as the digital rights management information. The contents of an XPS file can be examined simply by opening it in an application which supports ZIP files. . The OpenXPS document format specification supports features such as color gradients, transparencies, CMYK color spaces, printer calibration, multiple-ink systems and print schemas. . This package contains introspection data for the gxps library. It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-ibus-1.0 Source: ibus Version: 1.4.1-9+deb7u1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 349 Depends: gir1.2-glib-2.0, libibus-1.0-0 (>= 1.4.1) Homepage: http://code.google.com/p/ibus/ Priority: optional Section: introspection Filename: pool/main/i/ibus/gir1.2-ibus-1.0_1.4.1-9+deb7u1_armhf.deb Size: 204508 SHA256: 984fad3936b0fca2ee67b91f42aba879a669a91e1bd3b800d1cdf8eb2d7243e9 SHA1: ab4cd5409a692fc9e2f2a2baebaa45dfc999edfa MD5sum: c5337e19f1cabadd0a7a0aa61d4db9bc Description: Intelligent Input Bus - introspection data IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . This package contains the GObject introspection data which are needed for developing the IBus applications in various programming languages with GObject introspection support. Package: gir1.2-indicate-0.7 Source: libindicate Version: 0.6.92-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 83 Depends: libindicate5 (>= 0.6.92-1), gir1.2-dbusmenu-glib-0.4 (>= 0.4.90), gir1.2-glib-2.0 Breaks: gir1.2-indicate-gtk-0.5 Replaces: gir1.2-indicate-gtk-0.5 Homepage: https://launchpad.net/libindicate Priority: optional Section: introspection Filename: pool/main/libi/libindicate/gir1.2-indicate-0.7_0.6.92-1_armhf.deb Size: 38350 SHA256: 2c07ebd8ef77dd24ee555edf1fd51c018a20b8bc46cce9845dfec9328c1a3de3 SHA1: e6f83cfcd97cfb7c40d8bb001f298f2f532b0fc7 MD5sum: b09c9641129b27eb308ba9c668a4fd7d Description: Typelib file for libindicate5 A small library for applications to raise "flags" on DBus for other components of the desktop to pick up and visualize. . This package can be used by other packages using the GIRepository format to generate dynamic bindings for libindicate5. Package: gir1.2-itl-1.0 Source: libitl-gobject Version: 0.2-1 Architecture: armhf Maintainer: Debian Islamic Maintainers Installed-Size: 40 Depends: gir1.2-glib-2.0, libitl-gobject0 (>= 0.1) Homepage: http://git.ojuba.org/cgit/libitl-gobject Priority: optional Section: libs Filename: pool/main/libi/libitl-gobject/gir1.2-itl-1.0_0.2-1_armhf.deb Size: 6198 SHA256: d3a6d57445ea9f1e95dda864be6619b9061cf35f800d5406d7834977df6082ea SHA1: 60cc4e1e956832d96fca942d05e478bf713371bd MD5sum: 16e04d336a4eaf92e2e514f8ef4ca889 Description: GObject introspection data for Itl 1.0 library This library is a GObject bindings library for libitl (Islamic tools & library project), libitl allows applications to convert between Hijri/Gregorian dates and compute Muslim prayer times and Qibla direction based on multiple methods of calculation. . This package contains introspection data for the GObject bindings library. Package: gir1.2-javascriptcoregtk-1.0 Source: webkit Version: 1.8.1-3.4+rpi1 Architecture: armhf Maintainer: Debian WebKit Maintainers Installed-Size: 86 Depends: libwebkitgtk-1.0-0 (>= 1.3.10) Conflicts: gir1.0-webkit-1.0, gir1.2-webkit-1.0 (<< 1.5.0), gobject-introspection-repository Replaces: gir1.2-webkit-1.0 (<< 1.5.0) Homepage: http://webkitgtk.org/ Priority: optional Section: introspection Filename: pool/main/w/webkit/gir1.2-javascriptcoregtk-1.0_1.8.1-3.4+rpi1_armhf.deb Size: 20420 SHA256: 098032e77937090e28d39cd358b8f6cf6b1b858753f436329ca8d71d4d9f3894 SHA1: 2deac8881fa47b4d30a31b7283b77f2ce7445186 MD5sum: f5ae97893c6bf588096eff37e320879d Description: GObject introspection data for the GTK+-based JavaScriptCore library This package contains introspection data for the GTK+-based version of JavaScriptCore . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-javascriptcoregtk-3.0 Source: webkit Version: 1.8.1-3.4+rpi1 Architecture: armhf Maintainer: Debian WebKit Maintainers Installed-Size: 86 Depends: libwebkitgtk-3.0-0 (>= 1.3.10) Conflicts: gir1.0-webkit-3.0, gir1.2-webkit-3.0 (<< 1.5.0), gobject-introspection-repository Replaces: gir1.2-webkit-3.0 (<< 1.5.0) Homepage: http://webkitgtk.org/ Priority: optional Section: introspection Filename: pool/main/w/webkit/gir1.2-javascriptcoregtk-3.0_1.8.1-3.4+rpi1_armhf.deb Size: 20432 SHA256: 4a894b43ff67df0f6da46a8db8c613c58e08e898eb147dafd6657b541c415b30 SHA1: 670921de3b3435631c2de6d894bc11fd720f5d3f MD5sum: 4351c687e5f61a2167cd512d39a9fed2 Description: GObject introspection data for the GTK+-based JavaScriptCore library This package contains introspection data for the GTK+-based version of JavaScriptCore . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-json-1.0 Source: json-glib Version: 0.14.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 129 Depends: gir1.2-glib-2.0 (>= 0.9.12), libjson-glib-1.0-0 (>= 0.13.4) Conflicts: gir1.0-json-glib-1.0, gir1.2-json-glib-1.0 Replaces: gir1.0-json-glib-1.0, gir1.2-json-glib-1.0 Provides: gir1.2-json-glib-1.0 Homepage: http://live.gnome.org/JsonGlib Priority: optional Section: libs Filename: pool/main/j/json-glib/gir1.2-json-1.0_0.14.2-1_armhf.deb Size: 83602 SHA256: cd00b444aa2abdd1f7d2b9a634691034f1c34bd9a9d1f57a3c39d1078a213b52 SHA1: d0103c969301225e93ab185b4b0dfc14d6705fcb MD5sum: e04f353b5b17de392a9b44679e0bb87f Description: GLib JSON manipulation library (introspection data) JSON-GLib is a library for parsing, generating and manipulating JavaScript Object Notation (JSON) data streams using the GLib type system. It allows manipulating JSON data types with a Document Object Model API. It also allows serializing and deserializing simple or complex GObjects to and from JSON data types. . This package contains introspection data. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-libosinfo-1.0 Source: libosinfo Version: 0.1.1-1 Architecture: armhf Maintainer: Guido Günther Installed-Size: 64 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, libosinfo-1.0-0 (>= 0.1.1) Homepage: https://fedorahosted.org/libosinfo/ Priority: extra Section: introspection Filename: pool/main/libo/libosinfo/gir1.2-libosinfo-1.0_0.1.1-1_armhf.deb Size: 11292 SHA256: 5ec0d6fe716c63b610ff6279cdd08495f837495a36ab88e2142c64a1fd5099ac SHA1: 7ee48e40f3b86724bfd8caa36b8a84e2d1d56e21 MD5sum: da891f819cf32b6be4441a6e8e79472d Description: GObject introspection data for libosinfo This package contains introspection data for the libosinfo library. . libosinfo is a GObject based library API for managing information about operating systems, hypervisors and the (virtual) hardware devices they can support. It includes a database containing device metadata and provides APIs to match/identify optimal devices for deploying an operating system on a hypervisor. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-libvirt-glib-1.0 Source: libvirt-glib Version: 0.0.8-1 Architecture: armhf Maintainer: Guido Günther Installed-Size: 131 Depends: gir1.2-glib-2.0, libvirt-glib-1.0-0 (>= 0.0.8~) Priority: extra Section: introspection Filename: pool/main/libv/libvirt-glib/gir1.2-libvirt-glib-1.0_0.0.8-1_armhf.deb Size: 45796 SHA256: 46fa877c8dac6d65ca388e2db1639e4a12a29b05acc8d013cdd6ade9ca976a18 SHA1: 2bc3d92ad4a714b38c8914b645967a6aaa4fa5fb MD5sum: 6eca73e5826b31e9f830334a960ea92d Description: libvirt glib mainloop integration This shared library eases integration of libvirt's events into programs using a glib mainloop. . This package contains the GObject-introspection data in binary typelib format. Package: gir1.2-lunar-date-2.0 Source: lunar-date Version: 2.4.0-1 Architecture: armhf Maintainer: Debian Chinese Team Installed-Size: 53 Depends: gir1.2-glib-2.0, liblunar-date-2.0-0 Homepage: http://code.google.com/p/liblunar/ Priority: optional Section: introspection Filename: pool/main/l/lunar-date/gir1.2-lunar-date-2.0_2.4.0-1_armhf.deb Size: 5966 SHA256: b71c4ea9c15bcba8c207202208b2a459f752c2c5e8f74ffe27bbda3856f9fda9 SHA1: 1625ee104e81641772fd73e1b6c35e988f418f39 MD5sum: f830bb69e33e6b0f3c231aa68177aaaa Description: GObject Introspection for lunar-date Lunar-date is a Chinese Lunar library based on GObject, which can covert between Chinese lunar calendar and Gregorian calendar. . This package contains GObject Introspection for lunar-date. Package: gir1.2-midgard2 Source: midgard2-core Version: 10.05.7.1-1 Architecture: armhf Maintainer: Piotr Pokora Installed-Size: 604 Depends: libmidgard2 (>= 10.05.7.1-1), midgard2-common (>= 10.05.7.1-1), gir1.2-glib-2.0, libgirepository1.0-1 Conflicts: gir1.0-midgard2 Replaces: gir1.0-midgard2 Homepage: http://www.midgard-project.org Priority: optional Section: introspection Filename: pool/main/m/midgard2-core/gir1.2-midgard2_10.05.7.1-1_armhf.deb Size: 65864 SHA256: c878ed999a5f1f18bfd1b0c3aa0ef243c0298c1149a56c5bfd6b261ac8dbf737 SHA1: c79c3e8f9ba56d9bdbafaf9f7dd7407a99c35ae1 MD5sum: 6aabf9ec62ccd6abf8204f9ca81f05c7 Description: Midgard2 - GObject Introspection Midgard2 is an Open Source Content Repository. It provides an object-oriented and replicated environment for building data-intensive applications for both web and the desktop. Midgard2 is built on the GNOME stack of libraries like GLib and libgda. Communications between applications written in the different languages happen over D-Bus. . This package contains introspection files for Midgard2. Package: gir1.2-mutter-3.0 Source: mutter (3.4.1-5) Version: 3.4.1-5+b1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 306 Depends: gir1.2-atk-1.0, gir1.2-clutter-1.0, gir1.2-cogl-1.0, gir1.2-coglpango-1.0, gir1.2-freedesktop, gir1.2-gdesktopenums-3.0, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-json-1.0, gir1.2-pango-1.0, libmutter0 (<< 3.5), libmutter0 (>= 3.4) Conflicts: gir1.2-mutter-2.91 Replaces: gir1.2-mutter-2.91 Priority: optional Section: introspection Filename: pool/main/m/mutter/gir1.2-mutter-3.0_3.4.1-5+b1_armhf.deb Size: 236346 SHA256: 4635e85d9e7243fd876b997b1cc4a687b4d614d582de6c56590bf8b213894ab0 SHA1: 9d79a04ed7512b7154a59925780bc12c2abd2179 MD5sum: 836b63bed2f4d2853f20bd0429fe05e1 Description: GObject introspection data for Mutter Mutter is a small window manager, using GTK+ and Clutter to do everything. . Mutter is the clutter-based evolution of Metacity, which, as the author says, is a "Boring window manager for the adult in you. Many window managers are like Marshmallow Froot Loops; Metacity is like Cheerios." . This package contains the GObject introspection data which may be used to generate dynamic bindings. Package: gir1.2-mx-1.0 Source: mx (1.4.6-1) Version: 1.4.6-1+b1 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 435 Depends: gir1.2-atk-1.0, gir1.2-clutter-1.0, gir1.2-cogl-1.0, gir1.2-coglpango-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-2.0, gir1.2-json-1.0, gir1.2-pango-1.0, libmx-1.0-2 (>= 1.4.1) Homepage: http://www.clutter-project.org/ Priority: optional Section: introspection Filename: pool/main/m/mx/gir1.2-mx-1.0_1.4.6-1+b1_armhf.deb Size: 344946 SHA256: aa7104c5d2a492fe191146d6103977fe97fb51a715f985647900d6223b0b06f8 SHA1: 224f72c0c34d3a2e04285b5329e3de86a146184c MD5sum: dba95e8f0d4724c406ad465f97e7b34d Description: GObject introspection data for the libmx library This package can be used by other packages using the GIRepository format to generate dynamic bindings for libmx. Package: gir1.2-nautilus-3.0 Source: nautilus Version: 3.4.2-1+build1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 297 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libnautilus-extension1a (>= 2.91) Conflicts: gir1.0-nautilus-3.0 Replaces: gir1.0-nautilus-3.0 Homepage: http://www.gnome.org/projects/nautilus/ Priority: optional Section: introspection Filename: pool/main/n/nautilus/gir1.2-nautilus-3.0_3.4.2-1+build1_armhf.deb Size: 261582 SHA256: 3241126199f09c3387b829f40ea160d65ef2f97fd2fac4c4b4090bd6762a80a2 SHA1: 03eb18dbe414b57c859ada873e54c3ef46c1641b MD5sum: 70e942b2a72d3f496cf7ddb11ea4087a Description: libraries for nautilus components - gir bindings Nautilus is the official file manager and graphical shell for the GNOME desktop. . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-networkmanager-1.0 Source: network-manager Version: 0.9.4.0-10 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 399 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, libnm-glib4 (>= 0.9.4.0), libnm-util2 (>= 0.9.4.0) Homepage: http://www.gnome.org/projects/NetworkManager/ Priority: optional Section: introspection Filename: pool/main/n/network-manager/gir1.2-networkmanager-1.0_0.9.4.0-10_armhf.deb Size: 258346 SHA256: e0f3ac59c132f75451a5cf11cb42da29127bfd66eec226f98bd911030315d92d SHA1: 79ad84338cb05f03b353a0b7e33e55519b0b7633 MD5sum: 1d87d64bc54c70717fde6988deb19f24 Description: GObject introspection data for NetworkManager NetworkManager is a system network service that manages your network devices and connections, attempting to keep active network connectivity when available. It manages ethernet, WiFi, mobile broadband (WWAN), and PPPoE devices, and provides VPN integration with a variety of different VPN services. . This package contains introspection data for NetworkManager. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-notify-0.7 Source: libnotify Version: 0.7.5-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 54 Depends: gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, libnotify4 (>= 0.7.3) Priority: optional Section: introspection Filename: pool/main/libn/libnotify/gir1.2-notify-0.7_0.7.5-1_armhf.deb Size: 19714 SHA256: 111a63bc2266d054d34af6f82a5565ac2363910de614e9b78304fc2f604bb8dc SHA1: 053099cc182503ab256bb6a88886adfd1fb2087f MD5sum: 64388d5b904b190a2dbc17c0ceb6e35a Description: sends desktop notifications to a notification daemon (Introspection files) A library that sends desktop notifications to a notification daemon, as defined in the Desktop Notifications spec. These notifications can be used to inform the user about an event or display some form of information without getting in the user's way. . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-packagekitglib-1.0 Source: packagekit Version: 0.7.6-3 Architecture: armhf Maintainer: Matthias Klumpp Installed-Size: 171 Depends: gir1.2-glib-2.0 (>= 0.10.1), libpackagekit-glib2-14 Homepage: http://www.packagekit.org Priority: optional Section: introspection Filename: pool/main/p/packagekit/gir1.2-packagekitglib-1.0_0.7.6-3_armhf.deb Size: 45870 SHA256: ced37213e4733ecf84fd5b926b2eeeb142159a214e7fea5a5646c7eb6cddcec5 SHA1: 9aa9ef70352dc52153da92ed5dbc18d9f0994f7d MD5sum: 109eb5331a45a4e4092976cfae824f0f Description: GObject introspection data for the PackageKit GLib library PackageKit allows performing simple software management tasks over a DBus interface e.g. refreshing the cache, updating, installing and removing software packages or searching for multimedia codecs and file handlers. . This package contains introspection data for the PackageKit GLib interface library. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-panelapplet-4.0 Source: gnome-panel Version: 3.4.2.1-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 244 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gconf-2.0, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libpanel-applet-4-0 (>= 3.4.1) Priority: optional Section: introspection Filename: pool/main/g/gnome-panel/gir1.2-panelapplet-4.0_3.4.2.1-4_armhf.deb Size: 210760 SHA256: 3eb5241beaddc0e969345cb9c449c5d0181e04b6b32b187a78636db2f07e2e19 SHA1: 76207181bc0f583faf161957528d059859d87df0 MD5sum: 1f12d5ab6defad01b785e70bff07329a Description: GObject introspection for the GNOME Panel Applet library This package contains introspection data for the libpanel-applet library. It can be used by languages supporting dynamic bindings with the GIRepository format to build GNOME panel applets in these languages. Package: gir1.2-pango-1.0 Source: pango1.0 Version: 1.30.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 223 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, libpango1.0-0 (>= 1.29.4) Conflicts: gir1.0-pango-1.0 Replaces: gir1.0-gtk-2.0 (<< 2.22), gir1.0-pango-1.0, gobject-introspection-repository Priority: optional Section: introspection Filename: pool/main/p/pango1.0/gir1.2-pango-1.0_1.30.0-1_armhf.deb Size: 151460 SHA256: e7c1d181cb3308a906a3f490c12643ea6c1640f34258c918ca0eaf6da8d95b1d SHA1: 2098cdcb196b4479c4282a6839220073de4bac30 MD5sum: 4e7ebe6877e496c4a87e8b03150ab113 Description: Layout and rendering of internationalized text - gir bindings Pango is a library for layout and rendering of text, with an emphasis on internationalization. Pango can be used anywhere that text layout is needed. however, most of the work on Pango-1.0 was done using the GTK+ widget toolkit as a test platform. Pango forms the core of text and font handling for GTK+-2.0. . Pango is designed to be modular; the core Pango layout can be used with four different font backends: - Core X windowing system fonts - Client-side fonts on X using the Xft library - Direct rendering of scalable fonts using the FreeType library - Native fonts on Microsoft backends . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-peas-1.0 Source: libpeas Version: 1.4.0-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 143 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libpeas-1.0-0 (>= 1.4.0) Conflicts: gir1.0-libpeas-1.0, gir1.0-peas-1.0 Replaces: gir1.0-libpeas-1.0, gir1.0-peas-1.0 Homepage: http://live.gnome.org/Libpeas Priority: extra Section: introspection Filename: pool/main/libp/libpeas/gir1.2-peas-1.0_1.4.0-2_armhf.deb Size: 106038 SHA256: 4fbaa296bbf429e276d74fbb88d5717f0251115bce52d6bce8dbf117d7f89271 SHA1: e65b07e36c54757435fda7068f0b04602fb476b0 MD5sum: 6dca46eb7b76e1b72672a2a73addef39 Description: Application plugin library (introspection files) libpeas is a library that allows applications to support plugins. . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-polkit-1.0 Source: policykit-1 Version: 0.105-3 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 62 Depends: gir1.2-glib-2.0, libpolkit-agent-1-0 (>= 0.105), libpolkit-gobject-1-0 (>= 0.105) Homepage: http://hal.freedesktop.org/docs/PolicyKit/ Priority: optional Section: introspection Filename: pool/main/p/policykit-1/gir1.2-polkit-1.0_0.105-3_armhf.deb Size: 15024 SHA256: 19e1d5304bc824acb3dfa96f5383d7682634872fe0c05e0dd74d3ad4bda39618 SHA1: 3af87181c6cb7180fa822225d8788d22c4396840 MD5sum: 830792b538d84d3e9c2ce294881c686a Description: GObject introspection data for PolicyKit PolicyKit is a toolkit for defining and handling the policy that allows unprivileged processes to speak to privileged processes. . This package contains introspection data for PolicyKit. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-poppler-0.18 Source: poppler Version: 0.18.4-6+deb7u5 Architecture: armhf Maintainer: Loic Minier Installed-Size: 58 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, libpoppler-glib8 (>= 0.18) Homepage: http://poppler.freedesktop.org/ Priority: optional Section: introspection Filename: pool/main/p/poppler/gir1.2-poppler-0.18_0.18.4-6+deb7u5_armhf.deb Size: 29544 SHA256: 0e969343d3b46e6ec063f9d05027a31a4ddb7dfcc65e130022d07b1e4ef5a054 SHA1: a2aae49f55ea06b55d8554a6fc02cc50a0a82591 MD5sum: 189cc98f62572d381fce374896ef8fc3 Description: GObject introspection data for poppler-glib Poppler is a PDF rendering library based on Xpdf PDF viewer. . This package contains introspection data for poppler-glib. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-rb-3.0 Source: rhythmbox (2.97-2.1) Version: 2.97-2.1+b1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 491 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gst-plugins-base-0.10, gir1.2-gstreamer-0.10, gir1.2-gtk-3.0, gir1.2-pango-1.0, librhythmbox-core6 (>= 2.97) Homepage: http://projects.gnome.org/rhythmbox/ Priority: optional Section: introspection Filename: pool/main/r/rhythmbox/gir1.2-rb-3.0_2.97-2.1+b1_armhf.deb Size: 359202 SHA256: 7826ec697c230796cef2b0e7a1fc7820998b32561a20d67d55e9e2e52956bc21 SHA1: 4b97f08357b1a0ef7b5f37ed936bc620d42df9ed MD5sum: 4b2c552b0f1ff6143e7b49acbba890c0 Description: GObject introspection data for the rhythmbox music player Rhythmbox is a very easy to use music playing and management program which supports a wide range of audio formats (including mp3 and ogg). . This package contains introspection data for the Rhythmbox core support libraries. It can be used to write and execute plugins for rhythmbox in interpreted languages supporting it. Package: gir1.2-rest-0.7 Source: librest Version: 0.7.12-3 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 52 Depends: gir1.2-glib-2.0, librest-0.7-0 (>= 0.7.10) Homepage: http://www.gnome.org/ Priority: optional Section: introspection Filename: pool/main/libr/librest/gir1.2-rest-0.7_0.7.12-3_armhf.deb Size: 9428 SHA256: 9e1fa64e833a01e04c20178175d901a6c428b9605a9744e8b55954c756b81f5d SHA1: 72bfc627fa282ff94de063c412e4422108cd6345 MD5sum: 411006499cb76f20c527ce55e7afa539 Description: REST service access library (introspection files) This library was designed to make it easier to access web services that claim to be "RESTful". It includes convenience wrappers for libsoup and libxml to ease remote use of the RESTful API. . This package contains introspection data. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-rest-extras-0.7 Source: librest Version: 0.7.12-3 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 40 Depends: gir1.2-freedesktop, gir1.2-glib-2.0, gir1.2-rest-0.7 (= 0.7.12-3), librest-extras-0.7-0 Homepage: http://www.gnome.org/ Priority: optional Section: introspection Filename: pool/main/libr/librest/gir1.2-rest-extras-0.7_0.7.12-3_armhf.deb Size: 5510 SHA256: 161b34fdf0b5a9098431635b61db16ce019392050f896b452e85c5411f9f8c55 SHA1: 31435d24b97ff869056779823cf0be148a3bb1cd MD5sum: 8d7c98be2a6f1d36dc18eeb271ca47f1 Description: REST service access library extra components (introspectionfiles) This library was designed to make it easier to access web services that claim to be "RESTful". It includes convenience wrappers for libsoup and libxml to ease remote use of the RESTful API. This extra package provides Facebook and Flickr support. . This package contains introspection data . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-rsvg-2.0 Source: librsvg Version: 2.36.1-2+deb7u3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 160 Depends: gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, librsvg2-2 (>= 2.35.2) Homepage: http://librsvg.sourceforge.net/ Priority: optional Section: introspection Filename: pool/main/libr/librsvg/gir1.2-rsvg-2.0_2.36.1-2+deb7u3_armhf.deb Size: 160590 SHA256: 2b5d7d176f506c5724ddb49df2544d61a8c69c6fd56bdfbaa25547ec68f2006c SHA1: 3b4b06cac18632e34db242d51b5ab7ef2d4288d2 MD5sum: 5e85cf28e98fb0e422c21099fb63e4b7 Description: gir files for renderer library for SVG files The rsvg library is an efficient renderer for Scalable Vector Graphics (SVG) pictures. . This package contains gobject introspection information. Package: gir1.2-skk-1.0 Source: libskk Version: 0.0.12-3 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 188 Depends: gir1.2-glib-2.0 Homepage: https://github.com/ueno/libskk Priority: optional Section: introspection Filename: pool/main/libs/libskk/gir1.2-skk-1.0_0.0.12-3_armhf.deb Size: 68722 SHA256: 56688e7924a9bdc2962c10fba04bea662edb9f0950359d3c4ef9de47a8f4880c SHA1: 7ed69dd97be8d45afb9402a5db69e056881fe8bc MD5sum: 928829c9d31a4a819f05aed44c5275e7 Description: library to deal with Japanese kana-kanji conversion method - introspection data Library that provides GObject-based interface of Japanese input methods. Currently it supports SKK (Simple Kana Kanji) with various typing rules including romaji-to-kana, AZIK, ACT, TUT-Code, T-Code, and NICOLA. . This package contains the GObject introspection data. Package: gir1.2-socialweb-client Source: libsocialweb Version: 0.25.20-2.1 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 46 Depends: gir1.2-glib-2.0, libsocialweb-client2 (>= 0.25.17) Homepage: http://gnome.org/ Priority: optional Section: introspection Filename: pool/main/libs/libsocialweb/gir1.2-socialweb-client_0.25.20-2.1_armhf.deb Size: 7826 SHA256: b25d646bc9754ecd0c00a45abb278fbd37968f0144a35c266bea625800a31adb SHA1: de5f79bfdf9920861a4647f78a24942071b09cdb MD5sum: e67b1b4f44ace2b447626f6512919a0d Description: client library to access socialweb server (introspection files) This library allows programmatic access to information available from the socialweb social data server. . This package contains introspection data. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-soup-2.4 Source: libsoup2.4 Version: 2.38.1-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 142 Depends: gir1.2-glib-2.0, libsoup-gnome2.4-1 (>= 2.27.4), libsoup2.4-1 (>= 2.37.92) Conflicts: gir1.0-soup-2.4, gir1.0-soup-gnome-2.4 Provides: gir1.2-soup-gnome-2.4 Priority: optional Section: introspection Filename: pool/main/libs/libsoup2.4/gir1.2-soup-2.4_2.38.1-3_armhf.deb Size: 57964 SHA256: 09ec54650c2c913edc03a7a3cbff7e7ea8ad038574093420beaf1d66bb3dae52 SHA1: 94a52054593140d75e426789693b6445bf9afa28 MD5sum: 3737f522f8f23df492f5feb3daaa4b83 Description: GObject introspection data for the libsoup HTTP library This package contains introspection data for the libsoup HTTP library. . libsoup uses the Glib main loop and is designed to work well with GTK+ applications. This enables GNOME applications to access HTTP servers on the network in a completely asynchronous fashion, very similar to the GTK+ programming model (a synchronous operation mode is also supported for those who want it). . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-sugarext-1.0 Source: sugar-toolkit-gtk3 Version: 0.96.1-2 Architecture: armhf Maintainer: Debian OLPC Installed-Size: 55 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libsugarext0 Homepage: http://wiki.sugarlabs.org/go/Sugar Priority: optional Section: introspection Filename: pool/main/s/sugar-toolkit-gtk3/gir1.2-sugarext-1.0_0.96.1-2_armhf.deb Size: 13726 SHA256: 3f65b2b79cc0071511b4b1eeb6a7d1d4b21a3c36081442cfa9210cf525e5958a SHA1: baf9786cfddb630d4079fb7dc8b0a9ce1655a93b MD5sum: eba2b6d1a08cba783adb391ddba0c9cf Description: GTK3-based Sugar toolkit - GObject introspection Sugar is a desktop environment. It is conceived as a platform upon which children learn with Sugar Activities. The platform provides mechanisms for collaboration, reflection, and exploration. Sugar Activities cover a broad range of applications: browsing, drawing, composing, writing, programming, etc. . The sugar3 library contains a set of widgets to build HIG compliant applications and interfaces to interact with system services like presence and the datastore. . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-telepathyglib-0.12 Source: telepathy-glib Version: 0.18.2-2 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 243 Depends: gir1.2-glib-2.0, libtelepathy-glib0 (>= 0.18.0) Conflicts: gir1.0-telepathyglib-0.12 Replaces: gir1.0-telepathyglib-0.12 Homepage: http://telepathy.freedesktop.org/wiki/ Priority: optional Section: introspection Filename: pool/main/t/telepathy-glib/gir1.2-telepathyglib-0.12_0.18.2-2_armhf.deb Size: 58886 SHA256: d10d50f79c51f7887bf65fbffa93a202ffc97d282ae3fa052e145659fcaf61b7 SHA1: 094567f08a0476b1efa4b9623e2c1fe0ca8f3316 MD5sum: a5ef34be268987247a72506e0636975d Description: GLib Telepathy connection manager library (GObject-Introspection) This package contains introspection data for telepathy-glib, which can be used to generate dynamic bindings for Telepathy components. . These GObject-Introspection bindings are considered to be experimental by the maintainers of telepathy-glib, and might receive incompatible changes until at least telepathy-glib 0.14.0. . Telepathy is a D-Bus framework for unifying real time communication, including instant messaging, voice calls and video calls. It abstracts differences between protocols to provide a unified interface for applications. Package: gir1.2-telepathylogger-0.2 Source: telepathy-logger Version: 0.4.0-1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 106 Depends: gir1.2-glib-2.0, gir1.2-telepathyglib-0.12, libtelepathy-logger2 (>= 0.2.10) Homepage: http://telepathy.freedesktop.org/wiki/ Priority: optional Section: introspection Filename: pool/main/t/telepathy-logger/gir1.2-telepathylogger-0.2_0.4.0-1_armhf.deb Size: 71116 SHA256: 471e135d2d7ee4e60ba200659b95f83249740c9f4ea73617199cbd5775e68181 SHA1: b2457cb85b0c16cc7ffe24709808cbde82456583 MD5sum: a6c67075268fe20d59a2869db2c4ea42 Description: Telepathy logger service - introspection This package contains the introspection data for Telepathy logger. . Telepathy is a D-Bus framework for unifying real time communication, including instant messaging, voice calls and video calls. It abstracts differences between protocols to provide a unified interface for applications. Package: gir1.2-totem-1.0 Source: totem Version: 3.0.1-8 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 214 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, gir1.2-totem-plparser-1.0, libtotem0 (<< 3.1), libtotem0 (>= 3.0.1-8) Homepage: http://www.gnome.org/projects/totem/ Priority: optional Section: introspection Filename: pool/main/t/totem/gir1.2-totem-1.0_3.0.1-8_armhf.deb Size: 175920 SHA256: 69bfbe9136d2db90d725c3af5782981431bc0aebcfaeef6e33f91aea0a02a22c SHA1: 6d36ae0c3d5ffb8fe2c6bdd32133f88431c6ad72 MD5sum: 531248c7ae46e8cda4a0bd1c315bee13 Description: GObject introspection data for Totem media player Totem is a simple yet featureful media player for GNOME which can read a large number of file formats. . This package contains introspection data for the Totem media player. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-totem-plparser-1.0 Source: totem-pl-parser Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 78 Depends: gir1.2-glib-2.0, libtotem-plparser17 (>= 2.32.2) Conflicts: gir1.0-totem-plparser-1.0 Replaces: gir1.0-totem-plparser-1.0 Priority: optional Section: introspection Filename: pool/main/t/totem-pl-parser/gir1.2-totem-plparser-1.0_3.4.2-1_armhf.deb Size: 41136 SHA256: d6dc99477973a13fa7d50f74721c6f5dc9a3e59faef5d2c90bbcb9d2e32beffa SHA1: d0ea9cd7e4add5273e02100ecc8c218be9ce3d19 MD5sum: c676d4e9fe2dd6d72037429af2f80c55 Description: GObject introspection data for the Totem Playlist Parser library This package contains introspection data for the Totem Playlist Parser library. . totem-pl-parser is a simple GObject-based library to parse a host of playlist formats, as well as save those. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-tracker-0.14 Source: tracker Version: 0.14.1-3 Architecture: armhf Maintainer: Michael Biebl Installed-Size: 331 Depends: gir1.2-glib-2.0, libtracker-extract-0.14-0 (>= 0.12.8), libtracker-miner-0.14-0 (>= 0.14.0) Homepage: http://projects.gnome.org/tracker/ Priority: optional Section: introspection Filename: pool/main/t/tracker/gir1.2-tracker-0.14_0.14.1-3_armhf.deb Size: 272108 SHA256: b9ebccac0921b3b9b1e58a4d66a03f65c66f6d2eaab1946a2f462784f0522d03 SHA1: dd1a8ef1252f0cf81dfedb5399dcb87e059168b9 MD5sum: 10667bd3d44c27cb060ac94e18162a91 Description: GObject introspection data for Tracker This package contains introspection data for libtracker-extract, libtracker-miner and libtracker-sparql. . It can be used by packages using the GIRepository format to generate dynamic bindings . Tracker is an advanced framework for first class objects with associated metadata and tags. It provides a one stop solution for all metadata, tags, shared object databases, search tools and indexing. Package: gir1.2-unique-3.0 Source: libunique3 Version: 3.0.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 67 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libunique-3.0-0 (>= 2.90.1) Homepage: http://live.gnome.org/LibUnique Priority: optional Section: libs Filename: pool/main/libu/libunique3/gir1.2-unique-3.0_3.0.2-1_armhf.deb Size: 32760 SHA256: b5a3ad8c5cc616b2a53517bb547537f43b6789e8194c6e489a0e4c365d5582dd SHA1: 827a538897569f9718795087256d34835f84e1a6 MD5sum: 066b61f7faecf926b31b42962b51c389 Description: GObject introspection data for the Unique library This package contains introspection data for LibUnique, a library for writing single instance applications. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-upowerglib-1.0 Source: upower Version: 0.9.17-1 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 55 Depends: gir1.2-glib-2.0, libupower-glib1 (>= 0.9.11) Homepage: http://upower.freedesktop.org/ Priority: optional Section: introspection Filename: pool/main/u/upower/gir1.2-upowerglib-1.0_0.9.17-1_armhf.deb Size: 13676 SHA256: 5834895c166d2df2af3b35a18ba7d23eef58d6fe31f2788138afe54332317991 SHA1: da0c604536ac3b6671b6acbb9d1deb604a975835 MD5sum: 0c0a556de7dec3364eb291a81bf916d4 Description: GObject introspection data for upower upower provides an interface to enumerate power sources on the system and control system-wide power management. Any application can access the org.freedesktop.UPower service on the system message bus. Some operations (such as suspending the system) are restricted using PolicyKit. . This package contains introspection data for upower. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-urfkill-glib0 Source: urfkill Version: 0.3.0-1 Architecture: armhf Maintainer: Keng-Yu Lin Installed-Size: 41 Depends: liburfkill-glib0 Homepage: http://www.freedesktop.org/wiki/Software/urfkill Priority: optional Section: libs Filename: pool/main/u/urfkill/gir1.2-urfkill-glib0_0.3.0-1_armhf.deb Size: 4180 SHA256: 079a9c7a1f790535496df35bc0c0b6f40b40dd400674bb8c8b682803afb7a27d SHA1: bc832f4d66f567c66447e9c4a93395fdd6295da5 MD5sum: 51965e6181579e7a26958deba78b29e3 Description: GObject introspection data for the urfkill library This package contains introspection data for liburfkill. It provides the management of the wireless killswitches . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-v-sim-1.0 Source: v-sim Version: 3.6.0-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 117 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-2.0, gir1.2-pango-1.0 Homepage: http://inac.cea.fr/L_Sim/V_Sim/index.en.html Priority: optional Section: libs Filename: pool/main/v/v-sim/gir1.2-v-sim-1.0_3.6.0-2_armhf.deb Size: 39410 SHA256: 773e87d1f46fe5b370274c141936eb8586d6f7f0eb2236956d95b6900cdd6bdb SHA1: 83a365ada2b366bb9c1b24acabbc93ae37f8058f MD5sum: 82c074895071a785e9a34f509fcb3ec4 Description: Visualize atomic structures (gir bindings) V_Sim visualizes atomic structures such as crystals, grain boundaries, molecules and so on (either in binary format, or in plain text format). . This package can be used by other packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-vte-2.90 Source: vte3 Version: 1:0.32.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 423 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libvte-2.90-9 (>= 1:0.27.90) Priority: optional Section: introspection Filename: pool/main/v/vte3/gir1.2-vte-2.90_0.32.2-1_armhf.deb Size: 387734 SHA256: e2e4cd76a8aa9624fdda1b0f2cdbadf462646171330b62f7b050e73ffbdb1e4f SHA1: 79a03e79990e63520702c963678b9a5c6e63eed1 MD5sum: 2f5c86855bf6a49b1fddb37b5a7348a9 Description: GObject introspection data for the VTE library This package contains introspection data for VTE, a terminal emulator widget for GTK+. . It can be used by interpreters understanding the GIRepository format to write programs using the VTE widget for GTK+ 3.0. Package: gir1.2-webkit-1.0 Source: webkit Version: 1.8.1-3.4+rpi1 Architecture: armhf Maintainer: Debian WebKit Maintainers Installed-Size: 315 Depends: gir1.2-javascriptcoregtk-1.0 (= 1.8.1-3.4+rpi1), gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-2.0, gir1.2-pango-1.0, gir1.2-soup-2.4, libjavascriptcoregtk-1.0-0 (>= 1.5.1), libwebkitgtk-1.0-0 (>= 1.8.0) Conflicts: gir1.0-webkit-1.0, gobject-introspection-repository Homepage: http://webkitgtk.org/ Priority: optional Section: introspection Filename: pool/main/w/webkit/gir1.2-webkit-1.0_1.8.1-3.4+rpi1_armhf.deb Size: 61656 SHA256: e3d68c8e60c6234ff37dafb8bfbd1ec43fdb73b1d941a6cb496ced81e6a37c91 SHA1: aa14d50d2d372adc4f124cb457ab69421f8070aa MD5sum: 83b02d8d5466c2d07d35508deb8494ae Description: GObject introspection data for the WebKit library This package contains introspection data for WebKit, a web content engine for GTK+. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-webkit-3.0 Source: webkit Version: 1.8.1-3.4+rpi1 Architecture: armhf Maintainer: Debian WebKit Maintainers Installed-Size: 315 Depends: gir1.2-javascriptcoregtk-3.0 (= 1.8.1-3.4+rpi1), gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0 (>= 3.0.0), gir1.2-pango-1.0, gir1.2-soup-2.4, libjavascriptcoregtk-3.0-0 (>= 1.5.1), libwebkitgtk-3.0-0 (>= 1.8.0) Conflicts: gir1.0-webkit-3.0, gobject-introspection-repository Homepage: http://webkitgtk.org/ Priority: optional Section: introspection Filename: pool/main/w/webkit/gir1.2-webkit-3.0_1.8.1-3.4+rpi1_armhf.deb Size: 61820 SHA256: 2e430425e23d3181fd7ad872083aa665939219351c5f7007f822e3e53bbcc600 SHA1: 31f055904e6a06bce2eab8d02eec0b7efd76f9f1 MD5sum: b62925eaddac360bfcf225ce9c075270 Description: GObject introspection data for the WebKit library This package contains introspection data for WebKit, a web content engine for GTK+. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-wnck-3.0 Source: libwnck3 Version: 3.4.2-1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 110 Depends: gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, libwnck-3-0 (>= 3.4.0) Priority: optional Section: introspection Filename: pool/main/libw/libwnck3/gir1.2-wnck-3.0_3.4.2-1_armhf.deb Size: 59792 SHA256: 9af62ca0c98a7a88ed7209df8ab717d9eb77f743a4349eebd85d5109f3860bbf SHA1: c789397601081b47e7433b4d28a0b484b4d55f0e MD5sum: ca8237273f5673403375863e9dd370d9 Description: GObject introspection data for the WNCK library This package contains introspection data for Window Navigator Construction Kit, a library to interact with window managers. . It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gir1.2-xkl-1.0 Source: libxklavier Version: 5.2.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 53 Depends: libxklavier16 (= 5.2.1-1), gir1.2-freedesktop, gir1.2-glib-2.0 Homepage: http://www.freedesktop.org/wiki/Software/LibXklavier Priority: optional Section: introspection Filename: pool/main/libx/libxklavier/gir1.2-xkl-1.0_5.2.1-1_armhf.deb Size: 13554 SHA256: 59761cb2525c0b00acb08b9dec43932b9724b54bd0bd30161db7be75f6d8250f SHA1: d10f56a4f317b6e588accea809558a1dcf802590 MD5sum: 47f90d2fdddcb8f7b916e82a97769368 Description: X Keyboard Extension high-level API - introspection data Libxklavier provides programmers an API for high(er) level access to the X Keyboard Extension, to ease creating XKB related applications. . This package provides introspection data for libxklavier. It can be used by packages using the GIRepository format to generate dynamic bindings. Package: gis-data Source: debian-gis Version: 0.0.2 Installed-Size: 46 Maintainer: Debian GIS Project Architecture: all Depends: gis-tasks (= 0.0.2) Recommends: gmt-coast-low, gmt-gshhs-low Suggests: gmt-gshhs-full, gmt-gshhs-high Size: 4588 SHA256: 8d539ed48e528945f0b4cf12b1f1e65f0087f4bcd58e801f59b48419abee37fe SHA1: facc8b3607903a66207465fbca2c271da8efd45a MD5sum: 014986bd051c8198c01c8d6b3d3b3530 Description: Debian GIS data This metapackage will install some packages providing data that can be used by different GIS applications. Homepage: http://wiki.debian.org/DebianGis Section: misc Priority: extra Filename: pool/main/d/debian-gis/gis-data_0.0.2_all.deb Package: gis-gps Source: debian-gis Version: 0.0.2 Installed-Size: 46 Maintainer: Debian GIS Project Architecture: all Depends: gis-tasks (= 0.0.2) Recommends: foxtrotgps, gpsbabel, gpsd, gpsd-clients, gpsman, gpstrans, gpx2shp, marble, mtkbabel, navit, navit-gui-gtk, obdgpslogger, openbmap-logger, qlandkartegt Suggests: gpscorrelate, gpscorrelate-gui, gpsdrive, navit-graphics-gtk-drawing-area | navit-graphics-qt-qpainter, qlandkartegt-garmin Size: 4700 SHA256: ebda732be24a1f225724ffae41f071da20f5bfa113d1bd7703fd418d3790a97b SHA1: 868c6bd298306897f5535dbaaeb6d6dc10210af8 MD5sum: 4f76d8b5030cbb4f7c6c6baba61e075b Description: GPS related programs Set of Debian packages which are dealing with GPS devices and data. Homepage: http://wiki.debian.org/DebianGis Tag: field::geography, role::metapackage Section: misc Priority: extra Filename: pool/main/d/debian-gis/gis-gps_0.0.2_all.deb Package: gis-osm Source: debian-gis Version: 0.0.2 Installed-Size: 46 Maintainer: Debian GIS Project Architecture: all Depends: gis-tasks (= 0.0.2) Recommends: emerillon, gosmore, gpsprune, gpxviewer, imposm, josm, libgeo-osm-tiles-perl, libjs-openlayers, libosmpbf-java, maptool, merkaartor, mkgmap, mkgmapgui, monav, osm2pgsql, osmjs, osmosis, osmpbf-bin, python-imposm-parser, python-osmgpsmap, qlandkartegt, routino, tilecache, tilelite, tilestache, viking Suggests: cascadenik, gebabbel, gpscorrelate, gpscorrelate-gui, jmapviewer, josm-plugins, libjs-leaflet, libmemphis-0.2-dev, libmemphis-doc, libosm-gary68-perl, libreadosm-dev, openstreetmap-map-icons-classic | openstreetmap-map-icons-scalable | openstreetmap-map-icons-square, osmembrane, osmosis-plugin-borderextract, osrm, qmapcontrol, sjjb-map-icons, tkosm Size: 4896 SHA256: 14b33fa86106e035a094f97b10f5232e9ca280d2e4ed4fca229377a225da8bcd SHA1: 245eb8f1303d2ee8ab9aed5d586e30a983b90618 MD5sum: a7da902cf4c0dfc59125d7c9a3731c20 Description: OpenStreetMap related programs Set of Debian packages which are dealing with OpenStreetMap data. Homepage: http://wiki.debian.org/DebianGis Tag: field::geography, role::metapackage Section: misc Priority: extra Filename: pool/main/d/debian-gis/gis-osm_0.0.2_all.deb Package: gis-remotesensing Source: debian-gis Version: 0.0.2 Installed-Size: 46 Maintainer: Debian GIS Project Architecture: all Depends: gis-tasks (= 0.0.2) Recommends: dans-gdal-scripts, gdal-bin, libepr-api2-dev, libgdal1-dev, libossim-dev, libossim1, ossim-core, python-epr, python-gdal Suggests: adore-doris, best, bestgui, doris, eolisa, getorb, giant, gmtsar, googleearth-package, gsdview, imcorr, mapready, nco, ncview, nest, netcdf-bin, openev, opticks, orfeo, points2grid, polsarpro, pyaps, roipac, snaphu, varres Size: 4836 SHA256: 2c04f06f908d64dcf8adc89fc63e97a321248d732fd87452fccf7761dcc7bb8f SHA1: 3962e0d0f608d03e153964660a149daf07f71b81 MD5sum: 5677e78b1f5f9bc905e77cd00177d1f7 Description: Remote sensing and earth observation Debian packages which are dealing with Remote Sensing (for instance Synthetic Aperture Radar -- SAR) processing (interferometry, polarimetry, data visualization, etc) and earth observation. Homepage: http://wiki.debian.org/DebianGis Section: misc Priority: extra Filename: pool/main/d/debian-gis/gis-remotesensing_0.0.2_all.deb Package: gis-statistics Source: debian-gis Version: 0.0.2 Installed-Size: 46 Maintainer: Debian GIS Project Architecture: all Depends: gis-tasks (= 0.0.2) Recommends: r-cran-mapdata, r-cran-mapproj, r-cran-maps, r-cran-sp Size: 4584 SHA256: 014851a74e2c49cfd723121a1be1cf9e8b20ec5668a09ec0904113d4345979ee SHA1: 5597e741023f953a7f7e4c6ee1455152b1837efc MD5sum: 0a0f83fde86fdb9dd7b4d41707594098 Description: Statistics with geographical data Set of Debian packages which are useful for doing statistics with geographical data. Homepage: http://wiki.debian.org/DebianGis Tag: field::geography, field::statistics, role::metapackage Section: misc Priority: extra Filename: pool/main/d/debian-gis/gis-statistics_0.0.2_all.deb Package: gis-tasks Source: debian-gis Version: 0.0.2 Installed-Size: 37 Maintainer: Debian GIS Project Architecture: all Depends: tasksel Size: 3640 SHA256: c5e95fb67e01480f2c1ada72665dab7b04d4291b235126da4bd750f8f6f01df5 SHA1: c2144140421a13116d4c42843ff3b245c7576e2a MD5sum: 9917ed3602138c6e5d826b155d1130fb Description: Debian GIS tasks for tasksel This package provides Debian GIS tasks in tasksel. . These tasks are described in detail at http://blends.alioth.debian.org/gis/tasks/ Homepage: http://wiki.debian.org/DebianGis Section: misc Priority: extra Filename: pool/main/d/debian-gis/gis-tasks_0.0.2_all.deb Package: gis-web Source: debian-gis Version: 0.0.2 Installed-Size: 46 Maintainer: Debian GIS Project Architecture: all Depends: gis-tasks (= 0.0.2) Recommends: cgi-mapserver, mapserver-bin, php5-mapscript, python-mapscript, qgis-mapserver, tilecache, tilelite, tilestache, twms Suggests: musmap, pywps, tilemill, tinyows Size: 4730 SHA256: b0ec4264f3cf36651c249bacbd5dd41f1ffc77794b316fc932052a9e852f1520 SHA1: 5055c0ab0144e0c582b4135785a69e0b87a0eb7f MD5sum: 55b5e2dc4965e061f7665e4409cecfaf Description: Present geographic information via web map server Debian packages which are dealing with geographical information to be presented for the web on so called map tile servers. These are pretty useful when trying to setup an OpenStreetMap tile server but not restricted to OpenStreetMap data only. Homepage: http://wiki.debian.org/DebianGis Tag: field::geography, role::metapackage Section: misc Priority: extra Filename: pool/main/d/debian-gis/gis-web_0.0.2_all.deb Package: gis-workstation Source: debian-gis Version: 0.0.2 Installed-Size: 46 Maintainer: Debian GIS Project Architecture: all Depends: gis-tasks (= 0.0.2) Recommends: avce00, e00compr, gdal-bin, geographiclib-tools, geoip-bin, geotiff-bin, gmt, gpsmanshp, grace, grass, h5utils, libgdal1-dev, libgeo-point-perl, libgeographiclib-dev, libjts-java, liblas-bin, libshp-dev, mapnik-utils, ogdi-bin, openscenegraph, osgearth, ossim-core, postgis, postgresql-9.1-postgis, python-gdal, python-osmgpsmap, python-pyproj, qgis, qgis-plugin-grass, rasterlite-bin, spatialite-bin, thuban Suggests: capaware, drawmap, earth3d, googleearth-package, grass-doc, libgdal1-1.9.0-grass, libgeo-proj4-perl, libkml-java, mapnik-viewer, nco, ncview, netcdf-bin, opencpn, openjump, proj, python-epr, python-kml, python-mapnik, python-pyshp, roadmap-gtk2, roadmap-qt, roeadmap, s3dosm, saga, spatialite-gui, totalopenstation, vtp Size: 4932 SHA256: aba591d40c6b76226ae0bce2f36484b00509f5a1b5f2d9f524c4a2498f3f2f08 SHA1: 7001d34d92aca19028346a01edf66471d54e4948 MD5sum: 9a025e2109a83824893debb36cc2d677 Description: Geographic Information Systems (GIS) workstation This task sets up your system to be a GIS workstation to process geographical information and make maps. Homepage: http://wiki.debian.org/DebianGis Tag: field::geography, role::metapackage Section: misc Priority: extra Filename: pool/main/d/debian-gis/gis-workstation_0.0.2_all.deb Package: git Version: 1:1.7.10.4-1+wheezy6+rpi1 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 11168 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.2.0), perl-modules, liberror-perl, git-man (>> 1:1.7.10.4), git-man (<< 1:1.7.10.4-.) Recommends: patch, less, rsync, ssh-client Suggests: gettext-base, git-daemon-run | git-daemon-sysvinit, git-doc, git-el, git-arch, git-cvs, git-svn, git-email, git-gui, gitk, gitweb Conflicts: git-core (<< 1:1.5.2~rc3-2.) Breaks: cogito (<= 0.18.2+), git-buildpackage (<< 0.4.38), git-core (<< 1:1.7.0.4-1.), gitosis (<< 0.2+20090917-7), gitpkg (<< 0.15), gitweb (<< 1:1.7.4~rc1), guilt (<< 0.33), qgit (<< 1.5.5), stgit (<< 0.15), stgit-contrib (<< 0.15) Replaces: cogito (<< 0.16rc2-0), git-core (<< 1:1.7.0.4-1.), gitweb (<< 1:1.7.4~rc1) Provides: git-completion, git-core Homepage: http://git-scm.com/ Priority: optional Section: vcs Filename: pool/main/g/git/git_1.7.10.4-1+wheezy6+rpi1_armhf.deb Size: 5868282 SHA256: f9e84b573616286b226a615ad89bf4ab84ee5cfc3635ee150b91d4c11a74da5e SHA1: 93de0c6cb41d8c95250cf8a2b3726909ee6267a7 MD5sum: b880b30b79207f379c841cf4dc4ceea6 Description: fast, scalable, distributed revision control system Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides the git main components with minimal dependencies. Additional functionality, e.g. a graphical user interface and revision tree visualizer, tools for interoperating with other VCS's, or a web interface, is provided as separate git* packages. Package: git-all Source: git Version: 1:1.7.10.4-1+wheezy6+rpi1 Architecture: all Maintainer: Gerrit Pape Installed-Size: 474 Depends: git (>> 1:1.7.10.4), git (<< 1:1.7.10.4-.), git-doc, git-el, git-arch, git-cvs, git-svn, git-email, git-gui, gitk, gitweb Recommends: git-daemon-run | git-daemon-sysvinit Homepage: http://git-scm.com/ Priority: optional Section: vcs Filename: pool/main/g/git/git-all_1.7.10.4-1+wheezy6+rpi1_all.deb Size: 450580 SHA256: 2d94eaba75826a5ff8f32d6b55b23e464df6d86fc93af01d0299779ab1e9f946 SHA1: 814f9cd28386b558ed88cd715e636a26acf8f487 MD5sum: 2de2769ff70cf306c4cdd2dafa4576a2 Description: fast, scalable, distributed revision control system (all subpackages) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This is a dummy package which brings in all subpackages. Package: git-annex Version: 3.20120629+deb7u1 Architecture: armhf Maintainer: Joey Hess Installed-Size: 23195 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libpcre3 (>= 8.10), git (>= 1:1.7.7), uuid, rsync, wget | curl, openssh-client (>= 1:5.6p1) Recommends: lsof Suggests: graphviz, bup, gnupg Homepage: http://git-annex.branchable.com/ Priority: optional Section: utils Filename: pool/main/g/git-annex/git-annex_3.20120629+deb7u1_armhf.deb Size: 5679302 SHA256: 1e5d49d4d06b24bd2ec6cbacbe3c83baf6be890cecdfc3ede1dd762841ac6873 SHA1: f857cb68bac2aec52e9d32c11af4b1bca89250e6 MD5sum: 807eaad3507a4cc5d5b1adf99b24571b Description: manage files with git, without checking their contents into git git-annex allows managing files with git, without checking the file contents into git. While that may seem paradoxical, it is useful when dealing with files larger than git can currently easily handle, whether due to limitations in memory, time, or disk space. . Even without file content tracking, being able to manage files with git, move files around and delete files with versioned directory trees, and use branches and distributed clones, are all very handy reasons to use git. And annexed files can co-exist in the same git repository with regularly versioned files, which is convenient for maintaining documents, Makefiles, etc that are associated with annexed files but that benefit from full revision control. Package: git-arch Source: git Version: 1:1.7.10.4-1+wheezy6+rpi1 Architecture: all Maintainer: Gerrit Pape Installed-Size: 528 Depends: git (>> 1:1.7.10.4), git (<< 1:1.7.10.4-.), tla Suggests: git-doc Replaces: cogito (<< 0.16rc2-0) Homepage: http://git-scm.com/ Priority: optional Section: vcs Filename: pool/main/g/git/git-arch_1.7.10.4-1+wheezy6+rpi1_all.deb Size: 465718 SHA256: b510663af26a3f930805efea079c146e7d97589072aabd491e7f26d38319323e SHA1: 0243a3aa8fc79d1eb9ea610001c2bb61e4b4182c MD5sum: 72c88bf09a2a0b73447274837c34fed7 Description: fast, scalable, distributed revision control system (arch interoperability) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides tools for importing development history from arch repositories. Package: git-buildpackage Version: 0.6.0~git20120601 Installed-Size: 2443 Maintainer: Guido Günther Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), devscripts (>= 2.10.66~), git (>= 1:1.7.9.1-1~), python-dateutil Recommends: pristine-tar (>= 0.5), cowbuilder Suggests: python-notify, unzip Size: 311922 SHA256: 1fcf3b8e085fe03ebda29afc5eedfd355a34b395e8fcaf93589d7415ad0709b6 SHA1: 758dd7c70fb5a210f6fbb7c3ad8e2da57c45552b MD5sum: 9a95da37fa525164cf6fbdbbf8cfb1e9 Description: Suite to help with Debian packages in Git repositories This package contains the following tools: * git-import-{dsc,dscs}: import existing Debian source packages into a git repository * git-import-orig: import a new upstream version into the git repository * git-buildpackage: build a package out of a git repository, check for local modifications and tag appropriately * git-dch: generate Debian changelog entries from Git commit messages * gbp-{pull,clone}: clone and pull from remote repos * gbp-pq: manage debian/patches easily * gbp-create-remote-repo: create remote repositories Homepage: https://honk.sigxcpu.org/piki/projects/git-buildpackage/ Tag: devel::debian, devel::packaging, devel::rcs, interface::commandline, role::program, scope::utility Section: vcs Priority: optional Filename: pool/main/g/git-buildpackage/git-buildpackage_0.6.0~git20120601_all.deb Package: git-cola Version: 1.4.3.5-1 Installed-Size: 3188 Maintainer: Iulian Udrea Architecture: all Depends: python, python-support (>= 0.90.0), python-qt4, git-core, libjs-jquery Recommends: gitk, xxdiff Suggests: python-pyinotify, python-simplejson Size: 720128 SHA256: 9cf2c688b6e0c717008be9dd2cd66bc2b197fc0878c3028d2ccab91d2f1e5dbf SHA1: 6cf2964e448feecac4d963958f2948c095c0436c MD5sum: 2513bc64f3d9bff11367937ab5ad518d Description: highly caffeinated git GUI Git-cola is a git GUI optimized for working with the git index. . Git-cola can compare arbitrary commits using standard merge tools. With it you can search commit messages, content, authors, paths, data ranges etc. and it makes it easy to interactively edit the index. Homepage: http://cola.tuxfamily.org/ Tag: devel::rcs, role::program Section: vcs Priority: optional Filename: pool/main/g/git-cola/git-cola_1.4.3.5-1_all.deb Package: git-core Source: git Version: 1:1.7.10.4-1+wheezy6+rpi1 Architecture: all Maintainer: Gerrit Pape Installed-Size: 21 Depends: git (>> 1:1.7.0.2) Homepage: http://git-scm.com/ Priority: optional Section: vcs Filename: pool/main/g/git/git-core_1.7.10.4-1+wheezy6+rpi1_all.deb Size: 1354 SHA256: d57e516897e4e6efef41a2cebc9b85a59c3faac6f62afc8962d3542490c84d57 SHA1: f013e6f7073fb2f064167bff67f519f418afd43e MD5sum: f9f2d65e0056c0cd68646fb7c582f938 Description: fast, scalable, distributed revision control system (obsolete) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This is a transitional dummy package. The 'git-core' package has been renamed to 'git', which has been installed automatically. This git-core package is now obsolete, and can safely be removed from the system if no other package depends on it. Package: git-cvs Source: git Version: 1:1.7.10.4-1+wheezy6+rpi1 Architecture: all Maintainer: Gerrit Pape Installed-Size: 785 Depends: git (>> 1:1.7.10.4), git (<< 1:1.7.10.4-.), cvsps, libdbd-sqlite3-perl Suggests: git-doc, cvs Replaces: cogito (<< 0.16rc2-0) Homepage: http://git-scm.com/ Priority: optional Section: vcs Filename: pool/main/g/git/git-cvs_1.7.10.4-1+wheezy6+rpi1_all.deb Size: 534162 SHA256: 056002c9f415374dbc638de492f0a801e85fd602b68e519a6ed18500c1526d7f SHA1: fbe98eec850a9d118f2290396bdd5a1a7f0f6833 MD5sum: f7660fa1038e632160342bca822f0364 Description: fast, scalable, distributed revision control system (cvs interoperability) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides the git cvsimport, cvsexportcommit, and cvsserver tools, which allow Git to read from and write to CVS repositories and offer access over CVS protocol to Git repositories. . The git cvsimport tool can incrementally import from a repository that is being actively developed and only requires remote access over CVS protocol. Unfortunately, in many situations the import leads to incorrect results. For reliable, one-shot imports, cvs2git from the cvs2svn package or parsecvs may be a better fit. Package: git-daemon-run Source: git Version: 1:1.7.10.4-1+wheezy6+rpi1 Architecture: all Maintainer: Gerrit Pape Installed-Size: 493 Depends: git (>> 1:1.7.10.4), git (<< 1:1.7.10.4-.), runit (>= 1.8.0-2), adduser Conflicts: git-daemon-sysvinit Homepage: http://git-scm.com/ Priority: optional Section: vcs Filename: pool/main/g/git/git-daemon-run_1.7.10.4-1+wheezy6+rpi1_all.deb Size: 452464 SHA256: af391599f57b15f42d5c127257d17bcf349ad022ffb245c968f05890beb87be3 SHA1: f9f38e3fdd5ea389db087f8cb598a1c2ef132e65 MD5sum: 8d2e2ab413b8d9062e1fbc932aa0cb02 Description: fast, scalable, distributed revision control system (git-daemon service) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . git-daemon, as provided by the git package, is a simple server for git repositories, ideally suited for read-only updates, i.e. pulling from git repositories through the network. This package provides a runit service for running git-daemon permanently. Package: git-daemon-sysvinit Source: git Version: 1:1.7.10.4-1+wheezy6+rpi1 Architecture: all Maintainer: Gerrit Pape Installed-Size: 500 Depends: git (>> 1:1.7.10.4), git (<< 1:1.7.10.4-.), adduser Conflicts: git-daemon-run Homepage: http://git-scm.com/ Priority: extra Section: vcs Filename: pool/main/g/git/git-daemon-sysvinit_1.7.10.4-1+wheezy6+rpi1_all.deb Size: 453886 SHA256: ff2219e980e22a490431b168ea59c7722ef8a70d02e41ec337c5ba0285bc3ca0 SHA1: 861b4667fdfd8485be24367efbc4c059fd5b81bd MD5sum: 970aa3059542f568fcfd8cd3920b7799 Description: fast, scalable, distributed revision control system (git-daemon service) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . git-daemon, as provided by the git package, is a simple server for git repositories, ideally suited for read-only updates, i.e. pulling from git repositories through the network. This package provides a sysvinit service for running git-daemon permanently. Package: git-doc Source: git Version: 1:1.7.10.4-1+wheezy6+rpi1 Architecture: all Maintainer: Gerrit Pape Installed-Size: 8415 Suggests: git, git-arch, git-cvs, git-svn, git-email, gitk, gitweb Homepage: http://git-scm.com/ Priority: optional Section: doc Filename: pool/main/g/git/git-doc_1.7.10.4-1+wheezy6+rpi1_all.deb Size: 2285380 SHA256: 050caa37a32784bc2c814ebe2e84c7b905f37a49227bfb3fdf00e34b755c8c03 SHA1: 25c68279c935b63b054a5df078e6696b6b1178ca MD5sum: a06d9d93deefe999c8a5dd6938af92b6 Description: fast, scalable, distributed revision control system (documentation) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides the documentation. Package: git-dpm Version: 0.8.4-1 Installed-Size: 549 Maintainer: Bernhard R. Link Architecture: all Depends: git (>= 1:0.7.1) Size: 211154 SHA256: 2eefe9f19e0d457a605f2febbf6538494005f72fa4f813dabbf38729865c049e SHA1: 9f3a48346ff51bc27290212572c0017a7ae7f649 MD5sum: 913e95c51872ae480db98b35f0060e6f Description: git Debian package manager Manage Debian source packages in an git archive, storing possible changes to the upstream source as git commits that are stored in "3.0 (quilt)" format patch series. Homepage: http://git-dpm.alioth.debian.org/ Tag: devel::debian, devel::packaging, implemented-in::shell, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:package, works-with::software:source, works-with::vcs Section: vcs Priority: extra Filename: pool/main/g/git-dpm/git-dpm_0.8.4-1_all.deb Package: git-el Source: git Version: 1:1.7.10.4-1+wheezy6+rpi1 Architecture: all Maintainer: Gerrit Pape Installed-Size: 610 Depends: git (>= 1:1.7.4.1-2~), emacs | emacsen Breaks: git (<< 1:1.7.4.1-2~) Replaces: git (<< 1:1.7.4.1-2~) Homepage: http://git-scm.com/ Priority: optional Section: vcs Filename: pool/main/g/git/git-el_1.7.10.4-1+wheezy6+rpi1_all.deb Size: 474460 SHA256: 25e71f07805c3f95951082112129213a8d0e98863d218b50a1d3d2d8135a2118 SHA1: 33defeb3a1552bbb3bd31a7a3abb9f3252ecfea7 MD5sum: b57c7db8985c034d87434afb72a2f350 Description: fast, scalable, distributed revision control system (emacs support) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides two modules for integration with Emacs: . * git.el: . Status manager that displays the state of all the files of the project and provides easy access to the most frequently used git commands. The user interface is intended to be similar to the pcl-cvs mode. It can be started with `M-x git-status'. . * git-blame.el: . Emacs implementation of incremental "git blame". When you turn it on while viewing a file, the editor buffer will be updated by setting the background of individual lines to a color that reflects which commit it comes from. . This package does not contain the VC-mode backend for git. That is part of standard Emacs distributions, starting with version 22.2. . For a more polished Emacs interface for Git, see the magit package. Package: git-email Source: git Version: 1:1.7.10.4-1+wheezy6+rpi1 Architecture: all Maintainer: Gerrit Pape Installed-Size: 535 Depends: git (>> 1:1.7.10.4), git (<< 1:1.7.10.4-.) Recommends: libemail-valid-perl, libnet-smtp-ssl-perl, libauthen-sasl-perl Suggests: git-doc Replaces: cogito (<< 0.16rc2-0) Homepage: http://git-scm.com/ Priority: optional Section: vcs Filename: pool/main/g/git/git-email_1.7.10.4-1+wheezy6+rpi1_all.deb Size: 470948 SHA256: 41fa8d491bc5d903b1644a6e69f3149750a40df2ac84216ac9f80e494b3db4f9 SHA1: 0a8765099650520dbdb398a48128a6f580ff9848 MD5sum: 961ebd40fa6d177df6f0cfe00278d154 Description: fast, scalable, distributed revision control system (email add-on) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides the git-send-email program for sending series of patch emails. Package: git-extras Version: 1.7.0-1.2 Installed-Size: 72 Maintainer: Jesús Espino Architecture: all Depends: git (>= 1.7.0) Size: 24074 SHA256: cb65bff48647308d4487d619b4719d8776b4dbff16c36d2328e470743b06d1b5 SHA1: bc1e4570df7dae608c48bd8e3ca842618c167bb6 MD5sum: fa8cdd4a255003718431fdeab4b8e333 Description: Extra commands for git This package provides extra git commands to easily solve typical tasks such as managing remote branches, managing feature/refactor/bug workflows and generate some repository stats. Homepage: http://github.com/visionmedia/git-extras Section: vcs Priority: extra Filename: pool/main/g/git-extras/git-extras_1.7.0-1.2_all.deb Package: git-flow Version: 0.4.1-2 Installed-Size: 110 Maintainer: Gergely Nagy Architecture: all Depends: git | git-core Size: 27458 SHA256: ba0f425e9b4acdeef6dae004c39b3032df5cacdfdfb6619273baba759366257b SHA1: 493b58f81b159af46ffd51c1671d4c47106ef705 MD5sum: c86dd0d6958e16e420ab2d4a75eccb03 Description: Git extension to provide a high-level branching model A set of scripts that provide high-level repository operations for managing feature/release/hotfix branches in a Git repository, particularly suited to be utilised to follow Vincent Driessen's branching model, described at . Homepage: https://github.com/nvie/gitflow/wiki Section: vcs Priority: extra Filename: pool/main/g/git-flow/git-flow_0.4.1-2_all.deb Package: git-ftp Version: 0.7.4+git20120528-1 Installed-Size: 60 Maintainer: Dmitry Smirnov Architecture: all Depends: bash (>= 4.2), curl, git-core Enhances: git Size: 15424 SHA256: 644a7ca2a671a3a7c1dfc714db819751178aa16baa820b496bfb2a8eb02e1efa SHA1: fe7a0b89e327e130ba8943541b549063cf8674c8 MD5sum: d241283357ca41c765f8b3f0a0359c7a Description: Git powered FTP client written as shell script git-ftp is a shell script for uploading Git tracked files to a FTP server. By default, it uploads only those files which have changed since the last upload. This saves time and bandwidth. It can even work with different branches. See manpage for more options. Homepage: http://github.com/resmo/git-ftp Section: vcs Priority: optional Filename: pool/main/g/git-ftp/git-ftp_0.7.4+git20120528-1_all.deb Package: git-gui Source: git Version: 1:1.7.10.4-1+wheezy6+rpi1 Architecture: all Maintainer: Gerrit Pape Installed-Size: 1671 Depends: git (>> 1:1.7.10.4), git (<< 1:1.7.10.4-.), tk Recommends: gitk Suggests: git-doc, aspell Replaces: git-core (<< 1:1.5.2.2-3) Homepage: http://git-scm.com/ Priority: optional Section: vcs Filename: pool/main/g/git/git-gui_1.7.10.4-1+wheezy6+rpi1_all.deb Size: 731076 SHA256: 21c3aba43da907c2720364e1f16a53d8bd2b30f4cd02532b7866648657ffc0bd SHA1: 42e5ff2881dc32f1e7c8cf6a6e67c1a3bab3113e MD5sum: 9237fb6cea8310a607c00f4efbe70fa6 Description: fast, scalable, distributed revision control system (GUI) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides the git graphical user interface. . If aspell is installed, it can check the spelling of commit messages as the user types. Package: git-man Source: git Version: 1:1.7.10.4-1+wheezy6+rpi1 Architecture: all Maintainer: Gerrit Pape Installed-Size: 1107 Breaks: git (<< 1:1.7.4~rc1) Replaces: cogito (<< 0.16rc2-0), git (<< 1:1.7.4~rc1), git-core (<< 1:1.7.0.4-1.) Homepage: http://git-scm.com/ Priority: optional Section: doc Filename: pool/main/g/git/git-man_1.7.10.4-1+wheezy6+rpi1_all.deb Size: 1076030 SHA256: 19df3225368ad0d4864647794cdcbe5a9c53bfb7a094175ab121017f7b3ae814 SHA1: f0b9a7bb54e09fe4ba450a0ade22a0d634f00588 MD5sum: 4fb282f081e065250ee1ecc8d317ae0d Description: fast, scalable, distributed revision control system (manual pages) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides reference documentation for use by the 'man' utility and the 'git help' command. Package: git-review Version: 1.17-1 Installed-Size: 112 Maintainer: Ghe Rivero Architecture: all Depends: git, python (>= 2.6), python-argparse, python2.7 | python2.6, python (<< 2.8) Size: 12964 SHA256: 72f7c5cab95989af6274cc8c6bb16fc1d10ef8da9b59909dbae3bf166881d492 SHA1: e45d29eb61d19d1712b8a4f33a3b86c681cf98f0 MD5sum: 622a381e7e8be66910bccd42f8f5798e Description: git command for submitting branches to Gerrit git-review is a tool that helps submitting git branches to gerrit for review. Homepage: https://github.com/openstack-ci/git-review Section: python Priority: extra Filename: pool/main/g/git-review/git-review_1.17-1_all.deb Package: git-sh Version: 1.1-1 Installed-Size: 120 Maintainer: Alex Morega Architecture: all Depends: git Size: 21972 SHA256: f1504093cf52ae79e1bca30427108946182060a71b5462d670bd0eaa68b294dd SHA1: 33545ba1377a6b5871bde131ddcd6747ac78bd12 MD5sum: 09d430cb0e1f3e8c291d1e7d7d7d6f58 Description: a git shell git-sh starts an interactive bash(1) session modified for git-heavy workflows. Typical usage is to change into the directory of a git work tree or bare repository and run the git-sh command to start an interactive shell session. Homepage: http://rtomayko.github.com/git-sh/ Section: vcs Priority: optional Filename: pool/main/g/git-sh/git-sh_1.1-1_all.deb Package: git-stuff Version: 11-1 Installed-Size: 151 Maintainer: Daniel Baumann Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, git Recommends: cron, git-buildpackage, mr, pristine-tar Size: 40866 SHA256: 6ec666a69ce461495546c1ce5dc6f7f186e2ee019a89dc3d9708b390b51c3ede SHA1: 6658b03a99bc32512107f0b0f119a516526a35dd MD5sum: 4e42ef1ea82c9b62bc79640d245672ff Description: additional Git utilities This is a growing collection of tools for the Git Version Control System. . Currently the following tools have been documented: . * git-amend-all: adds all untracked files and amend all changes to the last commit. * git-checkout-branches: checks out all remote branches. * git-cherry-pick-recursive: does a cherry-pick for a commit and each all its children. * git-commit-lazy: creates a new commit with all untracked files and changes. * git-debian-add: creates a new commit and tag with all untracked files and changes as new debian version. * git-debian-changelog: creates a new section in debian/changelog with all entries since the last release. * git-whoami: tells about author and committer information. Tag: devel::rcs, role::program Section: misc Priority: optional Filename: pool/main/g/git-stuff/git-stuff_11-1_all.deb Package: git-svn Source: git Version: 1:1.7.10.4-1+wheezy6+rpi1 Architecture: all Maintainer: Gerrit Pape Installed-Size: 694 Depends: git (>> 1:1.7.10.4), git (<< 1:1.7.10.4-.), libsvn-perl | libsvn-core-perl, libyaml-perl, libwww-perl, libterm-readkey-perl Suggests: git-doc, subversion Replaces: cogito (<< 0.16rc2-0) Homepage: http://git-scm.com/ Priority: optional Section: vcs Filename: pool/main/g/git/git-svn_1.7.10.4-1+wheezy6+rpi1_all.deb Size: 522574 SHA256: 55cd2877e4336e47754ac6878e71d955595c4011f1dc1efeef48bb457d510627 SHA1: b4e8c0f1e7cc72be4da4225176aed5da640722b6 MD5sum: 7584467cc5c0ee06df1159e63c4aac9f Description: fast, scalable, distributed revision control system (svn interoperability) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides tools for interoperating with Subversion repositories, and importing SVN development history. Package: git2cl Version: 2.0+git200808271242-1 Installed-Size: 51 Maintainer: Dmitry Smirnov Architecture: all Depends: perl Enhances: git Size: 8016 SHA256: 01f4b1311e6d15ff242ded74aff468258ec6db6b15c6e9d17126092984b5b41a SHA1: de941a5b8ebbbe7b1b57689c9862d81a29e7a68f MD5sum: 799e2601af783539e2eba2a06310b8c6 Description: Simple tool to convert git logs to GNU ChangeLog format git2cl is used to automatically generate GNU ChangeLogs from git logs. . GNU ChangeLog format specification is available from: http://www.gnu.org/prep/standards/html_node/Change-Logs.html Homepage: http://josefsson.org/git2cl/ Section: vcs Priority: optional Filename: pool/main/g/git2cl/git2cl_2.0+git200808271242-1_all.deb Package: gitalist-common Source: gitalist Version: 0.003006+dfsg-2 Installed-Size: 431 Maintainer: Jonas Genannt Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, perl, git, libjs-jquery, libaliased-perl, libcatalyst-perl (>= 5.80014), libcatalyst-action-rest-perl, libcatalyst-controller-actionrole-perl, libcatalyst-modules-perl (>= 0.15), libcatalyst-plugin-unicode-encoding-perl, libcatalyst-view-component-subinclude-perl (>= 0.07), libcatalyst-view-tt-perl (>= 0.34), libconfig-general-perl, libdatetime-perl, libfile-copy-recursive-perl, libfile-type-perl, libfile-type-webimages-perl, libfile-which-perl, libgit-pure-perl (>= 0.47), libhtml-parser-perl, libipc-run-perl, libjson-perl, libjson-xs-perl, liblist-moreutils-perl, libmoose-autobox-perl, libmoose-perl, libmoosex-declare-perl (>= 0.32), libmoosex-storage-perl, libmoosex-types-common-perl, libmoosex-types-iso8601-perl, libmoosex-types-path-class-perl, libmoosex-types-perl, libnamespace-autoclean-perl, libpath-class-perl (>= 0.17), libsyntax-highlight-engine-kate-perl, libtemplate-perl (>= 2.22), libtemplate-plugin-utf8decode-perl, libtemplate-plugin-cycle-perl, libtry-tiny-perl Suggests: starman Size: 135490 SHA256: 6b9879c9464d29a91198324b1833df4a272e877b7251fc560689446df12a8fb3 SHA1: d002af3ed5ca65fbb5aa18aa0453228097364714 MD5sum: c695e1ca106aa7de7490927b4babf505 Description: modern Git web viewer Gitalist is a web frontend for Git repositories based on code from gitweb.cgi and powered by Catalyst (see the libcatalyst-perl package). It extends gitweb.cgi with many advanced features, including: . * Multiple repository support * Multiple branch support * Commit comparisons * Atom feeds * Color coded commit history Homepage: http://search.cpan.org/dist/Gitalist/ Section: perl Priority: optional Filename: pool/main/g/gitalist/gitalist-common_0.003006+dfsg-2_all.deb Package: gitalist-fastcgi Source: gitalist Version: 0.003006+dfsg-2 Installed-Size: 65 Maintainer: Jonas Genannt Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, perl, gitalist-common (= 0.003006+dfsg-2), libfcgi-perl, libapache2-mod-fcgid | httpd Size: 13262 SHA256: cc59db51d19368cacee22bedcf452a7dfc6faf682290760fec8937d93d894e82 SHA1: 4cc11839394a18e0b29e2fe185dcb00745c3b603 MD5sum: 1eaa5c6bb4fe24371d63dad4ea81fefe Description: modern Git web viewer - FastCGI support Gitalist is a web frontend for Git repositories based on code from gitweb.cgi and powered by Catalyst. . This package contains all necessary files for running gitalist as a FastCGI module within a webserver like Apache. Homepage: http://search.cpan.org/dist/Gitalist/ Section: perl Priority: optional Filename: pool/main/g/gitalist/gitalist-fastcgi_0.003006+dfsg-2_all.deb Package: gitg Version: 0.2.4-1.1+deb7u1 Architecture: armhf Maintainer: Jonny Lamb Installed-Size: 1233 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.0.0), libgtksourceview-3.0-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), git, dbus-x11, gsettings-desktop-schemas, libdconf0 | gsettings-backend Homepage: http://trac.novowork.com/gitg/ Priority: optional Section: vcs Filename: pool/main/g/gitg/gitg_0.2.4-1.1+deb7u1_armhf.deb Size: 280940 SHA256: f98aa30130b3c4e17798ba362cda66ff542ac4b36a2763172df9ea0e4e954288 SHA1: 8aca331f669c98c8dfe16e408213187a64ef2681 MD5sum: ce406ac532bc63f824e9f9ed3ff8e6fd Description: git repository viewer for gtk+/GNOME gitg is a fast GTK2 git repository browser for the GNOME desktop. It currently features: . * Loading large repositories very fast * Show/browse repository history * Show highlighted revision diff * Browse file tree of a revision and export by drag and drop * Search in the revision history on subject, author or hash * Switch between history view of branches easily * Commit view providing per hunk stage/unstage and commit Package: github-cli Version: 1.0.0-1+nmu1 Installed-Size: 116 Maintainer: David Paleino Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-pkg-resources, python-simplejson, python-setuptools, git Size: 13396 SHA256: a5b2be12f36ea43596814c20b470bab0ee661a1eab267f58d9e7eb5fe89b66c3 SHA1: cb7d0365785fdfb0c85d427eb297e34ee5413a8c MD5sum: 7cfb6d3bc78a6295be33b7b6640a3569 Description: command-line interface to the GitHub Issues API github-cli provides an executable called ghi, that can be used to access all of GitHub's documented Issues API (v2) functionality from the command line. Homepage: http://packages.python.org/github-cli Section: utils Priority: optional Filename: pool/main/g/github-cli/github-cli_1.0.0-1+nmu1_all.deb Package: gitk Source: git Version: 1:1.7.10.4-1+wheezy6+rpi1 Architecture: all Maintainer: Gerrit Pape Installed-Size: 1050 Depends: git (>> 1:1.7.10.4), git (<< 1:1.7.10.4-.), tk (>= 8.4) Suggests: git-doc Replaces: cogito (<< 0.16rc2-0) Homepage: http://git-scm.com/ Priority: optional Section: vcs Filename: pool/main/g/git/gitk_1.7.10.4-1+wheezy6+rpi1_all.deb Size: 577990 SHA256: 00ba57b686be5d680d87b4050091a2b2cdbe36b323a8f943bcce12a5ae1934ba SHA1: f7b37de2bb523be2d8a526b4e2653332fed01bce MD5sum: deab6c8f1bad0b164c0ecabbf6469ace Description: fast, scalable, distributed revision control system (revision tree visualizer) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package provides the gitk program, a tcl/tk revision tree visualizer. Package: gitmagic Version: 20120520-2 Installed-Size: 740 Maintainer: Francois Marier Architecture: all Size: 322912 SHA256: b2bdc844a107b63a50a39ca54db55b97299c0a98c77b4d016921ed0e4d232b32 SHA1: 0c3aa5a03bfbea62168eedd6affb7d5557321399 MD5sum: 4ca13fc68bbed9f991037ec17e0526d1 Description: guide about Git version control system Git is a version control Swiss army knife. A reliable versatile multipurpose revision control tool whose extraordinary flexibility makes it tricky to learn, let alone master. . This is easier to understand guide for Git than the official Git user manual. Homepage: http://www-cs-students.stanford.edu/~blynn/gitmagic/ Tag: devel::rcs, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gitmagic/gitmagic_20120520-2_all.deb Package: gitolite Version: 2.3-1 Installed-Size: 539 Maintainer: Gerfried Fuchs Architecture: all Depends: git (>= 1:1.7.0.4) | git-core (>= 1:1.6.2), perl (>= 5.6.0-16), openssh-server, debconf (>= 0.5) | debconf-2.0, adduser Suggests: git-daemon-run, gitweb Size: 253550 SHA256: 6f7b756596ae273d44426896e45be65525d713b5664f2f521e38bd894de7d63f SHA1: 7963830cac0a0548be289422ca0fb6d963e8f37f MD5sum: bfe5bcf9630e59bc08eb4c5f45e74c34 Description: SSH-based gatekeeper for git repositories Gitolite is an SSH-based gatekeeper providing access control for a server that hosts many git repositories. Without gitolite, each developer needing to push to one of the repositories hosted would need a user account on that server; gitolite lets you do that just using SSH public keys tied to a single, common, user that hosts all the repositories. . Gitolite can restrict who can read (clone/fetch) from or write (push) to a repository, and who can push to what branch or tag - an important issue in corporate environments. Other features include: * access control by branch-name or by modified file/directory; * per-developer "personal namespace" prefixes; * simple but powerful configuration file syntax (with validation); * config files (and authority for maintaining them) can be split; * easy integration with gitweb; * comprehensive logging; * easy migration from gitosis. Homepage: http://github.com/sitaramc/gitolite Tag: devel::rcs, protocol::ssh, works-with::vcs Section: vcs Priority: optional Filename: pool/main/g/gitolite/gitolite_2.3-1_all.deb Package: gitpkg Version: 0.23 Installed-Size: 148 Maintainer: Ron Lee Architecture: all Depends: git (>= 1:1.7.0), dpkg-dev Suggests: devscripts Size: 33898 SHA256: 2b929cf761b47e276a422fab66e17c45ad1de32a31881889a6f09d49958fc28f SHA1: 93f1bc0e6a73e116c58560c01cdd07feafad11d2 MD5sum: f7ca33601ded111537d2bb7c86a91abb Description: tools for maintaining Debian packages with git This package provides tools and automation to assist with maintaining Debian packages in git. . gitpkg - creates a source package from specified repository versions. git-debimport - creates a git repository from a set of existing packages. . No particular repository layout is required for gitpkg to export source from it, existing repositories should require no modification. If there is a valid Debian source tree in there then gitpkg can export a package from any revision of it for you. In the Grand Old Manner these tools are intended to simplify specific parts of your existing packaging process and integrate smoothly with it, not to replace that with its own singular constraints on how you may work. Since not every packaging style suits every package, gitpkg aims to be able to work equally well with all of them by sticking to just its part of the job and staying out of your way entirely until that job needs to be done. Hook points are provided for performing additional package and user specific operations as you may desire or require at export time. Tag: devel::packaging, devel::rcs, implemented-in::shell, interface::commandline, role::program, scope::utility Section: vcs Priority: optional Filename: pool/main/g/gitpkg/gitpkg_0.23_all.deb Package: gitstats Version: 2012.05.28-1 Installed-Size: 62 Maintainer: Vincent Fourmond Architecture: all Depends: python (>= 2.4.4), git (>= 1:1.7) | git-core (>= 1:1.5.2.4), gnuplot-nox Size: 21796 SHA256: b8b8ce9abe1c52e0fc0e8de0bcbebfd0765a007c0c56cde0898b6be5a8fcb1e4 SHA1: 3bdae1beb2cf2271f7ccad0adc531d02c98ba550 MD5sum: 4fa5587d0dbade4db8835398030e9849 Description: statistics generator for git repositories GitStats is a statistics generator for git repositories. It examines the repository and produces some interesting statistics from the history. Currently it outputs only HTML. . It is the equivalent of statcvs and statsvn for git repositories. Homepage: http://gitstats.sourceforge.net/ Tag: devel::rcs, implemented-in::python, role::program, use::analysing, works-with::vcs Section: vcs Priority: optional Filename: pool/main/g/gitstats/gitstats_2012.05.28-1_all.deb Package: gitweb Source: git Version: 1:1.7.10.4-1+wheezy6+rpi1 Architecture: all Maintainer: Gerrit Pape Installed-Size: 505 Depends: git (>> 1:1.7.10.4), git (<< 1:1.7.10.4-.), perl, apache2 | httpd | lynx-cur Suggests: httpd-cgi | libcgi-fast-perl, git-doc Homepage: http://git-scm.com/ Priority: optional Section: vcs Filename: pool/main/g/git/gitweb_1.7.10.4-1+wheezy6+rpi1_all.deb Size: 455146 SHA256: f77b19165b2921a79d0cf17af6b7499b4934d03733d6b1287676ea303f4be68e SHA1: 1793eae9d90b3e5a7abd62a41f12a751b2489b4b MD5sum: 2f5756e064223da018e26ce93ceddbbb Description: fast, scalable, distributed revision control system (web interface) Git is popular version control system designed to handle very large projects with speed and efficiency; it is used for many high profile open source projects, most notably the Linux kernel. . Git falls in the category of distributed source code management tools. Every Git working directory is a full-fledged repository with full revision tracking capabilities, not dependent on network access or a central server. . This package configures a web interface for browsing git repositories. . If apache2 is installed, the web interface is automatically made available at http://localhost/gitweb. Other servers that support CGI or mod_perl are supported through manual configuration. . If libcgi-fast-perl is installed, gitweb can also be run over FastCGI (and served by nginx, for example). Package: givaro-dev-doc Source: givaro Version: 3.7.0-2 Installed-Size: 17982 Maintainer: Debian Science Maintainers Architecture: all Size: 5306844 SHA256: 660a5024add06c9c1e32354f3c1248e6152dd41165587f432a8bda4dbbd188a1 SHA1: 27cdc02ed2ac1b0ed8f0db77b7d7198611a229cd MD5sum: 97afc7612f191218a69184a4bad32daf Description: Developer Documentation for Givaro Givaro is a C++ library for arithmetic and algebraic computations. Its main features are implementations of the basic arithmetic of many mathematical entities: Primes fields, Extensions Fields, Finite Fields, Finite Rings, Polynomials, Algebraic numbers, and Arbitrary precision integers and rationals (C++ wrappers over gmp). . Givaro also provides data-structures and templated classes for the manipulation of basic algebraic objects, such as vectors, matrices (dense, sparse, structured), univariate polynomials (and therefore recursive multivariate). . It contains different program modules and is fully compatible with the LinBox linear algebra library and the Athapascan environment, which permits parallel programming. . This package contains Developer Documentation for Givaro. Homepage: http://ljk.imag.fr/CASYS/LOGICIELS/givaro/ Section: doc Priority: optional Filename: pool/main/g/givaro/givaro-dev-doc_3.7.0-2_all.deb Package: givaro-user-doc Source: givaro Version: 3.7.0-2 Installed-Size: 5412 Maintainer: Debian Science Maintainers Architecture: all Size: 2605318 SHA256: 2089c7b725627d660c7e6e49bcd2c3b2ae1b3bfd0b49cdd2f3453fea650356f3 SHA1: f4b80d982bf9b8546974a8336e908ac48d372658 MD5sum: c0645e98d504ea3e8d62473d500187c7 Description: User Documentation for Givaro Givaro is a C++ library for arithmetic and algebraic computations. Its main features are implementations of the basic arithmetic of many mathematical entities: Primes fields, Extensions Fields, Finite Fields, Finite Rings, Polynomials, Algebraic numbers, and Arbitrary precision integers and rationals (C++ wrappers over gmp). . Givaro also provides data-structures and templated classes for the manipulation of basic algebraic objects, such as vectors, matrices (dense, sparse, structured), univariate polynomials (and therefore recursive multivariate). . It contains different program modules and is fully compatible with the LinBox linear algebra library and the Athapascan environment, which permits parallel programming. . This package contains User Documentation for Givaro. Homepage: http://ljk.imag.fr/CASYS/LOGICIELS/givaro/ Section: doc Priority: optional Filename: pool/main/g/givaro/givaro-user-doc_3.7.0-2_all.deb Package: giws Version: 2.0.0-1 Installed-Size: 241 Maintainer: Sylvestre Ledru Architecture: all Depends: python, python-support (>= 0.90.0), python-libxml2 Suggests: giws-doc Size: 43380 SHA256: 661fc9a7bfab274bcc279013d3701841a6e524cbdd735b5dd3d2915ac4fcad31 SHA1: b4bf8848b5d73e5a44d4613055ef0c8187500262 MD5sum: 93c677398e62f50ca010c1010293001e Description: Generate C++ class wrappers to call Java methods/objects Giws is basically doing the same stuff as SWIG but the opposite. Calling Java from C/C++ can be tricky: JNI calls are complicated especially when dealing with non primivite types or arrays, performance issues must be kept in mind all the time, the code can be redundant (checking exceptions, checking returns of operations...). Giws hides this complexity through a C++ class which wraps the Java class. Homepage: http://www.scilab.org/giws/ Section: devel Priority: optional Filename: pool/main/g/giws/giws_2.0.0-1_all.deb Package: giws-doc Source: giws Version: 2.0.0-1 Installed-Size: 217 Maintainer: Sylvestre Ledru Architecture: all Size: 28928 SHA256: 76b0115132274708f96c4230ecde0fc2df79b8f2ed4d46628471b930b2fe4ea8 SHA1: 1944a491218dea04a1a7187c8857567a82c54acf MD5sum: 376e927d069cf89a81eacfbcb7ca4a93 Description: Documentation and examples for the GIWS package Giws is basically doing the same stuff as SWIG but the opposite. Calling Java from C/C++ can be tricky: JNI calls are complicated especially when dealing with non primivite types or arrays, performance issues must be kept in mind all the time, the code can be redundant (checking exceptions, checking returns of operations...). Giws hides this complexity through a C++ class which wraps the Java class. . This package contains the examples and the documentation. Homepage: http://www.scilab.org/giws/ Tag: devel::examples, devel::lang:c++, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/g/giws/giws-doc_2.0.0-1_all.deb Package: gjacktransport Version: 0.5.3-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 193 Depends: jackd, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpango1.0-0 (>= 1.14.0) Suggests: xjadeo Homepage: http://gjacktransport.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/g/gjacktransport/gjacktransport_0.5.3-1_armhf.deb Size: 64870 SHA256: f84726816727252c5ff697b111548508aa629903e486b0889384c6e713a83e9b SHA1: 9601674f0efda48bd509c64663624acff8038d8b MD5sum: 6505567a476b2d225b7db1c26084917b Description: access to the JACK's transport mechanism as touchable slider G. JACK Transport is a standalone application that provides access to the Jack Audio Connection Kit's, JACK transport mechanism via a dynamic graphical slider. . In other words: this software allows to seek Audio/Video media files when they are played along jack transport. Intended for audio-engineers or A/V editors that work with Ardour, Ecasound, Hydrogen, Xjadeo, etc. . Additionally it provides G. JACK Clock, a "Big Clock" display for jack-transport. Package: gjay Version: 0.3.2-1 Architecture: armhf Maintainer: Craig Small Installed-Size: 207 Depends: mpg321 | mpg123, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgsl0ldbl (>= 1.9), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0) Recommends: audacious | mpd Suggests: vorbis-tools Homepage: http://gjay.sourceforge.net/ Priority: extra Section: sound Filename: pool/main/g/gjay/gjay_0.3.2-1_armhf.deb Size: 106198 SHA256: 47ae59636b2c0b83c460bdf2c381c06685d2f1f7ea73f922c5fe00d84310dffb SHA1: e6b995bff647528a7c5381864a7cebc4267ff598 MD5sum: ed81d2b70ef7650f9e17216807433656 Description: An automatic and learning DJ for audacious GJay (Gtk+ DJ) generates playlists across a collection of music (mp3, ogg, wav) such that each song sounds good following the previous song. Matches are based on both automatically analyzed song characteristics (BPM, frequency) as well as user-assigned categorizations (song 'color' and rating). It is ideal for DJs planning a set list or home users who want a non-random way to wander large collections. . All you have to do is tell GJay the base directory where you store your music files. It will then queue every file in the directory for analysis. Analysis is done in a separate process. When you quit GJay, you can choose to let this analysis process continue in the background. You can also choose to run GJay as a daemon, without any user interface. Package: gjiten Version: 2.6-2.2 Architecture: armhf Maintainer: Botond Botyanszki Installed-Size: 439 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2), kanjidic, edict Recommends: fonts-ipafont-mincho | fonts-japanese-mincho Suggests: enamdict Priority: optional Section: gnome Filename: pool/main/g/gjiten/gjiten_2.6-2.2_armhf.deb Size: 148614 SHA256: a776fd0f2dd26ad9a39c8c862ff9d8983e37e9a9379af9cf73f3c1632cee948d SHA1: 443a30fe695066f55a81af6cda11a13e0b6b4a87 MD5sum: 2ccfc9d3be078e1e511bd5d8ddb0c160 Description: Japanese dictionary for GNOME Gjiten is a Japanese dictionary for GNOME with advanced word and kanji lookup features. Requires dictionary files (edict, kanjidic) to function. See http://gjiten.sourceforge.net for more dictionary files and updates. Package: gjots2 Version: 2.3.15-1 Installed-Size: 1001 Maintainer: Rolf Leggewie Architecture: all Depends: python, python-support (>= 0.90.0), python-glade2, python-gnome2 Recommends: gv, mpage Size: 247006 SHA256: 65e9da69a2b75273ca229c79d25b816bf14fa7857fc11c6b4294982ede695544 SHA1: dd16ac27831053d017cb306ce9966c9c4e5c1bda MD5sum: 0c8d4ef5cad9ca2628d8c2d5c8d8b41b Description: Simple jotter (outline processor) for X11/gtk-gnome gjots2 is a fairly simple jotter (outline processor) and notetaking application for your desktop. . You can use gjots2 to organize your jottings into a tree structure, adding thoughts and miscellany as you go. You can get it to spit out HTML, XML, postscript, pdf, man, etc if you want (see the online manual for an example of the HTML conversion). . Some people use it for notes, personal bits and pieces, recipes, docbook XML documents and even PINs and passwords (encrypted with ccrypt(1), openssl(1) or gpg(1)). . It's a bit like the KDE program kjots but it uses the GTK-2 library. Homepage: http://bhepple.freeshell.org/gjots/ Python-Version: 2.6, 2.7 Tag: implemented-in::python, interface::x11, role::program, scope::application, suite::gnome, uitoolkit::gtk, use::editing, works-with::pim, x11::application Section: gnome Priority: optional Filename: pool/main/g/gjots2/gjots2_2.3.15-1_all.deb Package: gjs Version: 1.32.0-5 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 58 Depends: libc6 (>= 2.13-28), libgjs0-libmozjs185-1.0, libgjs0b (>= 1.32.0-3), libglib2.0-0 (>= 2.31) Homepage: http://live.gnome.org/Gjs Priority: optional Section: interpreters Filename: pool/main/g/gjs/gjs_1.32.0-5_armhf.deb Size: 14084 SHA256: f4db2096663e15597f99985819468a79d5f04c57800344b738ac2af4f40abf47 SHA1: 81ae27db0fceac9c50926658925df6d7a91d01dd MD5sum: 26f2bbdd9520a942a85b9a61d9661d5d Description: Mozilla-based javascript bindings for the GNOME platform Makes it possible for applications to use all of GNOME's platform libraries using the Javascript language. It's mainly based on the Mozilla javascript engine and the GObject introsepection framework. . This package contains the interactive console application. Package: gkbd-capplet Source: libgnomekbd Version: 3.4.0.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 54 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.26), libgnomekbd7 (>= 2.91.90), libgtk-3-0 (>= 3.0.0), libxklavier16 (>= 5.0) Priority: optional Section: gnome Filename: pool/main/libg/libgnomekbd/gkbd-capplet_3.4.0.2-1_armhf.deb Size: 13796 SHA256: 2b107ec2c512ec307c842146c4cabea2902f1e66af07044a996ac79c9f703a91 SHA1: 453717de25e58fff004698f974d21aef5fd03980 MD5sum: cabf3ba4f7505e237b26f01323270cb1 Description: GNOME Panel applet for libgnomekbd libgnomekbd offers an API to manage the keyboard in GNOME applications. . This package contains a configuration applet to select enabled libgnomekbd plugins. Package: gkdebconf Version: 1.2.68 Architecture: armhf Maintainer: Agney Lopes Roth Ferraz Installed-Size: 436 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), xterm | x-terminal-emulator, debconf (>= 1.4.58) | debconf-2.0, gettext-base, gksu (>= 1.3.5) Suggests: whiptail | dialog | gnome-utils, liblocale-gettext-perl, libterm-readline-gnu-perl, libgtk2-perl (>= 1:1.130), libqt-perl Priority: optional Section: admin Filename: pool/main/g/gkdebconf/gkdebconf_1.2.68_armhf.deb Size: 110382 SHA256: 31f0a7b332ecbd2eb57e8c4e0f1d7991ffe669ac6d6adb46f73d40d96f656986 SHA1: e1728065c83ac4ed5c73c1f8d26ab8d60913f25b MD5sum: bac8ed1d8ed37d08a65001f928d6ceb5 Description: Helper to reconfigure packages with Debconf This is a program that helps one using the "dpkg-reconfigure" tool. It is basically a graphical frontend. It makes life easier showing a simple menu of packages which can be reconfigured with Debconf and the Debconf frontends that can be used for the reconfiguration. Package: gkermit Version: 1.0-9 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 100 Depends: libc6 (>= 2.4) Priority: optional Section: comm Filename: pool/main/g/gkermit/gkermit_1.0-9_armhf.deb Size: 42726 SHA256: 6bc15b846f1ee9943256be6898f421e72500415ae9c41eb1999b6b76bac3bb90 SHA1: 977c03f637ecc25cb9a1d921b65ee8617b19f0ac MD5sum: 0c89643e876e81ff290c6f92c7488b70 Description: A serial and network communications package G-Kermit is a GPL'd kermit package. It offers medium-independent terminal session and file transfer. The non-free package ckermit adds connection establishment, character-set translation and scripting features. Package: gkrellkam Version: 2.0.0-1.1 Architecture: armhf Maintainer: paul cannon Installed-Size: 103 Depends: gkrellm (>= 2.0.0), libc6 (>= 2.13-28) Recommends: wget Priority: optional Section: x11 Filename: pool/main/g/gkrellkam/gkrellkam_2.0.0-1.1_armhf.deb Size: 27124 SHA256: 0d080c969c0d5c1891b08f4eb0ad80b424a5161948502325176a2f8534f0bc60 SHA1: 2fe5b780747b13fe8ef9560b28df54bfb0afc2a7 MD5sum: b93b6c0dbd734ffb2460003c37dab179 Description: GKrellM plugin that displays a periodically updating image GKrellKam is basically wmGrabImage in a GKrellM panel, except that it is more flexible (you can specify a local or remote image, or a list of local or remote images, or a script that outputs the right image, etc). The image (any format or size) is resized to a thumbnail and placed in a GKrellM panel, where it is updated periodically. Multiple images are rotated. . This plugin is useful for keeping track of webcams, weather maps, or any other kind of changing image information. Package: gkrellm Version: 2.3.5-3 Architecture: armhf Maintainer: Sandro Tosi Installed-Size: 1982 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnutls-openssl27, libgnutls26 (>= 2.12.17-0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libntlm0, libpango1.0-0 (>= 1.14.0), libsensors4 (>= 1:3.0.0), libsm6, libx11-6 Breaks: gkrellm-common (<= 2.2.7-5), gkrellmd (<= 2.2.7-8) Replaces: gkrellm-common (<= 2.2.7-5) Provides: gkrellm-common Homepage: http://gkrellm.net/ Priority: optional Section: x11 Filename: pool/main/g/gkrellm/gkrellm_2.3.5-3_armhf.deb Size: 803288 SHA256: 8dda9ef7cd16081fa9c31f86217fee41de05b36772b0c1b4f8880438e8909745 SHA1: 99780f10c2637f10e907a24a2c2ad62d67531831 MD5sum: 569e0b2289a087073c6cb1a28427d0bf Description: GNU Krell Monitors With a single process, gkrellm manages multiple stacked monitors and supports applying themes to match the monitors appearance to your window manager, Gtk, or any other theme. Package: gkrellm-bfm Source: bfm Version: 0.6.4-5 Architecture: armhf Maintainer: Mika Matsuzaki Installed-Size: 159 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6 Homepage: http://www.jnrowe.ukfsn.org/projects/bfm.html Priority: optional Section: x11 Filename: pool/main/b/bfm/gkrellm-bfm_0.6.4-5_armhf.deb Size: 38860 SHA256: d21a20022fedcbcaa94ba9c7b662003abb743af6a31858a65d5617842f1272e3 SHA1: 398cf179a2839f374e0366d286423aa4ba6532d7 MD5sum: ccf18c4bc0fc88533a511a9dc59064d0 Description: system load plugin for gkrellm with a duck A load monitor plugin for gkrellm, descended from wmfishtime and bubblemon. Features include fish representing network traffic, bubbles representing CPU usage, and a duck representing a duck. Package: gkrellm-gkrellmpc Version: 0.1~beta10-2 Architecture: armhf Maintainer: mpd maintainers Installed-Size: 127 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), gkrellm (>= 2.0.0) Homepage: http://mpd.wikia.com/wiki/Client:GKrellMPC Priority: optional Section: sound Filename: pool/main/g/gkrellm-gkrellmpc/gkrellm-gkrellmpc_0.1~beta10-2_armhf.deb Size: 32376 SHA256: 2fd732e58c9dc381fbc551eff164fa5029b1b3622ccc29e8496e2ce6237d1981 SHA1: 9ecab4beba05fe8ab005e25b35a39c23ec4c5738 MD5sum: 21876d91b6fabdd92fdbecac73c83daf Description: GKrellM plugin for controlling MPD This GKrellM plugin works as a client for Music Player Daemon (MPD). It shows the current song and allows one to control the playback and change the playlist. Package: gkrellm-hdplop Source: wmhdplop Version: 0.9.9-2.1 Architecture: armhf Maintainer: Gürkan Sengün Installed-Size: 94 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libice6 (>= 1:1.0.0), libimlib2, libsm6, libx11-6, libxext6, zlib1g (>= 1:1.1.4) Recommends: hddtemp, ttf-freefont Homepage: http://hules.free.fr/wmhdplop Priority: optional Section: x11 Filename: pool/main/w/wmhdplop/gkrellm-hdplop_0.9.9-2.1_armhf.deb Size: 31226 SHA256: 0c92ef51d02f560c9732dd9a50609dd0cfd8bc6e9ffa8ef399465f30c74caf5b SHA1: d53220e5418e83b25dc4f81b8ae894bbd4301787 MD5sum: d8da25ea19ce7d246ea9d5af0dff41ef Description: hard drive activity monitor GKrellM plugin It monitors your hard drives by sending visual stimuli to your cortex each time your /dev/hdx writes or reads anything. Try to launch openoffice and enjoy the wmhdplop show. Package: gkrellm-leds Version: 0.8.0-1.2 Architecture: armhf Maintainer: Mike Markley Installed-Size: 69 Depends: gkrellm (>> 2.0) | gkrellm2, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxtst6 Priority: extra Section: x11 Filename: pool/main/g/gkrellm-leds/gkrellm-leds_0.8.0-1.2_armhf.deb Size: 18160 SHA256: c2276078c20d8c586491924f7094572f9219872e86412cd4cc60d2ac5695e887 SHA1: 816edca352d9e575fd897d3646092f1959e27489 MD5sum: 66e18540445ce1208172db2743f55bd8 Description: Keyboard LED monitor for GKrellM gkrellm-leds (aka gkleds) is a GKrellM plugin which monitors the CapsLock, NumLock and ScrollLock keys and reports their current status via on screen LEDs. This is useful for people who have keyboards without LEDs (typically cordless keyboards). Package: gkrellm-mailwatch Version: 2.4.3-1 Architecture: armhf Maintainer: Sjoerd Simons Installed-Size: 85 Depends: gkrellm (>= 2.0.0), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Conflicts: gkrellm-mailwatch2 Replaces: gkrellm-mailwatch2 Priority: optional Section: mail Filename: pool/main/g/gkrellm-mailwatch/gkrellm-mailwatch_2.4.3-1_armhf.deb Size: 20226 SHA256: 278ae6d2f4aa192057424a2d620b3935db28a4d1feb523ba137bb8d7d6bbb337 SHA1: e0ca61069c7acb4972289f7d103f1c917eac2b9c MD5sum: 07c4b952761f31b58ed0172985b1febf Description: GKrellM plugin to watch mailboxes in multiple panels A GKrellM plugin to monitor mbox, maildir and MH style mailboxes in multiple gkrellm panels. Package: gkrellm-mldonkey Source: gkremldk Version: 0.9.7-2.1 Architecture: armhf Maintainer: Niv Altivanik (Debian Packages) Installed-Size: 77 Depends: libc6 (>= 2.13-28), gkrellm (>= 2.2.1) Suggests: mldonkey-server Priority: optional Section: x11 Filename: pool/main/g/gkremldk/gkrellm-mldonkey_0.9.7-2.1_armhf.deb Size: 17734 SHA256: 5154af1ccf005b2db7a79ee764025a00e4a0989ca720456d80b063d439af5169 SHA1: d5a887ba283b0e23091d9482cb6536190058e0a8 MD5sum: 1441fb71794b41158d1d00d3c2ba5d0a Description: mldonkey plugin for gkrellm2 gkrellm-mldonkey is a plugin that shows the current download/upload rates of mldonkey. . It also shows the current maximum upload/downlad rate (max_hard_download_rate and max_hard_upload_rate options in mldonkey), and permit you to change them on the fly by left-clicking on the krells. You can also use the mouse wheel to change the values. . Homepage: http://www.tof2k.com/gkremldk/ Package: gkrellm-radio Version: 2.0.4-1.1 Architecture: armhf Maintainer: Sjoerd Simons Installed-Size: 89 Depends: gkrellm (>> 2.0.0), libc6 (>= 2.13-28), liblircclient0 Conflicts: gkrellm-radio2 Replaces: gkrellm-radio2 Priority: optional Section: sound Filename: pool/main/g/gkrellm-radio/gkrellm-radio_2.0.4-1.1_armhf.deb Size: 19018 SHA256: 536c472ece42f4387436f4abda59958fe74cab0acd7e98d48caa1f6831dedbd9 SHA1: e63b6e3e918fb8676ded234e7adfe1d9d8350c85 MD5sum: 10c8c274c73d82415eeb7ca717c1654b Description: FM radio tuner for GKrellM A gkrellm plugin to control radio tuners on linux. It allows you to define and jump between a number of radio stations. With a mouse wheel you can dial tune to any frequency. Package: gkrellm-reminder Version: 2.0.0-3 Architecture: armhf Maintainer: Joerg Jaspert Installed-Size: 89 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), gkrellm (>= 2.1.12) Priority: optional Section: x11 Filename: pool/main/g/gkrellm-reminder/gkrellm-reminder_2.0.0-3_armhf.deb Size: 27694 SHA256: 6110eaf2f524602ef7ca614ccca23733d828434f9cad7afd89b5379051132360 SHA1: ce7ef444773289d3b17a577338924da23a5bbcdb MD5sum: 8ec4634d54cd550f804995899ee948d5 Description: useful reminder plugin for gkrellm A useful reminder plugin for gkrellm that can remind you of important events. Events can be scheduled inside gkrellm's configuration and will be displayed in gkrellm-reminder's panel. . * Events can be scheduled to repeat over an interval of days. * Events can repeat after a certain number of days or based on the day of the week * Reminders can be set to display early to ensure you'll be on time * Reminders can be repeated later, if necessary * Runs entirely within gkrellm Package: gkrellm-snmp Version: 1.0-1.2 Architecture: armhf Maintainer: Juan Manuel Garcia Molina Installed-Size: 86 Depends: gkrellm (>= 2), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libsnmp15 (>= 5.4.3~dfsg), libssl1.0.0 (>= 1.0.0) Priority: optional Section: net Filename: pool/main/g/gkrellm-snmp/gkrellm-snmp_1.0-1.2_armhf.deb Size: 25132 SHA256: 9fc9f366e823ccd5abf02644ca5dd1deef1db2749bc023fca7f681bfef23842c SHA1: 63c22d448f93824e02feae9f1579d98ba5055c52 MD5sum: 1f1759bcff9e55af36bc88bb7917b744 Description: snmp plug-in for GKrellM This plug-in allows you to monitor values from snmp hosts with your GKrellM. . With this plugin, you can obtain info on network usage, CPU consumption, disk space used, etc. on any host with snmp enabled. The data will be printed in the gkrellm stack. Package: gkrellm-thinkbat Version: 0.2.2-1 Architecture: armhf Maintainer: Adam Sloboda Installed-Size: 56 Depends: libc6 (>= 2.13-28), gkrellm (>= 2.0.0) Homepage: http://people.ksp.sk/~rasto/gkrellm-thinkbat/ Priority: optional Section: x11 Filename: pool/main/g/gkrellm-thinkbat/gkrellm-thinkbat_0.2.2-1_armhf.deb Size: 10260 SHA256: 747c463e31625c85963222aa7f40fb515b36aab50bbeabe70d2f707e7d7f717a SHA1: f25f51c083ea7d54a80a81aa3020e8540a783813 MD5sum: 1bb2a3d70d8f9965cb4bce0d38566e25 Description: ThinkPad laptops battery status indicator for GKrellM This plugin displays: * power consumption * time estimation * remaining capacity percentage * charging information . tp_smapi kernel module is required as source of information about battery. Package: gkrellm-volume Version: 2.1.13-1 Architecture: armhf Maintainer: Sjoerd Simons Installed-Size: 155 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), gkrellm (>= 2.0.0) Conflicts: gkrellm-volume2 Replaces: gkrellm-volume2 Priority: optional Section: sound Filename: pool/main/g/gkrellm-volume/gkrellm-volume_2.1.13-1_armhf.deb Size: 27932 SHA256: 6e95abaf46f412700c0158af8afef0124cfe5363ed939c46b5b3300c1cfef3dd SHA1: 8c3171a7d3191d842195b29c7625ebb7b924b7de MD5sum: 6b33e9c169206c7a3b69f11dae979276 Description: A mixer plugin for GKrellM This GKrellM plugin allows you to control the mixer of your soundcard. Package: gkrellm-xkb Version: 1.05-5 Architecture: armhf Maintainer: Adam Sloboda Installed-Size: 90 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), gkrellm (>= 2.0.0) Homepage: http://www.sweb.cz/tripie/gkrellm/xkb/ Priority: optional Section: x11 Filename: pool/main/g/gkrellm-xkb/gkrellm-xkb_1.05-5_armhf.deb Size: 30512 SHA256: 97ec6adcc4321bffa7732ab36f6301a4000c50973b9d7ca5d3888ddbf9123b5a SHA1: 5d7fd7729a7b820b25ba08f3d96beafc44f9d6b5 MD5sum: 862f5e67fb3030a6d690f291bb46bd5e Description: Keyboard layout indicator plugin for GKrellM This GKrellM plugin indicates active X keyboard layout with country flag, lists configured layouts, allows you to switch between them, and also indicates Caps lock and Num lock status. Package: gkrellmd Source: gkrellm Version: 2.3.5-3 Architecture: armhf Maintainer: Sandro Tosi Installed-Size: 222 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libsensors4 (>= 1:3.0.0), adduser Breaks: gkrellm (<= 2.2.7-8), gkrellm-common (<= 2.2.7-5) Replaces: gkrellm-common (<= 2.2.7-5) Homepage: http://gkrellm.net/ Priority: optional Section: x11 Filename: pool/main/g/gkrellm/gkrellmd_2.3.5-3_armhf.deb Size: 118556 SHA256: cf76bcf845ba6430043c8e0aeefbb08a51fa3dc7cf5af4f993675cb6756da0c0 SHA1: 26f7fc425fe4595a21e1014bc5bf0ae319673daf MD5sum: 2ddc28bce830bf474836411fd62409bf Description: GNU Krell Monitors Server Gkrellmd Listens for connections from gkrellm clients. When a gkrellm client connects to a gkrellmd server all builtin monitors collect their data from the server. Package: gkrellmitime Version: 1.0.1-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 51 Depends: gkrellm (>= 2), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Priority: optional Section: misc Filename: pool/main/g/gkrellmitime/gkrellmitime_1.0.1-5_armhf.deb Size: 9800 SHA256: f78c0c1c91a26990763943eba2685b2bb148979f15b9e8d48979aac94477c9e4 SHA1: e389d39f7985f3b5078e6b9cf7351cb8992487cb MD5sum: afd56bf951d4579c7a59939743504a7b Description: Internet time plugin for gkrellm Gkrellm Itime is the internet time plugin for Gkrellm. . Internet time is a concept by Swatch that divides the virtual and real day into 1000 "beats". A beat is 1 minute and 26.4 seconds long. This is a global concept, therefore no timezones are needed. . Swatch created a new meridian in Biel, Switzerland, the home of the company. This meridian is called Biel Mean Time (BMT) and will be the universal reference for the Internet time. . The internet time is represented with an "@" before the number of beats. A day in the internet time begins at midnight BMT (@000) in Central Europe wintertime, and 12 o'clock noon in Biel, Switzerland, happens at @500 BMT. Package: gkrellmoon Version: 0.6-5 Architecture: armhf Maintainer: Martin Zobel-Helas Installed-Size: 228 Depends: gkrellm (>= 2.0.0), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Priority: optional Section: x11 Filename: pool/main/g/gkrellmoon/gkrellmoon_0.6-5_armhf.deb Size: 57592 SHA256: aba67376d9ab030b4f9cdebc70d84dacd095cc861b836b8e6ae16774761c82e0 SHA1: 15b68856b862a1f23fd66af05bdb7c94b1797ab5 MD5sum: 327036555a83bf4e3f9f186adfd2f183 Description: Gkrellm Moon Clock Plugin Adds a moon clock to your gkrellm. Package: gkrellmwireless Version: 2.0.3-1 Architecture: armhf Maintainer: Sjoerd Simons Installed-Size: 55 Depends: gkrellm (>= 2.0.0), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Conflicts: gkrellmwireless2 Replaces: gkrellmwireless2 Priority: optional Section: x11 Filename: pool/main/g/gkrellmwireless/gkrellmwireless_2.0.3-1_armhf.deb Size: 10694 SHA256: 2aab1c6f8263042fcd96df94aa12a048ae036b703167925e4e3d7e215044e77b SHA1: 57206024e3a32c48d2e1088bd3369e1a9483b1ef MD5sum: 516ec588ff8b98187dd5010511b8b7df Description: 802.11 wireless link monitor plugin for GKrellM This GKrellM plugin allows you to monitor the status of an 802.11 wireless ethernet link. Package: gkrellshoot Version: 0.4.4-1 Architecture: armhf Maintainer: Andreas "Jimmy" Gredler Installed-Size: 78 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), gkrellm (>= 2.2.7-6), imagemagick Recommends: xscreensaver Enhances: gkrellm Priority: optional Section: x11 Filename: pool/main/g/gkrellshoot/gkrellshoot_0.4.4-1_armhf.deb Size: 23694 SHA256: 160184ee49e11de4a4613adf3f34fee353f5d2eb4e00e5e9b86a4b129c074794 SHA1: 708cee40313a92335d32a7d72e3eebbece3f64af MD5sum: 6013e310958c5d1b2f0a3a2497206c9d Description: Plugin for gkrellm to lock the screen and make screenshots This plugin gives easy access to screenlock and screenshot facilities. For screenshot it uses ImageMagick. The plugin displays animations (like a screensaver) along with 'Lock' and 'Shoot' buttons to be clicked on. With the 'Shoot' button one can either grab a window, selected area or the whole screen. It's also possible to delay the screenshot by a given number of seconds. Another feature is that grabbed images can be viewed with your preferred image viewer. Pressing 'Lock' button will lock the screen and start your screen saver. (You need to have xscreensaver installed to use this feature.) Package: gkrelltop Version: 2.2.13-1 Architecture: armhf Maintainer: Adi Zaimi Installed-Size: 98 Depends: gkrellm, libc6 (>= 2.13-28) Suggests: gkrelltopd Priority: extra Section: x11 Filename: pool/main/g/gkrelltop/gkrelltop_2.2.13-1_armhf.deb Size: 24654 SHA256: 8bc7dfd8f7a55b3f0151f28c7e0a794153b23b83a8a526fd388df5856f247485 SHA1: eeafcfa8b27797722897412d03905b67828ea21d MD5sum: 89f14861dd3eb7930a188e16b861a9fb Description: Top three intensive processes plugin for gkrellm Plugin for gkrellm that displays the top three cpu or memory intensive processes in a small window inside gkrellm, similar to wmtop. Useful to check out anytime what processes are consuming most cpu memory, or IO resources on your machine. Package: gkrelltopd Source: gkrelltop Version: 2.2.13-1 Architecture: armhf Maintainer: Adi Zaimi Installed-Size: 53 Depends: gkrellmd, libc6 (>= 2.13-28) Suggests: gkrelltop Priority: extra Section: x11 Filename: pool/main/g/gkrelltop/gkrelltopd_2.2.13-1_armhf.deb Size: 11224 SHA256: 72a8d24e5d6e5a818325e30d3c0c0691eeb2dfc8f85652560d847d20e8320221 SHA1: e191da17cc1cfbd6cb03b32d02e0552a7d519f72 MD5sum: ab9525dea3160a489ff1bbc51d154858 Description: Top three intensive processes plugin for gkrellmd Plugin for gkrellmd. This is the server end plugin of gkrelltop. Gkrelltop displays the top three cpu intensive processes in a small window inside gkrellm, similar to wmtop. Useful to check out anytime what processes are consuming most cpu memory, or IO resources on your machine. Package: gkrelluim Version: 0.3.1-4 Architecture: armhf Maintainer: HIGUCHI Daisuke (VDR dai) Installed-Size: 75 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libuim-scm0 (>= 1:1.5.7), libuim8 (>= 1:1.5.7), gkrellm Homepage: http://vdr.jp/d/gkrelluim.html Priority: extra Section: x11 Filename: pool/main/g/gkrelluim/gkrelluim_0.3.1-4_armhf.deb Size: 13124 SHA256: a4a01c9fb7846dc8b29e20142101eb0fb6a6b30e50f4cb092d3e2efee4dfb0fa SHA1: 727133e05f623556d7c1db34ad08a6be607ace15 MD5sum: 5a02f1e8927f436c333d7c975b1a3915 Description: GKrellM plugin for uim A GKrellM plugin for an input method module library uim. It allows you to monitor and configure uim on GKrellM. . You can watch uim conversion status on GKrellM panel and change uim conversion mode on GKrellM button. In addition, you can launch uim related tools on it. Package: gkrellweather Version: 2.0.8-2 Architecture: armhf Maintainer: Norbert Veber Installed-Size: 72 Depends: gkrellm (>= 1.0.2), perl, wget | libwww-perl, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Priority: optional Section: x11 Filename: pool/main/g/gkrellweather/gkrellweather_2.0.8-2_armhf.deb Size: 18350 SHA256: 8ab46e7f04ddc269519c50de66ed3ed683292408ea2f6db99bd23c4f02450422 SHA1: 46eebf9f3ef2a4ca71dd26643c0ec3cb8dd69e80 MD5sum: 9c266d2db138189381a5dcf7e212bb89 Description: A weather monitor plugin for GKrellM GKrellWeather is a plugin for GKrellM that monitors the weather information given a METAR station identification code. Features include: - Temperature, dew point, pressure, relative humidity, sky condition, wind direction and speed. - Temperatures in degrees Fahrenheit or Celsius - Pressure in kPa, hPa or mmHg - Wind speeds in kmph, mps or Beaufort scale Package: gkrellxmms2 Source: gxmms2 Version: 0.7.1-2 Architecture: armhf Maintainer: Florian Ragwitz Installed-Size: 153 Depends: gkrellm, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxmmsclient-glib1 (>= 0.6DrMattDestruction), libxmmsclient6 (>= 0.7DrNo) Recommends: xmms2 Homepage: http://wejp.k.vu/projects/xmms2/ Priority: optional Section: sound Filename: pool/main/g/gxmms2/gkrellxmms2_0.7.1-2_armhf.deb Size: 53338 SHA256: 6bfaa6fac03e44a767db97a5231c59b818a0ce223a6c8e5c2ea68dbd6fb10234 SHA1: 90bc085613ae36c6f6146558d2c7d30462266792 MD5sum: 50aff8f92bded755979eaa6233349d79 Description: GKrellM plugin to control xmms2 gkrellxmms2 is a plugin for GKrellM2, written in C, to control the XMMS2 audio player. It supports basic controls such as play, pause, skip etc. and it has an integrated playlist editor with a media library search and browser. Package: gksu Version: 2.0.2-6 Architecture: armhf Maintainer: Gustavo Noronha Silva Installed-Size: 454 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgksu2-0 (>= 2.0.8), libglib2.0-0 (>= 2.16.0), libgnome-keyring0 (>= 2.20.3), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstartup-notification0 (>= 0.2), sudo Recommends: gnome-keyring Conflicts: gnome-sudo (<= 0.3-1.1) Replaces: gnome-sudo, libgksu0 Provides: gnome-sudo Homepage: http://www.nongnu.org/gksu Priority: optional Section: admin Filename: pool/main/g/gksu/gksu_2.0.2-6_armhf.deb Size: 83084 SHA256: ca90a7d33e823df13d0748d41099ec821bcd881a76666c4c4f9c76564c8622fc SHA1: 60ecdcc7d6dc304e60c3f63f5e42f33183934731 MD5sum: 0eba15daf4eae0b276cfd5e449c4a86b Description: graphical frontend to su gksu is a Gtk+ frontend to /bin/su. It supports login shells and preserving environment when acting as a su frontend. It is useful to menu items or other graphical programs that need to ask a user's password to run another program as another user. Package: gksu-polkit Version: 0.0.3-1 Architecture: armhf Maintainer: Gustavo Noronha Silva Installed-Size: 38 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgksu-polkit0 (>= 0.0.2), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://live.gnome.org/gksu Priority: extra Section: admin Filename: pool/main/g/gksu-polkit/gksu-polkit_0.0.3-1_armhf.deb Size: 6168 SHA256: 1a94a6b1ff44e3bb36b4dba657203d69fcc50144769e5b39b6e63fb3de5d72d7 SHA1: 0b5ae911b7434ee5e89f52f4f7048d9d05a62daf MD5sum: e825aa2660feac148be79b62500dde7c Description: command line utility to run programs as root This is the new generation of gksu, a simple utility to run programs as root, even in X-based environments. This version uses the new libgksu-polkit library, which uses PolicyKit for authorization purposes and a D-Bus service to actually perform the work. Package: gl-117 Version: 1.3.2-2.1 Architecture: armhf Maintainer: Cédric Delfosse Installed-Size: 852 Depends: freeglut3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), gl-117-data (= 1.3.2-2.1) Homepage: http://www.heptargon.de/gl-117/gl-117.html Priority: optional Section: games Filename: pool/main/g/gl-117/gl-117_1.3.2-2.1_armhf.deb Size: 622392 SHA256: 3de76180d99d23f738af1e2f4ea201d05e9698ec21a3066eb8b0a4b9330b7676 SHA1: 166f8cd2b03ba7450951bdc1e9aa7484df982cd8 MD5sum: 008f279a721b34a4f205bd42b84c2031 Description: An action flight simulator gl-117 is a 3D action flight simulator featuring 20 missions, different fighters, a random terrain generator, lighting effects, sound effects, music, and joystick support. Package: gl-117-data Source: gl-117 Version: 1.3.2-2.1 Installed-Size: 6124 Maintainer: Cédric Delfosse Architecture: all Replaces: gl-117 (<< 1.2.0-3) Size: 1826308 SHA256: 1401a905178988b17ca61264039d3154f44a2d54d57368f2a536c5596f0d0c82 SHA1: 348af620f391e80c987efacadecbd2c63a3fc3b9 MD5sum: 1336e83675817125f61b4434fd22d2eb Description: Data files for gl-117 gl-117 is a 3D action flight simulator featuring 20 missions, different fighters, a random terrain generator, lighting effects, sound effects, music, and joystick support. . This packages contains musics, sounds, models and textures for gl-117. Homepage: http://www.heptargon.de/gl-117/gl-117.html Tag: game::simulation, interface::3d, role::app-data, uitoolkit::sdl, x11::application Section: games Priority: optional Filename: pool/main/g/gl-117/gl-117-data_1.3.2-2.1_all.deb Package: glabels Source: glabels (3.0.0-3) Version: 3.0.0-3+b1 Architecture: armhf Maintainer: Jakob Haufe Installed-Size: 1108 Depends: glabels-data (= 3.0.0-3), libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libebook-1.2-13 (>= 3.4.3), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.2), libgtk-3-0 (>= 3.0.9), libiec16022-0, libpango1.0-0 (>= 1.28.1), libqrencode3 (>= 3.2.0), librsvg2-2 (>= 2.32.0), libxml2 (>= 2.7.4) Recommends: evince Suggests: evolution-data-server Provides: libglabels5 Homepage: http://glabels.sourceforge.net/ Priority: optional Section: gnome Filename: pool/main/g/glabels/glabels_3.0.0-3+b1_armhf.deb Size: 521896 SHA256: 2acd26a97b2777fa029d7f0f75eb01ec95848654606cbf84a1decc6a07c098cb SHA1: 91a189a88f43f37bb7e12ba76274b3240cd76711 MD5sum: e3b9cf41a28d7782e9f65050208c9ab0 Description: label, business card and media cover creation program for GNOME gLabels is a lightweight program for creating labels, barcodes, business cards and media covers for the GNOME desktop environment. It is designed to work with various laser/ink-jet peel-off label and business card sheets that you'll find at most office supply stores. . gLabels also supports mail merge from sources such as CSV files, vCards and Evolution data servers. Package: glabels-data Source: glabels Version: 3.0.0-3 Installed-Size: 4237 Maintainer: Jakob Haufe Architecture: all Depends: gnome-desktop-data, dconf-gsettings-backend | gsettings-backend Size: 2308012 SHA256: f5c72ea6f4d4650710dbcd2387bbadb989823a0bea6ea11dc45dd8fb018e3103 SHA1: c979b105cfa199ec3845b37c5daa1e92134d378b MD5sum: 65f7ba7f6ef13bed790eb72c7c54117a Description: data files for gLabels gLabels is a lightweight program for creating labels, barcodes, business cards and media covers for the GNOME desktop environment. . This package contains gLabel's default set of label, business card and media cover templates. Homepage: http://glabels.sourceforge.net/ Tag: role::app-data, suite::gnome, uitoolkit::gtk, use::printing Section: gnome Priority: optional Filename: pool/main/g/glabels/glabels-data_3.0.0-3_all.deb Package: glabels-dev Source: glabels (3.0.0-3) Version: 3.0.0-3+b1 Architecture: armhf Maintainer: Jakob Haufe Installed-Size: 909 Depends: glabels (= 3.0.0-3+b1), glabels-data (= 3.0.0-3), libglib2.0-dev, libxml2-dev Homepage: http://glabels.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/g/glabels/glabels-dev_3.0.0-3+b1_armhf.deb Size: 257850 SHA256: 0eb1363904cb3e50f9e50f115620c1c2d63c41763e2450e050b2b104b08a0c63 SHA1: 1a5a63dda138485936457ea0768141a6945c6ea6 MD5sum: acbc812790d0adf43e6cbfd052cd8a47 Description: development documentation and library files for gLabels gLabels is a lightweight program for creating labels, barcodes, business cards and media covers for the GNOME desktop environment. . This package provides the development environment for libglabels, which is meant to facilitate 3rd party use of glabels templates. Package: glade Version: 3.12.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1737 Depends: libc6 (>= 2.13-28), libgladeui-2-0, libglib2.0-0 (>= 2.18.0), libgtk-3-0 (>= 3.4.0) Recommends: libgtk-3-dev, devhelp Homepage: http://glade.gnome.org/ Priority: optional Section: devel Filename: pool/main/g/glade/glade_3.12.1-1_armhf.deb Size: 971954 SHA256: 925baeadf58f0e10b68a3f9d1e5f356ad5e513b569a899e6a4273be2a4360b3e SHA1: 4b66f0e7961da7d75792a67a5fd80571eb1eb458 MD5sum: 1dbbd8038c838c7ff09981cb068564e2 Description: GTK+ User Interface Builder Glade is a RAD tool to enable quick and easy development of user interfaces for the GTK+ toolkit. . The user interfaces designed in Glade are stored in the well-known XML format, enabling easy integration with external tools. You will probably want to use it with tools such as libglade, which can load the XML files and create the interfaces at runtime. . This version is more modular than previous ones, so you can install modules to add additional widgets for Glade to use. Package: glade-xfce Source: libxfce4ui Version: 4.8.1-1 Architecture: armhf Maintainer: Debian Xfce Maintainers Installed-Size: 104 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgladeui-1-9, libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libstartup-notification0 (>= 0.2), libx11-6, libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), libxml2 (>= 2.6.27) Recommends: glade, libxfce4ui-1-dev Homepage: http://www.xfce.org/ Priority: optional Section: xfce Filename: pool/main/libx/libxfce4ui/glade-xfce_4.8.1-1_armhf.deb Size: 28950 SHA256: 53a0856ffce0b0478e08f30348480630517a9ecaebd34353a983c896865785cb SHA1: f2ee238934d9fdc34254830d014859155264153b MD5sum: 04009ba5f2d35a7d08a19c2b836b757e Description: glade modules for xfce This package contains the modules that allow Glade to provide Xfce widgets in its palette. Package: gladish Source: ladish Version: 1+dfsg0-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 506 Depends: ladish (= 1+dfsg0-3), libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libflowcanvas5, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnomecanvas2-0 (>= 2.11.1), libgnomecanvasmm-2.6-1c2a (>= 2.23.1), libgtk2.0-0 (>= 2.20.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6) Recommends: laditools Homepage: http://ladish.org/ Priority: optional Section: sound Filename: pool/main/l/ladish/gladish_1+dfsg0-3_armhf.deb Size: 155346 SHA256: 0914ee1f0669166a4a04cc5edf5c7621747aed234a20ae5a2ff45fdc96c101e0 SHA1: 16974f7ff343962ab2555b93c5cbe9f84bb50c9a MD5sum: 88f7db4d79978f0766a9e317325f3a17 Description: graphical interface for LADI Session Handler LADI Session Handler or simply ladish is a session management system for JACK applications on GNU/Linux. Its aim is to allow you to have many different audio programs running at once, to save their setup, close them down and then easily reload the setup at some other time. . This package provides a graphical interface to ladish. Package: glam2 Version: 1064-1 Architecture: armhf Maintainer: Debian-Med Packaging Team Installed-Size: 409 Depends: libc6 (>= 2.13-28), libfftw3-3 Homepage: http://bioinformatics.org.au/glam2/ Priority: optional Section: science Filename: pool/main/g/glam2/glam2_1064-1_armhf.deb Size: 246290 SHA256: 6183887513fb0b9c789aa6037ae1448b92e7838566c2a248637e268b0728db62 SHA1: f8bcaec541ef55455422862278f1576ca08450ea MD5sum: 747e1be914541bea9740637ca0242167 Description: gapped protein motifs from unaligned sequences GLAM2 is a software package for finding motifs in sequences, typically amino-acid or nucleotide sequences. A motif is a re-occurring sequence pattern: typical examples are the TATA box and the CAAX prenylation motif. The main innovation of GLAM2 is that it allows insertions and deletions in motifs. . The package includes these programs: glam2: discovering motifs shared by a set of sequences; glam2scan: finding matches, in a sequence database, to a motif discovered by glam2; glam2format: converting glam2 motifs to standard alignment formats; glam2mask: masking glam2 motifs out of sequences, so that weaker motifs can be found; glam2-purge: removing highly similar members of a set of sequences. . In this package, the fast Fourier algorithm (FFT) was enabled for glam2. . If you use GLAM2, please cite: MC Frith, NFW Saunders, B Kobe, TL Bailey (2008) Discovering sequence motifs with arbitrary insertions and deletions, PLoS Computational Biology (in press). Package: glance Version: 2012.1.1-5 Installed-Size: 29 Maintainer: PKG OpenStack Architecture: all Depends: glance-api (= 2012.1.1-5), glance-registry (= 2012.1.1-5) Size: 5292 SHA256: d6c3d1fefcbe67c0c2afb149979b96e6c7c922c22eb391484588e2a2929d3008 SHA1: 7ae2ee85971aaee3a3da8f0798d4a2c7ca40feeb MD5sum: 8b26910bccbd6bfab66e533ccd4b1de8 Description: OpenStack Image Service - metapackage The Glance project provides services for discovering, registering, and retrieving virtual machine images over the cloud. They may be stand-alone services, or may be used to deliver images from object stores, such as OpenStack's Swift service, to Nova's compute nodes. . This is a dependency package to install all of the Glance suite. Homepage: http://launchpad.net/glance Section: python Priority: extra Filename: pool/main/g/glance/glance_2012.1.1-5_all.deb Package: glance-api Source: glance Version: 2012.1.1-5 Installed-Size: 138 Maintainer: PKG OpenStack Architecture: all Depends: python, glance-common (= 2012.1.1-5) Size: 25588 SHA256: 0d421d11a9c02b6d243e7317d18d461d8799066cf739d7be8125353e2622ce68 SHA1: a051ecf3660b8fea76ff46c920866343401e772c MD5sum: e3a8eb3ff23bfb76a815011003dee0c7 Description: OpenStack Image Service - API server The Glance project provides services for discovering, registering, and retrieving virtual machine images over the cloud. They may be stand-alone services, or may be used to deliver images from object stores, such as OpenStack's Swift service, to Nova's compute nodes. . This package contains the Glance API server. Homepage: http://launchpad.net/glance Section: python Priority: extra Filename: pool/main/g/glance/glance-api_2012.1.1-5_all.deb Package: glance-common Source: glance Version: 2012.1.1-5 Installed-Size: 142 Maintainer: PKG OpenStack Architecture: all Replaces: glance (<< 2012.1~e2-3) Depends: python, debconf (>= 0.5) | debconf-2.0, python-glance (= 2012.1.1-5), adduser, debconf Size: 27624 SHA256: 16bab5741277172be653ab7281d3928dc0e6e174bbb2a78a6542acc387de826b SHA1: 0d9ced386a05a4bee488bc3f8198cd4555ed8214 MD5sum: 26fa962334159763f42b34887d7f7ea8 Description: OpenStack Image Service - common files The Glance project provides services for discovering, registering, and retrieving virtual machine images over the cloud. They may be stand-alone services, or may be used to deliver images from object stores, such as OpenStack's Swift service, to Nova's compute nodes. . This package contains common files for Glance. Homepage: http://launchpad.net/glance Section: python Priority: extra Filename: pool/main/g/glance/glance-common_2012.1.1-5_all.deb Package: glance-registry Source: glance Version: 2012.1.1-5 Installed-Size: 77 Maintainer: PKG OpenStack Architecture: all Depends: python, debconf (>= 0.5) | debconf-2.0, glance-common (= 2012.1.1-5), dbconfig-common, debconf Size: 14886 SHA256: 915bf63d794468da05d34762f51ce53335364c9dceacf0019d09362b58cb4253 SHA1: dd16d4227ed07b64d219a31e25e27370b8fb744b MD5sum: 08524f6af4c919aae9b7fe7b711263bf Description: OpenStack Image Service - registry server The Glance project provides services for discovering, registering, and retrieving virtual machine images over the cloud. They may be stand-alone services, or may be used to deliver images from object stores, such as OpenStack's Swift service, to Nova's compute nodes. . This package contains the Glance registry server. Homepage: http://launchpad.net/glance Section: python Priority: extra Filename: pool/main/g/glance/glance-registry_2012.1.1-5_all.deb Package: glark Version: 1.8.0-1.1~deb7u1 Installed-Size: 142 Maintainer: Michael Ablassmeier Architecture: all Depends: ruby1.8 Size: 38720 SHA256: 08946447aac074feabb2418f6ec833e64a333869abb3e1fa9d89690e86b6c1a4 SHA1: 564ea7e186b9cd7822a3288f30e8ccf90746c742 MD5sum: 3741360d77359f364e811a539e7b4ad4 Description: pattern matching tool similar to grep glark is a program like 'grep' to search for text in files. It can be used from the command line or in scripts. . In addition to many features of GNU grep, glark offers Perl compatible regular expressions, highlighting of matches, complex expressions, and automatic exclusion of non-text files. Tag: implemented-in::ruby, interface::commandline, role::program, scope::utility, use::searching, works-with::text Section: utils Priority: optional Filename: pool/main/g/glark/glark_1.8.0-1.1~deb7u1_all.deb Package: glassfish-activation Source: glassfish Version: 1:2.1.1-b31g-3 Installed-Size: 150 Maintainer: Debian Java Maintainers Architecture: all Size: 77448 SHA256: 9f788674c69167efec7916bb38cddc4530d6fe81e1e2b72edbd0c42424570423 SHA1: 2d6472355301d7850fbda6fc7e24aeb2e65191db MD5sum: c973de915f262f3dc48522d0909146fb Description: Open source Java EE 5 Application Server The GlassFish community is building free, open source, production-quality, enterprise software. The main deliverables are an Application Server, the Java EE 5 Reference Implementation, and the Java Persistence API Reference Implementation, TopLink Essentials. . This package ships only the activation part of GlassFish. Homepage: https://glassfish.dev.java.net/ Section: java Priority: optional Filename: pool/main/g/glassfish/glassfish-activation_2.1.1-b31g-3_all.deb Package: glassfish-appserv Source: glassfish Version: 1:2.1.1-b31g-3 Installed-Size: 763 Maintainer: Debian Java Maintainers Architecture: all Size: 621772 SHA256: d66e354dd9a0de4ac5fc0980bf1658e9915035e15c513735a7bdb2836f2d4e75 SHA1: 1c52046487da23fcd3a80e80d49463990262a19f MD5sum: 47cd1b3215b58b18f13759493f528eda Description: Open source Java EE 5 Application Server The GlassFish community is building free, open source, production-quality, enterprise software. The main deliverables are an Application Server, the Java EE 5 Reference Implementation, and the Java Persistence API Reference Implementation, TopLink Essentials. . This package ships only the Application Server components of GlassFish. Homepage: https://glassfish.dev.java.net/ Section: java Priority: optional Filename: pool/main/g/glassfish/glassfish-appserv_2.1.1-b31g-3_all.deb Package: glassfish-javaee Source: glassfish Version: 1:2.1.1-b31g-3 Installed-Size: 1768 Maintainer: Debian Java Maintainers Architecture: all Size: 1419304 SHA256: e3dd3aff673ea9feabc08f7d02b16dea071e7c06c39c7d53346bdd7d0d736b83 SHA1: 545bbebf316f7778c3e55e8264434bb57fc3838e MD5sum: 33d67842bc6db894afa5f14608b3b75b Description: Open source Java EE 5 Application Server The GlassFish community is building free, open source, production-quality, enterprise software. The main deliverables are an Application Server, the Java EE 5 Reference Implementation, and the Java Persistence API Reference Implementation, TopLink Essentials. . This package ships only the Java EE 5 Reference Implementation components of GlassFish. Homepage: https://glassfish.dev.java.net/ Section: java Priority: optional Filename: pool/main/g/glassfish/glassfish-javaee_2.1.1-b31g-3_all.deb Package: glassfish-jmac-api Source: glassfish Version: 1:2.1.1-b31g-3 Installed-Size: 112 Maintainer: Debian Java Maintainers Architecture: all Size: 27640 SHA256: 8b7be6159b2f26b8e74176d5c82fdef728f4f47306e0e0ef12b363d9720e80b9 SHA1: d53eadd28393f7e6206b4fdb92af97a46cf43e68 MD5sum: 645cadd926e4b2a1c95b37b65ee3dafc Description: Open source Java EE 5 Application Server The GlassFish community is building free, open source, production-quality, enterprise software. The main deliverables are an Application Server, the Java EE 5 Reference Implementation, and the Java Persistence API Reference Implementation, TopLink Essentials. . This package ships only the Jmac API components of GlassFish. Homepage: https://glassfish.dev.java.net/ Section: java Priority: optional Filename: pool/main/g/glassfish/glassfish-jmac-api_2.1.1-b31g-3_all.deb Package: glassfish-mail Source: glassfish Version: 1:2.1.1-b31g-3 Installed-Size: 484 Maintainer: Debian Java Maintainers Architecture: all Size: 396160 SHA256: 7a7a898c6a415d88ff71fd9278867370c8134ef4e82dc606571b7bbd239b27ea SHA1: fcbb63950fff3efe15f122d2900dae0dd01794f7 MD5sum: b804d30e0cf78115ce88099558b45149 Description: Open source Java EE 5 Application Server The GlassFish community is building free, open source, production-quality, enterprise software. The main deliverables are an Application Server, the Java EE 5 Reference Implementation, and the Java Persistence API Reference Implementation, TopLink Essentials. . This package ships only the Java Mail components of GlassFish. Homepage: https://glassfish.dev.java.net/ Section: java Priority: optional Filename: pool/main/g/glassfish/glassfish-mail_2.1.1-b31g-3_all.deb Package: glassfish-toplink-essentials Source: glassfish Version: 1:2.1.1-b31g-3 Installed-Size: 2625 Maintainer: Debian Java Maintainers Architecture: all Size: 2418154 SHA256: 43b4b30eac050104bf8cd908053248266ec2a4059a5b5c903cdbf082319da620 SHA1: 519d6b341c8bef719c3e7238ba938395748564ab MD5sum: f9d724c5c2d5c4183fa8f8ec58ad3088 Description: Open source Java EE 5 Application Server The GlassFish community is building free, open source, production-quality, enterprise software. The main deliverables are an Application Server, the Java EE 5 Reference Implementation, and the Java Persistence API Reference Implementation, TopLink Essentials. . This package ships only the Toplink Essentials components of GlassFish. Homepage: https://glassfish.dev.java.net/ Section: java Priority: optional Filename: pool/main/g/glassfish/glassfish-toplink-essentials_2.1.1-b31g-3_all.deb Package: glaurung Version: 2.2-2 Architecture: armhf Maintainer: Oliver Korff Installed-Size: 334 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Recommends: polyglot, xboard | knights Homepage: http://www.glaurungchess.com/ Priority: extra Section: games Filename: pool/main/g/glaurung/glaurung_2.2-2_armhf.deb Size: 137062 SHA256: e98655dab7237ccf329352c4827cdee8088a7e4b68a316a4b50a775f1e29c1db SHA1: e39d2d2ced7f6c9be1624ae662b17570d643024c MD5sum: bdf8b0b10c9633e6868b90937cdea9d1 Description: free UCI chess engine, to calculate chess moves This is a very strong chess engine, finished 4th place at internatonal ChessWar X. It uses the UCI (universal chess interface), for chess engines as communication protocol. This means to play against it, you have to use an UCI capable interface, like knights. Or an xboard adapter like polyglot. Package: glbsp Version: 2.24-1 Architecture: armhf Maintainer: Darren Salt Installed-Size: 45 Depends: libc6 (>= 2.4), libglbsp3 (>= 2.24) Priority: optional Section: utils Filename: pool/main/g/glbsp/glbsp_2.24-1_armhf.deb Size: 9530 SHA256: 7ee25e93fa59e4f1b78261dec3784b1082f0e0fe33c0179542816b5265910879 SHA1: 09da693a40820b098af7ba6462db8c4e371a2946 MD5sum: ae7b17a73f8683fbaf43a957b98b18d3 Description: nodes builder for Doom-style games; has support for OpenGL glBSP is a node builder specially designed to be used with OpenGL ports of the DOOM game engine. It adheres to the "GL-Friendly Nodes" specification, which means it adds some new special nodes to a WAD file that makes it very easy (and fast!) for an OpenGL DOOM engine to compute the polygons needed for drawing the levels. . There are many DOOM ports that understand the GL Nodes which glBSP creates, including EDGE, the Doomsday engine (JDOOM), Doom3D, PrBoom, and Vavoom. Package: glchess Source: gnome-games Version: 1:3.4.2-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 3810 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.30.0), libglu1-mesa | libglu1, libgtk-3-0 (>= 3.3.11), librsvg2-2 (>= 2.32.0), libsqlite3-0 (>= 3.5.9), libx11-6, dconf-gsettings-backend | gsettings-backend, gnome-games-data (= 1:3.4.2-3), gnuchess | sjeng | crafty | phalanx | glaurung | stockfish | hoichess | bbchess | fruit | toga2 | fairymax Breaks: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Replaces: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Homepage: http://live.gnome.org/GnomeGames Priority: optional Section: games Filename: pool/main/g/gnome-games/glchess_3.4.2-3_armhf.deb Size: 2450926 SHA256: 403f2d7c4a18365e860c7f7d39de393ed6117f82bc4777df70dbff086f3dc937 SHA1: fbf8b5d8b34241e442d6d7e3c44a1deff21c2c46 MD5sum: 612e529cd0ba63020f79452535bd097e Description: chess game with 3D graphics This is the chess game from the GNOME desktop. It allows one to play with most existing chess engines, or against a human opponent. Package: gle-doc Source: gle Version: 3.1.0-7 Installed-Size: 868 Maintainer: Jamie Wilkinson Architecture: all Replaces: libgle-doc (<= 3.0.7-2) Provides: libgle-doc Conflicts: libgle-doc (<= 3.0.7-2) Size: 535670 SHA256: 0467ac16cce01c49cf1ebf33a5ecbb859c1cebe592243e1360965454cd57c660 SHA1: 0f0f4931a8697a226df9b217a068cee4c7838d31 MD5sum: 5b509134e28b8a412cb45c55315caf58 Description: OpenGL tubing and extrusion library documentation The GLE Tubing and Extrusion library is an extension to OpenGL for drawing tubing and extrusions, including surfaces of revolution, sweeps, tubes, polycones, polycylinders, and helicoids. Generally the extruded surface is specified with a 2D polyline that is extruded along a 3D path. A local coordinate system allows for additional flexibility in the primitives drawn. Extrusions may be texture mapped in a variety of ways. . This package contains documentation and example code. Tag: devel::doc, devel::examples, devel::library, hardware::opengl, interface::3d, role::documentation, x11::library Section: doc Priority: optional Filename: pool/main/g/gle/gle-doc_3.1.0-7_all.deb Package: gle-graphics Version: 4.2.4c-5 Architecture: armhf Maintainer: Christian T. Steigies Installed-Size: 8205 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.12.0), libglu1-mesa | libglu1, libjpeg8 (>= 8c), libncurses5 (>= 5.5-5~), libpng12-0 (>= 1.2.13-4), libpoppler-glib8 (>= 0.18), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libtiff4 (>> 3.9.5-3~), libtinfo5, zlib1g (>= 1:1.1.4) Recommends: libgs9 Homepage: http://glx.sf.net Priority: optional Section: graphics Filename: pool/main/g/gle-graphics/gle-graphics_4.2.4c-5_armhf.deb Size: 4433432 SHA256: 35c70dcb408c9ef91a6f142fef48df679957e0e0e81affcc8a490c8a73ead4e8 SHA1: fcbe11ef5000cb923c2ee30abf80334d63a9edb0 MD5sum: 855d69a1e4074cae1cf9bcf2a059b39e Description: Graphics Layout Engine GLE is a graphics scripting language designed for creating publication quality figures such as charts, plots, graphs, and diagrams. It supports various chart types (including function plot, histogram, bar chart, scatter plot, contour plot, color map, and surface plot) through a simple but flexible set of graphing commands; more complex output can be created by relying on its scripting language, which is full featured with subroutines, variables, and logic control. . GLE relies on LaTeX for text output and supports mathematical formulae in graphs and figures. Output formats include (E)PS, PDF, JPEG, and PNG. Package: glee-dev Source: glee Version: 5.4.0-1 Architecture: armhf Maintainer: Miriam Ruiz Installed-Size: 1615 Depends: libglee0d1 (= 5.4.0-1) Suggests: libglee0d1-dbg Homepage: http://elf-stone.com/glee.php Priority: optional Section: libdevel Filename: pool/main/g/glee/glee-dev_5.4.0-1_armhf.deb Size: 245062 SHA256: 214bbf05e77783bdcd8599004d778727bb0d90228821004b17e4e0b238d8b691 SHA1: 408374ee2b05f53127c140adb2ae37a306c9b7db MD5sum: d7dcf4484a4019155a112970f71123ce Description: extension loading library for OpenGL - development GLee (GL Easy Extension library) is a free cross-platform extension loading library for OpenGL. It provides seamless support for OpenGL functions up to version 3.0 and 398 extensions. . Features: * Core functions up to OpenGL 3.0 * 398 extensions * Lazy loading for extension functions, so no initialisation code is required * Forced extension loading, though the GLeeForceLink function. . This package contains the development libraries and headers. Package: glest Source: megaglest Version: 3.6.0.3-1.2 Installed-Size: 50 Maintainer: Debian Games Team Architecture: all Depends: megaglest (>= 3.6.0.3-1.2) Size: 19946 SHA256: 839567edac787e0203f8e4daddc8f34bf3c2f4f2ee6c43231a7b7deb904fba78 SHA1: 4e63319a8e72a18e78aae86032ae36a1e6efdc51 MD5sum: 8a816b020558077bf94434ef9ef37d7c Description: Dummy transition package for megaglest This is a dummy package to ease the transition to megaglest, the fork of glest. Homepage: http://megaglest.org/ Tag: game::strategy, hardware::input:mouse, hardware::opengl, implemented-in::c++, interface::3d, interface::x11, role::dummy, role::program, uitoolkit::sdl, use::gameplaying, x11::application Section: oldlibs Priority: extra Filename: pool/main/m/megaglest/glest_3.6.0.3-1.2_all.deb Package: glest-data Source: megaglest-data Version: 3.6.0.3-1 Installed-Size: 66 Maintainer: Debian Games Team Architecture: all Depends: megaglest-data Size: 24398 SHA256: fca178f2facdb4e929a067209397819d4e0d8d7f5d61c560043cca81d588e174 SHA1: b74db9e814b1ac587f3a262959664938f342f972 MD5sum: 7e3f315ec9f844615958f2c535c78f0a Description: Dummy transition package for megaglest-data This is a dummy package to ease the transition to megaglest, the fork of glest-data. Homepage: http://megaglest.org/ Tag: role::app-data Section: oldlibs Priority: optional Filename: pool/main/m/megaglest-data/glest-data_3.6.0.3-1_all.deb Package: glew-utils Source: glew Version: 1.7.0-3 Architecture: armhf Maintainer: Matteo F. Vescovi Installed-Size: 395 Depends: libglew1.7 (= 1.7.0-3), libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libx11-6, libxext6, libxi6, libxmu6 Replaces: libglew1.6 (<< 1.7) Homepage: http://glew.sourceforge.net Priority: optional Section: utils Filename: pool/main/g/glew/glew-utils_1.7.0-3_armhf.deb Size: 132176 SHA256: 9f25c3595099ef62e6755904f1521d0c9d1606d5b6509b689ab0b70bb18afb82 SHA1: 7ae1ee15c593bd48b08fb1cc6cb262909e4da7c7 MD5sum: 18bc578722fc8a8623b1f58c828c8ea9 Description: OpenGL Extension Wrangler - utilities For more information about GLEW please refer to the description of the libglew-dev package. . This package contains the utilities which can be used to query the supported OpenGL extensions. Package: glfer Version: 0.4.2-2 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 172 Depends: fftw2, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Suggests: extra-xdg-menus Homepage: http://www.qsl.net/in3otd/glfer.html Priority: optional Section: hamradio Filename: pool/main/g/glfer/glfer_0.4.2-2_armhf.deb Size: 72926 SHA256: 123721b353da344d8b8dcf40048d6f6ed67f90c4ed231ec2d333aaeeb295cfb4 SHA1: a08483fbccb04b41e602878aacdbb90d1ca3e2fe MD5sum: 619253c202768530bc384158007271bb Description: program for reception and transmission of QRSS/DFCW signals Glfer is composed of two main parts: a spectrogram window, where you can see the spectrum of the received signal vs. time and transmission functions, to emit cw characters at a slow but precisely controlled speed, using the QRSS (slow CW) or DFCW (Dual Frequency CW) modes. Package: glhack Version: 1.2-1 Architecture: armhf Maintainer: Stefan Ritter Installed-Size: 3083 Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4) Homepage: http://glhack.sourceforge.net/ Priority: extra Section: games Filename: pool/main/g/glhack/glhack_1.2-1_armhf.deb Size: 1757734 SHA256: 2ff34029307af43846dda39c39113e577cd3b3bfecab0daf759dcd1b9007cbda SHA1: 4ab0a6cdecb00fb1de3fc13000dd6d20fac3c6e2 MD5sum: 1e2ccf18902f7f9f2d40dc082bcb8294 Description: Fullscreen SDL/OpenGL version of NetHack glHack is a port of the game NetHack, using hardware acceleration via OpenGL(R) to render the 2D tile graphics. It uses LibSDL as the video and input library. There is good support for software rendering (without OpenGL) as well. . glHack is just one of the many NetHack front-ends. Others include the original text-terminal (TTY) version, one for the X Window System, and one for GNOME called GnomeHack. . See the official NetHack website for complete information about the actual game. Package: glib-networking Version: 2.32.3-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 148 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0), libp11-kit0 (>= 0.11), libproxy0 (>= 0.2.3), glib-networking-services (>= 2.32.3-1), glib-networking-services (<< 2.32.3-1.1~), glib-networking-common (= 2.32.3-1), gsettings-desktop-schemas Breaks: libglib2.0-0 (<< 2.30.1-2) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/g/glib-networking/glib-networking_2.32.3-1_armhf.deb Size: 48412 SHA256: de867543b19f091f216a2db80bb59ea596350b14bd16e874f71fb8b30fe21521 SHA1: 515f8bf7457da7f2eb38f9d5983676bd7d8db700 MD5sum: 1dd19ceff05256b2ae823be378133a4b Description: network-related giomodules for GLib This package contains various network related extensions for the GIO library. Package: glib-networking-common Source: glib-networking Version: 2.32.3-1 Installed-Size: 658 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: glib-networking (<< 2.30.1-2) Recommends: glib-networking Breaks: glib-networking (<< 2.30.1-2) Size: 49370 SHA256: d7d5606ef8dafad4177d05fa899510df34de5ba3cf45adb13f46e32be027ed50 SHA1: 1ec2beb867ed6b1102f3ca706230779da008627e MD5sum: eb96d6ffea29d4757ce31f7e64b94726 Description: network-related giomodules for GLib - data files This package contains data files and translations for the GIO network extensions in glib-networking. Multi-Arch: foreign Section: libs Priority: optional Filename: pool/main/g/glib-networking/glib-networking-common_2.32.3-1_all.deb Package: glib-networking-dbg Source: glib-networking Version: 2.32.3-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 395 Depends: glib-networking (= 2.32.3-1) Priority: extra Section: debug Filename: pool/main/g/glib-networking/glib-networking-dbg_2.32.3-1_armhf.deb Size: 142302 SHA256: aa85a855e990d011536c37f060575746d79357c9650d9ed2697c83183eb49833 SHA1: 7b2ff1198e880d52b7752094839fcf2077e93488 MD5sum: ad58103cb854a6d2bb212d23545fa7a2 Description: network-related giomodules for GLib - debugging symbols This package contains the debugging symbols for the GIO extensions and D-Bus services in glib-networking. Package: glib-networking-services Source: glib-networking Version: 2.32.3-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 60 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.6), libproxy0 (>= 0.2.3), glib-networking-common (= 2.32.3-1) Recommends: glib-networking Breaks: glib-networking (<< 2.30.1-2) Replaces: glib-networking (<< 2.30.1-2) Multi-Arch: foreign Priority: optional Section: libs Filename: pool/main/g/glib-networking/glib-networking-services_2.32.3-1_armhf.deb Size: 12754 SHA256: d2710496a1afdec069a34a1c45b73711b79c78a8de3a622a1a8ac05165b8e0c2 SHA1: 33892d757e03ad038f32a9f4b0952798f0e30da3 MD5sum: bd9d5aba7a980d0df69b1624677c8c63 Description: network-related giomodules for GLib - D-Bus services This package contains D-Bus services that are used by the GIO network extensions in glib-networking, for actions that need to be done in a separate process. Package: glibc-doc Source: eglibc Version: 2.13-38+rpi2+deb7u12 Architecture: all Maintainer: GNU Libc Maintainers Installed-Size: 1904 Suggests: glibc-doc-reference Multi-Arch: foreign Homepage: http://www.eglibc.org Priority: optional Section: doc Filename: pool/main/e/eglibc/glibc-doc_2.13-38+rpi2+deb7u12_all.deb Size: 1899236 SHA256: 934c5b07cef6eb2f996f30f8130307c52ed4c1213bd551a585d8149550d3ddd2 SHA1: 110d72ece90418b45eac95f6b6f151d26b761b2e MD5sum: 15c98d2f6e7df4c203f9bdf5203a9e16 Description: Embedded GNU C Library: Documentation Contains man pages for libpthread functions and the complete GNU C Library ChangeLog. The GNU C Library Reference manual has been moved into glibc-doc-reference for licensing reasons. Package: glines Source: gnome-games Version: 1:3.4.2-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 3616 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.3.11), librsvg2-2 (>= 2.32.0), dconf-gsettings-backend | gsettings-backend, gnome-games-data (= 1:3.4.2-3) Recommends: gnome-games-extra-data Breaks: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Replaces: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Homepage: http://live.gnome.org/GnomeGames Priority: optional Section: games Filename: pool/main/g/gnome-games/glines_3.4.2-3_armhf.deb Size: 2711552 SHA256: 588b918403c3bf506764471112207e80a93a95b2ed8929022c0a5071aaf4ffad SHA1: 584658ce2c1be4fd6b1d83ec32b1f7f3bdddee2f MD5sum: ceb31deb7f8e65c5af40b5d9ab01691e Description: make color lines of five or more length This is a GNOME port of the once popular “Color Lines” game. . The game's objective is to align as often as possible five or more objects of the same color and shape causing them to disappear. Package: glipper Version: 2.3-3.1 Installed-Size: 540 Maintainer: Jose Ernesto Davila Pantoja Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), gconf2 (>= 2.28.1-2), python-gobject, python-gconf, python-gtk2, python-keybinder, python-xdg Recommends: python-prctl, yelp, python-crypto Size: 62518 SHA256: a52b5aa93576916f09d130aaed00c97103ac448465151cdd87f7597314198414 SHA1: 2568623d8b2cfd63ccba647ae20eb2b5a29a70cb MD5sum: 431ac738de9e568215858b997b493c1a Description: Clipboard manager for GNOME Glipper appears in the notification area. It maintains a history of text copied to the clipboard from which you can choose. It supports a configurable number and length of clipboard entries and saves the clipboard history on exit. It also uses plugins to give the user extra functionality, including support for Actions, Snippets and No-Paste. Homepage: http://launchpad.net/glipper Tag: implemented-in::python, interface::x11, role::plugin, suite::gnome, uitoolkit::gtk, use::editing, use::storing, works-with::software:running, works-with::text, x11::applet, x11::application Section: utils Priority: optional Filename: pool/main/g/glipper/glipper_2.3-3.1_all.deb Package: gliv Version: 1.9.7-2 Architecture: armhf Maintainer: Lorenzo De Liso Installed-Size: 731 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.31.8), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.8.0), libgtkglext1, libpango1.0-0 (>= 1.14.0), libx11-6 Homepage: http://guichaz.free.fr/gliv/ Priority: optional Section: graphics Filename: pool/main/g/gliv/gliv_1.9.7-2_armhf.deb Size: 220136 SHA256: 02e90064bbac45f02d16cb49e4e3ce67331bdf97c06a6de9263b078d778f01b1 SHA1: d571cbb1fb8dfe934311b0cb886c028355133d25 MD5sum: c169ad75a83552cb0f6896b68e0b098a Description: image viewer using gdk-pixbuf and OpenGL GLiv is an image viewer that uses gdk-pixbuf to load images, and OpenGL to render them. Moving and zooming is very fast and smooth if you have an OpenGL board. Package: glob2 Version: 0.9.4.4-2.1 Architecture: armhf Maintainer: David Martínez Moreno Installed-Size: 3951 Depends: libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libportaudio2 (>= 19+svn20101113), libsdl-image1.2 (>= 1.2.10), libsdl-net1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libspeex1 (>= 1.2~beta3-1), libstdc++6 (>= 4.6), libvorbisfile3 (>= 1.1.2), zlib1g (>= 1:1.1.4), glob2-data (= 0.9.4.4-2.1) Replaces: glob2-data (<< 0.9.2) Homepage: http://globulation2.org Priority: optional Section: games Filename: pool/main/g/glob2/glob2_0.9.4.4-2.1_armhf.deb Size: 1126016 SHA256: 6f1ac0279d8f31564264bba0dc67082c5f5bb42a96695e33a3b45b9e3f8d248f SHA1: 2292b1913020d991841e48ec7de345ea073d0c16 MD5sum: 08687ebd4401dbce5894a794f8fd982c Description: innovative state-of-the-art Real Time Strategy (RTS) game Globulation 2, in a whole, is an on-going project to create an innovative high quality gameplay by minimizing micro-management and assigning automatically the tasks to the units. The player just has to order the number of units he wants for a selected task and the units will do their best to satisfy his requirements. . Glob2 can be played by a single player, through your Local Area Network (LAN), or through Internet, thanks to Ysagoon Online Game (YOG), a meta-server. It also features a scripting language for versatile gameplay and an integrated map editor. Package: glob2-data Source: glob2 Version: 0.9.4.4-2.1 Installed-Size: 28772 Maintainer: David Martínez Moreno Architecture: all Size: 10346688 SHA256: 703a848bf0282d9d6a373e16d429c24b283b19519ae9595bd1c607c23a1d0915 SHA1: d0ad4383f7b0c0454d5346f81d3aa72f3c36b15a MD5sum: 4969eae4221974eda0e5fa377dfe1043 Description: dataset for Globulation2 (glob2) Globulation 2, in a whole, is an on-going project to create an innovative high quality gameplay by minimizing micro-management and assigning automatically the tasks to the units. The player just has to order the number of units he wants for a selected task and the units will do their best to satisfy his requirements. . These are the images, maps and the rest of architecture-independent dataset for Globulation 2. Homepage: http://globulation2.org Tag: role::app-data Section: games Priority: optional Filename: pool/main/g/glob2/glob2-data_0.9.4.4-2.1_all.deb Package: global Version: 5.7.1-2 Architecture: armhf Maintainer: Ron Lee Installed-Size: 1002 Depends: dpkg (>= 1.15.4) | install-info, libc6 (>= 2.4) Suggests: lynx | www-browser, doxygen (>= 1.4.3), apache | httpd, id-utils Priority: optional Section: devel Filename: pool/main/g/global/global_5.7.1-2_armhf.deb Size: 482446 SHA256: 610fff70ff2afe646ba38b8c70ad25fc60ef8308d00a54372a06a2bc13870226 SHA1: a94d1662e5fd40528a76d44e2cfccdc15c0b431b MD5sum: dc91953c4457ca36059367aa67509270 Description: Source code search and browse tools GNU GLOBAL is a source code tag system that works the same way across diverse environments. Currently, it supports the following: . o command line, and the 'globash' query subshell. o vim plugin, and support for other vi clones. o less o emacs o web browser . Supported languages are C, C++, yacc and Java. You can locate a specified function in the source files and move there easily. It is especially useful for hacking large projects containing many subdirectories, many '#ifdef' and many main() functions, like X or kernel source. . This package include the htmake(1) extension which allows GLOBAL's hypertext search function to be implemented from a single central cgi script. . Doxygen now supports using global as its source browser, with the USE_HTAGS option. Package: globs Version: 0.2.0~svn50-4 Architecture: armhf Maintainer: TANIGUCHI Takaki Installed-Size: 594 Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1 | fglrx-glx, libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-glade2 Homepage: http://globs.sourceforge.net/ Priority: extra Section: x11 Filename: pool/main/g/globs/globs_0.2.0~svn50-4_armhf.deb Size: 246606 SHA256: 979dc193695caa13f385c9252d9c4d1cad9d0bba6b35c51bcb6ab5f4089b6705 SHA1: aee34c8445728aea9bfcb57abd634658c442bbc0 MD5sum: d092daae2eeca8aa112583aad2d9569d Description: GL Open Benchmark Suite GL O.B.S. is based around a PyGTK interface that launches OpenGL programs feeding them with a common CLI options set which will affect their behavior, then receives back their statistical output. . It aims to provide a 3D benchmarking utility to the Linux users. Package: globus-authz-callout-error-dbg Source: globus-authz-callout-error Version: 2.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 49 Depends: libglobus-authz-callout-error0 (= 2.2-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-authz-callout-error/globus-authz-callout-error-dbg_2.2-1_armhf.deb Size: 5724 SHA256: c2530e5f1bdf5af49eedc2f1c0ad74749464ad60f242dcb93b9fa449f7fe3c1e SHA1: 204d33fe8a1327cd8d36fd069bdf4afd5a7b6ca7 MD5sum: de61b693a271efb88fc567d82beff602 Description: Globus Toolkit - Globus authz error library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-authz-callout-error-dbg package contains: Globus authz error library (used by globus authz callouts) Debug Symbols Package: globus-authz-dbg Source: globus-authz Version: 2.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 61 Depends: libglobus-authz0 (= 2.2-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-authz/globus-authz-dbg_2.2-1_armhf.deb Size: 10376 SHA256: dd0092049bc02194f0dc9637f560794f334d35cacb17d4fb935d6280fb771807 SHA1: 1d9465481c41ba5f32d4f02dac069c2b0d8701d4 MD5sum: 47f8b74e48691db05c143e37166115dd Description: Globus Toolkit - Globus authz library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-authz-dbg package contains: Globus authz library Debug Symbols Package: globus-callout-dbg Source: globus-callout Version: 2.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 66 Depends: libglobus-callout0 (= 2.2-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-callout/globus-callout-dbg_2.2-1_armhf.deb Size: 13288 SHA256: ab71455fcdcca8a5a1fb31cc0a1105e85ae8db57d6535ff1e48333df62c22421 SHA1: 0b987fd1a2756a4aa453ea86a89f3011c005f14e MD5sum: de474a895ca57eea5b1033534907bc7b Description: Globus Toolkit - Globus Callout Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-callout-dbg package contains: Globus Callout Library Debug Symbols Package: globus-common-dbg Source: globus-common Version: 14.7-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 673 Depends: libglobus-common0 (= 14.7-2) | globus-common-progs (= 14.7-2) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-common/globus-common-dbg_14.7-2_armhf.deb Size: 233316 SHA256: 3bef15adfb0b8d5aa3f70e77833697d4c9117a3010e1693251d05a86878fc96b SHA1: 5ee8ce7ee4ce6921efc957d7691a3d908d876681 MD5sum: c281f48c13671d8d812020dbf5bef569 Description: Globus Toolkit - Common Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-common-dbg package contains: Common Library Debug Symbols Package: globus-common-progs Source: globus-common Version: 14.7-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 136 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14) Conflicts: globus-openssl-progs (<< 6) Breaks: grid-packaging-tools (<< 3.4) Replaces: globus-openssl-progs (<< 6), grid-packaging-tools (<< 3.4) Provides: globus-openssl-progs Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-common/globus-common-progs_14.7-2_armhf.deb Size: 30210 SHA256: 4d84c247cd2eed39dfc5c2573ec0a03a62c3834019771f281383245727160512 SHA1: 2d4a8f3554dfe10f09c7382ddd8e1f14bc1976a2 MD5sum: 2e7e75c3b41a839830778ff8ca8ac1d7 Description: Globus Toolkit - Common Library Programs The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-common-progs package contains: Common Library Programs Package: globus-core Version: 8.8-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 230 Depends: perl, libxml-parser-perl Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-core/globus-core_8.8-2_armhf.deb Size: 38770 SHA256: 8ee617e51bc4968c10dc3a4cd47ecceddc510a189d4424423c11d5c9837ed256 SHA1: df2b1508b1ab8d66ef3e016e87b7c0ec83f5b303 MD5sum: aecd24e2c0ace467da5412bff3d2ec7b Description: Globus Toolkit - Globus Core The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-core package contains: Globus Core Package: globus-ftp-client-dbg Source: globus-ftp-client Version: 7.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 571 Depends: libglobus-ftp-client2 (= 7.3-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-ftp-client/globus-ftp-client-dbg_7.3-1_armhf.deb Size: 214788 SHA256: 742536ced36c82445b24f9b682667f5fa2aa5a9ebe15c1d5d154d40fd34abc92 SHA1: b684b9f1e4682a2e5502e77d3a6f2bc42ae810b5 MD5sum: 7ae067006c38203925967fb247aa2c28 Description: Globus Toolkit - GridFTP Client Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-ftp-client-dbg package contains: GridFTP Client Library Debug Symbols Package: globus-ftp-control-dbg Source: globus-ftp-control Version: 4.4-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 290 Depends: libglobus-ftp-control1 (= 4.4-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-ftp-control/globus-ftp-control-dbg_4.4-1_armhf.deb Size: 106194 SHA256: 9788e625eee0861e94d037d8934bb40f637eee6e05decdee717b09f24a2b294b SHA1: d89c87e6372a44b4945b0353d629cd8e7d9e5e5b MD5sum: 214cb74c8b71dc2d1e9c7a431f1f720d Description: Globus Toolkit - GridFTP Control Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-ftp-control-dbg package contains: GridFTP Control Library Debug Symbols Package: globus-gass-cache-dbg Source: globus-gass-cache Version: 8.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 106 Depends: libglobus-gass-cache5 (= 8.1-2) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gass-cache/globus-gass-cache-dbg_8.1-2_armhf.deb Size: 30314 SHA256: 370f050e35b1914ff91603638296e1c788365b9897d664c867774460645c8de5 SHA1: 8697a5664fd6bf0187fe4b51a8e8f8e4d3c8ea05 MD5sum: fb16f2645482bb0f08ec9c2d7c187d71 Description: Globus Toolkit - Globus Gass Cache Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gass-cache-dbg package contains: Globus Gass Cache Debug Symbols Package: globus-gass-cache-program Version: 5.1-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 97 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gass-cache5 (>= 8), libglobus-gass-copy2 (>= 8), libglobus-gass-server-ez2 (>= 4), libglobus-gass-transfer2 (>= 7), libglobus-gram-client3 (>= 12), perl Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-gass-cache-program/globus-gass-cache-program_5.1-1_armhf.deb Size: 19178 SHA256: 154203ebb9c3b5fca444f905281d87555649ca259581ae459fdb49979a7dcb87 SHA1: e796a31a146e2c4514644b8d40081c7908ba003f MD5sum: 784d6d83afec7469b78756a1b95c1955 Description: Globus Toolkit - Tools to manipulate local and remote GASS caches The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gass-cache-program package contains: Tools to manipulate local and remote GASS caches Package: globus-gass-cache-program-dbg Source: globus-gass-cache-program Version: 5.1-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 72 Depends: globus-gass-cache-program (= 5.1-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gass-cache-program/globus-gass-cache-program-dbg_5.1-1_armhf.deb Size: 15256 SHA256: 1ee856896c830b51096d00fadb89078a9b6778af61eb8945ca4af0905a21aa24 SHA1: 1927ce26a4a65057e50a8ee5569dcd838b508301 MD5sum: fad839467e3c8bfe410dac463b7b09b0 Description: Globus Toolkit - Tools to manipulate local and remote GASS caches Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gass-cache-program-dbg package contains: Tools to manipulate local and remote GASS caches Debug Symbols Package: globus-gass-copy-dbg Source: globus-gass-copy Version: 8.4-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 264 Depends: libglobus-gass-copy2 (= 8.4-1) | globus-gass-copy-progs (= 8.4-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gass-copy/globus-gass-copy-dbg_8.4-1_armhf.deb Size: 95348 SHA256: 8bee6277bcf036fdca6fc37ef5027df121db7cf557348f657b15451ccf35f4bd SHA1: e54815faa49cfbd51675299e8ca7e0a23e08cc02 MD5sum: ef028e32e9d14b76aada4ffadd346f79 Description: Globus Toolkit - Globus Gass Copy Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gass-copy-dbg package contains: Globus Gass Copy Debug Symbols Package: globus-gass-copy-progs Source: globus-gass-copy Version: 8.4-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 132 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-ftp-client2 (>= 7), libglobus-gass-copy2 (>= 8), libglobus-gass-transfer2 (>= 7), libglobus-gsi-sysconfig1 (>= 5), libglobus-gssapi-error2 (>= 4), libglobus-gssapi-gsi4 (>= 10), libglobus-io3 (>= 9), libssl1.0.0 (>= 1.0.0) Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-gass-copy/globus-gass-copy-progs_8.4-1_armhf.deb Size: 42100 SHA256: f54c59f5a06217173eb1980cc72cdd0a81e574b79a29c713aeef43ce34977b56 SHA1: 02d6759e5f07303d39f346e7eac10d76c3d343c9 MD5sum: bd43ffbffccb75e60ef03a4a52ff3927 Description: Globus Toolkit - Globus Gass Copy Programs The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gass-copy-progs package contains: Globus Gass Copy Programs Package: globus-gass-server-ez-dbg Source: globus-gass-server-ez Version: 4.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 86 Depends: libglobus-gass-server-ez2 (= 4.3-1) | globus-gass-server-ez-progs (= 4.3-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gass-server-ez/globus-gass-server-ez-dbg_4.3-1_armhf.deb Size: 18466 SHA256: 076f52e508143bab5bef7e689b99cd698f18afbd5e3e97a9b3920d6e1037e3d8 SHA1: 271370b3096067a8b1f3c6cee2ce8e13215c74e9 MD5sum: 66a4f015ea0b5948eb6e2d5c8d5dba40 Description: Globus Toolkit - Globus Gass Server_ez Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gass-server-ez-dbg package contains: Globus Gass Server_ez Debug Symbols Package: globus-gass-server-ez-progs Source: globus-gass-server-ez Version: 4.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 59 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gass-server-ez2 (>= 4), libglobus-gass-transfer2 (>= 7), libglobus-gss-assist3 (>= 8), libglobus-gssapi-gsi4 (>= 10) Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-gass-server-ez/globus-gass-server-ez-progs_4.3-1_armhf.deb Size: 8684 SHA256: 86eeecede6edb583c2286ba722c993982e81e9e5393e4501fc2a95ff10706210 SHA1: 1ac7b9b9a14a84a48e795838067dc11143bcd4bf MD5sum: dc7cd352d5238e88d5f0dcc558e0725f Description: Globus Toolkit - Globus Gass Server_ez Programs The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gass-server-ez-progs package contains: Globus Gass Server_ez Programs Package: globus-gass-transfer-dbg Source: globus-gass-transfer Version: 7.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 211 Depends: libglobus-gass-transfer2 (= 7.2-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gass-transfer/globus-gass-transfer-dbg_7.2-1_armhf.deb Size: 67732 SHA256: 839bd87286538e98185a180687dff6d9a9c0d56356ae3df52b602934479eeaf2 SHA1: c16922749912cf5ba64de3ff98375343bed2d1dc MD5sum: c40d58ce6eb89512b80350bf3b69714c Description: Globus Toolkit - Globus Gass Transfer Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gass-transfer-dbg package contains: Globus Gass Transfer Debug Symbols Package: globus-gatekeeper Version: 9.11-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 139 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gss-assist3 (>= 8), libglobus-gssapi-gsi4 (>= 10), libssl1.0.0 (>= 1.0.0) Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-gatekeeper/globus-gatekeeper_9.11-1_armhf.deb Size: 33872 SHA256: 9aa5b9a9e550144b049cc5d63ae49520919390b9ac95e5299d214ca74b9dac0b SHA1: d8a7cfb50c305049a4242075ae17dfe6c85c0968 MD5sum: 3c549292ead37d647c774b6dec95742e Description: Globus Toolkit - Globus Gatekeeper The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gatekeeper package contains: Globus Gatekeeper Package: globus-gatekeeper-dbg Source: globus-gatekeeper Version: 9.11-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 135 Depends: globus-gatekeeper (= 9.11-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gatekeeper/globus-gatekeeper-dbg_9.11-1_armhf.deb Size: 44220 SHA256: 2c087b3552a43f6afa6516c361cf77232a1aa0995d578e208c6e7e64ab1aacd8 SHA1: 889a2dff9482bac9453dfd9f5fe438217fcbcef9 MD5sum: 91b0c369f0762de98c90019d77e6285b Description: Globus Toolkit - Globus Gatekeeper Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gatekeeper-dbg package contains: Globus Gatekeeper Debug Symbols Package: globus-gfork-dbg Source: globus-gfork Version: 3.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 133 Depends: libglobus-gfork0 (= 3.2-1) | globus-gfork-progs (= 3.2-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gfork/globus-gfork-dbg_3.2-1_armhf.deb Size: 40138 SHA256: 01123469b97993ef40d0e0697ef05bb2fbd90fbc09c2582791cb36d7b93efbf1 SHA1: 2ff7e848b3bf7e03a66b617f1c3e47db1f951379 MD5sum: 4a60d08084abb5fc5a238e9334b6ba30 Description: Globus Toolkit - GFork Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gfork-dbg package contains: GFork Debug Symbols Package: globus-gfork-progs Source: globus-gfork Version: 3.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 70 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gfork0 (>= 3), libglobus-xio0 (>= 3) Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-gfork/globus-gfork-progs_3.2-1_armhf.deb Size: 14518 SHA256: fa94d1e05427b2a8bab05785efc1e6da1ab04a2051c3f114960ec0a28b15c548 SHA1: 82b838b8802769d44ff7a2a82c8f4680b5f81fe7 MD5sum: 5fa3456b1edee9c1a924de37e7b43ac6 Description: Globus Toolkit - GFork Programs The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gfork-progs package contains: GFork Programs - GFork is user configurable super-server daemon very similar to xinetd. It listens on a TCP port. When clients connect to a port it runs an administrator defined program which services that client connection, just as x/inetd do. Package: globus-gram-audit Version: 3.1-3 Installed-Size: 112 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-common0 (>= 14) Size: 11828 SHA256: 2861e609bd10c1e804a6e834d67bcae4825579f9b96c59ad650ff781019057a8 SHA1: dd790fa62f1ed79265af047dda9cfff6352b5981 MD5sum: 1027ff0519cf5f2d0f98d2af7ec84417 Description: Globus Toolkit - GRAM Jobmanager Auditing The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-audit package contains: GRAM Jobmanager Auditing Homepage: http://www.globus.org/ Section: net Priority: optional Filename: pool/main/g/globus-gram-audit/globus-gram-audit_3.1-3_all.deb Package: globus-gram-client-dbg Source: globus-gram-client Version: 12.4-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 106 Depends: libglobus-gram-client3 (= 12.4-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gram-client/globus-gram-client-dbg_12.4-1_armhf.deb Size: 27730 SHA256: 1f134bef586b10b0359bb7d2e2b064c4d0406d829db00a907b658d14a451edf1 SHA1: 81141261f49d8664b6fdbf91fae9e9b8985b7607 MD5sum: 7e24bf907aeed857d7573e55fe9f228f Description: Globus Toolkit - GRAM Client Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-client-dbg package contains: GRAM Client Library Debug Symbols Package: globus-gram-client-tools Version: 10.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 155 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gass-server-ez2 (>= 4), libglobus-gass-transfer2 (>= 7), libglobus-gram-client3 (>= 12), libglobus-gram-protocol3 (>= 11), libglobus-gss-assist3 (>= 8), libglobus-rsl2 (>= 9), globus-common-progs (>= 14) Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-gram-client-tools/globus-gram-client-tools_10.3-1_armhf.deb Size: 39606 SHA256: 081c04cc9aa07fedc9d640319e3543b9aa81b25ba667b8dc064e8c5f3c2350bc SHA1: 71100a445b642500829ad9fd489d36569af00752 MD5sum: 98d8caf98de2527944c4e15bf9bff784 Description: Globus Toolkit - Job Management Tools (globusrun) The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-client-tools package contains: Job Management Tools (globusrun) Package: globus-gram-client-tools-dbg Source: globus-gram-client-tools Version: 10.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 92 Depends: globus-gram-client-tools (= 10.3-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gram-client-tools/globus-gram-client-tools-dbg_10.3-1_armhf.deb Size: 22900 SHA256: 13320a400a3b965e249e91258884d8fa38cc6a5cca9a2572f07a2960e7b0dcd1 SHA1: 5cc9be0fe1497b377b34aeb3635df57dab0bcec2 MD5sum: 0c8f3e6803e20fe610ab60bf70632258 Description: Globus Toolkit - Job Management Tools (globusrun) Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-client-tools-dbg package contains: Job Management Tools (globusrun) Debug Symbols Package: globus-gram-job-manager Version: 13.33-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 634 Depends: libc6 (>= 2.13-28), libglobus-callout0 (>= 2), libglobus-common0 (>= 14), libglobus-gass-cache5 (>= 8), libglobus-gass-transfer2 (>= 7), libglobus-gram-job-manager-callout-error0 (>= 2), libglobus-gram-protocol3 (>= 11), libglobus-gsi-credential1 (>= 5), libglobus-gsi-sysconfig1 (>= 5), libglobus-gss-assist3 (>= 8), libglobus-gssapi-gsi4 (>= 10), libglobus-rsl2 (>= 9), libglobus-scheduler-event-generator0 (>= 4), libglobus-usage0 (>= 3), libglobus-xio0 (>= 3), libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.7.4), libglobus-xio-popen-driver0 (>= 2), globus-gram-job-manager-scripts (>= 4), globus-gass-copy-progs (>= 8), globus-proxy-utils (>= 5), globus-gass-cache-program (>= 5), globus-gatekeeper (>= 9) Recommends: globus-gram-job-manager-doc (= 13.33-1) Breaks: globus-gram-job-manager-doc (<< 10.59) Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-gram-job-manager/globus-gram-job-manager_13.33-1_armhf.deb Size: 247240 SHA256: 181c503a35492128b05b4fb1c6804961fb4f52cbbf7c10a512839b927d2064af SHA1: 15637bc2b244552a03b89318bb53972724074dda MD5sum: cf081e15785cabb658617b2b734d2081 Description: Globus Toolkit - GRAM Jobmanager The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager package contains: GRAM Jobmanager Package: globus-gram-job-manager-callout-error-dbg Source: globus-gram-job-manager-callout-error Version: 2.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 50 Depends: libglobus-gram-job-manager-callout-error0 (= 2.1-2) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gram-job-manager-callout-error/globus-gram-job-manager-callout-error-dbg_2.1-2_armhf.deb Size: 5792 SHA256: 6eeffcec23e51aa0f721c1bdf05cd9ab358ec93be5395d76ff485c64467baeb6 SHA1: 6a0808cd1b77b26a074534b4f593a48a0f3ed1ae MD5sum: 65627ca7d03e115f477eb3174714647d Description: Globus Toolkit - Globus GRAM Jobmanager Callout Errors Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-callout-error-dbg package contains: Globus GRAM Jobmanager Callout Errors Debug Symbols Package: globus-gram-job-manager-condor Version: 1.3-1 Installed-Size: 102 Maintainer: Mattias Ellert Architecture: all Replaces: globus-gram-job-manager-setup-condor (<< 4.5), globus-gram-job-manager-setup-condor-doc (<< 4.5) Provides: globus-gram-job-manager-setup-condor Depends: perl, globus-gram-job-manager (>= 13), globus-gram-job-manager-scripts (>= 4), globus-gass-cache-program (>= 5), globus-common-progs (>= 14), globus-gatekeeper (>= 9) Conflicts: globus-gram-job-manager-setup-condor (<< 4.5), globus-gram-job-manager-setup-condor-doc (<< 4.5) Size: 9078 SHA256: 853a1b9e2b32c27a113fd763ffef2895cee212daa602ca8532435ea67a92e240 SHA1: 759b675d0804d0672c3eaa8cb73bcbbcbd44230b MD5sum: 1411b3fa10f92c818862011d88c95c18 Description: Globus Toolkit - Condor Job Manager Support The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-condor package contains: Condor Job Manager Support Homepage: http://www.globus.org/ Section: net Priority: optional Filename: pool/main/g/globus-gram-job-manager-condor/globus-gram-job-manager-condor_1.3-1_all.deb Package: globus-gram-job-manager-dbg Source: globus-gram-job-manager Version: 13.33-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 1193 Depends: globus-gram-job-manager (= 13.33-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gram-job-manager/globus-gram-job-manager-dbg_13.33-1_armhf.deb Size: 472872 SHA256: 63e64406a1d30eb0ff0dfcfdf9a711bef7c4545c054e13d9b21dd559aa263010 SHA1: 6486f33f23a9d51eb39b6c4e3d7505deeee7fba5 MD5sum: 229768303ad43aa70f30d6b94ee65711 Description: Globus Toolkit - GRAM Jobmanager Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-dbg package contains: GRAM Jobmanager Debug Symbols Package: globus-gram-job-manager-doc Source: globus-gram-job-manager Version: 13.33-1 Installed-Size: 358 Maintainer: Mattias Ellert Architecture: all Depends: globus-gram-job-manager (>= 13.33-1), libjs-jquery Size: 211286 SHA256: 275cc02767d60019b02e5a40a8590d0c96254acdba3dac74201b4af417865be6 SHA1: f5004c3a0aae1e9370ad4fa657022aa353759138 MD5sum: 91d043a4948740a9ec96d52b513467ae Description: Globus Toolkit - GRAM Jobmanager Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-doc package contains: GRAM Jobmanager Documentation Files Homepage: http://www.globus.org/ Tag: made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gram-job-manager/globus-gram-job-manager-doc_13.33-1_all.deb Package: globus-gram-job-manager-fork Version: 1.5-1 Installed-Size: 88 Maintainer: Mattias Ellert Architecture: all Replaces: globus-gram-job-manager-setup-fork (<< 4.3), globus-gram-job-manager-setup-fork-doc (<< 4.3) Provides: globus-gram-job-manager-setup-fork Depends: perl, globus-gram-job-manager (>= 13), globus-gram-job-manager-scripts (>= 4), globus-gass-cache-program (>= 5), globus-common-progs (>= 14), globus-gatekeeper (>= 9) Recommends: globus-gram-job-manager-fork-setup-poll | globus-gram-job-manager-fork-setup-seg Conflicts: globus-gram-job-manager-setup-fork (<< 4.3), globus-gram-job-manager-setup-fork-doc (<< 4.3) Size: 8758 SHA256: 6db6e2ea0c726c63e05ed9c55aa83679b0f3d40d3769f422351948c48c0f981e SHA1: 3b1a6ab7ef741d67b141fa80d2187eb4b8f273d2 MD5sum: a72284d0fdf3809e7db69ce4022daf6d Description: Globus Toolkit - Fork Job Manager Support The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-fork package contains: Fork Job Manager Support Homepage: http://www.globus.org/ Section: net Priority: optional Filename: pool/main/g/globus-gram-job-manager-fork/globus-gram-job-manager-fork_1.5-1_all.deb Package: globus-gram-job-manager-fork-dbg Source: globus-gram-job-manager-fork Version: 1.5-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 111 Depends: globus-gram-job-manager-fork-setup-seg (= 1.5-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gram-job-manager-fork/globus-gram-job-manager-fork-dbg_1.5-1_armhf.deb Size: 29208 SHA256: bcc6e27cff600323056d7e00e4495efd1272a2c94c6dc7b92093a8ac92793bcd SHA1: e1214411c6db2a781b06a9e8996283bf9fb4a5e7 MD5sum: a8209064dabdd7aeadfcee8298ff96c1 Description: Globus Toolkit - Fork Job Manager Support Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-fork-dbg package contains: Fork Job Manager Support Debug Symbols Package: globus-gram-job-manager-fork-setup-poll Source: globus-gram-job-manager-fork Version: 1.5-1 Installed-Size: 38 Maintainer: Mattias Ellert Architecture: all Depends: globus-gram-job-manager-fork (= 1.5-1), globus-gram-job-manager-scripts (>= 4) Size: 2278 SHA256: 65ff33d96768fa60ec8937d53e538d2b79cc45487c4e88a1252a35179c565fcd SHA1: cb9795c569040fbb70c3f2e18461c9739eb7c400 MD5sum: 27a772d4a6e68b3ea60c7420f184bf80 Description: Globus Toolkit - Fork Job Manager Support using polling The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-fork-setup-poll package contains: Fork Job Manager Support using polling to monitor job state Homepage: http://www.globus.org/ Section: net Priority: optional Filename: pool/main/g/globus-gram-job-manager-fork/globus-gram-job-manager-fork-setup-poll_1.5-1_all.deb Package: globus-gram-job-manager-fork-setup-seg Source: globus-gram-job-manager-fork Version: 1.5-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 127 Depends: globus-gram-job-manager-fork (= 1.5-1), globus-gram-job-manager-scripts (>= 4), globus-scheduler-event-generator-progs (>= 4), libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gram-protocol3 (>= 11), libglobus-scheduler-event-generator0 (>= 4), libglobus-xio0 (>= 3) Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-gram-job-manager-fork/globus-gram-job-manager-fork-setup-seg_1.5-1_armhf.deb Size: 22116 SHA256: 5a3eb5344b9d8ac7d9aae5fdc24e8a42f346fb3b8cde7bd9d32e44735aea2f82 SHA1: 80589734a417f062b9d332016b169079ce270b09 MD5sum: a919d66a2ba6962c0569a543b954b472 Description: Globus Toolkit - Fork Job Manager Support using SEG The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-fork-setup-seg package contains: Fork Job Manager Support using the scheduler event generator to monitor job state Package: globus-gram-job-manager-pbs Version: 1.5-1 Installed-Size: 94 Maintainer: Mattias Ellert Architecture: all Replaces: globus-gram-job-manager-setup-pbs (<< 4.5), globus-gram-job-manager-setup-pbs-doc (<< 4.5) Provides: globus-gram-job-manager-setup-pbs Depends: perl, globus-gram-job-manager (>= 13), globus-gram-job-manager-scripts (>= 4), globus-gass-cache-program (>= 5), globus-common-progs (>= 14), globus-gatekeeper (>= 9) Recommends: globus-gram-job-manager-pbs-setup-poll | globus-gram-job-manager-pbs-setup-seg Conflicts: globus-gram-job-manager-setup-pbs (<< 4.5), globus-gram-job-manager-setup-pbs-doc (<< 4.5) Size: 8974 SHA256: 7de22aa03d98530944ab60c17af02edf21e032242cc897d404a08d986f4bd375 SHA1: a11481762833469c7f9b39956b355b25ea83afe4 MD5sum: 5841e07064765c73ac83c5a45b1543b8 Description: Globus Toolkit - PBS Job Manager Support The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-pbs package contains: PBS Job Manager Support Homepage: http://www.globus.org/ Section: net Priority: optional Filename: pool/main/g/globus-gram-job-manager-pbs/globus-gram-job-manager-pbs_1.5-1_all.deb Package: globus-gram-job-manager-pbs-dbg Source: globus-gram-job-manager-pbs Version: 1.5-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 68 Depends: globus-gram-job-manager-pbs-setup-seg (= 1.5-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gram-job-manager-pbs/globus-gram-job-manager-pbs-dbg_1.5-1_armhf.deb Size: 14170 SHA256: c756eaece67fbdee41df1d74be534cdac85051cdb61a7b5cae7422bb5c311667 SHA1: eb426a7d06b79c137dc662d0ee11685e30fbef16 MD5sum: 105c54fb218941a198d3872319a4a77d Description: Globus Toolkit - PBS Job Manager Support Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-pbs-dbg package contains: PBS Job Manager Support Debug Symbols Package: globus-gram-job-manager-pbs-setup-poll Source: globus-gram-job-manager-pbs Version: 1.5-1 Installed-Size: 38 Maintainer: Mattias Ellert Architecture: all Depends: globus-gram-job-manager-pbs (= 1.5-1), globus-gram-job-manager-scripts (>= 4) Size: 2272 SHA256: 09cfdde13cc9ac49b94ec3d00db0d1720d6af43d3bd0b59fa4167a704cb81e01 SHA1: 4e076ab9138353c88564bef24c9fb616ac27af21 MD5sum: 51eb055ed4e40266f75d833c0a452073 Description: Globus Toolkit - PBS Job Manager Support using polling The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-pbs-setup-poll package contains: PBS Job Manager Support using polling to monitor job state Homepage: http://www.globus.org/ Section: net Priority: optional Filename: pool/main/g/globus-gram-job-manager-pbs/globus-gram-job-manager-pbs-setup-poll_1.5-1_all.deb Package: globus-gram-job-manager-pbs-setup-seg Source: globus-gram-job-manager-pbs Version: 1.5-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 103 Depends: globus-gram-job-manager-pbs (= 1.5-1), globus-gram-job-manager-scripts (>= 4), globus-scheduler-event-generator-progs (>= 4), libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-scheduler-event-generator0 (>= 4) Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-gram-job-manager-pbs/globus-gram-job-manager-pbs-setup-seg_1.5-1_armhf.deb Size: 14670 SHA256: 9a973ce9b2f124526fdebc41cdb78e7def59eb3ad435a71c03ece9d77dfcdc80 SHA1: bba2ba82164acd16aa73965f4d9a6cb3b6956119 MD5sum: 7c01142d13758effc831dce1a79acb8c Description: Globus Toolkit - PBS Job Manager Support using SEG The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-pbs-setup-seg package contains: PBS Job Manager Support using the scheduler event generator to monitor job state Package: globus-gram-job-manager-scripts Version: 4.2-2 Installed-Size: 130 Maintainer: Mattias Ellert Architecture: all Depends: perl, globus-common-progs (>= 14) Recommends: globus-gram-job-manager-scripts-doc (= 4.2-2) Size: 21902 SHA256: 82b2b2f775410b9eee28a4ebbe6a1ad7f0a653a4b78208ed3f634af749ecb541 SHA1: 389251cf1bfb86353531aff47ef155ded52df361 MD5sum: 5b8b29d442a7411d050a00772f3b3609 Description: Globus Toolkit - GRAM Job ManagerScripts The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-scripts package contains: GRAM Job ManagerScripts Homepage: http://www.globus.org/ Section: net Priority: optional Filename: pool/main/g/globus-gram-job-manager-scripts/globus-gram-job-manager-scripts_4.2-2_all.deb Package: globus-gram-job-manager-scripts-doc Source: globus-gram-job-manager-scripts Version: 4.2-2 Installed-Size: 70 Maintainer: Mattias Ellert Architecture: all Depends: globus-gram-job-manager-scripts (= 4.2-2) Size: 7504 SHA256: 34c4abe2c31f537f728c96829fb690f18392260affc840d09f3eb0a207009be1 SHA1: ae1f367a5c7fbf4136245aa9a824578d39512ce5 MD5sum: 479e70f5eb20d005f71d11d147eaacc0 Description: Globus Toolkit - GRAM Job ManagerScripts Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-scripts-doc package contains: GRAM Job ManagerScripts Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gram-job-manager-scripts/globus-gram-job-manager-scripts-doc_4.2-2_all.deb Package: globus-gram-job-manager-sge Version: 1.5-1 Installed-Size: 107 Maintainer: Mattias Ellert Architecture: all Replaces: globus-gram-job-manager-setup-sge (<< 2.6), globus-gram-job-manager-setup-sge-doc (<< 2.6) Provides: globus-gram-job-manager-setup-sge Depends: perl, globus-gram-job-manager (>= 13), globus-gram-job-manager-scripts (>= 4), globus-gass-cache-program (>= 5), globus-common-progs (>= 14), globus-gatekeeper (>= 9) Recommends: globus-gram-job-manager-sge-setup-poll | globus-gram-job-manager-sge-setup-seg Conflicts: globus-gram-job-manager-setup-sge (<< 2.6), globus-gram-job-manager-setup-sge-doc (<< 2.6) Size: 12454 SHA256: f244c05bdfb182f8344fb759c62d205ed1f02c4a24cc6e01d625c03429271d31 SHA1: 4fe95c4719dcc0c309b3032b07ca59a63c963de2 MD5sum: e6c387429a5c4600d508bcc54e8d5a2f Description: Globus Toolkit - Grid Engine Job Manager Support The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-sge package contains: Grid Engine Job Manager Support Homepage: http://www.globus.org/ Section: net Priority: optional Filename: pool/main/g/globus-gram-job-manager-sge/globus-gram-job-manager-sge_1.5-1_all.deb Package: globus-gram-job-manager-sge-dbg Source: globus-gram-job-manager-sge Version: 1.5-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 69 Depends: globus-gram-job-manager-sge-setup-seg (= 1.5-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gram-job-manager-sge/globus-gram-job-manager-sge-dbg_1.5-1_armhf.deb Size: 14676 SHA256: 409ea304a250bb7ed25bb80cc897900fad1fb9ed8b421c95a224356d0bf38b13 SHA1: aa662b8d63905ccd292ab5e978fdea506efc44b1 MD5sum: 7ec780cccc2055e8d3f9b763e04ced59 Description: Globus Toolkit - Grid Engine Job Manager Support Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-sge-dbg package contains: Grid Engine Job Manager Support Debug Symbols Package: globus-gram-job-manager-sge-setup-poll Source: globus-gram-job-manager-sge Version: 1.5-1 Installed-Size: 38 Maintainer: Mattias Ellert Architecture: all Depends: globus-gram-job-manager-sge (= 1.5-1), globus-gram-job-manager-scripts (>= 4) Size: 2400 SHA256: 96f53367dc774f5f2ea16583d1aa203b7ba36745379185814c01da4488ebea04 SHA1: 08d0b7db4efeba24c15b1aabf98c6efe1de6179a MD5sum: 022dbf5cbf0f015a82e03cb4aea2a723 Description: Globus Toolkit - Grid Engine Job Manager Support using polling The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-sge-setup-poll package contains: Grid Engine Job Manager Support using polling to monitor job state Homepage: http://www.globus.org/ Section: net Priority: optional Filename: pool/main/g/globus-gram-job-manager-sge/globus-gram-job-manager-sge-setup-poll_1.5-1_all.deb Package: globus-gram-job-manager-sge-setup-seg Source: globus-gram-job-manager-sge Version: 1.5-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 99 Depends: globus-gram-job-manager-sge (= 1.5-1), globus-gram-job-manager-scripts (>= 4), globus-scheduler-event-generator-progs (>= 4), libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-scheduler-event-generator0 (>= 4) Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-gram-job-manager-sge/globus-gram-job-manager-sge-setup-seg_1.5-1_armhf.deb Size: 13578 SHA256: a3a20b9a321c17d7fab8014909f9cb2d68517aa464591c2e6a99a62f250bdd67 SHA1: 3f0da02fa882ef9259650ae93c690b33281e1d2b MD5sum: 35e3df36f7912476ba57c2c608d0632c Description: Globus Toolkit - Grid Engine Job Manager Support using SEG The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-job-manager-sge-setup-seg package contains: Grid Engine Job Manager Support using the scheduler event generator to monitor job state Package: globus-gram-protocol-dbg Source: globus-gram-protocol Version: 11.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 133 Depends: libglobus-gram-protocol3 (= 11.3-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gram-protocol/globus-gram-protocol-dbg_11.3-1_armhf.deb Size: 39014 SHA256: fcf689dfc43ed38e9fa1a2cad9421741e239c0550f5c651877805fa8ce8c3108 SHA1: 01e022a9ce141b7d332a63869064f33532b50b3b MD5sum: 0731d7ab260dd3cc5a66ba1788d6b9bc Description: Globus Toolkit - GRAM Protocol Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gram-protocol-dbg package contains: GRAM Protocol Library Debug Symbols Package: globus-gridftp-server-control-dbg Source: globus-gridftp-server-control Version: 2.5-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 283 Depends: libglobus-gridftp-server-control0 (= 2.5-2) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gridftp-server-control/globus-gridftp-server-control-dbg_2.5-2_armhf.deb Size: 100090 SHA256: 5ad3bab34c6b2f8d3d9c5b030cc42c45ae28fe83287845d6dd5f5f5c12108b54 SHA1: 1764183ec80b69955f6b467e02ecc901dbf24372 MD5sum: 2c3aef6a05558a7f5fd0147a687c7659 Description: Globus Toolkit - Globus GridFTP Server Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gridftp-server-control-dbg package contains: Globus GridFTP Server Library Debug Symbols Package: globus-gridftp-server-dbg Source: globus-gridftp-server Version: 6.10-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 779 Depends: libglobus-gridftp-server6 (= 6.10-2) | globus-gridftp-server-progs (= 6.10-2) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gridftp-server/globus-gridftp-server-dbg_6.10-2_armhf.deb Size: 301140 SHA256: a1be74cfd2921f28a8247bae6e1e247c5e0bca4424f952074c378ec7c5d29ce8 SHA1: 540fe92536c05bae5b816cc7a481a9957c2c6894 MD5sum: 65772ffee66776fd1e1d81e41959d3ad Description: Globus Toolkit - Globus GridFTP Server Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gridftp-server-dbg package contains: Globus GridFTP Server Debug Symbols Package: globus-gridftp-server-progs Source: globus-gridftp-server Version: 6.10-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 146 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglobus-common0 (>= 14), libglobus-gfork0 (>= 3), libglobus-gridftp-server6 (>= 6), libglobus-gssapi-gsi4 (>= 10), libglobus-usage0 (>= 3), libglobus-xio0 (>= 3), libglobus-xio-gsi-driver0 (>= 2) Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-gridftp-server/globus-gridftp-server-progs_6.10-2_armhf.deb Size: 44510 SHA256: 6edc50e4114e7345656931ab852f905fe1ca5cfc103d93c48458ccd27abb6ff8 SHA1: 555b9b14f3ff9051abea9503c62b7de9719d416c MD5sum: ece121a1f0038ca70eae6c0e2810029b Description: Globus Toolkit - Globus GridFTP Server Programs The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gridftp-server-progs package contains: Globus GridFTP Server Programs Package: globus-gridmap-callout-error-dbg Source: globus-gridmap-callout-error Version: 1.2-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 49 Depends: libglobus-gridmap-callout-error0 (= 1.2-2) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gridmap-callout-error/globus-gridmap-callout-error-dbg_1.2-2_armhf.deb Size: 5510 SHA256: bcd951f5f6e8a6895c8338a71434fff7b2582fcb0cc8340d6c98accac27cd6a5 SHA1: aff0cb67f0d5fd48207662ee692b989d75acab67 MD5sum: 5fe974db9bf6ff76b8e1f71f3351c6b0 Description: Globus Toolkit - Globus Gridmap Callout Errors Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gridmap-callout-error-dbg package contains: Globus Gridmap Callout Errors Debug Symbols Package: globus-gsi-callback-dbg Source: globus-gsi-callback Version: 4.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 214 Depends: libglobus-gsi-callback0 (= 4.2-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gsi-callback/globus-gsi-callback-dbg_4.2-1_armhf.deb Size: 73632 SHA256: 4d0b8f1ca994f2f00b7c32ab384551b87624f47cfe8717fbe432c17b6799195f SHA1: 6646b56ae3888e437a0aa278aed4e1c8e2ea8804 MD5sum: 49b0ab34642b7b35e42936583596076c Description: Globus Toolkit - Globus GSI Callback Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gsi-callback-dbg package contains: Globus GSI Callback Library Debug Symbols Package: globus-gsi-cert-utils-dbg Source: globus-gsi-cert-utils Version: 8.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 81 Depends: libglobus-gsi-cert-utils0 (= 8.3-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gsi-cert-utils/globus-gsi-cert-utils-dbg_8.3-1_armhf.deb Size: 20140 SHA256: 3f223e62fa3cf47f201c5155524049a1c0abffce66b28ae31b547fc282c3e20e SHA1: 908282446d1e1958646f60bb8e2b0ed8776281d8 MD5sum: 8dcdbab9bd05cc29543a335951140289 Description: Globus Toolkit - Globus GSI Cert Utils Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gsi-cert-utils-dbg package contains: Globus GSI Cert Utils Library Debug Symbols Package: globus-gsi-cert-utils-progs Source: globus-gsi-cert-utils Version: 8.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 115 Depends: globus-common-progs (>= 14), openssl Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-gsi-cert-utils/globus-gsi-cert-utils-progs_8.3-1_armhf.deb Size: 23946 SHA256: 3d05205e6bcd6c93abfd7a08ca39392e2d8d9307dcf57f5c78876006173a64d3 SHA1: 72eafb712af57e14109576e613229ae30c7456a3 MD5sum: 478406030070ae25b4710df44b07c798 Description: Globus Toolkit - Globus GSI Cert Utils Library Programs The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gsi-cert-utils-progs package contains: Globus GSI Cert Utils Library Programs Package: globus-gsi-credential-dbg Source: globus-gsi-credential Version: 5.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 148 Depends: libglobus-gsi-credential1 (= 5.3-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gsi-credential/globus-gsi-credential-dbg_5.3-1_armhf.deb Size: 47708 SHA256: f3b03c41f9f413d3f41e56cc177b3f98af495a5d1b2c4c5d1c3b3dfd3924aef5 SHA1: 7837d06066141355879fcc7dab99965c8a234c1e MD5sum: d002921128335e96aebe1acd9ae6368f Description: Globus Toolkit - Globus GSI Credential Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gsi-credential-dbg package contains: Globus GSI Credential Library Debug Symbols Package: globus-gsi-openssl-error-dbg Source: globus-gsi-openssl-error Version: 2.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 69 Depends: libglobus-gsi-openssl-error0 (= 2.1-2) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gsi-openssl-error/globus-gsi-openssl-error-dbg_2.1-2_armhf.deb Size: 12992 SHA256: e8c61d0ef39e3f4c359b4992dcbb494789321ee68e15d0c8c50d341f5e1ddb1f SHA1: f90bc0f10eeb202801143f8ea50428d51b7ca666 MD5sum: 11c3cc89de8f26f40253e539ed5f3eb5 Description: Globus Toolkit - Globus OpenSSL Error Handling Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gsi-openssl-error-dbg package contains: Globus OpenSSL Error Handling Debug Symbols Package: globus-gsi-proxy-core-dbg Source: globus-gsi-proxy-core Version: 6.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 150 Depends: libglobus-gsi-proxy-core0 (= 6.2-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gsi-proxy-core/globus-gsi-proxy-core-dbg_6.2-1_armhf.deb Size: 47256 SHA256: d3491053506c55ce4c43e3ea5c65955dd4f1f5446c3b6a73ae4e1b320f06ed9a SHA1: 457b8c27fdb1e2b2333ba3709c07bfe2d325302b MD5sum: a4bd28ef2fb1f1878bb85e28b66b9bb9 Description: Globus Toolkit - Globus GSI Proxy Core Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gsi-proxy-core-dbg package contains: Globus GSI Proxy Core Library Debug Symbols Package: globus-gsi-proxy-ssl-dbg Source: globus-gsi-proxy-ssl Version: 4.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 84 Depends: libglobus-gsi-proxy-ssl1 (= 4.1-2) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gsi-proxy-ssl/globus-gsi-proxy-ssl-dbg_4.1-2_armhf.deb Size: 17580 SHA256: 9f68492fbdf08dc9a37c2ba3e7db2bc4040d911483b6ca276a0a1fa8d955523f SHA1: 11e0d5a41437558547ee525ce3c9e32d8fca381d MD5sum: 908dc4af153853327fb0e016645c1c8d Description: Globus Toolkit - Globus GSI Proxy SSL Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gsi-proxy-ssl-dbg package contains: Globus GSI Proxy SSL Library Debug Symbols Package: globus-gsi-sysconfig-dbg Source: globus-gsi-sysconfig Version: 5.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 104 Depends: libglobus-gsi-sysconfig1 (= 5.2-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gsi-sysconfig/globus-gsi-sysconfig-dbg_5.2-1_armhf.deb Size: 29632 SHA256: 416eac78eaba6e809ef28460b5c20ad770d03af14997d8fbff700a667515800d SHA1: 845f546ba20f4c160a771f74588df80275af055f MD5sum: f2c17de75d2f5dfc66dee259f42b274a Description: Globus Toolkit - Globus GSI System Config Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gsi-sysconfig-dbg package contains: Globus GSI System Config Library Debug Symbols Package: globus-gss-assist-dbg Source: globus-gss-assist Version: 8.5-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 152 Depends: libglobus-gss-assist3 (= 8.5-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gss-assist/globus-gss-assist-dbg_8.5-1_armhf.deb Size: 44040 SHA256: e95b2017e54b9a48c93ae8e4623c526270e6d4ad72fdb820ecc429f2256efa31 SHA1: 5016ba55dc84bc4df4026905bad5345a8354a773 MD5sum: 89703d0030198e85dbfcc1a84c3f424b Description: Globus Toolkit - GSSAPI Assist library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gss-assist-dbg package contains: GSSAPI Assist library Debug Symbols Package: globus-gss-assist-progs Source: globus-gss-assist Version: 8.5-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 87 Depends: globus-common-progs (>= 14), perl Breaks: libglobus-gss-assist-doc (<< 5.9) Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-gss-assist/globus-gss-assist-progs_8.5-1_armhf.deb Size: 16208 SHA256: 33447f076159c7a29e16223b3f631894d65237a56b96301efc15d90765f4f12e SHA1: 6c8c02083ca4868036734108ec62b109390de3d6 MD5sum: 3fb483af3803f4827963c134e039db8a Description: Globus Toolkit - GSSAPI Assist library Programs The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gss-assist-progs package contains: GSSAPI Assist library Programs Package: globus-gssapi-error-dbg Source: globus-gssapi-error Version: 4.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 58 Depends: libglobus-gssapi-error2 (= 4.1-2) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gssapi-error/globus-gssapi-error-dbg_4.1-2_armhf.deb Size: 9250 SHA256: ecabc3600a72b44ca27329eef5d59e211d87f9ce68b9d8420a8ca16f841cb76c SHA1: 8eb3183e23099ce8a6cf36156d5a7bb3cba46811 MD5sum: 2d0bc5b0222812698f84e59efef502ce Description: Globus Toolkit - GSSAPI Error Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gssapi-error-dbg package contains: GSSAPI Error Library Debug Symbols Package: globus-gssapi-gsi-dbg Source: globus-gssapi-gsi Version: 10.6-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 723 Depends: libglobus-gssapi-gsi4 (= 10.6-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-gssapi-gsi/globus-gssapi-gsi-dbg_10.6-1_armhf.deb Size: 282914 SHA256: 9c95bf036443e78da49160024f8d685022d658dd3358e38e3c2ea1083dd1c049 SHA1: 6458402b2127e936181ce6b3f129acad9656357e MD5sum: 77a46dc07d45ddacdaa3ebb285d127a6 Description: Globus Toolkit - GSSAPI library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-gssapi-gsi-dbg package contains: GSSAPI library Debug Symbols Package: globus-io-dbg Source: globus-io Version: 9.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 201 Depends: libglobus-io3 (= 9.3-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-io/globus-io-dbg_9.3-1_armhf.deb Size: 61020 SHA256: 4548c6c0e8c39055ec7b33e250cc5f019a555d200f3c23d378666a3cc5b2a998 SHA1: 0339d942426b898d0867bd23c2c12ee06328e2fe MD5sum: fa1e3c860fc40a3d17535937c2b0ec8a Description: Globus Toolkit - uniform I/O interface Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-io-dbg package contains: uniform I/O interface to stream and datagram style communications Debug Symbols Package: globus-openssl-module-dbg Source: globus-openssl-module Version: 3.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 64 Depends: libglobus-openssl-module0 (= 3.2-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-openssl-module/globus-openssl-module-dbg_3.2-1_armhf.deb Size: 13740 SHA256: 42f151426eee33339885c318ef60d9596c801bbc63bffa856a6540d7533b4e1a SHA1: 72e116e9981aa7fb115714a96b64b0ad4ed12a18 MD5sum: ed9baf34b23a6bb0e12992ea15b9159d Description: Globus Toolkit - Globus OpenSSL Module Wrapper Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-openssl-module-dbg package contains: Globus OpenSSL Module Wrapper Debug Symbols Package: globus-openssl-module-progs Source: globus-openssl-module Version: 3.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 55 Depends: libglobus-common0 (>= 14), openssl, perl Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-openssl-module/globus-openssl-module-progs_3.2-1_armhf.deb Size: 5442 SHA256: c8fc80dcfbe5438feb0a3b4ba3dfe7cf3b75a6484ef166b3e229467e7bf756d4 SHA1: ed4795d4b31a0080187f750a9c6847d0c6558461 MD5sum: 727b5f30930c9a95df1a5c3a0c90fcc9 Description: Globus Toolkit - Globus OpenSSL Module Wrapper Programs The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-openssl-module-progs package contains: Globus OpenSSL Module Wrapper Programs Package: globus-proxy-utils Version: 5.0-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 132 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gsi-callback0 (>= 4), libglobus-gsi-credential1 (>= 5), libglobus-gsi-openssl-error0 (>= 2), libglobus-gsi-proxy-core0 (>= 6), libglobus-gsi-sysconfig1 (>= 5), libglobus-gss-assist3 (>= 8), libglobus-openssl-module0 (>= 3), libssl1.0.0 (>= 1.0.0) Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-proxy-utils/globus-proxy-utils_5.0-2_armhf.deb Size: 38304 SHA256: fb313fb6607ced939ba2857328bdd7ebecac36113cd7a4e6d3dd6fd69e638f18 SHA1: 34e91cb77d42c973ebb1604686a713f680ecb5cb MD5sum: ad2d652d64c504b71161d28dd028ccc9 Description: Globus Toolkit - Globus GSI Proxy Utility Programs The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-proxy-utils package contains: Globus GSI Proxy Utility Programs Package: globus-proxy-utils-dbg Source: globus-proxy-utils Version: 5.0-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 163 Depends: globus-proxy-utils (= 5.0-2) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-proxy-utils/globus-proxy-utils-dbg_5.0-2_armhf.deb Size: 54106 SHA256: 20d5dffa327d87d5999a40934ca8cb60c4780c8d31f285d2a7818a7c11f0457c SHA1: 53ec330e95c76a02659a425f98057e64c93d6efc MD5sum: ac4424502b1e550e54552d7dad405288 Description: Globus Toolkit - Globus GSI Proxy Utility Programs Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-proxy-utils-dbg package contains: Globus GSI Proxy Utility Programs Debug Symbols Package: globus-rls-client-dbg Source: globus-rls-client Version: 5.2-8 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 182 Depends: libglobus-rls-client5 (= 5.2-8) | globus-rls-client-progs (= 5.2-8) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-rls-client/globus-rls-client-dbg_5.2-8_armhf.deb Size: 60092 SHA256: 2fccd6794495101b5ae3f57a65425c8705e98a7468f5f9e889233d2e092d761f SHA1: b7240459c7b490a23b3b73085bb2f4a70deefc39 MD5sum: 7e0b9466cb542fe97d70f0a026087bdc Description: Globus Toolkit - Replica Location Service Client Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-rls-client-dbg package contains: Replica Location Service Client Debug Symbols Package: globus-rls-client-progs Source: globus-rls-client Version: 5.2-8 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 81 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-io3 (>= 9), libglobus-rls-client5 (>= 5.2-6~) Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-rls-client/globus-rls-client-progs_5.2-8_armhf.deb Size: 15874 SHA256: 639e34b937003f7d55408d832bfa1667f6736fb40141f85c3875f5d5b83a16a5 SHA1: fb372e791bccdd54417cc2a563c98d42075397f8 MD5sum: 823473b248793c2a028d35548186a925 Description: Globus Toolkit - Replica Location Service Client Programs The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-rls-client-progs package contains: Replica Location Service Client Programs Package: globus-rls-server Version: 4.9-11 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 287 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gss-assist3 (>= 8), libglobus-io3 (>= 9), libglobus-rls-client5 (>= 5.2-6~), libglobus-usage0 (>= 3), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), perl Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-rls-server/globus-rls-server_4.9-11_armhf.deb Size: 87992 SHA256: b3144f6f0effffb3a99b6eac855af69227453e759ca0746d55e240dc4c1434d3 SHA1: 81ea69be03b54a54f40abff0ba0f82505025d174 MD5sum: 958f005476f0d328c4b568183ae9776f Description: Globus Toolkit - Replica Location Service Server The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-rls-server package contains: Replica Location Service Server Replica Location Service Server Setup Package: globus-rls-server-dbg Source: globus-rls-server Version: 4.9-11 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 301 Depends: globus-rls-server (= 4.9-11) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-rls-server/globus-rls-server-dbg_4.9-11_armhf.deb Size: 111430 SHA256: 83f21c28c894a9a951fabc586c083ff39f259de7f3cfae5a1f8c541b92ee2970 SHA1: 7b114f5fb004d63c4965f8ba344d4d72dd66fcf7 MD5sum: 0a29518b7d8506dab88890e7bf7dcd77 Description: Globus Toolkit - Replica Location Service Server Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-rls-server-dbg package contains: Replica Location Service Server Debug Symbols Package: globus-rsl-dbg Source: globus-rsl Version: 9.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 105 Depends: libglobus-rsl2 (= 9.1-2) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-rsl/globus-rsl-dbg_9.1-2_armhf.deb Size: 28626 SHA256: 3368342e05d8c1246689f4357318a12b36f87f152ea52aa783c4d511554ac3e3 SHA1: cc78cef1d2588d6e33aff3e3c627bb83744819e9 MD5sum: 1bf76c9c2fd79fff8f0b618e6db6bfc1 Description: Globus Toolkit - Resource Specification Language Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-rsl-dbg package contains: Resource Specification Language Library Debug Symbols Package: globus-scheduler-event-generator-dbg Source: globus-scheduler-event-generator Version: 4.6-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 99 Depends: libglobus-scheduler-event-generator0 (= 4.6-1) | globus-scheduler-event-generator-progs (= 4.6-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-scheduler-event-generator/globus-scheduler-event-generator-dbg_4.6-1_armhf.deb Size: 23070 SHA256: 0203aef1b5c3b2b9f4e450504979e32e19347d8c20101f89c54c64edf7b7ccfc SHA1: c5f42f4cfc1fa55d1b9165da949be2496c30d59a MD5sum: 3d310b21d8af65ddadcad455f80e6f5a Description: Globus Toolkit - Scheduler Event Generator Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-scheduler-event-generator-dbg package contains: Scheduler Event Generator Debug Symbols Package: globus-scheduler-event-generator-progs Source: globus-scheduler-event-generator Version: 4.6-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 87 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-scheduler-event-generator0 (>= 4), libglobus-xio0 (>= 3), libglobus-xio-gsi-driver0 (>= 2) Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-scheduler-event-generator/globus-scheduler-event-generator-progs_4.6-1_armhf.deb Size: 14404 SHA256: 41e722f04b28b270dbd408c409d55c1c5d3b969ba61087cd4996a8617d5b0c27 SHA1: 8ba35b82612344032ee991da05cc4858e7dcba1a MD5sum: 7b36c4a23defb00a9ca3b370bd4af04e Description: Globus Toolkit - Scheduler Event Generator Programs The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-scheduler-event-generator-progs package contains: Scheduler Event Generator Programs Package: globus-simple-ca Version: 3.0-2 Installed-Size: 124 Maintainer: Mattias Ellert Architecture: all Depends: globus-common-progs (>= 14), openssl Size: 25632 SHA256: fc2819a8c6d3e909b88f30a48e56ae8ab93455bc2342bac8c386129e16362643 SHA1: 97f68bc8128e57bd6a100393586957d9e23b21e7 MD5sum: d0334901691a73aaee3242468f2c98fa Description: Globus Toolkit - Simple CA Utility The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-simple-ca package contains: Simple CA Utility Homepage: http://www.globus.org/ Section: net Priority: optional Filename: pool/main/g/globus-simple-ca/globus-simple-ca_3.0-2_all.deb Package: globus-usage-dbg Source: globus-usage Version: 3.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 65 Depends: libglobus-usage0 (= 3.1-2) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-usage/globus-usage-dbg_3.1-2_armhf.deb Size: 12800 SHA256: f3847443ca06b8915775c4cb44a6c39a9f218792f8095a33ff6a7406db58c99b SHA1: 066f061ff251dd391835272f89be8b9a4c02694d MD5sum: 9e00999eb7d9ef202da1e9d08314d255 Description: Globus Toolkit - Usage Library Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-usage-dbg package contains: Usage Library Debug Symbols Package: globus-xio-dbg Source: globus-xio Version: 3.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 675 Depends: libglobus-xio0 (= 3.3-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-xio/globus-xio-dbg_3.3-1_armhf.deb Size: 248134 SHA256: 56ecdd933776ec4b2dda02bd88c3468ca07e7e577b0363023ac60417e7387b92 SHA1: 242fb364786755fe54eb4b120f071f6e76709689 MD5sum: 6541409926687a9ace4a621ab6892864 Description: Globus Toolkit - Globus XIO Framework Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-xio-dbg package contains: Globus XIO Framework Debug Symbols Package: globus-xio-gsi-driver-dbg Source: globus-xio-gsi-driver Version: 2.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 104 Depends: libglobus-xio-gsi-driver0 (= 2.3-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-xio-gsi-driver/globus-xio-gsi-driver-dbg_2.3-1_armhf.deb Size: 29550 SHA256: c5e494235d0945de1eefb1471fcdfe02a5b5608660711394e5cbddeebe92656b SHA1: 433deda8caba6196eea0a943fcf09b960f84b25f MD5sum: 51fb738926c6022438ba0713009d4392 Description: Globus Toolkit - Globus XIO GSI Driver Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-xio-gsi-driver-dbg package contains: Globus XIO GSI Driver Debug Symbols Package: globus-xio-pipe-driver-dbg Source: globus-xio-pipe-driver Version: 2.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 66 Depends: libglobus-xio-pipe-driver0 (= 2.2-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-xio-pipe-driver/globus-xio-pipe-driver-dbg_2.2-1_armhf.deb Size: 12552 SHA256: fd510ba612135c15342e54434ec1da52bb39896b757272c92e7df3fc5e052d53 SHA1: 672f7fc3dc57bab5d6ab36322f63b435be11d2ca MD5sum: 8c7fd45de4ffb618fabffce3be67648b Description: Globus Toolkit - Globus Pipe Driver Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-xio-pipe-driver-dbg package contains: Globus Pipe Driver Debug Symbols Package: globus-xio-popen-driver-dbg Source: globus-xio-popen-driver Version: 2.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 72 Depends: libglobus-xio-popen-driver0 (= 2.3-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-xio-popen-driver/globus-xio-popen-driver-dbg_2.3-1_armhf.deb Size: 15634 SHA256: 28cb115467e6a0e7e6f5919121b90040f8bd9e25f652e6bfcee78ad7c7ebac29 SHA1: 2011864f932dc1118933debbacb6b5b86e6f9846 MD5sum: 0d834c8bc46b62d874700216bb35f46b Description: Globus Toolkit - Globus XIO Pipe Open Driver Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-xio-popen-driver-dbg package contains: Globus XIO Pipe Open Driver Debug Symbols Package: globus-xioperf Version: 3.1-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 66 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-xio0 (>= 3) Homepage: http://www.globus.org/ Priority: optional Section: net Filename: pool/main/g/globus-xioperf/globus-xioperf_3.1-1_armhf.deb Size: 13104 SHA256: 800b912e6eb939f6042cd107086f9fc70b5bae5acfe6767fc9ad3712d1aad60e SHA1: 447d27c8eafe54157937765e37a8cd7d73878117 MD5sum: 0872c68e9172635b0d8cb89cedbdcfac Description: Globus Toolkit - XIO Performance Tool The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-xioperf package contains: XIO Performance Tool Package: globus-xioperf-dbg Source: globus-xioperf Version: 3.1-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 85 Depends: globus-xioperf (= 3.1-1) Homepage: http://www.globus.org/ Priority: extra Section: debug Filename: pool/main/g/globus-xioperf/globus-xioperf-dbg_3.1-1_armhf.deb Size: 20912 SHA256: 4af5607b2d6060b0e52da405c16cc20c262fc4b0d1008a4b448cd796dbe38876 SHA1: 65615dcef7b9162d248afe93842306d4793f2cca MD5sum: 94c887b4300c3ddf1a34b03ae72adea5 Description: Globus Toolkit - XIO Performance Tool Debug Symbols The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The globus-xioperf-dbg package contains: XIO Performance Tool Debug Symbols Package: glogg Version: 0.9.0-1 Architecture: armhf Maintainer: Nicolas Bonnefon Installed-Size: 519 Depends: libboost-program-options1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0) Homepage: http://glogg.bonnefon.org/ Priority: optional Section: utils Filename: pool/main/g/glogg/glogg_0.9.0-1_armhf.deb Size: 182548 SHA256: b9e2f0e2693e16c13c78c959a51eb530316af516be1dbb890f7ce84cf34864aa SHA1: 6a567ca05112294402ba5dda638f88f58276580a MD5sum: b853223c3b748c7dc54eb79ebc2f026d Description: Smart interactive log explorer using Qt4 glogg is a multi-platform GUI application to browse and search through long or complex log files. It is designed with programmers and system administrators in mind and can be seen as a graphical, interactive combination of grep and less. . Main features include: * Accept egrep regular expression to search or filter the log. * Interactively display results of the search in a second, filtered window. * Colorize the log and the search results. * Read the file directly from disk, without loading it into memory. * Happily handle big (>4 GiB) files. * Follow a log in real-time as it is written to disk. * Allow to insert marks in the logs to interesting lines. * Support less/vim like keyboard commands to move around the file. Package: glosstex Version: 0.4.dfsg.1-3 Architecture: armhf Maintainer: Arnaud Fontaine Installed-Size: 246 Depends: libc6 (>= 2.13-28), texlive-base, texlive-latex-base, texlive-base-bin Suggests: doc-base Homepage: http://www.ctan.org/tex-archive/help/Catalogue/entries/glosstex.html Priority: optional Section: tex Filename: pool/main/g/glosstex/glosstex_0.4.dfsg.1-3_armhf.deb Size: 153094 SHA256: 71f7090859891d4ce201a34e99034e64476697aedc57fdb3beae8ea033f52c13 SHA1: 15f0fe9f9c0549c9e85d9dd82c1d92ec8fe7a376 MD5sum: 4950ec6bd86bb074c33d7e6907c7f8de Description: prepare glossaries and lists of acronyms GlossTeX is a tool for the automatic preparation of glossaries, lists of acronyms and sorted lists in general for use with LaTeX and MakeIndex. GlossTeX combines the functionality of acronym, nomencl and GloTeX. Like GloTeX, it uses the same format glossary definition files. GlossTeX can be used together with nomencl, nevertheless. Package: glotski Version: 0.2-7 Architecture: armhf Maintainer: Hwei Sheng Teoh Installed-Size: 92 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgtk2.0-0 (>= 2.8.0), libpopt0 (>= 1.14) Priority: optional Section: games Filename: pool/main/g/glotski/glotski_0.2-7_armhf.deb Size: 24534 SHA256: 08b0fc7426e602c47d807820bae878f68213ba0a0fde8a38bbe8631f96c4b76a SHA1: 956d1a80a82be79aa9f193d4fd90a44a0cdb4ee4 MD5sum: 4120a40908af5d445c6493c3656ee699 Description: Slide blocks to reach a goal Sliding block puzzle game, similar to Klotski. . Slide blocks around the puzzle until a certain goal condition is reached. . Screenshot available here: http://gfpoken.bigw.org/glotski/ Package: glpeces Version: 5.0-2 Architecture: armhf Maintainer: Innocent De Marchi Installed-Size: 454 Depends: glpeces-data (>= 5.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.2), libstdc++6 (>= 4.4.0) Homepage: http://sourceforge.net/projects/pecesjocdetangr/ Priority: optional Section: games Filename: pool/main/g/glpeces/glpeces_5.0-2_armhf.deb Size: 184056 SHA256: deef47324c028f6616ca2a2e5ca8c6981e86a122cb03fb6e43a33e73d70553be SHA1: 2e77862b608c3c6f706fb4258bfc2f9bf76716db MD5sum: 1c16d4ffe07aaeaa6b61b4acd73c36e4 Description: Tangram (puzzle) game clone The Tangram is an ancient Chinese puzzle game where the player has to arrange a set of figures with 7 polygonal pieces. Peces allows playing 39 different modalities of tangram and it includes more than 18,000 figures to solve. Also, it allows creating new figures and competitions. glpeces is especially designed to use in education. Package: glpeces-data Source: glpeces Version: 5.0-2 Installed-Size: 9808 Maintainer: Innocent De Marchi Architecture: all Replaces: glpeces (<= 4.1-1) Breaks: glpeces (<= 4.1) Size: 1797282 SHA256: 6a21e114bbde22fdc901014aaa064b36d8868668f41ceada07f410da32d909b6 SHA1: fcf13fe97608c484554444b8bbb1e65f0fd68e75 MD5sum: 5392d4d444e3bfe1bd6f15bf8d532fed Description: Data files for glpeces (Tangram puzzle) The Tangram is an ancient Chinese puzzle game where the player has to arrange a set of figures with 7 polygonal pieces. Peces allows playing 39 different modalities of tangram and it includes more than 18,000 figures to solve. Also, it allows creating new figures and competitions. glpeces is especially designed to use in education. . This package contains architecture-independent required files for glpeces package. The files contain data for the construction of the figures of the game, help, locales and images. Homepage: http://sourceforge.net/projects/pecesjocdetangr/ Section: games Priority: optional Filename: pool/main/g/glpeces/glpeces-data_5.0-2_all.deb Package: glpi Version: 0.83.31-1 Installed-Size: 18583 Maintainer: Pierre Chifflier Architecture: all Depends: apache2 | apache | apache-ssl | apache-perl | httpd, php5 | php5-cgi | libapache2-mod-php5 | php4 | php4-cgi | libapache2-mod-php4, php5-mysql | php4-mysql, debconf (>= 0.5) | debconf-2.0, dbconfig-common, ttf-freefont, tinymce, libphp-phpmailer Size: 3761218 SHA256: 047eb1741d97ad9ef4b51304096e0fbbdd68a04ef70381c61b8e3fef1cd7d27c SHA1: b646c016688048facf0b05f5057840796b466929 MD5sum: 03b1ef065e01728990635dbcb1d0f7aa Description: IT and Asset management software GLPI stands for "Gestionnaire libre de parc informatique", GLPI is the Information Resource-Manager with an additional Administration Interface. . You can use it to build up a database with an inventory for your company (computer, software, printers, ...). It has enhanced functions to make the daily life for the administrators easier, like a job tracking system with mail-notification and methods to build a database with basic information about your network-topology. Tag: implemented-in::ecmascript, implemented-in::php, secteam::lenny-limited-support, secteam::squeeze-limited-support Section: web Priority: optional Filename: pool/main/g/glpi/glpi_0.83.31-1_all.deb Package: glpk Version: 4.45-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 76 Depends: libglpk0 (= 4.45-1), glpk-doc (= 4.45-1), libglpk-dev (= 4.45-1), glpk-utils (= 4.45-1) Homepage: http://www.gnu.org/software/glpk/glpk.html Priority: optional Section: math Filename: pool/main/g/glpk/glpk_4.45-1_armhf.deb Size: 53288 SHA256: 6e94381839f7f7318e530fe75680ead845ec590b45a879226b694d58cb159ae4 SHA1: 2d165672c43ff53d1abe6d4939847773a8669094 MD5sum: 4edce0f11a8f67164bd2f28c9a8b405f Description: linear programming kit GLPK (GNU Linear Programming Kit) is intended for solving large-scale linear programming (LP), mixed integer programming (MIP), and other related problems. It is a set of routines written in ANSI C and organized in the form of a callable library. . This package is empty and is intended to easy the upgrade from glpk << 4.15. Package: glpk-doc Source: glpk Version: 4.45-1 Installed-Size: 1888 Maintainer: Debian Science Team Architecture: all Conflicts: glpk (<< 4.15) Size: 1327254 SHA256: e89bbfb4eb35cbcb41009050deb129ef00f21af5ae0558aaa3f2ce653746282f SHA1: 858236e124bc643e8c82446faaea7ef11ad18c76 MD5sum: ae31465f48cc937e88c969f1c2cd3e23 Description: linear programming kit - documentation files GLPK (GNU Linear Programming Kit) is intended for solving large-scale linear programming (LP), mixed integer programming (MIP), and other related problems. It is a set of routines written in ANSI C and organized in the form of a callable library. . This package contains the C API reference manual and the GNU MathProg modeling language manual. Homepage: http://www.gnu.org/software/glpk/glpk.html Tag: devel::doc, made-of::postscript, role::documentation, suite::gnu Section: doc Priority: optional Filename: pool/main/g/glpk/glpk-doc_4.45-1_all.deb Package: glpk-utils Source: glpk Version: 4.45-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 254 Depends: libglpk0 (= 4.45-1), libc6 (>= 2.13-28), libgmp10, libltdl7 (>= 2.4.2), zlib1g (>= 1:1.1.4) Conflicts: glpk (<< 4.15) Homepage: http://www.gnu.org/software/glpk/glpk.html Priority: optional Section: math Filename: pool/main/g/glpk/glpk-utils_4.45-1_armhf.deb Size: 146156 SHA256: 5a051d067a2df24d8a84da7bb1b82f18808a58d045742225047a6644f220bb53 SHA1: aeef9bcd0c4121ebf3f9f07274c110433b3e956b MD5sum: b4f52409a3f4f4c90c87c6d6c0adcd10 Description: linear programming kit - utility files GLPK (GNU Linear Programming Kit) is intended for solving large-scale linear programming (LP), mixed integer programming (MIP), and other related problems. It is a set of routines written in ANSI C and organized in the form of a callable library. . This package contains the following stand-alone tools: * glpsol: LP/MIP solver * tspsol: TSP solver Package: gltron Version: 0.70final-10 Architecture: armhf Maintainer: Ari Pollak Installed-Size: 2521 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libmikmod2 (>= 3.1.10), libogg0 (>= 1.0rc3), libpng12-0 (>= 1.2.13-4), libsdl-sound1.2 (>= 1.0.1), libsdl1.2debian (>= 1.2.11), libsmpeg0, libstdc++6 (>= 4.3.0), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), zlib1g (>= 1:1.1.4) Homepage: http://www.gltron.org Priority: optional Section: games Filename: pool/main/g/gltron/gltron_0.70final-10_armhf.deb Size: 1960912 SHA256: b1f02445be19549fa86d6dda310fa3fb6dc96dcd71c2f798cc54882d8fc4d22f SHA1: a6bce6fe68e012a3feae499540ff0325ab29cf92 MD5sum: c6a3d985014a2dab3002da3bdd4ccd35 Description: 3D lightcycle game glTron is a tron-like lightcycle game with a nice 3D perspective. 3D acceleration is recommended. Package: glue-schema Version: 2.0.8-1 Installed-Size: 320 Maintainer: Mattias Ellert Architecture: all Size: 32618 SHA256: f2dc40b0ce7815676297eb1c410a5ad4ea5de8ba7f370cc2162e7aeedf2c558f SHA1: 41a6b88ab8b8f687ed6d62a9f91cd8b2930efebd MD5sum: 0efb1e908b12b63dbb6352fa68da35ec Description: LDAP schema files for the GLUE Schema The GLUE specification is an information model for Grid entities such as computing clusters and data storage facilities. As a conceptual model, it is designed to be independent from the concrete data models adopted for its implementation. The specification can be rendered to several concrete data models such as XML Schema, LDAP Schema or SQL. . This package provides LDAP schema files for GLUE Schema version 2.0. Section: net Priority: optional Filename: pool/main/g/glue-schema/glue-schema_2.0.8-1_all.deb Package: glue-sprite Source: glue Version: 0.2.5-3 Installed-Size: 529 Maintainer: Angel Abad Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-imaging, libjs-sphinxdoc (>= 1.0), python-pkg-resources Recommends: optipng Size: 278594 SHA256: 8c8e4a5e11fd13a40c47c566d81a17bcb67dc4b2c4a4641f9f360fb1bb379472 SHA1: 3c612919349ee4d959ebc7dd10ce9142c52dca05 MD5sum: 1c5ae68658c877f6473d292c9803ab8a Description: Simple command line tool to generate CSS sprites Glue is a simple command line tool to generate CSS sprites using any kind of source images like PNG, JPEG or GIF. Glue will generate a unique PNG file containing every source image and a CSS file including the necessary CSS classes to use the sprite. Homepage: http://gluecss.com Section: graphics Priority: optional Filename: pool/main/g/glue/glue-sprite_0.2.5-3_all.deb Package: glurp Version: 0.12.3-1 Architecture: armhf Maintainer: Stanislav Maslovski Installed-Size: 275 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.16.0), libmpd1, libpango1.0-0 (>= 1.14.0) Suggests: mpd Enhances: mpd Provides: mpd-client Homepage: http://sourceforge.net/projects/glurp/ Priority: optional Section: x11 Filename: pool/main/g/glurp/glurp_0.12.3-1_armhf.deb Size: 65404 SHA256: 77f92091a415596e6f84027e335ab7311a1cc6ee3c5c5a57371dc71434d90c32 SHA1: de079f21e36db9584c9a57b9c9e6e2606dccc505 MD5sum: eab373d557b23c59fbf0af47884502c2 Description: GTK+ frontend to the Music Player Daemon (MPD) glurp is a GTK+ frontend to the MPD music daemon. It features: * Playlist support with id3 tags display. * Password-protected connection to MPD. * Easy switching of MPD's audio output device. * Easy operations with MPD's database/playlists/streams. Tag: uitoolkit::gtk Package: glusterfs-client Source: glusterfs Version: 3.2.7-3+deb7u1 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 432 Depends: python, fuse-utils (>= 2.7.4), glusterfs-common (>= 3.2.7-3+deb7u1) Suggests: glusterfs-examples Homepage: http://www.gluster.org/docs/index.php/GlusterFS Priority: optional Section: admin Filename: pool/main/g/glusterfs/glusterfs-client_3.2.7-3+deb7u1_armhf.deb Size: 385132 SHA256: ce871a37b4c9f960a49ec340a1d9c5b777f1da534767c1a669f1bf5611a54c61 SHA1: 033813d2e3222c97e01d2a96e68711024ac1493c MD5sum: 5343bbb1adcb4939ed55c1035cb5cf1e Description: clustered file-system (client package) GlusterFS is a clustered file-system capable of scaling to several peta-bytes. It aggregates various storage bricks over Infiniband RDMA or TCP/IP interconnect into one large parallel network file system. GlusterFS is one of the most sophisticated file system in terms of features and extensibility. It borrows a powerful concept called Translators from GNU Hurd kernel. Much of the code in GlusterFS is in userspace and easily manageable. . This package provides the FUSE based GlusterFS tool. Package: glusterfs-common Source: glusterfs Version: 3.2.7-3+deb7u1 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 23601 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libibverbs1 (>= 1.1.2) Conflicts: libglusterfs-dev, libglusterfs0 Breaks: glusterfs-server (<< 3.1.4) Replaces: glusterfs-server (<< 3.1.4), libglusterfs-dev, libglusterfs0 Homepage: http://www.gluster.org/docs/index.php/GlusterFS Priority: optional Section: libs Filename: pool/main/g/glusterfs/glusterfs-common_3.2.7-3+deb7u1_armhf.deb Size: 9407270 SHA256: f5d955cba3f02efc0608f8d87f4e3b86d7fde2d9ef57992b3863ae1725d7a334 SHA1: dd0fcbe848dddc45c5c25b0da46aa33fb8f215ad MD5sum: 5ecdf62a7b215a7c2195cee1d05c0672 Description: GlusterFS common libraries and translator modules GlusterFS is a clustered file-system capable of scaling to several petabytes. It aggregates various storage bricks over Infiniband RDMA or TCP/IP interconnect into one large parallel network file system. GlusterFS is one of the most sophisticated file system in terms of features and extensibility. It borrows a powerful concept called Translators from GNU Hurd kernel. Much of the code in GlusterFS is in userspace and easily manageable. . This package includes libglusterfs and glusterfs translator modules common to both GlusterFS server and client framework. Package: glusterfs-dbg Source: glusterfs Version: 3.2.7-3+deb7u1 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 10414 Depends: glusterfs-client (= 3.2.7-3+deb7u1) Homepage: http://www.gluster.org/docs/index.php/GlusterFS Priority: extra Section: debug Filename: pool/main/g/glusterfs/glusterfs-dbg_3.2.7-3+deb7u1_armhf.deb Size: 4473180 SHA256: 017295521b18d59970d495c28df865e4c5e1dc3be28a3e595ef2cc5a4952798d SHA1: 28b22154f626f0097dad5a4ea2818c82f89a90e1 MD5sum: e1a6e949d22a6d08efbc0ab8aaf98d60 Description: GlusterFS debugging symbols GlusterFS is a clustered file-system capable of scaling to several peta-bytes. It aggregates various storage bricks over Infiniband RDMA or TCP/IP interconnect into one large parallel network file system. GlusterFS is one of the most sophisticated file system in terms of features and extensibility. It borrows a powerful concept called Translators from GNU Hurd kernel. Much of the code in GlusterFS is in userspace and easily manageable. . This package includes the debugging symbols. Package: glusterfs-examples Source: glusterfs Version: 3.2.7-3+deb7u1 Installed-Size: 416 Maintainer: Patrick Matthäi Architecture: all Size: 384722 SHA256: 67b466895d58ad7bc7c18933c468da9e5802b1365c38c2e747d5d9a7a1d97a22 SHA1: a8a8178b7cd01af873287caf1230801937ca9096 MD5sum: 3e71f4715d6739c9b1a167f1e6a161ad Description: example files for the glusterfs server and client GlusterFS is a clustered file-system capable of scaling to several peta-bytes. It aggregates various storage bricks over Infiniband RDMA or TCP/IP interconnect into one large parallel network file system. GlusterFS is one of the most sophisticated file system in terms of features and extensibility. It borrows a powerful concept called Translators from GNU Hurd kernel. Much of the code in GlusterFS is in userspace and easily manageable. . This package provides example configuration files for glusterfs. Homepage: http://www.gluster.org/docs/index.php/GlusterFS Section: admin Priority: optional Filename: pool/main/g/glusterfs/glusterfs-examples_3.2.7-3+deb7u1_all.deb Package: glusterfs-server Source: glusterfs Version: 3.2.7-3+deb7u1 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 555 Depends: glusterfs-common (>= 3.2.7-3+deb7u1), libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libtinfo5, lsb-base (>= 3.0-6), glusterfs-client (>= 3.2.7-3+deb7u1) Suggests: glusterfs-examples, nfs-common Homepage: http://www.gluster.org/docs/index.php/GlusterFS Priority: optional Section: admin Filename: pool/main/g/glusterfs/glusterfs-server_3.2.7-3+deb7u1_armhf.deb Size: 440280 SHA256: 017a5ad751b2d193e15a734b02281736848e117bde1889c47771fe5a5bc7226e SHA1: 85df22dfb536abc7427e24213d1817ea96402d50 MD5sum: 6bb1865f4fe94d0903d0dcf89d2363f1 Description: clustered file-system (server package) GlusterFS is a clustered file-system capable of scaling to several peta-bytes. It aggregates various storage bricks over Infiniband RDMA or TCP/IP interconnect into one large parallel network file system. GlusterFS is one of the most sophisticated file system in terms of features and extensibility. It borrows a powerful concept called Translators from GNU Hurd kernel. Much of the code in GlusterFS is in userspace and easily manageable. . This package installs init scripts and configuration files to turn GlusterFS into a fully fledged file server. Package: gmail-notify Version: 1.6.1.1-2 Installed-Size: 73 Maintainer: Python Applications Packaging Team Architecture: all Depends: python (>= 2.6.6-7~), python-gtk2, python-eggtrayicon Recommends: www-browser Size: 31038 SHA256: d709ba2d9403626511e7fb976f099bd1ad069cbb9ceb5cbf9bdfd6730b3504c1 SHA1: 3f50b6ff43de8ad981abfdca12d6f79f9392eb1b MD5sum: 9789f7d194493939c7792f68d8affc37 Description: Notify the arrival of new mail on Gmail Gmail Notifier is a Linux alternative for the notifier program released by Google, it is written in Python and provides an attractive and simple way to check for new mail messages. Homepage: http://gmail-notify.sourceforge.net/ Tag: implemented-in::python, interface::x11, mail::notification, network::client, protocol::http, role::program, scope::utility, uitoolkit::gtk, use::monitor, works-with::mail, x11::applet Section: mail Priority: optional Filename: pool/main/g/gmail-notify/gmail-notify_1.6.1.1-2_all.deb Package: gman Version: 0.9.3-5.2 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 113 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.3.0), man-db, xterm | x-terminal-emulator Suggests: gv, man2html, httpd, sensible-browser, evince Provides: man-browser Priority: optional Section: doc Filename: pool/main/g/gman/gman_0.9.3-5.2_armhf.deb Size: 36806 SHA256: 6e129d9279bf10d73bd4a90507eea87b159e66c747c49b86e492af02d9de5c72 SHA1: caadff4aa8999627aa493944ac99eba22ccef31e MD5sum: 43b57168b4f46fe7b77722f540a2330a Description: small man(1) front-end for X Gman is a simple front-end for the manual page system. The most basic job of gman is to build a database for all the man pages and display them (or part of them) on the screen. When user decides to read a man page, gman will launch an external viewer to display the manual page. More than one external viewer windows can be launched at the same time. . The default manual page viewer is a terminal window with the original man(1). It can also launch gv, evince, or a link to a CGI script which utilizes man2html, for viewing manual pages using a web browser. . There is an index search function to look for the man pages that one needs. It's simple, but it's useful. Package: gmanedit Version: 0.4.2-5 Architecture: armhf Maintainer: Ralf Treinen Installed-Size: 193 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4) Homepage: http://gmanedit2.sourceforge.net Priority: optional Section: gnome Filename: pool/main/g/gmanedit/gmanedit_0.4.2-5_armhf.deb Size: 53272 SHA256: 02345f4c4a78628f7910c1f1b794989e7a1af7416365e77c4b0d56ec0c72396f SHA1: 4aa4b5ffe42dc6a57b01ff2e92d0aa258fac09e3 MD5sum: a7309a8243e0e455946af16223a25e15 Description: GTK+ man pages editor Gtk+ Manpages Editor is an editor for man pages that runs on X with GTK+. . Gmanedit is an application which allows you to edit manual pages (man) on Linux/Unix systems. . It is like most common HTML editors but more easy. You need to know manpages format. Package: gmchess Version: 0.29.6-2 Architecture: armhf Maintainer: Debian Chinese Team Installed-Size: 1814 Depends: libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtkmm-2.4-1c2a (>= 1:2.24.0), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), eleeye, convert-pgn Homepage: http://code.google.com/p/gmchess/ Priority: optional Section: games Filename: pool/main/g/gmchess/gmchess_0.29.6-2_armhf.deb Size: 1239722 SHA256: 13aa729c70bf35cf342ba63f3d89981611f04b9449cdf822e32cdbd63d005f86 SHA1: f7db3928a458d388d70085877f679caec39a36d5 MD5sum: a066212e689f5f5b4fa5b5b10760db55 Description: Chinese chess game (Xiangqi) gmchess enables you to play Chinese chess (Xiangqi) against a human opponent or the computer, read many chess manuals and improve your skills. . Chinese chess (Xiangqi) is one of the most popular chess games to have originated in China. Package: gmediaserver Version: 0.13.0-8 Architecture: armhf Maintainer: Jochen Friedrich Installed-Size: 244 Depends: libc6 (>= 2.13-28), libmagic1, libtag1c2a (>= 1.5), libtagc0 (>= 1.5), libupnp6 (>= 1.4.3), libuuid1 (>= 2.16), dpkg (>= 1.15.4) | install-info Homepage: http://www.gnu.org/software/gmediaserver/ Priority: extra Section: net Filename: pool/main/g/gmediaserver/gmediaserver_0.13.0-8_armhf.deb Size: 107174 SHA256: d55489548e1046ab7145e821a41e697bd5f9dfc077cc32c7c35ee2ab8cb8bd1b SHA1: 3470987339c44e81d72eb1ec69a16207bf87f69c MD5sum: 2d1b87c17438d4b2316a924078b94bbb Description: UPnP Mediaserver gMediaServer is a server for UPnP media players like the Netgear MP101, Linksys WMLS11B etc. It exports one or more directories using the UPnP protocol so the mediaserver can browse through them and play audio or video files. Package: gmemusage Version: 0.2-11 Architecture: armhf Maintainer: Uwe Hermann Installed-Size: 71 Depends: libc6 (>= 2.7), libx11-6 Homepage: http://oss.sgi.com/projects/gmemusage/ Priority: optional Section: utils Filename: pool/main/g/gmemusage/gmemusage_0.2-11_armhf.deb Size: 17974 SHA256: 61e50b3d28f0169f723b34a31336aabcc67685a04ee103ba9877688025b86174 SHA1: 3fb900d67f1d848ba49be72810e9c3e6f2d6d400 MD5sum: f79db4b4fd4381d3a32dc08b85699066 Description: Displays a graph detailing memory usage of each process Gmemusage is a graphical program modelled after the Silicon Graphics Inc. program of the same name. Gmemusage displays a window with a stacked bar. Areas on the bar correspond to individual processes running on the system and are updated periodically. Multiple copies of the same program (actually, programs with the same name) are merged into one area on the stack. Sizes of areas in the stack correspond to resident sizes of the processes. Package: gmerlin Version: 1.2.0~dfsg+1-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 505 Depends: gmerlin-data (>= 1.2.0~dfsg+1-1), libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgavl1 (>= 1.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgmerlin0 (>= 1.2.0~dfsg+1), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxext6, libxml2 (>= 2.7.4) Recommends: gmerlin-encoders, gmerlin-plugins-avdecoder, gmerlin-plugins-base Homepage: http://gmerlin.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/g/gmerlin/gmerlin_1.2.0~dfsg+1-1_armhf.deb Size: 225786 SHA256: 21505b1a517c07acb9d964531a8f002ec91951e9aa5e7d65dc8ae707e8d4e029 SHA1: 7deed406d73041a86618305cecc0469e4a4c49ac MD5sum: 3bc44aa80d6399b38d68618710ee73f4 Description: multiformat media player Gmerlin is a multiformat media player with tree-like virtual directory structure, where you can save your files, webstreams or whatever. It handles even large media collections gracefully. Hardware devices appear also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and DVB-broadcasts. . The applications include . o The GUI player: A multiformat media player with tree-like virtual directory structure, where you can save your files, webstreams or whatever. Gmerlin handles even large media collections gracefully. Hardware devices appear also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and DVB-broadcasts. o Gmerlin transcoder: Feature rich transcoder, which supports audio, video, subtitles and chapters. o Gmerlin alsamixer: An Alsa mixer with support for multiple cards and detachable mixer controls. o Gmerlin visualizer: Run visualization plugins from your soundcard o Plugin configurator: Configure gmerlin plugins for programs which can't do this themselves. Package: gmerlin-avdecoder-dbg Source: gmerlin-avdecoder Version: 1.2.0~dfsg-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1715 Depends: libgmerlin-avdec1 (= 1.2.0~dfsg-1) Multi-Arch: same Homepage: http://gmerlin.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/g/gmerlin-avdecoder/gmerlin-avdecoder-dbg_1.2.0~dfsg-1_armhf.deb Size: 1442702 SHA256: f710ba1e2811aa7b5f0bb09289467cb5e36d1202bad449c13b8dc87ca6145307 SHA1: 41b0a3dbbcf6868b819f55bee732610f9f01d16f MD5sum: d4860b7cff4042ffc6d4331ce83ccbc0 Description: debugging symbols for Gmerlin_avdecoder Gmerlin_avdecoder is a general purpose media decoding library. It was written as a support library for gmerlin, but it can also be used by other applications. You don't even need gmerlin installed, only gavl. . This package contains the debugging symbols for libgmerlin-avdec1. Package: gmerlin-data Source: gmerlin Version: 1.2.0~dfsg+1-1 Installed-Size: 369 Maintainer: Debian Multimedia Maintainers Architecture: all Size: 218268 SHA256: 9ecc3035be40dfd459dc99465f555af8add6eb9fe12eb8edb4e084a1effc34e4 SHA1: 62751f0d662c72aa397612a68930abd3cefc9343 MD5sum: 2fa44af775e7fc68c437b6d7923bcde2 Description: multiformat media player - data files Gmerlin is a multiformat media player with tree-like virtual directory structure, where you can save your files, webstreams or whatever. It handles even large media collections gracefully. Hardware devices appear also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and DVB-broadcasts. . This package contains data files (theme,icons files) for gmerlin. Multi-Arch: foreign Homepage: http://gmerlin.sourceforge.net/ Tag: made-of::icons, role::app-data Section: sound Priority: extra Filename: pool/main/g/gmerlin/gmerlin-data_1.2.0~dfsg+1-1_all.deb Package: gmerlin-dbg Source: gmerlin Version: 1.2.0~dfsg+1-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 2994 Depends: gmerlin (= 1.2.0~dfsg+1-1) Multi-Arch: same Homepage: http://gmerlin.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/g/gmerlin/gmerlin-dbg_1.2.0~dfsg+1-1_armhf.deb Size: 2156688 SHA256: ba9720ff448ab12750d308dd739f7ba314c9bfa1109192847efa9ac15085e5b7 SHA1: e1ab3e8c83c119d432d432b5a6ae10f17565d2dd MD5sum: 56ea14e4ea0e184caab2c1d448cac4a5 Description: debugging symbols for gmerlin Gmerlin is a multiformat media player with tree-like virtual directory structure, where you can save your files, webstreams or whatever. It handles even large media collections gracefully. Hardware devices appear also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and DVB-broadcasts. . This package contains the debugging symbols for gmerlin. Package: gmerlin-encoders-ffmpeg Source: gmerlin-encoders Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 260 Pre-Depends: multiarch-support Depends: libavformat53 (>= 5:0.8-2~), libc6 (>= 2.13-28), libgmerlin0 (>= 1.2.0~dfsg) Enhances: gmerlin Provides: germlin-encoders Multi-Arch: same Homepage: http://gmerlin.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/g/gmerlin-encoders/gmerlin-encoders-ffmpeg_1.2.0-2_armhf.deb Size: 61960 SHA256: d6ff7e353f2c7a14e53c0041e94598dd5f088691dca89ea3ec8d746306414429 SHA1: 8c411e3c23482c5eb7370bbbf6b7118c40f3a22c MD5sum: ba6a664aa78385e54cd7505a6d32f0e5 Description: ffmpeg encoders for Gmerlin Gmerlin is a multiformat media player with tree-like virtual directory structure, where you can save your files, webstreams or whatever. It handles even large media collections gracefully. Hardware devices appear also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and DVB-broadcasts. . This package includes ffmpeg based encoders to be used by Gmerlin, enabling gmerlin-transcoder to encode more file formats. Package: gmerlin-encoders-good Source: gmerlin-encoders Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 300 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libgmerlin0 (>= 1.2.0~dfsg), libmp3lame0, libogg0 (>= 1.0rc3), libshout3, libspeex1 (>= 1.2~beta3-1), libtheora0 (>= 1.0), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2) Enhances: gmerlin Provides: germlin-encoders Multi-Arch: same Homepage: http://gmerlin.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/g/gmerlin-encoders/gmerlin-encoders-good_1.2.0-2_armhf.deb Size: 107650 SHA256: 443fa2a14443c4216d7c005e62ee0fb58b6d9c3921fd1da7b44d75c07143da15 SHA1: 2a15c32a4953e3ad659725205f190f4c398de554 MD5sum: af8c815b508453f36799c768fdf30aeb Description: patent-free encoders for Gmerlin Gmerlin is a multiformat media player with tree-like virtual directory structure, where you can save your files, webstreams or whatever. It handles even large media collections gracefully. Hardware devices appear also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and DVB-broadcasts. . This package includes the "good" set of Gmerlin's plugins, which contains only non-proprietary and patent-free encoders. Package: gmerlin-plugins-avdecoder Source: gmerlin-avdecoder Version: 1.2.0~dfsg-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 130 Depends: libgmerlin-avdec1 (= 1.2.0~dfsg-1), libgmerlin0 (>= 1.2.0~dfsg), libc6 (>= 2.13-28), libgavl1 (>= 1.4.0), zlib1g (>= 1:1.1.4) Enhances: gmerlin Multi-Arch: same Homepage: http://gmerlin.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/g/gmerlin-avdecoder/gmerlin-plugins-avdecoder_1.2.0~dfsg-1_armhf.deb Size: 26946 SHA256: 3b80ec155f8ffe8dbf44605b5ef0f018eaec78d38d69c059d2779530e2f216bf SHA1: 02609ec84386b22c8b4aaf7b3067c1f45a976311 MD5sum: e0876680f765461459fd8d4acaf5b3fc Description: avdecoder plugins for Gmerlin Gmerlin_avdecoder is a general purpose media decoding library. It was written as a support library for gmerlin, but it can also be used by other applications. . This package contains the decoder plugins for gmerlin that are based on Gmerlin_avdecoder. Package: gmerlin-plugins-base Source: gmerlin Version: 1.2.0~dfsg+1-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 901 Pre-Depends: multiarch-support Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libcddb2, libcdio-cdda1 (>= 0.83), libcdio-paranoia1 (>= 0.83), libcdio13 (>= 0.83), libesd0 (>= 0.2.35), libexif12, libgavl1 (>= 1.4.0), libgcc1 (>= 1:4.4.0), libgmerlin0 (>= 1.2.0~dfsg+1), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libpulse0 (>= 0.99.1), libquicktime2 (>= 2:1.2.4), libtiff4 (>> 3.9.5-3~), libv4lconvert0 (>= 0.5.0), libx11-6, libxext6, libxinerama1, libxv1, zlib1g (>= 1:1.1.4) Enhances: gmerlin Breaks: gmerlin (<= 1.0.0~dfsg-12~) Replaces: gmerlin (<= 1.0.0~dfsg-12~) Multi-Arch: same Homepage: http://gmerlin.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/g/gmerlin/gmerlin-plugins-base_1.2.0~dfsg+1-1_armhf.deb Size: 360862 SHA256: f1d1bdd8e79010dfe8db87ea90e312fc1056addc7ccdc3a104c5bb7335e3202c SHA1: ab8f181649dae87c7ba3ee5d27264004a1c515bf MD5sum: 9687572350b1dff2f112ad42f389f8b0 Description: gmerlin plugins from the "base" set Gmerlin is a multiformat media player with tree-like virtual directory structure, where you can save your files, webstreams or whatever. It handles even large media collections gracefully. Hardware devices appear also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and DVB-broadcasts. . This package provides the gmerlin plugins from the "base" set. Package: gmetad Source: ganglia Version: 3.3.8-1+nmu1 Architecture: armhf Maintainer: Stuart Teasdale Installed-Size: 130 Depends: libapr1 (>= 1.2.7), libc6 (>= 2.13-28), libconfuse0 (>= 2.5), libexpat1 (>= 2.0.1), libganglia1 (= 3.3.8-1+nmu1), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), librrd4 (>= 1.3.0), adduser Suggests: ganglia-monitor, ganglia-webfrontend Homepage: http://www.ganglia.info/ Priority: optional Section: net Filename: pool/main/g/ganglia/gmetad_3.3.8-1+nmu1_armhf.deb Size: 36572 SHA256: 351647fe6e9d54b0a89efd4ddaf7f0779221b64960ea6c0db4de5094c1ce9fb4 SHA1: bfa7ff022159734970a3b94744ac9abb065f8ac3 MD5sum: 7692dbc4e099a1f98be04a1564240e65 Description: cluster monitoring toolkit - Ganglia Meta-Daemon Ganglia is a scalable, real-time cluster monitoring environment that collects cluster statistics in an open and well-defined XML format. . This package contains the 'gmetad' daemon, which collects information from ganglia monitor clients and writes it to RRD databases. Package: gmfsk Version: 0.6+0.7pre1-2.3 Architecture: armhf Maintainer: Hamish Moffatt Installed-Size: 728 Depends: fftw2, gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libhamlib2 (>= 1.2.15.1), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libstdc++6 (>= 4.3.0), gconf2 (>= 2.28.1-2) Priority: optional Section: hamradio Filename: pool/main/g/gmfsk/gmfsk_0.6+0.7pre1-2.3_armhf.deb Size: 335894 SHA256: 67d459c6cfde1e8a202d3be99c25bbfbdb93219571806b3fed46779605dbc4fa SHA1: bb3a664448fdf3086dbfffa173fe191fc215109b MD5sum: 119c99c06273e2cb881e49204e1053d5 Description: MFSK, RTTY and other digital mode terminal for HF/amateur radio gmfsk is a terminal program for amateur radio digital communication modes for GNOME. It supports MFSK, RTTY, THROB, PSK31, MT63 and Hellschreiber modulations. It is used for keyboard-to-keyboard chatting and not reliable packet communication. Package: gmic Version: 1.5.1.6+dfsg-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 12062 Depends: libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libilmbase6 (>= 1.0.1), libjpeg8 (>= 8c), libopenexr6 (>= 1.6.1), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0), libtiff4 (>> 3.9.5-3~), libx11-6, zlib1g (>= 1:1.1.4) Suggests: gimp-gmic, gmic-zart Homepage: http://gmic.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/g/gmic/gmic_1.5.1.6+dfsg-4_armhf.deb Size: 3648084 SHA256: 02704ef3a0764030ad41523d820d7dec18b34eb5b03fe1a3ffb52ff3737a3249 SHA1: d941e16204a0294bd1a9fb9c88b89b152c973b2a MD5sum: f9d687c4816b417efd1ba4e9d45ac28e Description: GREYC's Magic Image Converter G'MIC is an interpreter of image processing macros whose goal is to convert, manipulate and visualize generic 1D/2D/3D multi-spectral image files. This includes classical color images, but also more complex data as image sequences or 3D volumetric images. . This package contains the stand-alone gmic binary. Package: gmic-zart Source: gmic Version: 1.5.1.6+dfsg-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 8627 Depends: libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libopencv-core2.3, libopencv-highgui2.3, libopencv-imgproc2.3, libopencv-objdetect2.3, libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0), libx11-6 Suggests: gmic Breaks: gmic (<< 1.5.1.6+dfsg-3~) Replaces: gmic (<< 1.5.1.6+dfsg-3~) Homepage: http://gmic.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/g/gmic/gmic-zart_1.5.1.6+dfsg-4_armhf.deb Size: 1697650 SHA256: 46cab7c0bd945a2d3fb5668e4d3abaa3e7d1c4f92862c5147b4888332aaf0ed7 SHA1: cfe93eae8fd5ccc29f9a44268a796bb870d4fdfb MD5sum: 3f40ab7b06c3a9ae8056390847c6d708 Description: GREYC's Magic Image Converter - ZArt G'MIC is an interpreter of image processing macros whose goal is to convert, manipulate and visualize generic 1D/2D/3D multi-spectral image files. This includes classical color images, but also more complex data as image sequences or 3D volumetric images. . This package contains ZArt, a program whose purpose is to demonstrate the possibilities of the G'MIC image processing language by offering the choice of several manipulations on a video stream acquired from a webcam. In other words, ZArt is a GUI for G'MIC real-time manipulations on the output of a webcam. Package: gmidimonitor Version: 3.6+dfsg0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 127 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.12.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpango1.0-0 (>= 1.14.0) Homepage: http://home.gna.org/gmidimonitor/ Priority: extra Section: sound Filename: pool/main/g/gmidimonitor/gmidimonitor_3.6+dfsg0-1_armhf.deb Size: 33798 SHA256: 7a48d85793dcb24bdf3a3c02c084a84833cfe7551d9c3e36cd100f2fd3d25e29 SHA1: 69855ab7f26de7e025443247f98bcbe5d0fc6f03 MD5sum: 190892fa2d2c8c966312973be1abd6c1 Description: GTK+ application that shows MIDI events GMIDImonitor is GTK+ application that shows MIDI events. . You need to connect desired source of MIDI events to gmidimonitor input using some kind of patchbay like qjackctl, patchage. For restoring connections (not connecting every time) you can use LASH. Package: gmime-bin Source: gmime Version: 2.6.10-1 Architecture: armhf Maintainer: Mirco Bauer Installed-Size: 140 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgmime-2.6-0 (= 2.6.10-1), libgpg-error0 (>= 1.10), libgpgme11 (>= 1.1.2), zlib1g (>= 1:1.1.4) Homepage: http://spruce.sourceforge.net/gmime/ Priority: optional Section: utils Filename: pool/main/g/gmime/gmime-bin_2.6.10-1_armhf.deb Size: 91656 SHA256: 2311d26b9b2dea5817abe772589c9f5e4849669a0dfbf33fd9566ba2b79cd2c2 SHA1: 8d7cbf14628529d9a284ab20e828e39bc47bb0a7 MD5sum: aeada0f95518b03189a6045323b6c1a2 Description: MIME message parser and creator library - runtime GMime provides a core library and set of utilities which may be used for the creation and parsing of messages using the Multipurpose Internet Mail Extension (MIME). . This package contains binary tools for encoding and decoding messages. Package: gmlive Version: 0.22.3-1 Architecture: armhf Maintainer: Aron Xu Installed-Size: 586 Depends: libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4) Homepage: http://code.google.com/p/gmlive/ Priority: optional Section: video Filename: pool/main/g/gmlive/gmlive_0.22.3-1_armhf.deb Size: 214824 SHA256: b33abd7cf580b40760cff766fdad5447cd0904e57f1483f407232b18556ca03a SHA1: 8fc2353105439293047c59635e0e8011cadba231 MD5sum: 1ffc885d179f14222697608ec3ed16b1 Description: live video frontend for mplayer gmlive is a mplayer frontend designed to play live video. It provides simple user interface for playing video, and is able to play several live video stream including PPStream and PPLive when the non-free library from the service provider is available. Package: gmobilemedia Version: 0.4+dfsg-13 Installed-Size: 904 Maintainer: Michal Čihař Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-gtk2, python-gammu, python-glade2, gnome-icon-theme Recommends: wammu Size: 116124 SHA256: 043db9fa83ca408155c7ad8f9ad4839b0ffac858ed2e0076a814b3637f9c4096 SHA1: 0576a4b2dbc8f5a1cf2cb071751dc8bdfa077217 MD5sum: c111c84fc9e5b094eb7e079ec0d01ada Description: GTK+ application used to browse a mobile phone filesystem gMobileMedia is a simple GTK+ application used to browse and handle a mobile phone filesystem. It can handle phones with more than one memory area (thanks to Gammu). It lets you easily upload and download images, ringtones, photos, and applications to/from your mobile phone. All you need is a data cable or any other connection method supported by Gammu. Homepage: http://gmobilebrowser.sourceforge.net/ Tag: implemented-in::python, interface::x11, role::program, scope::application, uitoolkit::gtk, use::downloading, use::transmission, works-with::audio, works-with::file, works-with::image, x11::application Section: comm Priority: optional Filename: pool/main/g/gmobilemedia/gmobilemedia_0.4+dfsg-13_all.deb Package: gmorgan Version: 0.40-1 Architecture: armhf Maintainer: Bart Martens Installed-Size: 2314 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.6), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: timidity Homepage: http://gmorgan.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/g/gmorgan/gmorgan_0.40-1_armhf.deb Size: 894960 SHA256: c13cf9153e88cddd279405981e96ae310e9d71f89f4aa01fad9ceed6002d4df6 SHA1: 6286ff186117b987a79c644eb6c0e8dabf3de19b MD5sum: e299e1d653448a38134ea32baa1e9013 Description: MIDI rhythm station emulator software A modern organ for play in real time or sequence, full midi configurable, can export live or sequence performances to MIDI file format. Maintain 500 patterns, 100 Styles, 100 Sound Combiantion in memory. Style Library Pattern BD allows easy new pattern creation, can import MIDI files as patterns, input chords can be controlled by mouse, PC keyboard or MIDI keyboard. Sound edition, chord song sequencer, guided playback and extensive documentation. Package: gmotionlive Version: 1.0-3 Architecture: armhf Maintainer: Maximiliano Curia Installed-Size: 50 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libgnomevfs2-extra Homepage: http://gate.vitsch.net/~pe1rxq/gmotionlive/ Priority: extra Section: graphics Filename: pool/main/g/gmotionlive/gmotionlive_1.0-3_armhf.deb Size: 8590 SHA256: 24aed6391b1c05bb774f1dd87796d4023fe714e844b8fd21b0d3e999cc873abf SHA1: f85499a5e3620ebb35b2ba04779ad1c0d34a7e98 MD5sum: c7dbe4c4925058c9aa3f74c72072809b Description: Simple multipart/x-mixed-replace viewer gmotionlive is a simple gtk viewer for streaming webcams that use multipart/x-mixed-replace streams. . It was written for viewing streams from the motion program but should be usable for other sources such as network cameras. Package: gmp-ecm Version: 6.4.2-1 Architecture: armhf Maintainer: Laurent Fousse Installed-Size: 193 Depends: libc6 (>= 2.13-28), libecm0, libgmp10 Conflicts: ecm Homepage: http://ecm.gforge.inria.fr/ Priority: optional Section: math Filename: pool/main/g/gmp-ecm/gmp-ecm_6.4.2-1_armhf.deb Size: 136422 SHA256: c5a3e4b25aab9980f98c49733a49b2ee6507591e8450b6889d53c70f6bcc1fa0 SHA1: 0be2796df67d38a9c78915d0f84b8b75f67fa7a4 MD5sum: a06cf3531b2168f4a277c5b1f1c6f53b Description: Factor integers using the Elliptic Curve Method gmp-ecm is a free implementation of the Elliptic Curve Method (ECM) for integer factorization. . The original purpose of the ECMNET project was to make Richard Brent's prediction true, i.e. to find a factor of 50 digits or more by ECM. This goal was attained on September 14, 1998, when Conrad Curry found a 53-digit factor of 2^677-1 c150 using George Woltman's mprime program. The new goal of ECMNET is now to find other large factors by ecm, mainly by contributing to the Cunningham project, most likely the longest, ongoing computational project in history according to Bob Silverman. A new record was set by Nik Lygeros and Michel Mizony, who found in December 1999 a prime factor of 54 digits using GMP-ECM. . See http://www.loria.fr/~zimmerma/records/ecmnet.html for more information about ecmnet. Package: gmpc Version: 11.8.16-6 Architecture: armhf Maintainer: Etienne Millon Installed-Size: 889 Depends: gmpc-data (= 11.8.16-6), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libmpd1, libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.1), libsqlite3-0 (>= 3.5.9), libunique-1.0-0 (>= 1.0.2), libx11-6, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Suggests: gmpc-plugins, mpd Enhances: mpd Breaks: gmpc-plugins (<< 11.8.16) Provides: mpd-client Homepage: http://gmpc.wikia.com/ Priority: optional Section: sound Filename: pool/main/g/gmpc/gmpc_11.8.16-6_armhf.deb Size: 463930 SHA256: f88a4dbd955ccc9d4d75f7383cb03703d51dfbb047b864dd0c2349fa39c41041 SHA1: 9581fc7336dc682eff67729d48829f4cbf101391 MD5sum: 972699fa51cd721df2d4b7df4c56a6f2 Description: Gnome Music Player Client (graphical interface to MPD) A GNOME/GTK2.2 client for Music Player Daemon, it began life as traymp, a simple tray notification client and has grown into much more. Current features include: * Support for loading/saving playlists * File Browser * Browser based on ID3 information * Search * Current playlist viewer with search * ID3 Information * Cover art (via plugins) Package: gmpc-data Source: gmpc Version: 11.8.16-6 Installed-Size: 8675 Maintainer: Etienne Millon Architecture: all Replaces: gmpc (<< 0.20.0-2) Recommends: gmpc Breaks: gmpc (<< 0.20.0-2) Size: 5709570 SHA256: 94cccc4cbe914d232c1affccafad4de6c14f019a495b7a6eb4b1cd8f17b9bcbb SHA1: 7eeede4310f0265b04f2a5e777bb57accb8ae23a MD5sum: 7e6469fd974a9d1fc60e6c6529e33166 Description: Gnome Music Player Client - data files A GNOME/GTK2.2 client for Music Player Daemon, it began life as traymp, a simple tray notification client and has grown into much more. Current features include: * Support for loading/saving playlists * File Browser * Browser based on ID3 information * Search * Current playlist viewer with search * ID3 Information * Cover art (via plugins) . This package contains architecture-independent data for gmpc. Homepage: http://gmpc.wikia.com/ Tag: role::app-data Section: sound Priority: optional Filename: pool/main/g/gmpc/gmpc-data_11.8.16-6_all.deb Package: gmpc-dbg Source: gmpc Version: 11.8.16-6 Architecture: armhf Maintainer: Etienne Millon Installed-Size: 2993 Depends: gmpc (= 11.8.16-6) Homepage: http://gmpc.wikia.com/ Priority: extra Section: debug Filename: pool/main/g/gmpc/gmpc-dbg_11.8.16-6_armhf.deb Size: 1233088 SHA256: 795f5e95f40153f58fdb5d527aa8a6e8e66c5a9c6f7b203c103d543525e6bbd2 SHA1: 6aecb0e14b1d330b0ffec9028076a85180b2bcff MD5sum: 202004cf70f188b924f7b5f087c2be20 Description: Gnome Music Player Client - debugging symbols A GNOME/GTK2.2 client for Music Player Daemon, it began life as traymp, a simple tray notification client and has grown into much more. Current features include: * Support for loading/saving playlists * File Browser * Browser based on ID3 information * Search * Current playlist viewer with search * ID3 Information * Cover art (via plugins) . This package contains the debugging symbols. Package: gmpc-dev Source: gmpc Version: 11.8.16-6 Architecture: armhf Maintainer: Etienne Millon Installed-Size: 179 Depends: gmpc (= 11.8.16-6), libmpd-dev (>= 0.18.96), pkg-config Homepage: http://gmpc.wikia.com/ Priority: optional Section: sound Filename: pool/main/g/gmpc/gmpc-dev_11.8.16-6_armhf.deb Size: 25272 SHA256: 126e7e9e8ccbc8f2f2e8613725f0b6d73b882597637f1687de2234a8fd7a5877 SHA1: 7efbb5477b008aa00573b7e4b21acc235cb1a9b6 MD5sum: 335994d3e9a6e9eed334018501fb96d6 Description: Gnome Music Player Client (plugin development files) A GNOME/GTK2.2 client for Music Player Daemon, it began life as traymp, a simple tray notification client and has grown into much more. Current features include: * Support for loading/saving playlists * File Browser * Browser based on ID3 information * Search * Current playlist viewer with search * ID3 Information * Cover art (via plugins) . This package contains the necessary header files if you wish to compile plugins for gmpc. Package: gmpc-plugins Version: 11.8.16-1 Architecture: armhf Maintainer: Etienne Millon Installed-Size: 321 Depends: libatk1.0-0 (>= 1.12.4), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libjavascriptcoregtk-1.0-0 (>= 1.5.1), libmpd1, libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libsqlite3-0 (>= 3.5.9), libtag1c2a (>= 1.5), libtagc0 (>= 1.5), libwebkitgtk-1.0-0 (>= 1.3.10), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), gmpc (>= 11.8.16) Homepage: http://gmpc.wikia.com/ Priority: optional Section: sound Filename: pool/main/g/gmpc-plugins/gmpc-plugins_11.8.16-1_armhf.deb Size: 116730 SHA256: da7b4de214bc41b536f6c0c85b0a4d792c74c9477c0f3c11ad063e92a920fe4c SHA1: 8bb85a264100a850f8801e2998217f36486acef1 MD5sum: da280f3fbcbc6b359d13a4db0403932c Description: Plugins for the GNOME Music Player Client gmpc-plugins is a set of plugins that enhance functionality of the GNOME Music Player Clients. Plugins are: - alarm, allows basic events to be scheduled - avahi, zeroconf profile scanning - coveramazon, disc cover fetcher from the internet - jamendo, Jamendo stream browser - lirc, remote control interface - lyrics, a lyrics fetcher from multiple sources - lyricwiki, add lyricwiki.org as lyrics source - magnatune, Magnatune stream browser - mdcover, display disc cover found in file metadata - osd, On screen display using xosd - shout, stream shout-cast Package: gmpc-plugins-dbg Source: gmpc-plugins Version: 11.8.16-1 Architecture: armhf Maintainer: Etienne Millon Installed-Size: 653 Depends: gmpc-plugins (= 11.8.16-1), gmpc-dbg Homepage: http://gmpc.wikia.com/ Priority: extra Section: debug Filename: pool/main/g/gmpc-plugins/gmpc-plugins-dbg_11.8.16-1_armhf.deb Size: 286952 SHA256: 6a4509efddb86bb9134d599a515f0564ca284ebfa4713b96c43ebbb583cca5a6 SHA1: eaddf361950503301fcd0e77b7fda9ae02640500 MD5sum: 52d97e78de702f530dcb6d5498b87c4d Description: Plugins for the GNOME Music Player Client Debugging symbols for gmpc-plugins. Package: gmrun Version: 0.9.2-2.1 Architecture: armhf Maintainer: Alexandre De Dommelin Installed-Size: 128 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libstdc++6 (>= 4.6) Homepage: http://sourceforge.net/projects/gmrun/ Priority: optional Section: x11 Filename: pool/main/g/gmrun/gmrun_0.9.2-2.1_armhf.deb Size: 46068 SHA256: eec89ea6644fb9b9765663574199127eaca7f0b62ed57b12979560f01a50764c SHA1: 5a2eafce579bf901f7638d73b8456a98e5a7c775 MD5sum: 860b34066fee142e6089084d67cb6cc1 Description: Featureful CLI-like GTK+ application launcher This is gmrun; a small fast, yet featureful application launcher for use under X11, which uses GTK+ widget toolkit. Some features include tab- completion of file names and programs, history, easy x-terminal-emulator launching, and URL handling. Package: gmt Version: 4.5.7-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 15510 Depends: libc6 (>= 2.13-28), libgmt4, libice6 (>= 1:1.0.0), libnetcdfc7, libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxt6 Recommends: gmt-gshhs-data | gmt-gshhs-low (>= 2.2.0), gmt-doc Suggests: gmt-tutorial-pdf, gmt-doc-pdf, gmt-examples Conflicts: gmt-manpages Replaces: gmt-manpages Homepage: http://gmt.soest.hawaii.edu/ Priority: extra Section: science Filename: pool/main/g/gmt/gmt_4.5.7-2_armhf.deb Size: 5760602 SHA256: b37330a4eeb7b544d40b8cfffac1d9e3dfd8962ae80a1e645eb41854d93aedeb SHA1: 5c8818dce8ca7ce235ec9cb31a91f7aaad55c056 MD5sum: 7dd8f51c0adb5fb2dbc5da3d4344c586 Description: Generic Mapping Tools GMT is a collection of tools that allow users to manipulate (x,y) and (x,y,z) data sets (including filtering, trend fitting, gridding, projecting, etc.) and produce Encapsulated PostScript File (EPS) illustrations ranging from simple x-y plots through contour maps to artificially illuminated surfaces and 3-D perspective views in black and white, gray tone, hachure patterns, and 24-bit color. . GMT supports many common map projections plus linear, log, and power scaling, and comes with support data such as coastlines, rivers, and political boundaries. Package: gmt-coast-low Version: 1:2.1.1-1 Installed-Size: 6053 Maintainer: Debian GIS Project Architecture: all Provides: gmt-coastline-data Depends: gmt (>= 4.5.6), wget | curl, bzip2 Size: 4661402 SHA256: 0a05136647071c0d01ae3612abbf485632fc745738701cfbed14116eb34b0d73 SHA1: e5202aef6a9b8a2afcec40069c971d476cbce485 MD5sum: adaab5099011d375cf69dd292f4044d7 Description: Low resolution coastlines for the Generic Mapping Tools This package contains the crude, low and intermediate resolution coastlines contained in the GMT distribution which will be enough to get you started. Originally there were two more coastline packages (gmt-coast-high and -full) but they were to big for our servers and pulled from the distribution. . Read /usr/share/doc/gmt-coast-low/README.Debian to learn how to get coastline data on the net. Otherwise you will not be able to create high quality maps with gmt. Homepage: http://gmt.soest.hawaii.edu/ Tag: field::geography, role::app-data, use::editing, works-with-format::postscript, works-with::image:vector, works-with::text Section: misc Priority: extra Filename: pool/main/g/gmt-coast-low/gmt-coast-low_2.1.1-1_all.deb Package: gmt-doc Source: gmt Version: 4.5.7-2 Installed-Size: 14229 Maintainer: Debian GIS Project Architecture: all Suggests: www-browser Conflicts: gmt (<< 4.5.7-1~) Size: 10083022 SHA256: 55676b59006387522a7d6503fc8042fbd94098bbaa2685a09bd49bd8044b7178 SHA1: 0d24c21efef27cb848a7bb3275ca20bcb83dee90 MD5sum: 1802304191362c941aff5c935e379b55 Description: HTML documentation for GMT, the Generic Mapping Tools GMT is a collection of UNIX tools that allow users to manipulate (x,y) and (x,y,z) data sets (including filtering, trend fitting, gridding, projecting, etc.) and produce Encapsulated PostScript File (EPS) illustrations ranging from simple x-y plots through contour maps to artificially illuminated surfaces and 3-D perspective views in black and white, gray tone, hachure patterns, and 24-bit color. . GMT supports many common map projections plus linear, log, and power scaling, and comes with support data such as coastlines, rivers, and political boundaries. . This package contains the HTML documentation for GMT, consisting of - the tutorial - the cookbook and technical reference - the manpages converted to HTML. Homepage: http://gmt.soest.hawaii.edu/ Tag: field::geography, made-of::html, role::documentation, use::editing, works-with-format::postscript, works-with::image, works-with::image:vector, works-with::text Section: doc Priority: extra Filename: pool/main/g/gmt/gmt-doc_4.5.7-2_all.deb Package: gmt-doc-pdf Source: gmt Version: 4.5.7-2 Installed-Size: 19075 Maintainer: Debian GIS Project Architecture: all Suggests: pdf-viewer Size: 18983534 SHA256: e14c39563df0cec4d7203716a3318a527488dec1b2db3b1e9708685371fe3c97 SHA1: 9d53233c05510dcd803a2eb0cf2fa809c90c63f8 MD5sum: dd18d6ca12fdab61c52efcbfcc06267a Description: PDF docs for GMT, the Generic Mapping Tools GMT is a collection of tools that allow users to manipulate (x,y) and (x,y,z) data sets (including filtering, trend fitting, gridding, projecting, etc.) and produce Encapsulated PostScript File (EPS) illustrations ranging from simple x-y plots through contour maps to artificially illuminated surfaces and 3-D perspective views in black and white, gray tone, hachure patterns, and 24-bit color. . GMT supports many common map projections plus linear, log, and power scaling, and comes with support data such as coastlines, rivers, and political boundaries. Homepage: http://gmt.soest.hawaii.edu/ Tag: field::geography, made-of::pdf, role::documentation, use::editing, works-with-format::postscript, works-with::image, works-with::image:vector, works-with::text Section: doc Priority: extra Filename: pool/main/g/gmt/gmt-doc-pdf_4.5.7-2_all.deb Package: gmt-doc-ps Version: 3.4.4-1 Installed-Size: 3804 Maintainer: Torsten Landschoff Architecture: all Size: 3174604 SHA256: 56b92cd17f355516d91d510f8c33eb5e9fafb73e03cf53bcb4e424831288de7d SHA1: 6fd57089ae217d2e55ff0dc20adb17465b450f23 MD5sum: 502532dfb3459b54766f840a946f3382 Description: PostScript docs for the Generic Mapping Tools This package has the users guide of GMT in PostScript format. Tag: field::geography, made-of::postscript, role::documentation, use::editing, works-with-format::postscript, works-with::image, works-with::image:vector, works-with::text Section: doc Priority: extra Filename: pool/main/g/gmt-doc-ps/gmt-doc-ps_3.4.4-1_all.deb Package: gmt-examples Source: gmt Version: 4.5.7-2 Installed-Size: 11672 Maintainer: Debian GIS Project Architecture: all Suggests: gmt Size: 6487034 SHA256: 4d8480e54ddae1828cb307b9e07d9b89ab699d0643f005f10c022cea790f71ab SHA1: bfafbe17c5d3fbb4bd41ab195b43195c67f2e142 MD5sum: 3492dd0cb3f13de961e522ec73e8f171 Description: Example scripts illustrating the use of GMT GMT is a collection of tools that allow users to manipulate (x,y) and (x,y,z) data sets (including filtering, trend fitting, gridding, projecting, etc.) and produce Encapsulated PostScript File (EPS) illustrations ranging from simple x-y plots through contour maps to artificially illuminated surfaces and 3-D perspective views in black and white, gray tone, hachure patterns, and 24-bit color. . GMT supports many common map projections plus linear, log, and power scaling, and comes with support data such as coastlines, rivers, and political boundaries. Homepage: http://gmt.soest.hawaii.edu/ Tag: devel::examples, field::geography, role::app-data, use::editing, works-with-format::postscript, works-with::image:vector, works-with::text Section: doc Priority: extra Filename: pool/main/g/gmt/gmt-examples_4.5.7-2_all.deb Package: gmt-gshhs-full Source: gmt-gshhs Version: 2.2.0-2 Installed-Size: 61273 Maintainer: Debian GIS Project Architecture: all Provides: gmt-gshhs-data Depends: gmt (>= 4.5.7) Size: 49779680 SHA256: 5db9b9e5bee8d61c230b2c2f5bee1022850b8846fb15246d43734988074bcbe5 SHA1: 82f8a92b3e0af77bab27c70576a70122f5a85fb9 MD5sum: 74ee4569c1a0898566d1c717ebe6f0f9 Description: Full resolution coastlines for the Generic Mapping Tools This package contains the full resolution coastlines which are required to create best quality maps with GMT. Homepage: http://gmt.soest.hawaii.edu/ Section: misc Priority: extra Filename: pool/main/g/gmt-gshhs/gmt-gshhs-full_2.2.0-2_all.deb Package: gmt-gshhs-high Source: gmt-gshhs Version: 2.2.0-2 Installed-Size: 15441 Maintainer: Debian GIS Project Architecture: all Provides: gmt-gshhs-data Depends: gmt (>= 4.5.7) Size: 13000772 SHA256: a9eac5a3454511e6d11396479ce029b5a7d57fff9ba61ba753049bb894654688 SHA1: cdae399572563f0b8973b69477d416252e03b292 MD5sum: 0b8e6f41cdd5fc32c2fb0bb00b2ba9e3 Description: High resolution coastlines for the Generic Mapping Tools This package contains the high resolution coastlines which are required to create high quality maps with GMT. Homepage: http://gmt.soest.hawaii.edu/ Section: misc Priority: extra Filename: pool/main/g/gmt-gshhs/gmt-gshhs-high_2.2.0-2_all.deb Package: gmt-gshhs-low Source: gmt-gshhs Version: 2.2.0-2 Installed-Size: 6218 Maintainer: Debian GIS Project Architecture: all Replaces: gmt-coast-low Provides: gmt-coast-low, gmt-gshhs-data Depends: gmt (>= 4.5.7) Suggests: gmt-gshhs-high, gmt-gshhs-full Conflicts: gmt-coast-low Size: 5024438 SHA256: efb6912e4f00daedd319388b0f3ec8de153ff6776a7215cab248076d4d51a5d9 SHA1: 325a0c34c50b1ded8e1ac2110432fc606ad9daee MD5sum: 6148a754e07cb6c6973d2d0d25e7d56e Description: Low resolution coastlines for the Generic Mapping Tools This package contains the crude, low and intermediate resolution coastlines contained in the GMT distribution which will be enough to get you started. . Use gmt-gshhs-high or gmt-gshhs-full to create better quality maps at an higher resolution, but be aware that the full maps take space on your disk. Homepage: http://gmt.soest.hawaii.edu/ Section: misc Priority: extra Filename: pool/main/g/gmt-gshhs/gmt-gshhs-low_2.2.0-2_all.deb Package: gmt-manpages Version: 3.4.4-1 Installed-Size: 332 Maintainer: Torsten Landschoff Architecture: all Size: 171930 SHA256: 4e88de04a21a94f15462296b3fc3e9a84981d6317ce4360bcf2a08820241c18a SHA1: 59305e8ae055d0c10f23965948196910ab28aaaa MD5sum: 674dcd3b4f7e6b6231152cdd04f21ec8 Description: Manpages for the Generic Mapping Tools This package contains the manpages for GMT which is available in the gmt package. . GMT is a free, public-domain collection of ~60 UNIX tools that allow users to manipulate (x,y) and (x,y,z) data sets (including filtering, trend fitting, gridding, projecting, etc.) and produce Encapsulated PostScript File (EPS) illustrations ranging from simple x-y plots through contour maps to artificially illuminated surfaces and 3-D perspective views in black and white, gray tone, hachure patterns, and 24-bit color. . GMT supports 25 common map projections plus linear, log, and power scaling, and comes with support data such as coastlines, rivers, and political boundaries. Tag: field::geography, made-of::man, role::documentation, use::editing, works-with-format::postscript, works-with::image, works-with::image:vector, works-with::text Section: doc Priority: extra Filename: pool/main/g/gmt-manpages/gmt-manpages_3.4.4-1_all.deb Package: gmt-tutorial Version: 3.4-1.1 Installed-Size: 1384 Maintainer: Torsten Landschoff Architecture: all Size: 1348030 SHA256: 35980b7fe1123cebb9c06bfcf7533d4a9031a01d5e04fc67a8a8af036592bda6 SHA1: 1dbca14fd006871a6e6929ba9298fe8321c2d806 MD5sum: 2eefbcffa793724b586854bf4bc4c5a6 Description: Data files needed to reproduce the tutorial examples of GMT This package contains the data files you need to go through the tutorial of GMT, which is contained in the gmt-doc package and also in printable formats in the gmt-tutorial-ps and gmt-tutorial-pdf packages. Tag: field::geography, role::app-data, role::documentation, use::editing, works-with-format::postscript, works-with::image, works-with::image:vector, works-with::text Section: doc Priority: extra Filename: pool/main/g/gmt-tutorial/gmt-tutorial_3.4-1.1_all.deb Package: gmt-tutorial-pdf Source: gmt Version: 4.5.7-2 Installed-Size: 498 Maintainer: Debian GIS Project Architecture: all Suggests: pdf-viewer Size: 503396 SHA256: da1714605b9459514e0387ac9a27f9d5bc9ac5fd4574663c3106f1f7ec552130 SHA1: 79c202c70bc21bdc406850ab8342aac89379c10c MD5sum: 8ded0acf61418486e42e332816b9a6ba Description: Tutorial for GMT, the Generic Mapping Tools (PDF) GMT is a collection of tools that allow users to manipulate (x,y) and (x,y,z) data sets (including filtering, trend fitting, gridding, projecting, etc.) and produce Encapsulated PostScript File (EPS) illustrations ranging from simple x-y plots through contour maps to artificially illuminated surfaces and 3-D perspective views in black and white, gray tone, hachure patterns, and 24-bit color. . GMT supports many common map projections plus linear, log, and power scaling, and comes with support data such as coastlines, rivers, and political boundaries. Homepage: http://gmt.soest.hawaii.edu/ Tag: field::geography, made-of::pdf, role::documentation, use::editing, works-with-format::postscript, works-with::image, works-with::image:vector, works-with::text Section: doc Priority: extra Filename: pool/main/g/gmt/gmt-tutorial-pdf_4.5.7-2_all.deb Package: gmt-tutorial-ps Source: gmt-doc-ps Version: 3.4.4-1 Installed-Size: 368 Maintainer: Torsten Landschoff Architecture: all Size: 314388 SHA256: 61c82530bcce316a68cfe17c377ffc06eb0b55511726e51181ce5be4e3bd76a3 SHA1: eb553810d89f4c865a775da5d7afd3bbc5039307 MD5sum: f9c8680577fa27ad1f20dffa8fe76fa4 Description: Tutorial for the Generic Mapping Tools (PostScript) This package contains the tutorial for GMT in PostScript format. Tag: field::geography, made-of::postscript, role::documentation, use::editing, works-with-format::postscript, works-with::image:vector, works-with::text Section: misc Priority: extra Filename: pool/main/g/gmt-doc-ps/gmt-tutorial-ps_3.4.4-1_all.deb Package: gmtkbabel Version: 0.1-1 Installed-Size: 108 Maintainer: David Paleino Architecture: all Depends: zenity, mtkbabel Size: 7444 SHA256: f2938382607f706770a2a9518f57baf1766addcc589a304f1846c2dee30ee166 SHA1: b9f95b54983152b7ed8f428f80a95bd3e9dece77 MD5sum: 4f31ec062a02975a17c5eaaae6f590dc Description: graphical interface for mtkbabel gmtkbabel consists of a set of shell scripts which use zenity to provide a graphical user interface to mtkbabel. Mtkbabel is a command-line tool to operate GPS-unit with MTK (Mediatek) chipsets. Homepage: http://dpaleino.github.com/gmtkbabel Section: utils Priority: optional Filename: pool/main/g/gmtkbabel/gmtkbabel_0.1-1_all.deb Package: gmtp Version: 1.3.3-1 Architecture: armhf Maintainer: Alessio Treglia Installed-Size: 378 Depends: dconf-gsettings-backend | gsettings-backend, libc6 (>= 2.13-28), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libid3tag0 (>= 0.15.1b), libmtp9 (>= 1.1.0), libvorbisfile3 (>= 1.1.2) Homepage: http://gmtp.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/g/gmtp/gmtp_1.3.3-1_armhf.deb Size: 126644 SHA256: 474f8c7fa8d3f0ca36b83fc6a2cc6c506690d953d14abeeae507418c556fa52d SHA1: a6eac4d721a31a8124e44ae93e92eececa6ca9a2 MD5sum: b37c4b8acc80399ee3365e3ba65d362e Description: simple MP3 player client for MTP based devices gMTP is a simple and light-weight interface to the features provided by libmtp, which allows users to manage the files stored on any MTP music player supported by the libraries, including those with multiple storage devices (e.g. mobile phones). . It doesn't provide complex features such as playlist management, it just makes transferring files from and to devices easy, by allowing users to upload, download and remove files on the device with a fast and easy-to-use graphical interface. Package: gmult Version: 8.0-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 450 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.0.0) Homepage: https://launchpad.net/gmult Priority: optional Section: games Filename: pool/main/g/gmult/gmult_8.0-1_armhf.deb Size: 55154 SHA256: 157af876913128df8db303fb106e7c792eb92316d6a7f64ffa971cc8169d511f SHA1: 81db660640ad6193c894d8b6238261e94e992cb7 MD5sum: 5e698c45a05dce53a0d3df8f875b8ad9 Description: figure out which letters are which numbers Multiplication Puzzle is a simple GTK+ 2 game that emulates the multiplication game found in Emacs. Basically, a multiplication problem is shown with all digits replaced by letters. Your job is to guess which letter represents which number. Package: gmusicbrowser Version: 1.1.9-2 Installed-Size: 2962 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: libgstreamer-perl, libgtk2-perl, libgtk2.0-0 (>= 2.6), perl Recommends: libcairo-perl, libdigest-crc-perl, libgtk2-notify-perl, libgtk2-trayicon-perl, libhtml-parser-perl, libintl-perl, libio-compress-perl, liblocale-gettext-perl (>= 1.04), libnet-dbus-perl Suggests: alsa-utils, libgnome2-wnck-perl, libgstreamer-interfaces-perl, libgtk2-mozembed-perl, mpg321 | flac123 | ogg123, mplayer, vorbis-tools Size: 1108180 SHA256: 8830f8a6c4ed0ed3226c2e31593bcd28067e3ded01770d52d0d063d62bd4053b SHA1: c1ba1233fc3c295eafc4c5b15069f9193266034b MD5sum: f5e843b98fe82349c7e517e83ce61e49 Description: graphic jukebox for large collections of mp3/ogg/flac/mpc files gmusicbrower is a powerful graphic browser which supports libraries with a great number of songs (>10,000) . It can use multiple inputs and plays MP3, OGG and flac files; it also supports mass-renaming and mass-retagging of a song library, multiple genres per song, ratings and customizable labels . The window layout is also customizable and it ships natively plugins to use last.fm, retrieve lyrics, find album pictures and WebContext which, through the mozilla/webkit engine will display the wikipedia artist's page and search lyrics with google. Homepage: http://www.gmusicbrowser.org/ Tag: implemented-in::perl, role::program, uitoolkit::gtk, works-with::audio Section: sound Priority: extra Filename: pool/main/g/gmusicbrowser/gmusicbrowser_1.1.9-2_all.deb Package: gmysqlcc Version: 0.3.0-2 Architecture: armhf Maintainer: Rene Mayorga Installed-Size: 252 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.0), libgtksourceview2.0-0 (>= 2.10.0), libmysqlclient16 (>= 5.1.50-1), libpango1.0-0 (>= 1.14.0) Suggests: mysql-server Homepage: http://gmysqlcc.thepozer.org Priority: optional Section: misc Filename: pool/main/g/gmysqlcc/gmysqlcc_0.3.0-2_armhf.deb Size: 73896 SHA256: b820ada5f2ee9bc194fd648d3f4b8bd1425895b1f52638b9dd8f6cf0ed89dba2 SHA1: 65291cfcb38745a35554fc1b4839335dae13b748 MD5sum: 140bd099791b91c73e1a8e67967a5e0e Description: graphical client for managing MySQL databases GTK+ based client for MySQL which allows to make queries and performs administrative jobs such as manage users, process, structures, data dumps and more. Package: gnac Version: 0.2.4-1 Architecture: armhf Maintainer: Khalid El Fathi Installed-Size: 1982 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.31), libgstreamer0.10-0 (>= 0.10.20), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libunique-3.0-0 (>= 2.90.1), libxml2 (>= 2.7.4), dconf-gsettings-backend | gsettings-backend, binutils, intltool, gettext Homepage: http://gnac.sourceforge.net Priority: optional Section: sound Filename: pool/main/g/gnac/gnac_0.2.4-1_armhf.deb Size: 683272 SHA256: 7f5c96c03e44acd45fd70e76d21bd3712e176af9b272934f03460b22d0bd11c1 SHA1: fe8d75cec669208c44426888388e32a174618898 MD5sum: 396ecfcb5f65dcbec1b801f74446ac78 Description: audio converter for GNOME Gnac is an easy to use audio conversion program for the GNOME desktop. It is designed to be powerful but simple! It provides easy audio files conversion between all GStreamer supported audio formats. Package: gnarwl Version: 3.6.dfsg-6.2 Architecture: armhf Maintainer: Francesco Zanolin Installed-Size: 165 Depends: libc6 (>= 2.13-28), libgdbm3 (>= 1.8.3), libldap-2.4-2 (>= 2.4.7), debconf (>= 0.5) | debconf-2.0, adduser (>= 3.48), ucf Recommends: phamm-ldap-vacation Homepage: http://www.onyxbits.de/gnarwl Priority: optional Section: mail Filename: pool/main/g/gnarwl/gnarwl_3.6.dfsg-6.2_armhf.deb Size: 48964 SHA256: 74a7f926abe2fd987628dc7fa746c6963f1907dadf1974ee64ecf58910c21e4b SHA1: 2363243cec597cabbed28fbb3eddb0c54ca347d0 MD5sum: 0ff4b2c9515f9cc1af6cd6630c7db38d Description: Email autoresponder based on LDAP Gnarwl is an email autoresponder. Unlike the original vacation program, gnarwl is based on LDAP, so that there's no need for users to have unix accounts on the mail server. Package: gnash Version: 0.8.11~git20120629-1+deb7u1 Architecture: armhf Maintainer: Debian Flash Team Installed-Size: 549 Depends: gnash-common (= 0.8.11~git20120629-1+deb7u1), libboost-program-options1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.14.0), libgtk2.0-0 (>= 2.14.0), libstdc++6 (>= 4.4.0), libx11-6 Breaks: gnash-opengl (<< 0.8.8~) Homepage: http://www.gnu.org/software/gnash/ Priority: optional Section: video Filename: pool/main/g/gnash/gnash_0.8.11~git20120629-1+deb7u1_armhf.deb Size: 208356 SHA256: 650a7063b78be0dff7d3d7298176de7eb216a9eaf76279f4c4df77f1abcec9f1 SHA1: ed86df88a251912929a8cc280d338f9084f2433e MD5sum: 889e09937441d871977d99f590c2d1e1 Description: GNU Shockwave Flash (SWF) player GNU Gnash is a free GPL'd SWF player. It runs as a standalone application on either the desktop or embedded devices, or may be used as a plugin for several popular browsers. It supports playing media from a disk or streaming over a network connection. . GNU Gnash is based on GameSWF and supports most SWF v7 features and some SWF v8 and v9. SWF v10 is not supported by GNU Gnash. . Included in the Gnash is an XML based messaging system, as specified in the SWF specification. This lets a SWF animation communicate over a TCP/IP socket, and parse the incoming XML message. This lets an animation be a remote control for other devices or applications. . This package includes the standalone GTK+-based player that use AGG as the rendering backend. Package: gnash-common Source: gnash Version: 0.8.11~git20120629-1+deb7u1 Architecture: armhf Maintainer: Debian Flash Team Installed-Size: 7490 Depends: dpkg (>= 1.15.4) | install-info, libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.6), libavformat53 (>= 6:0.8.3-1~), libavutil51 (>= 6:0.8.3-1~), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgif4 (>= 4.1.4), libglib2.0-0 (>= 2.24.0), libgstreamer-plugins-base0.10-0 (>= 0.10.15), libgstreamer0.10-0 (>= 0.10.12), libjpeg8 (>= 8c), libltdl7 (>= 2.4.2), libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libspeex1 (>= 1.2~beta3-1), libspeexdsp1 (>= 1.2~beta3.2-1), libstdc++6 (>= 4.6), libswscale2 (>= 6:0.8.3-1~), libx11-6, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Recommends: gstreamer0.10-ffmpeg, gstreamer0.10-plugins-bad Conflicts: libgnash0, libklash0 Breaks: gnash-common-opengl (<< 0.8.8~) Replaces: libgnash0, libklash0 Provides: libgnash0, libklash0 Homepage: http://www.gnu.org/software/gnash/ Priority: optional Section: video Filename: pool/main/g/gnash/gnash-common_0.8.11~git20120629-1+deb7u1_armhf.deb Size: 2519104 SHA256: 871d7ddf0556cc5a6b846e164cdd5d669ac263422325f497d125e2215cffe70c SHA1: 7c7780612bff99ecbb0bb184b0c75ec32116a13a MD5sum: ec534590e170e70554e5b4a228ffaf9c Description: GNU Shockwave Flash (SWF) player - Common files/libraries GNU Gnash is a free GPL'd SWF player. It runs as a standalone application on either the desktop or embedded devices, or may be used as a plugin for several popular browsers. It supports playing media from a disk or streaming over a network connection. . GNU Gnash is based on GameSWF and supports most SWF v7 features and some SWF v8 and v9. SWF v10 is not supported by GNU Gnash. . Included in the Gnash is an XML based messaging system, as specified in the SWF specification. This lets a SWF animation communicate over a TCP/IP socket, and parse the incoming XML message. This lets an animation be a remote control for other devices or applications. Package: gnash-common-opengl Source: gnash Version: 0.8.11~git20120629-1+deb7u1 Installed-Size: 54 Maintainer: Debian Flash Team Architecture: all Depends: gnash-common (>= 0.8.11~git20120629-1+deb7u1) Size: 27452 SHA256: 65506c40ebfcfd9e589a69deaee72ebbba6deb9acba3e7a0f009cadb57a5d0e6 SHA1: fd98065c0f516dbfe9308cc8921ca626d205b127 MD5sum: e2970789ecc8f477acf195683ca7b3f7 Description: dummy package for gnash-common-opengl removal This package is a transitional package for gnash-common-opengl removal. . It can be safely removed when gnash-common is installed. Homepage: http://www.gnu.org/software/gnash/ Tag: hardware::opengl, implemented-in::c++, role::dummy, role::plugin, role::program, use::playing, works-with-format::swf, works-with::video Section: oldlibs Priority: extra Filename: pool/main/g/gnash/gnash-common-opengl_0.8.11~git20120629-1+deb7u1_all.deb Package: gnash-cygnal Source: gnash Version: 0.8.11~git20120629-1+deb7u1 Architecture: armhf Maintainer: Debian Flash Team Installed-Size: 1720 Depends: gnash-common (= 0.8.11~git20120629-1+deb7u1), libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.6), libavformat53 (>= 6:0.8.3-1~), libavutil51 (>= 6:0.8.3-1~), libboost-date-time1.49.0 (>= 1.49.0-1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-serialization1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgif4 (>= 4.1.4), libglib2.0-0 (>= 2.12.0), libgstreamer-plugins-base0.10-0 (>= 0.10.12), libgstreamer0.10-0 (>= 0.10.0), libjpeg8 (>= 8c), libltdl7 (>= 2.4.2), libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libspeex1 (>= 1.2~beta3-1), libspeexdsp1 (>= 1.2~beta3.2-1), libstdc++6 (>= 4.6), libswscale2 (>= 6:0.8.3-1~), libx11-6, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Breaks: gnash-common (<< 0.8.10-1) Replaces: gnash-common (<< 0.8.10-1) Homepage: http://www.gnu.org/software/gnash/ Priority: optional Section: video Filename: pool/main/g/gnash/gnash-cygnal_0.8.11~git20120629-1+deb7u1_armhf.deb Size: 653326 SHA256: 77f227323faf871fac1dc5d6375dc83b879b3221cd110c685b679bfbb1eddc64 SHA1: 468a720f5a8aac580217b392fc775de63b55e6a3 MD5sum: 5aa75a4687d9bdf721e8371117c8ccf7 Description: GNU Shockwave Flash (SWF) player - Media server GNU Gnash is a free GPL'd SWF player. It runs as a standalone application on either the desktop or embedded devices, or may be used as a plugin for several popular browsers. It supports playing media from a disk or streaming over a network connection. . GNU Gnash is based on GameSWF and supports most SWF v7 features and some SWF v8 and v9. SWF v10 is not supported by GNU Gnash. . Included in the Gnash is an XML based messaging system, as specified in the SWF specification. This lets a SWF animation communicate over a TCP/IP socket, and parse the incoming XML message. This lets an animation be a remote control for other devices or applications. . Cygnal is Gnash's media server. Package: gnash-dbg Source: gnash Version: 0.8.11~git20120629-1+deb7u1 Architecture: armhf Maintainer: Debian Flash Team Installed-Size: 173054 Depends: gnash-common (= 0.8.11~git20120629-1+deb7u1) Homepage: http://www.gnu.org/software/gnash/ Priority: extra Section: debug Filename: pool/main/g/gnash/gnash-dbg_0.8.11~git20120629-1+deb7u1_armhf.deb Size: 61825926 SHA256: b859d8c259e9f68b88a848a4dbf330a67442d76362e247a83f66f4d093eb76d0 SHA1: f193945d01564ccc32aca3c8a0d3f8248ff7bdcb MD5sum: 0b8a76ab1d3593fe240f27db45b043c8 Description: GNU Shockwave Flash (SWF) player - Debug symbols GNU Gnash is a free GPL'd SWF player. It runs as a standalone application on either the desktop or embedded devices, or may be used as a plugin for several popular browsers. It supports playing media from a disk or streaming over a network connection. . GNU Gnash is based on GameSWF and supports most SWF v7 features and some SWF v8 and v9. SWF v10 is not supported by GNU Gnash. . Included in the Gnash is an XML based messaging system, as specified in the SWF specification. This lets a SWF animation communicate over a TCP/IP socket, and parse the incoming XML message. This lets an animation be a remote control for other devices or applications. . This package includes debug symbols for Gnash. Package: gnash-dev Source: gnash Version: 0.8.11~git20120629-1+deb7u1 Architecture: armhf Maintainer: Debian Flash Team Installed-Size: 1048 Depends: gnash-common (= 0.8.11~git20120629-1+deb7u1) Homepage: http://www.gnu.org/software/gnash/ Priority: optional Section: libdevel Filename: pool/main/g/gnash/gnash-dev_0.8.11~git20120629-1+deb7u1_armhf.deb Size: 261752 SHA256: 67584d0fe840c22726e57f7c260e35e7829a248e6112323cdb3e9cede437aa7c SHA1: 553d64ed9f75504338413ebf85fc40b03bc0f6ac MD5sum: 27deb3c44c327fdc9630cd3f722b188a Description: GNU Shockwave Flash (SWF) player - Development files GNU Gnash is a free GPL'd SWF player. It runs as a standalone application on either the desktop or embedded devices, or may be used as a plugin for several popular browsers. It supports playing media from a disk or streaming over a network connection. . GNU Gnash is based on GameSWF and supports most SWF v7 features and some SWF v8 and v9. SWF v10 is not supported by GNU Gnash. . Included in the Gnash is an XML based messaging system, as specified in the SWF specification. This lets a SWF animation communicate over a TCP/IP socket, and parse the incoming XML message. This lets an animation be a remote control for other devices or applications. . This package contains the development headers. Package: gnash-doc Source: gnash Version: 0.8.11~git20120629-1+deb7u1 Installed-Size: 39759 Maintainer: Debian Flash Team Architecture: all Size: 5762206 SHA256: 86e9a6dfcbecf572eec70f56ce7ac1fd84d3b14abdfcc00a47d71553231fa59e SHA1: 5a0ea626d0f68b363cc7ca1e2140ecb854ba91af MD5sum: 9e64ea68dcc38b1670828207a7f25bd2 Description: GNU Shockwave Flash (SWF) player - API documentation GNU Gnash is a free GPL'd SWF player. It runs as a standalone application on either the desktop or embedded devices, or may be used as a plugin for several popular browsers. It supports playing media from a disk or streaming over a network connection. . GNU Gnash is based on GameSWF and supports most SWF v7 features and some SWF v8 and v9. SWF v10 is not supported by GNU Gnash. . Included in the Gnash is an XML based messaging system, as specified in the SWF specification. This lets a SWF animation communicate over a TCP/IP socket, and parse the incoming XML message. This lets an animation be a remote control for other devices or applications. . This package contains the API documentation for Gnash. Homepage: http://www.gnu.org/software/gnash/ Tag: devel::doc, made-of::html, role::documentation, use::learning Section: doc Priority: optional Filename: pool/main/g/gnash/gnash-doc_0.8.11~git20120629-1+deb7u1_all.deb Package: gnash-ext-fileio Source: gnash Version: 0.8.11~git20120629-1+deb7u1 Architecture: armhf Maintainer: Debian Flash Team Installed-Size: 151 Depends: gnash-common (= 0.8.11~git20120629-1+deb7u1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6 Homepage: http://www.gnu.org/software/gnash/ Priority: optional Section: video Filename: pool/main/g/gnash/gnash-ext-fileio_0.8.11~git20120629-1+deb7u1_armhf.deb Size: 63480 SHA256: 1324ebc90180471fd3d52def5ce094b61a623b4c421fdcb9338bf40310aa4d4a SHA1: 9f026b626f069e7e1823c8082b3207210386a41c MD5sum: 647156942cefcb1d438bc16e03faf9ca Description: GNU Shockwave Flash (SWF) player - Fileio extension GNU Gnash is a free GPL'd SWF player. It runs as a standalone application on either the desktop or embedded devices, or may be used as a plugin for several popular browsers. It supports playing media from a disk or streaming over a network connection. . GNU Gnash is based on GameSWF and supports most SWF v7 features and some SWF v8 and v9. SWF v10 is not supported by GNU Gnash. . Included in the Gnash is an XML based messaging system, as specified in the SWF specification. This lets a SWF animation communicate over a TCP/IP socket, and parse the incoming XML message. This lets an animation be a remote control for other devices or applications. . This extension allows SWF files being played within Gnash to have direct access to the file system. The API is similar to the C library one. Package: gnash-ext-lirc Source: gnash Version: 0.8.11~git20120629-1+deb7u1 Architecture: armhf Maintainer: Debian Flash Team Installed-Size: 143 Depends: gnash-common (= 0.8.11~git20120629-1+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6 Homepage: http://www.gnu.org/software/gnash/ Priority: optional Section: video Filename: pool/main/g/gnash/gnash-ext-lirc_0.8.11~git20120629-1+deb7u1_armhf.deb Size: 58212 SHA256: 2c4209cbdd8ea0b9b5f50a0ca99d5bed46953022d3f61b40ebe9f59678f235fe SHA1: 430367c0ab8d497053447db0eb668a04b839c5ee MD5sum: 7981b0625b6c8dc8aebba4a3c1b89403 Description: GNU Shockwave Flash (SWF) player - LIRC extension GNU Gnash is a free GPL'd SWF player. It runs as a standalone application on either the desktop or embedded devices, or may be used as a plugin for several popular browsers. It supports playing media from a disk or streaming over a network connection. . GNU Gnash is based on GameSWF and supports most SWF v7 features and some SWF v8 and v9. SWF v10 is not supported by GNU Gnash. . Included in the Gnash is an XML based messaging system, as specified in the SWF specification. This lets a SWF animation communicate over a TCP/IP socket, and parse the incoming XML message. This lets an animation be a remote control for other devices or applications. . This extension allows SWF files being played within Gnash to have direct access to a LIRC based remote control device. The API is similar to the standard LIRC one. Package: gnash-ext-mysql Source: gnash Version: 0.8.11~git20120629-1+deb7u1 Architecture: armhf Maintainer: Debian Flash Team Installed-Size: 167 Depends: gnash-common (= 0.8.11~git20120629-1+deb7u1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmysqlclient18 (>= 5.5.24+dfsg-1), libstdc++6 (>= 4.4.0), libx11-6, zlib1g (>= 1:1.1.4) Homepage: http://www.gnu.org/software/gnash/ Priority: optional Section: video Filename: pool/main/g/gnash/gnash-ext-mysql_0.8.11~git20120629-1+deb7u1_armhf.deb Size: 65722 SHA256: 1b03215a23cd83cbcef755e9ecc8ca574f9d5247daaf979face297c927dcd4df SHA1: 009e4c658a2b4139be0904960ce4899b89f74a72 MD5sum: 7131e736653caca0b2c7564e661dba87 Description: GNU Shockwave Flash (SWF) player - MySQL extension GNU Gnash is a free GPL'd SWF player. It runs as a standalone application on either the desktop or embedded devices, or may be used as a plugin for several popular browsers. It supports playing media from a disk or streaming over a network connection. . GNU Gnash is based on GameSWF and supports most SWF v7 features and some SWF v8 and v9. SWF v10 is not supported by GNU Gnash. . Included in the Gnash is an XML based messaging system, as specified in the SWF specification. This lets a SWF animation communicate over a TCP/IP socket, and parse the incoming XML message. This lets an animation be a remote control for other devices or applications. . This extension allows SWF files being played within Gnash to have direct access to a MySQL database. The API is similar to the standard MySQL one. Package: gnash-opengl Source: gnash Version: 0.8.11~git20120629-1+deb7u1 Installed-Size: 54 Maintainer: Debian Flash Team Architecture: all Depends: gnash (>= 0.8.11~git20120629-1+deb7u1) Size: 27444 SHA256: e0a05c10d547543656650e4ce2b8bfed33ecc5e490b248d1c33e07168d39138c SHA1: bc941d02d6711bfa61469a8a65f067dcd3846bfc MD5sum: ea2511d507f934fbabd5716bf2b513c6 Description: dummy package for gnash-opengl removal This package is a transitional package for gnash-opengl removal. . It can be safely removed when Gnash is installed. Homepage: http://www.gnu.org/software/gnash/ Tag: interface::x11, role::dummy, role::program, uitoolkit::gtk, use::playing, works-with-format::swf, works-with::audio, works-with::video, x11::application Section: oldlibs Priority: extra Filename: pool/main/g/gnash/gnash-opengl_0.8.11~git20120629-1+deb7u1_all.deb Package: gnash-tools Source: gnash Version: 0.8.11~git20120629-1+deb7u1 Architecture: armhf Maintainer: Debian Flash Team Installed-Size: 414 Depends: gnash-common (= 0.8.11~git20120629-1+deb7u1), gnash-cygnal, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgstreamer-plugins-base0.10-0 (>= 0.10.0), libgstreamer0.10-0 (>= 0.10.0), libstdc++6 (>= 4.4.0) Homepage: http://www.gnu.org/software/gnash/ Priority: optional Section: video Filename: pool/main/g/gnash/gnash-tools_0.8.11~git20120629-1+deb7u1_armhf.deb Size: 160462 SHA256: 73af23961341cb2e14b92a5d5a284530e8a7d864dfa15fe35706b0da8fd1b071 SHA1: 6367bb64e66c38d6d8fb7cd40d5495c0775fbbd0 MD5sum: 7b99e542d146c4e97098772550443681 Description: GNU Shockwave Flash (SWF) player - Command-line Tools GNU Gnash is a free GPL'd SWF player. It runs as a standalone application on either the desktop or embedded devices, or may be used as a plugin for several popular browsers. It supports playing media from a disk or streaming over a network connection. . GNU Gnash is based on GameSWF and supports most SWF v7 features and some SWF v8 and v9. SWF v10 is not supported by GNU Gnash. . Included in the Gnash is an XML based messaging system, as specified in the SWF specification. This lets a SWF animation communicate over a TCP/IP socket, and parse the incoming XML message. This lets an animation be a remote control for other devices or applications. . This package includes command-line utilities based in Gnash. Package: gnat Version: 4.6 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 29 Depends: gnat-4.6 Recommends: ada-reference-manual, gnat-gps Homepage: http://gcc.gnu.org Priority: optional Section: devel Filename: pool/main/g/gnat/gnat_4.6_armhf.deb Size: 2358 SHA256: 19abdd1a41c9f806b1a7d96a038353a7f63373ce3202e4b9545bab07a72219cf SHA1: 8651487ed8eb63f6a1b518d6bafc39f649686256 MD5sum: 6a28585852153235c9c165c95e06377b Description: GNU Ada compiler GNAT is a full-featured Ada 2005 compiler. A quote from http://www.adaic.org says: "Easily reused and maintained, readable and user friendly, Ada code facilitates such massive software projects as the Space Station and the Paris Metro. It has proven to be extraordinarily robust in decades' worth of daily field tests under the most rigorous conditions in which millions of lives have been at stake." Ada is the language for real-world, mission-critical programming. . At the same time, Ada's radical type safety helps novice programmers avoid many common mistakes and deliver their software on time (see http://www.adaic.org/atwork/trains.html). . This is an empty package that depends on the default Ada compiler for Debian, which is part of the GNU Compiler Collection. Package: gnat-4.6 Version: 4.6.3-8+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 35002 Pre-Depends: multiarch-support Depends: gnat-4.6-base (= 4.6.3-8+rpi1), gcc-4.6 (>= 4.6.3-1), libgnat-4.6 (= 4.6.3-8+rpi1), libc6-dev (>= 2.13-5), libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgmp10, libgnatprj4.6 (>= 4.6.3-8+rpi1), libgnatvsn4.6 (>= 4.6.3-8+rpi1), libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gnat-4.6-doc, ada-reference-manual-html, ada-reference-manual-info, ada-reference-manual-pdf, ada-reference-manual-text, gnat-4.6-sjlj Conflicts: gnat (<< 4.1), gnat-3.1, gnat-3.2, gnat-3.3, gnat-3.4, gnat-3.5, gnat-4.0, gnat-4.1, gnat-4.2, gnat-4.3, gnat-4.4 Provides: ada-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gnat-4.6/gnat-4.6_4.6.3-8+rpi1_armhf.deb Size: 10965452 SHA256: 3fea6c11ab473bc6bddc34d553a40f70a59c47cfaf7554b385bb2f0d54f33623 SHA1: 84f652d88ab08c688e694d20949edec43ac55a23 MD5sum: 0a2926da2417d19536f0b243bf9b6a78 Description: GNU Ada compiler GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package provides the compiler, tools and runtime library that handles exceptions using the default zero-cost mechanism. Package: gnat-4.6-base Source: gnat-4.6 Version: 4.6.3-8+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 200 Breaks: gcc-4.6 (<< 4.6.1-8~) Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gnat-4.6/gnat-4.6-base_4.6.3-8+rpi1_armhf.deb Size: 158714 SHA256: 0b701f3e1bcefd7bca5a34d8bb6eaf9291d8725c4b9a626ca41ea3eb578b0b7f SHA1: ecedc1b1adccd393dfedfa682fb09bfa0ab8bd9a MD5sum: 608161e5b1d98a212869f0b0b1964051 Description: GNU Ada compiler (common files) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . This package contains files common to all GNAT related packages. Package: gnat-gps Version: 5.0-13 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 15776 Depends: gnat-gps-common (= 5.0-13), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnat-4.6 (>= 4.6.3-4+rpi2), libgnatprj4.6 (>= 4.6.3-4+rpi2), libgnatvsn4.6 (>= 4.6.3-4+rpi2), libgtk2.0-0 (>= 2.22.0), libgtkada2.24.1, libpango1.0-0 (>= 1.14.0), libpython2.7 (>= 2.7), libtemplates-parser11.6, libxmlada4.1, libxrender1 Recommends: gnat (>= 4.6), gdb-minimal (>= 6.8), python-gtk2, gnat-gps-doc (= 5.0-13) Suggests: gprbuild Homepage: http://libre.adacore.com/libre/tools/gps Priority: optional Section: devel Filename: pool/main/g/gnat-gps/gnat-gps_5.0-13_armhf.deb Size: 6987284 SHA256: d62258acf80443edf714fcb6c1139199fc46490cc817034b3617315c01a612fb SHA1: d146820a28a8222087b975853c2583ae3bfc4246 MD5sum: 922cab04b55e6d31434a188954d058a2 Description: integrated development environment for C and Ada GNAT Programming Studio is a complete integrated development environment that gives access to a wide range of tools and integrates them smoothly. . GPS gives access to built-in file editing; HTML based help system; complete compile/build/run cycle; intelligent source navigation; project management; general graph technology giving access to many different browsers such as source dependency, project dependency, call graphs, etc.; fully integrated visual debugger, based on the GVD technology, and enhanced for inclusion in GPS; generic version control system, providing access to CVS, ClearCase, and possibly others in the future; many other tools such as a visual comparison, automatic generation of files, source reformatting. . GPS is fully customizable, providing several levels of customizations: a first level, available through the preferences dialog; a second level, which allows you to customize your menu items, tool bar and key bindings; a third level, which allows you to automate processing through scripts; and a fourth level, which allows any kind of very specific or tight integration, due to the open nature of GPS, and to its architecture. . GPS also integrates with existing editors such as Emacs and Vi. Package: gnat-gps-common Source: gnat-gps Version: 5.0-13 Installed-Size: 2991 Maintainer: Ludovic Brenta Architecture: all Replaces: gnat-gps (<< 5.0) Depends: libjs-prototype (>= 1.7.0), libjs-scriptaculous (>= 1.9.0) Breaks: gnat-gps (<< 5.0) Size: 1637198 SHA256: 852fb974082e9cc1dda53b8847cba9d11f6bbb2220c2f7b47e076f11eef2d861 SHA1: 6a20b9f7dac9cd3829e1983aa85a7fe673138b8a MD5sum: 71549b561d69d19504f0e085395032b4 Description: integrated development environment for C and Ada (common files) GNAT Programming Studio is a complete integrated development environment that gives access to a wide range of tools and integrates them smoothly. . This package contains images, templates and plug-ins required at run time by GPS. Homepage: http://libre.adacore.com/libre/tools/gps Section: devel Priority: optional Filename: pool/main/g/gnat-gps/gnat-gps-common_5.0-13_all.deb Package: gnat-gps-dbg Source: gnat-gps Version: 5.0-13 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 53846 Depends: gnat-gps (= 5.0-13) Homepage: http://libre.adacore.com/libre/tools/gps Priority: extra Section: debug Filename: pool/main/g/gnat-gps/gnat-gps-dbg_5.0-13_armhf.deb Size: 20912516 SHA256: 99452fa729e72c07f32599dc4643a989dbc2e7d40c70a37a8eb4bc57e72718fb SHA1: e07fb368993c05da126f5655b1a1eb6088e15321 MD5sum: 9e746c777c030dc8776d0512d269fbe1 Description: integrated development environment for C and Ada (debugging symbols) GNAT Programming Studio is a complete integrated development environment that gives access to a wide range of tools and integrates them smoothly. . This package contains the detached debugging symbols for debugging GPS. Package: gnat-gps-doc Source: gnat-gps Version: 5.0-13 Installed-Size: 12236 Maintainer: Ludovic Brenta Architecture: all Depends: dpkg (>= 1.15.4) | install-info Recommends: ada-reference-manual-2005, gprbuild-doc Size: 9837046 SHA256: 02c5c5398da82ae51f5429449baabbefbe8cf29214059caea111e062fcfe5ba3 SHA1: df3e92aa62a42d924be4e673cd1ff76c367ba6e9 MD5sum: 4d2e3883700d13f3f2a24def6c6a3ff1 Description: integrated development environment for C and Ada (documentation) GNAT Programming Studio is a complete integrated development environment that gives access to a wide range of tools and integrates them smoothly. . This package contains the documentation in ASCII, Info, HTML and PostScript formats. This documentation consists of: . - the GPS Tutorial: building Hello, World with the GPS - a User's Guide: using the GPS for multi-language projects - a Programmer's Manual: extending the GPS with new modules Homepage: http://libre.adacore.com/libre/tools/gps Tag: devel::doc, devel::ide, devel::lang:ada, devel::lang:c, made-of::html, made-of::info, made-of::postscript, role::documentation Section: doc Priority: optional Filename: pool/main/g/gnat-gps/gnat-gps-doc_5.0-13_all.deb Package: gnat-mingw-w64 Source: gcc-mingw-w64 (8) Version: 4.6.3-14+8 Installed-Size: 21 Maintainer: Stephen Kitt Architecture: all Depends: gnat-mingw-w64-i686, gnat-mingw-w64-x86-64, gcc-mingw-w64-base Size: 920 SHA256: fac019a6ae25a092ec7ec8b63542c70aca0683d6417faec6214ed0d496e969e3 SHA1: 15f6fbc65ebaf5e93c7ee3b0d8a58660ee63ecc3 MD5sum: 470e505a2a32b8c2325f35a624d3bf79 Description: GNU Ada compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Ada compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Homepage: http://www.gnu.org/software/gcc/ Built-Using: gcc-4.6 (= 4.6.3-14) Section: devel Priority: extra Filename: pool/main/g/gcc-mingw-w64/gnat-mingw-w64_4.6.3-14+8_all.deb Package: gnat-mingw-w64-i686 Source: gcc-mingw-w64 (8) Version: 4.6.3-12+rpi1+8 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 40716 Depends: gcc-mingw-w64-i686 (= 4.6.3-12+rpi1+8), gcc-mingw-w64-base, libc6 (>= 2.13-28), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.6-locales (>= 4.6.3) Breaks: gcc-mingw-w64 (<< 4.6.3-3+4) Replaces: gcc-mingw-w64 (<< 4.6.3-3+4) Built-Using: gcc-4.6 (= 4.6.3-12+rpi1) Homepage: http://www.gnu.org/software/gcc/ Priority: extra Section: devel Filename: pool/main/g/gcc-mingw-w64/gnat-mingw-w64-i686_4.6.3-12+rpi1+8_armhf.deb Size: 13843022 SHA256: fc388ad93dab54a669290b33ddc32360bd9a0a9608f0a1e566818cb683a281fc SHA1: 47718cd2d7fb7f508ef53bcb5dc128e3e677658e MD5sum: 596e40326c5b58f1956c016b865c1708 Description: GNU Ada compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Ada compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: gnat-mingw-w64-x86-64 Source: gcc-mingw-w64 (8) Version: 4.6.3-12+rpi1+8 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 42221 Depends: gcc-mingw-w64-x86-64 (= 4.6.3-12+rpi1+8), gcc-mingw-w64-base, libc6 (>= 2.13-28), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.6-locales (>= 4.6.3) Breaks: gcc-mingw-w64 (<< 4.6.3-3+4) Replaces: gcc-mingw-w64 (<< 4.6.3-3+4) Built-Using: gcc-4.6 (= 4.6.3-12+rpi1) Homepage: http://www.gnu.org/software/gcc/ Priority: extra Section: devel Filename: pool/main/g/gcc-mingw-w64/gnat-mingw-w64-x86-64_4.6.3-12+rpi1+8_armhf.deb Size: 14337686 SHA256: 2b7d7e971dffc4e0865ba8eb0943a487839a74e7a2f298cf4ada48db029502f6 SHA1: b43c850aba09ca28e31ca304813636a2ae92e881 MD5sum: 60c3d00fbb10fa204a444d4e2225e97a Description: GNU Ada compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Ada compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: gnats Version: 4.1.0-2 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 1840 Pre-Depends: debconf, dpkg (>= 1.15.4) | install-info Depends: gnats-user (>= 4.0-4), exim4 | mail-transport-agent, debianutils (>= 1.6), libc6 (>= 2.4), adduser, update-inetd Suggests: gnatsweb Homepage: http://www.gnu.org/software/gnats Priority: extra Section: devel Filename: pool/main/g/gnats/gnats_4.1.0-2_armhf.deb Size: 813408 SHA256: dd8d6c2e901bb1c7e398b786ae0af36c4ffc4bbbd861b55671b0e0e2cedbdb5c SHA1: e256521cae9793bb7033532c766c55a1889d17af MD5sum: 2f989572fe1174968a55c663359c3357 Description: problem report management system - central database GNATS is a bug-tracking tool designed for use at a central "Support Site". Users who experience problems use electronic mail to communicate these problems to "maintainers" at that Support Site. . GNATS offers many of the same features offered by more generalized databases, including editing, querying, and basic reporting. You can access the submitting, editing, and querying functions of GNATS through provided utilities or from within GNU Emacs. . The "gnats" package has the full installation for the central database server. For client systems, use the "gnats-user" package which has just the user tools. Package: gnats-user Source: gnats Version: 4.1.0-2 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 948 Depends: exim4 | mail-transport-agent, debianutils (>= 1.6), debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.4), adduser Suggests: gnatsweb Homepage: http://www.gnu.org/software/gnats Priority: extra Section: devel Filename: pool/main/g/gnats/gnats-user_4.1.0-2_armhf.deb Size: 445038 SHA256: 8ef5c06610edfa865ea4014cb230000ce77a0e17e8c33be046b2decce7e8be5c SHA1: a8145a1816ba7fdc8938f74a1b9ad5dcb8c8bda7 MD5sum: 9785460a116d96f290157d52cda40ff0 Description: problem report management system - client tools GNATS is a bug-tracking tool designed for use at a central "Support Site". Users who experience problems use electronic mail to communicate these problems to "maintainers" at that Support Site. . GNATS offers many of the same features offered by more generalized databases, including editing, querying, and basic reporting. You can access the submitting, editing, and querying functions of GNATS through provided utilities or from within GNU Emacs. . The "gnats-user" package has just the user tools for client machines. For the full installation and the central database server use the "gnats" package. . If you prefer not to use either an nfs-mounted database or the client/server model, it is possible to make a distribution that works entirely over e-mail. For more information, see gnats-info and type 'g' (for goto) followed by 'mkdist'. This package is not necessary for email-only setups. Package: gnect Source: gnome-games Version: 1:3.4.2-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 2130 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcanberra-gtk3-0 (>= 0.25), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.3.11), libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4), dconf-gsettings-backend | gsettings-backend, gnome-games-data (= 1:3.4.2-3) Breaks: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Replaces: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Homepage: http://live.gnome.org/GnomeGames Priority: optional Section: games Filename: pool/main/g/gnome-games/gnect_3.4.2-3_armhf.deb Size: 1395888 SHA256: 0900329dee8cf45098d63b740d02a59da42c54053b86fe4de81c65f0cbb36a2e SHA1: 782e6aaab7cd7bc187859f31c3de0944a5a45045 MD5sum: 04abdac22d6b4ef779a4d3a32c2cd54d Description: four-in-a-row game for GNOME The object of the game is to build a line of four of your marbles while trying to stop your opponent (human or computer) building a line of his or her own. A line can be horizontal, vertical or diagonal. Package: gnee Source: xnee Version: 3.13-1 Architecture: armhf Maintainer: Vincent Bernat Installed-Size: 206 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libx11-6, libxnee0, libxtst6 Suggests: xnee-doc Homepage: http://www.sandklef.com/xnee/ Priority: optional Section: x11 Filename: pool/main/x/xnee/gnee_3.13-1_armhf.deb Size: 90180 SHA256: 53d0933a5221520259ce07e2ff53ebdbc24b42cace984435e06169fb09ded792 SHA1: e819cd73e6bc30ef3de00c6201299c45d0c7c9b9 MD5sum: 2049e2ba69a0d87a531ef8953c8ad0b3 Description: X event recorder/replayer - GUI flavor GNU Xnee is a suite of programs that can record, replay and distribute user actions under the X11 environment. Think of it as a robot that can imitate the job you just did. . Xnee can be used to - automate tests - demonstrate programs - distribute actions - record and replay 'macro' - retype a file . This package contains the GUI flavor of Xnee. Package: gngb Version: 20060309-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 268 Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4) Homepage: http://m.peponas.free.fr/gngb/ Priority: optional Section: x11 Filename: pool/main/g/gngb/gngb_20060309-3_armhf.deb Size: 104470 SHA256: 3473995fc0346d08f3b02c635e287d13c4fc9e0bc5ce2a1527a933a1c1457cfb SHA1: cecacf16cbb0d59d40efd2a6e7e980ae1fc2bc72 MD5sum: b83580546f65f6ad3d637ed6c5385006 Description: a Color Gameboy emulator Gngb is an emulator for GameBoy Classic, Color and others. . It uses the SDL library and OpenGL. This software supports joystick and keyboard as input. Package: gniall Version: 0.7.1-7 Architecture: armhf Maintainer: Sam Hocevar (Debian packages) Installed-Size: 79 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6 Priority: optional Section: gnome Filename: pool/main/g/gniall/gniall_0.7.1-7_armhf.deb Size: 17256 SHA256: 13649431c6c0b38aa844a616ff2e3bba1ed7ce090cb4512586cc377b973f5397 SHA1: ab73097b6c4452a04427d1894489a65e65694cef MD5sum: 4f3a4da99ccc125f21081761a1bd030e Description: program that tries to learn a human language gNiall attempts to learn whatever language you try to teach it. It is basically a dissociator: it collects statistics on sentences you type and tries to construct meaningful replies. gNiall is inspired by Niall, an Amiga program by Matthew Peck. Package: gnibbles Source: gnome-games Version: 1:3.4.2-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 4386 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcanberra-gtk3-0 (>= 0.25), libclutter-1.0-0 (>= 1.10.0), libclutter-gtk-1.0-0 (>= 0.91.8), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.3.11), libpango1.0-0 (>= 1.14.0), dconf-gsettings-backend | gsettings-backend, gnome-games-data (= 1:3.4.2-3) Breaks: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Replaces: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Homepage: http://live.gnome.org/GnomeGames Priority: optional Section: games Filename: pool/main/g/gnome-games/gnibbles_3.4.2-3_armhf.deb Size: 2997492 SHA256: 1edd339189aa032237963b06f16eeb1d20d947a659176565642eb56169dac4b0 SHA1: 4ec20b034fbbcdb269c673cfc180fdb294536982 MD5sum: 1d8752ad4cd700964af5e2a5ea53cda9 Description: snake game, up to four players This is a GNOME port of the popular “Snake” game. . Nibbles is a game where the user controls a worm. The worm moves around the board, eating diamonds while avoiding the walls placed around it. Package: gnobots2 Source: gnome-games Version: 1:3.4.2-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 2135 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.3.11), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.32.0), dconf-gsettings-backend | gsettings-backend, gnome-games-data (= 1:3.4.2-3) Recommends: gnome-games-extra-data Breaks: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Replaces: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Homepage: http://live.gnome.org/GnomeGames Priority: optional Section: games Filename: pool/main/g/gnome-games/gnobots2_3.4.2-3_armhf.deb Size: 1118870 SHA256: 7b7ddcdc67aaea29fbe785ab9f9efada2d2e36129c19fbc279963dadeb933639 SHA1: bc322b20dc346345db2d84bdc5cce474351553be MD5sum: 5a29a6347fdcd3c9903a2d40e3107d93 Description: improved old BSD robots game GNOME Robots is a game where you try and avoid a band of marauding robots which are, for no adequately explained reason, trying to kill you. It is based on the text-based robots game which can be found on a number of UNIX systems. Package: gnoemoe Version: 2.2.0+dfsg-2.2 Architecture: armhf Maintainer: Jesse van den Kieboom Installed-Size: 1052 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.24.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libgtksourceview2.0-0 (>= 2.10.0), libice6 (>= 1:1.0.0), libnotify4 (>= 0.7.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libruby1.8 (>= 1.8.7.352), libsm6, libvte9 (>= 1:0.24.0), libx11-6, libxml2 (>= 2.7.4) Priority: optional Section: gnome Filename: pool/main/g/gnoemoe/gnoemoe_2.2.0+dfsg-2.2_armhf.deb Size: 241152 SHA256: de31e2ae4f7ea0cdd3dc3b4435905f77bacff6d98634f204bdc04ff857df70bc SHA1: f7e0a2c10fc6388e8f2ac52daf40b1779e85cb07 MD5sum: 922e68477b2db162d4c7856c4e2911c6 Description: A GNOME MOO Client GnoeMoe is a GNOME/GTK+ MOO client. It's stable and feature rich. Some of the features include ANSI, MCP (the MOO Client Protocol), multiple tabbed worlds, internal editor with syntax checker, external editors, limited ruby scripting and triggers. GnoeMoe aims to integrate in the GNOME desktop environment as well as possible. Package: gnokii Version: 0.6.30+dfsg-1 Installed-Size: 20 Maintainer: Leo Costela Architecture: all Depends: gnokii-cli (>= 0.6.30+dfsg-1), xgnokii (>= 0.6.30+dfsg-1), gnokii-common (= 0.6.30+dfsg-1) Size: 878 SHA256: ccecf2fadb4c54e60214c576a7b61da57c40fa0c2abc57c69d6974b082d64c06 SHA1: bf5d32bb7e277dc7c63554ec66687fe362e6b60d MD5sum: da4bda3ef0f29d697eff0f59b456f47a Description: Datasuite for mobile phone management Gnokii is a suite of programs that allows communication with mobile phones. It currently supports many Nokia mobile phones, all AT capable ones as well as many Symbian based. For a list of compatible phones, please visit: http://wiki.gnokii.org . This package installs the whole suit of programs. Homepage: http://gnokii.org Tag: admin::hardware, hardware::embedded, hardware::modem, interface::x11, role::program, scope::application, uitoolkit::gtk, use::transmission, x11::application Section: comm Priority: optional Filename: pool/main/g/gnokii/gnokii_0.6.30+dfsg-1_all.deb Package: gnokii-cli Source: gnokii Version: 0.6.30+dfsg-1 Architecture: armhf Maintainer: Leo Costela Installed-Size: 956 Pre-Depends: adduser Depends: libc6 (>= 2.13-28), libgnokii6, libical0 (>= 0.30), libreadline6 (>= 6.0), libtinfo5, gnokii-common (= 0.6.30+dfsg-1), dialog Suggests: xgnokii Conflicts: gnokii (<= 0.6.22.dfsg-1) Replaces: gnokii (<= 0.6.22.dfsg-1) Homepage: http://gnokii.org Priority: optional Section: comm Filename: pool/main/g/gnokii/gnokii-cli_0.6.30+dfsg-1_armhf.deb Size: 327796 SHA256: d84d9eebbbb23f49bcf4944b99140bfb74035ef0c329debba84f403a80962f72 SHA1: 34805f879b5539e8756d6fe11b231b3928cda67d MD5sum: 1df0732e98f837e34b472c40b1059edc Description: Datasuite for mobile phone management (console interface) Gnokii is a suite of programs that allows communication with mobile phones. It currently supports many Nokia mobile phones, all AT capable ones as well as many Symbian based. For a list of compatible phones, please visit: http://wiki.gnokii.org . This package provides the command line interface and the virtual modem daemon for non-AT-capable phones. Package: gnokii-common Source: gnokii Version: 0.6.30+dfsg-1 Installed-Size: 432 Maintainer: Leo Costela Architecture: all Replaces: gnokii (<= 0.6.22.dfsg-1) Suggests: xgnokii | gnokii-cli Conflicts: gnokii (<= 0.6.22.dfsg-1) Size: 188022 SHA256: 6b34a9f3c8fa0496fdc8c899ee9cd4eb2e74f59081afb17c7db145c1483f9717 SHA1: e5e159b07551af58e38497b7131f12d6e4b24e2e MD5sum: ab20f4d1f544a90db94ff00d3786b372 Description: Datasuite for mobile phone management (base files) Gnokii is a suite of programs that allows communication with mobile phones. It currently supports many Nokia mobile phones, all AT capable ones as well as many Symbian based. For a list of compatible phones, please visit: http://wiki.gnokii.org . This package contains the base files for gnokii Homepage: http://gnokii.org Tag: role::app-data Section: comm Priority: optional Filename: pool/main/g/gnokii/gnokii-common_0.6.30+dfsg-1_all.deb Package: gnokii-smsd Source: gnokii Version: 0.6.30+dfsg-1 Architecture: armhf Maintainer: Leo Costela Installed-Size: 89 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgnokii6, libical0 (>= 0.30), gnokii-common (= 0.6.30+dfsg-1) Conflicts: smstools Homepage: http://gnokii.org Priority: extra Section: comm Filename: pool/main/g/gnokii/gnokii-smsd_0.6.30+dfsg-1_armhf.deb Size: 32576 SHA256: 7e8ecc3fd8d0600348813d05842debe2bd79f8cbfcd1a83f819c015b8099b396 SHA1: 50c55e46e501e4d4f28f3974ee0006732e3c8d15 MD5sum: 096a524b0ee45cd474fc0248301bde11 Description: SMS Daemon for mobile phones Gnokii is a suite of programs that allows communication with mobile phones. It currently supports many Nokia mobile phones, all AT capable ones as well as many Symbian based. For a list of compatible phones, please visit: http://wiki.gnokii.org . The SMSD (SMS daemon) handles automated sending and receiving of short messages using a storage backend. It is designed to use modules (plugins) to work with different backends, and shares Gnokii's runtime configuration and libraries. . This package contains the actual daemon and a file based backend. You will need to install the appropriate module packages to add support for other backends. Package: gnokii-smsd-mysql Source: gnokii Version: 0.6.30+dfsg-1 Architecture: armhf Maintainer: Leo Costela Installed-Size: 57 Depends: libc6 (>= 2.13-28), libical0 (>= 0.30), libmysqlclient16 (>= 5.1.50-1), gnokii-smsd (= 0.6.30+dfsg-1) Homepage: http://gnokii.org Priority: extra Section: comm Filename: pool/main/g/gnokii/gnokii-smsd-mysql_0.6.30+dfsg-1_armhf.deb Size: 19686 SHA256: 3dd9a0ea2890983e27cc04227d893db9619f7b5bba7a8939610a41996434fc5f SHA1: f5c65b1cc371a41e14e09520e3b157cc5e55f242 MD5sum: f890e2a77296294c654b10b29f5eb5e2 Description: SMSD plugin for MySQL storage backend Gnokii is a suite of programs that allows communication with mobile phones. It currently supports many Nokia mobile phones, all AT capable ones as well as many Symbian based. For a list of compatible phones, please visit: http://wiki.gnokii.org . The SMSD (SMS daemon) handles automated sending and receiving of short messages using a storage backend. It is designed to use modules (plugins) to work with different backends, and shares Gnokii's runtime configuration and libraries. . This package provides support for the MySQL storage backend. Package: gnokii-smsd-pgsql Source: gnokii Version: 0.6.30+dfsg-1 Architecture: armhf Maintainer: Leo Costela Installed-Size: 54 Depends: libc6 (>= 2.13-28), libical0 (>= 0.30), libpq5, gnokii-smsd (= 0.6.30+dfsg-1) Homepage: http://gnokii.org Priority: extra Section: comm Filename: pool/main/g/gnokii/gnokii-smsd-pgsql_0.6.30+dfsg-1_armhf.deb Size: 18014 SHA256: 6d447538c720b31bbb7f55b1cf19c5ab092e90288858b669a8ff79c06d009759 SHA1: d01196e3616ab62598993a0f0771adf010c469f9 MD5sum: 4a12d398588b33b608b2091890c946d8 Description: SMSD plugin for PostgreSQL storage backend Gnokii is a suite of programs that allows communication with mobile phones. It currently supports many Nokia mobile phones, all AT capable ones as well as many Symbian based. For a list of compatible phones, please visit: http://wiki.gnokii.org . The SMSD (SMS daemon) handles automated sending and receiving of short messages using a storage backend. It is designed to use modules (plugins) to work with different backends, and shares Gnokii's runtime configuration and libraries. . This package provides support for the PostgreSQL storage backend. Package: gnomad2 Version: 2.9.6-4 Architecture: armhf Maintainer: Alessio Treglia Installed-Size: 512 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libgudev-1.0-0 (>= 146), libid3tag0 (>= 0.15.1b), libmtp9 (>= 1.1.0), libnjb5 (>= 2.2.5), libpango1.0-0 (>= 1.14.0), libtagc0 (>= 1.5), libusb-0.1-4 (>= 2:0.1.12), libusb-1.0-0 (>= 2:1.0.8), zlib1g (>= 1:1.1.4) Homepage: http://gnomad2.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/g/gnomad2/gnomad2_2.9.6-4_armhf.deb Size: 178528 SHA256: 82566f08dddfd9f4fbd191b83eab44be57e3af9a963fe631af45e471c1085995 SHA1: 91ffa00c53ecfdc0b6e87acc9784148b769b4192 MD5sum: b40ebdee4b2a571654b30ccd42d1c5d8 Description: Manage a Creative Labs Nomad Jukebox Gnomad 2 is a GUI built on top of GTK/GNOME 2, id3lib and libnjb that makes it possible to transfer tracks and files from/to a Creative Nomad Jukebox (all brands). It is designed much like an ordinary graphical FTP program. Package: gnome Source: meta-gnome3 Version: 1:3.4+7+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 52 Depends: gnome-core (= 1:3.4+7+deb7u1), desktop-base, network-manager-gnome (>= 0.9.4), cheese (>= 3.4), evolution (>= 3.4), evolution-plugins (>= 3.4), file-roller (>= 3.4), gedit (>= 3.4), gnome-color-manager (>= 3.4), gnome-documents (>= 0.4), gnome-games (>= 1:3.4), gnome-nettool (>= 3.2), nautilus-sendto (>= 3.0), gnome-orca (>= 3.4), rygel-preferences (>= 0.14), seahorse (>= 3.4), totem (>= 3.0), vinagre (>= 3.4), alacarte (>= 0.13.4), avahi-daemon, gimp (>= 2.8), gnome-media (>= 3.4), gnome-tweak-tool (>= 3.4), hamster-applet (>= 2.91.3), inkscape (>= 0.48), libreoffice-gnome, libreoffice-writer | abiword (>= 2.8), libreoffice-calc | gnumeric (>= 1.10), libreoffice-impress, rhythmbox (>= 2.96), shotwell, simple-scan, sound-juicer (>= 3.4), tomboy (>= 1.10) | gnote, tracker-gui, transmission-gtk, xdg-user-dirs-gtk, cups-pk-helper (>= 0.2), gedit-plugins (>= 3.4), gnome-applets (>= 3.4), gnome-shell-extensions (>= 3.4), gstreamer0.10-ffmpeg (>= 0.10.13), gstreamer0.10-plugins-ugly (>= 0.10.19), rhythmbox-plugins, rhythmbox-plugin-cdrecorder, rygel-playbin, rygel-tracker, telepathy-gabble, telepathy-rakia, telepathy-salut, totem-plugins, libgtk2-perl (>= 1:1.130) Recommends: browser-plugin-gnash, gdebi, gnome-games-extra-data (>= 3.0), nautilus-sendto-empathy, telepathy-idle Suggests: dia-gnome, gnucash, libreoffice-evolution, planner, iceweasel-l10n-all, xul-ext-adblock-plus, xul-ext-gnome-keyring Priority: optional Section: metapackages Filename: pool/main/m/meta-gnome3/gnome_3.4+7+deb7u1_armhf.deb Size: 21248 SHA256: c83087c8b5effe6722ff7e6f27a06e6e0aef5a96026589a0f0699e6345732444 SHA1: 4d980e69b52a7d47fd7553e218de9ae6dc89ffa8 MD5sum: 1e674902d7e0a77019a4c502e1a0bf75 Description: Full GNOME Desktop Environment, with extra components This is the GNOME Desktop environment, an intuitive and attractive desktop, with extra components. . This meta-package depends on the standard distribution of the GNOME desktop environment, plus a complete range of plugins and other applications integrating with GNOME and Debian, providing the best possible environment to date. Package: gnome-accessibility-themes Source: gnome-themes-standard Version: 3.4.2-2.1 Installed-Size: 3809 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: gnome-themes-standard (<< 3.4.2-2.1) Breaks: gnome-themes-standard (<< 3.4.2-2.1) Size: 1703804 SHA256: 8f6718ad89ff5e82901846b6989512ed2a19195708860f2699da71f8ab9fb6f1 SHA1: 9bae7eb434e0afc9b9c20fa4a32b6e50d0fdf0b6 MD5sum: 97a27ef0de8d49a574cb905d1d3d15f2 Description: Accessibility themes for the GNOME desktop This package contains some high accessibility themes for the GNOME desktop environment, designed for the visually impaired. Tag: accessibility::screen-magnify, interface::x11, role::app-data, suite::gnome, uitoolkit::gtk, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-themes-standard/gnome-accessibility-themes_3.4.2-2.1_all.deb Package: gnome-activity-journal Version: 0.8.0-2 Installed-Size: 1493 Maintainer: Siegfried-Angel Gevatter Pujals Architecture: all Depends: gconf2 (>= 2.28.1-2), python (>= 2.5), python-support (>= 0.90.0), zeitgeist (>= 0.8~) | zeitgeist-core (>= 0.8~), python-gtk2, python-gnome2, python-gconf, python-cairo, python-xdg, python-dbus Recommends: python-gst0.10, python-pygments, gstreamer0.10-plugins-base Size: 348826 SHA256: e37c5007268b6b1dbecf24653fb7d84cbffbc78936821ee04c3086197ca48f29 SHA1: f691a59020dac45b209c915e7406634713e8f773 MD5sum: 6745b4eca0fc944ae42186180e8658f1 Description: graphical user interface for Zeitgeist Zeitgeist is a service which logs the user's activities and events (files opened, websites visited, conversations held with other people, etc.) and makes the relevant information available to other applications. . It serves as a comprehensive activity log and also makes it possible to determine relationships between items based on usage patterns. . This package contains the GNOME Activity Journal, a graphical user interface which shows a journal of your activities. Homepage: https://launchpad.net/gnome-activity-journal Tag: role::program, use::analysing Section: gnome Priority: optional Filename: pool/main/g/gnome-activity-journal/gnome-activity-journal_0.8.0-2_all.deb Package: gnome-alsamixer Version: 0.9.7~cvs.20060916.ds.1-3 Architecture: armhf Maintainer: Mohammed Adnène Trojette Installed-Size: 474 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, gconf2 (>= 2.28.1-2) Priority: optional Section: sound Filename: pool/main/g/gnome-alsamixer/gnome-alsamixer_0.9.7~cvs.20060916.ds.1-3_armhf.deb Size: 53954 SHA256: 8df55ddde45dcb005d900ef702e736267705a2513785faabdc7faacd57a12496 SHA1: 9a05b0ab4837d361a716af28b39326efeef64348 MD5sum: 719e036e5d6d7e93b2b4ba7212c99b54 Description: ALSA sound mixer for GNOME A "volume control" application. You can use it to adjust the volume of different sound sources of your sound card. . It has a nice graphical user interface and a lot of features: . - access to all of your computers sound cards and audio sources - possibility to give them custom names - only display the mixer controls you need - access to all the extra features some sound cards offer, like 3d enhancement, microphone gain boost... - and more . This application uses the ALSA sound API, you cannot use it if you use the (older) OSS drivers for your sound card(s). In return, it gives you access to all the functionality ALSA provides with the "alsamixer" program, found in the "alsa-utils" package. Package: gnome-api-docs Source: meta-gnome3 Version: 1:3.4+7+deb7u1 Installed-Size: 43 Maintainer: Debian GNOME Maintainers Architecture: all Depends: libatk1.0-doc, libclutter-1.0-doc, libclutter-gtk-1.0-doc, libcogl-doc, libgdk-pixbuf2.0-doc, libglib2.0-doc, gnome-devel-docs, gstreamer0.10-doc, libgtk2.0-doc, libgail-doc, libgtk-3-doc, libgail-3-doc, libgtksourceview-3.0-doc, libpango1.0-doc Recommends: devhelp, libcairo2-doc, libcanberra-doc, libtelepathy-glib-doc, libxml2-doc, libnotify-doc, policykit-1-doc Suggests: gnome-core-devel, python-doc Size: 20154 SHA256: 729a2549fa96aab41d2ab6392564def323b9a9c10989e778d1c460b6287d0075 SHA1: 831d67c673e9a070565f75f2334d19d099fa9a9f MD5sum: 7fe4413766f0356e5554bcb64e673a53 Description: API reference documentation for the GNOME libraries This meta-package brings all available API documentation for the libraries of the GNOME platform, as well as their important dependencies. This should be most of the documentation you need to write GNOME applications. . This documentation is best viewed within the devhelp documentation browser. Tag: devel::doc, role::documentation, role::metapackage, suite::gnome, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/m/meta-gnome3/gnome-api-docs_3.4+7+deb7u1_all.deb Package: gnome-applets Version: 3.4.1-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 677 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcpufreq0 (>= 001), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgstreamer-plugins-base0.10-0 (>= 0.10.14), libgstreamer0.10-0 (>= 0.10.9), libgtk-3-0 (>= 3.0.0), libgtop2-7 (>= 2.22.3), libgucharmap-2-90-7 (>= 1:3.0.0), libgweather-3-0 (>= 3.0.0), libnotify4 (>= 0.7.0), libpanel-applet-4-0 (>= 3.2.1), libpango1.0-0 (>= 1.14.0), libpolkit-gobject-1-0 (>= 0.94), libupower-glib1 (>= 0.9.0), libwnck-3-0 (>= 2.91.6), libx11-6, libxml2 (>= 2.7.4), gconf2 (>= 2.28.1-2), python, gnome-applets-data (>= 3.4), gnome-applets-data (<< 3.5), gnome-panel (>= 2.91.91), python-gi, gnome-icon-theme (>= 2.15.91), gvfs, gir1.2-gtk-3.0, gir1.2-gdkpixbuf-2.0, gir1.2-gconf-2.0, gir1.2-panelapplet-4.0, upower Recommends: gnome-system-monitor, gnome-media, gstreamer0.10-pulseaudio | gstreamer0.10-alsa, policykit-1-gnome Suggests: tomboy Replaces: gnome-applets-data (<< 2.30.0-4) Priority: optional Section: gnome Filename: pool/main/g/gnome-applets/gnome-applets_3.4.1-3_armhf.deb Size: 338352 SHA256: 4e0a3f5d1e73646c7952adb313c7318dde6476d2910119130a37d605dc987cba SHA1: 27d58538ad699d9b30059611d2f6eeeac9febd35 MD5sum: 0103621f2638b0b0688183a02e7254e3 Description: Various applets for the GNOME panel - binary files accessx-status: indicates keyboard accessibility settings, including the current state of the keyboard, if those features are in use. . Battstat: monitors the power subsystem on a laptop. . Character palette: provides a convenient way to access non-standard characters, such as accented characters, mathematical symbols, special symbols, and punctuation marks. . GNOME CPUFreq Applet: CPU frequency scaling monitor . Drivemount: lets you mount and unmount drives and file systems. . Geyes: pair of eyes which follow the mouse pointer around the screen. . Mixer: volume control. . System monitor: CPU, memory, network, swap file and resource. . Trash: lets you drag items to the trash folder. . Weather report: downloads weather information from the U.S National Weather Service (NWS) servers, including the Interactive Weather Information Network (IWIN). Package: gnome-applets-data Source: gnome-applets Version: 3.4.1-3 Installed-Size: 23078 Maintainer: Debian GNOME Maintainers Architecture: all Depends: gconf2 (>= 2.28.1-2) Size: 9891608 SHA256: 3e78ca97db540bf8646954bb0252c240db1a9ac358ce3774633dacfea12ecef9 SHA1: 75ede88c206c5da64de9d94706477ee5e4f0e2eb MD5sum: dfdd2390419ab94d77bbbd5d2d3989c8 Description: Various applets for the GNOME panel - data files This package contains data files (pixmaps, icons, documentations) needed by the gnome-applets package. Tag: role::app-data, role::program, scope::utility, suite::gnome, uitoolkit::gtk, x11::applet Section: gnome Priority: optional Filename: pool/main/g/gnome-applets/gnome-applets-data_3.4.1-3_all.deb Package: gnome-applets-dbg Source: gnome-applets Version: 3.4.1-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1285 Depends: gnome-applets (= 3.4.1-3) Priority: extra Section: debug Filename: pool/main/g/gnome-applets/gnome-applets-dbg_3.4.1-3_armhf.deb Size: 615666 SHA256: 38ac7deee9368fafc5e4f23b1759caab6a3fc24c01a8d799dfe058b6d53690c4 SHA1: d73e816cb141c22dc5798c6c1a7b2f6f4b581c09 MD5sum: 20a879cd797062626f0bad988352fce7 Description: Various applets for the GNOME panel - binary files with debugging symbols This package contains unstripped binaries used for debugging. Package: gnome-audio Version: 2.22.2-1 Installed-Size: 2308 Maintainer: Noèl Köthe Architecture: all Suggests: gnome-core Size: 1841424 SHA256: 9745e8979b5a797e8c88e8d120ec160c57e0c1fd11ac9abef7d31a6b95aa2ab9 SHA1: 4d1e45eac4a0e902deba632a85b99e9e81bd41a8 MD5sum: d542ec706d01a6f92bc08312bba3df2e Description: Audio files for GNOME GNOME is the "GNU Network Object Model Environment" . It is a project to build a complete, user-friendly desktop based entirely on free software. . This package contains a collection of sounds for use with GNOME. Tag: role::app-data, suite::gnome, uitoolkit::gtk, use::playing Section: gnome Priority: optional Filename: pool/main/g/gnome-audio/gnome-audio_2.22.2-1_all.deb Package: gnome-backgrounds Version: 3.4.2-1 Installed-Size: 8991 Maintainer: Debian GNOME Maintainers Architecture: all Size: 8955274 SHA256: 7d52de4891681d191fa83dbcdeeafa40dc6b611952b268561492e2851211556b SHA1: 907e18b0e8297671f97b0dfc10df7024c06d9c44 MD5sum: 9e23d0e30ce01741ce408cf70c4fc555 Description: Set of backgrounds packaged with the GNOME desktop This is a collection of desktop wallpapers created with GNOME users in mind. Tag: interface::x11, role::app-data, suite::gnome, uitoolkit::gtk, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-backgrounds/gnome-backgrounds_3.4.2-1_all.deb Package: gnome-blog Version: 0.9.1-5 Installed-Size: 607 Maintainer: Frederic Peters Architecture: all Depends: gconf2 (>= 2.28.1-2), python (>= 2.3), python-support (>= 0.90.0), python-gnome2, python-gconf, python-gtkspell Size: 78588 SHA256: d53b4c64889723aea938972990b2d95628b7271e7961c90ca2dc5c66c309754e SHA1: 2a0e47bbff0d5e831932da4f7e18791dd92dfff4 MD5sum: c60768c0656c0606b57bccd17ca46611 Description: GNOME application to post to weblog entries gnome-blog is a small GNOME application that can post to weblogs using bloggerAPI, advogato API, MetaWeblog API or LiveJournal API . It notably works with Blogger.com / Blogspot.com, Advogato.org, Movable Type, WordPress, LiveJournal.com and Pybloxsom. Python-Version: 2.6, 2.7 Tag: implemented-in::python, interface::x11, network::client, role::program, suite::gnome, uitoolkit::gtk, use::editing, works-with-format::xml, works-with-format::xml:rss, x11::applet Section: gnome Priority: optional Filename: pool/main/g/gnome-blog/gnome-blog_0.9.1-5_all.deb Package: gnome-bluetooth Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 3034 Pre-Depends: dpkg (>= 1.15.7.2) Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.29.90), libgnome-bluetooth10 (>= 3.4.0), libgtk-3-0 (>= 3.0.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), dconf-gsettings-backend | gsettings-backend, gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gnomebluetooth-1.0 (= 3.4.2-1), gir1.2-gtk-3.0, gir1.2-pango-1.0, bluez (>= 4.36), obexd-client, udev (>= 154), consolekit, obex-data-server Recommends: gvfs-backends Suggests: nautilus-sendto, gnome-user-share Conflicts: bluez-gnome Breaks: gnome-phone-manager (<< 0.67), nautilus-sendto (<< 3.0), network-manager-gnome (<< 0.9.0-3) Replaces: nautilus-sendto (<< 2.28.4-2) Homepage: http://live.gnome.org/GnomeBluetooth Priority: optional Section: gnome Filename: pool/main/g/gnome-bluetooth/gnome-bluetooth_3.4.2-1_armhf.deb Size: 958004 SHA256: a7e6a4fbbe61412bdb150a94c981a73741618a747f83d10f357e46dddc364504 SHA1: 743883262bd09d32f2bd4ee9f06f1257bb69d088 MD5sum: 0651cf2a390ffeedb1d6cb8dc8df929b Description: GNOME Bluetooth tools This package contains tools for managing and manipulating Bluetooth devices using the GNOME desktop. . bluetooth-applet provides an agent to ask for PIN and managing pairing with devices, together with bluetooth-properties. . bluetooth-sendto is also included for sending files to Bluetooth devices via OBEX. Package: gnome-brave-icon-theme Source: gnome-colors Version: 5.5.1-1 Installed-Size: 2564 Maintainer: GNOME-Colors Packagers Architecture: all Depends: gnome-colors-common Suggests: arc-brave, shiki-brave-theme Conflicts: xubuntu-artwork (<= 0.27) Size: 359474 SHA256: 26cccf7681969770533fba3a779c7c3c2b9e3c3137f834590c7ec0a1baa0564e SHA1: 7f29f5b70f210076710c9f5be97fef2f754959dc MD5sum: f854b28eb0b72165435f1709bcd9c25d Description: blue variation of the GNOME-Colors icon theme GNOME-Colors is a set of GNOME icon themes, with some inspiration from Tango, Elementary, Discovery, Tango Generator and others. . Its goal is to create an easy way for anyone to make their desktop consistently match their moods, wallpapers, laptop colors, etc. . The icons were designed to match the Shiki-Colors GTK+ Themes and their additional artwork. . This package provides the GNOME-Brave (Blue) variation of the GNOME-Colors icon theme. Homepage: http://code.google.com/p/gnome-colors/ Tag: made-of::icons, role::data, suite::gnome, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-colors/gnome-brave-icon-theme_5.5.1-1_all.deb Package: gnome-breakout Version: 0.5.3-4 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 247 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libx11-6 Homepage: http://www.users.on.net/~mipearson/ Priority: optional Section: games Filename: pool/main/g/gnome-breakout/gnome-breakout_0.5.3-4_armhf.deb Size: 90570 SHA256: 4c0d598a634120b939d19c77f4012f1c327ba6f6b12631cca62e26360045799a SHA1: e8ff082175dd85737c13644584f3d29dc5867cd2 MD5sum: 854b89b05830c72a4a99929d1116a1c9 Description: Clone of the classic game Breakout, written for GNOME A clone of the classic game "Breakout". Control a paddle at the bottom of the playfield and bounce a ball against bricks at the top to destroy them. Package: gnome-btdownload Version: 0.0.32-4 Installed-Size: 432 Maintainer: Andrea Veri Architecture: all Depends: gconf2 (>= 2.28.1-2), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), bittorrent (>= 3.3), python-gtk2 (>= 2.10.0), python-gnome2 (>= 2.10.0), python-glade2 (>= 2.0.0), python-notify (>= 0.1.1), python-cairo (>= 1.2.6), librsvg2-common, python-gobject, python-gconf Size: 63906 SHA256: 823b0f3656ac91d51558b2b1b136561f48947495e993e388eced83d337fc6e64 SHA1: 85648de828698fcc5125f6120b46c2668791c6a2 MD5sum: 05d59428c4c9b113927e327a680df7c0 Description: GNOME interface for 'executing' BitTorrent files A simple GNOME interface designed as a mime-sink for BitTorrent files. . Not a front-end, more-or-less just a session dialog. Check the BitTorrent package for more details. Homepage: http://gnome-bt.sourceforge.net Tag: role::program, suite::gnome Section: net Priority: optional Filename: pool/main/g/gnome-btdownload/gnome-btdownload_0.0.32-4_all.deb Package: gnome-cards-data Source: aisleriot Version: 1:3.4.1-1 Installed-Size: 2158 Maintainer: Josselin Mouette Architecture: all Replaces: gnome-games-extra-data (<< 3.0) Breaks: gnome-games (<< 1:3.1.0), gnome-games-data (<< 1:3.1.0), gnome-games-extra-data (<< 3.0), gnome-hearts (<< 0.1.3-4) Size: 2177566 SHA256: 1544b75c3c9f5f1808ced02e62046e66ea80ad2ac21961ffc75fac4f6dc464df SHA1: 76dad3ff92992973b9047ed609fac79b60dffecd MD5sum: a10ed389073e69a685951b7817ca89fb Description: data files for the GNOME card games This package contains pictures of card decks used by the card-based GNOME games. Different themes are provided. Homepage: https://live.gnome.org/Aisleriot Tag: game::card, role::app-data, suite::gnome Section: gnome Priority: optional Filename: pool/main/a/aisleriot/gnome-cards-data_3.4.1-1_all.deb Package: gnome-codec-install Version: 0.4.7+nmu1 Installed-Size: 316 Maintainer: Sebastian Dröge Architecture: all Replaces: gnome-app-install (<= 0.5.5.1-1) Depends: python (>= 2.5), python-central (>= 0.6.11), gksu, gnome-icon-theme, python-apt (>= 0.7.93.2), python-gst0.10, python-gtk2 (>= 2.10.1), python-aptdaemon-gtk | synaptic (>= 0.57.8) Size: 57304 SHA256: 4c2efd80da4da85d81f7db6d6a2de56e35a157e697e7b6e045217f2e616ce69b SHA1: e8d6efda7253c0543bb1d2566d70d28c73b58e58 MD5sum: 0ffb04a45eb72366d8be832857fb6e83 Description: GStreamer codec installer This package contains a GTK+ based GStreamer codec installer, which is automatically called by applications if a GStreamer plugin with specific capabilities is required but not install currently. If a package containing a suitable plugin is found it can be installed. Python-Version: >= 2.5 Tag: admin::install, implemented-in::python, role::program Section: gnome Priority: optional Filename: pool/main/g/gnome-codec-install/gnome-codec-install_0.4.7+nmu1_all.deb Package: gnome-color-chooser Version: 0.2.5-1 Architecture: armhf Maintainer: Werner Pantke Installed-Size: 1211 Depends: libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglademm-2.4-1c2a (>= 2.6.0), libglib2.0-0 (>= 2.14.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnome2-0 (>= 2.17.3), libgnomeui-0 (>= 2.22.0), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4) Recommends: gtk2-engines (>= 2.18.1) Suggests: nautilus (>= 2.18.2) Homepage: http://gnomecc.sourceforge.net Priority: optional Section: gnome Filename: pool/main/g/gnome-color-chooser/gnome-color-chooser_0.2.5-1_armhf.deb Size: 213368 SHA256: ffaef68748f612c46c58a8c771082ba1c27f6dde2329a3cdb8d301eb99dea6f7 SHA1: 4a7e7ef5cc57bdc6f85b615fd57050114b86b48a MD5sum: 331600d63bae926fe97ef57f149c31b2 Description: GTK+/GNOME desktop appearance customization tool This is an application for customizing the appearance of the GNOME desktop. . Features: * change most important colors (e.g. background, window decoration, tooltips) * change colors and sizes of GTK+ widgets * colorize desktop icons * configure installed GTK+ engines and let your current theme be drawn by an installed GTK+ engine of your choice Package: gnome-color-manager Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 4018 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libcolord1 (>= 0.1.13), libexif12, libexiv2-12, libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.18), libgnome-desktop-3-2 (>= 3.2.0), libgtk-3-0 (>= 3.3.16), liblcms2-2, libpango1.0-0 (>= 1.18.0), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libvte-2.90-9 (>= 1:0.27.2), libx11-6, libxrandr2, policykit-1, colord (>= 0.1.12) Recommends: argyll Homepage: http://projects.gnome.org/gnome-color-manager/ Priority: optional Section: gnome Filename: pool/main/g/gnome-color-manager/gnome-color-manager_3.4.2-1_armhf.deb Size: 1906288 SHA256: 6fe2f8b1b5eac77ff1d14e1a95864ed071a0db1421942ec4f93d1b06785b51c0 SHA1: 5669a2424915ceed061b7fb9475a92d4b856f80d MD5sum: 4187e889d7ab295790462caee34d442d Description: Color management integration for the GNOME desktop environment GNOME Color Manager is a set of graphical utilities for color management to be used in the GNOME desktop. With the help of ArgyllCMS, it can create and apply display ICC color profiles. Package: gnome-colors Version: 5.5.1-1 Installed-Size: 40 Maintainer: GNOME-Colors Packagers Architecture: all Depends: gnome-brave-icon-theme, gnome-dust-icon-theme, gnome-human-icon-theme, gnome-illustrious-icon-theme, gnome-noble-icon-theme, gnome-wine-icon-theme, gnome-wise-icon-theme Suggests: arc-colors, shiki-colors Size: 7558 SHA256: bab5501cf11fd507ec1eca3b2abb81140f5ee50626b15e7516e864fa4b298ada SHA1: 5d700af28ef697ae188fbbe97e6b4d2b978ddd16 MD5sum: 0ddbcbaaa44e45886336f384e0ae07ec Description: set of GNOME icon themes GNOME-Colors is a set of GNOME icon themes, with some inspiration from Tango, Elementary, Discovery, Tango Generator and others. . Its goal is to create an easy way for anyone to make their desktop consistently match their moods, wallpapers, laptop colors, etc. . The icons were designed to match the Shiki-Colors GTK+ Themes and their additional artwork. . This package provides seven variations of the GNOME-Colors icon theme: . GNOME-Brave (Blue), GNOME-Dust (Chocolate), GNOME-Human (Orange), GNOME-Illustrious (Pink), GNOME-Noble (Purple), GNOME-Wine (Red), and GNOME-Wise (Green) Homepage: http://code.google.com/p/gnome-colors/ Tag: made-of::icons, role::metapackage, suite::gnome, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-colors/gnome-colors_5.5.1-1_all.deb Package: gnome-colors-common Source: gnome-colors Version: 5.5.1-1 Installed-Size: 13040 Maintainer: GNOME-Colors Packagers Architecture: all Depends: gnome-icon-theme (>= 2.24.0-4) Size: 2614024 SHA256: 46fc332bacac25bc667cc11ce8f4b986a9ed134fb3f79ce95d93d4184795bced SHA1: 8b6dffd0836acd187af3893f34acbf9a5d263794 MD5sum: 344fed36ad542ddb7e1eaae1208ab9d4 Description: common icons for all GNOME-Colors icon themes GNOME-Colors is a set of GNOME icon themes, with some inspiration from Tango, Elementary, Discovery, Tango Generator and others. . Its goal is to create an easy way for anyone to make their desktop consistently match their moods, wallpapers, laptop colors, etc. . The icons were designed to match the Shiki-Colors GTK+ Themes and their additional artwork. . This package provides the common icons used by all variants of the GNOME-Colors icon theme. Homepage: http://code.google.com/p/gnome-colors/ Section: gnome Priority: optional Filename: pool/main/g/gnome-colors/gnome-colors-common_5.5.1-1_all.deb Package: gnome-commander Source: gnome-commander (1.2.8.15-3) Version: 1.2.8.15-3+b1 Architecture: armhf Maintainer: Michael Vogt Installed-Size: 1199 Depends: gnome-commander-data (>= 1.2.8.15-3), python (>= 2.6.6-7~), python2.7, gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexiv2-12, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpoppler19 (>= 0.18.4), libpopt0 (>= 1.14), libpython2.7 (>= 2.7), libsm6, libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libtag1c2a (>= 1.5), zlib1g (>= 1:1.1.4) Suggests: libgnomevfs2-extra, meld Homepage: http://www.nongnu.org/gcmd/ Priority: optional Section: gnome Filename: pool/main/g/gnome-commander/gnome-commander_1.2.8.15-3+b1_armhf.deb Size: 490244 SHA256: f2f3dd946b4ddc20dc32f1855a6c1eab9491ae0f1f140653eb4ea435ef9d0eda SHA1: 4e1ad352d5052e841176b72b6ee7d30a4a8def1b MD5sum: 39879a1bd97dc25f2b36547657bada29 Description: nice and fast file manager for the GNOME desktop GNOME Commander is a fast and powerful graphical filemanager for the GNOME desktop environment, it has a "two-pane" interface in the tradition of Norton and Midnight Commander. Package: gnome-commander-data Source: gnome-commander Version: 1.2.8.15-3 Installed-Size: 7355 Maintainer: Michael Vogt Architecture: all Replaces: gnome-commander (<= 1.2.8.10-1) Suggests: gnome-commander Breaks: gnome-commander (<= 1.2.8.10-1) Size: 3542458 SHA256: 4b8b11cc7efc1f9f54c9509d2a17c36581413789b2c44010a3cc5775ee968386 SHA1: 9de2bde1d0dce42a9cd0d29c412e63cd928b952d MD5sum: cfdf7303756e0fa34909cf9c0e275264 Description: Data files for GNOME Commander GNOME Commander is a fast and powerful graphical filemanager for the GNOME desktop environment, it has a "two-pane" interface in the tradition of Norton and Midnight Commander. . This package contains the data files for gnome-commander. Homepage: http://www.nongnu.org/gcmd/ Tag: role::app-data Section: gnome Priority: optional Filename: pool/main/g/gnome-commander/gnome-commander-data_1.2.8.15-3_all.deb Package: gnome-commander-dbg Source: gnome-commander (1.2.8.15-3) Version: 1.2.8.15-3+b1 Architecture: armhf Maintainer: Michael Vogt Installed-Size: 7937 Depends: gnome-commander (= 1.2.8.15-3+b1) Homepage: http://www.nongnu.org/gcmd/ Priority: extra Section: debug Filename: pool/main/g/gnome-commander/gnome-commander-dbg_1.2.8.15-3+b1_armhf.deb Size: 3210568 SHA256: b5b91bbd6a712cfe745b863b5038799fd3d0cd037af202a752cd26de0ac45d62 SHA1: 9f051492b1a5f5fbe53af9047633b63a2d1cdb0c MD5sum: 81cae42a31b53571dd939f9a4369c087 Description: Debugging symbols for gnome-commander GNOME Commander is a fast and powerful graphical filemanager for the GNOME desktop environment, it has a "two-pane" interface in the tradition of Norton and Midnight Commander. . This package contains the debugging symbols for gnome-commander. Package: gnome-common Version: 3.4.0.1-1 Installed-Size: 185 Maintainer: Debian GNOME Maintainers Architecture: all Depends: autoconf, automake (>= 1:1.11), libtool, pkg-config, gettext, intltool, autopoint Size: 127194 SHA256: 4b85f0739adffcc76fd9b12d4eb98f62e7a5518cd9fb24f7d6b4e404a8ccb12e SHA1: f919ddba9a867abb5f440fd40de3dd21b0deecb1 MD5sum: e3e77f16789e3e4d8d3c8c23e8085fd3 Description: common scripts and macros to develop with GNOME gnome-common is an extension to autoconf, automake and libtool for the GNOME environment and GNOME using applications. Included are gnome-autogen.sh and several macros to help in GNOME source trees. Tag: devel::library, interface::commandline, role::program, scope::utility, suite::gnome, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/g/gnome-common/gnome-common_3.4.0.1-1_all.deb Package: gnome-contacts Source: gnome-contacts (3.4.1-1) Version: 3.4.1-1+b1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1410 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libebook-1.2-13 (>= 3.4.3), libedataserver-1.2-16 (>= 3.4.3), libfolks-eds25 (>= 0.6.6), libfolks-telepathy25 (>= 0.6.0), libfolks25 (>= 0.6.3), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.31.18), libgnome-desktop-3-2 (>= 3.2.0), libgoa-1.0-0 (>= 3.1.1), libgtk-3-0 (>= 3.4.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.22.0), libtelepathy-glib0 (>= 0.17.5), dconf-gsettings-backend | gsettings-backend Priority: optional Section: gnome Filename: pool/main/g/gnome-contacts/gnome-contacts_3.4.1-1+b1_armhf.deb Size: 314552 SHA256: c269c9e26691ed42ab22d2bae9ecea1ff2751992f149deb1d25956972f3c3fde SHA1: 33049eaff8e5583af7cf0e0f18295be5c6419f8c MD5sum: 625890a819f820d5b5538b883db96fb3 Description: Contacts manager for GNOME GNOME Contacts is a standalone application to view and manage contacts provided by all available contacts sources (Evolution, Empathy, etc). Package: gnome-control-center Source: gnome-control-center (1:3.4.3.1-2) Version: 1:3.4.3.1-2+b1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 2826 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libcheese-gtk21 (>= 3.4.0), libcheese3 (>= 3.0.1), libclutter-1.0-0 (>= 1.10.0), libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.7.4), libcolord1 (>= 0.1.13), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdrm2 (>= 2.3.1), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.23.0), libglib2.0-0 (>= 2.31.8), libgnome-bluetooth10 (>= 3.4.0), libgnome-desktop-3-2 (>= 3.2.0), libgnome-menu-3-0 (>= 3.2.0.1), libgnomekbd7 (>= 2.91.91), libgnutls26 (>= 2.12.17-0), libgoa-1.0-0 (>= 3.1.1), libgssapi-krb5-2 (>= 1.6.dfsg.2), libgstreamer0.10-0 (>= 0.10.0), libgtk-3-0 (>= 3.3.16), libgtop2-7 (>= 2.22.3), libjson-glib-1.0-0 (>= 0.12.0), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libnm-glib4 (>= 0.8.998), libnm-gtk0 (>= 0.9.1.95), libnm-util2 (>= 0.8.998), libnotify4 (>= 0.7.3), libpango1.0-0 (>= 1.18.0), libpolkit-gobject-1-0 (>= 0.99), libpulse-mainloop-glib0 (>= 0.99.1), libpulse0 (>= 0.99.1), libsocialweb-client2 (>= 0.25.3), libupower-glib1 (>= 0.9.0), libwacom2 (>= 0.6), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6 (>= 2:1.2.99.4), libxklavier16 (>= 5.1), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), accountsservice, apg, desktop-file-utils, gnome-control-center-data (<< 1:3.5), gnome-control-center-data (>= 1:3.4.3.1-2), gnome-desktop3-data, gnome-icon-theme (>= 2.24), gnome-icon-theme-symbolic, gnome-menus (>= 3.0), gnome-settings-daemon (>= 3.3.91), gsettings-desktop-schemas (>= 3.3.0) Recommends: cups-pk-helper, gnome-online-accounts, gnome-session, gnome-user-guide, iso-codes, mesa-utils, mousetweaks, policykit-1-gnome Suggests: gnome-screensaver | xscreensaver, gstreamer0.10-pulseaudio, libcanberra-gtk-module, x11-xserver-utils Breaks: gnome-bluetooth (<< 3.4), gnome-power-manager (<< 3.0), gnome-session (<< 3.0), libglib2.0-0 (<< 2.28.6-2), libgnome-bluetooth8 Replaces: gnome-bluetooth (<< 3.4) Priority: optional Section: gnome Filename: pool/main/g/gnome-control-center/gnome-control-center_3.4.3.1-2+b1_armhf.deb Size: 1782322 SHA256: ef87654ad7c27f6fc8df973d2abff1b27ac20ed03de4448076ef36c0bfdf2c50 SHA1: 894f61be73e47b498026b712f30730280be4e894 MD5sum: d5f507d9956c82b201e01efca756a8e0 Description: utilities to configure the GNOME desktop This package contains configuration applets for the GNOME desktop, allowing to set accessibility configuration, desktop fonts, keyboard and mouse properties, sound setup, desktop theme and background, user interface properties, screen resolution, and other GNOME parameters. . It also contains a front end to these applets, which can also be accessed with the GNOME panel or the Nautilus file manager. Package: gnome-control-center-data Source: gnome-control-center Version: 1:3.4.3.1-2 Installed-Size: 14269 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: capplets-data, gdm (<< 3.0), gdm3 (<< 3.0), gnome-media-common (<< 2.91), gnome-power-manager (<< 3.2), gnome-settings-daemon (<< 3.0) Provides: capplets-data Recommends: gnome-control-center (>= 1:3.4.3.1-2) Conflicts: capplets-data Breaks: gdm (<< 3.0), gdm3 (<< 3.0), gnome-power-manager (<< 3.2), gnome-settings-daemon (<< 3.0) Size: 3798450 SHA256: 17fe02e893d151460138ba40b8312e0b4f4ec414c9a2b5cb505d41f3290ef1b2 SHA1: 0d171d91ae16d7936b5002ff55a4a20f21d1c26f MD5sum: c988c6afe6554b484f6f7041fba60896 Description: configuration applets for GNOME - data files This package contains data files (icons, pixmaps, locales files) needed by the configuration applets in the gnome-control-center package. Tag: role::app-data, suite::gnome Section: gnome Priority: optional Filename: pool/main/g/gnome-control-center/gnome-control-center-data_3.4.3.1-2_all.deb Package: gnome-control-center-dev Source: gnome-control-center Version: 1:3.4.3.1-2 Installed-Size: 1400 Maintainer: Debian GNOME Maintainers Architecture: all Size: 1405896 SHA256: 22ad4f7ae84d49a515ffdd1bbe21cb6b294af9523edd7cc3a16d52c6f698f972 SHA1: edada0e1190f5a5cffa425564cc7092705676d0b MD5sum: 68719e2d638da096e2346a418859f8e5 Description: utilities to configure the GNOME desktop This package contains the file required to get the keydirs location Section: devel Priority: optional Filename: pool/main/g/gnome-control-center/gnome-control-center-dev_3.4.3.1-2_all.deb Package: gnome-core Source: meta-gnome3 Version: 1:3.4+7+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 52 Depends: libatk-adaptor (>= 2.4), at-spi2-core (>= 2.4), baobab (>= 3.4), brasero (>= 3.4), caribou (>= 0.4.2), caribou-antler (>= 0.4.2), libcaribou-gtk-module (>= 0.4.2), libcaribou-gtk3-module (>= 0.4.2), dconf-gsettings-backend (>= 0.12), dconf-tools (>= 0.12), empathy (>= 3.4), eog (>= 3.4), evince (>= 3.4), evolution-data-server (>= 3.4), fonts-cantarell (>= 0.0.8), sound-theme-freedesktop, gcalctool (>= 6.4), gconf2 (>= 3.2.5), gdm3 (>= 3.4), glib-networking (>= 2.32), gnome-backgrounds (>= 3.4), gnome-bluetooth (>= 3.4), gnome-contacts (>= 3.4), gnome-control-center (>= 1:3.4), gnome-dictionary (>= 3.4), gnome-disk-utility (>= 3.0), gnome-font-viewer (>= 3.4), gnome-icon-theme (>= 3.4), gnome-icon-theme-extras (>= 3.4), gnome-icon-theme-symbolic (>= 3.4), gnome-keyring (>= 3.4), libpam-gnome-keyring (>= 3.4), gnome-menus (>= 3.4), gnome-online-accounts (>= 3.4), gnome-packagekit (>= 3.4), gnome-panel (>= 3.4), gnome-power-manager (>= 3.4), gnome-screensaver (>= 3.4), gnome-screenshot (>= 3.4), gnome-session (>= 3.4), gnome-session-fallback (>= 3.4), gnome-settings-daemon (>= 3.4), gnome-shell (>= 3.4), gnome-system-log (>= 3.4), gnome-system-monitor (>= 3.4), gnome-terminal (>= 3.4), gnome-themes-standard (>= 3.4), gnome-user-guide (>= 3.4), gnome-user-share (>= 3.0), tracker-gui | gnome-search-tool, gsettings-desktop-schemas (>= 3.4), gstreamer0.10-plugins-base (>= 0.10.36), gstreamer0.10-plugins-good (>= 0.10.31), gstreamer0.10-pulseaudio (>= 0.10.31), libgtk-3-common (>= 3.4), gtk2-engines (>= 2.20.2), gucharmap (>= 1:3.4), gvfs-backends (>= 1.12), gvfs-bin (>= 1.12), iceweasel (>= 10), libcanberra-pulse, gkbd-capplet (>= 3.4), metacity (>= 1:2.34), mousetweaks (>= 3.4), nautilus (>= 3.4), notification-daemon (>= 0.7.4), policykit-1-gnome (>= 0.105), pulseaudio, gnome-sushi (>= 0.4), vino (>= 3.4), yelp (>= 3.4), zenity (>= 3.4) Recommends: network-manager-gnome Suggests: gnome Priority: optional Section: metapackages Filename: pool/main/m/meta-gnome3/gnome-core_3.4+7+deb7u1_armhf.deb Size: 21294 SHA256: 267bf4757482957f61a98b7b52a53051a6f008b31d499b85733c445c7f3746a9 SHA1: 0f780572b1184441bf4028427d4b9709ce9a9831 MD5sum: e716be13027635a264c6680f94a58666 Description: GNOME Desktop Environment -- essential components These are the core components of the GNOME Desktop environment, an intuitive and attractive desktop. . This meta-package depends on a basic set of programs, including a file manager, an image viewer, a web browser, a video player and other tools. . It contains the official “core” modules of the GNOME desktop. Package: gnome-core-devel Source: meta-gnome3 Version: 1:3.4+7+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 43 Depends: gnome-platform-devel (= 1:3.4+7+deb7u1), libatspi2.0-dev (>= 2.4), libatkmm-1.6-dev (>= 2.4), libbrasero-media3-dev (>= 3.4), libcaribou-dev (>= 0.4), libclutter-gst-dev (>= 1.5.4), libdconf-dev (>= 0.12), evolution-data-server-dev (>= 3.4), libedataserver1.2-dev (>= 3.4), libcamel1.2-dev (>= 3.4), libebook1.2-dev (>= 3.4), libedataserverui-3.0-dev (>= 3.4), libedata-book1.2-dev (>= 3.4), libecal1.2-dev (>= 3.4), libedata-cal1.2-dev (>= 3.4), libebackend1.2-dev (>= 3.4), libevince-dev (>= 3.4), libfolks-dev (>= 0.6.9), libgconf2-dev (>= 3.2), libgck-1-dev (>= 3.4), libgcr-3-dev (>= 3.4), libgeocode-glib-dev (>= 0.99), gjs (>= 1.32), libgjs-dev (>= 1.32), libglibmm-2.4-dev (>= 2.32), libgmime-2.6-dev (>= 2.6), libgnome-bluetooth-dev (>= 3.4), gnome-common (>= 2.34), libgnome-desktop-3-dev (>= 3.4), libgdu-dev (>= 3.0), libgdu-gtk-dev (>= 3.0), gnome-js-common (>= 0.1.2), libgnome-menu-3-dev (>= 3.4), libpanel-applet-4-dev (>= 3.4), gobject-introspection (>= 1.32.1), libgirepository1.0-dev (>= 1.32.1), libgstreamer-plugins-base0.10-dev (>= 0.10.36), libgtkmm-3.0-dev (>= 3.4), libgucharmap-2-90-dev (>= 1:3.4), libjson-glib-dev (>= 0.14), libchamplain-gtk-0.12-dev, libcroco3-dev (>= 0.6.5), libgnome-keyring-dev (>= 3.4), libgnomekbd-dev (>= 3.4), libgtop2-dev (>= 2.28.4), libgweather-3-dev (>= 3.4), libgxps-dev (>= 0.2), libnotify-dev (>= 0.7.5), libpeas-dev (>= 1.4), librsvg2-dev (>= 2.36), libsigc++-2.0-dev (>= 2.2.10), libsoup-gnome2.4-dev (>= 2.38), libwacom-dev (>= 0.4), libwnck-3-dev (>= 3.4), mm-common (>= 0.9.5), libmutter-dev (>= 3.4), libnautilus-extension-dev (>= 3.4), libnm-gtk-dev (>= 0.9.4), libnm-glib-dev (>= 0.9.4), libpangomm-1.4-dev (>= 2.28), python-gobject-dev (>= 3.2), librest-dev (>= 0.7.12), libseed-gtk3-dev (>= 3.2), libtelepathy-glib-dev (>= 0.18), libtelepathy-farstream-dev (>= 0.4), libtotem-plparser-dev (>= 3.4), valac-0.16, libvte-2.90-dev (>= 1:0.32), yelp-tools (>= 3.4), yelp-xsl (>= 3.4) Recommends: gnome-api-docs Priority: optional Section: devel Filename: pool/main/m/meta-gnome3/gnome-core-devel_3.4+7+deb7u1_armhf.deb Size: 20700 SHA256: 49ebde1f4547680ac3c01f5611fd5484465fec94f4ae66fddaa64abb826a2c38 SHA1: a5c502b7a303560a46c208927f54a7764ff960a7 MD5sum: 0ce397cdc56b4b30f49f25f1534f1fe3 Description: GNOME Desktop Environment -- development components These are the development components of the core components of the GNOME Desktop environment, an intuitive and attractive desktop. . This meta-package depends on everything you need to build applications for GNOME, in C, C++ and Python languages; it isn't of much use for anything else. . If you want to develop a new application for GNOME, you might want to look at the gnome-platform-devel metapackage, which only contains the recommended components. Package: gnome-dbg Source: meta-gnome3 Version: 1:3.4+7+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 43 Depends: libatk1.0-dbg, libclutter-1.0-dbg, libclutter-gtk-1.0-dbg, libcogl9-dbg, libcogl-pango0-dbg, libglib2.0-0-dbg, libgstreamer0.10-0-dbg, libgtk2.0-0-dbg, libgail-dbg, libgtk-3-0-dbg, libgail-3-0-dbg, libpango1.0-0-dbg Priority: extra Section: debug Filename: pool/main/m/meta-gnome3/gnome-dbg_3.4+7+deb7u1_armhf.deb Size: 20016 SHA256: 1c7f675265e89170822a341083ad509420bec1323a389d5917a462e7e4168e4f SHA1: 8c61a15a5a2937345bc71e37d2dd407038f9424d MD5sum: 6849625a355146309e1d0693e46cb793 Description: debugging symbols for the GNOME desktop environment This meta-package brings all debugging symbols for the platform libraries of the GNOME desktop environment, an intuitive and attractive desktop. They contain debugging information for these libraries, which can help providing useful traces in bug reports. Package: gnome-desktop-data Source: gnome-desktop Version: 2.32.1-2 Installed-Size: 2017 Maintainer: Josselin Mouette Architecture: all Size: 500494 SHA256: d35d9fd87e75b8fe7289e18b58ef8f37a8208647a6be05738966bb240e771095 SHA1: 8dcd361de7803a2221f2c57813b5012ec9e12242 MD5sum: b342528fbf7cda4c695ce0eee6b1364c Description: Common files for GNOME desktop apps This package includes some files that are shared between several GNOME apps (Pixmaps, .desktop files and internationalization files). Tag: role::app-data, suite::gnome, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/g/gnome-desktop/gnome-desktop-data_2.32.1-2_all.deb Package: gnome-desktop-environment Source: meta-gnome3 Version: 1:3.4+7+deb7u1 Installed-Size: 43 Maintainer: Debian GNOME Maintainers Architecture: all Depends: gnome (>= 1:3.4+7+deb7u1) Size: 19954 SHA256: c57f882575ea69628d14b28838b9e34d55bf28d2529b366c3123392c3c1c0a51 SHA1: a706587ed1f9fc92fcf6c2afbb974ca67a9dbd5b MD5sum: 141f5c8745d7df497312cc08673a22fb Description: The GNOME Desktop Environment - transitional package This is the GNOME Desktop environment, an intuitive and attractive desktop. . This meta-package is obsolete and has been replaced by “gnome”. Tag: interface::x11, role::metapackage, suite::gnome, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/m/meta-gnome3/gnome-desktop-environment_3.4+7+deb7u1_all.deb Package: gnome-desktop-sharp2 Version: 2.26.0-8 Installed-Size: 14 Maintainer: Debian CLI Libraries Team Architecture: all Depends: libgnomedesktop2.0-cil-dev, libgtkhtml3.14-cil-dev, libgtksourceview2-cil-dev, librsvg2-2.0-cil-dev, libvte0.16-cil-dev, libwnck1.0-cil-dev Size: 13770 SHA256: 079ff9c3f6f07d846a19c6a958fb67e9d3b9ef91815a64af31c6c235ba63bbf7 SHA1: 1e6ac3011f889f5a8b42ae0ca4694cd81d223307 MD5sum: f45fea6a7e24fe4a221d82af01b7d0d1 Description: GNOME Desktop# 2.24 suite, CLI bindings for GNOME GNOME Desktop# 2.24 is a CLI (.NET) language binding for the GNOME 2.24 desktop libraries. . This is a metapackage containing dependencies for the complete GNOME Desktop# 2.24 suite. Homepage: http://www.mono-project.com/GtkSharp Tag: role::metapackage Section: cli-mono Priority: optional Filename: pool/main/g/gnome-desktop-sharp2/gnome-desktop-sharp2_2.26.0-8_all.deb Package: gnome-desktop3-data Source: gnome-desktop3 Version: 3.4.2-1 Installed-Size: 3643 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: gnome-desktop-data (<< 2.32.1-2) Breaks: gnome-desktop-data (<< 2.32.1-2) Size: 765170 SHA256: 9795e74d485d310181d787e553e1d462d329aeb02e72d27c49b00665db63cdc8 SHA1: 2ef10d2e0a5ced79c767f328acdda462cad04e21 MD5sum: b277a32c481511b03da2d9075856c85f Description: Common files for GNOME desktop apps This package includes some files that are shared between several GNOME apps (internationalization files). Tag: role::app-data, suite::gnome Section: gnome Priority: optional Filename: pool/main/g/gnome-desktop3/gnome-desktop3-data_3.4.2-1_all.deb Package: gnome-devel Source: meta-gnome3 Version: 1:3.4+7+deb7u1 Installed-Size: 43 Maintainer: Debian GNOME Maintainers Architecture: all Depends: accerciser (>= 3.4), anjuta (>= 2:3.4), anjuta-extras (>= 3.4), devhelp (>= 3.4), glade (>= 3.12), gnome-devel-docs (>= 3.4) Recommends: gnome-platform-devel Size: 20014 SHA256: 227792c0f6dd54406623e8d3a3aec1a3ce6a95c313549e9bcc77c45c74597d86 SHA1: 3049b6ac2c6f1a2d2d13c42e7e59924556df6270 MD5sum: 02b10f48b28e874d39a180505d9e72d0 Description: GNOME Desktop Environment -- development tools These are the development tools of the GNOME Desktop environment, an intuitive and attractive desktop. . This meta-package depends on a recommended set of applications to develop new programs for GNOME. Tag: devel::packaging, interface::x11, role::metapackage, suite::gnome, uitoolkit::gtk Section: devel Priority: optional Filename: pool/main/m/meta-gnome3/gnome-devel_3.4+7+deb7u1_all.deb Package: gnome-devel-docs Version: 3.4.1-1 Installed-Size: 15505 Maintainer: Debian GNOME Maintainers Architecture: all Depends: yelp Size: 7405858 SHA256: 70ac208314cc3086bfb2bb25650bbfa8f789f415cc1f8c6ac01059ec1408879f SHA1: 3994c9e8e5f6ccccdfb13d49d2ffb352ca49a445 MD5sum: d9dad1ec266e1db60662e7e39d5bf924 Description: GNOME developer documentation Documentation for developing for the GNOME desktop environment. It contains: * Platform Overview * Accessibility Guide * Integration Guide * Optimization Guide * Human Interface Guidelines * GNOME Developer Demos Tag: devel::doc, made-of::xml, role::documentation, suite::gnome Section: gnome Priority: optional Filename: pool/main/g/gnome-devel-docs/gnome-devel-docs_3.4.1-1_all.deb Package: gnome-dictionary Version: 3.4.0-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 2337 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdict-1.0-6 (= 3.4.0-2), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), dconf-gsettings-backend | gsettings-backend Suggests: yelp Breaks: gnome-utils (<< 2.30.0-2) Replaces: gnome-utils (<< 2.30.0-2) Provides: dict-client Homepage: http://live.gnome.org/GnomeUtils Priority: optional Section: gnome Filename: pool/main/g/gnome-dictionary/gnome-dictionary_3.4.0-2_armhf.deb Size: 1670222 SHA256: 6ad0e526c3eada4108250ec66cb4f42caf8f5a50ba6685a32a2514890b0267bf SHA1: 4182a2bf035bf052d428f268b862176ef9afd192 MD5sum: 9af6da7ccf1e7ae6cbc4aaa369766124 Description: GNOME dictionary application This program can look for the definition or translation of a word in existing databases over the Internet . It also contains a GNOME panel applet for that effect. Package: gnome-disk-utility Version: 3.0.2-3 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 8153 Depends: libatasmart4 (>= 0.13), libatk1.0-0 (>= 1.12.4), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libavahi-ui-gtk3-0 (>= 0.6.30), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libgdu-gtk0 (>= 3.0.0), libgdu0 (>= 2.29.90), libglib2.0-0 (>= 2.22.0), libgnome-keyring0 (>= 2.22), libgtk-3-0 (>= 3.0.0), libnautilus-extension1a (>= 2.91), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libunique-3.0-0 (>= 2.90.1), libx11-6 Homepage: http://git.gnome.org/cgit/gnome-disk-utility/ Priority: optional Section: admin Filename: pool/main/g/gnome-disk-utility/gnome-disk-utility_3.0.2-3_armhf.deb Size: 1471540 SHA256: 8aa794bc8c4ad24fb74f6a41b7404c6e581982eb391a37013a944b0ceabf0807 SHA1: 0fbc48a21cdfa379c50e3b4f0880132e4e2f3016 MD5sum: 057d5d08cf98342218b696faf3894f64 Description: manage and configure disk drives and media palimpsest (from the gnome-disk-utility project) is a tool to manage disk drives and media: . * Format and partition drives. * Mount and unmount partitions. * Query S.M.A.R.T. attributes. . It utilizes udisks. Package: gnome-do Source: gnome-do (0.9-1) Version: 0.9-1+b1 Architecture: armhf Maintainer: Debian CLI Applications Team Installed-Size: 2403 Depends: mono-runtime (>= 2.10.1), libc6 (>= 2.13-28), libgconf2.0-cil (>= 2.24.0), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgnome-keyring1.0-cil (>= 1.0.0), libgnome-vfs2.0-cil (>= 2.24.0), libgnome2.24-cil (>= 2.24.0), libgnomedesktop2.20-cil (>= 2.26.0), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-addins0.2-cil (>= 0.6), libmono-cairo4.0-cil (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), libndesk-dbus-glib1.0-cil (>= 0.4.0), libndesk-dbus1.0-cil (>= 0.6.0), libnotify0.4-cil (>= 0.4.0~r2998), libnunit2.6-cil, libatk1.0-0 (>= 1.12.4), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, gconf2 (>= 2.28.1-2), librsvg2-common, xdg-utils, dbus, procps Recommends: gnome-do-plugins (>= 0.8.3) Breaks: gnome-do-plugins (<< 0.8.3) Homepage: http://do.davebsd.com/ Priority: optional Section: gnome Filename: pool/main/g/gnome-do/gnome-do_0.9-1+b1_armhf.deb Size: 476914 SHA256: c0962315c0996e3ec71867b8f2bf6b410a5e1325eec68199446797578f8ef8cb SHA1: 866a3e083aa1f6e716d7a06880ae8db02c4ccb67 MD5sum: 81171be10ca2712943346e58e634a066 Description: Quickly perform actions on your desktop Allows you to quickly search for many items present in your GNOME desktop environment (applications, Firefox bookmarks, files, artists and albums in Rhythmbox, Pidgin buddies, etc.) and perform commonly used actions on those items (Run, Open, Email, Chat, Play, etc.). . GNOME Do is plugin based, allowing it to be easily extended to handle new items and actions. This package contains a core set of items and actions. . Although GNOME Do primarily targets the GNOME desktop, it also works under other desktop environments. . GNOME Do is inspired by Quicksilver and GNOME Launch Box. Package: gnome-do-plugins Version: 0.8.4-5 Installed-Size: 4840 Maintainer: Debian CLI Applications Team Architecture: all Replaces: gnome-do-plugin-amarok, gnome-do-plugin-rhythmbox Depends: libdbus-glib1.0-cil (>= 0.5), libdbus1.0-cil (>= 0.7), libflickrnet2.2-cil, libgconf2.0-cil (>= 2.24.0), libgdata2.1-cil (>= 2.1.0.0), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgnome-vfs2.0-cil (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-addins0.2-cil (>= 0.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-sqlite4.0-cil (>= 2.10.7), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-data4.0-cil (>= 2.10.1), libmono-system-web-services4.0-cil (>= 1.0), libmono-system-web4.0-cil (>= 2.10.3), libmono-system-xml-linq4.0-cil (>= 1.0), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), libndesk-dbus-glib1.0-cil (>= 0.4.0), libndesk-dbus1.0-cil (>= 0.6.0), libwnck2.20-cil (>= 2.26.0), libx11-6 (>= 2:1.4.99.1), libxrandr2 (>= 2:1.3), gnome-do (>= 0.8.5) Recommends: mlocate | locate Suggests: banshee, epiphany-browser, iceweasel | firefox, pidgin, rhythmbox Conflicts: gnome-do-plugin-amarok, gnome-do-plugin-rhythmbox Size: 2546562 SHA256: b0e1ae9c4e4af313f495eb13c7ad741ab1f719e6e7d878379006f2276afa4f8a SHA1: 10a22c94f651a8524745f81846f14ab306d99395 MD5sum: c4ea6b1653ea38869eb8e13cdd99e7ad Description: Extra functionality for GNOME Do This package provides extra plugins for GNOME Do. It includes plugins for interacting with GMail & Thunderbird contacts, Pidgin IM buddies, searching the Web using Firefox search plugins, and more. Homepage: http://do.davebsd.com Tag: role::plugin, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/g/gnome-do-plugins/gnome-do-plugins_0.8.4-5_all.deb Package: gnome-doc-utils Version: 0.20.10-1 Installed-Size: 4165 Maintainer: Debian GNOME Maintainers Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-libxml2, libxml2-utils, xsltproc Size: 513758 SHA256: 4aba3807923a929c1626df69d0941413e84ff6c3e5df9e3e74aeb9d1c4676a74 SHA1: a3b6ea87c99ba11f52e856740499d11a63ed470e MD5sum: fbe7852d6714ae936401cb33d25bdc0d Description: collection of documentation utilities for the GNOME project gnome-doc-utils is a collection of documentation utilities for the GNOME project. Notably, it contains utilities for building documentation and all auxiliary files in your source tree, and it contains the DocBook XSLT stylesheets that were once distributed with Yelp. Homepage: https://live.gnome.org/GnomeDocUtils Tag: devel::docsystem, interface::commandline, role::program, scope::utility, suite::gnome, use::converting, works-with-format::xml, works-with::text Section: gnome Priority: optional Filename: pool/main/g/gnome-doc-utils/gnome-doc-utils_0.20.10-1_all.deb Package: gnome-documents Version: 0.4.2-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1383 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libevdocument3-4 (>= 3.0.2), libevview3-3 (>= 3.0.2), libgdata13 (>= 0.11.0), libgdk-pixbuf2.0-0 (>= 2.25.2), libglib2.0-0 (>= 2.31.8), libgnome-desktop-3-2 (>= 3.2.0), libgoa-1.0-0 (>= 3.1.90), libgtk-3-0 (>= 3.3.18), liboauth0 (>= 0.9.1), libpango1.0-0 (>= 1.20.0), libsoup2.4-1 (>= 2.4.0), libtracker-sparql-0.14-0 (>= 0.10.0), dconf-gsettings-backend | gsettings-backend, gir1.2-atk-1.0, gir1.2-evince-3.0, gir1.2-freedesktop, gir1.2-gdata-0.0, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-goa-1.0, gir1.2-gtk-3.0, gir1.2-pango-1.0, gir1.2-soup-2.4, gir1.2-clutter-1.0, gir1.2-gtkclutter-1.0, gir1.2-tracker-0.14, tracker (>= 0.14.0), gjs (>= 1.32.0-3) Recommends: unoconv Priority: optional Section: gnome Filename: pool/main/g/gnome-documents/gnome-documents_0.4.2-2_armhf.deb Size: 193476 SHA256: 9084a810c2bb967edf81d37aa75ec21dd18b727c81d13346daeac271b2365424 SHA1: a76a7a866a2386fc736e5a54db6838b4a2a0ffd6 MD5sum: 551025308d1a8a0e937ff4e842d4c20b Description: Document manager for GNOME GNOME Documents is a standalone application to find, organize and view your documents. Package: gnome-dust-icon-theme Source: gnome-colors Version: 5.5.1-1 Installed-Size: 2564 Maintainer: GNOME-Colors Packagers Architecture: all Depends: gnome-colors-common Suggests: arc-dust, shiki-dust-theme Size: 358486 SHA256: 4855f69792349a64e9c5c3c23c06f7b65219ed5a0584fbb9e320e3c958468dd2 SHA1: 2e93c43419e602b30f30b411f4adcdd3c52aa445 MD5sum: a813cf25da99584fd8fe3e30824f976a Description: chocolate variation of the GNOME-Colors icon theme GNOME-Colors is a set of GNOME icon themes, with some inspiration from Tango, Elementary, Discovery, Tango Generator and others. . Its goal is to create an easy way for anyone to make their desktop consistently match their moods, wallpapers, laptop colors, etc. . The icons were designed to match the Shiki-Colors GTK+ Themes and their additional artwork. . This package provides the GNOME-Dust (Chocolate) variation of the GNOME-Colors icon theme. Homepage: http://code.google.com/p/gnome-colors/ Tag: role::app-data, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-colors/gnome-dust-icon-theme_5.5.1-1_all.deb Package: gnome-dvb-client Source: gnome-dvb-daemon Version: 1:0.2.8-1 Installed-Size: 1168 Maintainer: Sebastian Reichel Architecture: all Depends: python2.7, python (>= 2.7), python (<< 2.8), gir1.2-gtk-3.0, gnome-dvb-daemon (>= 1:0.2.8-1), gnome-icon-theme, python-gobject (>= 3.0.4) Recommends: dvb-apps Size: 257806 SHA256: c7e192e20465bb2f472a3f53c41ffaa10bc8ff7e56a272f218c999955d3e7bcf SHA1: b94539bf7b02d8934b4777b42e4c5f9b2799c540 MD5sum: 003779c5328d71df50c1252447e5ad2d Description: client for gnome-dvb-daemon GNOME DVB Daemon is a GStreamer based daemon to setup your DVB devices, record and/or watch TV shows and browse EPG. . This package contains the Python GTK+ client, which speaks to the daemon via DBUS. Homepage: http://live.gnome.org/DVBDaemon Tag: role::program Section: video Priority: optional Filename: pool/main/g/gnome-dvb-daemon/gnome-dvb-client_0.2.8-1_all.deb Package: gnome-dvb-daemon Version: 1:0.2.8-1 Architecture: armhf Maintainer: Sebastian Reichel Installed-Size: 585 Depends: libc6 (>= 2.13-28), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.14), libgstreamer0.10-0 (>= 0.10.29), libgstrtspserver-0.10-0 (>= 0.10.7), libgudev-1.0-0 (>= 146), libsqlite3-0 (>= 3.5.9), libxml2 (>= 2.6.27), gstreamer0.10-plugins-bad (>= 0.10.14-4), gstreamer0.10-plugins-good (>= 0.10.16-3) Homepage: http://live.gnome.org/DVBDaemon Priority: optional Section: video Filename: pool/main/g/gnome-dvb-daemon/gnome-dvb-daemon_0.2.8-1_armhf.deb Size: 247606 SHA256: 7645bc870b8d108672d2cec2fbc93aac866468bf814e9bb7fa195cc0a437a587 SHA1: be57f1ffa0563ede17b173839528314c20e3e409 MD5sum: 3c57a0d7c3bc41b914ef4d05f01cc654 Description: daemon to setup your DVB devices, record and watch TV shows and browse EPG GNOME DVB Daemon is a GStreamer based daemon to setup your DVB devices, record and/or watch TV shows and browse EPG. . It can be controlled by any application via its D-Bus interface. Package: gnome-extra-icons Version: 1.1-2 Installed-Size: 6260 Maintainer: Tiago Bortoletto Vaz Architecture: all Size: 4490590 SHA256: 4549a08027cc0582d3e9aa62d014ed78cb6369f416ae682c044ef71dd7943ab5 SHA1: d76e3de169848be2aa8202f56311e65b29ea8fab MD5sum: e10d1a27ce79695ba815da9c428fc96d Description: Optional GNOME icons Optional GNOME icons from http://art.gnome.org/. This package just install a lot of nice icons in /usr/share/pixmaps. Most of them are png files that give you more options to customize your desktop. Also, they can be used in any desktop which supports icons, not only GNOME. Tag: interface::x11, made-of::icons, role::app-data, suite::gnome, uitoolkit::gtk, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-extra-icons/gnome-extra-icons_1.1-2_all.deb Package: gnome-font-viewer Version: 3.4.0-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 907 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0) Breaks: capplets-data (<< 1:3.0.0), gnome-control-center (<< 1:3.0.0) Replaces: capplets-data (<< 1:3.0.0), gnome-control-center (<< 1:3.0.0) Homepage: http://live.gnome.org/GnomeUtils Priority: optional Section: gnome Filename: pool/main/g/gnome-font-viewer/gnome-font-viewer_3.4.0-2_armhf.deb Size: 62196 SHA256: c5434441d214560d5725aa5acb1263733e13fedded22259bdb49e2a002a51eb8 SHA1: 1cb9c9222aac4cd500112169c181be70dc0e1720 MD5sum: 33b253b9a2d0762e2ca7d3ec0c291893 Description: font viewer for GNOME This program can preview fonts and create thumbnails for fonts. Package: gnome-games Version: 1:3.4.2-3 Installed-Size: 580 Maintainer: Josselin Mouette Architecture: all Depends: glchess (>= 1:3.4.2-3), glines (>= 1:3.4.2-3), gnect (>= 1:3.4.2-3), gnibbles (>= 1:3.4.2-3), gnobots2 (>= 1:3.4.2-3), gnome-sudoku (>= 1:3.4.2-3), gnomine (>= 1:3.4.2-3), gnotravex (>= 1:3.4.2-3), gnotski (>= 1:3.4.2-3), gtali (>= 1:3.4.2-3), iagno (>= 1:3.4.2-3), lightsoff (>= 1:3.4.2-3), mahjongg (>= 1:3.4.2-3), quadrapassel (>= 1:3.4.2-3), swell-foop (>= 1:3.4.2-3) Recommends: aisleriot (>= 1:3.4) Suggests: gnome-hearts Size: 548416 SHA256: 9c522da3dbcaebda56784f83a240773d1b9a10adec5d14806ca2c3052ef1821b SHA1: 61b3aec217056b997c691553931d7fc0d82f83ff MD5sum: b30b1a235abc1c817233ad2d8749a6ed Description: games for the GNOME desktop These are the games from the official GNOME release. They have the look and feel of the GNOME desktop, but can be used without it. . Games are now split in separate packages; this metapackage brings all of them but they can be installed separately. Homepage: http://live.gnome.org/GnomeGames Tag: game::board, game::card, game::puzzle, game::tetris, implemented-in::c, implemented-in::python, interface::x11, role::program, suite::gnome, uitoolkit::gtk, use::gameplaying, x11::application Section: gnome Priority: optional Filename: pool/main/g/gnome-games/gnome-games_3.4.2-3_all.deb Package: gnome-games-data Source: gnome-games Version: 1:3.4.2-3 Installed-Size: 8572 Maintainer: Josselin Mouette Architecture: all Depends: dconf-gsettings-backend | gsettings-backend, librsvg2-common Recommends: gvfs Size: 1655738 SHA256: 031eb89244367e31a04adecc8d177aa0a5aa836e0e09851b4cacaf27f9af1247 SHA1: a4acb06abcff479118b6fe56279a3b1525973f9c MD5sum: 8b47fe9926f17198806003a1eff51a25 Description: data files for the GNOME games This package contains translations, sounds and pictures used by the GNOME games. Homepage: http://live.gnome.org/GnomeGames Tag: role::app-data, suite::gnome Section: gnome Priority: optional Filename: pool/main/g/gnome-games/gnome-games-data_3.4.2-3_all.deb Package: gnome-games-extra-data Version: 3.2.0-4 Installed-Size: 1992 Maintainer: Josselin Mouette Architecture: all Replaces: gnome-cards-data (<< 1:3.0) Recommends: gnome-games-data Enhances: glines, gnobots2, iagno, mahjongg Breaks: gnome-cards-data (<< 1:3.0) Size: 1956954 SHA256: a7fb1861ec1a14b20d6c641a22adc850616df6bfd95f52844fbb0e61322457ae SHA1: 0037882baec3ae5c633acdb845f6023b598887c0 MD5sum: f465adbab87243fa6823f84f70a0070c Description: games for the GNOME desktop (extra artwork) This package contains extra data files for the gnome-games package. At the moment this is almost entirely extra themes and artwork for the games. Tag: interface::x11, role::app-data, suite::gnome, uitoolkit::gtk, use::gameplaying, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-games-extra-data/gnome-games-extra-data_3.2.0-4_all.deb Package: gnome-genius Source: genius Version: 1.0.14-1 Architecture: armhf Maintainer: Sebastian Dröge Installed-Size: 8494 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgmp10, libgtk2.0-0 (>= 2.24.0), libgtksourceview2.0-0 (>= 2.10.0), libmpfr4 (>= 3.1.0), libpango1.0-0 (>= 1.14.0), libreadline6 (>= 6.0), libvte9 (>= 1:0.24.0), genius-common (= 1.0.14-1) Homepage: http://www.5z.com/jirka/genius.html Priority: optional Section: gnome Filename: pool/main/g/genius/gnome-genius_1.0.14-1_armhf.deb Size: 1545806 SHA256: 4d22eb155f79a6b461086b6b5ecb68838b8b72e7a506e05969e18dd8591c9f3a SHA1: f4c1a9ff9c82f00b8f504ca7c4d358a71215d3b3 MD5sum: 8aa6be2eb26304b1e63fa89e2069639f Description: advanced general purpose calculator program (Gnome frontend) Genius is a general purpose calculator program similar in some aspects to BC, Matlab or Maple. It is useful both as a simple calculator and as a research or educational tool. The syntax is very intuitive and is designed to mimic how mathematics is usually written. . This package contains a Gnome frontend for genius. Package: gnome-gmail Version: 1.8.2-1 Installed-Size: 545 Maintainer: David Steele Architecture: all Depends: gconf2 (>= 2.28.1-2), python (>= 2.6), gnome-control-center, gconf-editor, libgtk2.0-bin, python-gnomekeyring, python-glade2, python-gconf, xdg-utils Size: 80664 SHA256: d605478364ff02ba33c3412103bddfa8e5c2b0e520a168bb979000468c46cca7 SHA1: 13e13c4b83b8d67aa5790bb451b15b7bfdaac833 MD5sum: 62443f720ed9a0ffaae840f927bd71f0 Description: support for Gmail as the preferred email application in GNOME GNOME Gmail integrates the Gmail web interface into the GNOME environment. Once installed, Gmail becomes a choice as the default Mail Reader in the GNOME Preferred Applications administration application. When selected, a Gmail web page will be used whenever an email service is requested. . Logout to complete the configuration. Homepage: http://gnome-gmail.sourceforge.net Tag: implemented-in::python, interface::commandline, interface::web, interface::x11, mail::imap, mail::user-agent, network::service, protocol::http, protocol::imap, role::plugin, role::program, scope::utility, suite::gnome, suite::openoffice, uitoolkit::gtk, use::transmission, web::application, works-with::file, works-with::mail, x11::application Section: gnome Priority: optional Filename: pool/main/g/gnome-gmail/gnome-gmail_1.8.2-1_all.deb Package: gnome-hearts Version: 0.3-2.1 Architecture: armhf Maintainer: Sander Marechal Installed-Size: 1398 Depends: gconf-service, libart-2.0-2 (>= 2.3.17), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libpython2.7 (>= 2.7), libsm6, libxml2 (>= 2.6.27), python-support (>= 0.90.0), python2.7, gnome-cards-data (>= 1:2.20), librsvg2-common Recommends: gnome-games-extra-data Priority: optional Section: games Filename: pool/main/g/gnome-hearts/gnome-hearts_0.3-2.1_armhf.deb Size: 427272 SHA256: 99d722b1c88cf108adb1251a1d7e8df91af324a459e4597d32ec9e648b7b051c SHA1: f12d4a237059f75591a0ba52754565b63a60c982 MD5sum: 3436219c7cdaab8dc0e9a1c965207d48 Description: The classic hearts card game for the GNOME desktop Hearts is an implementation of the classic card game for the GNOME desktop, featuring configurable rulesets and editable computer opponents to satisfy widely diverging playing styles. Package: gnome-human-icon-theme Source: gnome-colors Version: 5.5.1-1 Installed-Size: 2564 Maintainer: GNOME-Colors Packagers Architecture: all Depends: gnome-colors-common Suggests: arc-human, shiki-human-theme Size: 357054 SHA256: 5979c7ddfe6537aaaa144e1ab11e310f6a398fbc26b78d22bf954bdb253b31b9 SHA1: eaeb55a34c5297864cad0a9036545df4de373324 MD5sum: 6963ebd944dc3d226da6c0396dea091a Description: orange variation of the GNOME-Colors icon theme GNOME-Colors is a set of GNOME icon themes, with some inspiration from Tango, Elementary, Discovery, Tango Generator and others. . Its goal is to create an easy way for anyone to make their desktop consistently match their moods, wallpapers, laptop colors, etc. . The icons were designed to match the Shiki-Colors GTK+ Themes and their additional artwork. . This package provides the GNOME-Human (Orange) variation of the GNOME-Colors icon theme. Homepage: http://code.google.com/p/gnome-colors/ Tag: made-of::icons, role::data, suite::gnome, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-colors/gnome-human-icon-theme_5.5.1-1_all.deb Package: gnome-hwp-support Version: 0.1.4-1 Architecture: armhf Maintainer: Debian Korean L10N Installed-Size: 85 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgsf-1-114 (>= 1.14.9), libgtk-3-0 (>= 3.0.0), libnautilus-extension1a (>= 2.91), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27) Homepage: http://code.google.com/p/gnome-hwp-support Priority: optional Section: gnome Filename: pool/main/g/gnome-hwp-support/gnome-hwp-support_0.1.4-1_armhf.deb Size: 13564 SHA256: a1c7ad10a8aa7da720ac409e2d3060479a5cad850bb05bc2311e805e370e1fd1 SHA1: 6258ead2c8bf172f34e1b071a10cf390b98c7ab1 MD5sum: 3a093921d7666360ec6a7a62e9995dcc Description: HWP document integration for GNOME desktop This is a collection of softwares for integrating HWP documents in GNOME desktop. It includes: . - thumbnailer which generates thumbnails of HWP documents. - Nautilus properties page for HWP documents. Package: gnome-hwp-support-dbg Source: gnome-hwp-support Version: 0.1.4-1 Architecture: armhf Maintainer: Debian Korean L10N Installed-Size: 83 Depends: gnome-hwp-support (= 0.1.4-1) Homepage: http://code.google.com/p/gnome-hwp-support Priority: extra Section: debug Filename: pool/main/g/gnome-hwp-support/gnome-hwp-support-dbg_0.1.4-1_armhf.deb Size: 30918 SHA256: a8f235e3335818cb20513229da1197e58c6cf867a16b6624367ee8c0da07020e SHA1: 6e68a1c116b94cba40934da2ead29ca0a06c1014 MD5sum: 8d2c36de0b9da43df722ed090480b155 Description: HWP document integration for GNOME desktop - debugging symbols This is a collection of softwares for integrating HWP documents in GNOME desktop. It includes: . - thumbnailer which generates thumbnails of HWP documents. - Nautilus properties page for HWP documents. . This package contains the debugging symbols. Most people will not need this package. Package: gnome-icon-theme Version: 3.4.0-2 Installed-Size: 10037 Maintainer: Josselin Mouette Architecture: all Depends: hicolor-icon-theme, libgtk-3-bin, librsvg2-common Enhances: nautilus (>= 2.2) Breaks: evolution (<< 2.30), gnome-games (<< 1:2.30.2-1), mail-notification (<< 5.4.dfsg.1-2.2), netspeed (<< 0.15.2-2), rhythmbox (<< 0.12.8) Size: 9515632 SHA256: 1e4894bb6bac8d572423acc221b5e68dec10bf7ffcb0b2fd7cf637320359b03d SHA1: 098a39aae76db041fba1fb2c2c0ae4b3044c9227 MD5sum: 468f4435a3e572fd3f1f0ff8464f452d Description: GNOME Desktop icon theme This package contains the default icon theme used by the GNOME desktop. The icons are used in the panel menu, and in nautilus and other applications, to represent the different applications, files, directories, and devices. Tag: interface::x11, made-of::icons, made-of::svg, role::app-data, suite::gnome, uitoolkit::gtk, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-icon-theme/gnome-icon-theme_3.4.0-2_all.deb Package: gnome-icon-theme-extras Version: 3.4.0-1 Installed-Size: 985 Maintainer: Debian GNOME Maintainers Architecture: all Depends: hicolor-icon-theme, gnome-icon-theme (>= 3.4), gnome-icon-theme (<< 3.5) Enhances: gnome-icon-theme Size: 867870 SHA256: 145062ed6344ca95705d4dbdf68ece2931343b7df878dffd996325f38c6a0795 SHA1: 0136d4b70cdf9096937e75493922c3f8f1aa2a51 MD5sum: be460c11dbbb2067787a6e6403325246 Description: GNOME Desktop icon theme (additional icons) This package contains the default icon theme used by the GNOME desktop. The icons are used in the panel menu, and in nautilus and other applications, to represent the different applications, files, directories, and devices. . This package contains additional icons for the default GNOME icon theme. Tag: uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/g/gnome-icon-theme-extras/gnome-icon-theme-extras_3.4.0-1_all.deb Package: gnome-icon-theme-gartoon Source: gartoon Version: 0.5-4 Installed-Size: 9080 Maintainer: Otavio Salvador Architecture: all Replaces: gtk2-engines-gartoon (<< 0.4.5-2) Provides: gtk2-engines-gartoon Depends: librsvg2-common Conflicts: gtk2-engines-gartoon (<< 0.4.5-2) Size: 1519330 SHA256: 63f88007b9e01e683b6d2bbe85310507732f4e6f76fcda2d2354c784f0359459 SHA1: 6afce219a3319cc86c2f1d978df34923000bbecd MD5sum: 282aec126ee69a2adb2c3fa3220eef17 Description: Gartoon icon theme for GTK+ 2.x This GTK+ theme provides an animated scalable group of icons to be used by GTK+ 2.x applications like GNOME 2. . Homepage: http://www.zeusbox.org/icon/ Tag: interface::x11, made-of::icons, made-of::svg, role::app-data, suite::gnome, uitoolkit::gtk, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gartoon/gnome-icon-theme-gartoon_0.5-4_all.deb Package: gnome-icon-theme-nuovo Version: 0.5-4.1 Installed-Size: 10188 Maintainer: Tiago Bortoletto Vaz Architecture: all Depends: libgtk2.0-0 Size: 1111266 SHA256: a00443cdfff34c0952c6712502946e58eeb1687bd808487ce0dbfb506ed03ebb SHA1: 638958b3ae94ac3ac910b194c2e4c854211798a1 MD5sum: 2fab51038a8a936c4dbc173c1e23d4af Description: Dropline Nuovo icon theme for GTK+ 2.x This GTK+ theme provides a scalable group of icons to be used by GTK+ 2.x applications like GNOME 2. Tag: made-of::icons, role::app-data, suite::gnome, uitoolkit::gtk, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-icon-theme-nuovo/gnome-icon-theme-nuovo_0.5-4.1_all.deb Package: gnome-icon-theme-suede Source: suede Version: 0.2.5-1 Installed-Size: 2040 Maintainer: Otavio Salvador Architecture: all Depends: libgtk2.0-0 Size: 341320 SHA256: d3386469be1d33962bca608899a8353ed584feb4efec011a168ec762adc8ecf9 SHA1: 9cc188d82eb97a539a6dd4ac0c52f93a6ab88e45 MD5sum: 1ea929080ae36c0b9b43c7cd8275d918 Description: Suede icon theme for GTK+ 2.x This GTK+ theme provides a scalable group of icons to be used by GTK+ 2.x applications like GNOME 2. Tag: made-of::icons, role::app-data, suite::gnome, uitoolkit::gtk, x11::theme Section: gnome Priority: optional Filename: pool/main/s/suede/gnome-icon-theme-suede_0.2.5-1_all.deb Package: gnome-icon-theme-symbolic Version: 3.4.0-2 Installed-Size: 1396 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: gnome-power-manager (<< 3.2) Depends: hicolor-icon-theme, gnome-icon-theme (>= 3.4), gnome-icon-theme (<< 3.5) Enhances: gnome-icon-theme Breaks: gnome-power-manager (<< 3.2) Size: 160634 SHA256: 9d132f67fcfb19b6a4de7fb049db49731a8f4d708b2dd1ba8ffd9c2d1141fb41 SHA1: ab91684000aa2a664c3f4f034372d482188ccbd0 MD5sum: 35a28e6b2e201a2f8f50b524a08adb0e Description: GNOME desktop icon theme (symbolic icons) This package contains a set of icons used by the GNOME desktop. The icons are used in the panel menu, and in nautilus and other applications, to represent the different applications, files, directories, and devices. . These stylised icons are symbolic variations on the standard theme (see http://www.freedesktop.org/wiki/SymbolicIcons). Tag: made-of::icons, role::app-data, suite::gnome, uitoolkit::gtk, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-icon-theme-symbolic/gnome-icon-theme-symbolic_3.4.0-2_all.deb Package: gnome-icon-theme-yasis Version: 0.4.2-1 Installed-Size: 10620 Maintainer: Free Ekanayaka Architecture: all Size: 1528992 SHA256: ed2c0d424398cfe5d31abefac72467183ceae5f690e16580a753bde4167f17e6 SHA1: 92f1f27f165255314a28f321fe7ec1aa209701a8 MD5sum: 2a82fbfe26d9835c5677346ba528a41f Description: YASIS (Yet Another Scalable Icon Set) A Scalable Vector Graphic (SVG) icon set for GNOME Desktop. Tag: made-of::svg, role::app-data, suite::gnome, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-icon-theme-yasis/gnome-icon-theme-yasis_0.4.2-1_all.deb Package: gnome-illustrious-icon-theme Source: gnome-colors Version: 5.5.1-1 Installed-Size: 2564 Maintainer: GNOME-Colors Packagers Architecture: all Depends: gnome-colors-common Suggests: arc-illustrious, shiki-illustrious-theme Size: 357132 SHA256: e93808efa28351dedf780a44da8acc849442c7d1817a0726664896ed95881b04 SHA1: 69dd3e5cfd897acdf9d9392a2817fb357aac36cc MD5sum: e692fb70b873bb067d1ca1693b234453 Description: pink variation of the GNOME-Colors icon theme GNOME-Colors is a set of GNOME icon themes, with some inspiration from Tango, Elementary, Discovery, Tango Generator and others. . Its goal is to create an easy way for anyone to make their desktop consistently match their moods, wallpapers, laptop colors, etc. . The icons were designed to match the Shiki-Colors GTK+ Themes and their additional artwork. . This package provides the GNOME-Illustrious (Pink) variation of the GNOME-Colors icon theme. Homepage: http://code.google.com/p/gnome-colors/ Tag: made-of::icons, role::app-data, suite::gnome, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-colors/gnome-illustrious-icon-theme_5.5.1-1_all.deb Package: gnome-js-common Version: 0.1.2-1 Installed-Size: 160 Maintainer: Debian GNOME Maintainers Architecture: all Size: 21262 SHA256: 14caef249f98fcd61d4d8389425c031a1ee85ce3b945c3293d16465360508bf8 SHA1: e7a446ab556fdf10542119f7cc1a1bc345ee12ff MD5sum: d7104f60e34faab29a8714bd266270ae Description: Common modules for GNOME JavaScript interpreters This package contains some JavaScript modules for use by GNOME JavaScript extensions, namely GJS and Seed. Tag: role::app-data Section: interpreters Priority: optional Filename: pool/main/g/gnome-js-common/gnome-js-common_0.1.2-1_all.deb Package: gnome-keyring Version: 3.4.1-5 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 4662 Depends: dconf-gsettings-backend | gsettings-backend, libc6 (>= 2.13-28), libcap-ng0, libdbus-1-3 (>= 1.1.1), libgcc1 (>= 1:4.4.0), libgck-1-0 (>= 3.3.90), libgcr-3-1 (>= 3.4.0), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), gcr (>= 3.4), dbus-x11, libcap2-bin Recommends: libpam-gnome-keyring Breaks: libgnome-keyring0 (<< 3.0), seahorse-plugins (<< 3.0) Homepage: http://live.gnome.org/GnomeKeyring Priority: optional Section: gnome Filename: pool/main/g/gnome-keyring/gnome-keyring_3.4.1-5_armhf.deb Size: 798984 SHA256: 53b0dbc345823dc85d91bcd3b27d97bd20b86c2402831b387f689c55ea2764a8 SHA1: 0da1c7f06566d6c248ae8698f9fe171cd9de17e1 MD5sum: 589e4f8abcdc9fd5760421c32f3555fc Description: GNOME keyring services (daemon and tools) gnome-keyring is a daemon in the session, similar to ssh-agent, and other applications can use it to store passwords and other sensitive information. . The program can manage several keyrings, each with its own master password, and there is also a session keyring which is never stored to disk, but forgotten when the session ends. Package: gnome-mag Version: 1:0.16.3-1 Architecture: armhf Maintainer: Daniel Ruoso Installed-Size: 1437 Depends: libatk1.0-0 (>= 1.12.4), libatspi1.0-0 (>= 1.32.0), libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcolorblind0, libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnome-mag2 (>= 1:0.15.0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3 (>= 1:4.0.1), libxrender1 Homepage: http://live.gnome.org/GnomeMag Priority: optional Section: x11 Filename: pool/main/g/gnome-mag/gnome-mag_0.16.3-1_armhf.deb Size: 180506 SHA256: 61cff7828b60d2c36f2a9318ff8c3e0f1edaaead54d78d4eb244f767b964042b SHA1: 32494c9ed4f5033fa33142e87d591a4d4c16ab17 MD5sum: 0dbef52b161fdb0e927ddebc3ea27de9 Description: a screen magnifier for the GNOME desktop This package includes a screen magnifier, which allows you to zoom in on portions of the desktop. It is expressly designed for users with low vision who wish to use the GNOME desktop. Package: gnome-mastermind Version: 0.3.1-2 Architecture: armhf Maintainer: Bart Martens Installed-Size: 1561 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://www.autistici.org/gnome-mastermind/ Priority: optional Section: games Filename: pool/main/g/gnome-mastermind/gnome-mastermind_0.3.1-2_armhf.deb Size: 546134 SHA256: a3808c3dbbdf77ed2d8728e1ac148afc9be428c5cd17ca8c7155b3d469235dcf SHA1: 892cccfb6276fac0409a2479effe2395cdc5a7c1 MD5sum: c333ff79ffcf3e18f01f1b755af4f3d4 Description: Mastermind (TM) clone for GNOME Desktop The goal of this board game is to break a code using obscure hints. Package: gnome-media Version: 3.4.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 4819 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.18.2), libgnome-media-profiles-3.0-0 (>= 2.91.2), libgstreamer-plugins-base0.10-0 (>= 0.10.23), libgstreamer0.10-0 (>= 0.10.23), libgtk-3-0 (>= 3.0.0), gconf2 (>= 2.28.1-2), gstreamer0.10-gconf, gstreamer0.10-plugins-base (>= 0.10.3), gstreamer0.10-plugins-good, x11-utils Recommends: pulseaudio, gstreamer0.10-pulseaudio, gnome-control-center Breaks: gnome-control-center (<< 1:3.0) Replaces: gnome-media-common (<< 2.91.0), libgnome-media-dev (<< 2.91.0), libgnome-media0 (<< 2.91.0) Homepage: http://live.gnome.org/GnomeMedia Priority: optional Section: gnome Filename: pool/main/g/gnome-media/gnome-media_3.4.0-1_armhf.deb Size: 1628736 SHA256: 1cd6e2a1f1bac73f47d735bbafec0be1419f0100cfe4a01fb85bfe58aa0e630d SHA1: ea913f3e4f4b197e9560aee5ed09918fe7539607 MD5sum: 8de8ba85bfca7ec60259d28da33984cc Description: GNOME media utilities This package contains a few media utilities for the GNOME desktop: * the GNOME Sound Recorder; * the GStreamer properties capplet. Package: gnome-media-profiles Source: libgnome-media-profiles Version: 3.0.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 486 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.27), libgnome-media-profiles-3.0-0 (>= 2.91.2), libgstreamer0.10-0 (>= 0.10.23), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27) Replaces: gnome-media (<< 2.91), gnome-media-common (<< 2.91) Priority: optional Section: gnome Filename: pool/main/libg/libgnome-media-profiles/gnome-media-profiles_3.0.0-1_armhf.deb Size: 262792 SHA256: 67c24749efe2db893b0dd8ec38e16c055cb2b5b4542f8ccb8fe6b85c9838bd27 SHA1: ba3e0c88e1f7318a86dc92dac09e3a99a3e38c47 MD5sum: 6906083511ba73249be9707108b72ecd Description: GNOME Media Profiles library Library that contains code to manage media profiles. . This package includes binaries and documentation Package: gnome-menus Version: 3.4.2-5 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1541 Pre-Depends: dpkg (>= 1.15.7.2) Depends: python Breaks: alacarte (<< 0.13.2-2), gnome-control-center (<< 2.91), gnome-panel (<< 2.91) Priority: optional Section: gnome Filename: pool/main/g/gnome-menus/gnome-menus_3.4.2-5_armhf.deb Size: 165902 SHA256: e45b3593a7740c03ebf73fca0c5aa5e1f6e432d9a26a214c51bea59f87d73e18 SHA1: 64d7fb2499dffa50e007e9a069d09c29e244aa47 MD5sum: a357b98e9f2e90544d1401639f92e1f6 Description: GNOME implementation of the freedesktop menu specification The package contains an implementation of the draft "Desktop Menu Specification" from freedesktop.org: . http://www.freedesktop.org/Standards/menu-spec . Also contained here are the GNOME menu layout configuration files, .directory files and assorted menu related utility programs. Package: gnome-mime-data Version: 2.18.0-1 Installed-Size: 4388 Maintainer: Debian GNOME Maintainers Architecture: all Size: 725158 SHA256: 8d81a7cd8b418f5a1e585c94003bd523eeeaf1d368540b2fe375fdcd7c412b99 SHA1: 7d6369af502557480c9ac71c818c2af629def1af MD5sum: ed45ff00a716c9245994a61648a4e349 Description: base MIME and Application database for GNOME. This module contains the base MIME and Application database for GNOME. It is meant to be accessed through the MIME functions in GnomeVFS. Tag: admin::configuring, interface::x11, role::app-data, suite::gnome, uitoolkit::gtk, works-with::file Section: gnome Priority: optional Filename: pool/main/g/gnome-mime-data/gnome-mime-data_2.18.0-1_all.deb Package: gnome-mplayer Version: 1.0.6-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1713 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgmlib0 (>= 1.0.5), libgmtk0 (>= 1.0.5), libgpod4 (>= 0.7.0), libgtk-3-0 (>= 3.0.0), libmusicbrainz3-6 (>= 3.0.2), libnautilus-extension1a (>= 2.91), libnotify4 (>= 0.7.0), libx11-6, libxss1, dconf-gsettings-backend | gsettings-backend, mplayer2 | mplayer Suggests: gecko-mediaplayer Homepage: http://code.google.com/p/gnome-mplayer Priority: optional Section: video Filename: pool/main/g/gnome-mplayer/gnome-mplayer_1.0.6-1_armhf.deb Size: 461574 SHA256: e6da1b06824545fbef0301c4c07c5bbc74cd5c78c4dff6c4c289960b5c79b240 SHA1: 48321ba81267c8fef2247f7fb88133810508bde7 MD5sum: 5ea7d3ea9081d8d1b4ebcc552465d718 Description: GTK+ interface for MPlayer The power of MPlayer combined with a friendly interface for your desktop. You can play all your multimedia (audio, video, CD, DVDs, and VCDs, streams etc. with full DVD and MKV chapter support), organize, sort and create playlists, take screenshots while playing videos, be notified about media changes, retrieve cover art and more. Gnome-MPlayer fully supports subtitles giving the ability to specify preferred audio and subtitle languages. . The player can be used to play media on websites from your browser when used with Gecko Mediaplayer and is the modern replacement for mplayerplug-in (from the same author). Package: gnome-mplayer-dbg Source: gnome-mplayer Version: 1.0.6-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 293 Depends: gnome-mplayer (= 1.0.6-1) Homepage: http://code.google.com/p/gnome-mplayer Priority: extra Section: debug Filename: pool/main/g/gnome-mplayer/gnome-mplayer-dbg_1.0.6-1_armhf.deb Size: 203336 SHA256: 22854182c596d8c0794a239e491daac68b54029ffc26f5b45c239cf2fbd8086c SHA1: 0c338e9cb09650819e13c2a2a802488e27555905 MD5sum: de1e1a4b400222c00045e446065dee06 Description: GTK+ interface for MPlayer (debugging symbols) The power of MPlayer combined with a friendly interface for your desktop. You can play all your multimedia (audio, video, CD, DVDs, and VCDs, streams etc. with full DVD and MKV chapter support), organize, sort and create playlists, take screenshots while playing videos, be notified about media changes, retrieve cover art and more. Gnome-MPlayer fully supports subtitles giving the ability to specify preferred audio and subtitle languages. . The player can be used to play media on websites from your browser when used with Gecko Mediaplayer and is the modern replacement for mplayerplug-in (from the same author). . This package contains the debugging symbols. Package: gnome-mud Version: 0.11.2-1 Architecture: armhf Maintainer: Jordi Mallach Installed-Size: 1372 Depends: gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgnet2.0-0 (>= 2.0.8), libgstreamer0.10-0 (>= 0.10.0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), libpcre3 (>= 8.10), libvte9 (>= 1:0.24.0), zlib1g (>= 1:1.1.4), gconf2 (>= 2.28.1-2) Homepage: http://live.gnome.org/GnomeMud Priority: optional Section: gnome Filename: pool/main/g/gnome-mud/gnome-mud_0.11.2-1_armhf.deb Size: 325022 SHA256: f3ca5d2dec64934b1a42049762ba1e5c5e7ba109a2a3177a86fdc38acddb85d8 SHA1: 20995e840168b93c893b763ca2908858b315bb81 MD5sum: a557c0127a71121f492776702ba387b4 Description: The GNOME MUD client GNOME-Mud is a Multi-User Dungeon (MUD) client for X. It supports aliases, triggers, multiple connections, command queuing, IPv6 servers and implements more advanced MUD protocols like MSP or ZMP. It includes a plugin interface, which can be used to add your own features (for example, a graphical health monitor). It uses the GTK+ toolkit, but it can be used with any window manager. Package: gnome-nds-thumbnailer Version: 3.0.0-1 Architecture: armhf Maintainer: Laurent Bigonville Installed-Size: 51 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0) Priority: extra Section: gnome Filename: pool/main/g/gnome-nds-thumbnailer/gnome-nds-thumbnailer_3.0.0-1_armhf.deb Size: 7608 SHA256: 85406d71098d271943a4b45a631d1cf1a80c1b43497734dd65f18897b8640b41 SHA1: d3836dd3e2564d874644d0ef683bd57f050ab488 MD5sum: b3955cfcfc1286335e538b71aa5bd585 Description: Nintendo DS roms thumbnailer for GNOME gnome-nds-thumbnailer is a thumbnailer for GNOME that will make thumbnails for Nintendo DS roms by extracting their preview icon. Package: gnome-nettool Version: 3.2.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 2626 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.3), libgtop2-7 (>= 2.22.3), libpango1.0-0 (>= 1.14.0), dconf-gsettings-backend | gsettings-backend, dnsutils, finger, iputils-ping | ping, iputils-tracepath, net-tools, nmap, whois Suggests: gnome-system-tools Homepage: http://projects.gnome.org/gnome-network/ Priority: optional Section: gnome Filename: pool/main/g/gnome-nettool/gnome-nettool_3.2.0-1_armhf.deb Size: 491792 SHA256: 7b4f84fae579cab5f027fb2dffeeaa90ccff0ae69fba1ea687ee2948baae7f28 SHA1: 5dd3591e0abe8f4a7ca59a7d12851cc11ff7717f MD5sum: 2c337b6396167215e3ffef939e3991b0 Description: network information tool for GNOME GNOME Nettool is a network information tool which provides user interfaces for some of the most common command line network tools including: * ifconfig * ping * netstat * traceroute * port scanning * DNS lookup * finger * whois Package: gnome-noble-icon-theme Source: gnome-colors Version: 5.5.1-1 Installed-Size: 2564 Maintainer: GNOME-Colors Packagers Architecture: all Depends: gnome-colors-common Suggests: arc-noble, shiki-noble-theme Size: 358614 SHA256: d3db84f0e66fbba124f97757d12b8ff80d5c08a36dd5239b320dad1bd595885d SHA1: 8662a9a275fd468fea2f572146eac09b775b593f MD5sum: 9f0d7258fab26aad452c2aa752fea84e Description: purple variation of the GNOME-Colors icon theme GNOME-Colors is a set of GNOME icon themes, with some inspiration from Tango, Elementary, Discovery, Tango Generator and others. . Its goal is to create an easy way for anyone to make their desktop consistently match their moods, wallpapers, laptop colors, etc. . The icons were designed to match the Shiki-Colors GTK+ Themes and their additional artwork. . This package provides the GNOME-Noble (Purple) variation of the GNOME-Colors icon theme. Homepage: http://code.google.com/p/gnome-colors/ Tag: made-of::icons, role::data, suite::gnome, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-colors/gnome-noble-icon-theme_5.5.1-1_all.deb Package: gnome-online-accounts Version: 3.4.2-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 204 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.30.0), libgoa-1.0-0 (= 3.4.2-2), libnotify4 (>= 0.7.0), librest-0.7-0 (>= 0.7), libsoup2.4-1 (>= 2.4.0) Recommends: gnome-control-center Homepage: https://live.gnome.org/OnlineAccounts Priority: optional Section: gnome Filename: pool/main/g/gnome-online-accounts/gnome-online-accounts_3.4.2-2_armhf.deb Size: 78816 SHA256: 9ab643802d6400f98c36bd9b7708868db93b283eb0406d2436fe85d1008c33c4 SHA1: 7c996e3111f1f04991603a6a2f16c860a6aafdd9 MD5sum: 4bb430e7edf2fbf2d5f7e5e2bf91abaa Description: GNOME Online Accounts This package contains the GNOME Online Accounts service, which provides a centralized place for managing online accounts (Google, etc) for the GNOME desktop. Package: gnome-orca Version: 3.4.2-2 Installed-Size: 12215 Maintainer: Debian Accessibility Team Architecture: all Depends: python (>= 2.6), python-support (>= 0.90.0), gir1.2-gtk-3.0, gir1.2-pango-1.0, gir1.2-wnck-3.0, python-brlapi, python-cairo, python-dbus, python-gi (>= 3.0.2), python-louis (>= 1.6.2), python-pyatspi2, python-speechd, python-xdg, speech-dispatcher Recommends: gnome-mag (>= 0.12.5), wget, xbrlapi Size: 4656128 SHA256: 7012e56e7d11df68dc54483f2f14dddcc4b8121d97b3ce21e5ee34429f8620a7 SHA1: 28c5f154b86c577212e487b180b1c4643f63a3d5 MD5sum: fb5a018fed8bc97a8d1c96f9c4759efe Description: Scriptable screen reader A flexible, extensible, and powerful assistive technology that provides end-user access to applications and toolkits that support the AT-SPI (e.g., the GNOME desktop). . Orca defines a set of default behaviors (reactions to application events) and key bindings (reaction to user key presses). These default behaviors and key bindings can be overwritten on a per-application basis. Orca creates a script object for each running application, which merges both the default behaviors and key bindings, and the application specific ones. Orca provides the infrastructure to activate and deactivate scripts, as well as a host of services accessible from within the scripts. . Note: gnome-orca works without gnome-mag, you just need it for magnification. Homepage: http://live.gnome.org/Orca Python-Version: 2.6, 2.7 Tag: accessibility::screen-magnify, accessibility::screen-reader, accessibility::speech, implemented-in::python, role::program, sound::speech, suite::gnome, uitoolkit::gtk, works-with::text Section: gnome Priority: optional Filename: pool/main/g/gnome-orca/gnome-orca_3.4.2-2_all.deb Package: gnome-osd Version: 0.12.2-1.1 Installed-Size: 498 Maintainer: Adriaan Peeters Architecture: all Provides: python-gnomeosd Depends: gconf2 (>= 2.28.1-2), python (>= 2.4), python-support (>= 0.90.0), python-dbus, python-pyorbit-omg, python-pyorbit, python-gnome2, python-gtk2 Suggests: rhythmbox (>= 0.8.8), xchat (>= 2.0.6), muine, evolution, pidgin Size: 84008 SHA256: e094ed0aeccd6fdc6438b48b8f849aee6a95a7a5b65fe3a19d6d9087686e46cf SHA1: 5546af6c853ba9c785c2464a8584db3f4d181ff2 MD5sum: bb997c057384ca18b0198f6eafb77e5b Description: OSD message framework for GNOME This is an OSD (On Screen Display) GNOME component. It displays messages overlaid on your screen, using shaped windows and pango for rendering. The concept was inspired by XOSD (http://www.ignavus.net/software.html). Homepage: http://telecom.inescporto.pt/~gjc/gnome-osd/ Python-Version: 2.6, 2.7 Tag: role::program, suite::gnome Section: gnome Priority: optional Filename: pool/main/g/gnome-osd/gnome-osd_0.12.2-1.1_all.deb Package: gnome-packagekit Version: 3.4.2-2 Architecture: armhf Maintainer: Matthias Klumpp Installed-Size: 1320 Depends: gnome-packagekit-data (>= 3.4.2-2), gnome-settings-daemon (>= 3.2), packagekit (>= 0.6.18), dconf-gsettings-backend | gsettings-backend, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.0.0), libnotify4 (>= 0.7.0), libpackagekit-glib2-14, libpango1.0-0 (>= 1.14.0), libsqlite3-0 (>= 3.5.9), libupower-glib1 (>= 0.9.0), libx11-6 Conflicts: packagekit-gnome Replaces: packagekit-gnome Homepage: http://www.packagekit.org Priority: optional Section: gnome Filename: pool/main/g/gnome-packagekit/gnome-packagekit_3.4.2-2_armhf.deb Size: 430832 SHA256: 013a2143edb955ca2d8aad3c1bf522703b0c575a6df01c96a2fcafbf03c1a532 SHA1: 447910a37e1124bcfa514f1c6909c7c88f797bb4 MD5sum: ae7d6379f3d3d170c827e82f564e811f Description: Graphical distribution neutral software management tools PackageKit allows performing simple software management tasks over a DBus interface e.g. refreshing the cache, updating, installing and removing software packages or searching for multimedia codecs and file handlers. . This package contains a set of GTK+ based applications for PackageKit: - GUI for PackageKit transactions - System update tool (gpk-update-viewer) - Software installation and removal tool (gpk-application) - Repository editor - Several small helpers and prototype implementations Package: gnome-packagekit-data Source: gnome-packagekit Version: 3.4.2-2 Installed-Size: 11225 Maintainer: Matthias Klumpp Architecture: all Size: 3742662 SHA256: 6b6e0d6e91dd263ecfb67c9d44d371b7e9b7d14787c0578872fa7dac5769bb03 SHA1: 49b6a978770c48138df3024ccbeb5241ae412cc8 MD5sum: 51901d722ac5bf90bac2ce4c058fa6f7 Description: Data files for graphical distribution neutral software management tools PackageKit allows performing simple software management tasks over a DBus interface e.g. refreshing the cache, updating, installing and removing software packages or searching for multimedia codecs and file handlers. . This package contains a set of GTK+ based applications for PackageKit: - GUI for PackageKit transactions - System update tool (gpk-update-viewer) - Software installation and removal tool (gpk-application) - Repository editor - Several small helpers and prototype implementations . This package contains architecture-independent files for GNOME-PackageKit Homepage: http://www.packagekit.org Tag: role::app-data Section: gnome Priority: optional Filename: pool/main/g/gnome-packagekit/gnome-packagekit-data_3.4.2-2_all.deb Package: gnome-paint Version: 0.4.0-3 Architecture: armhf Maintainer: Aron Xu Installed-Size: 614 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0), libx11-6 Homepage: http://launchpad.net/gnome-paint/ Priority: optional Section: graphics Filename: pool/main/g/gnome-paint/gnome-paint_0.4.0-3_armhf.deb Size: 94492 SHA256: db5fd0904181d54aded8948f0a8bfca6f1809098b799ec43dbcf8836b5390da3 SHA1: 06f7497e38894bb4a8e515587735321680eb1ea6 MD5sum: 9cec85d0634b20d7fdf06dd81cfd7090 Description: simple, easy to use paint program for GNOME gnome-paint is a program inspired by MS Paint and designed for GNOME (and maybe other) desktop environment. It could be used to manipulate images in a very simple way. With a very friendly user interface, gnome-paint is easy to get started for new users. Package: gnome-panel Version: 3.4.2.1-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1251 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libdconf0 (>= 0.7), libebook-1.2-13 (>= 3.4.4), libecal-1.2-11 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libedataserverui-3.0-1 (>= 3.4.4), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.25.2), libglib2.0-0 (>= 2.31.18), libgnome-desktop-3-2 (>= 3.2.0), libgnome-menu-3-0 (>= 3.2.0.1), libgtk-3-0 (>= 3.3.16), libgweather-3-0 (>= 3.0.0), libical0 (>= 0.31), libice6 (>= 1:1.0.0), libpanel-applet-4-0 (>= 3.4.1), libpango1.0-0 (>= 1.18.0), librsvg2-2 (>= 2.32.0), libsm6, libsoup2.4-1 (>= 2.4.0), libtelepathy-glib0 (>= 0.14.0), libwnck-3-0 (>= 2.91.6), libx11-6, libxau6, libxml2 (>= 2.6.27), libxrandr2 (>= 2:1.2.99.3), gnome-icon-theme-symbolic (>= 3.0.0), gnome-panel-data (= 3.4.2.1-4), gnome-menus (>= 3.1.4) Recommends: gnome-applets, gnome-session (>= 2.26), gnome-session-fallback, gnome-control-center, evolution-data-server, gnome-icon-theme (>= 2.24), alacarte, gvfs Suggests: yelp, gnome-user-guide, gnome-terminal | x-terminal-emulator, nautilus Breaks: gnome-applets (<< 2.91), gnome-control-center (<< 1:2.91), gnome-power-manager (<< 2.26), gnome-session (<< 2.26), gnome-settings-daemon (<< 2.91), libpanel-applet2-0, netspeed (<< 0.16-2) Replaces: gnome-panel-data (<< 2.91) Priority: optional Section: gnome Filename: pool/main/g/gnome-panel/gnome-panel_3.4.2.1-4_armhf.deb Size: 527608 SHA256: ea92786feaf77f46613b4834def94f46062de37b8649c4949d00e802c0a57d52 SHA1: 9437fc7e8480e0db01bdab5aaece03c336b44ce7 MD5sum: e22b87732563736dc147f9ff8a965965 Description: launcher and docking facility for GNOME The GNOME Panel is an essential part of the GNOME Desktop, providing toolbar-like “panels” which can be attached to the sides of your desktop. They are used to launch applications and embed a number of other functions, such as quick launch icons, the clock, the notification area, volume controls and the battery charge indicator, and utilities ranging from weather forecast to system monitoring. Package: gnome-panel-control Source: openbox Version: 3.5.0-7+rpi1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 79 Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6 Breaks: openbox (<< 3.4.11.2) Replaces: openbox (<< 3.4.11.2) Homepage: http://www.openbox.org Priority: optional Section: x11 Filename: pool/main/o/openbox/gnome-panel-control_3.5.0-7+rpi1_armhf.deb Size: 41124 SHA256: 423ac04b9ffd010be0d4ce204e2800e54af4b39edda59eafe99039dd7d212bfc SHA1: c91ab83337b272a2ee746a8dc815475d2c5580e2 MD5sum: d81bad7774640cd129e424d6df0e95e3 Description: command line utility to invoke GNOME panel run dialog/menu gnome-panel-control can be used to invoke the GNOME panel run or main menu from the command line. This is originally a helper utility of openbox since gnome-panel is no longer managing its own key bindings. Package: gnome-panel-data Source: gnome-panel Version: 3.4.2.1-4 Installed-Size: 10574 Maintainer: Debian GNOME Maintainers Architecture: all Depends: dconf-gsettings-backend | gsettings-backend, gconf2 (>= 2.28.1-2) Recommends: gnome-panel Size: 1423584 SHA256: 82256d9024c931daddbc9a7d1019f2f36f4106f94692334d11140e8cd313f442 SHA1: 43a6be0010d0a6c6556e4b1264ce2082c0eca1df MD5sum: b4b26372506d1bfff7448d422dbbc751 Description: common files for the GNOME Panel This package includes some files that are needed by the GNOME Panel (Pixmaps, .desktop files and internationalization files). Tag: interface::x11, role::app-data, suite::gnome, uitoolkit::gtk, x11::applet Section: gnome Priority: optional Filename: pool/main/g/gnome-panel/gnome-panel-data_3.4.2.1-4_all.deb Package: gnome-panel-dbg Source: gnome-panel Version: 3.4.2.1-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 2795 Depends: gnome-panel (= 3.4.2.1-4), libpanel-applet-4-0 (= 3.4.2.1-4) Priority: extra Section: debug Filename: pool/main/g/gnome-panel/gnome-panel-dbg_3.4.2.1-4_armhf.deb Size: 889360 SHA256: ed3ccd7f604ffbefcad7cf324d1c35d1f3b55e0324a418c8cbdbcb3f00cb899c SHA1: d9fbedb316ec4179874f67ef75f91e89646a63b3 MD5sum: 9c1ec2d32f10665ee5a2d7d49e2bc032 Description: GNOME Panel and library for panel applets - debugging symbols This package contains debugging symbols for gnome-panel and the libpanel-applet library. It is provided to help debugging problem in the panel itself or in the library. The debugging symbols are installed in /usr/lib/debug and will automatically be used by gdb. Package: gnome-phone-manager Source: gnome-phone-manager (0.68-3) Version: 0.68-3+b1 Architecture: armhf Maintainer: Debian Bluetooth Maintainers Installed-Size: 1042 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libebook-1.2-13 (>= 3.4.3), libedataserver-1.2-16 (>= 3.4.3), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnokii6, libgnome-bluetooth10 (>= 2.91.2), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libtelepathy-glib0 (>= 0.11.13), libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2), evolution-data-server, hicolor-icon-theme, bluetooth Recommends: gnome-bluetooth Homepage: http://live.gnome.org/PhoneManager Priority: extra Section: gnome Filename: pool/main/g/gnome-phone-manager/gnome-phone-manager_0.68-3+b1_armhf.deb Size: 208852 SHA256: ee4101c70e255b67a721c97dc928eee6bdc7c1db15abbfcdc8515446f5fb3195 SHA1: 96af6ba2f29af9e0819cbbdbb0c457090634cf42 MD5sum: 013aee5e4de6502f2fe04551deebbef1 Description: control aspects of your mobile phone from your GNOME 2 desktop Phone Manager is a program created to allow you to control aspects of your mobile phone from your GNOME 2 desktop. . Current features include: . - Runs in the background; indicates status on the panel notification area. - Display on-screen alert when text message (SMS) arrives - Text message (SMS) sending facility - Evolution Addressbook integration Package: gnome-photo-printer Version: 0.7.0-1.2 Architecture: armhf Maintainer: Michael Schlueter Installed-Size: 248 Depends: gconf-service, libart-2.0-2 (>= 2.3.17), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeprint2.2-0 (>= 2.17.0), libgnomeprintui2.2-0 (>= 2.17.0), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Priority: optional Section: gnome Filename: pool/main/g/gnome-photo-printer/gnome-photo-printer_0.7.0-1.2_armhf.deb Size: 42442 SHA256: 5bf2f84971b3a63db5fed27af0be5d5d50af6519019f4d5db326aeb970718ba6 SHA1: 1f4cb9285db7b1bea90053ccb9440f318f13ab6f MD5sum: 0efec3e0277454215dbe31e684b02c8c Description: tool for Gnome to print several photos on one page Gnome Photo Printer is intended for printing photos in an easy way. Just drag your Photos from Nautilus to the Gnome Photo Printer window and drop it. Make some selections like Photo or Paper size, hit Preview or Print, and see your pictures printed. Package: gnome-pie Version: 0.5.3-1 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 1118 Depends: libatk1.0-0 (>= 1.12.4), libbamf3-0 (>= 0.2.20), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libgnome-menu-3-0 (>= 3.2.0.1), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.18.0), libunique-3.0-0 (>= 2.90.1), libwnck-3-0 (>= 2.91.6), libx11-6, libxml2 (>= 2.7.4), libxtst6 Homepage: http://gnome-pie.simonschneegans.de/ Priority: optional Section: gnome Filename: pool/main/g/gnome-pie/gnome-pie_0.5.3-1_armhf.deb Size: 262024 SHA256: 8b199711ab6afd6d239b0d8c2cdaeb09cf34bffe59f9fb35ffc5e1d0b3e1de01 SHA1: fd2e4a3517a80f867376f099402490d656d1d04d MD5sum: 4f323181c02dac94b38544244f48bf7f Description: visual application launcher for GNOME gnome-pie is a radial visual application launcher for GNOME. It allows the user to bind groups of frequently used applications to a ring that appears when a hot key / mouse binding is triggered. . It was inspired by the OPie addon written for the game World of Warcraft. Package: gnome-pkg-tools Version: 0.19.3 Installed-Size: 122 Maintainer: Debian GNOME Maintainers Architecture: all Depends: perl Recommends: svn-buildpackage Size: 28482 SHA256: 63a76891e67d5cdb97df7f5de44c5fc89111205b255d343014c5fc93f85ab3eb SHA1: 739bca5688934ae540bc85446b3f065593c190ad MD5sum: 0b2d48416b5d207e6b72b6740fffa19b Description: Tools for the Debian GNOME Packaging Team This package contains some useful tools for the Debian GNOME Packaging Team including: * Documentation. * The list of team members. * A number of rules files for CDBS that are helpful for GNOME packages - but may also be useful for others. Multi-Arch: foreign Tag: devel::packaging, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::gnome, works-with::software:source Section: devel Priority: optional Filename: pool/main/g/gnome-pkg-tools/gnome-pkg-tools_0.19.3_all.deb Package: gnome-platform-devel Source: meta-gnome3 Version: 1:3.4+7+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 43 Depends: libatk1.0-dev (>= 2.4), libclutter-1.0-dev (>= 1.10), libclutter-gtk-1.0-dev (>= 1.2), libcogl-dev (>= 1.10), libgdk-pixbuf2.0-dev (>= 2.26), libglib2.0-dev (>= 2.32), gnome-doc-utils (>= 0.20.10), libgstreamer0.10-dev (>= 0.10.36), libgtk2.0-dev (>= 2.24), libgail-dev (>= 2.24), libgtk-3-dev (>= 3.4), libgail-3-dev (>= 3.4), gtk-doc-tools (>= 1.18), libgtksourceview-3.0-dev (>= 3.4), libpango1.0-dev (>= 1.30) Recommends: gnome-api-docs Priority: optional Section: devel Filename: pool/main/m/meta-gnome3/gnome-platform-devel_3.4+7+deb7u1_armhf.deb Size: 20176 SHA256: 0986a3b26022ac410404f90804252c5e96ecb5d06f1338bc0f21d07c1c0a8e05 SHA1: e0d45a6ff95c3ec7c3f630e35d1a9b8de2817e44 MD5sum: 8d5dbf4df78c01b4bbca70b27ed53f35 Description: GNOME development platform These are the development components that are part of the development “platform” for the GNOME Desktop environment, an intuitive and attractive desktop. . This meta-package depends on the recommended components to develop and build applications using GNOME technologies. Most of these components have long-term API stability guarantees. Package: gnome-power-manager Version: 3.4.0-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 2639 Pre-Depends: dpkg (>= 1.15.7.2) Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.18), libgtk-3-0 (>= 3.3.16), libpango1.0-0 (>= 1.18.0), libupower-glib1 (>= 0.9.1), dconf-gsettings-backend | gsettings-backend, notification-daemon, dbus-x11, consolekit, upower, gnome-settings-daemon (>= 3.2) Suggests: policykit-1 Breaks: gnome-session (<< 2.28) Homepage: http://www.gnome.org/projects/gnome-power-manager/ Priority: optional Section: gnome Filename: pool/main/g/gnome-power-manager/gnome-power-manager_3.4.0-2_armhf.deb Size: 629816 SHA256: e56b6c451901c92427ca02c48d8668c5510f45b6b832fccaab1c9c58faabcdeb SHA1: 3ca5c067a18a032639ad943709a808a1e0e7f5ba MD5sum: b22676ec3d50a502a4b8f29d715818f9 Description: power management tool for the GNOME desktop GNOME Power Manager is a session daemon for the GNOME desktop that takes care of system or desktop events related to power, and triggers actions accordingly. Its philosophy is to completely hide these complex tasks and only show some settings important to the user. . GNOME power manager displays and manages battery status, power plug events, display brightness, CPU, graphics card and hard disk drive power saving, and can trigger suspend-to-RAM, hibernate or shutdown events, all integrated to other components of the GNOME desktop. Package: gnome-ppp Version: 0.3.23-1.2 Architecture: armhf Maintainer: Zak B. Elep Installed-Size: 516 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxml2 (>= 2.6.27), wvdial Conflicts: resolvconf Priority: optional Section: net Filename: pool/main/g/gnome-ppp/gnome-ppp_0.3.23-1.2_armhf.deb Size: 84444 SHA256: 17d4ca75f28a170652b1ee957d996aaef825b5b829223d98fc6d47535072d9c5 SHA1: 025313bb30315f3775151777a857d534c16ad94d MD5sum: 6b1049d868b9de513b4dc26e0c36bcf1 Description: modem internet connection tool for the GNOME Desktop GNOME PPP is an easy to use graphical dialup connection configuring and dialing tool with system tray icon support. . It uses GNOME/GTK+ for its graphical interface and integrates well in GNOME desktop environment, but it can be used in other environments. . It also uses WvDial dialer as its backend, providing simple configuration via config files. You can also use plain wvdial if you don't have X running. . Homepage: http://www.gnome-ppp.org/ Package: gnome-rdp Version: 0.3.0.9-3 Installed-Size: 247 Maintainer: Debian CLI Applications Team Architecture: all Depends: gnome-terminal, libgtk2.0-0, openssh-client | xtightvncviewer | rdesktop, mono-runtime (>= 2.10.1), libglib2.0-cil (>= 2.12.10), libgnome-keyring1.0-cil (>= 1.0.0), libgtk2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-sqlite4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-data4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.1) Size: 76342 SHA256: bb878a958cc1a9f40878c98e1e7655292dc66008694a2fea05283ec442103973 SHA1: 50a35046dc8ca11a8218458a44ee862870e27ae5 MD5sum: 30ab8c2d68d44d226a6dcfb83ce48507 Description: remote desktop client for GNOME gnome-rdp is a Remote Desktop client for GNOME. It supports RDP, VNC and SSH protocols. It is also possible to configure and save sessions to have a faster access. Homepage: http://gnome-rdp.sourceforge.net Tag: implemented-in::c-sharp, interface::x11, network::client, protocol::ssh, role::program, scope::application, uitoolkit::gtk, x11::application Section: gnome Priority: optional Filename: pool/main/g/gnome-rdp/gnome-rdp_0.3.0.9-3_all.deb Package: gnome-schedule Version: 2.1.1-4 Installed-Size: 2684 Maintainer: Alejandro Rios P. Architecture: all Depends: python, python-support (>= 0.90.0), python-gtk2, at, anacron, python-gnome2, python-glade2 Size: 1127952 SHA256: e0bc87c042ff1cd90b8bf17f14f53d9d3315902f26b20e5807b8eaf9a1fb1311 SHA1: 38acc5b091c3f5a45c8859505fec3097384ce1a8 MD5sum: e67948e4bb751d183fe6fee620016728 Description: GNOME scheduler for automatic tasks GNOME GUI for configuring a users' cron (automatic jobs). . Some of its features are: * Templates support so that you won't have to create the same task again and again. * If run as root, you can edit any user's crontab and "at" tasks. * Human-readable strings like "Every hour" instead of "0 * * * *". * Advanced mode for crontab experts. * A calendar allows you to choose the day you want a task executed. Homepage: http://gnome-schedule.sf.net/ Tag: implemented-in::python, interface::x11, role::program, scope::utility, suite::gnome, uitoolkit::gtk, use::configuring Section: gnome Priority: optional Filename: pool/main/g/gnome-schedule/gnome-schedule_2.1.1-4_all.deb Package: gnome-screensaver Version: 3.4.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1874 Pre-Depends: dpkg (>= 1.15.7.2) Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome-desktop-3-2 (>= 3.2.0), libgnomekbd7 (>= 2.91.91), libgtk-3-0 (>= 3.0.0), libpam0g (>= 0.99.7.1), libx11-6, libxext6, libxklavier16 (>= 5.0), libxxf86vm1, dbus-x11, gnome-icon-theme (>= 2.15.90), gnome-session-bin (>= 3.0), gsettings-desktop-schemas (>= 2.91.92) Recommends: gnome-power-manager, libpam-gnome-keyring Homepage: http://live.gnome.org/GnomeScreensaver Priority: optional Section: gnome Filename: pool/main/g/gnome-screensaver/gnome-screensaver_3.4.1-1_armhf.deb Size: 358462 SHA256: 03fc9f676b70fd56d789bbe961c5abf94d0bf5242b983533b5b42faa6c9d5245 SHA1: 60f0809657faa353e0c04476ef961aa7f4e11c8a MD5sum: 3ce591cec69c45678f937cc2200dfab1 Description: GNOME screen saver and locker gnome-screensaver is a screen saver and locker that aims to have simple, sane and secure defaults, and be well integrated with the GNOME desktop. . It is designed to support, among other things: . * the ability to lock down configuration settings * translation into other languages * user switching Package: gnome-screensaver-flags Version: 0.1-1 Installed-Size: 8056 Maintainer: Tomasz Muras Architecture: all Depends: gnome-screensaver Size: 7366210 SHA256: cde1e741b4d06b426696ff659e0ee562fc57fe24842c0a3d6323456013477aff SHA1: 5887bb4cf6a8185fc682796e8f84a07c2a0e8c5c MD5sum: 3878153a46968d818bc24a3c6f3751f6 Description: Screensaver for GNOME with flags of the world. Screensaver contains about 250 static images with flags of the world. Homepage: http://techblog.zabuchy.net Tag: x11::screensaver Section: gnome Priority: optional Filename: pool/main/g/gnome-screensaver-flags/gnome-screensaver-flags_0.1-1_all.deb Package: gnome-screenshot Version: 3.4.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1371 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libgdk-pixbuf2.0-0 (>= 2.23.0), libglib2.0-0 (>= 2.31.0), libgtk-3-0 (>= 3.1.6), libx11-6, libxext6, dconf-gsettings-backend | gsettings-backend Breaks: gnome-utils (<< 2.30.0-2) Replaces: gnome-utils (<< 2.30.0-2) Homepage: http://live.gnome.org/GnomeUtils Priority: optional Section: gnome Filename: pool/main/g/gnome-screenshot/gnome-screenshot_3.4.1-1_armhf.deb Size: 170070 SHA256: 70a476c2e96dec56f1f03fa992c4009c1474394c7b475351c623671331a6f098 SHA1: 3160769ce1474343d579d3328ae00e8e8dfe3fa2 MD5sum: 0a748019531f788ac7f9ab753dbede7e Description: screenshot application for GNOME This tool takes a picture of the desktop or of a window and saves it into a file. Package: gnome-search-tool Version: 3.4.0-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 4478 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libice6 (>= 1:1.0.0), libsm6, gconf2 (>= 2.28.1-2) Suggests: yelp Breaks: gnome-utils (<< 2.30.0-2) Replaces: gnome-utils (<< 2.30.0-2) Homepage: http://live.gnome.org/GnomeUtils Priority: optional Section: gnome Filename: pool/main/g/gnome-search-tool/gnome-search-tool_3.4.0-2_armhf.deb Size: 956240 SHA256: c642a1e16e717feb0308a3a6a88ccdd53eac985a2009d038d6d261afadea6478 SHA1: 2cc25cbe89defd08c75a77f174f05517b854759e MD5sum: ca009cf73595e5c76ba32197ad81e322 Description: GNOME tool to search files This application can search for files in a given directory, matching their names or contents. . It doesn't require any indexation tool, and as such is lightweight but slow. Package: gnome-session Version: 3.4.2.1-4 Installed-Size: 221 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: gnome-session-bin (<< 3.0), gnome3-session Provides: gnome3-session, x-session-manager Depends: gnome-settings-daemon (>= 3.0), gnome-shell (>= 3.0), gnome-session-bin (>= 3.4.2.1-4), gnome-session-bin (<< 3.5), gnome-session-common (= 3.4.2.1-4) Recommends: gnome-session-fallback, gnome-power-manager Suggests: gnome-user-guide, desktop-base, gnome-keyring Conflicts: gnome3-session Breaks: bug-buddy (<< 2.20), gnome-power-manager (<< 2.28), xserver-xorg (<< 1:7.4) Size: 136160 SHA256: 3e5bb7c9f541db2e8a0adf5acc93332b62577003e2fa532098f5c04e63df3951 SHA1: 012e4e5013d5d24e8e3d1dfd365df1fcf3e50a92 MD5sum: 3ff12a1aefb92fe305f8db383ab3c3b5 Description: GNOME Session Manager - GNOME 3 session The GNOME Session Manager is in charge of starting the core components of the GNOME desktop, and applications that should be launched at login time. It also features a way to save and restore currently running applications. . This package contains the required components for the standard GNOME 3 session, based on the GNOME Shell. It can be started from a display manager such as GDM, and requires 3D acceleration to work properly. Tag: interface::daemon, interface::x11, network::server, role::program, suite::gnome, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/g/gnome-session/gnome-session_3.4.2.1-4_all.deb Package: gnome-session-bin Source: gnome-session Version: 3.4.2.1-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 489 Depends: gconf-service, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libice6 (>= 1:1.0.0), libjson-glib-1.0-0 (>= 0.12.0), libnotify4 (>= 0.7.0), libsm6, libupower-glib1 (>= 0.9.0), libx11-6, libxau6, libxcomposite1 (>= 1:0.3-1), libxext6, libxrender1, libxtst6, dconf-gsettings-backend | gsettings-backend, dbus-x11, gsettings-desktop-schemas, upower (>= 0.9.0) Conflicts: gnome-session (<< 2.26.1-5) Priority: optional Section: gnome Filename: pool/main/g/gnome-session/gnome-session-bin_3.4.2.1-4_armhf.deb Size: 214716 SHA256: ddbcc3a73ce5dec650e4562766becd47cb8ad8ac8b6f5cd43951445ac617d854 SHA1: a1e4f778de434a39b7a74ca522eb03d76f4f049c MD5sum: 9f26c6f417270b19f3bd7ce528a0e971 Description: GNOME Session Manager - Minimal runtime The GNOME Session Manager is in charge of starting the core components of the GNOME desktop, and applications that should be launched at login time. It also features a way to save and restore currently running applications. . This package contains the binaries for the GNOME Session Manager, but no startup scripts. It is meant for those willing to start gnome-session by hand with the components of their choice, and for applications such as GDM that use gnome-session internally. Package: gnome-session-canberra Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 79 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libcanberra-gtk0 Breaks: libcanberra-gtk0 (<< 0.28-5) Replaces: libcanberra-gtk0 (<< 0.28-5) Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: optional Section: gnome Filename: pool/main/libc/libcanberra/gnome-session-canberra_0.28-6_armhf.deb Size: 13216 SHA256: b8ebfaeb86f986ec41c8431d816f1ab107160815de056dda0de0dddc4889802c SHA1: b433f886a0e8188496d20aaec071e104b55968f1 MD5sum: a77e1a814a906b7f803c6abd509bc20f Description: GNOME session log in and log out sound events Produce sound events on GNOME session log in and log out. . This package is using canberra-gtk-play from libcanberra. Package: gnome-session-common Source: gnome-session Version: 3.4.2.1-4 Installed-Size: 1853 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: gnome-session (<< 3.0), gnome-session-bin (<< 3.0), libgnomevfs2-common (<< 1:2.24.0-3) Size: 274026 SHA256: 253e1eb02f4ba9c5d655c30975018c6c5809d9e35a9ec229cba1380d5b57ae0d SHA1: 8297d2f13588c5e2dd286615ab6a6bc989c0816b MD5sum: e4a8c1ff228763422065e8daf9921320 Description: GNOME Session Manager - common files The GNOME Session Manager is in charge of starting the core components of the GNOME desktop, and applications that should be launched at login time. It also features a way to save and restore currently running applications. . This package contains the translations, data files and startup scripts which are common to the GNOME and GNOME fallback sessions. Tag: role::app-data, suite::gnome Section: gnome Priority: optional Filename: pool/main/g/gnome-session/gnome-session-common_3.4.2.1-4_all.deb Package: gnome-session-fallback Source: gnome-session Version: 3.4.2.1-4 Installed-Size: 178 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: gnome-session (<< 3.0), gnome-session-bin (<< 3.0) Provides: x-session-manager Depends: gnome-settings-daemon (>= 3.0), gnome-panel (>= 3.0), metacity (>= 2.30), notification-daemon (>= 0.7), policykit-1-gnome, gnome-session-bin (>= 3.4.2.1-4), gnome-session-bin (<< 3.5), gnome-session-common (= 3.4.2.1-4) Recommends: gnome-power-manager Suggests: gnome-user-guide, desktop-base, gnome-keyring Size: 131134 SHA256: 767afb9a8095817fa408febee513e8a8af3c95f3c534d2ac41a8fbad17755bb1 SHA1: 89e1e3d22b053578eecb8f6d6d2f1851c6e9d135 MD5sum: 8bd1c4bbf14eb8c9185f5586db01418c Description: GNOME Session Manager - GNOME fallback session The GNOME Session Manager is in charge of starting the core components of the GNOME desktop, and applications that should be launched at login time. It also features a way to save and restore currently running applications. . This package contains the required components for the GNOME 3 fallback session, based on the GNOME Panel. It can be started from a display manager such as GDM, and doesn’t have specific hardware requirements. Tag: suite::gnome, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/g/gnome-session/gnome-session-fallback_3.4.2.1-4_all.deb Package: gnome-settings-daemon Version: 3.4.2+git20121218.7c1322-3+deb7u3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 6222 Pre-Depends: dpkg (>= 1.15.7.2) Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libcolord1 (>= 0.1.12), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libdbus-glib-1-2 (>= 0.88), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome-desktop-3-2 (>= 3.4.0), libgnomekbd7 (>= 2.91.90), libgnutls26 (>= 2.12.17-0), libgssapi-krb5-2 (>= 1.6.dfsg.2), libgtk-3-0 (>= 3.3.18), libgudev-1.0-0 (>= 146), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), liblcms2-2, libnotify4 (>= 0.7.3), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libpackagekit-glib2-14, libpango1.0-0 (>= 1.14.0), libpolkit-gobject-1-0 (>= 0.99), libpulse-mainloop-glib0 (>= 0.99.1), libpulse0 (>= 0.99.1), libsqlite3-0 (>= 3.5.9), libupower-glib1 (>= 0.9.9), libwacom2 (>= 0.6), libx11-6, libxfixes3 (>= 1:4.0.1), libxi6 (>= 2:1.2.99.4), libxklavier16 (>= 5.0), libxtst6, zlib1g (>= 1:1.1.4), dconf-gsettings-backend | gsettings-backend, gsettings-desktop-schemas (>= 3.3.0), nautilus-data (>= 2.91.3-1) Recommends: pulseaudio Suggests: x11-xserver-utils, gnome-screensaver, metacity | x-window-manager Breaks: gdm3 (<< 3.0), gnome-color-manager (<< 3.0), gnome-screensaver (<< 2.28.0), gnome-session (<< 2.24), gnome-shell (<< 3.4) Priority: optional Section: gnome Filename: pool/main/g/gnome-settings-daemon/gnome-settings-daemon_3.4.2+git20121218.7c1322-3+deb7u3_armhf.deb Size: 887942 SHA256: fcb3e0f31f7348f1f91612cfa14fc66725b2a3a72203cd277db939df0e562ffa SHA1: 10f9e251976485122f6edf5d65765e2b263f703b MD5sum: 37d54be444cc2329306fc2f55de19f40 Description: daemon handling the GNOME session settings This package contains the daemon which is responsible for setting the various parameters of a GNOME session and the applications that run under it. It handles the following kinds of settings: . * Keyboard: layout, accessibility options, shortcuts, media keys * Clipboard management * Theming: background, icons, GTK+ applications * Cleanup of unused files * Mouse: cursors, speed, accessibility options * Startup of other daemons: screensaver, sound daemon * Typing break . It also sets various application settings through X resources and freedesktop.org XSETTINGS. Package: gnome-settings-daemon-dev Source: gnome-settings-daemon Version: 3.4.2+git20121218.7c1322-3+deb7u3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 117 Depends: libglib2.0-dev (>= 2.31.0) Priority: optional Section: libdevel Filename: pool/main/g/gnome-settings-daemon/gnome-settings-daemon-dev_3.4.2+git20121218.7c1322-3+deb7u3_armhf.deb Size: 69614 SHA256: cc209da0587dae263e8bf2a1c99dc6e8051c536e37f2984d9e646494c7b9e1f4 SHA1: e43f8a90d71edd1cb78bee9585154965f58ed61c MD5sum: e7ba2ddf87f0c3e057a3882d508896b4 Description: Headers for building applications communicating with gnome-settings-daemon This package contains header files required to build applications that communicate with the GNOME settings daemon over D-Bus. Package: gnome-sharp2 Version: 2.24.2-3 Installed-Size: 143 Maintainer: Debian CLI Libraries Team Architecture: all Depends: gnome-sharp2-examples (= 2.24.2-3), libart2.0-cil-dev (= 2.24.2-3), libgconf2.0-cil-dev (= 2.24.2-3), libgnome-vfs2.0-cil-dev (= 2.24.2-3), libgnome2.0-cil-dev (= 2.24.2-3) Size: 122654 SHA256: 29d13380891414c9b7d8712a8e5476bb52ab6673d7fd6624fd09c35adc05698e SHA1: d9a77add070818a2173d190a6f32e5fa957b0769 MD5sum: e4c04c3066f9f5f315483674ab89dca5 Description: GNOME# 2.24 suite, CLI bindings for GNOME GNOME# 2.24 is a CLI (.NET) language binding for the GNOME 2.24 platform libraries. . gnome-sharp2 is a metapackage containing dependencies for the GNOME# 2.24 suite. Homepage: http://www.mono-project.com/GtkSharp Tag: role::metapackage Section: cli-mono Priority: optional Filename: pool/main/g/gnome-sharp2/gnome-sharp2_2.24.2-3_all.deb Package: gnome-sharp2-examples Source: gnome-sharp2 Version: 2.24.2-3 Installed-Size: 226 Maintainer: Debian CLI Libraries Team Architecture: all Depends: mono-runtime (>= 2.10.1), libglib2.0-0 (>= 2.32.3), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgnome-vfs2.0-cil (>= 2.24.0), libgnome2.24-cil (>= 2.24.0), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-corlib4.0-cil (>= 2.10.1) Size: 148522 SHA256: b9d559eeabb90a475b6a0c26a9936dcaece6894ebc25e78ffa0ebfa29476919a SHA1: 5c949e6c1fa5eafdf3c6a9def3ed749be7931992 MD5sum: 890c2e6924bbf8eb3ef7601466b0b352 Description: sample applications for GNOME# 2.24 GNOME# 2.24 is a CLI (.NET) language binding for the GNOME 2.24 platform libraries. . This package contains some example programs that are distributed with the GTK# assemblies, including source to build them and learn from them. Homepage: http://www.mono-project.com/GtkSharp Tag: devel::ecma-cli, devel::examples, devel::lang:c-sharp, implemented-in::c-sharp, role::documentation, uitoolkit::gtk Section: cli-mono Priority: optional Filename: pool/main/g/gnome-sharp2/gnome-sharp2-examples_2.24.2-3_all.deb Package: gnome-shell Version: 3.4.2-7+deb7u2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 723 Depends: gir1.2-atk-1.0, gir1.2-clutter-1.0 (>= 1.9.16), gir1.2-cogl-1.0, gir1.2-coglpango-1.0, gir1.2-folks-0.6, gir1.2-freedesktop, gir1.2-gdesktopenums-3.0, gir1.2-gdkpixbuf-2.0, gir1.2-gee-1.0, gir1.2-glib-2.0 (>= 1.31), gir1.2-gmenu-3.0, gir1.2-gtk-3.0, gir1.2-json-1.0, gir1.2-mutter-3.0 (>= 3.4.1), gir1.2-networkmanager-1.0, gir1.2-pango-1.0, gir1.2-soup-2.4, gir1.2-telepathyglib-0.12, gir1.2-telepathylogger-0.2, dconf-gsettings-backend | gsettings-backend, gconf-service, gnome-bluetooth (>= 3.0.0), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libcanberra0 (>= 0.2), libclutter-1.0-0 (>= 1.10.0), libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.7.4), libcroco3 (>= 0.6.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdrm2 (>= 2.3.1), libebook-1.2-13 (>= 3.4.4), libecal-1.2-11 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libedataserverui-3.0-1 (>= 3.4.4), libffi5 (>= 3.0.9), libfolks25 (>= 0.6.0), libgcc1 (>= 1:4.4.0), libgck-1-0 (>= 2.91.1), libgconf-2-4 (>= 2.31.1), libgcr-3-1 (>= 3.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libgirepository-1.0-1 (>= 0.9.2), libgjs0-libmozjs185-1.0, libgjs0b (>= 1.32.0-3), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.31.8), libgnome-keyring0 (>= 3.2.2-2~), libgnome-menu-3-0 (>= 3.4.2-3~), libgstreamer0.10-0 (>= 0.10.20), libgtk-3-0 (>= 3.3.9), libical0 (>= 0.31), libjson-glib-1.0-0 (>= 0.13.2), libmozjs185-1.0 (>= 1.8.5-1.0.0+dfsg), libmutter0 (>= 3.4), libmutter0 (<< 3.5), libnm-glib4 (>= 0.8.998), libnm-util2 (>= 0.8.998), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libp11-kit0 (>= 0.2), libpango1.0-0 (>= 1.14.0), libpolkit-agent-1-0 (>= 0.99), libpolkit-gobject-1-0 (>= 0.94), libpulse-mainloop-glib0 (>= 0.99.1), libpulse0 (>= 0.99.1), libsoup2.4-1 (>= 2.4.0), libstartup-notification0 (>= 0.11), libtelepathy-glib0 (>= 0.17.5), libtelepathy-logger2 (>= 0.2.0), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3 (>= 1:5.0), libxi6, libxml2 (>= 2.7.4), gnome-shell-common (= 3.4.2-7+deb7u2), gir1.2-accountsservice-1.0, gir1.2-caribou-1.0, gir1.2-gcr-3, gir1.2-gconf-2.0, gir1.2-gkbd-3.0, gir1.2-gnomebluetooth-1.0, gir1.2-polkit-1.0, gir1.2-upowerglib-1.0, gjs (>= 1.30), gnome-icon-theme-symbolic (>= 2.91), gnome-settings-daemon (>= 3.4.0), gnome-themes-standard, gsettings-desktop-schemas (>= 3.3.2), python (>= 2.6), telepathy-mission-control-5 Recommends: gnome-contacts, gnome-control-center, gnome-session-fallback, gnome-user-guide, unzip, gkbd-capplet Breaks: fglrx-driver (<< 1:11-10), gnome-control-center (<< 1:3.0), gnome-session (<< 3.0), gnome-tweak-tool (<< 3.3) Homepage: http://live.gnome.org/GnomeShell Priority: optional Section: gnome Filename: pool/main/g/gnome-shell/gnome-shell_3.4.2-7+deb7u2_armhf.deb Size: 237302 SHA256: a21bd193c336f35eb3abeed27938db3f3974909857f47d9e106f6dfcce3d8210 SHA1: 637f7c31012cbedb6d99aa4cace75b858c0c61a4 MD5sum: bea7dd5483e530c6330c39e7ebf2be7b Description: graphical shell for the GNOME desktop The GNOME Shell redefines user interactions with the GNOME desktop. In particular, it offers new paradigms for launching applications, accessing documents, and organizing open windows in GNOME. Later, it will introduce a new applets eco-system and offer new solutions for other desktop features, such as notifications and contacts management. The GNOME Shell is intended to replace functions handled by the GNOME Panel and by the window manager in previous versions of GNOME. The GNOME Shell has rich visual effects enabled by new graphical technologies. Package: gnome-shell-common Source: gnome-shell Version: 3.4.2-7+deb7u2 Installed-Size: 5348 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: gnome-shell (<< 3.2.1-6) Depends: dconf-gsettings-backend | gsettings-backend Breaks: gnome-shell (<< 3.2.1-6), gnome-tweak-tool (<< 3.3) Size: 665320 SHA256: 96cb4a3a3560c765822588b8098d2a1c532adc4189d14248a13debbc83b4f9ec SHA1: 56525f78ff256c52086e30990373590899ea141a MD5sum: 24a6d5d40be20f7d532292c69c151985 Description: common files for the GNOME graphical shell This package contains translations and data files for the GNOME shell. Homepage: http://live.gnome.org/GnomeShell Section: gnome Priority: optional Filename: pool/main/g/gnome-shell/gnome-shell-common_3.4.2-7+deb7u2_all.deb Package: gnome-shell-dbg Source: gnome-shell Version: 3.4.2-7+deb7u2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1830 Depends: gnome-shell (= 3.4.2-7+deb7u2) Recommends: mutter-dbg Homepage: http://live.gnome.org/GnomeShell Priority: extra Section: debug Filename: pool/main/g/gnome-shell/gnome-shell-dbg_3.4.2-7+deb7u2_armhf.deb Size: 537024 SHA256: 6b2144f95f4b9f2485716823d43244d349e32be60326b613c0e1af7864de97f3 SHA1: 41da513f3cb46d2ba07964ddd27cf4c98f8bb763 MD5sum: 1e12537fb886e23f5bda2c9c83708659 Description: Debugging symbols for GNOME Shell The GNOME Shell redefines user interactions with the GNOME desktop. In particular, it offers new paradigms for launching applications, accessing documents, and organizing open windows in GNOME. Later, it will introduce a new applets eco-system and offer new solutions for other desktop features, such as notifications and contacts management. The GNOME Shell is intended to replace functions handled by the GNOME Panel and by the window manager in previous versions of GNOME. The GNOME Shell has rich visual effects enabled by new graphical technologies. . This package contains detached debugging symbols. Package: gnome-shell-extensions Version: 3.4.0-2 Installed-Size: 807 Maintainer: Debian GNOME Maintainers Architecture: all Depends: dconf-gsettings-backend | gsettings-backend, gnome-shell (>= 3.4), gnome-shell (<< 3.5), gir1.2-gtop-2.0 Size: 114098 SHA256: a859441d64b13cb4b59af4a544a116cb1c38d6a07b9b0d086166dde6ad3a1365 SHA1: 4c048f288a3b45068a08f7aa1e81e22c9725aa85 MD5sum: 11ffe025ee3150fca44a39bdbbc91416 Description: Extensions to extend functionality of GNOME Shell The GNOME Shell redefines user interactions with the GNOME desktop. In particular, it offers new paradigms for launching applications, accessing documents, and organizing open windows in GNOME. Later, it will introduce a new applets eco-system and offer new solutions for other desktop features, such as notifications and contacts management. The GNOME Shell is intended to replace functions handled by the GNOME Panel and by the window manager in previous versions of GNOME. The GNOME Shell has rich visual effects enabled by new graphical technologies. . GNOME Shell is extensible using extensions. This package contains official GNOME Shell extensions. Homepage: http://live.gnome.org/GnomeShell/Extensions Section: gnome Priority: optional Filename: pool/main/g/gnome-shell-extensions/gnome-shell-extensions_3.4.0-2_all.deb Package: gnome-shell-timer Version: 0.0.20120615+gitbde3fd2-1 Installed-Size: 151 Maintainer: Raphaël Hertzog Architecture: all Depends: gnome-shell (>= 3.2), python, dconf-gsettings-backend | gsettings-backend Size: 16932 SHA256: 801e32e2aace6ababc10596c08e1057ef04aaa0b6db2129e24dbd004331e62c6 SHA1: 459e45382c965766e3767d2ea47f2db271debcdf MD5sum: 86b324fd20f49252547cbdfeefba7d61 Description: GNOME Shell extension providing a countdown timer in the top panel Installing this package adds a timer application to the GNOME Shell top panel. With it you can start a timer of any duration and when elapsed, you'll get a notification. You can also preconfigure various durations to avoid having to retype them. . It's a natural replacement of the "timer applet" for GNOME 2 (or 3 in fallback mode). . Users of the Pomodoro method will find this extension very useful. Homepage: https://github.com/olebowle/gnome-shell-timer Section: gnome Priority: extra Filename: pool/main/g/gnome-shell-timer/gnome-shell-timer_0.0.20120615+gitbde3fd2-1_all.deb Package: gnome-specimen Version: 0.4-8 Installed-Size: 476 Maintainer: Kartik Mistry Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), gconf2 (>= 2.28.1-2), python-glade2, python-gnome2, python-gtk2 (>= 2.6) Size: 72392 SHA256: 055ba6758471ec0cc714458218399e8717c72c3628d875f90b5d7d8df59f4181 SHA1: 24bf891ecc6c4b4374e290eb0b5978eed32af9fc MD5sum: fc760c430ed438f15ada4e36664110a2 Description: Simple font preview and compare application for GNOME A simple tool to view and compare fonts installed on your system. It has currently following features, . * A list of all fonts available (the left pane) * A list of font previews (the right pane) * Configurable preview text and font size * Configurable foreground and background colors used in the preview pane Homepage: http://uwstopia.nl/geek/projects/gnome-specimen Tag: implemented-in::python, interface::x11, role::app-data, role::program, scope::application, suite::gnome, uitoolkit::gtk, works-with::font, x11::application Section: gnome Priority: optional Filename: pool/main/g/gnome-specimen/gnome-specimen_0.4-8_all.deb Package: gnome-split Version: 1.1-1 Installed-Size: 512 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre | java6-runtime, libdbus-java, libjava-gnome-java (>= 4.1.1) Size: 202038 SHA256: b888f76a23a637e0dbe86505a2639916b11285bd7cdad733a21419d6a672320d SHA1: a24a299b5f52786c2bc57d21a04cff1c4b067ca8 MD5sum: 5c2d2a3d45d01feb2d3dedeec08db06e Description: GNOME Split - File splitter for GNOME desktop Tool that allows you to split files and merge them back. It is written in Java and uses a GTK+ user interface (thanks to the java-gnome project). The goal is to offer a native implementation of similar projects which can handle multiple file formats (e.g. Xtremsplit). Homepage: http://gnome-split.org/ Section: gnome Priority: optional Filename: pool/main/g/gnome-split/gnome-split_1.1-1_all.deb Package: gnome-subtitles Version: 1.2-4 Architecture: armhf Maintainer: Debian CLI Applications Team Installed-Size: 2237 Depends: mono-runtime (>= 2.10.1), libc6 (>= 2.13-28), libenchant1c2a (>= 1.6), libgconf2.0-cil (>= 2.24.0), libglade2.0-cil (>= 2.12.10-1ubuntu1), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libgtkspell0, libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system-web4.0-cil (>= 2.10.3), libmono-system4.0-cil (>= 2.10.7), gconf2 (>= 2.28.1-2), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgstreamer-plugins-base0.10-0 (>= 0.10.0), libgstreamer0.10-0 (>= 0.10.0), libxml2 (>= 2.6.27), libmono-i18n4.0-all, gstreamer0.10-plugins-base, gstreamer0.10-x Recommends: gstreamer0.10-ffmpeg Homepage: http://gnome-subtitles.sourceforge.net Priority: extra Section: gnome Filename: pool/main/g/gnome-subtitles/gnome-subtitles_1.2-4_armhf.deb Size: 589938 SHA256: 45a4ec1fc165f049511f46652f51d83074b5c67ae54c0d654d4018d7c95774a3 SHA1: 4e0b18c456970e9c93bc879d8f72c3a4199e8c98 MD5sum: 11af8cdc8be3029e7b25a4667a127de3 Description: Subtitle editor for the GNOME Desktop environment Gnome Subtitles is a subtitle editor for the GNOME desktop. . It supports the most common text-based subtitle formats, video previewing, timings synchronization and subtitle translation. . Some supported subtitle formats are: Advanced Sub Station Alpha, FAB Subtitler, MicroDVD, MPlayer 1/2, MPSub, SubRip, SubViewer 1/2. Package: gnome-sudoku Source: gnome-games Version: 1:3.4.2-3 Installed-Size: 3673 Maintainer: Josselin Mouette Architecture: all Replaces: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Depends: python (>= 2.6.6-7~), python (<< 2.8), dconf-gsettings-backend | gsettings-backend, gnome-games-data (= 1:3.4.2-3), python-gi (>= 2.90.1), python-gi-cairo (>= 2.90.1), gir1.2-gtk-3.0, python-cairo Breaks: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Size: 1965068 SHA256: 2c4277ce32cb526efea59492d293cf7b07e5a0e940798c1f2377bf744314cc5e SHA1: 8298d4a356adbe8561b8b41e7e77bfaed812c54e MD5sum: a0336777127ad2eed3a43143d7dc2728 Description: Sudoku puzzle game for GNOME This is an application for playing the popular sudoku logic puzzle game, in which one must fill a 9 by 9 square with the correct digits. . It features automatic puzzle generation, saving and restoring games, annotations, trackers, and much more. Homepage: http://live.gnome.org/GnomeGames Tag: game::board, game::puzzle, implemented-in::python, interface::x11, role::program, suite::gnome, uitoolkit::gtk, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/g/gnome-games/gnome-sudoku_3.4.2-3_all.deb Package: gnome-sushi Source: gnome-sushi (0.4.1-3) Version: 0.4.1-3+b1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 764 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libclutter-1.0-0 (>= 1.10.0), libclutter-gst-1.0-0 (>= 0.10.0), libclutter-gtk-1.0-0 (>= 1.0.1), libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.7.4), libdbus-1-3 (>= 1.0.2), libdrm2 (>= 2.3.1), libevdocument3-4 (>= 3.0.2), libevview3-3 (>= 3.0.2), libffi5 (>= 3.0.9), libgdk-pixbuf2.0-0 (>= 2.23.0), libgirepository-1.0-1 (>= 0.9.2), libgjs0-libmozjs185-1.0, libgjs0b (>= 1.32.0-3), libglib2.0-0 (>= 2.30.0), libgstreamer-plugins-base0.10-0 (>= 0.10.31), libgstreamer0.10-0 (>= 0.10.0), libgtk-3-0 (>= 3.4.0), libgtksourceview-3.0-0 (>= 2.91.4), libjavascriptcoregtk-3.0-0 (>= 1.5.1), libjson-glib-1.0-0 (>= 0.12.0), libmusicbrainz5-0, libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libwebkitgtk-3.0-0 (>= 1.3.10), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6, libxml2 (>= 2.6.27), gir1.2-atk-1.0, gir1.2-clutter-1.0, gir1.2-cogl-1.0, gir1.2-coglpango-1.0, gir1.2-evince-3.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gst-plugins-base-0.10, gir1.2-gstreamer-0.10, gir1.2-gtk-3.0, gir1.2-gtksource-3.0, gir1.2-json-1.0, gir1.2-pango-1.0, nautilus (>= 3.2), gir1.2-clutter-gst-1.0, gir1.2-gtkclutter-1.0 Breaks: gnome-sushi-common (<< 0.4.0-1) Replaces: gnome-sushi-common (<< 0.4.0-1) Homepage: http://git.gnome.org/browse/sushi Priority: extra Section: gnome Filename: pool/main/g/gnome-sushi/gnome-sushi_0.4.1-3+b1_armhf.deb Size: 56774 SHA256: 00be0eadee7b8d868d4516c0a9bd252240e3f22548029931155be3d0c6ba86ec SHA1: 384bcb9b508c147644aa724808450ba5400177c9 MD5sum: 5a971a6ab3bc06d8df8d1d5d9e8a32e6 Description: sushi is a quick previewer for nautilus Sushi is a GtkClutter and Javascript-based quick previewer for Nautilus, the GNOME desktop file manager. Sushi is a DBus-activated service. It is capable of previewing documents, PDFs, sound and video files (using Gstreamer), some text files, and possibly others in the future. . To activate the preview, left-click the file and hit space. The preview can be closed by hitting space again, or escape. Package: gnome-system-log Version: 3.4.1-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 2607 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4), dconf-gsettings-backend | gsettings-backend, policykit-1 Suggests: yelp Breaks: baobab (<< 3.3.3-1), gnome-utils (<< 2.30.0-2) Replaces: baobab (<< 3.3.3-1), gnome-utils (<< 2.30.0-2) Homepage: http://live.gnome.org/GnomeUtils Priority: optional Section: gnome Filename: pool/main/g/gnome-system-log/gnome-system-log_3.4.1-3_armhf.deb Size: 650668 SHA256: c659d6179a55c69cc7e62bfc189d938bbe7237268b0346e8a317d558eaeb0dcd SHA1: 113345f91eb98f84beb4c610350911634b4476ce MD5sum: 590230f2412b6cda6cec50de27a4133b Description: system log viewer for GNOME This program displays system log files in a friendly way and allows one to filter or search expressions in them. Package: gnome-system-monitor Version: 3.4.1-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 6036 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk-3-0 (>= 3.0.0), libgtkmm-3.0-1 (>= 3.0.1), libgtop2-7 (>= 2.22.3), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.35.0), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libwnck-3-0 (>= 2.91.6), libxml2 (>= 2.7.4), dconf-gsettings-backend | gsettings-backend Recommends: gvfs Priority: optional Section: gnome Filename: pool/main/g/gnome-system-monitor/gnome-system-monitor_3.4.1-2_armhf.deb Size: 2810360 SHA256: 7407b313e48679467163901c6ce70f679ccf0df660be8ad233eb71c3e946d08e SHA1: 1c35a2724dc1969d5bc1d03c7d5917a2362d03a1 MD5sum: 76eafee06f72e09a8df9848cc3ee0803 Description: Process viewer and system resource monitor for GNOME This package allows you to graphically view and manipulate the running processes on your system. It also provides an overview of available resources such as CPU and memory. Package: gnome-system-tools Version: 3.0.0-2 Architecture: armhf Maintainer: Jose Carlos Garcia Sogo Installed-Size: 10148 Depends: dconf-gsettings-backend | gsettings-backend, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), liboobs-1-5 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libpolkit-gobject-1-0 (>= 0.99), perl, system-tools-backends (>= 2.10.1), policykit-1-gnome Recommends: gnome-control-center (>= 1:2.10.1-1) Suggests: ntp Conflicts: gnome-network-admin Replaces: gnome-network-admin, ximian-setup-tools Provides: gnome-network-admin Priority: optional Section: gnome Filename: pool/main/g/gnome-system-tools/gnome-system-tools_3.0.0-2_armhf.deb Size: 5250764 SHA256: 2f667247984f2f0a0048f3919e6633a7256fb56f5bd706225f655ca4e00d70f3 SHA1: cbdc54a3ce63d396944141f4fec2d40a6b56abc9 MD5sum: 9a29aa0b333e52c8a2750a2f1163b990 Description: Cross-platform configuration utilities for GNOME The GNOME System Tools are a fully integrated set of tools aimed to make easy the job that means the computer administration on an UNIX or Linux system. They're thought to help from the new Linux or UNIX user to the system administrators. . Its main advantages are: * Full integration with the new GNOME Control Center. * An user-friendly interface to carry out the main administration tasks. * The use of a common user interface in every system. * A common structure that makes easy the development of new system tools. Nowadays there are tools for managing: - Users and groups - Date and time - Network options - Services - Shares (NFS and Samba) Package: gnome-terminal Version: 3.4.1.1-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 679 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.3.16), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libvte-2.90-9 (>= 1:0.30.0), libx11-6, gsettings-desktop-schemas (>= 0.1.0), gnome-terminal-data (>= 3.4), gnome-terminal-data (<< 3.5) Recommends: yelp, gvfs, dbus-x11 Replaces: gnome-terminal-data (<< 2.26.2-3) Provides: x-terminal-emulator Priority: optional Section: gnome Filename: pool/main/g/gnome-terminal/gnome-terminal_3.4.1.1-2_armhf.deb Size: 476438 SHA256: 5ed9abcc61ffa3297a469288249933b63e1d8d9c9cbf19df6bb80ed1afcc4fc6 SHA1: ee2eeb8f26b5d04649095f0060c0df6ecd428b0c MD5sum: 522fdedf0f3c62583da488708e667aa6 Description: GNOME terminal emulator application GNOME Terminal is a terminal emulation application that you can use to perform the following actions: - Access a UNIX shell in the GNOME environment. - Run any application that is designed to run on VT102, VT220, and xterm terminals. . GNOME Terminal features the ability to use multiple terminals in a single window (tabs) and profiles support. Package: gnome-terminal-data Source: gnome-terminal Version: 3.4.1.1-2 Installed-Size: 11731 Maintainer: Debian GNOME Maintainers Architecture: all Depends: gconf2 (>= 2.28.1-2) Recommends: gnome-terminal Size: 1654884 SHA256: 090ee148b40eaa204153f107b4041033005a7d5bea83c19f62eb8ce8424264b0 SHA1: 841f30f6427f676277d29311927216fbbe2e4ea3 MD5sum: 2d6d532b9b0c9296eb1431437e624c0c Description: Data files for the GNOME terminal emulator GNOME Terminal is a terminal emulation application that you can use to perform the following actions: - Access a UNIX shell in the GNOME environment. - Run any application that is designed to run on VT102, VT220, and xterm terminals. . This package contains data, help files and localization settings for gnome-terminal, the GNOME terminal emulator application. Tag: role::app-data, suite::gnome Section: gnome Priority: optional Filename: pool/main/g/gnome-terminal/gnome-terminal-data_3.4.1.1-2_all.deb Package: gnome-theme-gilouche Source: metatheme-gilouche Version: 11.1.2-2 Installed-Size: 972 Maintainer: Julian Andres Klode Architecture: all Replaces: industrial-icon-theme Depends: tango-icon-theme Conflicts: industrial-icon-theme Size: 475840 SHA256: 4085f560d66bdd72727fb1662a3e6d2a689cce834d033cc2705954c1fab4655b SHA1: bd2c7b3539876e1fe92a1176e0aaae026533a6bf MD5sum: 39280ebb1387deb530710318a56b288a Description: openSUSE Gilouche Theme This theme is the default one used in openSUSE. This package provides the complete theme, consisting of icons, and Metacity and GTK+ themes. . The icon theme was previously known as openSUSE Industrial, but has been renamed to Gilouche as well. Homepage: http://forgeftp.novell.com/opensuse-art/openSUSE11.1/metatheme/ Tag: made-of::icons, role::app-data, suite::gnome, x11::theme Section: gnome Priority: optional Filename: pool/main/m/metatheme-gilouche/gnome-theme-gilouche_11.1.2-2_all.deb Package: gnome-themes Version: 2.30.2-1 Installed-Size: 2548 Maintainer: Josselin Mouette Architecture: all Depends: gtk2-engines (>= 1:2.15.3), gtk2-engines-pixbuf, librsvg2-common Recommends: dmz-cursor-theme Suggests: gnome-themes-extras Size: 508224 SHA256: e40daa289939ba9a674d3668c0ac8987d5888cfb49837117b3b71b4895fceb01 SHA1: c3228f5fd4dcdd0788caac53ed31829558dda1f1 MD5sum: 0a1fa47e26f478795d1547c227f514a0 Description: official themes for the GNOME desktop This package contains the official desktop themes of the GNOME desktop environment. . * The Clearlooks theme is the default, combining usability with an attractive look. * The Crux theme is well known for its smooth gradients with purple highlights. * The Glider theme is meant to be simple yet quite esthetic. * The Mist theme provides a minimalistic rendering around blue tones. * The Industrial theme is flat looking with low contrast. Tag: interface::x11, made-of::icons, made-of::svg, role::app-data, suite::gnome, uitoolkit::gtk, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-themes/gnome-themes_2.30.2-1_all.deb Package: gnome-themes-extras Version: 2.22.0-3 Installed-Size: 12698 Maintainer: Josselin Mouette Architecture: all Depends: gtk2-engines (>= 1:2.14), librsvg2-common Recommends: gnome-themes-more Size: 4697178 SHA256: 829497dd2cb3e64a7a1f5f26eb09d57534fbed80c889ba0751aa32bff7bfeb4d SHA1: e50838c537a68ab5618ded867316d23645d389d1 MD5sum: 91b8b91456a2d11f3b59f2df3b58dcee Description: extra themes for the GNOME desktop This package contains a few nice contributed themes for the GNOME desktop. It includes the following global themes: . * Darklooks, a dark version of the standard Clearlooks theme. * Unity, a smooth and rounded theme. . It also includes some icon themes for the desktop: . * GNOME Alternative, which is similar to the standard GNOME icon theme. * Foxtrot, a simple theme in yellow tones. * Dropline Neu, a flashy and colorful theme resembling Dropline GNOME. * Gion, an original and sober theme. Tag: interface::x11, made-of::icons, made-of::svg, role::metapackage, suite::gnome, uitoolkit::gtk, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-themes-extras/gnome-themes-extras_2.22.0-3_all.deb Package: gnome-themes-standard Version: 3.4.2-2.1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 187 Depends: gnome-themes-standard-data (= 3.4.2-2.1), gnome-accessibility-themes, gconf2 (>= 2.28.1-2), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk-3-0 (>= 3.3.14), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.14.4) Recommends: gtk2-engines Breaks: libmutter0 (<< 3.2) Priority: optional Section: gnome Filename: pool/main/g/gnome-themes-standard/gnome-themes-standard_3.4.2-2.1_armhf.deb Size: 132482 SHA256: 0991b22c3c3507830146c26835715bba371810737ab4a4547073d64623b02ef3 SHA1: ae370240d6874c5384009e84a52ed9679b923be8 MD5sum: 399cb13bfe06e530344dabbdc3060261 Description: Standard GNOME themes This package contains a set of standard GNOME themes. Package: gnome-themes-standard-data Source: gnome-themes-standard Version: 3.4.2-2.1 Installed-Size: 3614 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: gnome-themes-standard (<< 3.4.2-2.1) Breaks: gnome-themes-standard (<< 3.4.2-2.1) Size: 1360794 SHA256: b724a71c5f1edcf7411128608542dcbe118efad73ece0e16dec42a7866398b7f SHA1: 7a06d4b3f8e76320117709aee4e2b2c496ecfdf5 MD5sum: 6523d7b771bbc865b7f8c31f0bed30a6 Description: Data files for GNOME standard themes This package contains data files for standard themes for the GNOME desktop environment. Section: gnome Priority: optional Filename: pool/main/g/gnome-themes-standard/gnome-themes-standard-data_3.4.2-2.1_all.deb Package: gnome-tweak-tool Version: 3.4.0.1-2 Installed-Size: 660 Maintainer: Debian GNOME Maintainers Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), gsettings-desktop-schemas (>= 3.3.2), gnome-shell-common (>= 3.4), python-gi (>= 3.2.1), gir1.2-gtk-3.0, gir1.2-gconf-2.0 Size: 102548 SHA256: 2aeec965e32ca34a0464b50689d916007e5a1de49e55966e774a1cc5d38fdc67 SHA1: 12827b6e37f1e1be8f1d8cb56d353256aaa122b8 MD5sum: c5ba2d6b6c30e70193a69cff96240bad Description: tool to adjust advanced configuration settings for GNOME GNOME Tweak Tool allows the adjustment of several advanced GNOME options that can't be configured in gnome-control-center. This includes things like: * fonts used in user interface elements and titlebar * font hinting and aliasing * alternative user interface themes * changes in window management behaviour * behaviour on laptop lid close * file manager desktop icons * icons in menus and buttons Homepage: http://live.gnome.org/GnomeTweakTool Tag: role::program, scope::utility, use::configuring Section: gnome Priority: optional Filename: pool/main/g/gnome-tweak-tool/gnome-tweak-tool_3.4.0.1-2_all.deb Package: gnome-u2ps Version: 0.0.4-4.2 Architecture: armhf Maintainer: Sergio Talens-Oliag Installed-Size: 122 Depends: libart-2.0-2 (>= 2.3.18), libbonobo2-0 (>= 2.15.0), libbz2-1.0, libc6 (>= 2.13-28), libfribidi0 (>= 0.19.2), libglib2.0-0 (>= 2.24.0), libgnome2-0 (>= 2.17.3), libgnomeprint2.2-0 (>= 2.17.0), libgnomevfs2-0 (>= 1:2.17.90), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Priority: optional Section: text Filename: pool/main/g/gnome-u2ps/gnome-u2ps_0.0.4-4.2_armhf.deb Size: 27426 SHA256: c8afdba10cff6697808dc250cf49da7b07e50c6c0b2f667f911939de80c5786a SHA1: 4e62de169807ccc741e2eac6a07c6f65a8c2c239 MD5sum: 60bc0d8a1dda1caf44a177b2bafe0bb0 Description: tool to convert UTF-8 text to PostScript GNOME u2ps is a text converter from Unicode (UTF-8) to PostScript in the style of a2ps, but with support for Asian languages and Unicode. Package: gnome-user-guide Source: gnome-user-docs Version: 3.4.2-1+build1 Installed-Size: 24116 Maintainer: Debian GNOME Maintainers Architecture: all Depends: yelp (>= 3) Size: 6414842 SHA256: ed219f4ba900f2a80c1c0ff86d2d552101b1f2a61cfb44e1e3b068b2509c837c SHA1: 5453df4c47cb8c9ef1e7095bff846dc8e1d53b1d MD5sum: 575f97468301f913fc0c6376da78a319 Description: GNOME user's guide Documentation for using the GNOME desktop environment and its components. It covers usage and setup of the core GNOME programs. Tag: made-of::xml, role::documentation, suite::gnome Section: gnome Priority: optional Filename: pool/main/g/gnome-user-docs/gnome-user-guide_3.4.2-1+build1_all.deb Package: gnome-user-share Version: 3.0.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 2445 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libdbus-1-3 (>= 1.1.1), libdbus-glib-1-2 (>= 0.78), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgnome-bluetooth10 (>= 2.91.2), libgtk-3-0 (>= 3.0.0), libnautilus-extension1a (>= 2.91), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libselinux1 (>= 1.32), libx11-6, gconf2 (>= 2.28.1-2), apache2.2-bin, libapache2-mod-dnssd (>= 0.6), obex-data-server, gnome-bluetooth Priority: optional Section: gnome Filename: pool/main/g/gnome-user-share/gnome-user-share_3.0.2-1_armhf.deb Size: 874934 SHA256: 8460ff857562ebf512e0d8b190f8780c949949c32db62dbc601548b73261a1cf SHA1: c95e7b2c0142dbd47384b45a473d45c4fbc8720c MD5sum: 20b1e05500632aa2c327a90b1905c31d Description: User level public file sharing via WebDAV or ObexFTP gnome-user-share is a small package that allows easy user-level file sharing via WebDAV or ObexFTP. The shared files are announced on the network by Avahi. Package: gnome-utils Version: 3.2.1-3 Installed-Size: 144 Maintainer: Debian GNOME Maintainers Architecture: all Depends: baobab, gnome-dictionary, gnome-screenshot, gnome-search-tool, gnome-system-log, gnome-font-viewer Size: 123022 SHA256: 6a40a3623864ecdde6077ba1fdc82441d3cf4fb9ab4fa6311e0287ff0d4c4f66 SHA1: 9b0a46ba547ef937f4fcfb44830911a327ce6e92 MD5sum: 2b049e48f571627525882fa262ffd583 Description: GNOME desktop utilities - transitional package This dummy package depends on all the tools bundled the GNOME utilities: - baobab, a disk usage analyser - gnome-dictionary, a program which can look up the definition of words over the internet - gnome-search-tool, with which one can find files by name or content - gnome-system-log, a log viewing application - gnome-screenshot, a tool to take desktop screenshots and save them into a file - gnome-font-viewer, a tool to preview fonts . It can be safely removed from your system. Homepage: http://live.gnome.org/GnomeUtils Tag: hardware::storage:floppy, interface::x11, role::program, scope::utility, suite::gnome, uitoolkit::gtk, use::searching, use::viewing, works-with::dictionary, works-with::logfile, x11::applet Section: gnome Priority: optional Filename: pool/main/g/gnome-utils/gnome-utils_3.2.1-3_all.deb Package: gnome-utils-common Source: gnome-utils Version: 3.2.1-3 Installed-Size: 6482 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: gnome-utils (<< 2.30.0-2) Breaks: gnome-utils (<< 2.30.0-2) Size: 1928522 SHA256: 1759b3a840b69853d09431f4f43691d5be1b38db22dd9678b674f2de507ada7e SHA1: d2709d76944f3fede37bbdaa6945c2e5d87082a6 MD5sum: 10082c77781d05aa4a9b6dd13eb76e9c Description: data files for the GNOME utilities This package contains the translation files for the GNOME utilities bundle. Homepage: http://live.gnome.org/GnomeUtils Tag: role::app-data, suite::gnome Section: libs Priority: optional Filename: pool/main/g/gnome-utils/gnome-utils-common_3.2.1-3_all.deb Package: gnome-video-effects Version: 0.4.0-1 Installed-Size: 169 Maintainer: Debian GNOME Maintainers Architecture: all Depends: gstreamer0.10-plugins-good, gstreamer0.10-plugins-bad Suggests: gnome-video-effects-frei0r Size: 65390 SHA256: ce0cbf9eba934a76c23446d45f2ca713e0d9465b495edeea4c59d91e83506752 SHA1: 82c4171dc5e9cf8d4f67b54c3ef65a1b8782b694 MD5sum: 9003f9250e3188daa01509ae12222400 Description: GNOME Video Effects gnome-video-effects contains a collection of GStreamer effects to be used in GNOME. Homepage: http://live.gnome.org/GnomeVideoEffects Tag: suite::gnome Section: gnome Priority: optional Filename: pool/main/g/gnome-video-effects/gnome-video-effects_0.4.0-1_all.deb Package: gnome-video-effects-dev Source: gnome-video-effects Version: 0.4.0-1 Installed-Size: 46 Maintainer: Debian GNOME Maintainers Architecture: all Depends: gnome-video-effects (= 0.4.0-1), gnome-video-effects-frei0r (= 0.4.0-1) Size: 18998 SHA256: 2c11badb46a890a570dacf9cf70486f1fe5f6f115f1f2fd02e4aadf76f9fb6fa SHA1: d9a66fca7871f939efe41880348d521604db0a53 MD5sum: e3d5c1873f652230320250c6d3d95151 Description: Development files for gnome-video-effects gnome-video-effects contains a collection of GStreamer effects to be used in GNOME. . This package contains the development files. Homepage: http://live.gnome.org/GnomeVideoEffects Section: devel Priority: optional Filename: pool/main/g/gnome-video-effects/gnome-video-effects-dev_0.4.0-1_all.deb Package: gnome-video-effects-frei0r Source: gnome-video-effects Version: 0.4.0-1 Installed-Size: 78 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: gnome-video-effects (<< 0.3.0-4) Depends: gstreamer0.10-plugins-bad, frei0r-plugins Breaks: gnome-video-effects (<< 0.3.0-4) Size: 30824 SHA256: ed899bb52dfe4d9b81db08fa2f130f8ac19764ed3bd853ba1ee187ce02dcf6b2 SHA1: c5d560025a7d7b6ee70c309bb0c744a87cc6252f MD5sum: 8b735b5ef100d0e0651ac06aeb8d6789 Description: GNOME Video Effects - frei0r plugins gnome-video-effects contains a collection of GStreamer effects to be used in GNOME. . This package requires the frei0r plugins collection. Homepage: http://live.gnome.org/GnomeVideoEffects Section: gnome Priority: optional Filename: pool/main/g/gnome-video-effects/gnome-video-effects-frei0r_0.4.0-1_all.deb Package: gnome-wine-icon-theme Source: gnome-colors Version: 5.5.1-1 Installed-Size: 2564 Maintainer: GNOME-Colors Packagers Architecture: all Depends: gnome-colors-common Suggests: arc-wine, shiki-wine-theme Size: 356378 SHA256: a28affb13cb76b129a6969945d29a55f05636b6e81b507909509aa8dc5fe8389 SHA1: aaf4dfb92e86e46b916c3bbc68c4fb84e5f79750 MD5sum: d3419b8f4a39066e7c3188294b6c38be Description: red variation of the GNOME-Colors icon theme GNOME-Colors is a set of GNOME icon themes, with some inspiration from Tango, Elementary, Discovery, Tango Generator and others. . Its goal is to create an easy way for anyone to make their desktop consistently match their moods, wallpapers, laptop colors, etc. . The icons were designed to match the Shiki-Colors GTK+ Themes and their additional artwork. . This package provides the GNOME-Wine (Red) variation of the GNOME-Colors icon theme. Homepage: http://code.google.com/p/gnome-colors/ Tag: made-of::icons, role::data, suite::gnome, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-colors/gnome-wine-icon-theme_5.5.1-1_all.deb Package: gnome-wise-icon-theme Source: gnome-colors Version: 5.5.1-1 Installed-Size: 2564 Maintainer: GNOME-Colors Packagers Architecture: all Depends: gnome-colors-common Suggests: arc-wise, shiki-wise-theme Size: 359134 SHA256: d89c0f7bf0e514f3322b60de0942845601fc7ee8db40623cb08509ff71eb6416 SHA1: 453fb1a76f68a92de27678c5a9216aeb6fc0bdd4 MD5sum: 83d1dc2cebe7b53b3f28bc57a4dcb5bd Description: green variation of the GNOME-Colors icon theme GNOME-Colors is a set of GNOME icon themes, with some inspiration from Tango, Elementary, Discovery, Tango Generator and others. . Its goal is to create an easy way for anyone to make their desktop consistently match their moods, wallpapers, laptop colors, etc. . The icons were designed to match the Shiki-Colors GTK+ Themes and their additional artwork. . This package provides the GNOME-Wise (Green) variation of the GNOME-Colors icon theme. Homepage: http://code.google.com/p/gnome-colors/ Tag: made-of::icons, role::data, suite::gnome, x11::theme Section: gnome Priority: optional Filename: pool/main/g/gnome-colors/gnome-wise-icon-theme_5.5.1-1_all.deb Package: gnome-xcf-thumbnailer Version: 1.0-1.1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 82 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16), libpng12-0 (>= 1.2.13-4), gconf2 Priority: optional Section: gnome Filename: pool/main/g/gnome-xcf-thumbnailer/gnome-xcf-thumbnailer_1.0-1.1_armhf.deb Size: 26206 SHA256: d3f388433fd166f19ce172ef4c1e16204f0329ea0984916e2448439aa074b4ff SHA1: b91e3338af5cc2a77c1438352ffe26bde9d48f10 MD5sum: 650b81b9dbcbffbe68099a7c6dfd7a55 Description: GNOME thumbnailer for GIMP XCF files. A GNOME thumbnailer for GIMP XCF files. Package: gnomecatalog Version: 0.3.4.2-1 Installed-Size: 464 Maintainer: Jose Sanchez Moreno Architecture: all Depends: python, python-support (>= 0.7.1), python-gtk2 (>= 2.6.0), python-glade2 (>= 2.6.0), python-gnome2 (>= 2.10.0), python-pysqlite2, python-kaa-metadata, python-gobject, gnome-icon-theme, librsvg2-common Suggests: python-sexy Size: 52122 SHA256: b45b5ce3741a927c497570b0eea5c8734d2a5be149355e9de28926646012a007 SHA1: 47bfbdb65f136461bc8a55003a422ce7696a32fc MD5sum: 7bded90fb3d467930a9d6c206e0a9b04 Description: catalog CD, DVD and hard disk files Generate thumbnails of the video files and image files via thumbnailers and saves it in the database files. Save the metadata of the files, then you can search in the metatada of mp3, avis, images (exif). The program have been develop in python-gtk. Homepage: http://gnomecatalog.sf.net Tag: role::program, scope::utility Section: gnome Priority: optional Filename: pool/main/g/gnomecatalog/gnomecatalog_0.3.4.2-1_all.deb Package: gnomekiss Version: 2.0-4 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 221 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6 Suggests: lha Homepage: http://devel.tlrmx.org/kiss/ Priority: optional Section: gnome Filename: pool/main/g/gnomekiss/gnomekiss_2.0-4_armhf.deb Size: 70182 SHA256: dec6ac50d78f4a0a05ea9df6a0827b40762abad78617339a687061d26993cb1d SHA1: 1d2a55dc09f15f2c31ef03ae6066e85e79b9ed1d MD5sum: a45376edf370675931d1dbb116291392 Description: A KiSS paper doll viewer for GNOME GnomeKiSS is an implementation of French-KiSS for the GNOME desktop environment, using GNOME, GTK+ and the X Window System. French KiSS is an enhanced KiSS/GS for scriptable dolls, where KiSS is an abbreviation for Kisekae Set System. . Cherry KiSS and Enhanced Palette are supported, with full alpha transparency and FKiSS. If you want to open any lzh paperdoll files you have to install the package lha from non-free as well. Package: gnomeradio Version: 1.8-2 Architecture: armhf Maintainer: Francesco Namuri Installed-Size: 1525 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome-media-profiles-3.0-0 (>= 2.91.2), libgstreamer0.10-0 (>= 0.10.9), libgtk-3-0 (>= 3.0.0), liblircclient0, libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2) Homepage: http://www.wh-hms.uni-ulm.de/~mfcn/gnomeradio Priority: optional Section: sound Filename: pool/main/g/gnomeradio/gnomeradio_1.8-2_armhf.deb Size: 535220 SHA256: c145f998a2ea870096d64cdee1cf2212c0dd4f8fe445166c08c8bf8b6f5d8ea6 SHA1: df48822ee3b12324a6b715c87569fa3ef1e076ca MD5sum: 01ac88002fcb20f3178994d2b63ca2a0 Description: FM-radio tuner for the GNOME desktop Gnomeradio is a FM-radio tuner for the GNOME desktop. It should work with every FM tuner card that is supported by video4linux. Remote controls are supported via (optional) LIRC-support. Gnomeradio can also record radio as a Wave, MP3 or Ogg files. Package: gnomine Source: gnome-games Version: 1:3.4.2-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 3503 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.3.16), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.32.0), dconf-gsettings-backend | gsettings-backend, gnome-games-data (= 1:3.4.2-3) Breaks: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Replaces: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Homepage: http://live.gnome.org/GnomeGames Priority: optional Section: games Filename: pool/main/g/gnome-games/gnomine_3.4.2-3_armhf.deb Size: 2473792 SHA256: 182ea578f72c2e3bd61cbc0592388675a3df64b045aacc76cb8acfb0b4791ba1 SHA1: a5ede12c6e6f86f23b9c0f4538b1f960e010d031 MD5sum: 0648cea1f172ff790aa04b349c431f80 Description: popular minesweeper puzzle game for GNOME Mines is a puzzle game where you locate mines floating in an ocean using only your brain and a little bit of luck. Package: gnomint Version: 1.2.1-4 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 1296 Depends: gconf2 (>= 2.28.1-2), gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libreadline6 (>= 6.0), libsqlite3-0 (>= 3.5.9) Homepage: http://gnomint.sourceforge.net/ Priority: optional Section: gnome Filename: pool/main/g/gnomint/gnomint_1.2.1-4_armhf.deb Size: 338756 SHA256: 601b392e834ac6ccfbd750c178355ab3e0ef17ee5eca197770dd0788701809e5 SHA1: ba6310b6a22f5b9b9e252970c010aa14bc4cb5fd MD5sum: a386639749bf5241e94ec84cae3d0fb0 Description: x509 Certification Authority management tool for GNOME gnoMint is a tool for easily creating and managing certification authorities. It provides fancy visualization of all the pieces of information that pertain to a CA, such as x509 certificates, CSRs, and CRLs. . gnoMint is currently capable of managing a CA that emits certificates that are able to authenticate people or machines in VPNs (IPSec or other protocols), secure HTTP communications with SSL/TLS, authenticate and cipher HTTP communications through Web-client certificates, and sign or crypt email messages. Package: gnote Version: 0.8.3-1 Architecture: armhf Maintainer: Vincent Cheng Installed-Size: 7180 Depends: libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.18), libglibmm-2.4-1c2a (>= 2.31.22), libgtk-3-0 (>= 3.0.0), libgtkmm-3.0-1 (>= 3.4.0), libpanel-applet-4-0 (>= 3.2.1), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libpcrecpp0 (>= 7.7), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libuuid1 (>= 2.16), libx11-6, libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), dconf-gsettings-backend | gsettings-backend Homepage: http://live.gnome.org/Gnote Priority: optional Section: gnome Filename: pool/main/g/gnote/gnote_0.8.3-1_armhf.deb Size: 3214404 SHA256: 35f14fd10f1167c656abee9a6172b46a86fd3368b633c5b09c5edda7f3312d3a SHA1: 1bdca1181e469f2d045f369e9e0a862b1b04d9e2 MD5sum: 86bc28f4c17b6990d826b1d570f6390f Description: desktop note taking program using Wiki style links Gnote is a desktop note-taking application which is simple and easy to use. It lets you organise your notes intelligently by allowing you to easily link ideas together with Wiki style interconnects. . It is a port of Tomboy to C++ and consumes less resources. Package: gnotime Version: 2.3.1~snapshot20091119-5 Architecture: armhf Maintainer: Goedson Teixeira Paixao Installed-Size: 2339 Depends: scrollkeeper, gconf-service, guile-1.8-libs, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libenchant1c2a (>= 1.6), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.24.0), libgmp10, libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.24.0), libgtkhtml3.14-19 (>= 3.32.0), libgtkhtml3.14-19 (<< 3.33), libice6 (>= 1:1.0.0), libltdl7 (>= 2.4.2), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libqof2 (>= 0.8.0), libsm6, libx11-6, libxml2 (>= 2.7.4), libxss1, gconf2 (>= 2.28.1-2) Priority: optional Section: gnome Filename: pool/main/g/gnotime/gnotime_2.3.1~snapshot20091119-5_armhf.deb Size: 1221062 SHA256: d11ce010bfce38d8100f855866d852a96b42d46238a1ba5c99981edd5f78372e SHA1: f75b34683e8e4718e4629a37d2da9c8c8947ef0f MD5sum: 741790e439fb53301b14b43fb3c78179 Description: utility for tracking and invoicing time spent on projects GnoTime is the GNOME Time Tracker, a program which allows you to track the time you spend on any number of tasks and projects. GnoTime also allows you to generate customised reports and annotated logs of these times. . Tasks within GnoTime can be marked as billable, non-billable, on hold, or free of charge, and invoices can be generated based on these data. . GnoTime also includes various features such as sub-projects, project planning, scheme extensions and automatic merging and clean-up of short intervals of time. Package: gnotravex Source: gnome-games Version: 1:3.4.2-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 2018 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.3.16), dconf-gsettings-backend | gsettings-backend, gnome-games-data (= 1:3.4.2-3) Breaks: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Replaces: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Homepage: http://live.gnome.org/GnomeGames Priority: optional Section: games Filename: pool/main/g/gnome-games/gnotravex_3.4.2-3_armhf.deb Size: 1428542 SHA256: 59aadb9a16ac458eda797aea2dd5b395594a82048116cf0a1a633338f126d1de SHA1: 08fffb9280aeaf15e03d75882ac435b864fddf5d MD5sum: 7c10384cb15397ac6cdde26f1a18b584 Description: put tiles on a board and match their edges together GNOME Tetravex is a simple puzzle game which scope is to move the various pieces from one side of the board to the other side, so that the same numbers depicted on the pieces are touching each other. Package: gnotski Source: gnome-games Version: 1:3.4.2-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 1698 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.3.11), librsvg2-2 (>= 2.32.0), dconf-gsettings-backend | gsettings-backend, gnome-games-data (= 1:3.4.2-3) Breaks: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Replaces: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Homepage: http://live.gnome.org/GnomeGames Priority: optional Section: games Filename: pool/main/g/gnome-games/gnotski_3.4.2-3_armhf.deb Size: 1011996 SHA256: afd15465c93277ee80d52fbec9bb7580113b2609e6eaf537aeebb75d7b6b5648 SHA1: 1338c12e216a72065e3702554fb70c712082ad4f MD5sum: f3b3751287758b9e9bbb627b3da0e1af Description: Klotski puzzle game for GNOME This is a clone of the popular Klotski game. The objective is to move a patterned block to a given place using the mouse, in as few moves as possible. Other blocks will need to be moved out of the way. Package: gnu-fdisk Version: 1.2.4-3.1 Architecture: armhf Maintainer: Parted Maintainer Team Installed-Size: 230 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libparted0debian1 (>= 2.2-1), libreadline6 (>= 6.0), libtinfo5, libuuid1 (>= 2.16), dpkg (>= 1.15.4) | install-info Homepage: http://www.gnu.org/software/parted/ Priority: extra Section: utils Filename: pool/main/g/gnu-fdisk/gnu-fdisk_1.2.4-3.1_armhf.deb Size: 113124 SHA256: 1037d355f4eeded52a706b0ad35d95e9ed0152dd8c4a52d694a0d3e4c2b2c8eb SHA1: 6b189645f47c7fe4ad0e7d01bbdff351ce8f2bb4 MD5sum: 300a45ab4c8605fe32d9782a0c70539c Description: Linux fdisk replacement based on libparted GNU fdisk is a replacement to the old Linux fdisk. It provides the same features as the original fdisk provides plus some interesting ones like: * partition resizing * creating filesystem on newly created partitions * partition integrity checking * copying/moving partition . GNU fdisk also intend to be a valid replacement for mac-fdisk and FreeBSD fdisk. Package: gnu-smalltalk Version: 3.2.4-2 Architecture: armhf Maintainer: Debian GNU Smalltalk maintainers Installed-Size: 2040 Depends: libc6 (>= 2.13-28), libgnutls26 (>= 2.12.17-0), libgst7, zip, unzip Recommends: gnu-smalltalk-common (= 3.2.4-2) Suggests: gnu-smalltalk-doc Homepage: http://smalltalk.gnu.org Priority: extra Section: interpreters Filename: pool/main/g/gnu-smalltalk/gnu-smalltalk_3.2.4-2_armhf.deb Size: 617754 SHA256: f7b1acbad86d94041c973dfe6017cf17090b7eeed5766b31e62ac8b80328160c SHA1: 4f1c66b6298aa8bca0867bd041d0397d451ea16a MD5sum: 3007775bebdf43e78bdb0e75c6839c13 Description: GNU Smalltalk interpreter and image GNU Smalltalk is an implementation that closely follows the Smalltalk-80 language as described in the book `Smalltalk-80: the Language and its Implementation' by Adele Goldberg and David Robson. . This package contains the GNU Smalltalk interpreter and the base image. Package: gnu-smalltalk-browser Source: gnu-smalltalk Version: 3.2.4-2 Architecture: armhf Maintainer: Debian GNU Smalltalk maintainers Installed-Size: 636 Depends: gnu-smalltalk (= 3.2.4-2), libc6 (>= 2.13-28), libgst7, gnu-smalltalk-common (>= 3.2.4), libgtk2-gst (= 3.2.4-2) Replaces: gnu-smalltalk (<< 2.95h) Homepage: http://smalltalk.gnu.org Priority: extra Section: interpreters Filename: pool/main/g/gnu-smalltalk/gnu-smalltalk-browser_3.2.4-2_armhf.deb Size: 195500 SHA256: cc07b792416b345020e64e3300890bb00acfad3db6dc96d9b24b9da1b7dab0ba SHA1: e593217216f513e3d5b5760d820acce61fc233c1 MD5sum: a83fd5eb813eb9c15ad893b1584a7696 Description: GNU Smalltalk browser This package contains VisualGST, an Integrated Development Environment for GNU Smalltalk. . For more information on GNU Smalltalk see the gnu-smalltalk package. Package: gnu-smalltalk-common Source: gnu-smalltalk Version: 3.2.4-2 Installed-Size: 7475 Maintainer: Debian GNU Smalltalk maintainers Architecture: all Conflicts: gnu-smalltalk (<< 2.3.3-1) Size: 1592296 SHA256: 1c07219735f24b430abb1ac7da948fde1530b17c8729c021e0ffdfe4d63b0d74 SHA1: 18236c619cf0888b3c43da18e6c084cb6a84c2a7 MD5sum: cf37a8e8adf0ea526d6565ee749e0a9a Description: GNU Smalltalk class library sources and extras This package contains GNU Smalltalk class library sources, examples, and extras SmallTalk ARchives (.star files). . Some of the Smalltalk archives included: * SUnit * Glorp * Magritte * XML * XSL * XPath * WebServer * Swazoo * Seaside * ROE * SandstoneDb . For more information on GNU Smalltalk see the gnu-smalltalk package. Homepage: http://smalltalk.gnu.org Section: interpreters Priority: extra Filename: pool/main/g/gnu-smalltalk/gnu-smalltalk-common_3.2.4-2_all.deb Package: gnu-smalltalk-doc Source: gnu-smalltalk Version: 3.2.4-2 Installed-Size: 529 Maintainer: Debian GNU Smalltalk maintainers Architecture: all Depends: info | info-browser, dpkg (>= 1.15.4) | install-info Conflicts: gnu-smalltalk (<< 1.6.1-2) Size: 510642 SHA256: 691e8f4605d19da4667b689d204b2ef92f27dd7a6f8b283686a82ca99222295f SHA1: d0070430d89ab2b6032aa3c28a241f4ce4fb8e9a MD5sum: ec82efa320f04aa3dc8ebb4bb062d5cc Description: GNU Smalltalk info documentation This package contains the documentation in info format for GNU Smalltalk. . For more information on GNU Smalltalk see the gnu-smalltalk package. Homepage: http://smalltalk.gnu.org Tag: devel::interpreter, role::documentation, suite::gnu Section: doc Priority: extra Filename: pool/main/g/gnu-smalltalk/gnu-smalltalk-doc_3.2.4-2_all.deb Package: gnu-smalltalk-el Source: gnu-smalltalk Version: 3.2.4-2 Installed-Size: 195 Maintainer: Debian GNU Smalltalk maintainers Architecture: all Depends: emacs | emacsen Conflicts: gnu-smalltalk (<< 2.3.3-1) Size: 89048 SHA256: 1f07ab1b6b47b9d6fbd43b3a461f2a4732407417c4077a101f00407e1efdd2cd SHA1: 7d839d1e638541d7b0004d8a4287fb6b035b7d18 MD5sum: b5a94b5b9b08187d9133a76dd2452af4 Description: GNU Smalltalk Emacs front-end This package contains an Emacs major mode for editing Smalltalk code. . This mode features syntax highlighting, auto indentation and interaction with GNU Smalltalk. . For more information on GNU Smalltalk see the gnu-smalltalk package. Homepage: http://smalltalk.gnu.org Section: lisp Priority: extra Filename: pool/main/g/gnu-smalltalk/gnu-smalltalk-el_3.2.4-2_all.deb Package: gnu-standards Version: 2010.03.11-1 Installed-Size: 1700 Maintainer: Tim Retout Architecture: all Depends: dpkg (>= 1.15.4) | install-info Size: 1279272 SHA256: 125044f97a8023480f678899ae49f6e481a54d6f715caad7cb763c6277a06d3d SHA1: 8bda68dedd27c55a7912459f3a241a6011aab3c0 MD5sum: 1bd796a346052f89a19420fd5df68f94 Description: GNU coding and package maintenance standards This package contains two pieces of documentation from the GNU project: 'GNU Coding Standards', and 'Information for Maintainers of GNU Software'. . These are useful to refer to if you are writing or maintaining software for GNU, but could also be helpful as guidelines for other projects. Homepage: http://savannah.gnu.org/projects/gnustandards Tag: devel::doc, role::documentation, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gnu-standards/gnu-standards_2010.03.11-1_all.deb Package: gnubg Version: 0.90+20120429-1+deb7u1 Architecture: armhf Maintainer: Russ Allbery Installed-Size: 2392 Depends: gnubg-data (= 0.90+20120429-1+deb7u1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcanberra-gtk0 (>= 0.2), libcanberra0 (>= 0.2), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.31.8), libglu1-mesa | libglu1, libgmp10, libgtk2.0-0 (>= 2.24.0), libgtkglext1, libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libpython2.7 (>= 2.7), libreadline6 (>= 6.0), libsqlite3-0 (>= 3.5.9), debconf (>= 0.5) | debconf-2.0 Suggests: kbackgammon Replaces: gnubg-data (<< 0.90+20120127-1~) Homepage: http://www.gnubg.org/ Priority: optional Section: games Filename: pool/main/g/gnubg/gnubg_0.90+20120429-1+deb7u1_armhf.deb Size: 1322370 SHA256: fb53039d09eb1713a6f05c4b1ccec4e680b199153aa0c0263523049d7eb269c4 SHA1: 1b940bee2145b78e0736fe925be7d72270a6fa3c MD5sum: d1e5b651ea947c7a682c4552f18aeb65 Description: graphical or console backgammon program with analysis GNU Backgammon is a strong backgammon program (world-class with a bearoff database installed) usable either as an engine by other programs or as a standalone backgammon game. In addition to supporting simple play, it also has extensive analysis features, a tutor mode, adjustable difficulty, and support for exporting annotated games. It can be played either from a GTK+ graphical interface, optionally with a 3D board, or from a simple text console. . GNU Backgammon is still under initial development and should be considered beta software. Package: gnubg-data Source: gnubg Version: 0.90+20120429-1+deb7u1 Installed-Size: 14763 Maintainer: Russ Allbery Architecture: all Depends: dpkg (>= 1.15.4) | install-info, ttf-dejavu-core Recommends: gnubg (>= 0.90+20120429-1+deb7u1) Size: 12609990 SHA256: e1adb92c8aca188a3ee8518f98a86a41bdc48875a10132abfdb61c11175e323d SHA1: 73ec52f99a8f1cec0e96a0240ccdb51def22053b MD5sum: f686f7aac95bdb3e9fc236e28118a56f Description: data files for GNU Backgammon GNU Backgammon is a strong backgammon program (world-class with a bearoff database installed) usable either as an engine by other programs or as a standalone backgammon game. In addition to supporting simple play, it also has extensive analysis features, a tutor mode, adjustable difficulty, and support for exporting annotated games. . This package contains the data files needed by GNU Backgammon (textures sounds, locale information, neural network weights, and so forth). Homepage: http://www.gnubg.org/ Tag: role::app-data, suite::gnu Section: games Priority: optional Filename: pool/main/g/gnubg/gnubg-data_0.90+20120429-1+deb7u1_all.deb Package: gnubiff Version: 2.2.15-1 Architecture: armhf Maintainer: Roland Stigge Installed-Size: 1525 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libfam0, libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libpanel-applet-4-0 (>= 3.2.1), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libx11-6, sox, dpkg (>= 1.15.4) | install-info Recommends: fam Conflicts: gbiff, gbiff2 Replaces: gbiff, gbiff2 Homepage: http://gnubiff.sourceforge.net/ Priority: optional Section: mail Filename: pool/main/g/gnubiff/gnubiff_2.2.15-1_armhf.deb Size: 493470 SHA256: 24ec54fd5754506bc37228acb3b522cf6821e976992509415ad6c64d467b5629 SHA1: 30fbfd62058c240a4e8ba727e8ca85f3ad2dec25 MD5sum: 980c58a479ec55f7ed338bfc223ed3ee Description: mail notification program for GNOME (and others) gnubiff checks for mail within a file, a qmail or MH style dir, or an IMAP4 or POP3 or APOP server. It can display headers (number, sender, subject, and date) when new mail has arrived. . While gnubiff is implemented as a GNOME panel applet, it also runs as an independent icon on the desktop in other environments. Package: gnubik Version: 2.4-3 Architecture: armhf Maintainer: Bart Martens Installed-Size: 539 Depends: guile-1.8-libs, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.16.0), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.20.0), libgtkglext1, libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxmu6, libxt6, dpkg (>= 1.15.4) | install-info Homepage: http://www.gnu.org/software/gnubik/ Priority: optional Section: games Filename: pool/main/g/gnubik/gnubik_2.4-3_armhf.deb Size: 100598 SHA256: d1bc2562619d9a00c65cbdc25fe2191b744c024c927fe22c5b45492391366aaa SHA1: 10a557d0a36324c0583ee1f82c30be9b790508a2 MD5sum: 22bb958cec92b66be139dc5ae952d0d6 Description: 3D Rubik's cube game GNUbik is an interactive, graphical, single player puzzle. This free program renders an image of a cube, like that invented by Erno Rubik. You have to manipulate the cube using the mouse. When each face shows only one colour, the game is solved. . It uses Gtk+ and/or X11 and Mesa / OpenGL. Package: gnucap Version: 1:0.36~20091207-2 Architecture: armhf Maintainer: Debian Electronics Team Installed-Size: 1999 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0), libstdc++6 (>= 4.6) Homepage: http://gnucap.org Priority: optional Section: electronics Filename: pool/main/g/gnucap/gnucap_0.36~20091207-2_armhf.deb Size: 866870 SHA256: fca657ffb733ea047d02e343800f33e21096c29f014ff1f0df325f52a54bfa15 SHA1: cff8ea30c7384697bed556072a7d2b4344fdbe20 MD5sum: 63a3ce899f633ef7bdd6d9403021ccf3 Description: GNU Circuit Analysis package GNUCAP is a general purpose circuit simulator. It performs nonlinear dc and transient analyses, Fourier analysis, and ac analysis linearized at an operating point. It is fully interactive and command driven. It can also be run in batch mode or as a server. The output is produced as it simulates. Spice compatible models for the MOSFET (level 1,2,3) and diode are included in this release. Package: gnucash Version: 1:2.4.10-6 Architecture: armhf Maintainer: Sébastien Villemot Installed-Size: 6549 Depends: gnucash-common (= 1:2.4.10-6), gconf-service, guile-1.8-libs, libaqbanking34 (>= 4.99.2), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbi1 (>= 0.8.4), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libgnome-keyring0 (>= 2.20.3), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgoffice-0.8-8 (>= 0.8.8), libgtk2.0-0 (>= 2.12.0), libgwengui-gtk2-0 (>= 3.99.16), libgwenhywfar60 (>= 3.99.1), libktoblzcheck1c2a (>= 1.19), libofx4, libpango1.0-0 (>= 1.14.0), libwebkitgtk-1.0-0 (>= 1.3.10), libx11-6, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), perl, slib, guile-1.8 (>= 1.8.8+1-4~), libfinance-quote-perl, libwww-perl, libhtml-tree-perl, libhtml-tableextract-perl, libcrypt-ssleay-perl, libdate-manip-perl Recommends: gnucash-docs, yelp Suggests: libdbd-mysql, libdbd-pgsql, libdbd-sqlite3 Breaks: gnucash-common (<< 1:2.4.8-1~) Replaces: gnucash-common (<< 1:2.4.8-1~) Homepage: http://www.gnucash.org/ Priority: optional Section: gnome Filename: pool/main/g/gnucash/gnucash_2.4.10-6_armhf.deb Size: 2592280 SHA256: 9c7c28dd542c929a07cf111a5ed035963f63def5e2034553e575cd59aa91b7c3 SHA1: ef91c0effc95b596db1e8131651b8fc9e8f33639 MD5sum: 301b6cb38f29aeb905b5a6e754b5f630 Description: personal and small-business financial-accounting software Gnucash provides accounting functions suitable for use by small businesses and individuals. It can track finances in multiple accounts, keeping running and reconciled balances. There is support for customer, vendor and employee processing. It has an X based graphical user interface, double entry, a hierarchy of accounts, expense accounts (categories), and can import Quicken QIF files and OFX files. Package: gnucash-common Source: gnucash Version: 1:2.4.10-6 Installed-Size: 23465 Maintainer: Sébastien Villemot Architecture: all Replaces: gnucash (<< 2.4.0-1~) Depends: dpkg (>= 1.15.4) | install-info, gconf2 (>= 2.28.1-2) Recommends: gnucash (>= 1:2.4.10-6) Breaks: gnucash (<< 2.4.0-1~) Size: 5858910 SHA256: 564e1489121103127b03d736040accc00ff6c4a3dc46a2fa143d20683dedae6c SHA1: f680aacf42e61a079bfa53b73b91e59b27b45afe MD5sum: c459fd0609083eee246da37aca9606dc Description: common files for the financial-accounting software Gnucash Gnucash provides accounting functions suitable for use by small businesses and individuals. It can track finances in multiple accounts, keeping running and reconciled balances. There is support for customer, vendor and employee processing. It has an X based graphical user interface, double entry, a hierarchy of accounts, expense accounts (categories), and can import Quicken QIF files and OFX files. . This package contains the architecture independent parts of Gnucash. It should not be installed directly, but is pulled in automatically by the dependencies of the Gnucash package. Homepage: http://www.gnucash.org/ Tag: role::app-data Section: gnome Priority: optional Filename: pool/main/g/gnucash/gnucash-common_2.4.10-6_all.deb Package: gnucash-dbg Source: gnucash Version: 1:2.4.10-6 Architecture: armhf Maintainer: Sébastien Villemot Installed-Size: 4800 Depends: gnucash (= 1:2.4.10-6) Homepage: http://www.gnucash.org/ Priority: extra Section: debug Filename: pool/main/g/gnucash/gnucash-dbg_2.4.10-6_armhf.deb Size: 3420276 SHA256: 6ca02b80ac8c0ac635dcc95b7c966bd11d667e0d3d25bb218d3f0786e3bb9bfe SHA1: 059e80bfbc147936e134c48876c7921bc31ac731 MD5sum: 729df60ee53e23f52876616715d5f654 Description: debugging symbols for the accounting software Gnucash Gnucash provides accounting functions suitable for use by small businesses and individuals. It can track finances in multiple accounts, keeping running and reconciled balances. There is support for customer, vendor and employee processing. It has an X based graphical user interface, double entry, a hierarchy of accounts, expense accounts (categories), and can import Quicken QIF files and OFX files. . This package contains debugging symbols needed for debugging Gnucash. Package: gnucash-docs Version: 2.4.1-3 Installed-Size: 55721 Maintainer: Sébastien Villemot Architecture: all Recommends: yelp Suggests: gnucash, pdf-viewer, www-browser Conflicts: gnucash (<< 1.9.0-1) Size: 50828474 SHA256: 5276e619e8ce2a3f9d3f1bf805120badc980f9c8ae185ce920b2c862fc2ea39f SHA1: 99ef4df1e65237439347c4bfb14a2b324f6ec1a9 MD5sum: 49d753f2dd6cef0fa8869d7230233cb5 Description: Documentation for gnucash, a personal finance tracking program GnuCash provides accounting functions suitable for use by small businesses and individuals. It can track finances in multiple accounts, keeping running and reconciled balances. There is support for customer, vendor and employee processing. It has an X based graphical user interface, double entry, a hierarchy of accounts, expense accounts (categories), and can import Quicken QIF files and OFX files. . This package contains the GnuCash Help Manual and the GnuCash Tutorial and Concepts Guide. . The Help Manual is a quick reference of how to accomplish specific tasks and how to use the features in GnuCash. The Tutorial and Concepts Guide is an in depth guide to the concepts behind using GnuCash with a tutorial to show how to put those concepts into practice. . These documents are available in HTML, PDF and DocBook formats (the latter is intended to be viewed with the GNOME Help browser). . Translations in German, Italian and Japanese are also included in this package (only in DocBook format). Homepage: http://www.gnucash.org Tag: field::finance, office::finance, role::documentation, suite::gnome, suite::gnu, works-with::pim Section: doc Priority: optional Filename: pool/main/g/gnucash-docs/gnucash-docs_2.4.1-3_all.deb Package: gnuchess Version: 6.0.2-1 Architecture: armhf Maintainer: Oliver Korff Installed-Size: 408 Depends: libc6 (>= 2.11), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), dpkg (>= 1.15.4) | install-info Recommends: gnuchess-book Suggests: xboard | eboard | scid Homepage: http://www.gnu.org/software/chess/ Priority: optional Section: games Filename: pool/main/g/gnuchess/gnuchess_6.0.2-1_armhf.deb Size: 216500 SHA256: 96bd1ec8e9911452807797b4e3775074e0ae245e91514b11073a65261e5b7b71 SHA1: ca6148d960963af94f7115405c9c4c5481bbd69e MD5sum: 8efcdcbcfc0df97cf545fe76da0bbbbe Description: Plays a game of chess, either against the user or against itself Gnuchess is an updated version of the GNU chess playing program. It is now based on the fruit chess engine and uses the UCI chess engine protocol. It has a simple alpha-numeric board display, and is also compatible with frontends like xboard, scid and eboard. Package: gnuchess-book Version: 1.02-1 Installed-Size: 2853 Maintainer: Oliver Korff Architecture: all Depends: gnuchess (>= 6.0.2) Size: 1774032 SHA256: 95c30a8124608690d77bdcf6d8816e29c8a6f0b229a3267166f8fe85fdb6b507 SHA1: b070aee98f2f99157a4569cdadbdd34fa6b067b6 MD5sum: c2dc15f17a658cb5b085c4a116e0ed40 Description: Opening book for gnuchess This is the opening book for gnuchess. It was formerly distributed together with gnuchess, now it has been separated due to size and architecture-independence. It is recommended that you install it if you want to use gnuchess. Homepage: http://www.gnu.org/software/chess/ Tag: game::board, game::board:chess, role::app-data, suite::gnu, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/g/gnuchess-book/gnuchess-book_1.02-1_all.deb Package: gnudatalanguage Version: 0.9.2-4 Architecture: armhf Maintainer: Axel Beckert Installed-Size: 7822 Depends: libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.4), libgrib-api-1.9.16 (>= 1.9.16), libgsl0ldbl (>= 1.9), libhdf4-0-alt, libhdf5-7, libjpeg8 (>= 8c), libmagick++5 (>= 8:6.7.7.2), libmagickcore5 (>= 8:6.7.7.2), libnetcdfc7, libplplot-c++10 (>= 5.9.9), libplplot11 (>= 5.9.9), libpython2.7 (>= 2.7), libreadline6 (>= 6.0), libstdc++6 (>= 4.4.0), libudunits2-0, libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libx11-6, pslib1 (>= 0.4.0), zlib1g (>= 1:1.2.3.3) Recommends: plplot11-driver-xwin, python-numpy Homepage: http://gnudatalanguage.sourceforge.net/ Priority: extra Section: interpreters Filename: pool/main/g/gnudatalanguage/gnudatalanguage_0.9.2-4_armhf.deb Size: 2698906 SHA256: 73e2b534b0083723adef31f9725cec757a33d06ec21c7e7709e2e56351ddcd8f SHA1: af77e5772b702470e8b2c34c9fd0fb1ad6756473 MD5sum: 956433e22018f6d44af0ac13a8bd87cd Description: Free IDL compatible incremental compiler A free IDL (Interactive Data Language) compatible incremental compiler. It has full syntax compatibility with IDL 7.1. IDL is a registered trademark of ITT Visual Information Solutions. Package: gnudoq Version: 0.94-2.1 Architecture: armhf Maintainer: Arnaud Cornet Installed-Size: 149 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.6.1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://www.thelemmings.net/static.php?page=GNUDoQ Priority: optional Section: games Filename: pool/main/g/gnudoq/gnudoq_0.94-2.1_armhf.deb Size: 49076 SHA256: 6b8b40c976e2dfab5158c83651f35a2ef8a3eda00487dc3621893ca339c02237 SHA1: feb91e78ade506d9eb767c5958b6fb4145f7d15a MD5sum: d0fda181de525112fcd17cfe6428fc57 Description: An open source, graphical Su Doku generator and solver with printer support GNUDoQ is an open source, graphical Su Doku generator and solver that features a powerful Su Doku generator, a Su Doku solver a Puzzle printouts or PDF exports. This program is based on the excellent work of the GNUDoku team, which developed the Su Doku engine behind GNUDoQ. Package: gnugk Version: 2:3.0.2-3 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 2295 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libh323-1.24.0, libldap-2.4-2 (>= 2.4.7), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libpt2.10.4, libsasl2-2 (>= 2.1.24), libsdl1.2debian (>= 1.2.11), libssh-4 (>= 0.5.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), adduser Suggests: ekiga, ohphone, ohphone-basic, simph323 Homepage: http://www.gnugk.org/ Priority: optional Section: comm Filename: pool/main/g/gnugk/gnugk_3.0.2-3_armhf.deb Size: 1164594 SHA256: c74322386018298682ad960af7d7a71cd82ed20b2dd3b0543f40c53ab708d3a8 SHA1: e226239db322b105a36d8a524c52cb50a83974b1 MD5sum: 4930e90d16021a1fde984c9c3794c4e0 Description: OpenH323 Gatekeeper - The GNU Gatekeeper GNU Gatekeeper is an open-source project that implements an H.323 gatekeeper. A gatekeeper provides call control services to the H.323 endpoints. It is an integral part of most useful internet telephony installations that are based on the H.323 standard. . According to Recommendation H.323, a gatekeeper shall provide the following services: . Address Translation Admissions Control Bandwidth Control Zone Management Call Control Signaling Call Authorization Bandwidth Management Call Management . The GNU Gatekeeper implements most of these functions based on the OpenH323 protocol stack. Package: gnugo Version: 3.8-5 Architecture: armhf Maintainer: Martin A. Godisch Installed-Size: 7775 Depends: libc6 (>= 2.7), libreadline6 (>= 6.0), libtinfo5, dpkg (>= 1.15.4) | install-info Suggests: quarry | cgoban | qgo Homepage: http://www.gnu.org/software/gnugo/ Priority: optional Section: games Filename: pool/main/g/gnugo/gnugo_3.8-5_armhf.deb Size: 1655920 SHA256: 9638634f96b9f17069f6e2c7f2ed49346939f0c8adab25a62f253d7df5a30dfa SHA1: 41601a60b108bc466e7a6912d70cdbb46075a698 MD5sum: 896ad4567436be78538945e4af153d01 Description: play the game of Go GNU Go is a free program that plays the game of Go. It provides a text-only user interface, have a look at the cgoban or qgo package if you want to play on a graphical board. . Go is an ancient game originated from China, with a definite history of over 3000 years, although there are historians who say that the game was invented more than 4000 years ago. The Chinese call the game Weiqi, other names for Go include Baduk (Korean), Igo (Japanese), and Goe (Taiwanese). . In this game, each player tries to exert more influence on territory than her opponent, using threats of death, capture, or isolation. It is, therefore, a symbolic representation of the relationships between nations. Go is getting increasingly popular around the world, especially in Asian, European and American countries, with many worldwide competitions being held. . The game of Go is played on a board. The Go set is comprised of the board, together with 181 black and 180 white stones. The standard board has 19 lines by 19 lines, but 13x13 and 9x9 boards can also be used. However, the 9x9 and 13x13 boards are usually for beginners; more advanced players would prefer the traditional 19x19 board. . Compared to International Chess and Chinese Chess, Go has far fewer rules. Yet this allowed for all sorts of moves to be played, so Go can be a more intellectually challenging game than the other two types of Chess. Nonetheless, Go is not a difficult game to learn, so have a fun time playing the game with your friends. . (adopted from http://senseis.xmp.net/?WhatIsGo) Package: gnuhtml2latex Version: 0.4-2 Installed-Size: 53 Maintainer: Gunnar Wolf Architecture: all Depends: perl, libhtml-parser-perl Recommends: wget Size: 10418 SHA256: d349c75bea04e97360bfecff0c71bf8fc79ab350f02ad1d518049aab57ee3356 SHA1: 118da8fd736d53f55d77a37f6cfeb356a48fc585 MD5sum: c315354a5450f410d33b7f6fc7dd9c1a Description: Convert HTML files to LaTeX gnuhtml2latex is a Perl script that converts HTML files to LaTeX files. It takes list of .html files as arguments and make .tex ones. Can also convert html stdin to latex stdout. Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, works-with-format::html, works-with-format::tex, works-with::text Section: text Priority: optional Filename: pool/main/g/gnuhtml2latex/gnuhtml2latex_0.4-2_all.deb Package: gnuift Version: 0.1.14-12 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 283 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.1.1), libgnuift0c2a (>= 0.1.14), libmrml1c2a (>= 0.1.14), libstdc++6 (>= 4.6), gnuift-perl (= 0.1.14-12) Suggests: gnuift-doc, kmrml Homepage: http://www.gnu.org/software/gift/ Priority: optional Section: graphics Filename: pool/main/g/gnuift/gnuift_0.1.14-12_armhf.deb Size: 121246 SHA256: 46ae8487cb9eb832c860352d8b537bb8d8fc8033d4eb69a58e18dfdccb99bdd6 SHA1: 4da8b0e5487fc5e45e8ff26f4029dca5604da6dd MD5sum: a1ca89b71b69c972374c03c35016b814 Description: GNU Image Finding Tool - index and search images by content The GIFT (the GNU Image-Finding Tool) is a Content Based Image Retrieval System (CBIRS). It enables you to do Query By Example on images, giving you the opportunity to improve query results by relevance feedback. For processing your queries the program relies entirely on the content of the images, freeing you from the need to annotate all images before querying the collection. . The GIFT comes with a tool which lets you index whole directory trees containing images in one go. You then can use the GIFT server and its client, to browse your own image collections. . The GIFT is an open framework. The developers explicitly have taken into account the possibility of adding new ways of querying to the framework. The communication protocol for client-server communication, MRML, is XML based and fully documented (http://www.mrml.net). This aims at promoting code reuse among researchers and application developers. . The current version of the GIFT can be seen in action at http://viper.unige.ch/demo/ . The GIFT (ex Viper) is the result of a research effort at the Vision Group at the CUI (computer science center) of the University of Geneva (see http://vision.unige.ch/). This cutting-edge research has been the subject of several publications and conference talks. Details can be found at http://viper.unige.ch/. . To avoid a name clash with the "gift" package (a fasttrack filesharing client), these packages have been named "gnuift" (also to stress that gnuift is a GNU project). Package: gnuift-doc Source: gnuift Version: 0.1.14-12 Installed-Size: 4714 Maintainer: Debian QA Group Architecture: all Size: 806822 SHA256: 6ed807fbf783779e271baf8544fce59817b45cce04f268dae27371cf555dec87 SHA1: 3965ab49417225512d4ee45f06044405d34805d4 MD5sum: a171b6b0348c477987516429ad2a9ed1 Description: Documentation for gnuift This package includes gift-guide, configuring-and-hacking-the-gift and the doxygen reference tree. The application is contained in the gnuift package. . The GIFT (the GNU Image-Finding Tool) is a Content Based Image Retrieval System (CBIRS). It enables you to do Query By Example on images, giving you the opportunity to improve query results by relevance feedback. For processing your queries the program relies entirely on the content of the images, freeing you from the need to annotate all images before querying the collection. . The GIFT comes with a tool which lets you index whole directory trees containing images in one go. You then can use the GIFT server and its client, to browse your own image collections. . The GIFT is an open framework. The developers explicitly have taken into account the possibility of adding new ways of querying to the framework. The communication protocol for client-server communication, MRML, is XML based and fully documented (http://www.mrml.net). This aims at promoting code reuse among researchers and application developers. . To avoid a name clash with the "gift" package (a fasttrack filesharing client), these packages have been named "gnuift" (also to stress that gnuift is a GNU project). Homepage: http://www.gnu.org/software/gift/ Tag: made-of::html, made-of::tex, role::documentation, suite::gnu, use::searching, works-with::image, works-with::image:raster Section: doc Priority: optional Filename: pool/main/g/gnuift/gnuift-doc_0.1.14-12_all.deb Package: gnuift-perl Source: gnuift Version: 0.1.14-12 Installed-Size: 274 Maintainer: Debian QA Group Architecture: all Depends: gnuift (>= 0.1.14-12), gnuift (<< 0.1.14-12.1~), perl, imagemagick, libtext-iconv-perl, libxml-handler-trees-perl, libxml-sax-expat-perl, libxml-sax-perl, libxml-libxml-perl, libxml-parser-perl, libhtml-parser-perl, libxml-writer-perl, libparse-yapp-perl, libxml-xql-perl, libxml-dom-perl, libwww-perl Size: 90742 SHA256: dc6899d337520b4916b89f3842f84c53db5f545fa0c74b6591906782d2c8d64a SHA1: 720b3e6b3dc9c357339b3af7eed1e5f0a1cb993d MD5sum: cdcb9d25d0d1ba7d27ee7426c36f66f1 Description: GNU Image Finding Tool - perl modules Architecture independent perl modules of gnuift. The application is contained in the gnuift package. . The GIFT (the GNU Image-Finding Tool) is a Content Based Image Retrieval System (CBIRS). It enables you to do Query By Example on images, giving you the opportunity to improve query results by relevance feedback. For processing your queries the program relies entirely on the content of the images, freeing you from the need to annotate all images before querying the collection. . The GIFT comes with a tool which lets you index whole directory trees containing images in one go. You then can use the GIFT server and its client, to browse your own image collections. . The GIFT is an open framework. The developers explicitly have taken into account the possibility of adding new ways of querying to the framework. The communication protocol for client-server communication, MRML, is XML based and fully documented (http://www.mrml.net). This aims at promoting code reuse among researchers and application developers. . To avoid a name clash with the "gift" package (a fasttrack filesharing client), these packages have been named "gnuift" (also to stress that gnuift is a GNU project). Homepage: http://www.gnu.org/software/gift/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::shared-lib, suite::gnu, use::searching, works-with::image, works-with::image:raster Section: perl Priority: optional Filename: pool/main/g/gnuift/gnuift-perl_0.1.14-12_all.deb Package: gnuit Version: 4.9.5-3 Architecture: armhf Maintainer: Ian Beckwith Installed-Size: 1148 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libtinfo5, dpkg (>= 1.15.4) | install-info Suggests: xdg-utils Homepage: http://www.gnu.org/software/gnuit/ Priority: optional Section: utils Filename: pool/main/g/gnuit/gnuit_4.9.5-3_armhf.deb Size: 309466 SHA256: 02a1db3bd36a4891dd46a8c7f401c3b70cb0b70dec21ef41c14981ef40f98ca8 SHA1: 69eb26a954ad3327208a180d2a9337d64dfb0496 MD5sum: 162451cf44b584aa387d694df9f605ba Description: GNU Interactive Tools, a file browser/viewer and process viewer/killer gnuit (GNU Interactive Tools) is a set of interactive text-mode tools, closely integrated with the shell. It contains an extensible file system browser (similar to Norton Commander and XTree), an ASCII/hex file viewer, a process viewer/killer and some other related utilities and shell scripts. It can be used to increase the speed and efficiency of most of the daily tasks such as copying and moving files and directories, invoking editors, compressing and uncompressing files, creating and expanding archives, compiling programs, sending mail, etc. It looks nice, has colors (if the standard ANSI color sequences are supported) and is user-friendly. . One of the main advantages of gnuit is its flexibility. It is not limited to a given set of commands. The configuration file can be easily enhanced, allowing the user to add new commands or file operations, depending on its needs or preferences. Package: gnujump Version: 1.0.6-4 Architecture: armhf Maintainer: Debian Games Group Installed-Size: 142 Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), gnujump-data (= 1.0.6-4) Homepage: http://gnujump.es.gnu.org/ Priority: optional Section: games Filename: pool/main/g/gnujump/gnujump_1.0.6-4_armhf.deb Size: 54004 SHA256: 2c015396eab2349a73a9676947ab3d76e7f51894c623683abd92c992dcb70d90 SHA1: e3960284882460960e24938cdce758951b44e0a0 MD5sum: 687ec3d66b54366f35a2a640eacabd23 Description: platform game where you have to jump up to survive The goal in this game is to jump to the next floor so you don't fall down. As you go higher in the falling tower the floors will fall faster. Try to survive longer than anyone, or, in single player mode, try to get as high as you can. . At the moment, the game is called sdljump (and it will appear as such in the menu), but the package name has changed following an upstream rename. The new version of the game will be called gnujump as well. . The game is a clone of xjump, and provides all its features, plus some more: * Multiplayer mode (up to four players, not networked) * Smooth graphics possible (but xjump style as well) * Different themes are available * Can use OpenGL for rendering * Music and sound effects * Recording (and replaying) of games Package: gnujump-data Source: gnujump Version: 1.0.6-4 Installed-Size: 2193 Maintainer: Debian Games Group Architecture: all Recommends: gnujump (>= 1.0.6-4) Size: 1982670 SHA256: 9e63ced889629fba16feaaf90ed6f0c752a04787a7aeeae80c2d2a9ba45853cf SHA1: a730c8b5adf28ff005c1086fc552ef91d1b0463e MD5sum: e312a063a2c6a7649782728b77836eca Description: platform game where you have to jump up to survive - data files The goal in this game is to jump to the next floor so you don't fall down. As you go higher in the falling tower the floors will fall faster. Try to survive longer than anyone, or, in single player mode, try to get as high as you can. . At the moment, the game is called sdljump (and it will appear as such in the menu), but the package name has changed following an upstream rename. The new version of the game will be called gnujump as well. . The game is a clone of xjump, and provides all its features, plus some more: * Multiplayer mode (up to four players, not networked) * Smooth graphics possible (but xjump style as well) * Different themes are available * Can use OpenGL for rendering * Music and sound effects * Recording (and replaying) of games . This package provides the data files for the game. Homepage: http://gnujump.es.gnu.org/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/g/gnujump/gnujump-data_1.0.6-4_all.deb Package: gnulib Version: 20120404+stable-1 Installed-Size: 35063 Maintainer: Ian Beckwith Architecture: all Depends: dpkg (>= 1.15.4) | install-info, build-essential, m4, autoconf, automake, gettext (>= 0.18.1), autopoint, bison, gperf, texinfo, libtool Suggests: perl, clisp Size: 7036620 SHA256: ef65cbc750896465a6f27426c8885e4641f545a3e586f9ab025b5413b5c3e6f8 SHA1: 4f8cacf9914a7d002ab074614d59cb4706057581 MD5sum: c13c80e0c5ea18a4e43b6921c15333d5 Description: GNU Portability Library The GNU portability library is a macro system and C declarations and definitions for commonly-used API elements and abstracted system behaviors. It can be used to improve portability and other functionality in your programs. Homepage: http://www.gnu.org/software/gnulib/ Tag: devel::lang:c, devel::library, implemented-in::c, role::source, suite::gnu, works-with-format::man Section: devel Priority: optional Filename: pool/main/g/gnulib/gnulib_20120404+stable-1_all.deb Package: gnumach-common Source: gnumach Version: 2:1.3.99.dfsg.git20120610-1 Installed-Size: 50 Maintainer: GNU Hurd Maintainers Architecture: all Replaces: gnumach (<< 2:1.3.99.dfsg.git20110227-1) Breaks: gnumach (<< 2:1.3.99.dfsg.git20110227-1) Size: 15294 SHA256: cd14957d548da862fd633e8d324ce8180bd4ad43750477c9cb6fbc06199f0005 SHA1: bd6223eb233e9ab7963e71004ccb8d39b8ecd103 MD5sum: 187219709fdc4a7efdbdd6fd70bc830d Description: GNU version of the Mach microkernel, common files. These are common files along the Utah Mach microkernel used by the Hurd. . It notably provides useful messages IDs for rpctrace. Multi-Arch: foreign Homepage: http://www.gnu.org/software/hurd/microkernel/mach/gnumach.html Section: devel Priority: optional Filename: pool/main/g/gnumach/gnumach-common_1.3.99.dfsg.git20120610-1_all.deb Package: gnumail.app Source: gnumail Version: 1.2.0~pre3+snap20071004-5 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 6740 Depends: addressmanager.app, gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libaddresses0 (>= 0.4.7), libaddressview0 (>= 0.4.7), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), libpantomime1.2 (>= 1.2.0~pre3+snap20071004+dfsg) Suggests: gnupg, steptalk Provides: imap-client, mail-reader Homepage: http://collaboration-world.com/gnumail Priority: optional Section: gnustep Filename: pool/main/g/gnumail/gnumail.app_1.2.0~pre3+snap20071004-5_armhf.deb Size: 1546072 SHA256: 044ca93555e1c5bfe1adc6a5fbe345443b54299a82a66a44911bb38572f3efa3 SHA1: f0cd2be578cf8f5fcc849341c08386e507892a32 MD5sum: 529a50710397d8569b87aa212c182a3f Description: Mail client for GNUstep GNUMail is a clone of NeXT/Apple's Mail.app application. It uses the GNUstep development framework (or Apple Cocoa, which is based on the OpenStep specification provided by NeXT, Inc.). Package: gnumail.app-dbg Source: gnumail Version: 1.2.0~pre3+snap20071004-5 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 4149 Depends: gnumail.app (= 1.2.0~pre3+snap20071004-5) Homepage: http://collaboration-world.com/gnumail Priority: extra Section: debug Filename: pool/main/g/gnumail/gnumail.app-dbg_1.2.0~pre3+snap20071004-5_armhf.deb Size: 1641336 SHA256: 10c62d1de2fed7f96674aeba3eaf2cae37ba1225a3b57ce292f775ee9bf61a4b SHA1: 45f660f3f57ae9c87cce532fd87a19478e86b520 MD5sum: 2ec292a83298fa88e284f0ba630209d3 Description: Mail client for GNUstep (debugging symbols) GNUMail is a clone of NeXT/Apple's Mail.app application. It uses the GNUstep development framework (or Apple Cocoa, which is based on the OpenStep specification provided by NeXT, Inc.). . This package contains the debugging symbols. Package: gnumed-client Version: 1.1.17+dfsg-1 Installed-Size: 5267 Maintainer: Debian Med Packaging Team Architecture: all Depends: python, python-wxgtk2.8, gnumed-common (= 1.1.17+dfsg-1), file, python-enchant, python-egenix-mxdatetime, hunspell | aspell | ispell | myspell-dictionary | hspell, python-gnuplot, texlive-latex-base Recommends: gnumed-doc (= 1.1.17+dfsg-1), ginkgocadx | aeskulap | amide | dicomscope | imagej | xmedcon, xsane (>= 0.991), extract, ntp | ntpdate, iceweasel | www-browser, libreoffice-writer | openoffice.org-writer, python-uno, wgerman-medical, xdg-utils, gtklp, texlive-latex-recommended, texlive-latex-extra, cups-pdf, pdftk, freediams (>= 0.7.1) Suggests: korganizer, libchipcard-tools, incron, gnumed-server (>= 0v16), konsolekalendar, pgadmin3, gimp | kolourpaint, shutdown-at-night, edfbrowser, autokey-qt | autokey-gtk Size: 1506650 SHA256: eba73772a0e9c00eba9267d1ea3c28bcc3803abd29dc9bbeda07e284941a9b9e SHA1: 0b482575a635b0f9c6e81f8579e16ab0497db9ab MD5sum: ce244c56b04f522d85c30355fd8f20fd Description: medical practice management - Client This is the GNUmed Electronic Medical Record. Its purpose is to enable doctors to keep a medically sound record on their patients' health. It does not currently provide functionality for billing and stock keeping. Clinical features are well-tested by real doctors in the field. . While the GNUmed team has taken the utmost care to make sure the medical records are safe at all times you still need to make sure you are taking appropriate steps to backup the medical data to a safe place at appropriate intervals. Do not forget to test your recovery procedures, too ! . Protect your data! GNUmed itself comes without any warranty whatsoever. You have been warned. . This package contains the wxpython client. Homepage: http://www.gnumed.de Tag: field::medicine, implemented-in::python, interface::x11, network::client, role::program, scope::application, uitoolkit::wxwidgets, use::TODO, use::organizing, works-with-format::TODO, works-with::TODO, works-with::db, works-with::people, x11::application Section: misc Priority: optional Filename: pool/main/g/gnumed-client/gnumed-client_1.1.17+dfsg-1_all.deb Package: gnumed-client-de Source: gnumed-client Version: 1.1.17+dfsg-1 Installed-Size: 62 Maintainer: Debian Med Packaging Team Architecture: all Depends: gnumed-client (= 1.1.17+dfsg-1), libchipcard-tools, adduser Recommends: wgerman-medical, hunspell-de-med Suggests: libctapimkt0 Size: 15910 SHA256: 9e79f029f958531d651cd78081f3055b30900852669c59b4108d81030b926665 SHA1: d6bbe476153c43b64eb920027e00368d52c954d8 MD5sum: ab50514c2240dec511db507aeaaf5be4 Description: medical practice management - Client for German users Just install this package if you want to use the GNUmed client in Germany and you have to use German chipcard systems. The package installs the GNUmed client and cares for proper libchipcard support. Homepage: http://www.gnumed.de Tag: culture::german, field::medicine, role::TODO Section: misc Priority: optional Filename: pool/main/g/gnumed-client/gnumed-client-de_1.1.17+dfsg-1_all.deb Package: gnumed-common Source: gnumed-client Version: 1.1.17+dfsg-1 Installed-Size: 536 Maintainer: Debian Med Packaging Team Architecture: all Depends: python-psycopg2 (>= 2.2), python-egenix-mxdatetime Size: 137188 SHA256: 2f50bea3c186df498f1e9ce05b9d51d622aa60d8aebdb91152359fec4fa4ee97 SHA1: 1e24d7e76349ee7ff57d6f9a3a44a41e1c9cfcd7 MD5sum: ee5f1acbdb2e1902c914630da436e7f6 Description: medical practice management - common files This is the GNUmed Electronic Medical Record. Its purpose is to enable doctors to keep a medically sound record on their patients' health. Currently it is not fully featured. The features provided are, however, tested, in use, and considered stable. This package does NOT yet provide functionality for billing and stock keeping. . While the GNUmed team has taken the utmost care to make sure the medical records are safe at all times you still need to make sure you are taking appropriate steps to backup the medical data to a safe place at appropriate intervals. Do test your backup and disaster recovery procedures, too ! . Protect your data! GNUmed itself comes without any warranty whatsoever. You have been warned. . This package contains the files which are common to client and server. Homepage: http://www.gnumed.de Tag: field::medicine, made-of::TODO, role::app-data Section: misc Priority: optional Filename: pool/main/g/gnumed-client/gnumed-common_1.1.17+dfsg-1_all.deb Package: gnumed-doc Source: gnumed-client Version: 1.1.17+dfsg-1 Installed-Size: 1886 Maintainer: Debian Med Packaging Team Architecture: all Recommends: dhelp Suggests: gnumed-client, dwww Enhances: gnumed-client Size: 1053944 SHA256: ca2512d4298d1c588bad01bf929d2af9dc9b072090da19468d2febdd6d3d9ad7 SHA1: 32785392c235f9cde07fb407b3fcea14c977d7c2 MD5sum: 66716fa3c72c278fb0f3cd702750f887 Description: medical practice management - Documentation This is the GNUmed Electronic Medical Record. Its purpose is to enable doctors to keep a medically sound record on their patients' health. Currently it is not fully featured. The features provided are, however, tested, in use, and considered stable. This package does NOT yet provide functionality for billing and stock keeping. . While the GNUmed team has taken the utmost care to make sure the medical records are safe at all times you still need to make sure you are taking appropriate steps to backup the medical data to a safe place at appropriate intervals. Do test your backup and disaster recovery procedures, too ! . Protect your data! GNUmed itself comes without any warranty whatsoever. You have been warned. . This package contains the documentation for users. Homepage: http://www.gnumed.de Tag: field::medicine, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gnumed-client/gnumed-doc_1.1.17+dfsg-1_all.deb Package: gnumed-server Version: 16.17-1 Installed-Size: 37974 Maintainer: Debian Med Packaging Team Architecture: all Depends: postgresql (>= 8.4) | postgresql-8.4, postgresql-client, python, debconf, bsd-mailx | mailx, openssl, bzip2, cron | anacron, sudo, gnupg | gnupg2, rsync, python-psycopg2 (>= 2.0.8), python-egenix-mxdatetime Suggests: postgresql-contrib, postgresql-plr | postgresql-9.1-plr, bacula-console, postgresql-filedump Size: 13491320 SHA256: 27b081e122de99340114b1395e7549badaf39d0adfe6319da1e1eb2b555bca96 SHA1: 15dba4f6f0a4bd32c735cc89e434834f30ada29c MD5sum: cd9a062955e768a69c0dcc6b3026afca Description: medical practice management - server This is the GNUmed Electronic Medical Record. Its purpose is to enable doctors to keep a medically sound record on their patients' health. It does not currently provide functionality for billing and stock keeping. Clinical features are well-tested by real doctors in the field. . While the GNUmed team has taken the utmost care to make sure the medical records are safe at all times you still need to make sure you are taking appropriate steps to backup the medical data to a safe place at appropriate intervals. Do not forget to test your recovery procedures, too ! . Protect your data! GNUmed itself comes without any warranty whatsoever. You have been warned. . This package contains the PostgreSQL server part. . Note: The package does currently _NOT_ build the GNUmed database but just installs the needed SQL files. Please see README.Debian. Homepage: http://www.gnumed.de Tag: field::medicine, role::program Section: misc Priority: optional Filename: pool/main/g/gnumed-server/gnumed-server_16.17-1_all.deb Package: gnumeric Version: 1.10.17-1.1 Architecture: armhf Maintainer: J.H.M. Dassen (Ray) Installed-Size: 6331 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgoffice-0.8-8 (>= 0.8.15), libgsf-1-114 (>= 1.14.15), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.22.0), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), procps, gsfonts, gnumeric-common (= 1.10.17-1.1), debconf (>= 0.5) | debconf-2.0, gconf2 (>= 2.28.1-2) Recommends: evince (>= 0.9.0) | evince-gtk (>= 0.9.0), lp-solve Suggests: gnumeric-doc (>= 1.10.17), gnumeric-plugins-extra, epiphany-browser, ttf-liberation | ttf-mscorefonts-installer Breaks: gnumeric-doc (<< 1.10.17), gnumeric1.0, gnumeric1.0-doc Replaces: gnumeric1.0, zh-trans (<< 0.8-1) Homepage: http://www.gnumeric.org/ Priority: optional Section: math Filename: pool/main/g/gnumeric/gnumeric_1.10.17-1.1_armhf.deb Size: 2414472 SHA256: 6eade875ec56c1b84aeb5e8b82745e3d9546a738a2c88622320fed8dd3e652f9 SHA1: 8baa13d9756c088abf078bc9c5b05b265da9b974 MD5sum: 0b9c4219d7841344ca80ec5dbef37753 Description: spreadsheet application for GNOME - main program Gnumeric is a spreadsheet application that interoperates well with other spreadsheets. It comes with plugins that enable it to deal with commonly used spreadsheet file formats. . The following formats can be imported and exported: - Microsoft Excel 97/2000/XP (.xls); - Microsoft Excel 95 (.xls); - OASIS XML, OpenOffice.org XML, StarOffice (.sxc); - Comma/Character Separated Values (.csv); - Data Interchange Format (.dif); - Applix version 4 (.as). . Additionally, the following formats can be imported: - GNU Oleo (.oleo); - Linear and integer program expression format (.mps); - Lotus 1-2-3 (.wks, .wk1); - MS MultiPlan SYLK (.sylk); - WordPerfect family "Plan Perfect" (.pln); - Quattro Pro (tm); - XSpread or SC; - XBase (.dbf). . Gnumeric can export to LaTeX 2e (.tex), TROFF (.me) and HTML as well. . Gnumeric should be easy to use, in particular for users familiar with Excel. . Gnumeric is a GNOME application. GNOME (GNU Network Object Model Environment) is a user-friendly set of applications and desktop tools to be used in conjunction with a window manager for the X Window System. Package: gnumeric-common Source: gnumeric Version: 1.10.17-1.1 Installed-Size: 13784 Maintainer: J.H.M. Dassen (Ray) Architecture: all Replaces: gnumeric (<= 1.2.6-1) Size: 4219856 SHA256: 772fc95a5e34b2a74d89069e299b7146967e281d63a151bb6bea25eec3b41206 SHA1: fc8aafe4f7808fa33f8edcb3ab21dbc83fde404c MD5sum: 8cfc4ec5b4eae4df70f8f94fa678c2be Description: spreadsheet application for GNOME - common files Gnumeric is a spreadsheet application that interoperates well with other spreadsheets. It comes with plugins that enable it to deal with commonly used spreadsheet file formats. . This package contains architecture-independent data files needed by gnumeric. Homepage: http://www.gnumeric.org/ Tag: interface::x11, role::app-data, suite::gnome, suite::gnu, uitoolkit::gtk, use::editing, works-with::spreadsheet Section: math Priority: optional Filename: pool/main/g/gnumeric/gnumeric-common_1.10.17-1.1_all.deb Package: gnumeric-doc Source: gnumeric Version: 1.10.17-1.1 Installed-Size: 8748 Maintainer: J.H.M. Dassen (Ray) Architecture: all Replaces: docbook-xsl, gnumeric (<< 1.1.17-2), gnumeric1.0-doc Recommends: yelp (>= 2.6.0), gnome-desktop-data Suggests: gnumeric (>= 1.10.17), docbook-xsl Breaks: gnumeric1.0-doc Size: 6823090 SHA256: b075dcb44c20d700dd5ed025ca9801d25a6d56ffad01b21e2a11b22cec874063 SHA1: a643e34cef23f615d0038684476458ba473b2b10 MD5sum: 09e786e785f0a93bc5e8634c594426b5 Description: spreadsheet application for GNOME - documentation Gnumeric is a spreadsheet application that interoperates well with other spreadsheets. It comes with plugins that enable it to deal with commonly used spreadsheet file formats. . This package provides the user manual in GNOME Help (DocBook XML) format. Homepage: http://www.gnumeric.org/ Tag: made-of::xml, role::documentation, suite::gnome, suite::gnu, works-with::spreadsheet Section: doc Priority: optional Filename: pool/main/g/gnumeric/gnumeric-doc_1.10.17-1.1_all.deb Package: gnumeric-plugins-extra Source: gnumeric Version: 1.10.17-1.1 Architecture: armhf Maintainer: J.H.M. Dassen (Ray) Installed-Size: 376 Depends: gnumeric (= 1.10.17-1.1), python-gtk2, perl, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libperl5.14 (>= 5.14.2), libpython2.7 (>= 2.7), pxlib1 (>= 0.5.0) Breaks: gnumeric-gda, gnumeric-python, gnumeric1.0-gda, gnumeric1.0-python Replaces: gnumeric (<= 1.3.92-1), gnumeric-gda, gnumeric-python, gnumeric1.0-gda, gnumeric1.0-python Homepage: http://www.gnumeric.org/ Priority: optional Section: math Filename: pool/main/g/gnumeric/gnumeric-plugins-extra_1.10.17-1.1_armhf.deb Size: 210124 SHA256: 02996f3fed235954b16742e369237db2d6a6438282875af3ce9d657f6032b1f5 SHA1: 2868216b91c5ae6dc8a447f1c8c5fd20d53f01ad MD5sum: 5e8371b33e0e0a169a57f4c64274dbb5 Description: spreadsheet application for GNOME - additional plugins Gnumeric is a spreadsheet application that interoperates well with other spreadsheets. It comes with plugins that enable it to deal with commonly used spreadsheet file formats. . Some plugins shipped with gnumeric require additional packages to those required by the main gnumeric program. These plugins are therefore packaged separately. . This includes: - Perl plugin; - Python plugin; - Python plugin loader; - additional Python functions; - GNOME glossary. Package: gnuminishogi Source: gnushogi Version: 1.3.2-9 Architecture: armhf Maintainer: Yann Dirson Installed-Size: 194 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Suggests: tagua Homepage: http://www.cs.caltech.edu/~mvanier/hacking/gnushogi/gnushogi.html Priority: optional Section: games Filename: pool/main/g/gnushogi/gnuminishogi_1.3.2-9_armhf.deb Size: 99118 SHA256: 4192de077392a10eab832e3d36570f9a25daff778c42dc3aec78a736fb6d37ee SHA1: 438592af5cc7b197aad6556024434e6ff80da807 MD5sum: 04a870923234a58129c20fcdee28da58 Description: program to play minishogi, a shogi variant on a 5x5 board Gnuminishogi is a computer player for the MiniShogi variant of shogi (Japanese chess). . Gnuminishogi is a modified version of the gnushogi program. It can be used through tagua, kaya, or other graphical frontends, but also has a simple alpha-numeric board display. Package: gnunet Version: 0.9.3-7 Installed-Size: 66 Maintainer: Bertrand Marc Architecture: all Depends: gnunet-client, gnunet-server Size: 40604 SHA256: 6c89fb2605572e132bc87d00c71f176ee844c464c6cd103e790e9e758613574f SHA1: 48c63bf5ef41edbdcc1451745f53437eeff7e704 MD5sum: 6b5906d0d6b23dcf85fd50f3713d4b32 Description: secure, trust-based peer-to-peer framework (meta) GNUnet is a peer-to-peer framework which focuses on providing security. All link-to-link messages in the network are confidential and authenticated. The framework provides a transport abstraction layer and can currently encapsulate the peer-to-peer traffic in UDP, TCP, or SMTP messages. . This package is a metapackage depending on gnunet-client, gnunet-server and gnunet-tools. Homepage: http://www.gnunet.org/ Tag: interface::commandline, interface::daemon, network::client, network::server, network::service, role::program, role::shared-lib, security::authentication, suite::gnu, use::chatting, use::downloading Section: net Priority: optional Filename: pool/main/g/gnunet/gnunet_0.9.3-7_all.deb Package: gnunet-client Source: gnunet Version: 0.9.3-7 Architecture: armhf Maintainer: Bertrand Marc Installed-Size: 186 Depends: gnunet-common (= 0.9.3-7), libc6 (>= 2.13-28), libextractor3, libgcc1 (>= 1:4.4.0), gettext Suggests: gnunet-server, libextractor-plugins Homepage: http://www.gnunet.org/ Priority: optional Section: net Filename: pool/main/g/gnunet/gnunet-client_0.9.3-7_armhf.deb Size: 88180 SHA256: 796a0cae7b8e452fabac85729ae31d46e3aa162121673f496d6c8ad637f82ae2 SHA1: af074860f1f1bdeef272a7ba4954421e1b18b8b0 MD5sum: c2dd152fb20ffe3641f4ac6c33394c99 Description: secure, trust-based peer-to-peer framework (client) GNUnet is a peer-to-peer framework which focuses on providing security. All link-to-link messages in the network are confidential and authenticated. The framework provides a transport abstraction layer and can currently encapsulate the peer-to-peer traffic in UDP, TCP, or SMTP messages. . This package contains the command line client applications, such as gnunet-search and gnunet-download. In order use GNUnet, you also need gnunet-server either on your server or on your local machine. Package: gnunet-common Source: gnunet Version: 0.9.3-7 Architecture: armhf Maintainer: Bertrand Marc Installed-Size: 775 Depends: libc6 (>= 2.13-28), libextractor3, libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libltdl7 (>= 2.4.2), libmysqlclient18 (>= 5.5.24+dfsg-1), libpq5, libunistring0, zlib1g (>= 1:1.1.4) Homepage: http://www.gnunet.org/ Priority: optional Section: net Filename: pool/main/g/gnunet/gnunet-common_0.9.3-7_armhf.deb Size: 348934 SHA256: d4a747e35d85db522d4d0e8f8af45ece307d8ab6027470f5e99ed0878a0eeee7 SHA1: 08a6f2656496ba2e44f44012905917cce94762c1 MD5sum: b0c8b73e68cfce856d065f824c047ec7 Description: secure, trust-based peer-to-peer framework (common) GNUnet is a peer-to-peer framework which focuses on providing security. All link-to-link messages in the network are confidential and authenticated. The framework provides a transport abstraction layer and can currently encapsulate the peer-to-peer traffic in UDP, TCP, or SMTP messages. . This package contains the common files. Package: gnunet-dbg Source: gnunet Version: 0.9.3-7 Architecture: armhf Maintainer: Bertrand Marc Installed-Size: 5618 Depends: gnunet-common (= 0.9.3-7) | gnunet-server (= 0.9.3-7) | gnunet-client (= 0.9.3-7) Homepage: http://www.gnunet.org/ Priority: extra Section: debug Filename: pool/main/g/gnunet/gnunet-dbg_0.9.3-7_armhf.deb Size: 2249718 SHA256: 5e83723aa2df4cf6a692fb0959fb8cbe7e8c3966032cfe157cab04007043f217 SHA1: 4e9e09567f083e85f776764acca49347354a061f MD5sum: 484d22743ebbc94eb52e2f508e29faf8 Description: secure, trust-based peer-to-peer framework (debug) GNUnet is a peer-to-peer framework which focuses on providing security. All link-to-link messages in the network are confidential and authenticated. The framework provides a transport abstraction layer and can currently encapsulate the peer-to-peer traffic in UDP, TCP, or SMTP messages. . This package contains the debugging symbols. Package: gnunet-dev Source: gnunet Version: 0.9.3-7 Architecture: armhf Maintainer: Bertrand Marc Installed-Size: 4349 Depends: gnunet-server (= 0.9.3-7), gnunet-client (= 0.9.3-7), libextractor-dev (>= 0.6.1), libmicrohttpd-dev (>= 0.9.18) Homepage: http://www.gnunet.org/ Priority: optional Section: libdevel Filename: pool/main/g/gnunet/gnunet-dev_0.9.3-7_armhf.deb Size: 3665938 SHA256: 908a84420d0ecc4ba95034b675648fdd3f55dbcad1ead68d65247866ff92f27a SHA1: 46dc3e1d2ed2f8234be001c669f3a7e0f03ba054 MD5sum: 71469c83d9a2b0548d9c81cf48aab8b1 Description: secure, trust-based peer-to-peer framework (development) GNUnet is a peer-to-peer framework which focuses on providing security. All link-to-link messages in the network are confidential and authenticated. The framework provides a transport abstraction layer and can currently encapsulate the peer-to-peer traffic in UDP, TCP, or SMTP messages. . This package contains the development files. Package: gnunet-fuse Version: 0.9.3-2 Architecture: armhf Maintainer: Bertrand Marc Installed-Size: 62 Depends: gnunet-common, libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0) Suggests: gnunet-server Homepage: http://www.gnunet.org/ Priority: optional Section: net Filename: pool/main/g/gnunet-fuse/gnunet-fuse_0.9.3-2_armhf.deb Size: 15180 SHA256: a2b8ca4cc5a3ecea85325f6842408b079f676f566ea6bbba397f240f6677412c SHA1: 606dcb13603168fa14b9742539e1beb3598db55b MD5sum: 13d535789d70bb09c21e96c30fc83616 Description: secure, trust-based peer-to-peer framework (fuse filesystem client) GNUnet is a peer-to-peer framework which focuses on providing security. All link-to-link messages in the network are confidential and authenticated. The framework provides a transport abstraction layer and can currently encapsulate the peer-to-peer traffic in UDP, TCP, or SMTP messages. . This package contains the fuse filesystem client. In order use GNUnet, you also need gnunet-server either on your server or on your local machine. Package: gnunet-gtk Version: 0.9.3-1 Architecture: armhf Maintainer: Bertrand Marc Installed-Size: 1555 Depends: gnunet-common, gnunet-server, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libextractor3, libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgladeui-2-0, libglib2.0-0 (>= 2.24.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27), gksu Breaks: gnunet-tools (<< 0.9) Replaces: gnunet-tools (<< 0.9) Homepage: http://www.gnunet.org/ Priority: optional Section: net Filename: pool/main/g/gnunet-gtk/gnunet-gtk_0.9.3-1_armhf.deb Size: 404156 SHA256: 9aeb6c2ed0fa49beb4c8e7e01320ab37875bf7094d2d13fc47d11b4154adb649 SHA1: 63c39a596ff164fbea29d29837d57d54afc68a70 MD5sum: eee5725694dff0d47511b010e51c57cb Description: secure, trust-based peer-to-peer framework (GTK+ client) GNUnet is a peer-to-peer framework which focuses on providing security. All link-to-link messages in the network are confidential and authenticated. The framework provides a transport abstraction layer and can currently encapsulate the peer-to-peer traffic in UDP, TCP, or SMTP messages. . This package contains the graphical client, using the GTK+ toolkit. In order use GNUnet, you also need gnunet-server either on your server or on your local machine. Package: gnunet-gtk-dbg Source: gnunet-gtk Version: 0.9.3-1 Architecture: armhf Maintainer: Bertrand Marc Installed-Size: 722 Depends: gnunet-gtk (= 0.9.3-1), gnunet-gtk-dev (= 0.9.3-1) Homepage: http://www.gnunet.org/ Priority: extra Section: debug Filename: pool/main/g/gnunet-gtk/gnunet-gtk-dbg_0.9.3-1_armhf.deb Size: 277962 SHA256: 15310f3a7f0af100fd0629727423db5f704b0d9f082bc13dd092295e91fce37a SHA1: 6e1ca3f5e3111446c52b06ebd3d204123f571026 MD5sum: e1ce906572b019b5c7ea8b79a3249fdb Description: secure, trust-based peer-to-peer framework (GTK+ client debug) GNUnet is a peer-to-peer framework which focuses on providing security. All link-to-link messages in the network are confidential and authenticated. The framework provides a transport abstraction layer and can currently encapsulate the peer-to-peer traffic in UDP, TCP, or SMTP messages. . This package contains the debugging symbols for the graphical client, using the GTK+ toolkit. Package: gnunet-gtk-dev Source: gnunet-gtk Version: 0.9.3-1 Architecture: armhf Maintainer: Bertrand Marc Installed-Size: 53 Depends: gnunet-gtk (= 0.9.3-1), libextractor-dev, libgtk2.0-dev Homepage: http://www.gnunet.org/ Priority: optional Section: devel Filename: pool/main/g/gnunet-gtk/gnunet-gtk-dev_0.9.3-1_armhf.deb Size: 12950 SHA256: 5a0ba90044b7842520498d9ef0421982d3281634f5c774109b2c430e8db65460 SHA1: 2bf032c57df958ad6b81df10eda352416f02f100 MD5sum: 3d2aebea7b88c07a6c7b717adec50132 Description: secure, trust-based peer-to-peer framework (GTK+ client development) GNUnet is a peer-to-peer framework which focuses on providing security. All link-to-link messages in the network are confidential and authenticated. The framework provides a transport abstraction layer and can currently encapsulate the peer-to-peer traffic in UDP, TCP, or SMTP messages. . This package contains the development files for the graphical client, using the GTK+ toolkit. Package: gnunet-server Source: gnunet Version: 0.9.3-7 Architecture: armhf Maintainer: Bertrand Marc Installed-Size: 2334 Depends: debconf (>= 0.5) | debconf-2.0, gnunet-common (= 0.9.3-7), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.18.0), libextractor3, libgcc1 (>= 1:4.4.0), libglpk0 (>= 4.43), libmicrohttpd10, libmysqlclient18 (>= 5.5.24+dfsg-1), libpq5, libsqlite3-0 (>= 3.6.0), zlib1g (>= 1:1.1.4), adduser, gettext, netbase Suggests: miniupnpc Breaks: gnunet-client (<< 0.9), gnunet-fuse (<< 0.9) Replaces: gnunet-client (<< 0.9), gnunet-fuse (<< 0.9) Homepage: http://www.gnunet.org/ Priority: optional Section: net Filename: pool/main/g/gnunet/gnunet-server_0.9.3-7_armhf.deb Size: 963794 SHA256: 62bac2916a625afa4031308f09c106cb90f8b27de0a275649b01956f1931ca60 SHA1: f9ebce8a7882c54c06307557b8413da5588b7fd2 MD5sum: df79cd59c339937e36e731ce669658dc Description: secure, trust-based peer-to-peer framework (server) GNUnet is a peer-to-peer framework which focuses on providing security. All link-to-link messages in the network are confidential and authenticated. The framework provides a transport abstraction layer and can currently encapsulate the peer-to-peer traffic in UDP, TCP, or SMTP messages. . This package contains the server, needed to run a GNUnet node. Package: gnupg Version: 1.4.12-7+deb7u9 Architecture: armhf Maintainer: Debian GnuPG-Maintainers Installed-Size: 4473 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0), libusb-0.1-4 (>= 2:0.1.12), zlib1g (>= 1:1.1.4), dpkg (>= 1.15.4) | install-info, gpgv Recommends: libldap-2.4-2 (>= 2.4.7), gnupg-curl Suggests: gnupg-doc, xloadimage | imagemagick | eog, libpcsclite1 Multi-Arch: foreign Homepage: http://www.gnupg.org Priority: important Section: utils Filename: pool/main/g/gnupg/gnupg_1.4.12-7+deb7u9_armhf.deb Size: 1900592 SHA256: ac91248532a859d11a79fd981b80a6a0115c2393dfa1f63a4e8fd06427d78b6f SHA1: 583c522fb944016f76f5bcc1cfe1d00bff52ded1 MD5sum: 64b4cc80f3bdd6a1d8424b0451354f47 Description: GNU privacy guard - a free PGP replacement GnuPG is GNU's tool for secure communication and data storage. It can be used to encrypt data and to create digital signatures. It includes an advanced key management facility and is compliant with the proposed OpenPGP Internet standard as described in RFC 4880. . GnuPG 1.4 is the standalone, non-modularized series. In contrast to the version 2 series, shipped with the gnupg2 package, it comes with no support for S/MIME and some other tools useful for desktop environments, but also with less dependencies. . The gnupg package is built without libcurl. So it does not support HKPS keyservers. Install the gnupg-curl package if you want to use the keyserver helper tools built with libcurl and supporting HKPS. . GnuPG does not use any patented algorithms. This means it cannot be compatible with PGP2, because that uses IDEA (which is patented in a number of countries). Package: gnupg-agent Source: gnupg2 Version: 2.0.19-2+deb7u2 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 812 Depends: libassuan0 (>= 2.0.2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgpg-error0 (>= 1.10), libpth20 (>= 2.0.7), libreadline6 (>= 6.0), pinentry-gtk2 | pinentry-curses | pinentry Recommends: gnupg2 | gpgsm | gnupg Conflicts: newpg Breaks: gnupg2 (<< 2.0.18-2), gpgsm (<< 2.0.18-2) Replaces: gnupg2 (<< 2.0.18-2), gpgsm (<< 2.0.18-2), newpg Multi-Arch: foreign Homepage: http://www.gnupg.org/ Priority: optional Section: utils Filename: pool/main/g/gnupg2/gnupg-agent_2.0.19-2+deb7u2_armhf.deb Size: 416682 SHA256: 1d254f0308c8fe563c5411bd45cf2e9eb73d4e5366a5377155d65c1fb7857932 SHA1: 5403ee2d15797412ad2b670234e88f55604323f0 MD5sum: 996d8ea3b8c184cbf546201f9e062727 Description: GNU privacy guard - password agent GnuPG is GNU's tool for secure communication and data storage. It can be used to encrypt data and to create digital signatures. It includes an advanced key management facility and is compliant with the proposed OpenPGP Internet standard as described in RFC2440. . This package contains the agent program gpg-agent which keeps a temporary secure storage of your passphrases. Package: gnupg-curl Source: gnupg Version: 1.4.12-7+deb7u9 Architecture: armhf Maintainer: Debian GnuPG-Maintainers Installed-Size: 85 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libldap-2.4-2 (>= 2.4.7), gnupg Multi-Arch: foreign Homepage: http://www.gnupg.org Priority: optional Section: utils Filename: pool/main/g/gnupg/gnupg-curl_1.4.12-7+deb7u9_armhf.deb Size: 62246 SHA256: de3e3927a329d0fce73e17f912a69a8380eaefa097f8e5377a55c2d2d32eef2b SHA1: c6d6817552f11b7d11e6032e2a7168ed55a98e9a MD5sum: 86349a971727de25d9af38c67d14165f Description: GNU privacy guard - a free PGP replacement (cURL) GnuPG is GNU's tool for secure communication and data storage. It can be used to encrypt data and to create digital signatures. It includes an advanced key management facility and is compliant with the proposed OpenPGP Internet standard as described in RFC 4880. . This package contains the keyserver helper tools built with libcurl, which replace the ones in the gnupg package built with the "curl shim" variant of gnupg. This package provides support for HKPS keyservers. . GnuPG does not use any patented algorithms. This means it cannot be compatible with PGP2, because that uses IDEA (which is patented in a number of countries). Package: gnupg-doc Version: 2003.04.06+dak1-1 Installed-Size: 4124 Maintainer: Don Armstrong Architecture: all Suggests: gnupg Size: 2141540 SHA256: d2eb550a7d749372b6a8df47102d9a179b9369bdfba5d22a30aa4fa01f9e7132 SHA1: ac66ffcac9546dd8aac96c217ed5ac4152debcb8 MD5sum: 8e10a3886de581553a4e5bab7085ebfa Description: GNU Privacy Guard documentation Additional documentation for GnuPG in HTML and (where possible) PostScript and plain text format. Includes: . o The GNU Privacy Handbook o Replacing PGP 2.x with GnuPG o GnuPG mini-HOWTO . The GNU Privacy Handbook is provided in English, French, German, Italian, Spanish and Russian. The Replacing PGP 2.x with GnuPG document is provided in English and Spanish. The mini-HOWTO is provided in English, Catalan, Chinese, Dutch, French, German, Portuguese, Spanish, Swedish and Vietnamese. Tag: made-of::html, made-of::postscript, role::documentation, security::cryptography Section: doc Priority: optional Filename: pool/main/g/gnupg-doc/gnupg-doc_2003.04.06+dak1-1_all.deb Package: gnupg-pkcs11-scd Version: 0.7.3-1 Architecture: armhf Maintainer: Fabrizio Regalli Installed-Size: 84 Depends: libassuan0 (>= 2.0.1), libc6 (>= 2.7), libgcrypt11 (>= 1.4.5), libgpg-error0 (>= 1.10), libpkcs11-helper1 (>= 1.05), libssl1.0.0 (>= 1.0.0) Suggests: gnupg2 | gpgsm | gnupg Homepage: http://gnupg-pkcs11.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/g/gnupg-pkcs11-scd/gnupg-pkcs11-scd_0.7.3-1_armhf.deb Size: 27034 SHA256: 4defc921413a986748b926ec2a4489c1c0cb1dd843599a512c59d915503e7f93 SHA1: 8617631b624976000518801e4b7bb58fb31b19f3 MD5sum: 4056cd7c96e7b433c73aa200bc8150a9 Description: GnuPG smart-card daemon with PKCS#11 support gnupg-pkcs11-scd is a drop-in replacement for the smart-card daemon (scd) shipped with GnuPG. The daemon interfaces to smart-cards by using RSA Security Inc. PKCS#11 Cryptographic Token Interface (Cryptoki). Package: gnupg-pkcs11-scd-dbg Source: gnupg-pkcs11-scd Version: 0.7.3-1 Architecture: armhf Maintainer: Fabrizio Regalli Installed-Size: 134 Depends: gnupg-pkcs11-scd (= 0.7.3-1) Homepage: http://gnupg-pkcs11.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/g/gnupg-pkcs11-scd/gnupg-pkcs11-scd-dbg_0.7.3-1_armhf.deb Size: 43666 SHA256: def277898c7912117bf4e26076e8cf4228bced3c75949d1382b2a8b0c8e0de26 SHA1: 0183034e5e63db58c537ae6739de88bc155040d2 MD5sum: 4c36ccf468050b26126c8a8d85a40bdb Description: GnuPG smart-card daemon with PKCS#11 support (debug) gnupg-pkcs11-scd is a drop-in replacement for the smart-card daemon (scd) shipped with GnuPG. The daemon interfaces to smart-cards by using RSA Security Inc. PKCS#11 Cryptographic Token Interface (Cryptoki). . This package contains the debugging symbols. Package: gnupg2 Version: 2.0.19-2+deb7u2 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 5430 Depends: gnupg-agent (= 2.0.19-2+deb7u2), libassuan0 (>= 2.0.1), libbz2-1.0, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgpg-error0 (>= 1.10), libksba8 (>= 1.2.0), libreadline6 (>= 6.0), zlib1g (>= 1:1.1.4), dpkg (>= 1.15.4) | install-info Recommends: libldap-2.4-2 (>= 2.4.7) Suggests: gnupg-doc, xloadimage Conflicts: gpg-idea (<= 2.2) Multi-Arch: foreign Homepage: http://www.gnupg.org/ Priority: optional Section: utils Filename: pool/main/g/gnupg2/gnupg2_2.0.19-2+deb7u2_armhf.deb Size: 2207752 SHA256: e398a49e3ee163894cdde7100640a628554c506849bcd6ce80521a30720a3170 SHA1: ebe0c33c661d0bcf4e7d96d045ff6287f06d6451 MD5sum: a5005f4a432158e04d83a6116a0f76c7 Description: GNU privacy guard - a free PGP replacement (new v2.x) GnuPG is GNU's tool for secure communication and data storage. It can be used to encrypt data and to create digital signatures. It includes an advanced key management facility and is compliant with the proposed OpenPGP Internet standard as described in RFC2440. . GnuPG 2.x is the new modularized version of GnuPG supporting OpenPGP and S/MIME. . GnuPG does not use any patented algorithms so it cannot be compatible with PGP2 because it uses IDEA (which is patented worldwide). Package: gnuplot Version: 4.6.0-8 Installed-Size: 99 Maintainer: Debian Science Team Architecture: all Depends: gnuplot-nox | gnuplot-x11 | gnuplot-qt Suggests: gnuplot-doc Size: 73912 SHA256: eeaf0f54003c721bf29a3a24cf1bc443a1f084417b780c18a94975ce683faa7c SHA1: 03226d713eadb884ac1f0570cd8cde1680fd2381 MD5sum: 46c84eb1ef7da428a151d7ed0c988c82 Description: Command-line driven interactive plotting program Gnuplot is a portable command-line driven interactive data and function plotting utility that supports lots of output formats, including drivers for many printers, (La)TeX, (x)fig, Postscript, and so on. The X11-output is packaged in gnuplot-x11. . Data files and self-defined functions can be manipulated by the internal C-like language. Can perform smoothing, spline-fitting, or nonlinear fits, and can work with complex numbers. . This package is for transition and to install a full-featured gnuplot supporting the X11-output. Homepage: http://gnuplot.sourceforge.net/ Tag: field::mathematics, implemented-in::c, interface::commandline, role::dummy, role::metapackage, suite::gnu, use::converting, works-with::image, works-with::image:vector Section: math Priority: optional Filename: pool/main/g/gnuplot/gnuplot_4.6.0-8_all.deb Package: gnuplot-doc Source: gnuplot Version: 4.6.0-8 Installed-Size: 4445 Maintainer: Debian Science Team Architecture: all Depends: dpkg (>= 1.15.4) | install-info Size: 2907856 SHA256: 10107d242226eed5401de0174244e1f2505aef264f58a4df2d4b4de6f16d44fe SHA1: 423f5f97d4ca79828ea94e2ef3bdda2a1dc2df6b MD5sum: 21df594fef44cabd43779f65aab9908f Description: Command-line driven interactive plotting program. Doc-package Gnuplot is a portable command-line driven interactive data and function plotting utility that supports lots of output formats, including drivers for many printers, (La)TeX, (x)fig, Postscript, and so on. The X11-output is packaged in gnuplot-x11. . Data files and self-defined functions can be manipulated by the internal C-like language. Can perform smoothing, spline-fitting, or nonlinear fits, and can work with complex numbers. . This package contains the additional documentation. Homepage: http://gnuplot.sourceforge.net/ Tag: field::mathematics, role::documentation, suite::gnu, use::converting, works-with::image, works-with::image:vector Section: doc Priority: optional Filename: pool/main/g/gnuplot/gnuplot-doc_4.6.0-8_all.deb Package: gnuplot-mode Version: 1:0.6.0-8 Installed-Size: 292 Maintainer: Vincent Fourmond Architecture: all Depends: emacs23 | emacs22 | xemacs21 | emacsen, gnuplot-nox | gnuplot-x11 Suggests: gnuplot-doc Size: 144356 SHA256: dd1f7a893346dda11254d44904e9389e808707f0557b8bf06ad7221722613b43 SHA1: 4712b17670dabbb3e9d3c952de2877d78ae50b5b MD5sum: 2fff47e2d52e1c0e3bbb7ae18dc32854 Description: Yet another Gnuplot mode for Emacs Gnuplot is a major mode for Emacs flavours with the following features: - Functions for plotting lines, regions, entire scripts, or entire files - Graphical interface to setting command arguments - Syntax colorization - Completion of common words in Gnuplot - Code indentation - On-line help using Info for Gnuplot functions and features - Interaction with Gnuplot using comint - Pull-down menus plus a toolbar in XEmacs - Distributed with a quick reference sheet in postscript. Homepage: http://xafs.org/BruceRavel/GnuplotMode Tag: field::mathematics, implemented-in::lisp, role::plugin, suite::emacs, suite::gnu, use::editing, works-with::image, works-with::image:vector Section: math Priority: optional Filename: pool/main/g/gnuplot-mode/gnuplot-mode_0.6.0-8_all.deb Package: gnuplot-nox Source: gnuplot Version: 4.6.0-8 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 2126 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libedit2 (>= 2.11-20080614-1), libgcc1 (>= 1:4.4.0), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libglib2.0-0 (>= 2.12.0), liblua5.1-0, libpango1.0-0 (>= 1.14.0) Recommends: groff, fonts-liberation Suggests: gnuplot-doc Conflicts: gnuplot-qt, gnuplot-x11 Homepage: http://gnuplot.sourceforge.net/ Priority: optional Section: math Filename: pool/main/g/gnuplot/gnuplot-nox_4.6.0-8_armhf.deb Size: 930384 SHA256: 67862781ca4e40a032822bace151869e701197a3ab0a34f3b82691d41ae931de SHA1: cf349ccc22a6ad09cfa58cff0fd060c48c1fcaf4 MD5sum: b0ce3ef19d350276be3bc9e4a74940db Description: Command-line driven interactive plotting program. No-X package Gnuplot is a portable command-line driven interactive data and function plotting utility that supports lots of output formats, including drivers for many printers, (La)TeX, (x)fig, Postscript, and so on. The X11-output is packaged in gnuplot-x11. The QT-output is packaged in gnuplot-qt. . Data files and self-defined functions can be manipulated by the internal C-like language. Can perform smoothing, spline-fitting, or nonlinear fits, and can work with complex numbers. . This package is for working without an X server. Package: gnuplot-qt Source: gnuplot Version: 4.6.0-8 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 2597 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libedit2 (>= 2.11-20080614-1), libgcc1 (>= 1:4.4.0), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libglib2.0-0 (>= 2.12.0), liblua5.1-0, libpango1.0-0 (>= 1.14.0), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libx11-6 Suggests: gnuplot-doc Conflicts: gnuplot-nox, gnuplot-x11 Provides: gnuplot-nox, gnuplot-x11 Homepage: http://gnuplot.sourceforge.net/ Priority: optional Section: math Filename: pool/main/g/gnuplot/gnuplot-qt_4.6.0-8_armhf.deb Size: 1142786 SHA256: d3c71a8411a70412645ea121b37d5079c6fe0b8feb14458b787a0c650ba3aced SHA1: 848fa4a858f6fb389a2bc2f68d7d624ceae264d0 MD5sum: a17adfe802b5197b67e80ccc74423d98 Description: Command-line driven interactive plotting program. QT-package Gnuplot is a portable command-line driven interactive data and function plotting utility that supports lots of output formats, including drivers for many printers, (La)TeX, (x)fig, Postscript, and so on. . Data files and self-defined functions can be manipulated by the internal C-like language. Can perform smoothing, spline-fitting, or nonlinear fits, and can work with complex numbers. . This package contains the terminal driver that enables gnuplot to plot images interactively under X11. Most users will want this, it is however packaged separately so that low-end systems don't need X installed to use gnuplot. . The package provides gnuplot-x11 and gnuplot-nox terminals plus an additional QT-terminal for output (not default one). Package: gnuplot-x11 Source: gnuplot Version: 4.6.0-8 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 2421 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libedit2 (>= 2.11-20080614-1), libgcc1 (>= 1:4.4.0), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libglib2.0-0 (>= 2.12.0), liblua5.1-0, libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libx11-6 Suggests: gnuplot-doc Conflicts: gnuplot-nox, gnuplot-qt Provides: gnuplot-nox Homepage: http://gnuplot.sourceforge.net/ Priority: optional Section: math Filename: pool/main/g/gnuplot/gnuplot-x11_4.6.0-8_armhf.deb Size: 1058928 SHA256: c1fb59b861c26bf4395221cb0d213c15c0fab7672fb58c783cfad7517c41e86c SHA1: 03702b8f790a649aa5bf9eb91fd490c2c7f5699e MD5sum: 7327f52362724de0274f6b4ac27964b5 Description: Command-line driven interactive plotting program. X-package Gnuplot is a portable command-line driven interactive data and function plotting utility that supports lots of output formats, including drivers for many printers, (La)TeX, (x)fig, Postscript, and so on. The X11-output is packaged in gnuplot-x11. . Data files and self-defined functions can be manipulated by the internal C-like language. Can perform smoothing, spline-fitting, or nonlinear fits, and can work with complex numbers. . This package contains the terminal driver that enables gnuplot to plot images interactively under X11. Most users will want this, it is however packaged separately so that low-end systems don't need X installed to use gnuplot. Package: gnupod-tools Version: 0.99.8-2.1 Installed-Size: 604 Maintainer: Raphael Bossek Architecture: all Depends: perl, dpkg (>= 1.15.4) | install-info, libxml-parser-perl, libunicode-string-perl, libxml-simple-perl, libmp3-info-perl, libogg-vorbis-header-pureperl-perl, libaudio-flac-header-perl, libtimedate-perl Recommends: gtkpod Suggests: timidity, lame, flac, faac, vorbis-tools Size: 163322 SHA256: ab264b7f2e85577390c2a4d4ee979431bc15bb68d3bb5f7862797eac67102a5e SHA1: 56898a30fa2cefbc9bea5c46432ad4825027c53f MD5sum: def135a1222ae6ada527280961303ab1 Description: command-line tools for the iPod family of portable music players GNUpod is made up of scripts that allow a user to manage a collection of songs and playlists on an Apple iPod. The original iPod, iPod Mini, and iPod Shuffle players are all supported. Homepage: http://www.gnu.org/software/gnupod Tag: hardware::embedded, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::gnu Section: sound Priority: optional Filename: pool/main/g/gnupod-tools/gnupod-tools_0.99.8-2.1_all.deb Package: gnuradio-doc Source: gnuradio Version: 3.5.3.2-1 Installed-Size: 35223 Maintainer: A. Maitland Bottoms Architecture: all Size: 8100254 SHA256: 1fbe9c3bcf94f90c4206faf4e8f919721a1fdfa8c1ad9bef7f0404707813d9a4 SHA1: 19587da6b3a3e3d42533908f96dfa194107cdf83 MD5sum: b34f852066d5bf24fef256f87761c947 Description: GNU Software Defined Radio toolkit documentation Documentation for the GNU Radio software defined radio system in html and xml form. Tag: field::electronics, role::documentation, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gnuradio/gnuradio-doc_3.5.3.2-1_all.deb Package: gnurobbo Version: 0.66+dfsg-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 2367 Depends: libc6 (>= 2.13-28), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), ttf-dejavu-extra Homepage: http://gnurobbo.sourceforge.net/ Priority: optional Section: games Filename: pool/main/g/gnurobbo/gnurobbo_0.66+dfsg-2_armhf.deb Size: 1003216 SHA256: 0e399a25408b132822ee857115e13f900d7026f229b22936cb2acb3352b1bc1e SHA1: dbd89f7654c7a93b653200fb238ad2c7b03b0f77 MD5sum: c0bd0b958bb66dfafd0698f0ce3e9403 Description: logic game ported from ATARI XE/XL GNU Robbo is very addictive logic game. You must help a little robot to get out of an unfriendly planet, collecting parts of an emergency capsule. . Originally written for Atari XE/XL by Janusz Pelc from "LK. Avalon". Package: gnurobots Version: 2:1.2.0-4 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 148 Depends: guile-1.8-libs, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgmp10, libgtk2.0-0 (>= 2.8.0), libltdl7 (>= 2.4.2), libncurses5 (>= 5.5-5~), libpango1.0-0 (>= 1.14.0), libreadline6 (>= 6.0), libtinfo5, libvte9 (>= 1:0.24.0), libx11-6 Conflicts: libguile9 (<< 1:1.4-7) Homepage: http://www.gnu.org/software/gnurobots/ Priority: optional Section: games Filename: pool/main/g/gnurobots/gnurobots_1.2.0-4_armhf.deb Size: 43668 SHA256: 7a0b3ed2d7f501158dfaca8d485387082238ed8b9f7a5501dc0e1c4b94369816 SHA1: 14d1d7cb381cff534210b22925ff1f687c0d1493 MD5sum: 1f02c961700759b50c9a1deba01ab03f Description: Program a robot to explore a world GNU Robots is a game/diversion where you construct a program for a little robot, then watch him explore a world. The world is filled with baddies that can hurt you, objects that you can bump into, and food that you can eat. The goal of the game is to collect as many prizes as possible before you are killed by a baddie or you run out of energy. . Programs for the robot are written in Scheme. Package: gnus Version: 5.11+v0.10.dfsg-3 Installed-Size: 6453 Maintainer: Tommi Vainikainen Architecture: all Provides: imap-client, mail-reader, news-reader Depends: ucf, emacs22 | emacs21 | xemacs21, make, dpkg (>= 1.15.4) | install-info Recommends: gnutls-bin | openssl, idn Suggests: w3m-el, netpbm Conflicts: semi Size: 1686978 SHA256: 50860139e275acdd4939b3b10fde1bd1156ada1b44c1db8b7049280b2adc8a16 SHA1: adb11aa19832edab88e90e2167abc499806d178a MD5sum: 96baa635cd9a86bea66ff06f8740bb82 Description: A versatile news and mail reader for Emacsen Gnus is a flexible message reader running under Emacs. It supports reading and composing both news and mail. In addition, it is able to use a number of web-based sources as inputs for its groups. The main Gnus goal is to provide the user with an efficient and extensible interface towards dealing with large numbers of messages, no matter the form they may have or wherever they may come from. Gnus is fully MIME-compliant and supports reading and composing messages using any charset that Emacs supports. . Gnus biggest strength is the fact that it is extremely customisable. It is somewhat intimidating at first glance, but most of the complexity can be ignored until you're ready to take advantage of it. If you receive a reasonable volume of e-mail (i.e. you're on various mailing lists), or you would like to read high-volume mailing lists but cannot keep up with them, or read high volume newsgroups or are just bored, then Gnus may be what you want. . Note: This package contains beta version from No Gnus series. Users of GNU Emacs 23 are better served by Gnus 5.13 included in GNU Emacs 23 packages. Homepage: http://www.gnus.org/ Tag: implemented-in::lisp, interface::text-mode, mail::imap, mail::pop, mail::smtp, mail::user-agent, network::client, protocol::http, protocol::imap, protocol::nntp, protocol::pop3, protocol::smtp, role::plugin, role::program, suite::emacs, suite::gnu, use::downloading, use::editing, works-with::mail Section: news Priority: optional Filename: pool/main/g/gnus/gnus_5.11+v0.10.dfsg-3_all.deb Package: gnus-bonus-el Source: emacs-goodies-el Version: 35.2+nmu1 Installed-Size: 271 Maintainer: Peter S Galbraith Architecture: all Depends: emacs23 | emacsen Size: 84080 SHA256: 664a1ab6cd1a85616ac5032fedfa0e0f989aee5db041ef6114326277518d4048 SHA1: e8adc915029a643c3b76bc8510d297ab144bc91c MD5sum: 66cf507ed19921bb9ed239574ff5e49b Description: Miscellaneous add-ons for Gnus This package contains a few Emacs-Lisp files, obtained from various sources, including the gnu.emacs.sources newsgroup and a few websites, that provide various functions to Gnus, the Emacs mail and news reader. . This package contains: gnus-eyecandy - enhance the group buffer by adding icons. gnus-filterhist - add a buffer which display the message filtering gnus-junk - semi-automatic replies to junk e-mails; gnus-pers - an alternative to gnus-posting-styles. message-x - customizable completion in message headers; nnir - searchable mail backend; nnnil - empty, read-only backend; nntodo - manage to-do items; spam-stat - spam-detector based on statistics. . See /usr/share/doc/gnus-bonus-el/README.Debian for a short description of all files. Tag: implemented-in::lisp, mail::filters, network::client, protocol::nntp, protocol::smtp, role::plugin, role::program, suite::emacs, suite::gnu, use::downloading, use::editing, works-with::mail Section: lisp Priority: optional Filename: pool/main/e/emacs-goodies-el/gnus-bonus-el_35.2+nmu1_all.deb Package: gnuserv Version: 3.12.8-3 Architecture: armhf Maintainer: Alexander Zangerl Installed-Size: 183 Depends: emacs23 | emacsen, emacsen-common (>= 1.4.14), libc6 (>= 2.13-28), libxau6 Priority: optional Section: editors Filename: pool/main/g/gnuserv/gnuserv_3.12.8-3_armhf.deb Size: 50292 SHA256: b3ad30f569de7995ddd47d3741bae120295653c62f216837bd0760430a4397f4 SHA1: 35689187882c701747f3556292963a40a03196ec MD5sum: 665c3d64d561c192adba52e91970913b Description: Allows you to attach to an already running Emacs gnuserv allows you to attach to an already running Emacs. This allows external programs to make use of Emacs' editing capabilities. It is similar to GNU Emacs' emacsclient, but has a slightly different feature set. . You do not need this package if you use XEmacs; it already includes gnuserv and gnuclient. If you want to use gnuserv with both GNU Emacs and XEmacs, you will only be able to use the alternative /usr/bin/gnuclient with one flavor of emacs; you will have to use either gnuclient.xemacs or gnuclient.emacs for the other flavor. Package: gnushogi Version: 1.3.2-9 Architecture: armhf Maintainer: Yann Dirson Installed-Size: 634 Depends: dpkg (>= 1.15.4) | install-info, libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Suggests: xshogi, tagua Homepage: http://www.cs.caltech.edu/~mvanier/hacking/gnushogi/gnushogi.html Priority: optional Section: games Filename: pool/main/g/gnushogi/gnushogi_1.3.2-9_armhf.deb Size: 296122 SHA256: 0873b3d6b8f1e9de8714bfb169673808a84c598bf19af80307a69808dc8e4ccd SHA1: b88daec2d8e50cbde822592e3bf215b97afb11e4 MD5sum: ef7786d4813e86b5e6186441d5abb949 Description: program to play shogi, the Japanese version of chess Gnushogi is a computer player for Shogi (Japanese chess). . Gnushogi is a modified version of the gnuchess program. It can be used through xshogi, tagua, kaya, or other graphical frontends, but also has a simple alpha-numeric board display. Package: gnusim8085 Version: 1.3.7-1 Architecture: armhf Maintainer: Debian Electronics Team Installed-Size: 749 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.14.0), libgtksourceview2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0) Homepage: http://www.gnusim8085.org Priority: optional Section: electronics Filename: pool/main/g/gnusim8085/gnusim8085_1.3.7-1_armhf.deb Size: 143170 SHA256: 39e56f6fde6d5d994f9c3d1a99aba4b6cd99182882d7d82bc11f733279922ad9 SHA1: 053f9205fb194c7846165b358571e96e44674dfa MD5sum: 3ebc108113da3c21d43fce1c7fb97e57 Description: Graphical Intel 8085 simulator, assembler and debugger GNUSim8085 is a graphical simulator, assembler and debugger for the Intel 8085 microprocessor. Package: gnuspool Version: 1.7 Architecture: armhf Maintainer: John M Collins Installed-Size: 2349 Pre-Depends: adduser Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libncurses5 (>= 5.5-5~), libpango1.0-0 (>= 1.14.0), libtinfo5 Conflicts: cupsys-client, system-config-printer-udev Replaces: lpr Provides: lpr, lprng Homepage: http://www.gnu.org/software/gnuspool Priority: optional Section: net Filename: pool/main/g/gnuspool/gnuspool_1.7_armhf.deb Size: 941250 SHA256: c5d745d29683a66a3e3e13135cdee1bbaac20b03718690ba9661222edae2cbb5 SHA1: ec5b4806cf4586ece9736a6d54706ee96fbcb8e1 MD5sum: 13d2d1e0736c4b9aaa69086da45104cf Description: A network-based print spooler This is a fast, network-enabled and reliable spooling package for GNU/Linux and UNIX systems. Package: gnuspool-cupspy Source: gnuspool Version: 1.7 Installed-Size: 196 Maintainer: John M Collins Architecture: all Depends: python (>= 2.5), python-gtk2 Size: 32972 SHA256: 3e7ba242883a05b6979e620d26e0e2b77025da6b45de11491cd0b8f128a411f4 SHA1: 60c77e14dbaa842abcae736812e9c742a00368ef MD5sum: 771c24d0828cb3e7ab64ba6ec89fbaed Description: CUPS emulation for GNUspool Python emulation of CUPS and setup Homepage: http://www.gnu.org/software/gnuspool Section: net Priority: optional Filename: pool/main/g/gnuspool/gnuspool-cupspy_1.7_all.deb Package: gnuspool-doc Source: gnuspool Version: 1.7 Installed-Size: 5816 Maintainer: John M Collins Architecture: all Size: 5887730 SHA256: 0af6ab33f9aad54966fbeba6b74b965d3a78f3a36080df537a2bec826ea6e1bc SHA1: 3fa05e5d19477c45ae0c4844f1e47b73a9c39cf7 MD5sum: 4da945fe85dc8dd82a5a149a595549f4 Description: Documentation for GNUspool PDF documentation for GNUspool Homepage: http://www.gnu.org/software/gnuspool Tag: made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/gnuspool/gnuspool-doc_1.7_all.deb Package: gnustep Source: meta-gnustep Version: 7.7 Installed-Size: 28 Maintainer: Debian GNUstep maintainers Architecture: all Depends: systempreferences.app, gworkspace.app, terminal.app, price.app | preview.app, zipper.app, textedit.app Recommends: gnumail.app, talksoup.app, viewpdf.app, charmap.app, wmaker, gnustep-icons, gnustep-examples Suggests: aclock.app, camera.app, timemon.app, cenon.app, poe.app, plopfolio.app, mpdcon.app, lusernet.app, grr.app, cynthiune.app, agenda.app, edenmath.app, volumecontrol.app Size: 4480 SHA256: 7c76b9c08a7ee1afa65afd13e6018a0a108d726524441415b42b7cfe438c47ed SHA1: e5ef7e30e9ae63567ad6119268406be420aaa3e6 MD5sum: a38e182ff8704263bd1a3bbe13a6cee3 Description: User applications for the GNUstep Envireonment These packages will give a fairly complete GNUstep user environment. . This is a metapackage depending on some of the important GNUstep applications. Homepage: http://gnustep.org Tag: devel::runtime, implemented-in::objc, interface::x11, role::metapackage, suite::gnustep, uitoolkit::gnustep, x11::application Section: gnustep Priority: optional Filename: pool/main/m/meta-gnustep/gnustep_7.7_all.deb Package: gnustep-back-common Source: gnustep-back Version: 0.20.1-2.1 Installed-Size: 146 Maintainer: Debian GNUstep maintainers Architecture: all Replaces: gnustep-back-doc Provides: gnustep-back-doc Depends: fontconfig, mknfonts.tool (>= 0.5-10), ttf-freefont, gnustep-fslayout-fhs Breaks: gnustep-back-doc (<< 0.18.0-2) Size: 72254 SHA256: 65f0f625738bf8370265ad6065bd476100d9de9188f8d6d35ba58385a3cba307 SHA1: 903a5d4dbb7f54bff8d0d06129bbfa6d6584a6d8 MD5sum: 4ccbc1b1c6028a385b8a4a9c8775335b Description: GNUstep GUI Backend - common files It is a backend component for the GNUstep GUI Library. The implementation of the GNUstep GUI Library is designed in two parts. The first part is the front-end component which is independent of platform and display system. This front-end is combined with a back-end component which handles all of the display system dependent such as specific calls to the X Window System. . This package contains the common files needed by the GNUstep GUI Backend. Homepage: http://gnustep.org Tag: role::app-data, suite::gnustep Section: gnustep Priority: optional Filename: pool/main/g/gnustep-back/gnustep-back-common_0.20.1-2.1_all.deb Package: gnustep-back-dbg Source: gnustep-back Version: 0.20.1-2.1 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 2286 Depends: gnustep-gpbs (= 0.20.1-2.1), gnustep-back0.20-art (= 0.20.1-2.1) | gnustep-back0.20-cairo (= 0.20.1-2.1), gnustep-fslayout-fhs Recommends: libgnustep-gui0.20-dbg Homepage: http://gnustep.org Priority: extra Section: debug Filename: pool/main/g/gnustep-back/gnustep-back-dbg_0.20.1-2.1_armhf.deb Size: 900308 SHA256: bdb8e573c9e9ee6cace7e506db8d5bd55297552ed39bf3f56a1709fcfca83acf SHA1: bde8a9f74e98c0f37fbbe555469f23596a18623b MD5sum: 9a36e811364bb938dd57a2aed574e9a5 Description: GNUstep GUI Backend - debugging symbols It is a backend component for the GNUstep GUI Library. The implementation of the GNUstep GUI Library is designed in two parts. The first part is the front-end component which is independent of platform and display system. This front-end is combined with a back-end component which handles all of the display system dependent such as specific calls to the X Window System. . This package contains the debugging symbols for the GNUstep GUI Backend. Package: gnustep-back0.20 Source: gnustep-back Version: 0.20.1-2.1 Installed-Size: 90 Maintainer: Debian GNUstep maintainers Architecture: all Depends: gnustep-back0.20-art | gnustep-back0.20-alt, gnustep-fslayout-fhs Suggests: ttf-dejavu | ttf-freefont | gsfonts-x11 Size: 65118 SHA256: 053ebb493e7a4ca8aaf4c651439a3febcf04ffc16ed6a2aacdc96b62f73da4df SHA1: 07d36df1452a0c72c220ca064cd81f4246d3e8b1 MD5sum: 2c382dcf8ed0608e09d3c774eec51e63 Description: GNUstep GUI Backend It is a backend component for the GNUstep GUI Library. The implementation of the GNUstep GUI Library is designed in two parts. The first part is the front-end component which is independent of platform and display system. This front-end is combined with a back-end component which handles all of the display system dependent such as specific calls to the X Window System. . This is an empty package that depends on the various backends. Homepage: http://gnustep.org Tag: role::metapackage, suite::gnustep Section: libs Priority: optional Filename: pool/main/g/gnustep-back/gnustep-back0.20_0.20.1-2.1_all.deb Package: gnustep-back0.20-art Source: gnustep-back Version: 0.20.1-2.1 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 641 Depends: gnustep-back-common (>= 0.20), gnustep-base-runtime (>= 1.22.1), gnustep-gui-runtime (>= 0.20), libart-2.0-2 (>= 2.3.19), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20), libobjc3 (>= 4.2.1), libx11-6, libxext6, libxmu6, gnustep-fslayout-fhs Suggests: ttf-dejavu | ttf-freefont | gsfonts-x11 Provides: gnustep-back0.20-alt Homepage: http://gnustep.org Priority: optional Section: libs Filename: pool/main/g/gnustep-back/gnustep-back0.20-art_0.20.1-2.1_armhf.deb Size: 277806 SHA256: 67944d8397cd5001a2976c8ffc64e3663a83a94eccef2f630a2cb6ac1e5e9f17 SHA1: bd584574fffffb6021ebfbc34b53f4d27667b56e MD5sum: ef64b8c5d38f6e0b8e3cdbbb7fdeee73 Description: GNUstep GUI Backend (art) It is a backend component for the GNUstep GUI Library. The implementation of the GNUstep GUI Library is designed in two parts. The first part is the front-end component which is independent of platform and display system. This front-end is combined with a back-end component which handles all of the display system dependent such as specific calls to the X Window System. . This package provides the art backend. Package: gnustep-back0.20-cairo Source: gnustep-back Version: 0.20.1-2.1 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 528 Depends: gnustep-back-common (>= 0.20), gnustep-base-runtime (>= 1.22.1), gnustep-gui-runtime (>= 0.20), libc6 (>= 2.13-28), libcairo2 (>= 1.4.10), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20), libobjc3 (>= 4.2.1), libx11-6, libxext6, libxmu6, libxrender1, gnustep-fslayout-fhs Suggests: ttf-dejavu | ttf-freefont | gsfonts-x11 Provides: gnustep-back0.20-alt Homepage: http://gnustep.org Priority: optional Section: libs Filename: pool/main/g/gnustep-back/gnustep-back0.20-cairo_0.20.1-2.1_armhf.deb Size: 230344 SHA256: ad5dbae74b0b6f88c877949faa2e2768259629979ac45618ea014c1caa8a76c8 SHA1: 2a7a49edda96a55ac0c94331ac0e682dddcfeb7e MD5sum: c5831c57657fa4bef1b0653da39206ec Description: GNUstep GUI Backend (cairo) It is a backend component for the GNUstep GUI Library. The implementation of the GNUstep GUI Library is designed in two parts. The first part is the front-end component which is independent of platform and display system. This front-end is combined with a back-end component which handles all of the display system dependent such as specific calls to the X Window System. . This package provides the cairo backend. Package: gnustep-base-common Source: gnustep-base Version: 1.22.1-4+deb7u1 Installed-Size: 513 Maintainer: Debian GNUstep maintainers Architecture: all Depends: gnustep-common (>= 2.6.1), xml-core (>= 0.12), gnustep-fslayout-fhs Suggests: gnustep-base-doc (>= 1.22.1-4+deb7u1) Size: 204604 SHA256: 1cb416b84b906d7577357cbd25c30d74c2b988524a44258a84bbb49c317f772e SHA1: 7b50cec0afc0c458df91b72eb65a3140c250d311 MD5sum: 9dc27d4c98facea8702e6a519b1c3b1f Description: GNUstep Base library - common files The GNUstep Base Library is a powerful fast library of general-purpose, non-graphical Objective C classes, inspired by the OpenStep API but implementing Apple and GNU additions to the API as well. . This package contains the common files needed by the GNUstep Base library. Homepage: http://gnustep.org Tag: devel::lang:objc, implemented-in::objc, role::shared-lib, suite::gnustep Section: gnustep Priority: optional Filename: pool/main/g/gnustep-base/gnustep-base-common_1.22.1-4+deb7u1_all.deb Package: gnustep-base-doc Source: gnustep-base Version: 1.22.1-4+deb7u1 Installed-Size: 7954 Maintainer: Debian GNUstep maintainers Architecture: all Depends: gnustep-fslayout-fhs, dpkg (>= 1.15.4) | install-info Recommends: libgnustep-base-dev (>= 1.22.1-4+deb7u1) Size: 1876200 SHA256: 7c270339450c6859aaa6820f93b1ccf9e13be8ee277cad9242c8749590ac2db2 SHA1: 3096a42e594a336803f880fb375fee6af043c123 MD5sum: ab33cdd939e63d1949aabbf99b1fd498 Description: Documentation for the GNUstep Base Library This package contains the GNUstep Base Library API reference in gsdoc and HTML format, as well as the GNUstep Base programming manual and GNUstep Coding Standards in Info and PDF format. Homepage: http://gnustep.org Tag: devel::doc, devel::lang:objc, made-of::html, made-of::pdf, role::documentation, suite::gnustep Section: doc Priority: optional Filename: pool/main/g/gnustep-base/gnustep-base-doc_1.22.1-4+deb7u1_all.deb Package: gnustep-base-examples Source: gnustep-base Version: 1.22.1-4+deb7u1 Installed-Size: 87 Maintainer: Debian GNUstep maintainers Architecture: all Depends: gnustep-base-common, gnustep-fslayout-fhs Recommends: libgnustep-base-dev (= 1.22.1-4+deb7u1) Size: 15984 SHA256: c59ded1729b39eebfd25ec9d25bc39fa7d23b64eb714ac273194257f0cf64698 SHA1: 3fb13c5dc89e8fc0749ea09acc3af56b6a26e359 MD5sum: a628a6618cabb2323a78f393fa570d4b Description: Examples using the GNUstep Base Library The GNUstep Base Library is a powerful fast library of general-purpose, non-graphical Objective C classes, inspired by the OpenStep API but implementing Apple and GNU additions to the API as well. . This is the source for a few example (non-GUI) applications using the GNUstep Base Library. Homepage: http://gnustep.org Tag: devel::examples, devel::lang:objc, implemented-in::objc, interface::commandline, role::source, suite::gnustep Section: gnustep Priority: optional Filename: pool/main/g/gnustep-base/gnustep-base-examples_1.22.1-4+deb7u1_all.deb Package: gnustep-base-runtime Source: gnustep-base Version: 1.22.1-4+deb7u1 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 571 Depends: gnustep-base-common (>= 1.22.1-4+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libobjc3 (>= 4.2.1), gnustep-fslayout-fhs, lsb-base Homepage: http://gnustep.org Priority: optional Section: gnustep Filename: pool/main/g/gnustep-base/gnustep-base-runtime_1.22.1-4+deb7u1_armhf.deb Size: 226598 SHA256: 1d15b849ffb59764388e613e0a592a0a8cc7285159fe8ea36a35615eba80fde4 SHA1: d332c7b7e0722870bc0062857fe77a3f50ec60f2 MD5sum: 0b4364f6302a558dcd6918b6f03d133b Description: GNUstep Base library - daemons and tools The GNUstep Base Library is a powerful fast library of general-purpose, non-graphical Objective C classes, inspired by the OpenStep API but implementing Apple and GNU additions to the API as well. . This package contains the runtime support files needed by GNUstep applications. Package: gnustep-common Source: gnustep-make Version: 2.6.2-2 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 316 Suggests: gnustep-base-common Replaces: gnustep-make Provides: gnustep-fslayout-fhs Homepage: http://gnustep.org Priority: optional Section: gnustep Filename: pool/main/g/gnustep-make/gnustep-common_2.6.2-2_armhf.deb Size: 118328 SHA256: 21e5c965492e938039a48f6801099e59f9671ccc0d5c570dd02701416df029a4 SHA1: 49ef1750af27d45e1492ba8ea31cb47bf8eaa898 MD5sum: 43caaf2051e4c63d5da3aaab61592a56 Description: Common files for the core GNUstep environment This package contains the common files, scripts and directory layout needed to run any GNUstep software. Package: gnustep-core-devel Source: meta-gnustep Version: 7.7 Installed-Size: 29 Maintainer: Debian GNUstep maintainers Architecture: all Depends: libgnustep-gui-dev Recommends: gnustep-core-doc, librenaissance0-dev Suggests: gnustep-base-examples Size: 4798 SHA256: 18b1f665af3acde997369d8e58012cdc89af7f08e3f97a15ae3c596640dd6deb SHA1: 905027b9591fdbfecad7e59d3393cfa94d4fc68f MD5sum: 2100c5f99b027540bbbebc5e49e9ed4b Description: GNUstep Development Environment -- core libraries These are the packages needed to develop programs for the GNUstep environment. . This is a metapackage that depends on the GNUstep core libraries (FoundationKit and AppKit, aka GNUstep Base and GUI). Homepage: http://gnustep.org Tag: devel::buildtools, devel::lang:objc, devel::library, implemented-in::objc, interface::x11, role::devel-lib, role::metapackage, suite::gnustep, uitoolkit::gnustep, x11::application Section: gnustep Priority: optional Filename: pool/main/m/meta-gnustep/gnustep-core-devel_7.7_all.deb Package: gnustep-core-doc Source: meta-gnustep Version: 7.7 Installed-Size: 28 Maintainer: Debian GNUstep maintainers Architecture: all Depends: gnustep-make-doc, gnustep-base-doc, gnustep-gui-doc Recommends: renaissance-doc Size: 4366 SHA256: a6d7c28fb7a0132447b0a2e16f8adb231f4bb46e7cdfcc09ce11cbb27dface4a SHA1: 5cd062456867eddb012d47b4fb493d24b2674bc6 MD5sum: b70c95b437b8d8c840aca0d35755191e Description: GNUstep Development Environment -- core documentation These packages provide documentation for developing programs for the GNUstep environment. . This is a metapackage that depends on the documentation packages of the core GNUstep development environment (GNUstep Make, Base and GUI). Homepage: http://gnustep.org Tag: devel::doc, devel::lang:objc, role::documentation, role::dummy, role::metapackage, suite::gnustep Section: doc Priority: optional Filename: pool/main/m/meta-gnustep/gnustep-core-doc_7.7_all.deb Package: gnustep-devel Source: meta-gnustep Version: 7.7 Installed-Size: 28 Maintainer: Debian GNUstep maintainers Architecture: all Depends: gnustep-core-devel, gorm.app, projectcenter.app Recommends: librenaissance0-dev Suggests: steptalk, libpantomime1.2-dev, libpopplerkit-dev, libnetclasses-dev, libaddresses-dev, libaddressview-dev, librsskit-dev, gnustep-dl2 Size: 4424 SHA256: 76f462e0655080bbd357f32463f4a2b1c0c64f84939dd48a5b54609fe2ebd594 SHA1: 6a4e722941f713d3e2ee335809f9230f124e0533 MD5sum: 8988810e9db930ca4737b8268caf20be Description: GNUstep Development Environment -- development tools These packages provide tools for development applications for GNUstep, as well as extra libraries for the GNUstep environment. . This is a metapackage that depends on gnustep-core-devel and commonly used development applications. Homepage: http://gnustep.org Tag: devel::buildtools, devel::lang:objc, implemented-in::objc, interface::x11, role::metapackage, suite::gnustep, uitoolkit::gnustep, x11::application Section: gnustep Priority: optional Filename: pool/main/m/meta-gnustep/gnustep-devel_7.7_all.deb Package: gnustep-dl2 Version: 0.12.0-9+nmu1 Architecture: armhf Maintainer: Federico Gimenez Nieto Installed-Size: 1088 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-dl2-0d (>= 0.12.0), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), librenaissance0 (>= 0.9.0), dpkg (>= 1.15.4) | install-info Recommends: libgnustep-dl2-dev Homepage: http://www.gnustep.org/experience/GDL2.html Priority: optional Section: gnustep Filename: pool/main/g/gnustep-dl2/gnustep-dl2_0.12.0-9+nmu1_armhf.deb Size: 547812 SHA256: d9b4d8152953124ab7384ce5e3bfa4abe027b3e46360263da8eb7f704888f5d9 SHA1: 9835f4668a32914e141bbfcde0808d5456a14a75 MD5sum: a923091571a92c21cc5207948e7d5392 Description: Objective-C Classes needed for Database Access GNUstep Database Library Version 2 is needed by GNUstep applications that communicate with databases. It's an implementation of Apple's Enterprise Objects Framework (EOF) v 3.0 (from NeXT), and Apple's WebObjects 4.5 interface. Package: gnustep-dl2-postgresql-adaptor Source: gnustep-dl2 Version: 0.12.0-9+nmu1 Architecture: armhf Maintainer: Federico Gimenez Nieto Installed-Size: 371 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), libpq5 Replaces: gnustep-dl2 (<< 0.12) Homepage: http://www.gnustep.org/experience/GDL2.html Priority: optional Section: gnustep Filename: pool/main/g/gnustep-dl2/gnustep-dl2-postgresql-adaptor_0.12.0-9+nmu1_armhf.deb Size: 147566 SHA256: 2ba62fd66d25735e6b26c7267cac6f8b231a8e807c07c439464e95b004b0b09f SHA1: 21a6c858236fe0ed2884f5be2596a79482bdb6aa MD5sum: 9b4749830b935adca918b0fda7fbd171 Description: gnustep-dl2 adaptor to connect to PostgreSQL This specific EOAdaptor project supports PostgreSQL 8.1 and higher . GNUstep Database Library Version 2 is needed by GNUstep applications that communicate with databases. It's an implementation of Apple's Enterprise Objects Framework (EOF) v 3.0 (from NeXT), and Apple's WebObjects 4.5 interface. Package: gnustep-dl2-sqlite-adaptor Source: gnustep-dl2 Version: 0.12.0-9+nmu1 Architecture: armhf Maintainer: Federico Gimenez Nieto Installed-Size: 185 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), libsqlite3-0 (>= 3.5.9) Replaces: gnustep-dl2 (<< 0.12) Homepage: http://www.gnustep.org/experience/GDL2.html Priority: optional Section: gnustep Filename: pool/main/g/gnustep-dl2/gnustep-dl2-sqlite-adaptor_0.12.0-9+nmu1_armhf.deb Size: 87196 SHA256: 810fb0f451bbd6cdc5366e6223cfe9ad15acd4cab4cbed2e672a7880e4f71342 SHA1: 18f0c3f621df2602e9706775eac129dad2e8384a MD5sum: 95f5555ee9c4501230c05eaef2e743a1 Description: gnustep-dl2 adaptor to connect to SQLite This specific EOAdaptor project supports SQLite 3.x and higher . GNUstep Database Library Version 2 is needed by GNUstep applications that communicate with databases. It's an implementation of Apple's Enterprise Objects Framework (EOF) v 3.0 (from NeXT), and Apple's WebObjects 4.5 interface. Package: gnustep-examples Version: 1:1.3.0-1 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 1054 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), gnustep-fslayout-fhs Homepage: http://www.gnustep.org/experience/examples.html Priority: optional Section: gnustep Filename: pool/main/g/gnustep-examples/gnustep-examples_1.3.0-1_armhf.deb Size: 215776 SHA256: e71ef2406cb2b19da294494c61f94d0c08e83d1487c073f6db9693e82b9e006f SHA1: fcaa94a9a82869183ff149b6ba43ecb5a082d213 MD5sum: 8cdb1e1af20833732a3f285b35e7f90d Description: GNUstep example applications A collection of examples for the GNUstep libraries. Some of them are useful applications on their own, others are intended only for testing purposes. Package: gnustep-games Source: meta-gnustep Version: 7.7 Installed-Size: 28 Maintainer: Debian GNUstep maintainers Architecture: all Depends: shisen.app, gomoku.app, stepbill.app Recommends: gridlock.app, oolite Size: 4312 SHA256: 7613560c704f02a5732e49c797a5ef397ea641f6ba975430a33f6e2fca0fad9a SHA1: bcede35ba90b43349e98aab815fde9f76619b076 MD5sum: 6b05eb884c80f70a1e4272b1221d54df Description: GNUstep games These packages are a few games for GNUstep. . This is a metapackage that depends on (almost) all games designed for the GNUstep environment. Homepage: http://gnustep.org Tag: game::board, game::puzzle, implemented-in::objc, interface::x11, role::dummy, role::metapackage, role::program, suite::gnustep, uitoolkit::gnustep, use::gameplaying, x11::application Section: gnustep Priority: optional Filename: pool/main/m/meta-gnustep/gnustep-games_7.7_all.deb Package: gnustep-gpbs Source: gnustep-back Version: 0.20.1-2.1 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 159 Depends: gnustep-base-runtime (>= 1.22.1), gnustep-gui-runtime (>= 0.20), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20), libobjc3 (>= 4.2.1), libx11-6, gnustep-fslayout-fhs Homepage: http://gnustep.org Priority: optional Section: gnustep Filename: pool/main/g/gnustep-back/gnustep-gpbs_0.20.1-2.1_armhf.deb Size: 90416 SHA256: 57106c1d907f3ca0fc01f6f0d4fea5296d97cceb64e6657ec5ae30d331f7011e SHA1: 2a54a4e29fbf5c570b3b674b5925f3405c0f59f2 MD5sum: fac4bc901050f2f4419ccf1d9e107f71 Description: GNUstep PasteBoard server The gpbs daemon serves as a clipboard/pasteboard for GNUstep programs, handling the copying, cutting and pasting of objects as well as drag and drop operations between applications. Package: gnustep-gui-common Source: gnustep-gui Version: 0.20.0-3 Installed-Size: 1580 Maintainer: Debian GNUstep maintainers Architecture: all Depends: gnustep-base-common (>= 1.22.1), gnustep-fslayout-fhs Size: 818544 SHA256: 21b47fe0baeee6f7a41b221d8d2747c25e30a2f75369ec851c2c7a683fb2239d SHA1: bf9c266520ebb695c065a407742b2330dc931b1e MD5sum: d4060eaa9abc78feaf6f0585ed7007da Description: GNUstep GUI Library - common files The GNUstep GUI Library is a powerful library of graphical user interface classes written completely in the Objective-C language; the classes are based upon the OpenStep specification, and provide the user with a traditional nextstep-like look and feel. . This package contains the common files needed by the GNUstep GUI library. Homepage: http://gnustep.org Tag: implemented-in::objc, interface::x11, made-of::icons, role::app-data, suite::gnustep, x11::library Section: gnustep Priority: optional Filename: pool/main/g/gnustep-gui/gnustep-gui-common_0.20.0-3_all.deb Package: gnustep-gui-doc Source: gnustep-gui Version: 0.20.0-3 Installed-Size: 8032 Maintainer: Debian GNUstep maintainers Architecture: all Depends: gnustep-fslayout-fhs Size: 1400006 SHA256: 8de9067b8b8fca1d143a69ea5bc72bd5cbcb86a913cbc827b6ceca9ddc8661d8 SHA1: a35a5f5ee2d43f9eb7a8aa69747d45451f7e67cf MD5sum: 239d86235847bdb846064f32da47db96 Description: Documentation for the GNUstep GUI Library The GNUstep GUI Library is a powerful library of graphical user interface classes written completely in the Objective-C language; the classes are based upon the OpenStep specification, and provide the user with a traditional nextstep-like look and feel. . This package contains text, gsdoc, PDF and HTML documentation for the GNUstep GUI Library. Homepage: http://gnustep.org Tag: devel::doc, devel::lang:objc, devel::library, interface::x11, made-of::html, made-of::pdf, role::documentation, suite::gnustep, x11::library Section: doc Priority: optional Filename: pool/main/g/gnustep-gui/gnustep-gui-doc_0.20.0-3_all.deb Package: gnustep-gui-runtime Source: gnustep-gui Version: 0.20.0-3 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 710 Depends: gnustep-gui-common (>= 0.20.0-3), gnustep-base-runtime (>= 1.22.1), libao4 (>= 1.1.0), libaspell15 (>= 0.60.7~20110707), libc6 (>= 2.13-28), libcups2 (>= 1.4.0), libflite1, libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), libsndfile1 (>= 1.0.20), gnustep-fslayout-fhs Homepage: http://gnustep.org Priority: optional Section: gnustep Filename: pool/main/g/gnustep-gui/gnustep-gui-runtime_0.20.0-3_armhf.deb Size: 187794 SHA256: 0536aeda05e0c9586d3c9042913a39842f3e5eba3ae27ab7c56e196d0bcee778 SHA1: 4f73596cfe4e010c7663a5e38ce39b679e0544e9 MD5sum: 884938b2e1d46d41f60104e318e11cab Description: GNUstep GUI Library - runtime files The GNUstep GUI Library is a powerful library of graphical user interface classes written completely in the Objective-C language; the classes are based upon the OpenStep specification, and provide the user with a traditional nextstep-like look and feel. . This package contains the runtime support files needed by GNUstep GUI applications. Package: gnustep-icons Version: 1.0-5 Installed-Size: 1372 Maintainer: Gürkan Sengün Architecture: all Size: 358142 SHA256: f3324b7267fee992ba0b77cd172ad72bb6e99bacb99d8541b5587bc502ba4a86 SHA1: 1846320036899d16bccdd840979859a96cb73a72 MD5sum: 705ef61a5281768ab9adac4e2d7f5213 Description: Several free icons for use with GNUstep and others They all have a basic NeXTSTEPish look and feel. These icons are placed where the WindowMaker package expects them by default. Homepage: http://gnu.ethz.ch/linuks.mine.nu/icons/icons.html Tag: made-of::icons, role::app-data, suite::gnustep, x11::theme Section: gnustep Priority: optional Filename: pool/main/g/gnustep-icons/gnustep-icons_1.0-5_all.deb Package: gnustep-make Version: 2.6.2-2 Installed-Size: 804 Maintainer: Debian GNUstep maintainers Architecture: all Replaces: gnustep-common (<< 2.6.2-1) Depends: gnustep-common (>= 2.6.2-2), gobjc, autotools-dev, gnustep-fslayout-fhs Suggests: gnustep-make-doc (>= 2.0.0), gnustep-base-common Breaks: gnustep-common (<< 2.6.2-1) Size: 229972 SHA256: 720360b861020f9d447cd22a07d72ff58c7687c683f4e9724b66580876524cf6 SHA1: 9e6b7dabba39c7a312cc76a81655b8928e2595cd MD5sum: 26f01ebfd5daab986ea9e0d499b9a6d2 Description: Basic GNUstep Makefiles This package contains the makefiles needed to compile any GNUstep software. Homepage: http://gnustep.org Tag: devel::buildtools, devel::lang:objc, implemented-in::objc, interface::commandline, role::program, scope::utility, suite::gnustep, works-with::software:source Section: gnustep Priority: optional Filename: pool/main/g/gnustep-make/gnustep-make_2.6.2-2_all.deb Package: gnustep-make-doc Source: gnustep-make Version: 2.6.2-2 Installed-Size: 1428 Maintainer: Debian GNUstep maintainers Architecture: all Depends: dpkg (>= 1.15.4) | install-info Size: 854582 SHA256: b0a12fb894623e3e7ce9e29aeda119da8b76f2c7c55c0e428e68b804d7dd8a7a SHA1: 204724b29089fbde3c6440c854e5159de8642bdb MD5sum: ba532bd8e8f20fab4de50ad559984fab Description: Documentation for GNUstep-make This package contains text, HTML and PDF documentation for the GNUstep-make package. Homepage: http://gnustep.org Tag: devel::doc, devel::lang:objc, made-of::html, made-of::pdf, made-of::postscript, role::documentation, suite::gnustep Section: doc Priority: optional Filename: pool/main/g/gnustep-make/gnustep-make-doc_2.6.2-2_all.deb Package: gnutls-bin Source: gnutls26 (2.12.20-8+deb7u3) Version: 3.0.22-3+really2.12.20-8+deb7u3 Architecture: armhf Maintainer: Debian GnuTLS Maintainers Installed-Size: 659 Depends: libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libp11-kit0 (>= 0.11), libtasn1-3 (>= 1.6-0), zlib1g (>= 1:1.1.4) Multi-Arch: foreign Homepage: http://www.gnutls.org/ Priority: optional Section: net Filename: pool/main/g/gnutls26/gnutls-bin_3.0.22-3+really2.12.20-8+deb7u3_armhf.deb Size: 375178 SHA256: 5fafde332aea549a99b95052711a51a5756ae4648c342e388d8d9c9db3d1baaa SHA1: 7acda1a95c32892689883c9c33205b9e8d2456f8 MD5sum: c06172c4c9907d4c2dac50a46453fdea Description: GNU TLS library - commandline utilities GnuTLS is a portable library which implements the Transport Layer Security (TLS 1.0, 1.1, 1.2) and Secure Sockets Layer (SSL) 3.0 protocols. . GnuTLS features support for: - TLS extensions: server name indication, max record size, opaque PRF input, etc. - authentication using the SRP protocol. - authentication using both X.509 certificates and OpenPGP keys. - TLS Pre-Shared-Keys (PSK) extension. - Inner Application (TLS/IA) extension. - X.509 and OpenPGP certificate handling. - X.509 Proxy Certificates (RFC 3820). - all the strong encryption algorithms (including SHA-256/384/512 and Camellia (RFC 4132)). . This package contains a commandline interface to the GNU TLS library, which can be used to set up secure connections from e.g. shell scripts, debugging connection issues or managing certificates. Package: gnutls-doc Source: gnutls28 Version: 3.0.20-3 Installed-Size: 6253 Maintainer: Debian GnuTLS Maintainers Architecture: all Depends: dpkg (>= 1.15.4) | install-info Size: 3023562 SHA256: c18c335bea25e8a41a95bbe02733a548dcbe54a30cd7f129db892ba60bcbdc94 SHA1: d00cfb5555208dae515f1991890f7ce8e7bd7e4d MD5sum: 1717ab391070a4b93c938c58f61765d8 Description: GNU TLS library - documentation and examples GnuTLS is a portable library which implements the Transport Layer Security (TLS 1.0, 1.1, 1.2) and Secure Sockets Layer (SSL) 3.0 protocols. . GnuTLS features support for: - TLS extensions: server name indication, max record size, opaque PRF input, etc. - authentication using the SRP protocol. - authentication using both X.509 certificates and OpenPGP keys. - TLS Pre-Shared-Keys (PSK) extension. - Inner Application (TLS/IA) extension. - X.509 and OpenPGP certificate handling. - X.509 Proxy Certificates (RFC 3820). - all the strong encryption algorithms (including SHA-256/384/512 and Camellia (RFC 4132)). . This package contains all the GnuTLS documentation. Multi-Arch: foreign Homepage: http://www.gnutls.org/ Tag: devel::doc, devel::examples, made-of::html, made-of::info, made-of::man, role::documentation, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gnutls28/gnutls-doc_3.0.20-3_all.deb Package: gnutls26-doc Source: gnutls26 Version: 2.12.20-8+deb7u3 Installed-Size: 5739 Maintainer: Debian GnuTLS Maintainers Architecture: all Size: 2621706 SHA256: bd81060525ba7fd5522563b4b6f99bd938c360005c4147ec395272e56e20147a SHA1: c43b461c1716347b03f75257497418262b8b80d1 MD5sum: 8ef2d4c05750e2a07052bf30ad715758 Description: GNU TLS library 2.x - documentation and examples GnuTLS is a portable library which implements the Transport Layer Security (TLS 1.0, 1.1, 1.2) and Secure Sockets Layer (SSL) 3.0 protocols. . GnuTLS features support for: - TLS extensions: server name indication, max record size, opaque PRF input, etc. - authentication using the SRP protocol. - authentication using both X.509 certificates and OpenPGP keys. - TLS Pre-Shared-Keys (PSK) extension. - Inner Application (TLS/IA) extension. - X.509 and OpenPGP certificate handling. - X.509 Proxy Certificates (RFC 3820). - all the strong encryption algorithms (including SHA-256/384/512 and Camellia (RFC 4132)). . This package contains the documentation for the GnuTLS 2.x legacy version. Homepage: http://www.gnutls.org/ Multi-Arch: foreign Section: doc Priority: optional Filename: pool/main/g/gnutls26/gnutls26-doc_2.12.20-8+deb7u3_all.deb Package: go2 Version: 1.20120217-1 Installed-Size: 110 Maintainer: David Villa Alises Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tree Size: 15658 SHA256: c439ca774d84c0d31e2ce8fd404db65d0c82fb3e763664498482e3f5e9323b1d SHA1: 5c157f60e81f00143cc0ebe91509416f713aea98 MD5sum: b6744e2f38c2bce63649cbc7ffc10b5d Description: fast directory finder This package provides a mechanism for quickly changing directory on the commandline, in the style of the old Norton Change Directory for DOS, but designed specifically for bash. . Features: * cache of recent searches; * history list of visited directories; * blacklist of directories not to search; * configuration file for default options; * multiprocess execution. Homepage: http://savannah.nongnu.org/projects/go2/ Section: utils Priority: extra Filename: pool/main/g/go2/go2_1.20120217-1_all.deb Package: goaccess Version: 1:0.5-1 Architecture: armhf Maintainer: Chris Taylor Installed-Size: 146 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libncurses5 (>= 5.5-5~), libtinfo5 Homepage: http://goaccess.prosoftcorp.com/ Priority: extra Section: utils Filename: pool/main/g/goaccess/goaccess_0.5-1_armhf.deb Size: 48588 SHA256: c1315f4cb5e48bd18c9de9fa36ada9e28a50960ff2668c980b9542cb2115adf1 SHA1: 05ec92c5caea3d8cc96027ba15e297f87ff0b95d MD5sum: 2508606a6d9fde4d983f6d9158e8b8aa Description: log analyzer and interactive viewer for the Apache Webserver Displays fast and valuable HTTP statistics for system administrators that require a visual report on the fly. . The collected information will be displayed to the user (sysadmin) in a visual/interactive window. Collected information includes: . -General Statistics, Bandwidth etc. -Top Visitors -Requested files -Requested static files, images, swf, js, etc. -Referrers URLs -404 or Not Found -Operating Systems -Browsers and Spiders -Hosts, Reverse DNS, IP Location -HTTP Status Codes -Referring Sites -Unlimited log file size . GoAccess can parse both of Apaches' log formats, the Common Log Format and the Combined Log Format Package: goattracker Version: 2.72-1 Architecture: armhf Maintainer: Debian Multimedia Packages Maintainers Installed-Size: 888 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.3.0) Suggests: schism, opencubicplayer, milkytracker Homepage: http://covertbitops.c64.org/tools.htm Priority: optional Section: sound Filename: pool/main/g/goattracker/goattracker_2.72-1_armhf.deb Size: 396996 SHA256: b2a0ef5388b8065be94b7bba6d4cafe6ea644e878e12c63752c57efbc18baed4 SHA1: 7f2e6f1fa135a1766ddfeccc080bbe7d326e56a1 MD5sum: 506dbf430db1b987af61636ea2e2fa34 Description: C64 music editor This is a crossplatform C64 music editor. Supports emulated output via Dag Lem's reSID engine, the HardSID soundcard or CatWeasel MK3. . It supports emulated output via the software reSID engine, the HardSID soundcard, or the Catweasel (MK3/MK4) controller card, and produces songs in its own format (*.SNG). The program can also export tunes in SID format, BIN format, or Commodore PRG format for inclusion on a floppy you can stick into a 1541/1571/1581 drive. . Like most tracker programs, the program is able to import instrument files, create and modify track patterns, set the order of playback of patterns and change details of the song such as the title and author. If you are familiar with tracker-like programs, then GoatTracker will feel like a simple version of those, though with differences attributable to the hardware. People new to composing in general should look up other information on composing on the C64. Package: gob2 Version: 2.0.18-1 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 242 Depends: libc6 (>= 2.7), libglib2.0-0 (>= 2.16.0) Homepage: http://www.jirka.org/gob.html Priority: extra Section: devel Filename: pool/main/g/gob2/gob2_2.0.18-1_armhf.deb Size: 116786 SHA256: bbf5da371e862b32a6ab2ff89195f08a87134ae7a08981e0b33e5b77b0f3b2b9 SHA1: 370ef0e49a6348dbb4c69f386ab74d93233183c6 MD5sum: 52ebbe625eb7dd3469bd9caa4368eeaa Description: GTK+ Object Builder GOB is a preprocessor which simplifies the writing of GObjects in C. The syntax is somewhat similar to that for Java, yacc and lex. Package: goban-original-games Source: goban Version: 1.1-2 Installed-Size: 2440 Maintainer: Al Nikolov Architecture: all Recommends: goban-ss Size: 289850 SHA256: 2bd399bf0dd814ca4fd779122e519d8b8f11ac5777e29edbb68a128fadaf6ae3 SHA1: 66ae2153a3914dceff509936f2efdce765212a5b MD5sum: d3e43bf55af43f89a3b5c48f365b22e9 Description: Original games set for the Goban screensaver Several famous games for displaying by the Goban screensaver. Homepage: http://draves.org/goban/ Section: x11 Priority: extra Filename: pool/main/g/goban/goban-original-games_1.1-2_all.deb Package: goban-ss Source: goban Version: 1.1-2 Architecture: armhf Maintainer: Al Nikolov Installed-Size: 696 Depends: libc6 (>= 2.7), libx11-6 Recommends: goban-original-games, xscreensaver Enhances: kscreensaver-xsavers Homepage: http://draves.org/goban/ Priority: extra Section: x11 Filename: pool/main/g/goban/goban-ss_1.1-2_armhf.deb Size: 207782 SHA256: 27a1790d1c9cc117e1095beef99ff0ae9e68460ab8cd17a85aa6b3bcde0c02c4 SHA1: ed26e1e8e2f5a0343d5e437a7960f9e1395f52b3 MD5sum: 54fd1a1e3278d024af1e5a73a9f68fb6 Description: Goban screensaver Replays historical games of go (aka wei-chi and baduk) on the screen. Package: gobby Version: 0.4.13-2 Installed-Size: 46 Maintainer: Philipp Kern Architecture: all Depends: gobby-0.4, gobby-0.5 Size: 23130 SHA256: e579d69a7630316ca4d39b8f6f9fee07db60d2a5059b225ca98b7d55382ffacf SHA1: 04d699053beba75856f7bf52f8fe6ebf1ae5d59c MD5sum: f866c7e830a66938a31149f7dc61be9d Description: collaborative text editors Gobby is an editor which allows one to edit text documents and source files collaboratively over a network. All users could work on the file simultaneously without the need to lock it. The parts the various users write are highlighted in different colours and it supports syntax highlighting of various programming and markup languages. . This metapackage depends on the two protocol-incompatible versions 0.4 and 0.5. Homepage: http://gobby.0x539.de Tag: implemented-in::c++, interface::x11, network::client, network::server, role::program, uitoolkit::gtk, use::editing, x11::application Section: metapackages Priority: optional Filename: pool/main/g/gobby/gobby_0.4.13-2_all.deb Package: gobby-0.4 Source: gobby Version: 0.4.13-2 Architecture: armhf Maintainer: Philipp Kern Installed-Size: 2380 Depends: libatkmm-1.6-1 (>= 2.22.1), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libgtksourceview2.0-0 (>= 2.10.0), libnet6-1.3-0 (>= 1:1.3.4-0), libobby-0.4-1, libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libxml++2.6-2 (>= 2.34.2) Suggests: avahi-daemon Conflicts: gobby (<< 0.4.13-2~), gobby-dbg Replaces: gobby (<< 0.4.13-2~) Homepage: http://gobby.0x539.de Priority: optional Section: net Filename: pool/main/g/gobby/gobby-0.4_0.4.13-2_armhf.deb Size: 466412 SHA256: 7aee28cb0f6cb1738276294fc53f97b4d367bb64ae711d13e1c6f28edc80771d SHA1: 88c4fd65f41f354eef8e7aa33fc026f870f13457 MD5sum: 2369f4837d8bf80c2e5df1f891a6769d Description: collaborative text editor Gobby is an editor which allows one to edit text documents and source files collaboratively over a network. All users could work on the file simultaneously without the need to lock it. The parts the various users write are highlighted in different colours and it supports syntax highlighting of various programming and markup languages. A chat window is also included. . Gobby is portable to both Windows and Unix-like platforms and makes use of the Gtk+ toolkit. A dedicated server is available in the sobby package. Package: gobby-0.4-dbg Source: gobby Version: 0.4.13-2 Architecture: armhf Maintainer: Philipp Kern Installed-Size: 3726 Depends: gobby-0.4 (= 0.4.13-2) Homepage: http://gobby.0x539.de Priority: extra Section: debug Filename: pool/main/g/gobby/gobby-0.4-dbg_0.4.13-2_armhf.deb Size: 3378026 SHA256: 5cf081d03eb640baf9c5e39fb4e15a72dea59ac87aea321f7005ecfa13a96492 SHA1: d5ec49e65f114608c5ab7be12e10fb20640cf24c MD5sum: 7b9ecb1d5bdf709751a273e989dc5588 Description: collaborative text editor - debugging symbols Gobby is an editor which allows one to edit text documents and source files collaboratively over a network. All users could work on the file simultaneously without the need to lock it. The parts the various users write are highlighted in different colours and it supports syntax highlighting of various programming and markup languages. A chat window is also included. . Gobby is portable to both Windows and Unix-like platforms and makes use of the Gtk+ toolkit. A dedicated server is available in the sobby package. . These are the debugging symbols for gobby, needed only if you try to debug it. Package: gobby-0.5 Source: gobby-infinote Version: 0.4.94-5 Architecture: armhf Maintainer: Philipp Kern Installed-Size: 1911 Pre-Depends: dpkg (>= 1.15.6) Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnutls26 (>= 2.12.17-0), libgsasl7 (>= 1.1), libgtk-3-0 (>= 3.0.0), libgtkmm-3.0-1 (>= 3.4.0), libgtksourceview-3.0-0 (>= 2.91.4), libinfgtk3-0.5-0 (>= 0.5.1), libinfinity-0.5-0 (>= 0.5.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libunique-3.0-0 (>= 2.90.1), libxml++2.6-2 (>= 2.34.2), libxml2 (>= 2.6.27) Suggests: avahi-daemon Breaks: gobby (<< 0.4.13-2) Homepage: http://gobby.0x539.de Priority: optional Section: net Filename: pool/main/g/gobby-infinote/gobby-0.5_0.4.94-5_armhf.deb Size: 504094 SHA256: 92ab21a92c98a673f42521a1929b4997d9f84513d3f4dbef90dc43f99fdea42b SHA1: ad5b113a34c2fc822ec65cffe50dbc1d4c611179 MD5sum: ca4dfacc08f31240206cf462494b588d Description: infinote-based collaborative text editor Gobby is an editor which allows one to edit text documents and source files collaboratively over a network. All users could work on the file simultaneously without the need to lock it. The parts the various users write are highlighted in different colours and it supports syntax highlighting of various programming and markup languages. . This package is *not* protocol-compatible to the old Gobby 0.4. . Gobby is portable to both Windows and Unix-like platforms and makes use of the Gtk+ toolkit. A dedicated server is available in the infinoted package. Package: gobby-0.5-dbg Source: gobby-infinote Version: 0.4.94-5 Architecture: armhf Maintainer: Philipp Kern Installed-Size: 8879 Pre-Depends: dpkg (>= 1.15.6) Depends: gobby-0.5 (= 0.4.94-5) Recommends: libinfinity-0.5-dbg, libgtkmm-2.4-dbg Homepage: http://gobby.0x539.de Priority: extra Section: debug Filename: pool/main/g/gobby-infinote/gobby-0.5-dbg_0.4.94-5_armhf.deb Size: 2102904 SHA256: ff8535e2855b89ea7f1c589d30d31741ce73da628034c61ca17a66499478336e SHA1: 7436b9db4f64239c41eae2e9f874cf54fda6613f MD5sum: 2172cfe3fdfa533a8934139f8f4561c6 Description: infinote-based collaborative text editor - debugging symbols Gobby is an editor which allows one to edit text documents and source files collaboratively over a network. All users could work on the file simultaneously without the need to lock it. The parts the various users write are highlighted in different colours and it supports syntax highlighting of various programming and markup languages. . Gobby is portable to both Windows and Unix-like platforms and makes use of the Gtk+ toolkit. A dedicated server is available in the infinoted package. . These are the debugging symbols for gobby, needed only if you try to debug it. Package: gobby-infinote Version: 0.4.94-5 Installed-Size: 62 Maintainer: Philipp Kern Architecture: all Depends: gobby-0.5 Pre-Depends: dpkg (>= 1.15.6) Size: 27254 SHA256: 91cd083e07bf5ef74ceac22765fecc747fcf59a0463b00fece4755cce2d9351f SHA1: f5d67e40116edab8f5b959189f0ca8400cd56489 MD5sum: 0265248e97ab6d5dc8e39d38fc2c0a03 Description: infinote-based collaborative text editor (current) Gobby is an editor which allows one to edit text documents and source files collaboratively over a network. All users could work on the file simultaneously without the need to lock it. The parts the various users write are highlighted in different colours and it supports syntax highlighting of various programming and markup languages. . This package is *not* protocol-compatible to the old Gobby 0.4. . This package is a metapackage and depends on the most current stable client version if multiple versions are available. Homepage: http://gobby.0x539.de Section: net Priority: optional Filename: pool/main/g/gobby-infinote/gobby-infinote_0.4.94-5_all.deb Package: gobjc Source: gcc-defaults (1.120) Version: 4:4.6.3-8 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 21 Depends: cpp (>= 4:4.6.3-8), gcc (>= 4:4.6.3-8), gobjc-4.6 (>= 4.4.7-1~) Provides: objc-compiler Priority: optional Section: devel Filename: pool/main/g/gcc-defaults/gobjc_4.6.3-8_armhf.deb Size: 838 SHA256: de5a2e0a7d9b119211aa7b193769d379699cecd89a9a244d4024260467776017 SHA1: fd492620a52dc34479fa8c98278ad3d5a68533b1 MD5sum: 88099e5bb2e0d3777ccd1d6608904735 Description: GNU Objective-C compiler This is the GNU Objective-C compiler, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . This is a dependency package providing the default GNU Objective-C compiler. Package: gobjc++ Source: gcc-defaults (1.120) Version: 4:4.6.3-8 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 21 Depends: cpp (>= 4:4.6.3-8), gcc (>= 4:4.6.3-8), gobjc++-4.6 (>= 4.4.7-1~) Recommends: g++ (>= 4:4.6.3-8), gobjc (>= 4:4.6.3-8) Provides: objc++-compiler Priority: optional Section: devel Filename: pool/main/g/gcc-defaults/gobjc++_4.6.3-8_armhf.deb Size: 864 SHA256: 22fc7878c950cde0feb8836a4e90322e15bdafa2566a5f5b6d6857edb097cd81 SHA1: 818cab2d71748535a60ec2a109ebab92866124f2 MD5sum: 9414a1dcf1b019025b4a6449348f2504 Description: GNU Objective-C++ compiler This is the GNU Objective-C++ compiler, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. . This is a dependency package providing the default GNU Objective-C++ compiler. Package: gobjc++-4.5 Source: gcc-4.5 Version: 4.5.3-12+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 9640 Depends: gcc-4.5-base (= 4.5.3-12+rpi1), gobjc-4.5 (= 4.5.3-12+rpi1), g++-4.5 (= 4.5.3-12+rpi1), libc6 (>= 2.13-28), libelfg0 (>= 0.8.12), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4), libobjc2 (>= 4.5.3-12+rpi1) Suggests: gcc-4.5-doc (>= 4.5.3-2~) Provides: objc++-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.5/gobjc++-4.5_4.5.3-12+rpi1_armhf.deb Size: 4187798 SHA256: 54c65630df944ce4a99c3a6a067872ffbb87ad12b8327b0859c25ce4462e656d SHA1: c8b1422168db11adfc48c496a4cfb5f612b9efeb MD5sum: 262b0e06eaf7c76917774e24c6164a2b Description: GNU Objective-C++ compiler This is the GNU Objective-C++ compiler, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-4.6 Source: gcc-4.6 Version: 4.6.3-14+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 10196 Depends: gcc-4.6-base (= 4.6.3-14+rpi1), gobjc-4.6 (= 4.6.3-14+rpi1), g++-4.6 (= 4.6.3-14+rpi1), libc6 (>= 2.13-28), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4), libobjc3 (>= 4.6.3-14+rpi1) Suggests: gcc-4.6-doc (>= 4.6.3-1) Provides: objc++-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.6/gobjc++-4.6_4.6.3-14+rpi1_armhf.deb Size: 4553514 SHA256: eff5ddd482e77dfce3c09bc2982927bfb7f79c91ce3e462f8da54959469051ff SHA1: eeb90fb7b35e7f0f4d320c7a85a208f5526c177f MD5sum: 9ceb0c2a27589713afe0f5b5e503b49e Description: GNU Objective-C++ compiler This is the GNU Objective-C++ compiler, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-4.7 Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 10952 Depends: gcc-4.7-base (= 4.7.2-5+rpi1), gobjc-4.7 (= 4.7.2-5+rpi1), g++-4.7 (= 4.7.2-5+rpi1), libc6 (>= 2.13-28), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4), libobjc4 (>= 4.7.2-5+rpi1) Suggests: gcc-4.7-doc (>= 4.7.2) Provides: objc++-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.7/gobjc++-4.7_4.7.2-5+rpi1_armhf.deb Size: 5029044 SHA256: bb99ab24efbc7808203fb5f7a58b2c05e7387c6f1dbcbf5efb3b797397aa7e76 SHA1: 994a31b416c0062530ed3daee8d867088e65c1e1 MD5sum: 19af9a83ad7a2b7bdbe0300a17fc433e Description: GNU Objective-C++ compiler This is the GNU Objective-C++ compiler, which compiles Objective-C++ on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc++-mingw-w64 Source: gcc-mingw-w64 (8) Version: 4.6.3-14+8 Installed-Size: 21 Maintainer: Stephen Kitt Architecture: all Depends: gobjc++-mingw-w64-i686, gobjc++-mingw-w64-x86-64, gcc-mingw-w64-base Size: 934 SHA256: 4774990aa9b7cdb36f0a8a477bc9f39827d73e8c7c63c12f39cbae4e7cc50144 SHA1: 1a91ffe54a4a23138388d087e63176181308a8ed MD5sum: d50c3661c3dd9b1f3aedf16a238419e2 Description: GNU Objective-C++ compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Objective-C++ compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Homepage: http://www.gnu.org/software/gcc/ Built-Using: gcc-4.6 (= 4.6.3-14) Section: devel Priority: extra Filename: pool/main/g/gcc-mingw-w64/gobjc++-mingw-w64_4.6.3-14+8_all.deb Package: gobjc++-mingw-w64-i686 Source: gcc-mingw-w64 (8) Version: 4.6.3-12+rpi1+8 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 9979 Depends: gobjc-mingw-w64-i686 (= 4.6.3-12+rpi1+8), gcc-mingw-w64-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.6-locales (>= 4.6.3) Built-Using: gcc-4.6 (= 4.6.3-12+rpi1) Homepage: http://www.gnu.org/software/gcc/ Priority: extra Section: devel Filename: pool/main/g/gcc-mingw-w64/gobjc++-mingw-w64-i686_4.6.3-12+rpi1+8_armhf.deb Size: 4580546 SHA256: fb3d1b40e8dd6f28e9bd313b87afbef5a5002745df4fc398ad3fbd94aae33f3e SHA1: 2945c2aa0ed54542953a6f7a3aa4e7c7e50ae810 MD5sum: 4e4b75382c4fa012f242fc87d513a3f5 Description: GNU Objective-C++ compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C++ compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: gobjc++-mingw-w64-x86-64 Source: gcc-mingw-w64 (8) Version: 4.6.3-12+rpi1+8 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 10428 Depends: gobjc-mingw-w64-x86-64 (= 4.6.3-12+rpi1+8), gcc-mingw-w64-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.6-locales (>= 4.6.3) Built-Using: gcc-4.6 (= 4.6.3-12+rpi1) Homepage: http://www.gnu.org/software/gcc/ Priority: extra Section: devel Filename: pool/main/g/gcc-mingw-w64/gobjc++-mingw-w64-x86-64_4.6.3-12+rpi1+8_armhf.deb Size: 4726422 SHA256: d97b3d093d2dac4e9fa9f3db151195090c0f161933b98902e0f99e9daa44c100 SHA1: 6b14f4daed11489f168fc0bf7692c7e61fac56df MD5sum: 1d29046e49c96188c4b7f6517b35f5a7 Description: GNU Objective-C++ compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C++ compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: gobjc-4.5 Source: gcc-4.5 Version: 4.5.3-12+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 9544 Depends: gcc-4.5-base (= 4.5.3-12+rpi1), gcc-4.5 (= 4.5.3-12+rpi1), libc6-dev (>= 2.13-5), libc6 (>= 2.13-28), libelfg0 (>= 0.8.12), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4), libobjc2 (>= 4.5.3-12+rpi1) Suggests: gcc-4.5-doc (>= 4.5.3-2~), libobjc2-dbg Provides: objc-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.5/gobjc-4.5_4.5.3-12+rpi1_armhf.deb Size: 4051316 SHA256: cb5e8f88a42d4c644a0a44313ddd696b11123a2be9c39e213b31134c7e5dedcd SHA1: a4dc61ffef91a5cf689ce39a71f73170b26cc1be MD5sum: 27bf2a4b6f4e005f934706ce0a6b9df9 Description: GNU Objective-C compiler This is the GNU Objective-C compiler, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-4.6 Source: gcc-4.6 Version: 4.6.3-14+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 10181 Depends: gcc-4.6-base (= 4.6.3-14+rpi1), gcc-4.6 (= 4.6.3-14+rpi1), libc6-dev (>= 2.13-5), libc6 (>= 2.13-28), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4), libobjc3 (>= 4.6.3-14+rpi1) Suggests: gcc-4.6-doc (>= 4.6.3-1), libobjc3-dbg Provides: objc-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.6/gobjc-4.6_4.6.3-14+rpi1_armhf.deb Size: 4435064 SHA256: dd77a103bc21a10a66a731fbc92e15cccebb20a7875c4cad1760642f12aaca38 SHA1: eceddd0562c972f21a193117e9ea07bfae9fb92f MD5sum: 9629dd1d4fc99d2e6b7a8b25b39fb381 Description: GNU Objective-C compiler This is the GNU Objective-C compiler, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-4.7 Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 10688 Depends: gcc-4.7-base (= 4.7.2-5+rpi1), gcc-4.7 (= 4.7.2-5+rpi1), libc6-dev (>= 2.13-5), libc6 (>= 2.13-28), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4), libobjc4 (>= 4.7.2-5+rpi1) Suggests: gcc-4.7-doc (>= 4.7.2), libobjc4-dbg Provides: objc-compiler Homepage: http://gcc.gnu.org/ Priority: optional Section: devel Filename: pool/main/g/gcc-4.7/gobjc-4.7_4.7.2-5+rpi1_armhf.deb Size: 4817270 SHA256: 37f3a1ccc05d78aa20ef3f0a306bc19b06e60a16310572f138ee062a6e60911f SHA1: c84b25c852849cd26ab20c4da49c3f5fd69021d9 MD5sum: 979ab1759a8980bf84eda10d72750e8d Description: GNU Objective-C compiler This is the GNU Objective-C compiler, which compiles Objective-C on platforms supported by the gcc compiler. It uses the gcc backend to generate optimized code. Package: gobjc-mingw-w64 Source: gcc-mingw-w64 (8) Version: 4.6.3-14+8 Installed-Size: 21 Maintainer: Stephen Kitt Architecture: all Depends: gobjc-mingw-w64-i686, gobjc-mingw-w64-x86-64, gcc-mingw-w64-base Size: 930 SHA256: 5f40303d38c5e04c6e412d163382ce3ea649cc1df6c2b3134bb2f5a40fa78bcd SHA1: 236d82777771e220d32d06f593e048d8c72d9216 MD5sum: e1bc46d19824d1a8833831cd81b40d64 Description: GNU Objective-C compiler for MinGW-w64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This metapackage provides the Objective-C compiler, supporting cross-compiling to 32- and 64-bit MinGW-w64 targets. Homepage: http://www.gnu.org/software/gcc/ Built-Using: gcc-4.6 (= 4.6.3-14) Section: devel Priority: extra Filename: pool/main/g/gcc-mingw-w64/gobjc-mingw-w64_4.6.3-14+8_all.deb Package: gobjc-mingw-w64-i686 Source: gcc-mingw-w64 (8) Version: 4.6.3-12+rpi1+8 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 10227 Depends: gcc-mingw-w64-i686 (= 4.6.3-12+rpi1+8), gcc-mingw-w64-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.6-locales (>= 4.6.3) Built-Using: gcc-4.6 (= 4.6.3-12+rpi1) Homepage: http://www.gnu.org/software/gcc/ Priority: extra Section: devel Filename: pool/main/g/gcc-mingw-w64/gobjc-mingw-w64-i686_4.6.3-12+rpi1+8_armhf.deb Size: 4500722 SHA256: cc4a24ad65ac002d238ec8121366b828fb3f1f3886f5369985311d947d187104 SHA1: 40ee664bcd79627c87c727b3742ee2e8adcf671f MD5sum: 4774c358fa3ddb7a4aa4a89d8a454c0f Description: GNU Objective-C compiler for MinGW-w64 targeting Win32 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C compiler, supporting cross-compiling to 32-bit MinGW-w64 targets. Package: gobjc-mingw-w64-x86-64 Source: gcc-mingw-w64 (8) Version: 4.6.3-12+rpi1+8 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 10875 Depends: gcc-mingw-w64-x86-64 (= 4.6.3-12+rpi1+8), gcc-mingw-w64-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libmpc2, libmpfr4 (>= 3.1.0), zlib1g (>= 1:1.1.4) Suggests: gcc-4.6-locales (>= 4.6.3) Built-Using: gcc-4.6 (= 4.6.3-12+rpi1) Homepage: http://www.gnu.org/software/gcc/ Priority: extra Section: devel Filename: pool/main/g/gcc-mingw-w64/gobjc-mingw-w64-x86-64_4.6.3-12+rpi1+8_armhf.deb Size: 4675350 SHA256: 371f6c29b51ed19898c70bd2ecd3ca1b29f6019feaebc382dfc40fa5a0c2da5d SHA1: 567c89043c15cca3da07b45b4b0c17724c734619 MD5sum: dbd8ac8b2fcba31e36a818a53c6b28fa Description: GNU Objective-C compiler for MinGW-w64 targeting Win64 MinGW-w64 provides a development and runtime environment for 32- and 64-bit (x86 and x64) Windows applications using the Windows API and the GNU Compiler Collection (gcc). . This package contains the Objective-C compiler, supporting cross-compiling to 64-bit MinGW-w64 targets. Package: gobject-introspection Version: 1.32.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1029 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgirepository-1.0-1 (= 1.32.1-1), libglib2.0-0 (>= 2.29.7), python (>= 2.6.6-7~), python2.7, python-mako Homepage: http://live.gnome.org/GObjectIntrospection Priority: optional Section: devel Filename: pool/main/g/gobject-introspection/gobject-introspection_1.32.1-1_armhf.deb Size: 278256 SHA256: 9b3597d5e36be58fdd70913a741042df89b76bfbbebaaaf710978a196b822307 SHA1: 57cd88bf6cf619b67d847dc563d7bfe325e9eaab MD5sum: eaa54e5287279cf86c3fd473ea8129dc Description: Generate interface introspection data for GObject libraries GObject Introspection is a project for providing machine readable introspection data of the API of C libraries. This introspection data can be used in several different use cases, for example automatic code generation for bindings, API verification and documentation generation. . GObject Introspection contains tools to generate and handle the introspection data. . This package contains tools for extracting introspection data from libraries and transforming it to different formats. Package: goby Version: 1.1-1 Installed-Size: 1396 Maintainer: Tatsuya Kinoshita Architecture: all Depends: emacs | emacs23 | emacs22 | emacs21 | emacs-snapshot Recommends: netpbm, imagemagick Suggests: ttf-liberation, ttf-dejavu, ttf-japanese-gothic, ttf-japanese-mincho, ghostscript Size: 1207424 SHA256: 55e694176a797bfbdbede6d73239f6f83c368b069353a8661dc1fb17e1fc4398 SHA1: f5976c2b6edd2cccfcb79a5a40d2939f6ac45f34 MD5sum: 136e5da83efbdd6af83c048d1c1304db Description: WYSIWYG presentation tool for Emacs Goby is an Emacs Lisp package to display large fonts and images, which can be used as a WYSIWYG presentation tool on GNU Emacs. . There are two modes, Edit and View, for Goby. Edit mode is implemented as a minor mode while View mode as a major mode. The created file (*.gby) is just a text, so you can easily handle it. . To display large fonts, install TrueType font packages. To use images, install the netpbm package. To make screen dumps, install the imagemagick package. Homepage: http://www.mew.org/~kazu/proj/goby/ Section: editors Priority: optional Filename: pool/main/g/goby/goby_1.1-1_all.deb Package: gocr Version: 0.49-1 Architecture: armhf Maintainer: Cosimo Alfarano Installed-Size: 455 Depends: libc6 (>= 2.13-28) Recommends: libjpeg-progs, bzip2, netpbm, transfig Homepage: http://jocr.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/g/gocr/gocr_0.49-1_armhf.deb Size: 223528 SHA256: 7520227c3a1774f706d52ba4822d58747b0f28d54a91c533a601c93a457fa040 SHA1: ce007371031ecd191633b439fd92a3be887ecb42 MD5sum: 2e2af2d88471a0db0eeaa162a0751edb Description: Command line OCR gocr is a multi-platform OCR (Optical Character Recognition) program. . It can read pnm, pbm, pgm, ppm, some pcx and tga image files. . Currently the program should be able to handle well scans that have their text in one column and do not have tables. Font sizes of 20 to 60 pixels are supported. . If you want to write your own OCR, libgocr is provided in a separate package. Documentation and graphical wrapper are provided in separated packages, too. Package: gocr-tk Source: gocr Version: 0.49-1 Installed-Size: 55 Maintainer: Cosimo Alfarano Architecture: all Depends: gocr, tk8.5 | wish Suggests: xsane Size: 16020 SHA256: 8bca7bba7466c1f5270eaff135369ba75ecfd75195a7f158da2f52c70190411e SHA1: 4bc5bb7caf4a08e467621fc87135d80416f11432 MD5sum: a7ab2229136a84d21576b0033ee18f0f Description: tcl/tk wrapper around gocr gocr is a multi-platform OCR (Optical Character Recognition) program. . It can read pnm, pbm, pgm, ppm, some pcx and tga image files. . It is a tlc/tk GUI to gocr (a command line program). Homepage: http://jocr.sourceforge.net/ Tag: accessibility::ocr, interface::x11, role::program, scope::application, uitoolkit::tk, use::converting, works-with::image, works-with::image:raster, works-with::text, x11::application Section: graphics Priority: optional Filename: pool/main/g/gocr/gocr-tk_0.49-1_all.deb Package: god Version: 0.7.18-3 Architecture: armhf Maintainer: Sebastien Delafond Installed-Size: 229 Depends: ruby1.8, libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.352) Homepage: http://god.rubyforge.org/ Priority: optional Section: interpreters Filename: pool/main/g/god/god_0.7.18-3_armhf.deb Size: 47930 SHA256: ec6118ece355ec069a746e7d912849794f5bf9608e8087c9456a66302c213543 SHA1: daf1850bb86042327a676fb948d48598977e8d31 MD5sum: aa9f8680e7a44e558b70c9af61752064 Description: Fully configurable process monitoring God is an easy to configure, easy to extend monitoring framework written in Ruby. . Keeping your server processes and tasks running should be a simple part of your deployment process. God aims to be the simplest, most powerful monitoring application available. Package: gofigure2 Version: 0.9.0-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 1174 Depends: libboost-program-options1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgdcm2.2, libgofigure0, libgomp1 (>= 4.2.1), libinsighttoolkit3.20, libpng12-0 (>= 1.2.13-4), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libvtk5.8, libvtk5.8-qt4, zlib1g (>= 1:1.1.4) Suggests: mysql-server Homepage: http://gofigure2.sourceforge.net Priority: optional Section: science Filename: pool/main/g/gofigure2/gofigure2_0.9.0-1_armhf.deb Size: 963562 SHA256: 6e031a87337e4ad9eb0fc841a312bc65e0d8a956b5479ec8d76c363bdeea1b18 SHA1: 8bf9a41f02fc57584c8cf8a04fb07f1317e2b85c MD5sum: ef11a0da3b1da06ceeb091f0a8730557 Description: Tool for visualizing, processing and analysing of bioimages Main objectives of GoFigure2 are to provide * interaction/visualization/navigation into 4D multichannels bio-images; * save/retrieve information related to the imaging process, analysis results; * extracting objects from bio-images (e.g. nucleii, cell-membranes...); * tracking sub-cellular structure, cells; * detecting and tracking cell-division through time; * generating and visualizing cell-lineage. Package: gogglesmm Version: 0.12.6-1 Architecture: armhf Maintainer: Hendrik Rittich Installed-Size: 1392 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.4), libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfox-1.6-0, libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.6), libtag1c2a (>= 1.7), libtiff4 (>> 3.9.5-3~), libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxfixes3, libxft2 (>> 2.1.1), libxine1 (>= 1.1.8), libxrender1, zlib1g (>= 1:1.1.4) Homepage: http://code.google.com/p/gogglesmm/ Priority: extra Section: sound Filename: pool/main/g/gogglesmm/gogglesmm_0.12.6-1_armhf.deb Size: 542394 SHA256: 3a66d713f3f9b51f818688db03204ed3ebe24e40628ddf9660cd65fb6f914e17 SHA1: 1f65f5e7bbe92bc05056e219580981d406d30da3 MD5sum: 9414efe7ccc285faf81fc3f21607d3d7 Description: Goggles Music Manager Goggles Music Manager is a music collection manager and player that automatically categorizes your music files based on genre, artist, album, and song. It supports gapless playback and features easy tag editing. Package: gogoc Version: 1:1.2-4 Architecture: armhf Maintainer: Craig Small Installed-Size: 320 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), net-tools, iproute Recommends: radvd Homepage: http://go6.net/4105/application.asp Priority: extra Section: net Filename: pool/main/g/gogoc/gogoc_1.2-4_armhf.deb Size: 95576 SHA256: 6e96465c08c1996bb50d2c3be2dd2979d924a2d62ad06cb88befeb4c8e95a469 SHA1: 79ef04dfc30b89521b7710af907393d3c536bd9a MD5sum: 863c5aa9dde9da955771edaf885e0a99 Description: Client to connect to IPv6 tunnel brokers TSP is a control protocol used to establish and maintain static tunnels. The Gateway6 client (gogoc) is used on the host computer to connect to a tunnel broker using the TSP protocol and to get the information for its tunnel. When it receives the information for the tunnel, the Gateway6 client creates the static tunnel on its operating system. . This package was previously called gw6c or tspc. Package: gok Version: 2.30.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 11425 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libatspi1.0-0 (>= 1.32.0), libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libcanberra-gtk0 (>= 0.2), libcanberra0 (>= 0.2), libdbus-glib-1-2 (>= 0.78), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome-speech7, libgtk2.0-0 (>= 2.24.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libusb-0.1-4 (>= 2:0.1.12), libwnck22 (>= 2.30.0-3), libx11-6, libxi6, libxml2 (>= 2.7.4), gconf2 (>= 2.28.1-2), at-spi Suggests: gok-doc Priority: optional Section: gnome Filename: pool/main/g/gok/gok_2.30.0-1_armhf.deb Size: 2006552 SHA256: 6bc4f2fb0679cea7feb7de21f8d8abb2a3fd263538003427af1185244992e675 SHA1: 64ad5f9982904ccf5b9ff775478d98d72d2bd41c MD5sum: 7c83f54c518f1575236095bdab75eeb1 Description: GNOME Onscreen Keyboard GOK is the GNOME Onscreen Keyboard, a dynamic onscreen keyboard for UNIX and UNIX-like operating systems. It features Direct Selection, Dwell Selection, Automatic Scanning and Inverse Scanning access methods and includes word completion. . GOK includes an alphanumeric keyboard and a keyboard for launching applications. The keyboards are specified in XML enabling existing keyboards to be modified and new keyboards to be created. The access methods are also specified in XML providing the ability to modify existing access methods and create new ones. Package: gok-doc Source: gok Version: 2.30.0-1 Installed-Size: 1340 Maintainer: Debian GNOME Maintainers Architecture: all Depends: lynx | www-browser, doc-base Size: 369286 SHA256: 87f03ec82c61fc1bf852900f9f518c4e9320717f8b0785313670cf8baf360b9b SHA1: 9750a84262c980badb6a69c31c7392565c13ce00 MD5sum: 99eec6f557deb5cf3a8bc3dbab8efa54 Description: documentation files for the GNOME Onscreen Keyboard GOK is the GNOME Onscreen Keyboard, a dynamic onscreen keyboard for UNIX and UNIX-like operating systems. It features Direct Selection, Dwell Selection, Automatic Scanning and Inverse Scanning access methods and includes word completion. . GOK includes an alphanumeric keyboard and a keyboard for launching applications. The keyboards are specified in XML enabling existing keyboards to be modified and new keyboards to be created. The access methods are also specified in XML providing the ability to modify existing access methods and create new ones. . This package contains the documentation files. Tag: accessibility::input, hardware::input, hardware::input:mouse, made-of::html, role::documentation, suite::gnome Section: doc Priority: optional Filename: pool/main/g/gok/gok-doc_2.30.0-1_all.deb Package: golang Version: 2:1.0.2-1.1+rpi1 Architecture: all Maintainer: Ondřej Surý Installed-Size: 87 Depends: golang-go (>= 2:1.0.2-1.1+rpi1), golang-src (>= 2:1.0.2-1.1+rpi1), golang-doc (>= 2:1.0.2-1.1+rpi1) Conflicts: golang-weekly Replaces: golang-weekly Provides: golang-weekly Homepage: http://golang.org/ Priority: optional Section: devel Filename: pool/main/g/golang/golang_1.0.2-1.1+rpi1_all.deb Size: 24984 SHA256: df304869afcd3e51b79594c9542a04674effda664099dc235c02cd9b8ee41943 SHA1: 2d14227b9f7475fb0cad9c3ce866b5871d8d86a2 MD5sum: 540b4a2bf80528aab1f41495e5f51980 Description: Go programming language compiler - metapackage The Go programming language is an open source project to make programmers more productive. Go is expressive, concise, clean, and efficient. Its concurrency mechanisms make it easy to write programs that get the most out of multicore and networked machines, while its novel type system enables flexible and modular program construction. Go compiles quickly to machine code yet has the convenience of garbage collection and the power of run-time reflection. It's a fast, statically typed, compiled language that feels like a dynamically typed, interpreted language. . This package is a metapackage that, when installed, guarantees that (most of) a full Go development environment is installed. Package: golang-dbg Source: golang Version: 2:1.0.2-1.1+rpi1 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 3491 Depends: golang-go (= 2:1.0.2-1.1+rpi1) Recommends: gdb Conflicts: golang-weekly-dbg Replaces: golang-weekly-dbg Provides: golang-weekly-dbg Homepage: http://golang.org/ Priority: extra Section: debug Filename: pool/main/g/golang/golang-dbg_1.0.2-1.1+rpi1_armhf.deb Size: 1274708 SHA256: 4ae8c5dcc942c7c81f8a9352111d1a6a64375d9b8746a5749f319bd762482cf4 SHA1: 6cc9b736c7b277f901ea2f1c231ea024eafb252b MD5sum: 793690772ffcc763e3d75cef336a13e4 Description: Go programming language compiler - debug files The Go programming language is an open source project to make programmers more productive. Go is expressive, concise, clean, and efficient. Its concurrency mechanisms make it easy to write programs that get the most out of multicore and networked machines, while its novel type system enables flexible and modular program construction. Go compiles quickly to machine code yet has the convenience of garbage collection and the power of run-time reflection. It's a fast, statically typed, compiled language that feels like a dynamically typed, interpreted language. . This package provides the debug symbols for Google's Go tool chain binaries (assembler, compiler, linker) needed for properly debugging errors in the tool chain with gdb. Package: golang-doc Source: golang Version: 2:1.0.2-1.1+rpi1 Architecture: all Maintainer: Ondřej Surý Installed-Size: 6583 Depends: golang-go Conflicts: golang-weekly-doc Replaces: golang-weekly-doc Provides: golang-weekly-doc Homepage: http://golang.org/ Priority: optional Section: doc Filename: pool/main/g/golang/golang-doc_1.0.2-1.1+rpi1_all.deb Size: 4526144 SHA256: d972bf39f27b4207ba6983cee078c097ece65bd65482ac8ba134d374e6d7b5ab SHA1: dccbbd2d4737093a7b6f6de465954735689c279a MD5sum: 9fb76b2ef0571e6cefd726a341cb1ff7 Description: Go programming language compiler - documentation The Go programming language is an open source project to make programmers more productive. Go is expressive, concise, clean, and efficient. Its concurrency mechanisms make it easy to write programs that get the most out of multicore and networked machines, while its novel type system enables flexible and modular program construction. Go compiles quickly to machine code yet has the convenience of garbage collection and the power of run-time reflection. It's a fast, statically typed, compiled language that feels like a dynamically typed, interpreted language. . This package provides the documentation for the Go programming language. You can view the formatted documentation by running "godoc --http=:6060", and then visiting http://localhost:6060/doc/install.html. Package: golang-go Source: golang Version: 2:1.0.2-1.1+rpi1 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 61296 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), debconf (>= 0.5) | debconf-2.0, perl, golang-src (>= 2:1.0.2-1.1+rpi1) Conflicts: golang-tools, golang-weekly-go, golang-weekly-tools Replaces: golang-tools, golang-weekly-go, golang-weekly-tools Provides: go-compiler, golang-tools, golang-weekly-go, golang-weekly-tools Homepage: http://golang.org/ Priority: optional Section: devel Filename: pool/main/g/golang/golang-go_1.0.2-1.1+rpi1_armhf.deb Size: 14459068 SHA256: a717f54308fc02d61aaeae63fdce1c3c652d30d4e479e2a35e856b969099617d SHA1: 26be8e99c8e59088cfe9f5512c0e6b59cad8dc01 MD5sum: 6ace6c5d767bd42c7313e3061191dea1 Description: Go programming language compiler The Go programming language is an open source project to make programmers more productive. Go is expressive, concise, clean, and efficient. Its concurrency mechanisms make it easy to write programs that get the most out of multicore and networked machines, while its novel type system enables flexible and modular program construction. Go compiles quickly to machine code yet has the convenience of garbage collection and the power of run-time reflection. It's a fast, statically typed, compiled language that feels like a dynamically typed, interpreted language. . This package provides an assembler, a compiler, and a linker for the Go programming language. This is Google's implementation of the Go tool chain. Package: golang-mode Source: golang Version: 2:1.0.2-1.1+rpi1 Architecture: all Maintainer: Ondřej Surý Installed-Size: 166 Depends: emacs23 | emacsen, emacsen-common (>= 1.4.14) Suggests: golang-go Homepage: http://golang.org/ Priority: optional Section: devel Filename: pool/main/g/golang/golang-mode_1.0.2-1.1+rpi1_all.deb Size: 35506 SHA256: 9347dc70224f6d2f0fc60edf1ed293c964f45ddf1718a6315ec35e840330e7a5 SHA1: 96529d65ff8bc408492ff83a165c0faf524a04b8 MD5sum: 6c5245d9de2c9ec62c5ce22cb771c59e Description: Go programming language - mode for GNU Emacs This package provides a GNU Emacs major mode for editing code in Google's Go programming language. Package: golang-src Source: golang Version: 2:1.0.2-1.1+rpi1 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 11851 Conflicts: golang-weekly-src Breaks: golang-go (<< 2:1-3~) Replaces: golang-go (<< 2:1-3~), golang-weekly-src Provides: golang-weekly-src Homepage: http://golang.org/ Priority: optional Section: devel Filename: pool/main/g/golang/golang-src_1.0.2-1.1+rpi1_armhf.deb Size: 2995100 SHA256: 864837fd0e34531ca0c6826d5ab0fb3c026f6e30550fc587011ec8fe9d7b2414 SHA1: 0d3aa4b00f52b1f7e77992cb33ff1f032de4dd69 MD5sum: 887c910fce21e114f0c8199443a5b7b4 Description: Go programming language compiler - source files The Go programming language is an open source project to make programmers more productive. Go is expressive, concise, clean, and efficient. Its concurrency mechanisms make it easy to write programs that get the most out of multicore and networked machines, while its novel type system enables flexible and modular program construction. Go compiles quickly to machine code yet has the convenience of garbage collection and the power of run-time reflection. It's a fast, statically typed, compiled language that feels like a dynamically typed, interpreted language. . This package provides the Go programming language source files needed for godoc and goinstall. Package: goldencheetah Version: 2.1-4 Architecture: armhf Maintainer: KURASHIKI Satoru Installed-Size: 7080 Depends: libc6 (>= 2.13-28), libcurl3-nss (>= 7.23.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), liboauth0 (>= 0.9.1), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libqwtplot3d-qt4-0, libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), libqt4-sql-sqlite Homepage: http://goldencheetah.org/ Priority: optional Section: misc Filename: pool/main/g/goldencheetah/goldencheetah_2.1-4_armhf.deb Size: 5393552 SHA256: f492748170cced5defc347e725c930aed69200ff2041c581f39d62dbc3717c66 SHA1: 61aab7e1f1b82165a357cd072e38952e141afabd MD5sum: 73aa743b9ff4696908a210982c6138df Description: set of analysis tools for cycling performance GoldenCheetah is a software package that: * Downloads ride data directly from the CycleOps PowerTap and the SRM PowerControl V. Support for SRM PowerControl VI and VII is planned for the future. * Imports ride data downloaded with other programs, including TrainingPeaks WKO+ and the manufacturers' software for the Ergomo, Garmin, Polar, PowerTap, and SRM devices. * Provides a rich set of analysis tools, including a critical power graph, BikeScore calculation, histogram analysis, a best interval finder, and a pedal force versus pedal velocity chart, to name just a few. Package: goldendict Version: 1.0.2~git20110906-1.1 Architecture: armhf Maintainer: Dmitry E. Oboukhov Installed-Size: 2992 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhunspell-1.3-0, libogg0 (>= 1.0rc3), libphonon4 (>= 4:4.3.0), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.6), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libx11-6, libxtst6, phonon, zlib1g (>= 1:1.2.0) Suggests: goldendict-wordnet Homepage: http://goldendict.berlios.de/ Priority: optional Section: utils Filename: pool/main/g/goldendict/goldendict_1.0.2~git20110906-1.1_armhf.deb Size: 1299726 SHA256: 9023dc28bd0bc057fb2eb3cfa2322406e9db93ba7be65790643b806ec888f5f3 SHA1: 877f891a10f29d3043dcb2c7761d169f68fe2f4c MD5sum: 506118474367f35374c72902c3a9f934 Description: feature-rich dictionary lookup program Features: * Use of WebKit for an accurate articles' representation, complete with all formatting, colors, images and links. * Support of multiple dictionary file formats, namely: - Babylon .BGL files, complete with images and resources - StarDict .ifo/.dict./.idx/.syn dictionaries - Dictd .index/.dict(.dz) dictionary files - ABBYY Lingvo .dsl source files, together with abbreviations. The files can be optionally compressed with dictzip. Dictionary resources can be packed together into a .zip file. - ABBYY Lingvo .lsa/.dat audio archives. Those can be indexed separately, or be referred to from .dsl files. * Support for Wikipedia, Wiktionary, or any other MediaWiki-based sites to perform lookups in. * Ability to use arbitrary websites as dictionaries via templated Url patterns. * Hunspell-based morphology system, used for word stemming and spelling suggestions. * Ability to index arbitrary directories with audio files for pronunciation lookups. * Full Unicode case, diacritics, punctuation and whitespace folding. This means the ability to type in words without any accents, correct case, punctuation or spaces (e.g. typing 'Grussen' would yield 'grüßen' in German dictionaries). * Scan popup functionality. A small window pops up with the translation of a word chosen from another application. * Support for global hotkeys. You can spawn the program window at any point, or directly translate a word from the clipboard. * Tabbed browsing in a modern Qt 4 interface. Package: goldendict-wordnet Source: wordnet Version: 1:3.0-29 Installed-Size: 16641 Maintainer: Debian Science Team Architecture: all Recommends: goldendict Conflicts: wordnet-goldendict Size: 17012330 SHA256: 1b557c04eee8c3bd516dbb674d5cd4c2d3f0cc8ebb31bc673a99eae0ca5007af SHA1: a0e34d61302fa93ff67a910938ce0600ac76ad20 MD5sum: 39e78daf0e6e0014686b97b7ddf54c65 Description: electronic lexical database of English language for dict WordNet(C) is an on-line lexical reference system whose design is inspired by current psycholinguistic theories of human lexical memory. English nouns, verbs, adjectives and adverbs are organized into synonym sets, each representing one underlying lexical concept. Different relations link the synonym sets. . WordNet was developed by the Cognitive Science Laboratory (http://www.cogsci.princeton.edu/) at Princeton University under the direction of Professor George A. Miller (Principal Investigator). . This package contains an adaptation wordnet database for such dictionaries as goldendict. Homepage: http://wordnet.princeton.edu/ Tag: made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/w/wordnet/goldendict-wordnet_3.0-29_all.deb Package: gollem Version: 1.1.1+debian0-1.1 Installed-Size: 4492 Maintainer: Horde Maintainers Architecture: all Depends: horde3 Size: 1516608 SHA256: 8575aac256146c610643fa36b52a5eeefee4f294595d620ab72de2bdc23772ad SHA1: ffed16a3632079e36ee43c366dce2cbd779822ff MD5sum: 2a882c83c99b8e259cc07dd28d749c71 Description: file manager component for horde framework Gollem is the Horde web-based File Manager, providing the ability to fully manage a hierarchical file system stored in a variety of backends such as a SQL database, as part of a real filesystem, or on an FTP server. It uses the Horde's MIME_Viewer framework to identify file types, associate icons, etc. Homepage: http://www.horde.org/gollem/ Tag: devel::lang:php, implemented-in::php, interface::web, protocol::ftp, protocol::http, protocol::smb, role::program, web::application, works-with::db, works-with::file Section: web Priority: optional Filename: pool/main/g/gollem/gollem_1.1.1+debian0-1.1_all.deb Package: golly Version: 2.3-1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 7011 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), zlib1g (>= 1:1.2.6) Homepage: http://golly.sourceforge.net/ Priority: optional Section: games Filename: pool/main/g/golly/golly_2.3-1_armhf.deb Size: 2716852 SHA256: 1e76a1de738c05f30b6b26609f88e6d36aae876ec28421114d8febb3ec1f932f SHA1: 7c6ced42a91a4961682ff329a7f94d7dc154a500 MD5sum: 28b1f60bc5cb877b062b0f58d8162ae8 Description: Game of Life simulator using hashlife algorithm Golly simulates Conway's Game of Life with an arbitrarily large grid of cells. It can optionally use a hashlife algorithm, which allows it to rapidly compute generations for huge patterns, and to compute many generations into the future at a time. . Golly provides a graphical interface for viewing and editing cellular automata. It supports copy and paste, zoom, auto-fit, multiple layers, and viewing different areas of a pattern simultaneously in different areas of a window. . Golly can load patterns from RLE, Life 1.05/1.06, dblife, and macrocell file formats; it can also interpret images as Life patterns. Golly provides integrated help, including a copy of the Life Lexicon. . Golly also supports other rules for 2D cellular automata with an 8-cell neighborhood, and supports 1D cellular automata. Package: gom Version: 0.30.2-5.4 Architecture: armhf Maintainer: Stephan Sürken Installed-Size: 198 Pre-Depends: debconf (>= 1.5.11) Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Conflicts: gom-x Replaces: gom-x Homepage: http://software.installiert.net Priority: optional Section: sound Filename: pool/main/g/gom/gom_0.30.2-5.4_armhf.deb Size: 67752 SHA256: 49a9edf78307acc973f6585800884104ba88dff480732461f6bf352a6ac4ff2a SHA1: 2f39186cf47c38320c248f8ef6643ab441b0bf64 MD5sum: 9977f06317b23b582ae28ca155bdadb7 Description: Command line and interactive ncurses-based OSS audio mixer gom is a command line mixer utility with optional built-in ncurses interactive interface. . Its main feature is an exhaustive command line interface that makes it ideal for scripting (e.g. for audio recording, running at user and/or system login time, setting/restoring of settings, etc). . It supports OSS only, so if you want to use it with ALSA, you will need its OSS-compatible modules. If you want to use all possible features of ALSA however, you would need to use a mixer program dedicated for ALSA. Package: gomoku.app Version: 1.2.9-1 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 237 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1) Conflicts: gomoku Replaces: gomoku Homepage: http://www.gnustep.it/nicola/Applications/Gomoku/ Priority: optional Section: gnustep Filename: pool/main/g/gomoku.app/gomoku.app_1.2.9-1_armhf.deb Size: 96342 SHA256: 88465d92a8eb828cafab4e987e7cf08a3ef5832b0ff247501d9c37d5f46d95e8 SHA1: 73c6b57d5f11ef65e1fad509a2e240531f1d4bfe MD5sum: 598a84429139677c0512befbe85da941 Description: Extended TicTacToe game for GNUstep Gomoku is an extended TicTacToe game for GNUstep. You win the game if you are able to put 5 of your pieces in a row, column, or diagonal. You lose if the computer does so before you. You can play the game on boards of different size. The game has 6 different difficulty levels. Package: gonzui Version: 1.2+cvs20070129-3.1 Architecture: armhf Maintainer: ARAKI Yasuhiro Installed-Size: 384 Depends: libc6 (>= 2.13-28), ruby1.8 (>= 1.8.2), liblangscan-ruby, libdb-ruby1.8, libzlib-ruby1.8, libwebrick-ruby1.8, libiconv-ruby1.8, libstrscan-ruby1.8 Suggests: libprogressbar-ruby1.8, subversion, cvs, unzip, rpm, bzip2, libppi-perl Homepage: http://gonzui.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/g/gonzui/gonzui_1.2+cvs20070129-3.1_armhf.deb Size: 107274 SHA256: e73be6d02f1dd3216dd934b250d1c302c2a82154a86a957a8c381d61f9840cf9 SHA1: 39afb769349630877a4e06e0ef201520c76d783c MD5sum: 1f7aa82b6a140cf98e0d38a9ae4e7739 Description: A source code search engine Gonzui offers source code indexer which supports many programing languages, search engine and source code viewer through http. Package: goo Version: 0.155-12 Architecture: armhf Maintainer: Aaron M. Ucko Installed-Size: 5331 Depends: libc6 (>= 2.13-28), libgc1c2 (>= 1:7.1), libgmp10 Suggests: gcc, libgc-dev Homepage: http://www.googoogaga.org/ Priority: optional Section: lisp Filename: pool/main/g/goo/goo_0.155-12_armhf.deb Size: 1485182 SHA256: d70fb7135c158693ebf59a795d06544e71b1a8f275cdb170bf5987d0b70aa8c5 SHA1: efc17f6b7ef52a7cf60951f3aff0e2eb1c9ec982 MD5sum: 918ad8a7701d18ec50171e4076236ba5 Description: generic object-orientator (programming language) GOO is a dynamic, type-based, object-oriented language in the same family as Dylan and Scheme. It is designed to be simple, productive, powerful, extensible, dynamic, efficient, and real-time. . Its main goal is to offer the best of both scripting and delivery languages, while at the same time incorporating an extreme back-to-basics philosophy. Package: goobox Version: 3.0.1-5 Architecture: armhf Maintainer: Helge Kreutzmann Installed-Size: 1405 Depends: libatk1.0-0 (>= 1.12.4), libbrasero-media3-1 (>= 3.0.0), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdiscid0 (>= 0.2.2), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.0), libgtk-3-0 (>= 3.2), libmusicbrainz3-6 (>= 3.0.2), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27), gstreamer0.10-plugins-base Recommends: gstreamer0.10-plugins-good, dbus-x11, yelp, gnome-media Homepage: http://www.gnome.org/~paobac/goobox/ Priority: optional Section: gnome Filename: pool/main/g/goobox/goobox_3.0.1-5_armhf.deb Size: 365542 SHA256: be6ac5b1aa47f573d7bdf12aa4cc8a303ef0b79c00af5e75c5a8fc7b07fcb9a6 SHA1: 94e57d848a04e4376dc345f3b1961f22e07f1846 MD5sum: f6034bef14674a7696037286057323b4 Description: CD player and ripper with GNOME 3 integration Goobox is an CD player and ripper for the GNOME 3 environment. It follows the "Just Works" principle so its interface is beautiful and easy-to-use. . It uses GNOME/GTK+ for its user interface, GStreamer framework for CD playing, and ripping operations, musicbrainz for CD indexing, and Google to search for album cover images. Package: google-gadgets-common Source: google-gadgets Version: 0.11.2-6 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 1778 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libgcc1 (>= 1:4.4.0), libggadget-1.0-0b (>= 0.11.2), libglib2.0-0 (>= 2.12.0), libice6 (>= 1:1.0.0), libltdl7 (>= 2.4.2), libsm6, libsoup2.4-1 (>= 2.26.1), libstdc++6 (>= 4.4.0), libx11-6, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), shared-mime-info Homepage: http://code.google.com/p/google-gadgets-for-linux/ Priority: extra Section: misc Filename: pool/main/g/google-gadgets/google-gadgets-common_0.11.2-6_armhf.deb Size: 923060 SHA256: 128f74d2fe0b7a41381f5ab6225a5ff65e7ec5dc330a2203a837fef04be8c2eb SHA1: daadc28a3a1a3b6af2621411e2acf305d377ae3e MD5sum: 84f16d64d42b9910d9f5fc8c34916cf0 Description: Common files for Qt and GTK+ versions of google-gadgets Google Gadgets for Linux provides a platform for running desktop gadgets under Linux, catering to the unique needs of Linux users. It is compatible with the gadgets written for Google Desktop for Windows as well as the Universal Gadgets on iGoogle. Following Linux norms, this project is open-sourced, under the Apache License. . This package includes files common to both GTK+ and Qt versions. Package: google-gadgets-gst Source: google-gadgets Version: 0.11.2-6 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 173 Depends: google-gadgets-common (= 0.11.2-6), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libggadget-1.0-0b (>= 0.11.2), libglib2.0-0 (>= 2.12.0), libgstreamer-plugins-base0.10-0 (>= 0.10.0), libgstreamer0.10-0 (>= 0.10.16), libstdc++6 (>= 4.4.0), libxml2 (>= 2.6.27) Homepage: http://code.google.com/p/google-gadgets-for-linux/ Priority: extra Section: misc Filename: pool/main/g/google-gadgets/google-gadgets-gst_0.11.2-6_armhf.deb Size: 51748 SHA256: c87f3af21f7db9464104765a922325b5213142d9fb0af925a65fa501375c4447 SHA1: e1179315f6b4d56b170699dbe958368abd2223b2 MD5sum: 817f711272a680be3418bbc2d1a86edf Description: GStreamer Module for Google Gadgets Google Gadgets for Linux provides a platform for running desktop gadgets under Linux, catering to the unique needs of Linux users. It is compatible with the gadgets written for Google Desktop for Windows as well as the Universal Gadgets on iGoogle. Following Linux norms, this project is open-sourced, under the Apache License. . This package includes the GStreamer modules. Package: google-gadgets-gtk Source: google-gadgets Version: 0.11.2-6 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 442 Depends: google-gadgets-common (= 0.11.2-6), google-gadgets-gst (= 0.11.2-6), google-gadgets-webkit (= 0.11.2-6), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libggadget-1.0-0b (>= 0.11.2), libggadget-gtk-1.0-0b (>= 0.11.2), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.18.0), libstdc++6 (>= 4.4.0) Homepage: http://code.google.com/p/google-gadgets-for-linux/ Priority: extra Section: gnome Filename: pool/main/g/google-gadgets/google-gadgets-gtk_0.11.2-6_armhf.deb Size: 145028 SHA256: ad247c7c9caf5bf15d2cd190eee9fe4320a1957abb9f4a4277f93070ae42f6c4 SHA1: d09b0b5eb7912be3ff5653a11dda952b25843ba2 MD5sum: 4dbd83b231382f5624a7453325bdbf69 Description: GTK+ Version of Google Gadgets Google Gadgets for Linux provides a platform for running desktop gadgets under Linux, catering to the unique needs of Linux users. It is compatible with the gadgets written for Google Desktop for Windows as well as the Universal Gadgets on iGoogle. Following Linux norms, this project is open-sourced, under the Apache License. . This package includes the GTK+ version. Package: google-gadgets-qt Source: google-gadgets Version: 0.11.2-6 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 630 Depends: google-gadgets-common (= 0.11.2-6), google-gadgets-gst (= 0.11.2-6), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libggadget-1.0-0b (>= 0.11.2), libggadget-qt-1.0-0b (>= 0.11.2), libice6 (>= 1:1.0.0), libltdl7 (>= 2.4.2), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libsm6, libstdc++6 (>= 4.4.0), libx11-6, libxrender1, zlib1g (>= 1:1.1.4) Homepage: http://code.google.com/p/google-gadgets-for-linux/ Priority: extra Section: kde Filename: pool/main/g/google-gadgets/google-gadgets-qt_0.11.2-6_armhf.deb Size: 207150 SHA256: 01d3ceef81a82d73515ac13e07376de268b5f8969ea2103f94b293271ee3d4c7 SHA1: fe3c4f0d4ac66e13abeb09e0dc42a19342a0a0b0 MD5sum: 316913f1baaadc96396ce9d28939fc38 Description: Qt4 version of Google Gadgets Google Gadgets for Linux provides a platform for running desktop gadgets under Linux, catering to the unique needs of Linux users. It is compatible with the gadgets written for Google Desktop for Windows as well as the Universal Gadgets on iGoogle. Following Linux norms, this project is open-sourced, under the Apache License. . This package includes the Qt version. Package: google-gadgets-webkit Source: google-gadgets Version: 0.11.2-6 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 308 Pre-Depends: multiarch-support Depends: google-gadgets-common (= 0.11.2-6), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libggadget-1.0-0b (>= 0.11.2), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libjavascriptcoregtk-1.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.26.0), libstdc++6 (>= 4.3.0), libwebkitgtk-1.0-0 (>= 1.3.10) Homepage: http://code.google.com/p/google-gadgets-for-linux/ Priority: extra Section: misc Filename: pool/main/g/google-gadgets/google-gadgets-webkit_0.11.2-6_armhf.deb Size: 100234 SHA256: 696b3184bb5fc7e76fd9e68d0afc73e134d0c7b65750adb43839b58ecbb86428 SHA1: 9b7d46e74d1959926cc30b25c517393235189a7b MD5sum: 9859581900b7d71945124efcdb431aa3 Description: WebKit modules for Google Gadgets Google Gadgets for Linux provides a platform for running desktop gadgets under Linux, catering to the unique needs of Linux users. It is compatible with the gadgets written for Google Desktop for Windows as well as the Universal Gadgets on iGoogle. Following Linux norms, this project is open-sourced, under the Apache License. . This package includes the WebKit modules. Package: google-mock Version: 1.6.0-1 Architecture: armhf Maintainer: Fredrik Hallenberg Installed-Size: 773 Depends: python, libgtest-dev (>= 1.6.0) Homepage: http://code.google.com/p/googlemock/ Priority: optional Section: devel Filename: pool/main/g/google-mock/google-mock_1.6.0-1_armhf.deb Size: 146476 SHA256: ea829e32196993b6b04a30b980b75ac47ce5e747a40b428230f70114fbc22a7c SHA1: e6d36a2b71f8f0c6a17009bdc92a5e5ba195dfb1 MD5sum: 5dcde0e43f13ea2b52be92437b8827c0 Description: Google's framework for writing and using C++ mock classes Inspired by jMock, EasyMock, and Hamcrest, and designed with C++'s specifics in mind, it can help you derive better designs of your system and write better tests. . Google Mock: . - provides a declarative syntax for defining mocks, - can easily define partial (hybrid) mocks, which are a cross of real and mock objects, - handles functions of arbitrary types and overloaded functions, - comes with a rich set of matchers for validating function arguments, - uses an intuitive syntax for controlling the behavior of a mock, - does automatic verification of expectations (no record-and-replay needed), - allows arbitrary (partial) ordering constraints on function calls to be expressed, - lets a user extend it by defining new matchers and actions. - does not use exceptions, and - is easy to learn and use. Package: google-perftools Version: 2.0-2 Installed-Size: 232 Maintainer: Daigo Moriwaki Architecture: all Depends: libgoogle-perftools4, curl Recommends: graphviz, gv Size: 78260 SHA256: b9e9a8bb9286de5b3e9e7aa73fa28e23a4d52a1eabd520663ec801ca5ec15b04 SHA1: f591452770f4de3557d1fb941b862cbc8ec9a5e4 MD5sum: 3e1accaaf01c6c57e7850d4747ee5c7c Description: command line utilities to analyze the performance of C++ programs The gperftools, previously called google-perftools, package contains some utilities to improve and analyze the performance of C++ programs. This is a part of that package, and includes command line utilities. Homepage: http://code.google.com/p/gperftools/ Section: devel Priority: optional Filename: pool/main/g/google-perftools/google-perftools_2.0-2_all.deb Package: google-sitemapgen Version: 1.5-3 Installed-Size: 144 Maintainer: Python Applications Packaging Team Architecture: all Depends: python (>= 2.6) Size: 28422 SHA256: 01d39ec65831318fc2b677e45d207b901193068075f5fe1b30c3e5c034acacdb SHA1: 01b71886711501aa8bffac30cad4e347b6bd92e2 MD5sum: fd6237301dcb26e9a471cb764e1a69a4 Description: sitemap generator for Google Sitemap This script analyzes your web server and generates one or more sitemap files. These files are XML listings of content you make available on your web server. The files can be directly submitted to search engines as hints for the search engine web crawlers as they index your web site. This can result in better coverage of your web content in search engine indices, and less of your bandwidth spent doing it. Homepage: http://code.google.com/p/sitemap-generators/ Tag: implemented-in::python, interface::commandline, role::program, scope::utility, works-with-format::xml Section: utils Priority: optional Filename: pool/main/g/google-sitemapgen/google-sitemapgen_1.5-3_all.deb Package: googlecl Version: 0.9.13-1 Installed-Size: 464 Maintainer: Obey Arthur Liu Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-gdata (>= 1.2.4) Size: 88658 SHA256: 034a71e47fc5f9f1eee0a844ce4a49e5afab85124e3a4c2a4a30ded90928a965 SHA1: 35091bbd6bcaa81778e6c417af06ae3bb34e507b MD5sum: 72d37ca6e0531859955aa3085e3cbfae Description: command-line tool for access to (some) Google services This package provides a user-friendly command-line interface to some of the Google Data Protocol (gdata) APIs. It lets you do things like: . google blogger post --title "Test Post" "I'm posting from the command line" google calendar list --date 2010-06-01 google contacts list google youtube post killer_robots.avi Homepage: http://code.google.com/p/googlecl/ Tag: implemented-in::python, interface::commandline, network::client, role::program, scope::utility Section: python Priority: optional Filename: pool/main/g/googlecl/googlecl_0.9.13-1_all.deb Package: googlefontdirectory-tools Version: 20120309.1-1 Installed-Size: 162 Maintainer: Debian Fonts Task Force Architecture: all Depends: fontforge-extras, fontforge-nox | fontforge, fonttools, python, python-fontforge, python-support (>= 0.90) Pre-Depends: dpkg (>= 1.15.6~) Size: 22456 SHA256: 993b252fefbb74cd97693589881b4ef9a3fe6102566aedb9305b99ccd39100ab SHA1: 84b7659c2e0c6e43c6239323121431d222ab3a7f MD5sum: 9ed23a9df794a2893ed047e82d15ee58 Description: various tools for generating, analysing and manipulating font files This package contains a collection of tools used by the Google Font Directory to work with fonts. . The package includes scripts to: * Generate ttf and otf fonts from sfd source files * Generate sfd source files from ttf and otf fonts * Generate font files with a subset of characters * Generate namelist files * Convert otf elements to ttf equivalents * Merge two font files into one * Auto-set and analyse PREP hinting and hinting tables * Setting GASP tables in font files * Analyse bounding boxes * Compare Unicode points and glyph names Homepage: http://code.google.com/p/googlefontdirectory/ Section: fonts Priority: optional Filename: pool/main/g/googlefontdirectory-tools/googlefontdirectory-tools_20120309.1-1_all.deb Package: gopchop Version: 1.1.8-5 Architecture: armhf Maintainer: John R. Hogerhuis Installed-Size: 388 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), libmpeg2-4, libpango1.0-0 (>= 1.14.0), libsm6, libstdc++6 (>= 4.3.0), libx11-6, libxext6, libxml2 (>= 2.7.4), libxv1 Homepage: http://gopchop.sourceforge.net Priority: optional Section: video Filename: pool/main/g/gopchop/gopchop_1.1.8-5_armhf.deb Size: 144262 SHA256: 806cfafdfc3df65c862d5ffd3338a4689ed6e2e15febeb1aa300ae85747bea45 SHA1: 8d12547130ff005049be1dc0851d2b8406d9ad01 MD5sum: a77ab4bb90eb3d50c8ff0d460525ab4d Description: fast, lossless cuts-only editor for MPEG2 video files gopchop cuts and merges MPEG2 video streams. gopchop uses a method to cut streams that does not require re-encoding, and therefore is fast and not prone to the artifacts and degradation of quality inherent in re-encoding. However, cuts are limited to I-frames or group-of-picture (GOP) boundaries. These frames occur frequently enough, and often times at scene transitions, so that gopchop's method is adequate for many applications. . The typical use is manually editing commercials out of recorded television programs. . Another application is splitting .VOB files from dual-layer DVD rips so that the content can be re-authored such that each half will fit on one single-layer DVD recordable. Package: gopher Version: 3.0.13 Architecture: armhf Maintainer: John Goerzen Installed-Size: 248 Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5 Provides: gopher-client Priority: optional Section: net Filename: pool/main/g/gopher/gopher_3.0.13_armhf.deb Size: 119272 SHA256: 8457bddfd32b3fa84e1077c525385d22d96cd9eaf01b3d9c0fecee7df5cae4b0 SHA1: f3518c7ee025bb56b5f5b290eb46198f74f9efb8 MD5sum: e5a38a0fc54b77cd5b47faff8deb404f Description: Distributed Hypertext Client, Gopher protocol This package contains the client for the distributed global directory and hypertext system known as gopher. This is a text-based (ncurses) client from the University of Minnesota. It also supports the gopher+ protocol, as well as links to ftp, http, and other external viewers. Package: goplay Source: goplay (0.5-1.1) Version: 0.5-1.1+b1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 594 Depends: apt-xapian-index, debtags, libapt-pkg4.12 (>= 0.8.0), libc6 (>= 2.13-28), libept1.4.12 (>= 1.0.9), libfltk1.1 (>= 1.1.6), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libxapian22, zlib1g (>= 1:1.1.4) Recommends: games-thumbnails Conflicts: golearn Replaces: golearn Provides: golearn Priority: extra Section: admin Filename: pool/main/g/goplay/goplay_0.5-1.1+b1_armhf.deb Size: 253262 SHA256: 7f2467058893675ca3cb8c89da3bce97f7242040ad5610805e9bb357e7554629 SHA1: 5bf1d26c1c90e9735a0a4a10ea66e45382e11e0f MD5sum: eff3dc804a3fa1144cbdaf8a043cb3f1 Description: games (and more) package browser using DebTags GoPlay! is a Graphical User Interface (GUI) that uses DebTags for easily finding games in the package archive. The program uses FLTK for handling the widgets, and libept as the backend for retrieving the data. . GoPlay! is also a generic yet simple to use DebTags-based package browser. Prepackaged browsers GoLearn!, GoAdmin!, GoNet!, GoOffice!, GoSafe!, GoWeb! and GoScience! show applications (and for some of them also documentation) packages related to education, administration, network, office, safety, web and science. You can also roll your own custom browsers using commandline options. Package: gorm.app Version: 1.2.16-1+deb7u1 Architecture: armhf Maintainer: Gürkan Sengün Installed-Size: 5179 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.6), dpkg (>= 1.15.4) | install-info Recommends: projectcenter.app Conflicts: gorm Replaces: gorm Homepage: http://www.gnustep.org/experience/Gorm.html Priority: optional Section: gnustep Filename: pool/main/g/gorm.app/gorm.app_1.2.16-1+deb7u1_armhf.deb Size: 1585010 SHA256: 62a3dc44fe7be3fd3d61db3af41d6f8ef0547902c8d2cb2751fb31053036b150 SHA1: 91b25ecf154a825210fdc9e818ce284268f1f8f3 MD5sum: 40bdbb33ff4e5328a6b01c958597c1c6 Description: Visual Interface Builder for GNUstep Gorm, the GNUstep Object Relationship Modeler, is a tool to build GUI interfaces for the GNUstep system. It is a clone of the NeXTStep "Interface Builder" app. It works well with ProjectCenter to create GUI applications for GNUstep. . You can create .nib files that also work on Mac OS X and vice versa. Package: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 6860 Maintainer: Debian Edu Packaging Team Architecture: all Depends: php5, php5-cli, apache2 | lighttpd | httpd, exim4 | mail-transport-agent, php5-gd, php5-imap, php5-ldap, php5-mcrypt, php5-mysql, php5-imagick | imagemagick (>= 5.4.4.5-1) | graphicsmagick-im-compat, libcrypt-smbhash-perl, php5-recode, smarty3 (>= 3.1.10-1), gettext, libapache2-mod-php5 | php5-cgi, php5-curl, ttf-liberation Suggests: gosa-si-server, cyrus21-imapd (>= 2.1.11), postfix-ldap, slapd, gosa-schema, php5-suhosin, php-apc, php-fpdf Conflicts: smarty-acl-render, smarty3-gettext, smarty3-gosa Size: 1779688 SHA256: 3bb19764dfb2820790c5215a8c824bf576166f0d503db3800542a01e853dc608 SHA1: c9e72c7aa1d2b0fb90c3f752ba022946deeeb203 MD5sum: 3023839b4b4c1b366ec2edc84b2ef325 Description: Web Based LDAP Administration Program Provided is access to posix, shadow, samba, proxy, fax, pureftp and kerberos accounts. It is able to manage the postfix/cyrus server combination and can write user adapted sieve scripts. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa_2.7.4-4.3~deb7u3_all.deb Package: gosa-desktop Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 93 Maintainer: Debian Edu Packaging Team Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, konqueror | iceweasel | mozilla | firefox, debconf Size: 16822 SHA256: f4f2397220f0ffc1d7f4e9a3c1e5f847f7ea8d909488d4f61fb3550a136cfe1d SHA1: 5ad9a1228c2a81d279243989cc9f38c36a870fad MD5sum: 30fad58e1bf3fdbee153e7c3b54188bb Description: Desktop integration for GOsa² This package includes a Gosa² menu definition for your desktop environment. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-desktop_2.7.4-4.3~deb7u3_all.deb Package: gosa-dev Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 74 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, php5-cli, latex2html, lyx Size: 21162 SHA256: 92b9b7dfd493e7470362dc4def6fd80f2dc6bc2c09dcab3350b0dcf101173bf7 SHA1: cc4c8b43b27965ffb62164b90039c4c98dadd3a9 MD5sum: a747acd3451ec6929fdca581fd091e3b Description: GOsa² development utilities This package contains a couple of tools to generate online help, extract localizations and howto's for developing Gosa² plugins. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-dev_2.7.4-4.3~deb7u3_all.deb Package: gosa-help-de Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 461 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa Size: 115970 SHA256: e3219ca20c14e9393acf5868c7be3ca43dbcc0f316857297545bc4c0e06cfbb8 SHA1: 252bd9508bed0fd680d8aa302ca17371dc102969 MD5sum: 21814da6d4b38f7602e516a98e09b1f6 Description: German online help for GOsa² This package includes the German online documentation for GOsa² . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-help-de_2.7.4-4.3~deb7u3_all.deb Package: gosa-help-en Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 381 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa Size: 77974 SHA256: fb43e126b61fdbeef33ebbc92e4a902f45c7881cfda010524484e69b42c83700 SHA1: 8480ad0d1d521ef9cea31984d4c21550d9449d9b MD5sum: bf373ecdf82004cbbdf497f5a43c0dd6 Description: English online help for GOsa This package includes the English online documentation for GOsa² . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-help-en_2.7.4-4.3~deb7u3_all.deb Package: gosa-help-fr Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 377 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa Size: 84146 SHA256: 63d683e135219071e94bdaa13ad7504ca415017accca39c5f4af2fafa810860e SHA1: 4d0b3954fc162109f2c5983292741a572114f105 MD5sum: d46306ca14991ec004f6c85b42d73d74 Description: French online help for GOsa² This package includes the French online documentation for GOsa² . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-help-fr_2.7.4-4.3~deb7u3_all.deb Package: gosa-help-nl Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 263 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa Size: 65634 SHA256: c6b6b747f02272b90c9ab8f8b8f79948590dc1d42da7b547b0dcd60b5bef1b60 SHA1: 5da18ad808c4adef260f89a02dbb208e8beef4b5 MD5sum: db03cc048f610e4735689fd35f2ff745 Description: Dutch online help for GOsa This package includes the Dutch online documentation for GOsa² . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-help-nl_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-connectivity Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 207 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa Breaks: gosa (<< 2.7) Size: 19236 SHA256: c52974f1560e5ac25857d96a59bde36673b8cdd44afbc8503dcbf2be8279a482 SHA1: a364c4076fe261bb469da11165b3d17585191db0 MD5sum: 40ffc7a2587c5ee2941426d0a8443a41 Description: connectivity plugin for GOsa² Connectivity base plugin - base for really small plugins. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-connectivity_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-dhcp Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 519 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-systems Breaks: gosa (<< 2.7) Size: 62096 SHA256: 3ddbdd98c3d27508e87023a76680486958f03b640f2a2123d94cf28dcd875b46 SHA1: 9eb3b686c3ced873de838fc23440408d14b5ecd6 MD5sum: a2b6b2209543ed36fae2df087301cd5c Description: dhcp plugin for GOsa² DHCP service management plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-dhcp_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-dhcp-schema Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 101 Maintainer: Debian Edu Packaging Team Architecture: all Size: 12024 SHA256: a76fcd7a96831a7bc2038d0876af0bac87e7f8f4a248a36cac86df27ac0f8f64 SHA1: bdbd93c4b8efe31eff74c98288a57fde51f2b3cd MD5sum: 5e122d7c2b31da7db5490f1ebd1959c8 Description: LDAP schema for GOsa² dhcp plugin This package includes the LDAP schema needed by the GOsa² dhcp plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Recommends: slapd Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-dhcp-schema_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-dns Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 422 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-systems Breaks: gosa (<< 2.7) Size: 50298 SHA256: 89c4ed1f256f097ca8e1f8b35acd08e407e59cd24d226a2dce7c8a6c9abac8a4 SHA1: f9349797a5fcd590ea89ff2b1b3d469585e465c1 MD5sum: 74ae028359496bbb2ddbe44c48787c93 Description: dns plugin for GOsa² DNS service management plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-dns_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-dns-schema Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 64 Maintainer: Debian Edu Packaging Team Architecture: all Size: 7482 SHA256: 294f664b277be22191f61131d35dd475ba208161973f18848991d06c48a4562b SHA1: faa2e0dd575a579733e9c77afefce0e05808b8ed MD5sum: c98e0cf5a392999e502fa5d6841a55a2 Description: LDAP schema for GOsa² dns plugin This package includes the LDAP schema needed by the GOsa² dns plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Recommends: slapd Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-dns-schema_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-fai Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 1288 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-goto Breaks: gosa (<< 2.7) Size: 260040 SHA256: 82cab42a63c6b98b342620a2e2dd4894828de0eeb1775cc3d2633dff885b001f SHA1: 8c638c4f58a0ac3de5782523591334bd1a8d3289 MD5sum: 3e35dca45ab800c2011d734c25c09d24 Description: fai plugin for GOsa² FAI plugin for managing Linux system deployment. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-fai_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-fai-schema Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 91 Maintainer: Debian Edu Packaging Team Architecture: all Size: 12116 SHA256: 9e544138e85dea923813095a9b949578bf4334857a1580d3c633f6f37a930152 SHA1: 3a14c9dc4ba4d5cd7c75cb33b5b046fdd051df90 MD5sum: 943908d88aaa5a07a7d91aa85b48ea65 Description: LDAP schema for GOsa² fai plugin This package includes the LDAP schema needed by the GOsa² fai plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Recommends: slapd Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-fai-schema_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-gofax Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 542 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-systems Breaks: gosa (<< 2.7) Size: 78876 SHA256: 9d9d009256ce2e8fad7610b4653f862c80f4e5925013864977ed7fab505f4c96 SHA1: d4bc44cccd362122dc567fb772027a964f53e2e8 MD5sum: 1f9ab0e109c509d63d73a665e2565dc9 Description: gofax plugin for GOsa² GOfax management with report functionality . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-gofax_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-gofon Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 1060 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-systems Breaks: gosa (<< 2.7) Size: 194468 SHA256: 7663f65fffe343087a07f95eb40ba155b80d33f4e9a69bd28db6ef1206196999 SHA1: ca84abc63b33d38ebeec06b650769dc0ba3e32b1 MD5sum: 3c9ed5233734f54cd4888e2b884e2698 Description: gofon plugin for GOsa² GOfon management with report functionality . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-gofon_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-goto Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 3034 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-systems Breaks: gosa (<< 2.7) Size: 568802 SHA256: 933fabaa094161beb498525fcedfdb37235f920f247bca75b06effe5c3c2ba44 SHA1: 4d60b2ddc7347283d49d781d3052ba481a4b4af6 MD5sum: 00bc0d1780a1db58cab019b698b8a5bf Description: goto plugin for GOsa² GOto desktop management plugin . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-goto_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-kolab Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 405 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-connectivity, gosa-plugin-mail Breaks: gosa (<< 2.7) Size: 50532 SHA256: 107d9b6312745d13df0b4516b9ac9b0b2c954f900a8c705c41693b5cdf6a1200 SHA1: 19f41ef647e16b0050d239fb9529e6eb2784aa0e MD5sum: 8576da9e5f7f8283ae29fc22f2ead174 Description: kolab plugin for GOsa² Kolab2 account management plugin . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-kolab_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-kolab-schema Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 95 Maintainer: Debian Edu Packaging Team Architecture: all Size: 14876 SHA256: fa1757c9dd6252650d3c0c3402738ef6d358f1adc6c4028cb62bf02ef4ad0889 SHA1: 71d2fe3b9e678e7fb3b2d9cd48ec053c4bd27d37 MD5sum: 1754e503718c458508fc26652f680beb Description: LDAP schema for GOsa² kolab plugin This package includes the LDAP schema needed by the GOsa² kolab plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Recommends: slapd Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-kolab-schema_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-ldapmanager Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 380 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa Breaks: gosa (<< 2.7) Size: 43528 SHA256: 30f4c008e0c78161a7e6610e1da5fa2f7260d2505dcd3a9395e36df4bc5cc080 SHA1: 551637929367d029c84154535eee776cfd9bc753 MD5sum: 9af4dae39417fa9def1939831739f3f0 Description: ldapmanager plugin for GOsa² LDAP import and export management plugin . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-ldapmanager_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-mail Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 1586 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-systems Breaks: gosa (<< 2.7) Size: 275436 SHA256: be3dc22d68393458ec5fe54cea925136c40ac8230d205839e80ead30074441c7 SHA1: 03dcd4736681312f1698f91803b971d29903364c MD5sum: 1f7b4bdbe2f72d2a726e133d1710a0b3 Description: base mail plugin for GOsa² Mail management base plugin . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-mail_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-mit-krb5 Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 378 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-systems Conflicts: gosa-plugin-heimdal Breaks: gosa (<< 2.7) Size: 37562 SHA256: 2481dffb8aa46cdd85476f7b646c5bb3fc2c92ec1a14d1a44d489eb5a29cc58f SHA1: f49d00337aa15ea9c81c5f280979ff8974182cbe MD5sum: 6b3b2d9c9ab0cdede429fff02d1a6d34 Description: mit-krb5 plugin for GOsa² MIT kerberos management plugin . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-mit-krb5_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-mit-krb5-schema Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 59 Maintainer: Debian Edu Packaging Team Architecture: all Size: 7598 SHA256: b756a4813584a50b22feceb52fe74963e67cb00e80cde606136dcd3c886d70f7 SHA1: a95a411e0808a7d88ebfaa20716f332e80f3525c MD5sum: 59a7412c0bd2ef16b1ebf4bcb3d1f707 Description: LDAP schema for GOsa² mit-krb5 plugin This package includes the LDAP schema needed by the GOsa² mit-krb5 plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Recommends: slapd Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-mit-krb5-schema_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-nagios Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 253 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, libswitch-perl | perl (<< 5.10.1-13) Breaks: gosa (<< 2.7) Size: 30284 SHA256: f36581d35888f3b8aa8b6e1740214de818525a49d5a46b76b0ef8d420180c085 SHA1: 7aef2c988e2dfb42dc5a3d4dd42f0a486e1af2f7 MD5sum: 0ef739378d536fc1ab022fb2c43ca25a Description: nagios plugin for GOsa² Nagios account settings management . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-nagios_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-nagios-schema Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 66 Maintainer: Debian Edu Packaging Team Architecture: all Size: 7598 SHA256: 05c88b8e1a4418e1c84f1c6f87c0d4c90f71e5af9f265d4f710cd533ea67308c SHA1: c1c3504a58bd8b1835496d78db2190570c9f5143 MD5sum: df66038a7b54896415c6fe122283ea7c Description: LDAP schema for GOsa² nagios plugin This package includes the LDAP schema needed by the GOsa² nagios plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Recommends: slapd Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-nagios-schema_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-netatalk Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 219 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-systems, gosa-plugin-goto Breaks: gosa (<< 2.7) Size: 21838 SHA256: 8fd4c44e72540bd5e10c4ebadfe385d42d2b27626a7bb2c3b92849e3bd3b6d6e SHA1: 66ea68bec8f80657a378b9cb14c8c69e677d296e MD5sum: 16001f5894643e0a0b182458f71f15ab Description: netatalk plugin for GOsa² Netatalk account management plugin . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-netatalk_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-opengroupware Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 226 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-mail, gosa-plugin-connectivity Breaks: gosa (<< 2.7) Size: 18890 SHA256: 793ca2536d7389f8277fb702bab6677a96131f5723d65dd2696e04e80bd899fc SHA1: ed625c792b3dfd19924c209b29f90d961c7f4b04 MD5sum: 45271c25a5c70e8e920b559df58519ba Description: opengroupware plugin for GOsa² Opengroupware account management plugin . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-opengroupware_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-openxchange Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 232 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-mail, gosa-plugin-connectivity Breaks: gosa (<< 2.7) Size: 23984 SHA256: e9e79e6d03ab8452c1c701c4ca3310c73242a0a93c82df0d241de21e404b2377 SHA1: b49ee7b25c8858e13518f1106f0f445a759e4d72 MD5sum: 891c90c6a39a4d04c69c40209261f9ea Description: openxchange plugin for GOsa² Open-Xchange account management plugin . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-openxchange_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-openxchange-schema Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 101 Maintainer: Debian Edu Packaging Team Architecture: all Size: 10964 SHA256: d5804b9bd00fde558b90eebe4ec75ba6fdcb008c429752ac9b524e9d294fbea7 SHA1: c6b8e0167a992208e5651cdc76fee3267ddc17df MD5sum: 0a5104058cded205a3c44eedc84a7504 Description: LDAP schema for GOsa² openxchange plugin This package includes the LDAP schema needed by the GOsa² openxchange plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Recommends: slapd Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-openxchange-schema_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-opsi Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 342 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-fai, gosa-plugin-goto Breaks: gosa (<< 2.7) Size: 54930 SHA256: d708756215a5190b4282688879c6b3ecc83b0c792ab9680ccc411edb06cb2cc3 SHA1: 13045a5701d78f5800f8545d11ce7ce6a9c3d63e MD5sum: cd93fe1fd30c408d4327c721a3377880 Description: opsi plugin for GOsa² opsi plugin for managing windows system and software deployment . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-opsi_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-phpgw Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 186 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-mail, gosa-plugin-connectivity Breaks: gosa (<< 2.7) Size: 13242 SHA256: 9c3e9c9339c45466590a737393c7ce969f51a2c00f0c57b45ae4d319f222da0d SHA1: d733302a9e261f49d269aceb91186251c25ae399 MD5sum: b52864421bf90d1daaea3908e89e4993 Description: phpgw plugin for GOsa² PHP Groupware connectivity plugin . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-phpgw_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-phpgw-schema Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 58 Maintainer: Debian Edu Packaging Team Architecture: all Size: 7446 SHA256: 6ad3dce800f730a55b18164d09c775244611b0e1c6cc394b231e0bf14568d412 SHA1: 3cea65c4246cb181b6764a7f9e1a73f45ca921a6 MD5sum: c5436b3ff8c5c744a1af90e15912029b Description: LDAP schema for GOsa² phpgw plugin This package includes the LDAP schema needed by the GOsa² phpgw plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Recommends: slapd Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-phpgw-schema_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-phpscheduleit Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 184 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-connectivity Breaks: gosa (<< 2.7) Size: 12128 SHA256: 01588c3f4e687f38a6d0d2433cde3268a0e9e6e1d9db123bdd178b1862151b0d SHA1: 81d2f95f563856a95c3e1efb9a360af6a0b47efe MD5sum: 1cc41f6f24c0ae6a73fb9f26f28f926a Description: phpscheduleit plugin for GOsa² PHP scheduleit connectivity plugin . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-phpscheduleit_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-phpscheduleit-schema Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 54 Maintainer: Debian Edu Packaging Team Architecture: all Size: 6594 SHA256: 25377719e2a6459761125e754e6e4e2d6b74836128c218bb9a2fc10da7ca8d0f SHA1: 81159d510baa53fd40fc2e8d25020202a317eedb MD5sum: bc7f6ddaa04b055f98d06234d6dc6bd2 Description: LDAP schema for GOsa² phpscheduleit plugin This package includes the LDAP schema needed by the GOsa² phpscheduleit plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Recommends: slapd Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-phpscheduleit-schema_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-pptp Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 181 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-connectivity Breaks: gosa (<< 2.7) Size: 12360 SHA256: 0db3e3bc8aba03362506602793753a3129cdc02f02e2ab457cb3a7ffc3ca9736 SHA1: 2f889381d793939137aab08641ad3fa9e9b0ed74 MD5sum: 89cf8c87324f100700214385a7895c01 Description: pptp plugin for GOsa² PPTP connectivity plugin . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-pptp_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-pptp-schema Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 55 Maintainer: Debian Edu Packaging Team Architecture: all Size: 6658 SHA256: d6bbeb7bf3754b4f8960849f0f2dc412ba493b404a7127722dadc6537b42cc37 SHA1: 46a2a8a114c7a8ae772dd94674b8d3be3a3542b7 MD5sum: 93efd7a1d3c635d0d9d09b194946b18a Description: LDAP schema for GOsa² pptp plugin This package includes the LDAP schema needed by the GOsa² pptp plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Recommends: slapd Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-pptp-schema_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-pureftpd Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 229 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-connectivity Breaks: gosa (<< 2.7) Size: 17952 SHA256: 39ef5f9e6216a30acfad0b9831a669c7741b9290ecfc0864bdf7b8f30e8ad1c3 SHA1: 70dcb0386152513c90b3ab8d67f66d31f9cd52f9 MD5sum: 1a7a14cdc3b43c742e13542b77954e85 Description: pureftpd plugin for GOsa² PureFTPD connectivity plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-pureftpd_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-pureftpd-schema Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 58 Maintainer: Debian Edu Packaging Team Architecture: all Size: 6994 SHA256: bf633d1585adf2e087a55dada5be7dc4297e0efe639bb399514fc86d053391c6 SHA1: 8594b5b0afb7ab74fc3591dd5d5e0b78891f7fdd MD5sum: cceda9c620ed855c12774ea94df71609 Description: LDAP schema for GOsa² pureftpd plugin This package includes the LDAP schema needed by the GOsa² pureftpd plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Recommends: slapd Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-pureftpd-schema_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-rolemanagement Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 263 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa Breaks: gosa (<< 2.7) Size: 28842 SHA256: 4bcb510eb0b2c344a1997441fe5e8e427c66a1fb8b8ea23036a2bad7eac68b9e SHA1: 81bb177f380c377c51bc83837713bdd3f574a6e3 MD5sum: ce13f02e9395717f89c624b3cea81709 Description: rolemanagement plugin for GOsa² Role Management plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-rolemanagement_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-rsyslog Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 177 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-systems Breaks: gosa (<< 2.7) Size: 22216 SHA256: 447acdde5a285c8cd67f76864519ce4d62418f52e0ffa962f873e86aa0e40e55 SHA1: f38167913f5ebf0e4b18a98120b0cc279019a3f8 MD5sum: 6ae7f41b040545f3b506a88f87b6b122 Description: rsyslog plugin for GOsa² Syslog and GOsa logging plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-rsyslog_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-samba Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 492 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa Breaks: gosa (<< 2.7) Size: 68066 SHA256: 7343b05f12cee812a62b673ad4f7b78074a1833160f6c69a813c8a3f348d4e23 SHA1: be3d394fca486d3b4c00a53227f1bd975c686326 MD5sum: 2caaf10b62d9c4cfd7315394bb311fd5 Description: samba3 plugin for GOsa² Plugin for Samba 3 management. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-samba_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-scalix Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 294 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa Breaks: gosa (<< 2.7) Size: 28886 SHA256: a904baf91c1ce9ba8e83e9cb04be28c5d37670cec4fc947689a412e45d3727be SHA1: bc0499c9f9ea5268de0fbf5d2c622d2aabdaf1b4 MD5sum: 0e7625bd19162d2c8156afb80348ebb0 Description: scalix plugin for GOsa² Scalix account management plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-scalix_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-squid Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 222 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-connectivity Breaks: gosa (<< 2.7) Size: 23910 SHA256: 1a76fa4cca52c366068d311ec87bf88f590bd7674a996fae08a60e9fa619ecf9 SHA1: 59b17363dcb94d986e891de704db2ff628ee72ee MD5sum: 40fef8c7d8c307da5935cfdc25db4b49 Description: squid plugin for GOsa² Squid connectivity plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-squid_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-ssh Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 169 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa Breaks: gosa (<< 2.7) Size: 11358 SHA256: ffce6b4ad1619beef828e237cec9a860ac59575d7ab52f6440ff202975704b0c SHA1: 36904bd1c7e1c294bfed71cba32c9f919931cd1e MD5sum: 962464e32a342947d427647d6ad49391 Description: ssh plugin for GOsa² SSH key management plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-ssh_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-ssh-schema Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 54 Maintainer: Debian Edu Packaging Team Architecture: all Size: 6578 SHA256: 721607554e9cdb9dbc3aa6092c9be6bdaef5b67627d4ccd9769190708a202eb4 SHA1: aba365dfe71f75b163b607d132546a4a2e41dd6f MD5sum: 629c4b2dc20ad8422bede2df2db8ee73 Description: LDAP schema for GOsa² ssh plugin This package includes the LDAP schema needed by the GOsa² ssh plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Recommends: slapd Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-ssh-schema_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-sudo Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 325 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa Breaks: gosa (<< 2.7) Size: 38330 SHA256: 8c2a7c83c0324a3948162addd5eed2b1b0485dad11125bb533873da5e24a3488 SHA1: 39115e888ac4b31401527a46732ddd962124352b MD5sum: 95cebe472e81a124d2849a20f2321c4b Description: sudo plugin for GOsa² Sudo management plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-sudo_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-sudo-schema Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 55 Maintainer: Debian Edu Packaging Team Architecture: all Size: 6626 SHA256: a299f8db456dc543467d70476886e4475b75e1517a0a7e61d00de6fe31842be9 SHA1: 99fd0cde2cd09a744ea74bc0c3c569a20fd29585 MD5sum: 09ef06d1b4b963f097214be9fcefdbb9 Description: LDAP schema for GOsa² sudo plugin This package includes the LDAP schema needed by the GOsa sudo plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Recommends: slapd Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-sudo-schema_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-systems Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 725 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa Breaks: gosa (<< 2.7) Size: 127028 SHA256: ce05293f0d7cda8e9db592af3251b08174b00f38e0055f4cef747107f302cfbe SHA1: ee61ab4ade4271b839fd431ec79fdee2447ecd45 MD5sum: 6de586cbfecacb15eb86d19a78abb0c1 Description: systems plugin for GOsa² Systems management base plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-systems_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-uw-imap Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 175 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-mail Breaks: gosa (<< 2.7) Size: 11756 SHA256: 0acde1f47d739da664648c86a2a9d407cc30368b196f0718664476446a3aa1b6 SHA1: 47665fae4de968cb001c0341e6f2eac8d2eca339 MD5sum: 8714df5e90d01a36fc4931c7d4f8bad8 Description: uw-imap plugin for GOsa² UW imap mail method. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-uw-imap_2.7.4-4.3~deb7u3_all.deb Package: gosa-plugin-webdav Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 176 Maintainer: Debian Edu Packaging Team Architecture: all Depends: gosa, gosa-plugin-connectivity Breaks: gosa (<< 2.7) Size: 11900 SHA256: 94e133fedfcbbfa3abc3b1387c0efa1ff188798c14d8ffb8abe50ecb1a6d77d6 SHA1: 72ca3d8c25e41a5bb4b4f3e8b9f0e18f5638e1d3 MD5sum: 19febf9ecfd5e013f47e7d653dc1a050 Description: webdav plugin for GOsa² WebDAV connectivity plugin. . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Section: web Priority: optional Filename: pool/main/g/gosa/gosa-plugin-webdav_2.7.4-4.3~deb7u3_all.deb Package: gosa-schema Source: gosa Version: 2.7.4-4.3~deb7u3 Installed-Size: 292 Maintainer: Debian Edu Packaging Team Architecture: all Size: 34982 SHA256: 5ce7f41a7501f61892fe2eaa497bd61f7954eb7a6056ebf86bb07c70dfb1e517 SHA1: 260a427e2b4192e6f8e568873f2322eca1734a04 MD5sum: ba71d03ac18f349353d5e64e8ced3089 Description: LDAP schema for GOsa This package includes the basics LDAP schemas needed by GOsa². . GOsa² is a combination of system-administrator and end-user web interface, designed to handle LDAP based setups. Homepage: https://oss.gonicus.de/labs/gosa/ Recommends: slapd Section: web Priority: optional Filename: pool/main/g/gosa/gosa-schema_2.7.4-4.3~deb7u3_all.deb Package: gosmore Version: 0.0.0.20100711-2.1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 11658 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4) Recommends: gpsd Suggests: josm Homepage: http://wiki.openstreetmap.org/index.php/Gosmore Priority: optional Section: utils Filename: pool/main/g/gosmore/gosmore_0.0.0.20100711-2.1_armhf.deb Size: 5227178 SHA256: 739cc3b5f031a26e889e89b56659bf89b9ebfc703253a482d1b4df76a9f3a0c8 SHA1: b35ccc3491a3c0d7f29b841981c8597b0140380b MD5sum: 80159943047832c895c661e6d153e9d6 Description: Openstreetmap.org viewer / wayfinder / search client Gosmore is a openstreetmap.org viewer and wayfinder with support for speech syntesis and fetching the current location from gpsd. . This package requires additional data files which can be downloaded freely from openstreetmap.org. Package: gotmail Version: 0.9.0-1 Installed-Size: 124 Maintainer: paul cannon Architecture: all Depends: perl (>= 5.004), curl (>= 7.9.0), liburi-perl, libnet-perl Suggests: exim4 | mail-transport-agent, spamassassin Size: 39038 SHA256: 3f61d81b350bb5439aac015cfe97614a69194d7779a6021c888306e6957a3329 SHA1: 9b125a579082a12460c0c429636e8f58f5132b6d MD5sum: 25551d5df05619181156a3077aa3c07a Description: utility to download email from a Hotmail or MSN account Gotmail fetches mail out of a Hotmail or MSN account, using the web interface as though through a browser. It works somewhat like fetchmail, and lets you do spiffy things like detecting and deleting spam (without even downloading the whole thing!) and forwarding mail, and storing it in a local mailbox. . Although the team behind Gotmail tries to keep it working, every few months Hotmail makes changes which break this until they have time to fix it. Have a backup plan for email access in case that happens. Tag: implemented-in::perl, interface::commandline, protocol::http, role::program, scope::utility, use::downloading, works-with::mail Section: mail Priority: optional Filename: pool/main/g/gotmail/gotmail_0.9.0-1_all.deb Package: goto-common Version: 3.1-1 Installed-Size: 104 Maintainer: GOsa packages maintainers group Architecture: all Depends: recode, coreutils (>= 6.10-1), ldap-utils, hwinfo, libio-socket-ssl-perl Size: 24672 SHA256: 83c196c64d6d8a8315aeeb14bc1e10d642abcfec8ed124fc8e6fdd05fdeff3e3 SHA1: b830ebcff26a4b35fa081451f6b387ab35be69ab MD5sum: ab22bf85c71fc85b30c525d0d29add6c Description: GOto common functions Common shell and perl functions used by the GOto infrastructure. Homepage: https://oss.gonicus.de/labs/gosa/ Section: utils Priority: optional Filename: pool/main/g/goto-common/goto-common_3.1-1_all.deb Package: goto-fai Version: 3.1-1 Installed-Size: 64 Maintainer: GOsa packages maintainers group Architecture: all Depends: goto-common (>= 3.0-1), fai-client (>= 3.2.8), libnet-ldap-perl, console-tools, debootstrap, libgosa-perl Recommends: netcat Size: 15028 SHA256: c4458346225295da8476ac149f255700d48902895d72c3d1b42fee88ef12222c SHA1: b5184f8958c4b11bb5d51271d10bf96e4bae5e6d MD5sum: 5268e0d1aeb39267b6403e6835561779 Description: Scripts to integrate GOto with FAI Ensemble of programs, script and FAI hooks to Integrate GOsa and GOto into an FAI nfs chroot Homepage: https://oss.gonicus.de/labs/gosa/ Section: utils Priority: optional Filename: pool/main/g/goto-fai/goto-fai_3.1-1_all.deb Package: goto-fai-backend Version: 3.0-1.1 Installed-Size: 74 Maintainer: GOsa packages maintainers group Architecture: all Depends: atftpd | tftpd, fts, goto-common (>= 3.0-1), goto-fai (>= 3.0-1), libconfig-inifiles-perl, libproc-daemon-perl, fai-server (>= 3.2.9), adduser, debmirror Conflicts: fai-backend Size: 10874 SHA256: 57fdc74b0ea45e21f1c57c7c88214e799f1b9fa766ca862b93e57480035a47a6 SHA1: c908d4ce825582438174a50af3ed70a2f9e4d189 MD5sum: 6c31f4048b7a04958d823b9481547687 Description: GOsa integration with FAI Several scripts and daemons to control FAI from GOsa. Contains e.g. ldap2repository program to create cronjobs for the local use. The /etc/fai directory contains needed configuration files for initramfs and usplash. Homepage: https://oss.gonicus.de/labs/gosa/ Section: utils Priority: optional Filename: pool/main/g/goto-fai-backend/goto-fai-backend_3.0-1.1_all.deb Package: goto-fai-progress Version: 3.0-1 Installed-Size: 104 Maintainer: GOsa packages mainteners group Architecture: all Depends: perl, debconf (>= 0.5) | debconf-2.0, libfile-tail-perl, fai-client, cdebconf, goto-common (>= 3.0-1) Conflicts: fai-progress Size: 39846 SHA256: a606f3756a06c19079e8430ab57931cf9a9013811b60aff5ea4d08352f89e41b SHA1: 5ed40f54e45ab88a6418b7f39a756ee208c92450 MD5sum: fbba90ae50ab3b788aec501076faed27 Description: Fully Automatic Installation progress bar FAI is a non-interactive system to install a Debian GNU/Linux operating system unattended on a PC cluster. You can take one or more virgin PCs, turn on the power, and after a few minutes, Linux is installed, configured, and running on the whole cluster, without any interaction necessary. This package contains the software needed to show graphical or textual progress bars during the installation. Homepage: https://oss.gonicus.de/labs/gosa/ Tag: admin::automation, admin::install, admin::monitoring, implemented-in::perl, role::program, use::viewing Section: utils Priority: optional Filename: pool/main/g/goto-fai-progress/goto-fai-progress_3.0-1_all.deb Package: gource Version: 0.38-1 Architecture: armhf Maintainer: Andrew Caudwell Installed-Size: 948 Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libpcre3 (>= 8.10), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libtinyxml2.6.2, zlib1g (>= 1:1.1.4), ttf-freefont Homepage: http://code.google.com/p/gource/ Priority: extra Section: vcs Filename: pool/main/g/gource/gource_0.38-1_armhf.deb Size: 367028 SHA256: 5f63ab581c9a537abfbd075a61bde86562059eb4e90cff32ae79ed38a3868ac0 SHA1: 582f29c0f4962f8303dbf037b0e7eaed59a6a4bf MD5sum: 477a4b252017f79b0fccb903daa28322 Description: graphical source control visualisation OpenGL-based 3D visualisation tool for source control repositories. . The repository is displayed as a tree where the root of the repository is the centre, directories are branches and files are leaves. Contributors to the source code appear and disappear as they contribute to specific files and directories. Package: gourmet Version: 0.15.9-1 Installed-Size: 9324 Maintainer: Christian Marillat Architecture: all Depends: python (>= 2.6.6-7~), python-imaging, python-glade2, python-gtk2 (>= 2.3.92), python-reportlab, python-sqlalchemy, python-poppler Recommends: python-gnome2, python-gtkspell Size: 2310958 SHA256: 8a94c9210847ac67b213c85089a3c75632ce86ee5930912c51dc0b1ea1bd0de3 SHA1: 4c4ef8588e573d68a6d487b892f859e538d40011 MD5sum: 287240bc7e0a96ebd3575e399a51def1 Description: A gtk-based recipe organizer and shopping list generator Gourmet Recipe Manager is a gtk-based recipe organizer and shopping list generator intended for the GNOME desktop environment (though it does not need all the GNOME libraries). Gourmet can import Mealmaster(tm) files and can export recipes in a number of formats, including text, RTF and web pages. Gourmet also can calculate nutritional information for recipes. Homepage: http://grecipe-manager.sf.net/ Tag: implemented-in::python, interface::x11, role::program, scope::application, uitoolkit::gtk, use::organizing, x11::application Section: gnome Priority: optional Filename: pool/main/g/gourmet/gourmet_0.15.9-1_all.deb Package: gozer Version: 0.7.nofont.1-5 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 69 Depends: giblib1 (>= 1.2.4), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libimlib2, libx11-6, libxext6, zlib1g (>= 1:1.1.4) Homepage: http://linuxbrit.co.uk/gozer/ Priority: optional Section: graphics Filename: pool/main/g/gozer/gozer_0.7.nofont.1-5_armhf.deb Size: 17876 SHA256: c1e729c58419af92f6aabe651f0fa5322a20f292b8acc5ccab2f7464ba779304 SHA1: 4df339a73a57e92a14eed9efe0426f808abbf8b6 MD5sum: f470398d5759fe2f25fd87185868561d Description: text renderer Gozer is a commandline text renderer. It will take text on the commandline or from a file and render it using antialiased TrueType fonts, using optional font styles, word wrapping, justification and layout control. Package: gozerbot Version: 0.99.1-2 Installed-Size: 1948 Maintainer: Jeremy Malcolm Architecture: all Replaces: gozerbot-plugins Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python-central, python-tz (>= 1.0), python-simplejson (>= 1.0), python-feedparser (>= 1.0), python-dns (>= 2.3.3), adduser, python-pkg-resources (>= 0.6b3) Suggests: gnupg Size: 414616 SHA256: 5320ac542361046a8ebc978151dad8c836d0c75d09993b7e7b90adf9fb9fb8c6 SHA1: 7ac99ddc5feb5711bdc4d326e9d480949b0f7f8c MD5sum: 0fa3bd9f7af331e956b9c6249018b795 Description: IRC and Jabber bot written in Python Gozerbot is a channel bot that aids with conversation in IRC channels and Jabber conference rooms. It's mainly used to send notifications (RSS, Nagios, etc.) and to provide custom commands for the channel. More then just a channel bot Gozerbot aims to provide a platform for the user to program his own bot and make it into something that's useful. This is done with a plugin structure that makes it easy to program your own plugins. But Gozerbot comes with some batteries included, there are now over 100 plugins already written and ready for use. Python-Version: 2.6, 2.7 Tag: implemented-in::python, interface::daemon, protocol::irc, role::program Section: net Priority: optional Filename: pool/main/g/gozerbot/gozerbot_0.99.1-2_all.deb Package: gozerbot-plugins Version: 0.9.1.2-4 Installed-Size: 1480 Maintainer: Jeremy Malcolm Architecture: all Depends: python-support (>= 0.7.1), python-central, gozerbot (>= 0.9.1.2) Size: 327848 SHA256: 6b7004bec523f49af0b68aed0341c1010db8f6d80e98c9b31e01126573c344e8 SHA1: a2c153421e90b6ddc06dad122604211067143067 MD5sum: f2cc4805262b9e38332c3525a1cef625 Description: Plugins for the gozerbot IRC and Jabber bot Gozerbot is a channel bot that aids with conversation in IRC channels and Jabber conference rooms. This package contains plugins for gozerbot. Python-Version: 2.5, 2.6 Tag: role::plugin Section: net Priority: optional Filename: pool/main/g/gozerbot-plugins/gozerbot-plugins_0.9.1.2-4_all.deb Package: gpa Version: 0.9.0-4 Architecture: armhf Maintainer: Debian GnuPG-Maintainers Installed-Size: 1103 Depends: gnupg2, gpgsm, libgpgme11 (>= 1.2.0-1.2~), libassuan0 (>= 2.0.1), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgpg-error0 (>= 1.10), libgtk2.0-0 (>= 2.12.0), zlib1g (>= 1:1.1.4) Homepage: http://www.gnupg.org/related_software/gpa/ Priority: optional Section: utils Filename: pool/main/g/gpa/gpa_0.9.0-4_armhf.deb Size: 327526 SHA256: 1ffd005a485acb884e720f26d017cadbfcf48cd7da4016946653a112e75b26eb SHA1: c8621108368d128e48c498572434bd5233999bad MD5sum: 6264cdd5c281e85025d4e0085bb387bc Description: GNU Privacy Assistant (GPA) The GNU Privacy Assistant (GPA) is a graphical user interface for the GNU Privacy Guard (GnuPG). It can be used to encrypt, decrypt, and sign files, to verify signatures and to manage the private and public keys. Package: gpac Version: 0.5.0~dfsg0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 567 Depends: gpac-modules-base (= 0.5.0~dfsg0-1), libgpac2 (= 0.5.0~dfsg0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://gpac.sourceforge.net Priority: optional Section: graphics Filename: pool/main/g/gpac/gpac_0.5.0~dfsg0-1_armhf.deb Size: 231796 SHA256: 0d61dd315845b7811d894f7e44bd15a6b7a70f0a44933bdb1248dddd05dd089c SHA1: 71a3d6e58ec2b9f1bee6a193acd1159bf6e6be35 MD5sum: 81721f70c4608db0d1c49cfe6b5e5249 Description: GPAC Project on Advanced Content - utilities GPAC stands for GPAC Project on Advanced Content (a recursive acronym). It is an Open Source multimedia framework for research and academic purposes. The project covers different aspects of multimedia, with a focus on presentation technologies (graphics, animation and interactivity). . This package contains the following utilities: - MP4Client (content packaging) - MP4Box Package: gpac-dbg Source: gpac Version: 0.5.0~dfsg0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1285 Depends: gpac (= 0.5.0~dfsg0-1), gpac-modules-base (= 0.5.0~dfsg0-1), libgpac-dbg (= 0.5.0~dfsg0-1) Homepage: http://gpac.sourceforge.net Priority: extra Section: debug Filename: pool/main/g/gpac/gpac-dbg_0.5.0~dfsg0-1_armhf.deb Size: 919972 SHA256: 88da2c72a0601e7e1b2362ab42229958b2c4dc5e6eaf0a2891c15c730958eb62 SHA1: 3a5cfbe3be881a7df136e1cf8479fc649242dc71 MD5sum: 0ecfd835bed526e5724d2382befcfb2d Description: GPAC Project on Advanced Content - debugging symbols GPAC stands for GPAC Project on Advanced Content (a recursive acronym). It is an Open Source multimedia framework for research and academic purposes. The project covers different aspects of multimedia, with a focus on presentation technologies (graphics, animation and interactivity). . This package provides the debugging symbols for GPAC applications. Package: gpac-modules-base Source: gpac Version: 0.5.0~dfsg0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 694 Pre-Depends: multiarch-support Depends: libgpac2 (= 0.5.0~dfsg0-1), liba52-0.7.4, libasound2 (>= 1.0.16), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libfaad2 (>= 2.7), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libmad0 (>= 0.15.1b-3), libogg0 (>= 1.1.0), libopenjpeg2, libpulse0 (>= 0.99.1), libsdl1.2debian (>= 1.2.11), libswscale2 (>= 5:0.8-2~), libtheora0 (>= 0.0.0.alpha7.dfsg), libvorbis0a (>= 1.1.2), libx11-6, libxext6, libxv1, libxvidcore4 (>= 1.2.2), zlib1g (>= 1:1.2.3.3) Breaks: gpac (<= 0.4.5+svn3462~dfsg0-1~) Replaces: gpac (<= 0.4.5+svn3462~dfsg0-1~) Multi-Arch: same Homepage: http://gpac.sourceforge.net Priority: optional Section: graphics Filename: pool/main/g/gpac/gpac-modules-base_0.5.0~dfsg0-1_armhf.deb Size: 277170 SHA256: 6105454e34375b922137af5d8c94f2cadafae0a402897a0cd5731be0a790a687 SHA1: eac4e8e55056cf29bf11adfde9a5942e5510e5dd MD5sum: 143e1e00307fc0cfacefcf6418816623 Description: GPAC Project on Advanced Content - modules GPAC stands for GPAC Project on Advanced Content (a recursive acronym). It is an Open Source multimedia framework for research and academic purposes. The project covers different aspects of multimedia, with a focus on presentation technologies (graphics, animation and interactivity). . This package contains the GPAC modules. Package: gpaco Source: paco Version: 2.0.9-2 Architecture: armhf Maintainer: TANIGUCHI Takaki Installed-Size: 366 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0), paco (= 2.0.9-2) Homepage: http://paco.sf.net/ Priority: optional Section: admin Filename: pool/main/p/paco/gpaco_2.0.9-2_armhf.deb Size: 144118 SHA256: 1006e4c1b938dfc069fd280fa24a40786049a52092c63c4ad791e49f589febce SHA1: dc51bddd29c4356ca34ea4b9f0d90daab574f935 MD5sum: 9ab38f809c9bd5c45d75a8303c1686d0 Description: simple but yet powerful source code package management system(GUI) After the installation of a source package with "./configure && make && make install", one is usually left with having no idea of what it was installed and where it all went, making it difficult to uninstall the package in the future. . Paco was written to solve this problem in a quite simple fashion. . When installing a package from sources, paco wraps the "make install" command (or whatever is needed to install the files into the system), and generates a log containing the list of all installed files. . This is a GUI frontend of paco. Package: gpaint Version: 0.3.3-6 Architecture: armhf Maintainer: Goedson Teixeira Paixao Installed-Size: 701 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27) Priority: optional Section: gnome Filename: pool/main/g/gpaint/gpaint_0.3.3-6_armhf.deb Size: 135266 SHA256: e995b4762d84cce39feebefd27ac42dc9b4ea4bfc01bf117ac6c947400d4bd4e SHA1: fd0e298c476021e232210bd2a9ae2b474d294216 MD5sum: 5b039fdad28acdd06c8b74363bee7d99 Description: GNU Paint - a small, easy to use paint program for GNOME This is gpaint (GNU Paint), a small-scale painting program for GNOME, the GNU Desktop Environment. gpaint does not attempt to compete with GIMP, it is just a simple drawing package based on xpaint, along the lines of 'Paintbrush' from a popular non-free operating system. . Currently gpaint has the following features: * Drawing tools such as ovals, freehand, polygon and text, with fill or shadow for polygons and closed freehand shapes. * Cut and paste by selecting irregular regions or polygons. * Preliminary print support using gnome-print. * Modern, ease-to-use user interface with tool and color palettes. * Multiple-image editing in a single instance of the program. * All the image processing features present in xpaint. Package: gpart Version: 0.1h-11 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 90 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://home.pages.de/~michab/gpart/ Priority: optional Section: admin Filename: pool/main/g/gpart/gpart_0.1h-11_armhf.deb Size: 37668 SHA256: 0a3008f3fa35a830d22cb7c6940011f37489ec1b8000a358fbd96ee49a08c987 SHA1: 04d5c4a79899ccdd83f48bbdbac34d01ce9741a1 MD5sum: 66ecc4b3fa56dc35bb21f4490938a40f Description: Guess PC disk partition table, find lost partitions Gpart is a tool which tries to guess the primary partition table of a PC-type disk in case the primary partition table in sector 0 is damaged, incorrect or deleted. . It is also good at finding and listing the types, locations, and sizes of inadvertently-deleted partitions, both primary and logical. It gives you the information you need to manually re-create them (using fdisk, cfdisk, sfdisk, etc.). . The guessed table can also be written to a file or (if you firmly believe the guessed table is entirely correct) directly to a disk device. . Currently supported (guessable) filesystem or partition types: . * BeOS filesystem type. * FreeBSD/NetBSD/386BSD disklabel sub-partitioning scheme used on Intel platforms. * Linux second extended filesystem. * MS-DOS FAT12/16/32 "filesystems". * IBM OS/2 High Performance filesystem. * Linux LVM physical volumes (LVM by Heinz Mauelshagen). * Linux swap partitions (versions 0 and 1). * The Minix operating system filesystem type. * MS Windows NT/2000 filesystem. * QNX 4.x filesystem. * The Reiser filesystem (version 3.5.X, X > 11). * Sun Solaris on Intel platforms uses a sub-partitioning scheme on PC hard disks similar to the BSD disklabels. * Silicon Graphics' journalling filesystem for Linux. Package: gparted Version: 0.12.1-2 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 5789 Depends: libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.14.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpangomm-1.4-1 (>= 2.27.1), libparted0debian1 (>= 2.2-1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libuuid1 (>= 2.16) Suggests: xfsprogs, reiserfsprogs, reiser4progs, jfsutils, ntfsprogs, dosfstools, yelp, kpartx, dmraid, dmsetup, gpart Homepage: http://gparted.sourceforge.net Priority: optional Section: gnome Filename: pool/main/g/gparted/gparted_0.12.1-2_armhf.deb Size: 1732858 SHA256: 86444407dae919d67a64448b20fe9dfb350a5d37ba3c4cca4c60a5e46ce56352 SHA1: 4f27ce2ce97e2c70804557a12489b36e9c6b9b1f MD5sum: 47f2941abed537d17bf33ed87863b062 Description: GNOME partition editor GParted uses libparted to detect and manipulate devices and partition tables while several (optional) filesystem tools provide support for filesystems not included in libparted. Package: gpdftext Source: gpdftext (0.1.5-1) Version: 0.1.5-1+b1 Architecture: armhf Maintainer: Neil Williams Installed-Size: 478 Depends: gconf-service, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.16.5), libgtkspell0 (>= 2.0.10), libpango1.0-0 (>= 1.22.0), libpoppler-glib8 (>= 0.18) Recommends: yelp Homepage: http://sourceforge.net/apps/mediawiki/gpdftext/ Priority: optional Section: utils Filename: pool/main/g/gpdftext/gpdftext_0.1.5-1+b1_armhf.deb Size: 85344 SHA256: 302ef0df856e350d9d210aa50770cf10e04ec0e3eaf7a9441d0f971b7b128bb9 SHA1: 6e33deaa658c7811c9aa3991f25175a660e8c9c2 MD5sum: 3e78f6d233a343c2fa68ec0fded2ed64 Description: GTK+ text editor for ebook PDF files gpdftext opens a simple text-based PDF file, typically intended for reading on an ebook reader and loads the text into a text editor window, autoformatting the text for long lines and paragraph breaks. . gpdftext is useful when the downloaded PDF uses a small font or wastes a lot of space in the margins so that a plain text file would display in a more comfortable font. . gpdftext supports spell checking and editor font selection and can save ASCII content as PDF. Package: gpe Source: gpe-conf Version: 0.2.9-1.1 Installed-Size: 44 Maintainer: Neil Williams Architecture: all Depends: gpe-announce, gpe-appmgr, gpe-clock, gpe-conf, gpe-calendar, gpe-contacts, gpe-edit, gpe-expenses, gpe-gallery, gpe-go, gpe-icons, gpe-login, gpe-lights, gpe-mixer, gpe-mininet, gpe-othello, gpe-ownerinfo, gpe-question, gpe-soundbite, gpe-shield, gpe-su, gpe-taskmanager, gpe-tetris, gpe-timesheet, gpe-todo, gpe-watch, gpe-what, gsoko, matchbox, rxvt, xdemineur Size: 21428 SHA256: 0bbd2e668c48bdc259f840371008968fd4cfe935d271d2dd40455d1a2a461cd3 SHA1: b9e2bd109e067c7d09f2ecffc30e64e7cf9e0492 MD5sum: cd32448210a5df43562c04ca507a393f Description: The G Palmtop Environment (GPE) metapackage This is the G Palmtop Environment for embedded and handheld devices. . This package depends on the basic set of GPE applications, including programs for device configuration, appointments, tasks, contacts, expenses and some games. . Do not install this package unless you want to let the complete GPE environment replace your current KDE or GNOME environment. You can remove this package once it is installed. Homepage: http://gpe.linuxtogo.org/projects/gpe-conf.shtml Tag: interface::x11, role::metapackage, scope::suite, suite::gpe, system::embedded, uitoolkit::gtk Section: embedded Priority: extra Filename: pool/main/g/gpe-conf/gpe_0.2.9-1.1_all.deb Package: gpe-announce Version: 0.14-2 Architecture: armhf Maintainer: Neil Williams Installed-Size: 60 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libesd0 (>= 0.2.35), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgpeschedule0 (>= 0.17), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libsoundgen0 (>= 0.6), libsqlite0 (>= 2.8.17), gpe-icons, at Priority: optional Section: utils Filename: pool/main/g/gpe-announce/gpe-announce_0.14-2_armhf.deb Size: 15194 SHA256: 35a8116bdd8238d05dfa63a702d95f9bf387b62e30f40d69389c001b94d4e987 SHA1: 4c2317218f5e792880e3aabecdd216d9615c0d8a MD5sum: 4d6e5a0a8bcdb86e76513e6c7706ebe3 Description: Alarm support for the G Palmtop Environment Announces alarms set by gpe-calendar and gpe-clock to the user in the G Palmtop Environment. Package: gpe-appmgr Version: 2.8-3 Architecture: armhf Maintainer: Neil Williams Installed-Size: 93 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpelaunch0 (>= 0.14), libgpewidget1 (>= 0.115), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxsettings-client0, gpe-conf Homepage: http://gpe.linuxtogo.org/projects/GPE-appmgr.shtml Priority: optional Section: x11 Filename: pool/main/g/gpe-appmgr/gpe-appmgr_2.8-3_armhf.deb Size: 34424 SHA256: c84221e40a07aa75b032f9fc2efe068f57c3f73552494a327f87be1b9b53b247 SHA1: b1dc63c62639e07dfe05228d0e1f4fbaead3f064 MD5sum: ff5d0bb5b00f431c995e8cb074bd09ef Description: application manager for GPE desktop The application manager is the main window of an embedded device running the GPE Palmtop Environment. It allows users to start-up applications and offers a main menu. Any application that a user should be able to access should also be available through the application manager. . GPE-Appmgr uses freedesktop.org-style desktop files like known from GNOME and KDE. It is able to deal with single- and multi instance applications as well as different screen sizes. Package: gpe-bluetooth Version: 0.56-3 Architecture: armhf Maintainer: Neil Williams Installed-Size: 214 Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libcontactsdb0 (>= 0.5), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libgpevtype1 (>= 0.50), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libmimedir-gnome0.4, libopenobex1, libsqlite0 (>= 2.8.17), gpe-icons Homepage: http://gpe.linuxtogo.org/projects/gpe-bluetooth.shtml Priority: optional Section: utils Filename: pool/main/g/gpe-bluetooth/gpe-bluetooth_0.56-3_armhf.deb Size: 57992 SHA256: e411a9c4a0e7e0131f25ea7279ff3e53e344c6d56dd2ac9d51cbc2a2b79abc60 SHA1: f6e039ab80bce63bb8a328ddc30549143feb520d MD5sum: 39ac6f2270304a8b3715cc2686afba21 Description: Bluetooth connectivity tool for GPE System tray applet for the GPE Palmtop Environment to control switching the radio on and off and searching for devices. Package: gpe-calendar Version: 0.92-4 Architecture: armhf Maintainer: Neil Williams Installed-Size: 515 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libesd0 (>= 0.2.35), libeventdb2, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpepimc0, libgpeschedule0 (>= 0.17), libgpevtype1 (>= 0.50), libgpewidget1 (>= 0.115), libgtk2.0-0 (>= 2.8.0), libhandoff0 (>= 0.1), libmimedir-gnome0.4, libpango1.0-0 (>= 1.14.0), libsoundgen0 (>= 0.6), libsoup2.4-1 (>= 2.4.0), libsqlite0 (>= 2.8.17), libx11-6, libxsettings-client0, gpe-icons Recommends: gpe-announce Homepage: http://gpe.linuxtogo.org/projects/GPE-calendar.shtml Priority: optional Section: utils Filename: pool/main/g/gpe-calendar/gpe-calendar_0.92-4_armhf.deb Size: 183162 SHA256: 1b00d266d4d87bbb2ab55ad6df4e7eff4d010ab637f2c0ba44eccfd271de2c9e SHA1: aed921f15b46c7a59b8b43baa224fffd17ec6c34 MD5sum: 88ec2a9b29e7422eb64e1d2f5a1b78c3 Description: store and manage calendar events in GPE gpe-calendar is a datebook or appointment utility for the GPE Palmtop Environment. Supports entries without due-time, just a note for a particular day, recurring events with options for daily, workdays, weekends, monthly, yearly (birthdays or other anniversaries), different view modes to give the user a good overview and mimedir (vCal, iCal) data import. Package: gpe-clock Version: 0.27-2 Architecture: armhf Maintainer: Neil Williams Installed-Size: 295 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpelaunch0 (>= 0.14), libgpeschedule0 (>= 0.17), libgpewidget1 (>= 0.115-5), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, gpe-icons, gpe-announce Homepage: http://gpe.linuxtogo.org/projects/gpe-clock.shtml Priority: optional Section: x11 Filename: pool/main/g/gpe-clock/gpe-clock_0.27-2_armhf.deb Size: 30152 SHA256: fabbde04ba9ac29a08db9c6ab1ce5c8c8c7fcb922bc0a1b4e64c8566f43c18ef SHA1: 493a0b5846c9b981e1782285385b6f100a1a41fa MD5sum: e39cfe070b7c59ca4898fd4fbacfd8d6 Description: alarm clock tray applet for GPE gpe-clock is an alarm clock dock application for the GPE Palmtop Environment. It displays the time in the system tray and manages simple alarms (single or weekly). It can be configured to display a digital or an analogue clock face. Package: gpe-conf Version: 0.2.9-1.1 Architecture: armhf Maintainer: Neil Williams Installed-Size: 1443 Depends: libatk1.0-0 (>= 1.12.4), libaudiofile1 (>= 0.3.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libesd0 (>= 0.2.35), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.115), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxrandr2, libxsettings-client0 Recommends: gpe-appmgr Conflicts: gnome-control-center, kcontrol Homepage: http://gpe.linuxtogo.org/projects/gpe-conf.shtml Priority: extra Section: embedded Filename: pool/main/g/gpe-conf/gpe-conf_0.2.9-1.1_armhf.deb Size: 606386 SHA256: 79d3fc824d5bd118cc71ad1c9549ee68cdb8d7d084ea519d6937e4ad87b9fb37 SHA1: 4c68392a0defadfecf1ad7477cf14a11a4d37613 MD5sum: b3869b326b5124534ce8fbde1cb35aa4 Description: configuration toolset for GPE GPE-Conf is a set of configuration tools for the GPE Palmtop Environment to perform the basic configuration tasks on a mobile device. It is designed to expose all necessary settings in an easy to use and unintrusive way. It is also able to set up some tasks that are the subject of more advanced use of a device such as serial port usage and multi user setups. . The GPE-Conf package contains another small tool - GPE-Info to provide information about the device and current status as well. Package: gpe-confd Version: 0.16-2 Architecture: armhf Maintainer: Neil Williams Installed-Size: 65 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libsqlite0 (>= 2.8.17), libx11-6, libxsettings0 Priority: optional Section: x11 Filename: pool/main/g/gpe-confd/gpe-confd_0.16-2_armhf.deb Size: 9868 SHA256: 947c4d5dab6835e2e2d2a476e89ce8e9a37493dd73020d0089cd9f56c6d09bdb SHA1: 7f20c95d636a1034be8276c119aafbfbaf936802 MD5sum: f010710577199525c4b227b66988d335 Description: GPE configuration daemon A persistent Xsettings storage daemon for the G Palmtop Environment. Package: gpe-contacts Version: 0.49-2 Architecture: armhf Maintainer: Moray Allan Installed-Size: 465 Depends: gpe-icons, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcontactsdb0 (>= 0.5), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libeventdb2, libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpepimc0, libgpevtype1 (>= 0.50), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libmimedir-gnome0.4, libpango1.0-0 (>= 1.14.0), libsqlite0 (>= 2.8.17) Homepage: http://gpe.linuxtogo.org/projects/GPE-Contacts.shtml Priority: optional Section: x11 Filename: pool/main/g/gpe-contacts/gpe-contacts_0.49-2_armhf.deb Size: 98440 SHA256: 766b84f64843a78b625db4ac232e061bb4c7c6eb5b454eb5a4e0781543dd6c89 SHA1: 20738d705c5fbbbbb1d2a5617e3cceec4bccc638 MD5sum: 8ae267ba3d46221f6ef07c768a33d228 Description: contact manager for GPE gpe-contacts is a simple contact manager for the GPE Palmtop Environment. It helps you organise records of people's names, addresses, and other contact information. Contacts can be marked as belonging to categories you have defined, and you can define new database fields to meet your specific needs. Package: gpe-edit Version: 0.41-1 Architecture: armhf Maintainer: Neil Williams Installed-Size: 339 Depends: gpe-icons, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.115), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://gpe.linuxtogo.org/projects/ Priority: optional Section: x11 Filename: pool/main/g/gpe-edit/gpe-edit_0.41-1_armhf.deb Size: 35674 SHA256: 0b949b16884aaee0bc8e0255004115d544e5f7ed4dbc466a5aadb8e64829a091 SHA1: 710e631339b5e5e5bcfaa6b637976addc8530bad MD5sum: ca517d1eebdbb72d10114a991b4b1989 Description: GPE Palmtop Environment text editor GPE-Edit is a simple programmer's editor for use on palmtop systems. The simple GUI means it will run with ease on many different systems, including embedded Linux devices such as the HP iPAQ. Package: gpe-expenses Version: 0.1.9-2 Architecture: armhf Maintainer: Neil Williams Installed-Size: 238 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpepimc0, libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libqof2 (>= 0.8.1), libqofexpensesobjects1 (>= 0.1.7), qof-backend-sqlite Suggests: pilot-qof, qof-backend-qsf, datafreedom-qsfxsl Homepage: http://gpe-expenses.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/g/gpe-expenses/gpe-expenses_0.1.9-2_armhf.deb Size: 55224 SHA256: 13b92da66744a3a830d0fdd891ef008cfb83614c845bb1adb1133ac7ffe408b1 SHA1: 385a5a74edd00ca2d077ca5f9aca78dfe0ccde58 MD5sum: 6773c70bb95287f79417a1c5ca502cc1 Description: Simple expense records for GPE A simple expenses list manager for the GPE Palmtop Environment, an equivalent to Expenses on the Palm but running on Familiar (iPAQ). Supports payment types, categories, expense types (mileage, meals, parking, etc.), notes, currency selection etc. Data is stored in a sqlite backend using QOF. Package: gpe-filemanager Version: 0.31-2 Architecture: armhf Maintainer: Neil Williams Installed-Size: 337 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnomevfs2-0 (>= 1:2.17.90), libgpewidget1 (>= 0.115), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), gpe-icons Homepage: http://gpe.linuxtogo.org/projects/gpe-filemanager.shtml Priority: optional Section: utils Filename: pool/main/g/gpe-filemanager/gpe-filemanager_0.31-2_armhf.deb Size: 133698 SHA256: 662bb155e586ddd2cc13520bce3045aa0e56f24874402fd34bce70ca5f116181 SHA1: d08955a73dc0a6e18e038d3c5ad5950fc8c9d4e2 MD5sum: 22b44eeef007f60710db5cc6ebdc663a Description: file manager for GPE The GPE Filemanager provides a simple graphical interface for accessing and manipulating files. . gpe-filemanager makes use of the GNOME virtual filesystem, allowing access to a variety of filesystem backends including FTP, SMB or SSH. It implements freedesktop.org specified mime type identification and application selection so that gpe-filemanager is able to launch applications associated to a certain file type. . The user interface is designed to scale from small portrait mode PDA displays (QVGA, 240x320) up to resolutions typical for web pads and notebooks. . This package is part of the GPE Palmtop Environment, intended to be used on palmtop computers. Package: gpe-gallery Version: 0.97-4 Architecture: armhf Maintainer: Neil Williams Installed-Size: 135 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), gpe-icons Homepage: http://gpe.linuxtogo.org/projects/gpe-gallery.shtml Priority: optional Section: x11 Filename: pool/main/g/gpe-gallery/gpe-gallery_0.97-4_armhf.deb Size: 34900 SHA256: f3313bb8bf8563a67174f4de54cb67c1dbf089c0c903c5aec0e80c03779956cb SHA1: 1734ea75170a173c205c19144f86a26ce15c039f MD5sum: 9fad8e314a0ec5f3567c68f056026577 Description: GPE image gallery and viewer with slideshow support An image viewer for the GPE Palmtop Environment. Intended to show small and medium sized images as icons or as a slideshow, gpe-gallery also performs some simple operations with the images. Package: gpe-go Version: 0.05-5 Architecture: armhf Maintainer: Neil Williams Installed-Size: 205 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Priority: optional Section: games Filename: pool/main/g/gpe-go/gpe-go_0.05-5_armhf.deb Size: 36364 SHA256: 8d0ba0515760fd8d58cf223c2b59c2e65aede93ebc774c66d35db9a2c22c291f SHA1: 2d95cfe93b93ecc081d6b129a1127d6d83ca4b7e MD5sum: 7e450b5ae763003372543478dca2b750 Description: two player board game for GPE Go is also known as Weiqi in Chinese, Igo in Japanese and Baduk in Korean. gpe-go brings go to the GPE Palmtop Environment. . gpe-go is played by alternately placing black and white stones on the vacant intersections of a 19x19 rectilinear grid. A stone or a group of stones is captured and removed if it is tightly surrounded by stones of the opposing colour. The objective is to control a larger territory than the opponent by placing one's stones so they cannot be captured. The game ends and the score is counted when both players consecutively pass on a turn, indicating that neither side can increase its territory or reduce its opponent's; the game can also end by resignation. Package: gpe-icons Version: 0.25-1 Installed-Size: 348 Maintainer: Neil Williams Architecture: all Size: 44632 SHA256: 574054a13b95a8e02415668565cdbb926480c55dd91eedd1d8da0b16174f0db0 SHA1: 3be6ae5242f3fda80826b47f67855366abc6a590 MD5sum: 6806532cbb30ace900ef2126d7f3ff06 Description: Common icons used by GPE programs This package contains the default icon theme used by the core applications of the GPE Palmtop Environment. Homepage: http://gpe.linuxtogo.org/projects/ Tag: made-of::icons, role::app-data, suite::gpe, system::embedded Section: x11 Priority: optional Filename: pool/main/g/gpe-icons/gpe-icons_0.25-1_all.deb Package: gpe-julia Version: 0.0.6-7 Architecture: armhf Maintainer: Moray Allan Installed-Size: 99 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), gpe-icons Homepage: http://gpe.linuxtogo.org/ Priority: optional Section: graphics Filename: pool/main/g/gpe-julia/gpe-julia_0.0.6-7_armhf.deb Size: 15984 SHA256: cdfcb82bcb9904ffaec534cef2b5af58084493be2c98a6ec4e03a3a0ea73e040 SHA1: 7dabafc5279e88705d588b20a2e170bc721418d5 MD5sum: fc4e5c7ceaf04c0b6b104947859e7a32 Description: Julia/Mandelbrot set generator for GPE gpe-julia is a fixed-point fractal drawing program for the GPE Palmtop Environment. It can draw the Julia set for a particular point, or the Mandelbrot set. You can zoom in to look more closely at areas of interest. Package: gpe-lights Version: 0.13-4 Architecture: armhf Maintainer: Neil Williams Installed-Size: 101 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Priority: optional Section: games Filename: pool/main/g/gpe-lights/gpe-lights_0.13-4_armhf.deb Size: 36028 SHA256: a775bee9c5d81c96953246db6a0fd23464759f40b2b515c6af2e6053f173774a SHA1: 4b11f05a806317fcae32b55d146d8394399b0c48 MD5sum: 7dee8f001b9a8304e42d516da9a23b79 Description: Lights Out game clone for GPE A puzzle game for the GPE Palmtop Environment. The game is a 5x5 grid of lights, and when the game starts, a sequence of these lights (random, or one of a set of stored puzzle patterns) are switched on. Pressing one of the lights will toggle it and the four adjacent lights between on and off. The aim of the game is to switch all the lights off. Package: gpe-login Version: 0.95-2 Architecture: armhf Maintainer: Neil Williams Installed-Size: 421 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.115), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6 Conflicts: x-display-manager Homepage: http://gpe.linuxtogo.org/ Priority: optional Section: embedded Filename: pool/main/g/gpe-login/gpe-login_0.95-2_armhf.deb Size: 78960 SHA256: f16908bfed9d5b2e0f5e4cfd75873f9b3c3576ceb603d6a57dcdc0b9a9d83abb SHA1: 344d131a94a5d9e0f5a420811a6b0c83c626548c MD5sum: e1a86c19d3cfd9948fe97bd60a49eb4a Description: login window for the G Palmtop Environment Multi user login and session manager for GPE. . This package is not intended to be installed on a typical Debian installation, just for GPE. Package: gpe-mininet Version: 0.7-2 Architecture: armhf Maintainer: Neil Williams Installed-Size: 101 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), gpe-conf Priority: optional Section: utils Filename: pool/main/g/gpe-mininet/gpe-mininet_0.7-2_armhf.deb Size: 22916 SHA256: 500179fbc61885dabf2cc3c7b7bbf59edc5bc4fae923916bd38b2b53f277ea88 SHA1: 299a9fa2505c8bee2920ccb0cb395b652f9eca4a MD5sum: e5e56303b461443cfe0b8391ae73c075 Description: network connectivity monitor for GPE Network connection checking panel applet for the GPE Palmtop Environment. Package: gpe-mixer Version: 0.50-1 Architecture: armhf Maintainer: Neil Williams Installed-Size: 82 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), gpe-icons (>= 0.23) Priority: extra Section: sound Filename: pool/main/g/gpe-mixer/gpe-mixer_0.50-1_armhf.deb Size: 18242 SHA256: ebf28ba17a955cc2a8e24ab45ded696ece62ceffb5886bc7bd509052e30e1ee7 SHA1: 719f2f449021c608fce0cfecd7370e15d33a37a2 MD5sum: 07e0626ab5c052b4042c4087f024e0b2 Description: audio mixer frontend for GPE Enables configuration of the internal audio mix on an embedded device in the GPE Palmtop Environment. Package: gpe-othello Version: 0.2-4 Architecture: armhf Maintainer: Neil Williams Installed-Size: 151 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), gpe-icons Priority: optional Section: games Filename: pool/main/g/gpe-othello/gpe-othello_0.2-4_armhf.deb Size: 44368 SHA256: 6f764303b371e5458ee09ee2bed66d4b9e93acd0ce19feb605ae15cc06f4e217 SHA1: db56aa654dfa0fd8de2bbc09ff785ab963fdd512 MD5sum: 8486fd27073a5ec92ffc57c54b8f740e Description: othello board game for GPE Othello is also known as Reversi. gpe-othello is a strategic boardgame for the GPE Palmtop Environment which involves play against a handheld computer on an eight-by-eight square grid with pieces that have light and dark faces. . The player places light-faced pieces so that there is at least one straight (horizontal, vertical, or diagonal) line between the new piece and another light piece, with one or more contiguous dark pieces between them. Pieces captured in this manner are turned over and can be used in later moves. The winner has the most number of pieces showing the appropriate face when the grid is full or when the other player has no pieces of their own colour left. Package: gpe-ownerinfo Version: 0.28-3 Architecture: armhf Maintainer: Neil Williams Installed-Size: 257 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libx11-6 Priority: optional Section: embedded Filename: pool/main/g/gpe-ownerinfo/gpe-ownerinfo_0.28-3_armhf.deb Size: 23812 SHA256: 8df268e23b185c6130ab56cfc07301c50506cae35b9be91434c82051f106f196 SHA1: 219147ff57055d354254313c352829c3b01d3b5b MD5sum: ae9c1cd085bf9427893971aa89c198b5 Description: details of the GPE device owner Used by the G Palmtop Environment (GPE). Package: gpe-ownerinfo-dev Source: gpe-ownerinfo Version: 0.28-3 Architecture: armhf Maintainer: Neil Williams Installed-Size: 49 Depends: libgpewidget1, libglib2.0-0 Priority: optional Section: embedded Filename: pool/main/g/gpe-ownerinfo/gpe-ownerinfo-dev_0.28-3_armhf.deb Size: 11078 SHA256: 9702578142289cd001e74eaae22c7af48d55f0caf47a1b050263bce5065e1464 SHA1: eb2d7ea1cfe31d73f71ff68544bf77195ebd8735 MD5sum: b010198559a8034249e05862e14cf5a6 Description: access the device owner information Contains a static library used by gpe-login to display details of the owner of the device . Used by the G Palmtop Environment (GPE). Package: gpe-question Version: 0.04-3 Architecture: armhf Maintainer: Neil Williams Installed-Size: 44 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), gpe-icons Priority: optional Section: x11 Filename: pool/main/g/gpe-question/gpe-question_0.04-3_armhf.deb Size: 5970 SHA256: ab7f07faf4694dd7cf66af5bb78f0a54b195842ed398eb2f37850147535f7d1a SHA1: b6abb46c15173d5827d0de9d3876f816495ca10c MD5sum: 400125d842bf1475dd4cd490ec804985 Description: GPE interface for asking questions from shell scripts Provides dialogues to raise a question within the GPE Palmtop Environment with cancel and ok buttons. Package: gpe-screenshot Version: 0.4-4 Architecture: armhf Maintainer: Neil Williams Installed-Size: 116 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libx11-6 Priority: optional Section: x11 Filename: pool/main/g/gpe-screenshot/gpe-screenshot_0.4-4_armhf.deb Size: 24444 SHA256: 1e732457314b941f651c287472abbd612b33990caf62eaa637818348b4f17492 SHA1: 25130f577ada4c4a4c664ed351e0739a9f8d5b12 MD5sum: 568a820636e1698277c9d8aac36abc15 Description: screenshot application for GPE Capture screenshots within the GPE Palmtop Environment. Package: gpe-shield Version: 0.31-6 Architecture: armhf Maintainer: Neil Williams Installed-Size: 115 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), gpe-su, iptables Priority: optional Section: utils Filename: pool/main/g/gpe-shield/gpe-shield_0.31-6_armhf.deb Size: 32596 SHA256: 7df93d14d33cad0633baef7465bdf317cf354247bacf54e32af4b64d260753b0 SHA1: ca30bdba88735f653900ab7c495478c56c6704a3 MD5sum: f5c84dd894aae5de04954ef39063e28d Description: firewall configuration for GPE Gpe-shield is a frontend for the iptables network packet filter for the GPE Palmtop Environment. Package: gpe-soundbite Version: 1.0.6-2 Architecture: armhf Maintainer: Neil Williams Installed-Size: 102 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libesd0 (>= 0.2.35), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.88), libgsm1 (>= 1.0.13), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27), gpe-soundserver Homepage: http://gpe.linuxtogo.org/projects/GPE-soundbite.shtml Priority: optional Section: x11 Filename: pool/main/g/gpe-soundbite/gpe-soundbite_1.0.6-2_armhf.deb Size: 22656 SHA256: 63b1c4af904dd249fce24dbf03ba8fe5c1508f9b2785a7604187721f1b48e821 SHA1: 33442a44e98619adcd901ef4ccc76b6192a6ab72 MD5sum: ad7da2e40e7da30ac5f440b3b80fc453 Description: voice memo player/recorder for GPE gpe-soundbite-play allows short voice messages to be played back or recorded through the internal audio system on the embedded device. . The libgsm codec library is used to compress the data for storage. Package: gpe-soundserver Version: 0.4-3 Architecture: armhf Maintainer: Neil Williams Installed-Size: 63 Depends: libc6 (>= 2.4), libx11-6 Homepage: http://gpe.linuxtogo.org/projects/GPE-soundbite.shtml Priority: optional Section: x11 Filename: pool/main/g/gpe-soundserver/gpe-soundserver_0.4-3_armhf.deb Size: 7232 SHA256: 0598faeb94493112d0689f80b02a7c4e7087a58d92ec900523121e6ee0c22c17 SHA1: 007aa01cc12a5fa836c0e4db93d586b0c6c593f8 MD5sum: 0247b9c4915bb102408184ff82ea8b4d Description: start and stop the GPE sound service Provides as-and-when sound services by wrapping the esd daemon. gpe-soundbite starts the gpe-soundserver prior to playback or recording and stops it again at the end of playback or recording. . Used by the GPE Palmtop Environment to reduce the resource footprint on devices where sound is only used intermittently. Package: gpe-su Version: 0.20-1 Architecture: armhf Maintainer: Neil Williams Installed-Size: 220 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Priority: optional Section: x11 Filename: pool/main/g/gpe-su/gpe-su_0.20-1_armhf.deb Size: 17716 SHA256: f6c4bff3590122826c5c3c636986bce383b8f03e6039ca76668665ba416ca169 SHA1: 6c159f9ae1f5d1fe2ba6d2dd431b99e241a82505 MD5sum: 590d628efaad50deac545f85a9cc2266 Description: root shell for GPE Executes applications with root privileges. . Used by gpe-conf to configure devices on the embedded device. Package: gpe-taskmanager Version: 0.20-9 Architecture: armhf Maintainer: Moray Allan Installed-Size: 181 Depends: gpe-icons, libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgpelaunch0 (>= 0.14), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.24.0), libx11-6 Homepage: http://gpe.linuxtogo.org/ Priority: optional Section: utils Filename: pool/main/g/gpe-taskmanager/gpe-taskmanager_0.20-9_armhf.deb Size: 15024 SHA256: 4729b5b3afefc27f997d66e676c5019efb4afa4dbb31d55370a9d5d09640d23e SHA1: 57250f0061cb81464493ecd78a8194d7cdf1082c MD5sum: 000267cf5b557d6da40795905b70bc55 Description: lists windows and kills errant programs gpe-taskmanager is part of the GPE Palmtop Environment. It displays a list of windows on the current display, and allows the user to kill the task which owns a particular window. This can be helpful if a program has hung and is no longer responding to direct user actions. Package: gpe-tetris Version: 0.6.4-2 Architecture: armhf Maintainer: Neil Williams Installed-Size: 133 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), gpe-icons Homepage: http://gpe.linuxtogo.org/projects/ Priority: extra Section: x11 Filename: pool/main/g/gpe-tetris/gpe-tetris_0.6.4-2_armhf.deb Size: 33148 SHA256: e8fe99f8276dcece3ddfdf1cd39cba2b861b435cb5fa67895033aee599a128a8 SHA1: 3b5fa992456a2e0efcc7374ff2441418962ec5fe MD5sum: a1039601d20155398a5c65dc44da53de Description: tetris game for small screens and embedded devices Falling-block game for the G Palmtop Environment. Package: gpe-timesheet Version: 0.32-2 Architecture: armhf Maintainer: Neil Williams Installed-Size: 221 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.115), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libsqlite0 (>= 2.8.17), libtododb0 (>= 0.10), gpe-icons Homepage: http://gpe.linuxtogo.org/projects/gpe-timesheet.shtml Priority: optional Section: x11 Filename: pool/main/g/gpe-timesheet/gpe-timesheet_0.32-2_armhf.deb Size: 35302 SHA256: 99fe7e09a2bcdb1eba0334ed1b24ee45b9d76dd7b2e44c1337768ba528fb9463 SHA1: 8d8ad2f2e15315d6ed0035505a73965e6f87c495 MD5sum: 5743aa28a4480001d859b6327dca5cd0 Description: keep track of time spent on a task in GPE Time tracking program for the GPE Palmtop Environment. Used to track the time you spend on certain tasks. Package: gpe-todo Version: 0.58-1 Architecture: armhf Maintainer: Moray Allan Installed-Size: 346 Depends: gpe-icons, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpepimc0, libgpewidget1 (>= 0.115), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libsqlite0 (>= 2.8.17), libtododb0 (>= 0.10) Homepage: http://gpe.linuxtogo.org/projects/GPE-todo.shtml Priority: optional Section: utils Filename: pool/main/g/gpe-todo/gpe-todo_0.58-1_armhf.deb Size: 45334 SHA256: 19e28583816d506e3865e89dde09426be13f2b905349fdd0c4e5f1ca974b2e25 SHA1: 3c66a3ace3beef1fb774458e1314b3aadbb5d608 MD5sum: c898fb3d3ef143637247108f908acb5e Description: To-do list for GPE gpe-todo is a simple "to do" list manager for the GPE Palmtop Environment. It helps you organise a list of tasks which you need to carry out. Tasks can be marked as belonging to categories of your choosing. Package: gpe-watch Version: 0.11-1 Architecture: armhf Maintainer: Neil Williams Installed-Size: 73 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.115-5), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxext6, libxrender1 Priority: optional Section: utils Filename: pool/main/g/gpe-watch/gpe-watch_0.11-1_armhf.deb Size: 15630 SHA256: 86d223161112b03ae2c64dbf76173c0aa262b49e3ca00bcd06d27e5e678d7521 SHA1: 0e7ac93d85b5eec13a3ae3de88fb7bf56018a96f MD5sum: 9e12215c57142dea7d53542dcae095fe Description: a watch for a small screen in GPE Can also display a clock if you have a bigger screen. Part of the GPE Palmtop Environment. Package: gpe-what Version: 0.43-4 Architecture: armhf Maintainer: Neil Williams Installed-Size: 92 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6 Homepage: http://gpe.linuxtogo.org/projects/GPE-what.shtml Priority: optional Section: x11 Filename: pool/main/g/gpe-what/gpe-what_0.43-4_armhf.deb Size: 26756 SHA256: fda468a3c94a741e473e23e5c20ad47193b3c362755462a517ab88a2e357f888 SHA1: 390477b743be0aa8014baef13154e9ca669a803b MD5sum: 7ae8bd50f58add5db81237dc787beefa Description: context-sensitive help for GPE gpe-what is a notification tray applet to control context sensitive help in the GPE Palmtop Environment that replaces the mouse-over tooltips that are not usable with the stylus commonly used with embedded devices. . When the tray icon is clicked, the system enters "help mode"; normal UI is suspended, and the next stylus tap selects the widget for which help is wanted. The help text, if available, is displayed in a small pop-up bubble. Package: gperf Version: 3.0.3-1 Architecture: armhf Maintainer: J.H.M. Dassen (Ray) Installed-Size: 271 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Replaces: libg++27-dev, libg++272-dev Priority: optional Section: devel Filename: pool/main/g/gperf/gperf_3.0.3-1_armhf.deb Size: 133354 SHA256: f45bcb1c3e8d1ca685bbd9960be8c5ecbb7b5443e67a58560d2a64088736c327 SHA1: c36d86dd5846adcd8074cad547bf08e93b2beec3 MD5sum: d12eb29f8ac0d82e5a15ccb4071a1b4f Description: Perfect hash function generator gperf is a program that generates perfect hash functions for sets of key words. . A perfect hash function is simply: A hash function and a data structure that allows recognition of a key word in a set of words using exactly 1 probe into the data structure. Package: gperf-ace Source: ace Version: 6.0.3+dfsg-0.1 Installed-Size: 91 Maintainer: Debian ACE+TAO maintainers Architecture: all Depends: ace-gperf Size: 53296 SHA256: ba9a2a730c2888b59182d3ca37d914ede31079252957ae1a3b83b27983acab27 SHA1: 4e150d11dbf262bcbfd150a95aceb4b67b7f626d MD5sum: 698a53d9546a7b258512659a27715314 Description: ACE perfect hash function generator (transitional package) This package is a transitional package to ace-gperf. . It can be safely removed after installation. Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Tag: implemented-in::c++, interface::commandline, role::dummy, role::program, scope::utility, security::integrity, works-with::text Section: devel Priority: optional Filename: pool/main/a/ace/gperf-ace_6.0.3+dfsg-0.1_all.deb Package: gperiodic Version: 2.0.10-7 Architecture: armhf Maintainer: Debichem Team Installed-Size: 415 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0) Homepage: http://www.frantz.fi/software/gperiodic.php Priority: optional Section: science Filename: pool/main/g/gperiodic/gperiodic_2.0.10-7_armhf.deb Size: 130036 SHA256: 2465ca0a383e4821bf4422a5f4f25034ea6ae76d44517ec67f286f2a9faf7fa3 SHA1: 469fe2d960b0383377f2da0c24a3b39c731863fd MD5sum: 4e29a53506d298779486774598c7f98c Description: periodic table application GPeriodic is a small X/GTK+-based program which allows you to browse through a periodic table of chemical elements, and view somewhat detailed information on each of the elements. 118 elements are currently listed. Package: gpesyncd Version: 2.0-1 Architecture: armhf Maintainer: gregor herrmann Installed-Size: 71 Depends: libc6 (>= 2.13-28), libcontactsdb0 (>= 0.5), libeventdb2, libglib2.0-0 (>= 2.12.0), libgpevtype1 (>= 0.50), libmimedir-gnome0.4, libsqlite0 (>= 2.8.17), libtododb0 (>= 0.11) Suggests: gpe-calendar, gpe-contacts, gpe-todo, opensync-plugin-gpe Homepage: http://gpe.linuxtogo.org/projects/gpesyncd.shtml Priority: optional Section: utils Filename: pool/main/g/gpesyncd/gpesyncd_2.0-1_armhf.deb Size: 19922 SHA256: b24a753cf71bd9b145fa1acb84ba72933543828a3d3a9e240f978b81e9ae0860 SHA1: ce59a614209a86c9e453f7b7b55e9737017269a0 MD5sum: ca00269b21cbd002e84382170ff5c959 Description: synchronisation agent for GPE PIM data gpesyncd synchronises PIM data by transforming vCards, vEvents, vTtodo and iCals to the appropriate format in the SQLite database of the respective GPE applications and vice versa. . gpesyncd exports and imports PIM data either to stdout or over TCP/IP. It can also be used as a command line tool to access all the PIM data. . opensync-plugin-gpe needs gpesyncd to run on the machine where the GPE application data are stored. Package: gpgsm Source: gnupg2 Version: 2.0.19-2+deb7u2 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 441 Depends: libassuan0 (>= 2.0.1), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgpg-error0 (>= 1.10), libksba8 (>= 1.2.0), libreadline6 (>= 6.0), zlib1g (>= 1:1.1.4), scdaemon Replaces: gnupg-agent, gnupg2 (<< 2.0.0-2) Multi-Arch: foreign Homepage: http://www.gnupg.org/ Priority: optional Section: utils Filename: pool/main/g/gnupg2/gpgsm_2.0.19-2+deb7u2_armhf.deb Size: 235096 SHA256: 8c30595afb782c0fe1e44edcdc05320cbc91a15fee2f910dac3ca8bac5a26c31 SHA1: c44ca463ac549cfa89d62832140010bd726517fb MD5sum: 5ba83c172ca95da80cd60a9bcd2df046 Description: GNU privacy guard - S/MIME version GnuPG is GNU's tool for secure communication and data storage. It can be used to encrypt data and to create digital signatures. It includes an advanced key management facility and is compliant with the proposed OpenPGP Internet standard as described in RFC2440. . This package contains the gpgsm program. gpgsm is a tool to provide digital encryption and signing services on X.509 certificates and the CMS protocol. gpgsm includes complete certificate management. Package: gpgv Source: gnupg Version: 1.4.12-7+deb7u9 Architecture: armhf Maintainer: Debian GnuPG-Maintainers Installed-Size: 349 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4) Suggests: gnupg Multi-Arch: foreign Homepage: http://www.gnupg.org Priority: important Section: utils Filename: pool/main/g/gnupg/gpgv_1.4.12-7+deb7u9_armhf.deb Size: 208336 SHA256: ccc207704c5aaed08d10dfc7e352f050f9bdbf982bd7bd77511205bc1c7670f0 SHA1: b81247cd8d27a81d5dbaec60f63db395d5b6b4e1 MD5sum: 6fd7777d3994c07a41d12c39a3beb83c Description: GNU privacy guard - signature verification tool GnuPG is GNU's tool for secure communication and data storage. . gpgv is a stripped-down version of gnupg which is only able to check signatures. It is smaller than the full-blown gnupg and uses a different (and simpler) way to check that the public keys used to make the signature are trustworthy. Package: gpgv-win32 Source: gnupg Version: 1.4.12-7+deb7u9 Installed-Size: 1452 Maintainer: Debian GnuPG-Maintainers Architecture: all Suggests: wine Size: 617882 SHA256: 939ebda4f13aa31b33d21879cd01fba63881dcfcd24c217c76578825316e0077 SHA1: b169fedb9932420467910a253023c6264938abb3 MD5sum: a370ac66f936466982cb890f37878cc0 Description: GNU privacy guard - signature verification tool (win32 build) GnuPG is GNU's tool for secure communication and data storage. . gpgv is a stripped-down version of gnupg which is only able to check signatures. It is smaller than the full-blown gnupg and uses a different (and simpler) way to check that the public keys used to make the signature are trustworthy. . This is a win32 version of gpgv. It's meant to be used by the win32-loader component of Debian-Installer. Homepage: http://www.gnupg.org Section: utils Priority: extra Filename: pool/main/g/gnupg/gpgv-win32_1.4.12-7+deb7u9_all.deb Package: gphoto2 Version: 2.4.14-1 Architecture: armhf Maintainer: Debian PhotoTools Maintainers Installed-Size: 1014 Depends: libc6 (>= 2.13-28), libcdk5, libexif12, libgphoto2-2 (>= 2.4.10.1), libgphoto2-port0 (>= 2.4.10.1), libncurses5 (>= 5.5-5~), libpopt0 (>= 1.14), libreadline6 (>= 6.0) Suggests: gtkam, gthumb Homepage: http://www.gphoto.org/proj/gphoto2/ Priority: extra Section: utils Filename: pool/main/g/gphoto2/gphoto2_2.4.14-1_armhf.deb Size: 249600 SHA256: edac2893075d574a4221ead7fa20e695e1d59db7a96068cdd8673a233c4252e9 SHA1: c72782755bb4909e0c31de8b7d1ab46a19e3823d MD5sum: d30746e43e7797fbb29e5201061607d3 Description: The gphoto2 digital camera command-line client The gphoto2 library can be used by applications to access various digital camera models, via standard protocols such as USB Mass Storage and PTP, or vendor-specific protocols. . This package provide the gphoto2 command-line frontend. Package: gphotofs Version: 0.4.0-6 Architecture: armhf Maintainer: Debian PhotoTools Maintainers Installed-Size: 64 Depends: libc6 (>= 2.13-28), libexif12, libfuse2 (>= 2.8.1), libglib2.0-0 (>= 2.12.0), libgphoto2-2 (>= 2.4.10.1), libgphoto2-port0 (>= 2.4.10.1), fuse Homepage: http://www.gphoto.org/proj/gphotofs/ Priority: optional Section: utils Filename: pool/main/g/gphotofs/gphotofs_0.4.0-6_armhf.deb Size: 17228 SHA256: e70bbb8baa54d0bf398e1498989e4f38823ab18af107bc33700b2ff773429244 SHA1: 3450bc5d7c101400ad5f4058e4e711f0c4674dc3 MD5sum: 9cfe4a46b78a06fb3145b2b43dbdacc8 Description: filesystem to mount digital cameras GPhotoFS is a filesystem client based on libgphoto2 that exposes supported cameras as filesystems; while some cameras implement the USB Mass Storage class and already appear as filesystems (making this program redundant), many use the Picture Transfer Protocol (PTP) or some other custom protocol. But as long as the camera is supported by libgphoto2, it can be mounted as a filesystem using this program. . This package is based on the FUSE (filesystem in user space) infra-structure of the Linux kernel and, therefore, does not require any modifications to the kernel, apart from the fuse module. Package: gphpedit Version: 0.9.98-2 Architecture: armhf Maintainer: Lior Kaplan Installed-Size: 1660 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.20.0), libjavascriptcoregtk-1.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.18.0), libsoup2.4-1 (>= 2.4.0), libstdc++6 (>= 4.4.0), libwebkitgtk-1.0-0 (>= 1.3.10), libxml2 (>= 2.7.4) Suggests: php5-cli, php-doc Homepage: http://www.gphpedit.org/ Priority: optional Section: gnome Filename: pool/main/g/gphpedit/gphpedit_0.9.98-2_armhf.deb Size: 656538 SHA256: f8ddfe8d4fedae83b3d8c4b17b4179c44991471b8ad514281f589108e18d78a2 SHA1: ace01ca38928350da4344a822035d9a59657c0bb MD5sum: a87832b49d5bf686bcc1c514025688fd Description: development environment for PHP/HTML/CSS gPHPEdit is a GNOME editor that is dedicated to editing PHP files and other supporting files, like HTML/CSS. It has support for drop-down function lists, hints showing parameters, and syntax highlighting. Package: gpick Version: 0.2.4-1 Architecture: armhf Maintainer: Elías Alejandro Año Mendoza Installed-Size: 724 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-glib-1-2 (>= 0.88), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.20.0), libstdc++6 (>= 4.6) Homepage: http://code.google.com/p/gpick/ Priority: optional Section: graphics Filename: pool/main/g/gpick/gpick_0.2.4-1_armhf.deb Size: 311382 SHA256: 3f885fb4163da9dc5ea770d5dfe41c74ce4b40da8d7a8c3ab7ca5ec43850c5b7 SHA1: 1b62197cabc002a1904cc58e03a5330b52a5937b MD5sum: cf2732e8921b0fa7e9b75110576d3b9c Description: advanced GTK+ color picker gpick is an advanced color picker used to pick colors from anywhere on the screen, mix them to get new colors, generate shades and tints, and export palettes to common file formats or simply copy them to the clipboard. Package: gpicview Version: 0.2.3-2 Architecture: armhf Maintainer: Debian LXDE Maintainers Installed-Size: 791 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.20.0), libjpeg8 (>= 8c), libpango1.0-0 (>= 1.14.0), libx11-6 Recommends: xdg-utils Homepage: http://www.lxde.org/ Priority: optional Section: graphics Filename: pool/main/g/gpicview/gpicview_0.2.3-2_armhf.deb Size: 93998 SHA256: ba321b6f600560008d2e99096a96b9225c4dc1a39e6bd66da34ebe5094b385c2 SHA1: e0fccd41f1d60e97af70bc3bd0744860f45919dc MD5sum: b3d8970872ec2f1d90766afa434bcd8a Description: lightweight image viewer GPicView is a lightweight GTK+ 2.x based image viewer with following features: . * Extremely lightweight and fast with low memory usage * Very suitable for default image viewer of desktop system * Simple and intuitive interface * Minimal lib dependency: Only pure GTK+ is used * Desktop independent: Doesn't require any specific desktop environment Package: gpicview-dbg Source: gpicview Version: 0.2.3-2 Architecture: armhf Maintainer: Debian LXDE Maintainers Installed-Size: 163 Depends: gpicview (= 0.2.3-2) Homepage: http://www.lxde.org/ Priority: extra Section: debug Filename: pool/main/g/gpicview/gpicview-dbg_0.2.3-2_armhf.deb Size: 104244 SHA256: 156f647e6134531eefa23c4c2f14ce6f6b980371af77c338762473d66e733843 SHA1: 9be6c7e8b0eb3fb03686ed0b7a52dcb1b0880c44 MD5sum: d8505bb9fdf8da107edbfe77c4ac6e05 Description: lightweight image viewer (debug) GPicView is a lightweight GTK+ 2.x based image viewer. . This package contains the debugging symbols. Package: gpiv Version: 0.6.1-2 Architecture: armhf Maintainer: Gerber van der Graaf Installed-Size: 1786 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgpiv3, libgsl0ldbl (>= 1.9), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6 Conflicts: gpiv-mpi Replaces: gpiv-mpi Homepage: http://gpiv.sourceforge.net/ Priority: optional Section: science Filename: pool/main/g/gpiv/gpiv_0.6.1-2_armhf.deb Size: 1474732 SHA256: 5b661d977ba4fb57571da42cb7ee00ead286e93f139d22b4d9290cd777f3bbca SHA1: a7c912b9e9693d868bf990e2b3573e77031bd5de MD5sum: 33fae500771186f3b7f5bb3557a38cde Description: GUI program for Particle Image Velocimetry Gpiv is a Graphic User Interface program using the GTK/GNOME libraries for Particle Image Velocimetry (PIV). The program gives a quick overview of the parameter settings of the processes and allows to change them easy, running the processes, individually or in a chain, visualizes and displays the results. The processes that may be invoked by Gpiv are: . Image processing: typical image manipulations that might be needed for PIV interrogation. . Image interrogation, resulting into estimators of particle image displacements. . Data validation to test on outliers, peak-locking effect and velocity gradients over the interrogation area's. . Data post-processing: data manipulation, spatial and time scaling to obtain a velocity field from the PIV data, calculation of spatial averages, vorticity and strain. Package: gpiv-mpi Source: gpiv Version: 0.6.1-2 Architecture: armhf Maintainer: Gerber van der Graaf Installed-Size: 1809 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgpiv-mpi3, libgsl0ldbl (>= 1.9), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), libopenmpi1.3, liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, gpivtools-mpi Conflicts: gpiv Replaces: gpiv Homepage: http://gpiv.sourceforge.net/ Priority: optional Section: science Filename: pool/main/g/gpiv/gpiv-mpi_0.6.1-2_armhf.deb Size: 1485550 SHA256: afa210471fdd3dce7977b22e3f23a53f485fdeb60796c934be7874fb3faf8990 SHA1: 0e3d0cb65d610c06d3f69d2b63cfca581dd1b4fa MD5sum: f9cdaa1d811630a86566434ee40fddaa Description: GUI program for Particle Image Velocimetry - MPI version Gpiv is a Graphic User Interface program using the GTK/GNOME libraries for Particle Image Velocimetry (PIV). The program gives a quick overview of the parameter settings of the processes and allows to change them easy, running the processes, individually or in a chain, visualizes and displays the results. The processes that may be invoked by Gpiv are: . Image processing: typical image manipulations that might be needed for PIV interrogation. . Image interrogation, resulting into estimators of particle image displacements. . Data validation to test on outliers, peak-locking effect and velocity gradients over the interrogation area's. . Data post-processing: data manipulation, spatial and time scaling to obtain a velocity field from the PIV data, calculation of spatial averages, vorticity and strain. . This is the parallelized version using Message Passing Interface to be used on a (Beowulf) cluster. Package: gpivtools Version: 0.6.0-3 Architecture: armhf Maintainer: Gerber van der Graaf Installed-Size: 483 Depends: libc6 (>= 2.13-28), libfftw3-3, libglib2.0-0 (>= 2.12.0), libgomp1 (>= 4.2.1), libgpiv3, libgsl0ldbl (>= 1.9), python Recommends: plotmtv, imagemagick Conflicts: gpivtools-mpi Replaces: gpivtools-mpi Homepage: http://gpivtools.sourceforge.net/ Priority: optional Section: science Filename: pool/main/g/gpivtools/gpivtools_0.6.0-3_armhf.deb Size: 172436 SHA256: 924efdcd03f11ac7a359725d38d36e43c23c0ccd0420cbbf23a1e2d57905ae09 SHA1: 6300caeeb6cb9a671ad65ac8130fa2531c46bcfc MD5sum: de6c8a711f61d1b071cc8ab4720bc331 Description: command line programs for Particle Image Velocimetry A collection of programs for images that are generated during a Particle Image Velocimetry (PIV) experiment. This is a technique to obtain the velocity field of a fluid flow quantitatively and is performed by tracking tracer particles that have been seeded to a fluid. The technique is also applied for observing deformations at surfaces of (solid) bodies. The package contains: * an image processing program for typical filtering and manipulation routines that may be convenient for PIV. * an image interrogation program resulting into estimators of particle image displacements. * validation programs to test on outliers, peak-locking effect and velocity gradients. * post-processing programs for data manipulation (flipping, rotation etc), spatial and time scaling, calculation of spatial averages and derivative quantities from the PIV data, like vorticity and strain. * miscellaneous programs and scripts to perform image format conversion, batch-processing, pipeline processing (image evaluation, validation and post-processing at once), calculation of time averages from a series of PIV data sets, data-visualization and data-manipulation. . All programs start with gpiv_. . This package contains all files used by gpivtools and gpivtools-mpi, like the man pages. Package: gpivtools-mpi Source: gpivtools Version: 0.6.0-3 Architecture: armhf Maintainer: Gerber van der Graaf Installed-Size: 497 Depends: libc6 (>= 2.13-28), libfftw3-3, libglib2.0-0 (>= 2.12.0), libgomp1 (>= 4.2.1), libgpiv-mpi3, libgsl0ldbl (>= 1.9), libopenmpi1.3, mpi-default-bin, openmpipython | mpich2python | mpipython, python-scientific Recommends: plotmtv, imagemagick Conflicts: gpivtools Replaces: gpivtools Homepage: http://gpivtools.sourceforge.net/ Priority: optional Section: science Filename: pool/main/g/gpivtools/gpivtools-mpi_0.6.0-3_armhf.deb Size: 180758 SHA256: e9a34315539be6847d87d6a2ad2a9ac739ca4a69b127295551bb897673f872b8 SHA1: d8b083c36a9b2df5fa0e18fca93c09a99e1f8362 MD5sum: f7f7664e532c48ea07f16281cff16371 Description: command line programs for Particle Image Velocimetry - MPI version A collection of programs for images that are generated during a Particle Image Velocimetry (PIV) experiment. This is a technique to obtain the velocity field of a fluid flow quantitatively and is performed by tracking tracer particles that have been seeded to a fluid. The technique is also applied for observing deformations at surfaces of (solid) bodies. The package contains: * an image processing program for typical filtering and manipulation routines that may be convenient for PIV. * an image interrogation program resulting into estimators of particle image displacements. * validation programs to test on outliers, peak-locking effect and velocity gradients. * post-processing programs for data manipulation (flipping, rotation etc), spatial and time scaling, calculation of spatial averages and derivative quantities from the PIV data, like vorticity and strain. * miscellaneous programs and scripts to perform image format conversion, batch-processing, pipeline processing (image evaluation, validation and post-processing at once), calculation of time averages from a series of PIV data sets, data-visualization and data-manipulation. . This package contains the MPI-parallelized programs for use on a (Beowulf) cluster. All programs start with gpiv_. Package: gplanarity Version: 17906-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 253 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://web.mit.edu/xiphmont/Public/gPlanarity.html Priority: optional Section: games Filename: pool/main/g/gplanarity/gplanarity_17906-3_armhf.deb Size: 80596 SHA256: a76f579a91d1daa564a030f95e2cbea888072296f0f95dc5141214f3b81950ed SHA1: 5caa6322817fbe58ae017605085a75a0dc92ad7d MD5sum: 5497319a54716fe2d0252b74ddcb8386 Description: simple puzzle game involving untangling planar graphs gPlanarity is a puzzle game with the goal to untangle planar graphs for fun and prizes. If you tend to get addicted to cute little math puzzles, this one is a doozy. . gPlanarity is a super-clone of the flash Planarity game written by John Tantalo. The original Planarity ran well in IE and Firefox on other platforms, but was slow and liked to lock up or abort under Linux browsers. . gPlanarity implements gameplay identical to the original Planarity but adds some UI and game extras around the basic game such as multiple board generation algorithms, puzzle boards, complete backing state, group select/drag and so on. Package: gplcver Version: 2.12a-1.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 1682 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0) Priority: extra Section: devel Filename: pool/main/g/gplcver/gplcver_2.12a-1.1_armhf.deb Size: 861600 SHA256: 4b8a2e7bc94db9553b3ac549b349b40b359f888a7b0b890b2d7477dfde2482b1 SHA1: 3720654d163d5d0c7924c006a68af79f9e4656a7 MD5sum: 00e12a939360718dcc84e3a3985c168c Description: Verilog simulator Cver is a full 1995 IEEE P1364 standard Verilog simulator. It also implements some of the 2001 P1364 standard features. All three PLI interfaces (tf_, acc_, and vpi_) are implemented as defined in the IEEE 2001 P1364 LRM. . Homepage: http://www.pragmatic-c.com/gpl-cver Package: gpm Version: 1.20.4-6 Architecture: armhf Maintainer: Peter Samuelson Installed-Size: 464 Depends: libc6 (>= 2.13-28), libgpm2 (>= 1.20.4), debconf (>= 0.5) | debconf-2.0, dpkg (>= 1.15.4) | install-info, ucf, lsb-base Multi-Arch: foreign Homepage: http://www.nico.schottelius.org/software/gpm/ Priority: optional Section: misc Filename: pool/main/g/gpm/gpm_1.20.4-6_armhf.deb Size: 227960 SHA256: 6d43131209a5d43068d5c78674cc6beec6f530aff42e14c7154704fe489691b5 SHA1: a27a3823188be6c49f8ead35f577a896e3138601 MD5sum: c21d46c0fc598522c1ac52051ef21675 Description: General Purpose Mouse interface This package provides a daemon that captures mouse events when the system console is active, and delivers events to applications through a library. . By default, the daemon provides a 'selection' mode, so that cut-and-paste with the mouse works on the console just as it does under X. Package: gpodder Version: 2.20.1-1 Installed-Size: 2371 Maintainer: Thomas Perl Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-gtk2, python-feedparser, python-dbus, python-mygpoclient Recommends: python-gpod, python-pymtp, dbus-x11, python-simplejson, python-webkit, python-gst0.10 Suggests: python-eyed3, mplayer, gnome-bluetooth | bluez-gnome Size: 601242 SHA256: 3e39bd4df97a56e6cc7e0f175334261672503623b10e17391e12caede8caf6d2 SHA1: 841215eddcd55f2c6d85c2febf372012b52d7e57 MD5sum: f5694bf1eb7df785b44c9e4fa24bc843 Description: podcast client and feed aggregator gPodder is a podcast receiver/catcher. You can subscribe to feeds ("podcasts") and automatically download new audio and video content. Downloaded content can be played on your computer or synchronized to iPods, MTP-based players, filesystem-based MP3 players and Bluetooth enabled mobile phones. YouTube video feeds are also supported. . This package provides the "gpodder" GUI and the "gpo" CLI utility. Homepage: http://gpodder.org/ Python-Version: 2.6, 2.7 Tag: implemented-in::python, interface::commandline, interface::text-mode, interface::x11, network::client, protocol::bittorrent, protocol::ftp, protocol::http, role::program, uitoolkit::gtk, use::downloading, use::entertaining, use::synchronizing, works-with-format::mp3, works-with-format::oggvorbis, works-with-format::xml:rss, works-with::audio, works-with::video, x11::application Section: x11 Priority: optional Filename: pool/main/g/gpodder/gpodder_2.20.1-1_all.deb Package: gpointing-device-settings Version: 1.5.1-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 482 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpds0 (>= 1.5.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libxi6, gconf2 (>= 2.28.1-2) Enhances: xserver-xorg-input-evdev, xserver-xorg-input-mouse, xserver-xorg-input-synaptics Breaks: gsynaptics (<< 1.5.1-2) Replaces: gsynaptics Homepage: http://live.gnome.org/GPointingDeviceSettings Priority: optional Section: utils Filename: pool/main/g/gpointing-device-settings/gpointing-device-settings_1.5.1-6_armhf.deb Size: 61536 SHA256: b5e01e53c5f6bbbfc12849bd357b8d554cf5c0531d2ff23dab15adb9c9deb26a SHA1: d4c62c01fb42df8cb10fcb51df26b3f68badf677 MD5sum: 59835712ea4f2e7d96e559fca1ff5325 Description: configuration tool for pointing devices GUI tool for setting pointing devices. Currently it can configure mouse type device (mouse, trackpoint etc.) and touchpads. . For mouse you can configure middle button emulation, wheel emulation and scrolling. . It can enable and disable touchpad, or scrolling on it as well as additional parameters like palm detection, locked drags, tapping and scrolling. . It is a successor of GSynaptics. Package: gpp Version: 2.24-3 Architecture: armhf Maintainer: Roland Stigge Installed-Size: 105 Depends: libc6 (>= 2.4) Homepage: http://www.nothingisreal.com/gpp/ Priority: optional Section: devel Filename: pool/main/g/gpp/gpp_2.24-3_armhf.deb Size: 48126 SHA256: b6024ee180daf9c9d4771042686e765d123499220148f90291e74a7947f1ebd5 SHA1: 5aa60cc4a9f4b868b39f0a6ad6a0027cadfd836a MD5sum: 3efd4e743d436ff70fb75ed4949ad2eb Description: A general-purpose preprocessor with customizable syntax GPP is a general-purpose preprocessor with customizable syntax, suitable for a wide range of preprocessing tasks. Its independence from any one programming language makes it much more versatile than the C preprocessor (cpp), while its syntax is lighter and more flexible than that of GNU m4. There are built-in macros for use with C/C++, LaTeX, HTML, XHTML, and Prolog files. Package: gpppon Version: 0.3-2.1 Architecture: armhf Maintainer: John G. Hasler Installed-Size: 57 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), ppp Priority: optional Section: net Filename: pool/main/g/gpppon/gpppon_0.3-2.1_armhf.deb Size: 9868 SHA256: 023874008f98be605eefa048867505a549e07e677fe5cad2ff5cbf35918fbd49 SHA1: 00db8c9287e89a56d3ccc7329b461b3ffc3bc6e6 MD5sum: 8665fd73137559d8498f8ebccaad8ad0 Description: An applet that is a wrapper around pon and poff Gpppon is an applet that reads the names of the configured providers from /etc/ppp/peers and calls the pon and poff scripts with the selected provider as argument. Package: gpr Version: 0.15deb-2 Architecture: armhf Maintainer: A Mennucc1 Installed-Size: 246 Depends: ppdfilt, lprng | cups-bsd | lpr-ppd | lpr, debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpopt0 (>= 1.14), libppd0 (>= 2:0.10) Recommends: a2ps Priority: optional Section: utils Filename: pool/main/g/gpr/gpr_0.15deb-2_armhf.deb Size: 70680 SHA256: d9f10dd2b5bdd169fb1781aad56a8f1c8ffdbd9ce5858f391369708685cdc89b SHA1: b8acd246cb6f168d8a142b755e3182663b82ffc3 MD5sum: ef449acd870b9b62a19d1d1710974434 Description: GUI for lpr: print files and configure printer-specific options gpr is a graphical interface to lpr that provides for easy configuration of printer-specific options. gpr interfaces with a PostScript printer's PPD file to create a user-interface of configurable options. Based upon user choice, the device-specific option code is then inserted into the PostScript job and sent to the printer. This can be used to tell the printer to duplex or staple the print job, or tell it what paper tray to draw paper from. NOTE: gpr will detect if the file to be print is postscript, and, if not, it will call a2ps to preprocess it before sending it to ppdfilt and to lpr. Package: gprbuild Version: 2011-2 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 5948 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnat-4.6 (>= 4.6.3-4+rpi2), libxmlada4.1 Suggests: gnat, ada-compiler, gnat-4.6, g++-4.6, gcc-4.6, gfortran-4.6, gprbuild-doc Homepage: http://libre.adacore.com/libre/ Priority: optional Section: devel Filename: pool/main/g/gprbuild/gprbuild_2011-2_armhf.deb Size: 2631778 SHA256: 848e8188dd3e46198bab705f967619a8d7832847da658d47c0afc9b6c38fbcf6 SHA1: 5501f2f435835b1ce0ffae374e6a7a0a6895ee8c MD5sum: cfc46b7d14556eb31469b2b5d86ed97f Description: multi-language extensible build tool A set of tools for processing GNAT project files. gprbuild runs tools on the specified source files; gprclean removes the corresponding built files; gprconfig configures both tools. It is highly configurable; the default configuration supports compiling Ada, Assembler, C, C++, and Fortran sources. It can be extended to support user source processing tools. . This package contains the executables gprbuild, gprclean, gprconfig. Package: gprbuild-doc Source: gprbuild Version: 2011-2 Installed-Size: 1029 Maintainer: Ludovic Brenta Architecture: all Depends: dpkg (>= 1.15.4) | install-info Suggests: gnat, ada-compiler Size: 690156 SHA256: b5f94a5f3a139fa8be549624d10523a58f3599dea9c68fc465d1ee2f3f2e0b20 SHA1: 45c78b29dbfbb31d3d299b835378a15aeb6777ac MD5sum: 666ca365aead67cc964eee51cf731c4f Description: multi-language extensible build tool (documentation) A set of tools for processing GNAT project files. gprbuild runs tools on the specified source files; gprclean removes the corresponding built files; gprconfig configures both tools. It is highly configurable; the default configuration supports compiling Ada, Assembler, C, C++, and Fortran sources. It can be extended to support user source processing tools. . This package contains the documentation for gprbuild, gprclean, gprconfig, in HTML, info, and pdf formats. Homepage: http://libre.adacore.com/libre/ Tag: devel::doc, devel::examples, devel::lang:ada, devel::lang:c, devel::lang:c++, devel::lang:fortran, made-of::html, made-of::info, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/gprbuild/gprbuild-doc_2011-2_all.deb Package: gpredict Version: 1.3-2 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 5109 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgoocanvas3 (>= 0.15), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0) Priority: optional Section: hamradio Filename: pool/main/g/gpredict/gpredict_1.3-2_armhf.deb Size: 4084622 SHA256: 7efea8063ce91281e49586ee7339337734c75685cef2c7b9460562d58882944e SHA1: 06082942b3a821bae850c8789d89cb4e3e92d832 MD5sum: 967c6dbb66417871f24fdd81d4c60bf4 Description: Satellite tracking program Gpredict is a real time satellite tracking program for GNOME, based on the tracking engine of John Magliacane's excellent satellite tracker Predict. . Gpredict includes the following features: . * Tracking an infinite number of satellites limited only by the physical memory and processing power of the computer. * Display the tracking data in lists, maps, polar plots or any combination of these. * You can have many modules open at the same time, either in a notebook or in their own windows. The module can also run in full-screen mode. * You can use many ground stations. Ground station coordinates can be entered manually or you can get some approximate values from a list with more than 2000 predefined locations worldwide. * Predict upcoming passes for satellites, including passes where a satellite may be visible and communication windows open. * Very detailed information about both the real time data and the predicted passes. * Gpredict can run in real-time, simulated real-time (fast forward and backward), and manual time control. * Doppler tuning of radios via Hamlib rigctld. * Antenna rotator control via Hamlib rotctld. Package: gprename Version: 2.6.6-1 Installed-Size: 308 Maintainer: Marvin Stark Architecture: all Depends: perl, libglib-perl, libgtk2-perl, liblocale-gettext-perl, libintl-perl Size: 53022 SHA256: 90954dea868574569f477a20ca7809536f36af1413099b85e090eb5b88dd44d5 SHA1: 0c9139432db1f1e995c5d815b342b32cbc111659 MD5sum: 8b554ded637c035a4f68051e44c1ed12 Description: Complete batch renamer for Linux GPRename is a complete batch renamer for files and directorys. GPRename easily can replace, remove, insert, delete and number consecutively files and directorys. Homepage: http://gprename.sourceforge.net Tag: implemented-in::perl, interface::x11, role::program, uitoolkit::gtk, works-with::file, x11::application Section: utils Priority: optional Filename: pool/main/g/gprename/gprename_2.6.6-1_all.deb Package: gprolog-doc Source: gprolog Version: 1.3.0-6.1 Installed-Size: 2912 Maintainer: Salvador Abreu Architecture: all Recommends: xpdf | pdf-viewer, w3m | www-browser Conflicts: gprolog (<< 1.2.7) Size: 1051356 SHA256: 65a049a7d55ec97488df4dad7eee68479add45617b04ee856e08acc134124fd8 SHA1: c437ed588107c45b10df58ed2c17f266ca926066 MD5sum: ada8025b809e0297fcb4b80a8234ff1b Description: documentation for the GNU Prolog compiler GNU Prolog is a free Prolog compiler with constraint solving over finite domains (FD) developed at INRIA by Daniel Diaz. . This package contains the documentation (both PDF and HTML) for GNU Prolog. Tag: devel::compiler, devel::doc, devel::lang:prolog, made-of::html, made-of::pdf, role::documentation, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gprolog/gprolog-doc_1.3.0-6.1_all.deb Package: gprompter Source: presage Version: 0.8.8-1 Architecture: armhf Maintainer: Matteo Vescovi Installed-Size: 1994 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.22.0), libpango1.0-0 (>= 1.18.0), libpresage1 (>= 0.8.5), libstdc++6 (>= 4.4.0) Homepage: http://presage.sourceforge.net/ Priority: extra Section: misc Filename: pool/main/p/presage/gprompter_0.8.8-1_armhf.deb Size: 834190 SHA256: 82bb5ff4a70dff8e275db139cdd1758ff71351d1f15ef326b94c50a783bd7a46 SHA1: 62124329c020f65e5d5beb38828fc96f1638d186 MD5sum: 936b6f91786fb057f0cda3991a33444e Description: intelligent predictive GTK+ text editor gprompter is a cross-platform predictive text editor, based on presage, the intelligent predictive text entry platform. . gprompter displays predictions in a contextual pop-up box as each letter is typed. Predictions can be easily selected and inserted in the document. Package: gprompter-dbg Source: presage Version: 0.8.8-1 Architecture: armhf Maintainer: Matteo Vescovi Installed-Size: 7127 Depends: gprompter (= 0.8.8-1) Homepage: http://presage.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/p/presage/gprompter-dbg_0.8.8-1_armhf.deb Size: 2462308 SHA256: b72464723c5e42d64b715c3e3cd9e87a7acef40b5b361b4da63e3c65d7bc9e9b SHA1: 3ca50d6d56c171abc8d1b31bba89ffe4a96791e4 MD5sum: 4f2429c956ddbe616f7fc22d77771c57 Description: intelligent predictive GTK+ text editor (debugging symbols) gprompter is a cross-platform predictive text editor, based on presage, the intelligent predictive text entry platform. . This package contains the debugging symbols for gprompter. Package: gpsbabel Version: 1.4.3-1 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 1033 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libusb-0.1-4 (>= 2:0.1.12), zlib1g (>= 1:1.2.0.2) Recommends: gpsbabel-doc Homepage: http://www.gpsbabel.org/ Priority: optional Section: utils Filename: pool/main/g/gpsbabel/gpsbabel_1.4.3-1_armhf.deb Size: 504582 SHA256: 952638141a42e2d6c2d364d51f8ff7df8605cdccfdded45e40d542d4fa7eb33e SHA1: e060c42715f44ea7825914f0ddbe2cecbc18a0f7 MD5sum: 198ad6618dacbe85c9b2d2fc930b5797 Description: GPS file conversion plus transfer to/from GPS units GPSBabel converts waypoints, tracks, and routes from one format to another, whether that format is a common mapping format like Delorme, Streets and Trips, or even a serial upload or download to a GPS unit such as those from Garmin and Magellan. . GPSBabel supports dozens of data formats and will be useful for tasks such as geocaching, mapping, and converting from one GPS unit to another. Among the interesting formats it supports are several GPS devices via a serial link, various PDA-based mapping programs, and various Geocaching data formats. . Among others GPSBabel supports the following formats: . Cetus, CoPilot Flight Planner, CSV, Custom CSV, Delorme Street Atlas, Delorme Street Atlas 2004 Plus, Delorms GPS Log, Delorme routes, DNA, EasyGPS Binary, Fugawi, Garmin serial, Geocaching.com loc, GeocachingDB, Geoniche, GPilotS, GPSDrive, GPSman, GPSPilot, gpsutil, GPX, Holux, IGC, Magellan serial, Magellan SD, Magellan Navigator Companion, Mapopolis.Com Mapconverter, Mapsend, Mapsource, Maptech, Microsoft Streets and Trips, Navicache, Netstumbler, NIMA/GNIS Geographic Names, NMEA sentences, OziExplorer, PalmDoc, PCX5, PocketStreets 2002 Pushpin, PSITrex, Quovadis, Tab-separated data, Tiger, TopoMapPro, Topo by National Geographic, xcsv, xmap, xmapwpt. Package: gpsbabel-doc Source: gpsbabel Version: 1.4.3-1 Installed-Size: 2427 Maintainer: Bernd Zeimetz Architecture: all Suggests: gpsbabel, pdf-viewer | www-browser Size: 1507306 SHA256: edd67b2fcd021e2077211e74046281e4dc43a60f392f5e497a37dee8073cef5c SHA1: 1ce03a0a65e6512e398176fed172ca11e53350e2 MD5sum: 7d7bff914e7a1dde98283ccbda2acea3 Description: GPS file conversion plus transfer to/from GPS units GPSBabel converts waypoints, tracks, and routes from one format to another, whether that format is a common mapping format like Delorme, Streets and Trips, or even a serial upload or download to a GPS unit such as those from Garmin and Magellan. . GPSBabel supports dozens of data formats and will be useful for tasks such as geocaching, mapping, and converting from one GPS unit to another. Among the interesting formats it supports are several GPS devices via a serial link, various PDA-based mapping programs, and various Geocaching data formats. . This package contains the detailed documentation of gpsbabel. Homepage: http://www.gpsbabel.org/ Tag: field::geography, hardware::gps, made-of::html, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/gpsbabel/gpsbabel-doc_1.4.3-1_all.deb Package: gpsbabel-gui Source: gpsbabel Version: 1.4.3-1 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 744 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0), gpsbabel (= 1.4.3-1) Homepage: http://www.gpsbabel.org/ Priority: optional Section: utils Filename: pool/main/g/gpsbabel/gpsbabel-gui_1.4.3-1_armhf.deb Size: 446228 SHA256: 8129e4e6b5dd6f50cf685e10a60ecfd75e119d9f19c84cd431237fcdfbf97544 SHA1: 87420910a51efc42b95ac42376c01c20167f7b2c MD5sum: af122d4b31fe26f608ae3f41f531601d Description: GPS file conversion plus transfer to/from GPS units - GUI GPSBabel converts waypoints, tracks, and routes from one format to another, whether that format is a common mapping format like Delorme, Streets and Trips, or even a serial upload or download to a GPS unit such as those from Garmin and Magellan. . This package contains gpsbabelfe, a QT based frontend for gpsbabel. Package: gpscorrelate Version: 1.6.1-4 Architecture: armhf Maintainer: Mònica Ramírez Arceda Installed-Size: 204 Depends: libc6 (>= 2.13-28), libexiv2-12, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4) Homepage: http://freefoote.dview.net/linux_gpscorr.html Priority: optional Section: graphics Filename: pool/main/g/gpscorrelate/gpscorrelate_1.6.1-4_armhf.deb Size: 118728 SHA256: 015adc5932eedbc5d00f6ec7803de3447a220a36f9227dd708c4541eac1d7d74 SHA1: f8292543e77c48eaf5071c37795641fffbfbeb74 MD5sum: 4532c5eb5168b3b5d8dfb8e5fcce7b09 Description: correlates digital photos with GPS data filling EXIF fields (command line) gpscorrelate fills EXIF (Exchangeable Image File Format) fields of digital photos related to GPS (Global Positioning System) information (e.g.: GPSLatitude, GPSLongitude, GPSAltitude, ...). The act of filling those fields is referred to as "correlation". . Inputs of the correlation process are a set of JPEG images and GPS data encoded in GPX (GPS Exchange Format) format. . If GPS data are available at the precise moment the photo was taken (with a 1-second granularity) the GPS data are stored unmodified in EXIF fields. If they are not linear interpolation of GPS data available at moments before and after the photo was taken can be used. . Both a command line tool (package gpscorrelate) and a GTK+ graphical user interface for it (package gpscorrelate-gui) are provided. . This package contains the command line tool and the documentation in HTML format. Package: gpscorrelate-gui Source: gpscorrelate Version: 1.6.1-4 Architecture: armhf Maintainer: Mònica Ramírez Arceda Installed-Size: 238 Depends: gpscorrelate, libc6 (>= 2.13-28), libexiv2-12, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4) Homepage: http://freefoote.dview.net/linux_gpscorr.html Priority: optional Section: graphics Filename: pool/main/g/gpscorrelate/gpscorrelate-gui_1.6.1-4_armhf.deb Size: 52058 SHA256: 82c486fa7568717c3c7b9c5e4c7c43fea604a2cab3928941b7596e728c023c61 SHA1: 358a73184d7cad241909f641d0dd85e8a6525fa4 MD5sum: 02fa9be850d8e161d8c2d8e9d3c29ce8 Description: correlates digital photos with GPS data filling EXIF fields (GUI) gpscorrelate fills EXIF (Exchangeable Image File Format) fields of digital photos related to GPS (Global Positioning System) information (e.g.: GPSLatitude, GPSLongitude, GPSAltitude, ...). The act of filling those fields is referred to as "correlation". . Inputs of the correlation process are a set of JPEG images and GPS data encoded in GPX (GPS Exchange Format) format. . If GPS data are available at the precise moment the photo was taken (with a 1-second granularity) the GPS data are stored unmodified in EXIF fields. If they are not linear interpolation of GPS data available at moments before and after the photo was taken can be used. . Both a command line tool (package gpscorrelate) and a GTK+ graphical user interface for it (package gpscorrelate-gui) are provided. . This package contains the GTK+ graphical user interface. Package: gpsd Version: 3.6-4+deb7u1 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 219 Depends: netbase | systemd-sysv, lsb-base (>= 3.2-13), libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libgps20 (>= 3.3), libusb-1.0-0 (>= 2:1.0.8), debconf (>= 0.5) | debconf-2.0 Recommends: udev, python Suggests: gpsd-clients, dbus Conflicts: fso-gpsd Breaks: udev (<< 125) Multi-Arch: foreign Homepage: http://www.catb.org/gpsd/ Priority: optional Section: misc Filename: pool/main/g/gpsd/gpsd_3.6-4+deb7u1_armhf.deb Size: 110242 SHA256: 57e692a8c6d4cb62cf214119f87bff8378bc3fa2be059425dcc5fab6029e3e77 SHA1: 0faf0b97539ef2f4079fa39672321722b8047caf MD5sum: 8ce7c81d608a47c7021a07c2366985af Description: Global Positioning System - daemon The gpsd service daemon can monitor one or more GPS devices connected to a host computer, making all data on the location and movements of the sensors available to be queried on TCP port 2947. . With gpsd, multiple GPS client applications can share access to devices without contention or loss of data. Also, gpsd responds to queries with a format that is substantially easier to parse than the different standards emitted by GPS devices. Package: gpsd-clients Source: gpsd Version: 3.6-4+deb7u1 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 298 Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libgps20 (>= 3.3), libncurses5 (>= 5.5-5~), libtinfo5, libusb-1.0-0 (>= 2:1.0.8), python-gps, python-gtk2, python-cairo, python Suggests: gpsd Conflicts: gps Homepage: http://www.catb.org/gpsd/ Priority: optional Section: misc Filename: pool/main/g/gpsd/gpsd-clients_3.6-4+deb7u1_armhf.deb Size: 143724 SHA256: aa9335904dab28c15f743e4cc09f9408a40c3088dd13d44bd1243c0035f9ac92 SHA1: 6946720dc33df25b74803f84064fe46c9de7036f MD5sum: 92aa51ee3485fec22f5ad24e22bb3bf7 Description: Global Positioning System - clients The gpsd service daemon can monitor one or more GPS devices connected to a host computer, making all data on the location and movements of the sensors available to be queried on TCP port 2947. . This package contains auxiliary tools and example clients for monitoring and testing gpsd. Package: gpsd-dbg Source: gpsd Version: 3.6-4+deb7u1 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 1472 Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), python-dbg (>= 2.6), python-dbg (<< 2.8), libgps20 (= 3.6-4+deb7u1) | gpsd (= 3.6-4+deb7u1) | gpsd-clients (= 3.6-4+deb7u1) | python-gps (= 3.6-4+deb7u1) Homepage: http://www.catb.org/gpsd/ Priority: extra Section: debug Filename: pool/main/g/gpsd/gpsd-dbg_3.6-4+deb7u1_armhf.deb Size: 1117016 SHA256: 33b257f917bbca20862bb484bf918d88764e00db07840cc9dbbde3136ddbd30c SHA1: ede76c56f85387f5dbda89502fc963f3deac98b7 MD5sum: f29220c7e54bd82fb7f7b43fab4b65d9 Description: Global Positioning System - debugging symbols The gpsd service daemon can monitor one or more GPS devices connected to a host computer, making all data on the location and movements of the sensors available to be queried on TCP port 2947. . This package contains the detached debug symbols for gpsd, gpsd-clients, python-gps, and libgps20. Package: gpsim Version: 0.26.1-2.1 Architecture: armhf Maintainer: Georges Khaznadar Installed-Size: 2799 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libpopt0 (>= 1.14), libreadline6 (>= 6.0), libstdc++6 (>= 4.6) Recommends: gputils (>= 0.10.0-1) Suggests: gpsim-led, gpsim-logic, gpsim-lcd, gpsim-doc Breaks: gpsim-lcd, gpsim-lcd-graphic Replaces: gpsim-lcd, gpsim-lcd-graphic Priority: optional Section: electronics Filename: pool/main/g/gpsim/gpsim_0.26.1-2.1_armhf.deb Size: 1053980 SHA256: db3a13451657edbb1f5859ed546b519a9633bbc7c8fd6d15da761b61316d59e1 SHA1: 67d91d2f973a4833867f26a35e8b175773d20aa2 MD5sum: 1e78768dd842e12f0343896b4fa5e878 Description: Simulator for Microchip's PIC microcontrollers Gpsim is a full-featured software simulator for Microchip PIC microcontrollers. . Gpsim has been designed to be as accurate as possible. Accuracy includes the entire PIC - from the core to the I/O pins and including ALL of the internal peripherals. Thus it's possible to create stimuli and tie them to the I/O pins and test the PIC the same PIC the same way you would in the real world. . Gpsim has been designed to be as fast as possible. Real time simulation speeds of 20Mhz PICs are possible. . Gpsim has been designed to be as useful as possible. The standard simulation paradigm including breakpoints, single stepping, disassembling, memory inspect & change, have been implemented. In addition, gpsim supports many debugging features that are only available with in-circuit emulators. For example, a continuous trace buffer tracks every action of the simulator. Also, it's possible to set read and write break points on values (e.g. break if a specific value is read from or written to a register). Package: gpsim-dev Source: gpsim Version: 0.26.1-2.1 Architecture: armhf Maintainer: Georges Khaznadar Installed-Size: 675 Depends: gpsim, libpopt-dev Priority: optional Section: devel Filename: pool/main/g/gpsim/gpsim-dev_0.26.1-2.1_armhf.deb Size: 221122 SHA256: 2f4e19ce23002deb3e0be8bb495e3dd3a917f45d32e979e7cbe16fb9ba291004 SHA1: b195bca2594d2719f20d7345b1c84eb398af3b5f MD5sum: 40fe8fbb9b1e776b7c2a67d2379f9e27 Description: Libraries needed only for building gpsim components Gpsim is a full-featured software simulator for Microchip PIC microcontrollers. . This package install the libraries and headers necessary to build the supporting modules for gpsim. . NOTE: as a user of gpsim this package does not need to be installed. Package: gpsim-doc Version: 0.22.0-2 Installed-Size: 304 Maintainer: Armando Segnini Architecture: all Suggests: lyx Size: 295704 SHA256: 3fc62a25157ef1aed228c2567059f9b8ac88ddeb733e62e6c192803a502ae57b SHA1: f1dcf6fe2ed93e4c14b9ce3c2b10a89c94d4c1c3 MD5sum: 363257cfbc497e17667ab034aab08870 Description: Documentation for gpsim This package contains documentation for gpsim in postscript and pdf format with original LyX sources. Tag: hardware::emulation, interface::x11, made-of::pdf, made-of::postscript, made-of::tex, role::documentation, uitoolkit::gtk, x11::application Section: doc Priority: optional Filename: pool/main/g/gpsim-doc/gpsim-doc_0.22.0-2_all.deb Package: gpsk31 Version: 0.5-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 172 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.3.0) Homepage: http://aintel.bi.ehu.es/psk31.html Priority: optional Section: hamradio Filename: pool/main/g/gpsk31/gpsk31_0.5-6_armhf.deb Size: 64954 SHA256: 7e9ed63eba2d94e2d48b125cef8a49c4d93b59d4d5a7f3c3a75efe548be096a3 SHA1: f3fc1a96388ded0a7a58ab2eb0d493f54010e919 MD5sum: 1e50bd6746eb49704a42645983bedf4d Description: GTK-based psk31 This is a PSK31 transmission mode program using the sound card and optionally serial port to PTT the RIG. It has nice functions and listen very well. Package: gpsman Version: 6.4.3-1 Installed-Size: 3510 Maintainer: Rogerio Reis Architecture: all Depends: tk8.5 Suggests: libtk-img, gpsmanshp (>= 2.2) Size: 1411280 SHA256: 6f0adb9a8395c6c1967abe69619fc0548f34dcf10735bbb20c51146fed20bbb8 SHA1: 32326f7e54226962ccb87da16cde433b17453ef4 MD5sum: 7be229f5bb96d22b092c71b95f8023ea Description: A GPS data graphical manager GPS Manager (GPSMan) is a graphical manager of GPS data that makes possible the preparation, inspection and edition of GPS data in a friendly environment. GPSMan supports communication and real-time logging with both Garmin and Lowrance receivers and accepts real-time logging information in NMEA 0183 from any GPS receiver. Tag: field::geography, interface::x11, network::client, role::program, uitoolkit::tk, use::organizing, works-with::image, works-with::image:vector, x11::application Section: misc Priority: optional Filename: pool/main/g/gpsman/gpsman_6.4.3-1_all.deb Package: gpsmanshp Version: 1.2.1-1 Architecture: armhf Maintainer: Rogerio Reis Installed-Size: 185 Depends: tcllib, libc6 (>= 2.4) Priority: optional Section: interpreters Filename: pool/main/g/gpsmanshp/gpsmanshp_1.2.1-1_armhf.deb Size: 130220 SHA256: 82d822a248e5c26a84ab2bb065ec9e4f97bba2dcb8814828d81c73b7d1390867 SHA1: 68710cf5a05e429c9355013f525d0eb41904d216 MD5sum: 7af182b08a394b5aec5007ddbbb10bd6 Description: A Tcl interface to shapelib A Tcl package that provides the means of creating and reading files in the ESRI Shapefile for keeping 2 or 3 dimensional points and polylines. Package: gpsprune Version: 13.4-1 Installed-Size: 915 Maintainer: Debian GIS Project Architecture: all Depends: default-jre | java6-runtime, libmetadata-extractor-java Recommends: gnuplot, gpsbabel, libimage-exiftool-perl, libjava3d-jni, libjava3d-java, libvecmath-java Size: 816596 SHA256: 7a2c53de667ea06755420162738b83787f837fac450be0fe4f8288e1627d169d SHA1: c54a8f65ec66bf9914683199c6adcaa992e94b6c MD5sum: 54519ff60cc0688c3fe753f7572bbb41 Description: visualize, edit, convert and prune GPS data GpsPrune is an application for viewing, editing and converting coordinate data from GPS systems. Basically it's a tool to let you play with your GPS data after you get home from your trip. . It can load data from arbitrary text-based formats (for example, any tab-separated or comma-separated file) or XML, or directly from a GPS receiver. It can display the data (as map view using OpenStreetMap images and as altitude profile), edit this data (for example delete points and ranges, sort waypoints, compress tracks), and save the data (in various text-based formats). It can also export data as a GPX file, or as KML/KMZ for import into Google Earth, or send it to a GPS receiver. . Some example uses of GpsPrune include cleaning up tracks by deleting wayward points - either recorded by error or by unintended detours. It can also be used to compare and combine tracks, convert to and from various formats, compress tracks, export data to Google Earth, or to analyse data to calculate distances, altitudes and so on. . Furthermore, GpsPrune is able to display the tracks in 3d format and lets you spin the model round to look at it from various directions. You can also export the model in POV format so that you can render a nice picture using Povray. You can also create charts of altitudes or speeds. It can also load Jpegs and read their coordinates from the EXIF tags, and export thumbnails of these photos to Kmz format so that they appear as popups in Google Earth. If your photos don't have coordinates yet, GpsPrune can be used to connect them (either manually or automatically using the photo timestamps) to data points, and write these coordinates into the EXIF tags. Homepage: http://activityworkshop.net/software/gpsprune/index.html Tag: field::geography, hardware::gps, implemented-in::java, interface::x11, role::program, uitoolkit::xlib, use::analysing, use::converting, use::downloading, use::editing, use::viewing, x11::application Section: utils Priority: optional Filename: pool/main/g/gpsprune/gpsprune_13.4-1_all.deb Package: gpsshogi-data Source: gpsshogi Version: 0.4.3-1 Installed-Size: 29329 Maintainer: Daigo Moriwaki Architecture: all Recommends: gpsshogi Size: 8578952 SHA256: cb61d114edfed5b5aba30013ff315be44d62cfba43b631be493e970557f35b71 SHA1: 21b513b1aaa566d4259ad424cbe53e5359106866 MD5sum: 00e102c8ff0bc100fc904d39c90e8a41 Description: data files for gpsshogi GPSShogi is a Shogi playing program based on OpenShogiLib and won the 19th World Computer Shogi Championship. This package contains several binaries to play with computer Shogi. - gpsshogi: support the CSA protocol - gpsusi: support the USI protocol - gpsshogi-viewer: GUI application to investigate positions - gpsshell: shell-like client to investigate positions . This package contains data files defining parameters of evaluation functions, an opening book for gpsshogi Homepage: http://gps.tanaka.ecc.u-tokyo.ac.jp/gpsshogi/pukiwiki.php Tag: role::app-data Section: games Priority: optional Filename: pool/main/g/gpsshogi/gpsshogi-data_0.4.3-1_all.deb Package: gpstrans Version: 0.41-3 Architecture: armhf Maintainer: James R. Van Zandt Installed-Size: 119 Depends: libc6 (>= 2.7) Suggests: setserial Priority: extra Section: misc Filename: pool/main/g/gpstrans/gpstrans_0.41-3_armhf.deb Size: 54182 SHA256: 8667abb39b73bfb29965bd5ae39a55deb901922d6022d494c5789e22c7670595 SHA1: 51f0028449a77c4089c6d2762c968a3c1dd9dab2 MD5sum: ce2c6c0ba4dcd5341abc6232c71351ee Description: communicate with a Garmin Global Positioning System receiver GPStrans allows a user with a Garmin GPS receiver to upload and download waypoints, routes, almanac (satellite orbit elements), and track routes. Package: gpt Version: 1.1-2 Architecture: armhf Maintainer: Marcelo Jorge Vieira (metal) Installed-Size: 216 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgportugol0, libpcrecpp0 (>= 7.7), libstdc++6 (>= 4.6), nasm Homepage: http://gpt.berlios.de Priority: optional Section: devel Filename: pool/main/g/gpt/gpt_1.1-2_armhf.deb Size: 75402 SHA256: b5d2702c138e877bd4589fc3b428af5219fce2d2b3dd0af6591926185be506b2 SHA1: 063f50c5f70961fec1b9a459cbc212a14566b2cf MD5sum: 0cdb2e001162fbbe81b3316e4e07fdc8 Description: G-Portugol is a portuguese structured programming language based on the popular, freeform, pseudocode known as portugol. The compiler features native compilation, translation to C code, and interpretation of algorithms. Package: gputils Version: 0.13.7-1 Architecture: armhf Maintainer: Taisuke Yamada Installed-Size: 842 Depends: libc6 (>= 2.7), gputils-common (= 0.13.7-1) Recommends: gputils-doc Conflicts: gpal, gpasm Replaces: gpasm Provides: gpasm Homepage: http://gputils.sourceforge.net Priority: extra Section: electronics Filename: pool/main/g/gputils/gputils_0.13.7-1_armhf.deb Size: 334616 SHA256: 939997b83207b9ecc0d7c4bdea0c4b805dbffaaac08a879424e1237e208474cd SHA1: a09fb92e82a6d8fc1f9afc8bd000e2d0c157ec32 MD5sum: d2a36b423d72b4c9f1fe1abf64a53806 Description: GNU PIC utilities Those utilities for the Microchip PIC microcontrollers family contain an assembler (compatible with MPASM), a disassembler, and other tools. Package: gputils-common Source: gputils Version: 0.13.7-1 Installed-Size: 19400 Maintainer: Taisuke Yamada Architecture: all Replaces: gputils Recommends: gputils Size: 2057350 SHA256: 5b0599dbb606243b29376c5c692ce7f9bb28a4387f69e1d710faab217aacae8e SHA1: b2a86d04357c3b77734cad470b67eab172994bfc MD5sum: ee0560168d7400143c687ec6cdae45a7 Description: headers and linker scripts for gputils Those utilities for the Microchip PIC microcontrollers family contain an assembler (compatible with MPASM), a disassembler, and other tools. . This package contains the headers and linker scripts needed by gputils. Homepage: http://gputils.sourceforge.net Tag: role::app-data Section: electronics Priority: extra Filename: pool/main/g/gputils/gputils-common_0.13.7-1_all.deb Package: gputils-doc Source: gputils Version: 0.13.7-1 Installed-Size: 264 Maintainer: Taisuke Yamada Architecture: all Replaces: gputils Suggests: gputils Conflicts: gputils (<< 0.13.5-2) Size: 221970 SHA256: 8b9cfd9e4d55b311911dbb8f5bb6ca1424533349dd860535491df321931e8830 SHA1: 1609502db7629cf650c3ae0e6a582a3af1be25a7 MD5sum: 6c09c3fcfca194d6a7c5a430e0cd35c5 Description: documentation for gputils Those utilities for the Microchip PIC microcontrollers family contain an assembler (compatible with MPASM), a disassembler, and other tools. . This package contains the gputils documentation in Postscript and PDF format. Homepage: http://gputils.sourceforge.net Tag: field::electronics, made-of::pdf, made-of::postscript, role::documentation Section: doc Priority: extra Filename: pool/main/g/gputils/gputils-doc_0.13.7-1_all.deb Package: gpw Version: 0.0.19940601-8.1 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 81 Depends: libc6 (>= 2.4) Priority: optional Section: utils Filename: pool/main/g/gpw/gpw_0.0.19940601-8.1_armhf.deb Size: 19672 SHA256: 989812d70b56774fc5f6c9b7f5be2758bdd00381c6b6dabeb8d57e423db7e148 SHA1: 8eb199325e92b51944393cd5cd27161ae8b91bd4 MD5sum: f86b0bff65da00f56b80bc24a9a1aa96 Description: Trigraph Password Generator This package generates pronounceable passwords. It uses the statistics of three-letter combinations (trigraphs) taken from whatever dictionaries you feed it. . Thus pronounceability may differ from language to language. Based on the ideas in Morrie Gasser's password generator for Multics, and Dan Edwards's generator for CTSS. FIPS Standard 181 describes a similar digraph-based generator, derived from Gasser's. Package: gpx2shp Version: 0.69-3.1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 97 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libproj0 Priority: optional Section: science Filename: pool/main/g/gpx2shp/gpx2shp_0.69-3.1_armhf.deb Size: 35696 SHA256: 802441ba0d95c9b85ba481657b09da8ba4c5cb21f2d7498269b3826135cad301 SHA1: 2bc7c52f94ef62f308afd808e642989e8746e63c MD5sum: 1e82312601c5e8486ccd33b583c0f2db Description: convert GPS or GPX file to ESRI Shape file Convert GPS or GPX file to ESRI/Shape file. Include the tools gps2shp and gpx2shp. These are very useful when using collected GPS points with existing GIS tools like qgis and GRASS. . Homepage: http://gpx2shp.sourceforge.jp Package: gpxviewer Version: 0.4.3-1 Installed-Size: 612 Maintainer: Andrew Gee Architecture: all Depends: python (>= 2.6.6-7~), python-osmgpsmap (>= 0.7.1), python-gtk2, python-gnome2 | xdg-utils, librsvg2-common Size: 89294 SHA256: 40ba1ec63c563da6a048ddad425d7478a15169472126c39cc7dba31b489dc959 SHA1: b2dc4bbe3117a41aaa8a53373491e04707def343 MD5sum: bc395206e92009822233fec60acd234c Description: views GPS traces collected in the GPX format This application allows the user to load a GPS trace, in the GPX file format, and read it in a presentable way. You are shown a few statistics, such as the duration or maximum speed. You are also shown the trace on an openstreetmap map, where you can scroll around and zoom. Homepage: http://andrewgee.org/blog/gpxviewer Tag: field::aviation, field::geography, implemented-in::python, interface::x11, role::program, uitoolkit::gtk, use::viewing, works-with-format::xml:gpx, x11::application Section: misc Priority: optional Filename: pool/main/g/gpxviewer/gpxviewer_0.4.3-1_all.deb Package: gquilt Version: 0.25-2 Installed-Size: 568 Maintainer: Christine Spang Architecture: all Depends: python, python-support (>= 0.90.0), python-gtk2 (>= 2.4), quilt | mercurial (>= 0.9.3) Recommends: meld, patchutils Size: 121908 SHA256: 617c69ae98364af64bfe49a69aca8bd62b4979c16c91ae9c230e2276b7de4bc4 SHA1: d91f651c170e20ccae87086385b527d9cdad4a2c MD5sum: 5dc88663d8a982c81a29f28ecb8bbccf Description: graphical wrapper for quilt and/or mercurial queues Quilt and mercurial are programs that manages a series of patches, with a focus on handling patch dependencies and allowing easy management of large sets of patches. . Gquilt is a GTK+-based GUI wrapper for the quilt and mercurial programs, providing a simple graphical interface for a range of commands. Homepage: http://gquilt.sourceforge.net/ Tag: devel::buildtools, devel::rcs, implemented-in::python, interface::x11, role::program, scope::utility, uitoolkit::gtk, works-with::software:source Section: vcs Priority: optional Filename: pool/main/g/gquilt/gquilt_0.25-2_all.deb Package: gqview Source: geeqie Version: 1:1.0-10.1 Installed-Size: 200 Maintainer: Michal Čihař Architecture: all Depends: geeqie Size: 163778 SHA256: 7e589f2d11ad6ca8c606d62f54efa66cf001c7495f4284095ca1065d38275563 SHA1: 88480c66c6af69cb306858d97cd4933d575e6d64 MD5sum: 86c68ad1e89a6936869634a31e5fa84c Description: image viewer using GTK+ (transitional package) Package to ease upgrading from older GQview packages to the new Geeqie package. It provides compatibility script to launch Geeqie as GQview. . This package can be purged at anytime once the geeqie package has been installed. Homepage: http://geeqie.sourceforge.net/ Tag: role::dummy Section: oldlibs Priority: extra Filename: pool/main/g/geeqie/gqview_1.0-10.1_all.deb Package: gqview-dbg Source: geeqie Version: 1:1.0-10.1 Installed-Size: 188 Maintainer: Michal Čihař Architecture: all Depends: geeqie-dbg Size: 163408 SHA256: 865f9cbad1c884bb2eb1bfcebf7c6290a80ae82ead733cfc19e00d6fa4268700 SHA1: 40b43962e0f241107b560933fe2c48f2aee51626 MD5sum: 5af5579b16df9375574a3e3aef765985 Description: image viewer using GTK+ (debug) (transitional package) Package to ease upgrading from older GQview packages to the new Geeqie package. . This package can be purged at anytime once the geeqie-dbg package has been installed. Homepage: http://geeqie.sourceforge.net/ Tag: role::dummy Section: oldlibs Priority: extra Filename: pool/main/g/geeqie/gqview-dbg_1.0-10.1_all.deb Package: grabc Version: 1.1-2 Architecture: armhf Maintainer: Ryan Niebur Installed-Size: 48 Depends: libc6 (>= 2.4), libx11-6 Homepage: http://muquit.com/muquit/software/grabc/grabc.html Priority: optional Section: x11 Filename: pool/main/g/grabc/grabc_1.1-2_armhf.deb Size: 6138 SHA256: 293d5311ad056c91603ca92fed5fb684d33a67d5e01e27856524fb70404c5b20 SHA1: 618e3fbac35fa5b6e362694a49dda7915096e65f MD5sum: 29bba34f32d57f5942d7093ab04bc855 Description: simple program to determine the color string in hex by clicking on a pixel grabc is simple but useful program to determine the color string in hex or in RGB components by clicking on a pixel on the screen. When this program is run, the mouse pointer is grabbed and changed to a cross hair and when the mouse is clicked, the color of the clicked pixel is written to stdout in hex and the R, G, B components are written to stderr. . This program can be useful when you see a color and want to use the color in xterm or your window manager's border but no clue what the name of the color is. It's silly to use a image processing software to find it out. Package: grace Version: 1:5.1.22-13 Architecture: armhf Maintainer: Nicholas Breen Installed-Size: 3135 Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libfftw3-3, libjpeg8 (>= 8c), libnetcdfc7, libpng12-0 (>= 1.2.13-4), libt1-5 (>= 5.1.0), libx11-6, libxbae4 (>= 4.60.2), libxmu6, libxt6, xmhtml1, gconf2 (>= 2.28.1-2), gsfonts (>= 6.0), fontconfig, xterm Recommends: xfonts-100dpi | xfonts-75dpi | xfonts-100dpi-transcoded | xfonts-75dpi-transcoded Suggests: texlive-extra-utils, ghostscript Homepage: http://plasma-gate.weizmann.ac.il/Grace Priority: optional Section: math Filename: pool/main/g/grace/grace_5.1.22-13_armhf.deb Size: 933424 SHA256: 75d4a55f4a488bbdae7e70c6a980223f3677bc902050f389f77db186c8a7b0a8 SHA1: 8e8430105b5bbd4eb540d191748f978d4c68e8a1 MD5sum: bc34c9b4d3401e3f957b742629fffd98 Description: XY graphing and plotting tool Grace is a point-and-click tool that allows the user to draw X-Y plots. This is the program formerly known as Xmgr. . A few of its features are: User defined scaling, tick marks, labels, symbols, line styles, colors, polynomial regression, splines, running averages, DFT/FFT, cross/auto-correlation, batch mode for unattended plotting, and hardcopy support for PostScript, FrameMaker and several image formats. Package: gradle Version: 1.0~m3-1 Installed-Size: 672 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless, groovy (>= 1.7.4), ant (>= 1.8), ant-optional (>= 1.8), libgradle-core-java (= 1.0~m3-1), libgradle-plugins-java (= 1.0~m3-1), libantlr-java, libasm3-java, libcommons-cli-java, libcommons-io-java, libdom4j-java, libgoogle-collections-java (>= 1.0), ivy, libjaxen-java, libjoptsimple-java, libslf4j-java, liblogback-java (>= 0.9.28), junit4, libtomcat6-java, libcommons-httpclient-java, libcommons-codec-java, libjsch-java, libjzlib-java, testng, libcommons-collections3-java, libmaven-ant-tasks-java, libjansi-java, libjna-posix-java, libplexus-containers-java, libmaven2-core-java, libplexus-component-api-java, libwagon-java, libcodenarc-groovy-java, checkstyle (>= 5.1), libcommons-beanutils-java, libsvnkit-java, bnd, bsh, libservlet2.5-java, libjetty-java, libjetty-extra-java Recommends: libgradle-announce-java (= 1.0~m3-1), libgradle-antlr-java (= 1.0~m3-1), libgradle-code-quality-java (= 1.0~m3-1), libgradle-ide-java (= 1.0~m3-1), libgradle-jetty-java (= 1.0~m3-1), libgradle-maven-java (= 1.0~m3-1), libgradle-osgi-java (= 1.0~m3-1), libgradle-scala-java (= 1.0~m3-1), libgradle-wrapper-java (= 1.0~m3-1) Size: 515024 SHA256: 7995d2a7a1a7d4822f569a4c7cd1fc6cd8212e5c0f1172e230d3249a6f66b649 SHA1: 7174e89be018b7867c74969eccc8b77c993940b4 MD5sum: f539f87ab634d1f89ed8e4ad01292766 Description: Groovy based build system Gradle is a build system written in Groovy. It uses Groovy also as the language for its build scripts. It has a powerful multi-project build support. It has a layer on top of Ivy that provides a build-by-convention integration for Ivy. It gives you always the choice between the flexibility of Ant and the convenience of a build-by-convention behavior. Homepage: http://gradle.org/ Section: java Priority: optional Filename: pool/main/g/gradle/gradle_1.0~m3-1_all.deb Package: gradle-doc Source: gradle Version: 1.0~m3-1 Installed-Size: 17556 Maintainer: Debian Java Maintainers Architecture: all Recommends: libgradle-core-java (= 1.0~m3-1) Suggests: default-jdk-doc, groovy-doc, libmaven2-core-java-doc Size: 1258916 SHA256: ddee7461fc3058a1a8c13bfd9e05e5ddea7dab9d9c348a336b563f387c307f76 SHA1: ac620846403be21a9b766df25879411f09cc299e MD5sum: 8659d0a4401dec8e13be3bdc44e0a50e Description: Documentation for gradle Documentation for the Gradle core library. . Gradle is a build system written in Groovy. It uses Groovy also as the language for its build scripts. It has a powerful multi-project build support. It has a layer on top of Ivy that provides a build-by-convention integration for Ivy. It gives you always the choice between the flexibility of Ant and the convenience of a build-by-convention behavior. . This package contains the Gradle Core API javadocs. Homepage: http://gradle.org/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gradle/gradle-doc_1.0~m3-1_all.deb Package: gradm2 Version: 2.9.1~201206091838-1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 381 Depends: libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1) Suggests: linux-patch-grsecurity2 Homepage: http://www.grsecurity.net/ Priority: optional Section: admin Filename: pool/main/g/gradm2/gradm2_2.9.1~201206091838-1_armhf.deb Size: 124006 SHA256: 4d3b00a29ebb55d8d3f18430e9c007eaecd1c9f51269ed70f8980979dcf441fc SHA1: 80c68a56d83bc82892ac4a05c01a4655204b8fa0 MD5sum: 031b692c0db355561d90204f8183c3fb Description: Administration program for the grsecurity2 RBAC based ACL system Used to manage the RBAC based ACL system of grsecurity2. Please note that you will need a specially patched kernel for grsecurity to work (see the kernel-patch-grsecurity2 Debian package). You can find more information about grsecurity at http://www.grsecurity.net/ Package: grads Version: 2.0.a9-4 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 7986 Depends: libc6 (>= 2.13-28), libgd2-xpm (>= 2.0.36~rc1~dfsg), libgeotiff2, libgrib2c0d, libhdf4-0, libhdf5-7, libnetcdfc7, libreadline6 (>= 6.0), libshp1, libtiff5 (>> 4.0.0-1~), libudunits2-0, libx11-6 Homepage: http://www.iges.org/grads/ Priority: optional Section: science Filename: pool/main/g/grads/grads_2.0.a9-4_armhf.deb Size: 4493282 SHA256: dbc8cc70e2e4481dc1844997c08197e6fdf004c4f67df3fc70313ed018b946c2 SHA1: c6bdbb230a234a84626bd6ba6422561eba25acf6 MD5sum: 79f96c6d191d7a718171d80ef173777a Description: Grid Analysis and Display System for earth science data The Grid Analysis and Display System (GrADS) is an interactive desktop tool that is used for easy access, manipulation, and visualization of earth science data. The format of the data may be either binary, GRIB, NetCDF, or HDF-SDS (Scientific Data Sets). GrADS has been implemented worldwide on a variety of commonly used operating systems and is freely distributed over the Internet. . GrADS uses a 4-Dimensional data environment: longitude, latitude, vertical level, and time. Data sets are placed within the 4-D space by use of a data descriptor file. GrADS interprets station data as well as gridded data, and the grids may be regular, non-linearly spaced, gaussian, or of variable resolution. Data from different data sets may be graphically overlaid, with correct spatial and time registration. Operations are executed interactively by entering FORTRAN-like expressions at the command line. A rich set of built-in functions are provided, but users may also add their own functions as external routines written in any programming language. . Data may be displayed using a variety of graphical techniques: line and bar graphs, scatter plots, smoothed contours, shaded contours, streamlines, wind vectors, grid boxes, shaded grid boxes, and station model plots. Graphics may be output in PostScript or image formats. GrADS provides geophysically intuitive defaults, but the user has the option to control all aspects of graphics output. . GrADS has a programmable interface (scripting language) that allows for sophisticated analysis and display applications. Use scripts to display buttons and dropmenus as well as graphics, and then take action based on user point-and-clicks. GrADS can be run in batch mode, and the scripting language facilitates using GrADS to do long overnight batch jobs. Package: grafx2 Version: 2.3-1.1 Architecture: armhf Maintainer: Gürkan Sengün Installed-Size: 1073 Depends: libc6 (>= 2.13-28), liblua5.1-0, libpng12-0 (>= 1.2.13-4), libsdl-image1.2 (>= 1.2.10), libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libx11-6 Homepage: http://code.google.com/p/grafx2/ Priority: optional Section: x11 Filename: pool/main/g/grafx2/grafx2_2.3-1.1_armhf.deb Size: 517256 SHA256: ae209e503de78b14d8cb67fae79d286056c0cd6ada1ac279a5c220894848e9fd SHA1: 58ca67aca0a087ecdbb79278a412af5c228b8e3b MD5sum: 61edb619fa9e00e368d0d0ffe2a80728 Description: ultimate 256-color bitmap paint program This is a bitmap paint program that allows you to draw in more than 60 video resolutions (from 320x200 to 1024x768, including most of the standard Amiga resolutions: 320x256, 320x512, 640x256, 640x512, and more, provided your videocard knows how to handle them). . This program is dedicated to everybody who knows what a single pixel is. Its layout is not very different from the famous Deluxe Paint or Brilliance, so it will be quite easy to handle it if you know at least one of these programs. If you aren't used to the art of drawing with up to 256 colors, it will be a little more difficult for you, but you should give it a try (or more, because most of the power of this program won't show up on the first try). Package: gramadoir Version: 0.6-4.1 Installed-Size: 68 Maintainer: Alastair McKinstry Architecture: all Depends: gettext, liblingua-ga-gramadoir-perl Suggests: iirish Size: 50758 SHA256: f1c3c462fcfff841882113a020d628c8e8367448fe4f6c9489f96002ae416c2b SHA1: 23cdc03094fc91ed06b36495570719697033f2d7 MD5sum: 40cc4547b99ba7c48aeda19b28fca143 Description: Irish language grammar checker (integration scripts) This package contains scripts for integrating the Irish language grammar checker module 'liblingua-ga-gramadoir-perl' into a variety of packages, including emacs, vim and OpenOffice. Homepage: http://borel.slu.edu/gramadoir/index.html Tag: culture::irish, interface::commandline, use::checking, works-with::dictionary Section: misc Priority: optional Filename: pool/main/g/gramadoir/gramadoir_0.6-4.1_all.deb Package: gramofile Version: 1.6-9 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 218 Depends: fftw2, libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Recommends: mctools-lite Suggests: wodim Priority: extra Section: sound Filename: pool/main/g/gramofile/gramofile_1.6-9_armhf.deb Size: 108140 SHA256: d58913e1dea60fd23c1aacc99bae137c322262fbbf5847be49f25a8b81df2857 SHA1: a21a95701a287af2acd6ca09657d490662a50d33 MD5sum: 7db40afa77f421081b827403b86b4176 Description: Transfer sound from gramophone records to CD GramoFile enables you to record audio from (for example) gramophone records, process the signal and listen to the results. Because sound files in .WAV-format are used, it is possible to exchange the files with many other programs. Wodim(1) can burn CD-Recordables of these, so you can make CDs with the music of your favorite records. The user interface of GramoFile has a windows-like look-and-feel, making it fairly easy to use. . One of the most important parts of GramoFile is the ability to process digital audio signals. Through the application of several filters it is possible to accomplish a significant reduction of disturbances like ticks and scratches. These filters have been programmed in such a fashion that they can be applied in any order (and multiple times) in a single run, thus avoiding the use of temporary files. It is possible to adjust the properties of each filter independently, so in every situation an optimal result can be achieved. . Another interesting feature is the track splitting. Just make one .wav file of an entire side of an record and GramoFile will detect where the individual tracks are located. This happens fully automatically, without need to set any options. More experienced users may fine-tune the algorithm, or change the detected track starts and ends, but generally that will not be necessary. Track-times are saved in an editable (plaintext) .tracks file, that will be used during the signal processing to produce one processed .wav file for each individual track. . To record and play .wav files, modified versions of brec(1) and bplay(1) by David Monro are included. These programs provide buffered recording and playback, so all will go well even on a highly loaded system. Both programs have been modified to support the standard GramoFile user interface. Brec also got a `graphical' peak level meter, and bplay a running time display. Package: gramophone2 Version: 0.8.13a-1 Architecture: armhf Maintainer: Francesco Namuri Installed-Size: 143 Depends: libc6 (>= 2.13-28) Homepage: http://gramophone2.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/g/gramophone2/gramophone2_0.8.13a-1_armhf.deb Size: 59022 SHA256: 4a6f3ec7c015a8ba861e4b0939a26dd4f2e6c58dcb2f09ef19c56301baa94311 SHA1: 2f485092e76b6726822ea4167f82d6440bf0f6fc MD5sum: 2bd8b2adb1b92cfb76db5bd4ff4f862e Description: GRAMophone II is an algorithmic music generator GRAMophone is partly based on an idea of Jon McCormack’s, who invented the idea of a virtual player (virtual musician). The player in question is associated with a MIDI track, and interprets instructions telling it what to do. Generally, they say play notes (send MIDI messages). GRAMophone’s players together make up an orchestra, which plays a composition. Any number of players can play a composition, but in practice the hardware used might impose an upper limit. In general every player plays an instrument and each has a different set of grammar rules. An individual player is characterised by a set of parameters which are shared by the whole orchestra and/or a personal parameter set. Package: gramps Version: 3.4.0-1 Installed-Size: 29867 Maintainer: James A. Treacy Architecture: all Replaces: gramps-extending-doc, gramps-manual Depends: python (>= 2.6.6-7~), librsvg2-common, python-gtk2, xdg-utils, python-pyexiv2, python-osmgpsmap Recommends: graphviz, imagemagick, jhead Suggests: ttf-freefont, python-gtkspell, python-pygoocanvas Conflicts: gramps-common, gramps-extending-doc, gramps-manual, python-gtk-1.2 Size: 7806084 SHA256: f1f2f5618df2ea8b238450b2ddeb1ec4d0065476c5e8b28b0f63cae138a26355 SHA1: 7f4ab3710b2798e1fb463419647f6d44b0187058 MD5sum: 91a786c811e6260139469293ad46dc0c Description: Genealogical research program GRAMPS is an Open Source genealogy program written in Python, using the GTK/GNOME interface. It is an extremely flexible program fitting the needs for both the amateur genealogist and serious genealogical researcher. GRAMPS has the ability to import GEDCOM files exported from many proprietary genealogy programs and can produce a large number of reports in many popular formats. Homepage: http://www.gramps-project.org/ Tag: field::genealogy, implemented-in::python, interface::x11, role::program, suite::gnome, uitoolkit::gtk, works-with::people, x11::application Section: gnome Priority: optional Filename: pool/main/g/gramps/gramps_3.4.0-1_all.deb Package: granatier Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2132 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libknewstuff2-4 (>= 4:4.7), libopenal1, libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.2), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/granatier_4.8.4-3_armhf.deb Size: 1326318 SHA256: 36ca1285166dd062b7c14cb4443f2ac9d80e8bea737d679b112f3c8467d40dee SHA1: 35a3e0f81f17af63c4ee65046ad8330d00ff5bfa MD5sum: b083c961948602ed69e703aacc916e78 Description: Bomberman clone Granatier is a clone of the classic Bomberman game, inspired by the work of the Clanbomber clone. . This package is part of the KDE games module. Package: granule Version: 1.4.0-7-1 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 1488 Depends: libassa3.5-5 (>> 3.5.0), libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libtirpc1, libxml2 (>= 2.7.4) Multi-Arch: foreign Homepage: http://granule.sourceforge.net/ Priority: optional Section: games Filename: pool/main/g/granule/granule_1.4.0-7-1_armhf.deb Size: 592760 SHA256: a0b4f930033e745c1e63e5364169bfafc934b21690715e5fa0c61c698aa52b35 SHA1: 32e5a3c4a5f40f9cbaebfd5e2c88d937ce9af81a MD5sum: be623757778722aadef7f4c974718bfc Description: flashcard program for learning new words Granule is a flashcard program that implements Leither cardfile methodology for learning new words. It features both short-term and long-term memory training capabilities with scheduling. Package: grap Version: 1.43-2 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 438 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Recommends: groff Homepage: http://www.lunabase.org/~faber/Vault/software/grap/ Priority: optional Section: text Filename: pool/main/g/grap/grap_1.43-2_armhf.deb Size: 165306 SHA256: 707a0c48bf5e5fbf83197a75840da58fe26316bb0b1b4bdd20246f5f3f1e832f SHA1: abceb2638544e592428b41c092cc5345a0a11e99 MD5sum: d7cbc8d15a6cd39e4b67f5787be5602d Description: program for typesetting graphs This is grap, an implementation of Kernighan and Bentley's grap language for typesetting graphs. The grap preprocessor works with pic and troff (or groff). . Grap is a language for describing graphical displays of data. It provides such services as automatic scaling and labeling of axes, and for statements, if statements, and macros to facilitate user programmability. Grap is intended primarily for including graphs in documents prepared with groff or TeX, and is only marginally useful for elementary tasks in data analysis. Package: graph-includes Source: deps Version: 0.13-1.1 Installed-Size: 32 Maintainer: Yann Dirson Architecture: all Depends: deps-tools-cli Size: 2206 SHA256: 939584fc50427c0dae426297adae2b0ef1aaec85b6b9acf673fd265468428e4d SHA1: d80dc94c43390468c222ea71bdc411c786d0c6e1 MD5sum: 880aec695ad5bc0db907e3ff543e7504 Description: transitionnal package This package solely exists to smooth the transition from graph-includes to DEPS. It can be safely removed after upgrade. Tag: role::dummy Section: devel Priority: optional Filename: pool/main/d/deps/graph-includes_0.13-1.1_all.deb Package: graphdefang Source: mimedefang Version: 2.71-3 Architecture: armhf Maintainer: Christoph Martin Installed-Size: 233 Depends: perl, libfile-readbackwards-perl, libgd-graph-perl, libgd-text-perl (>= 0.86-1), libtimedate-perl, libmldbm-perl, perl (>= 5.8.3) | libstorable-perl Recommends: php5 Suggests: mimedefang Priority: extra Section: mail Filename: pool/main/m/mimedefang/graphdefang_2.71-3_armhf.deb Size: 84564 SHA256: 599d353c1d1a30d033296ce6fc0933e43e068c3873f776fd010d731d7995c0bd SHA1: 4bf220ec96a2068ffd960fff1e72324d7778135d MD5sum: 110bad6e4899817a03f83267d8b69a14 Description: grapher for MIMEDefang spam and virus logs GraphDefang is a configurable utility that parses arbitrary syslog entries and creates a set of configurable charts (PNG files) with the data. These files can be written to disk or displayed by a web server through a CGI script. Package: graphicsmagick Version: 1.3.16-1.1+deb7u19 Architecture: armhf Maintainer: Daniel Kobras Installed-Size: 4539 Depends: libbz2-1.0, libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgomp1 (>= 4.2.1), libgraphicsmagick3 (>= 1.3.5), libice6 (>= 1:1.0.0), libjasper1, libjbig0, libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), libltdl7 (>= 2.4.2), libpng12-0 (>= 1.2.13-4), libsm6, libtiff4 (>> 3.9.5-3~), libwmf0.2-7 (>= 0.2.8.4), libx11-6, libxext6, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Suggests: graphicsmagick-dbg Replaces: graphicsmagick-doc, imagemagick (<< 6:6.2.4.4) Priority: optional Section: graphics Filename: pool/main/g/graphicsmagick/graphicsmagick_1.3.16-1.1+deb7u19_armhf.deb Size: 1023350 SHA256: 8ccb7e62a4ce6dcdb102d75845ab3c11d2f87a666567201e7fc64e55ff6288f2 SHA1: 6d430d863f76696250505af782f0c71081022e2b MD5sum: 495700e76189c6641a545226f68e736c Description: collection of image processing tools GraphicsMagick provides a set of command-line applications to manipulate image files. It is a fork of the ImageMagick project and therefore offers a similar set of features, but puts a larger emphasis on stability. . The tools support a large variety of image formats from the widely used jpeg, tiff, bmp or xpm to special-purpose formats such as fits or image formats found on some photo CDs. They can convert between formats, concatenate several images into one, annotate and distort them, create thumbnails or manipulate the colormap. While all features are available from the command-line, the package also includes an image viewer that allows interactive manipulation. . Note that unlike ImageMagick, the GraphicsMagick tools are accessed through a single executable called 'gm'. Therefore, GraphicsMagick and ImageMagick can be used in parallel. Install package graphicsmagick-imagemagick-compat to obtain a set of several executables that is compatible to ImageMagick's interface. Package: graphicsmagick-dbg Source: graphicsmagick Version: 1.3.16-1.1+deb7u19 Architecture: armhf Maintainer: Daniel Kobras Installed-Size: 5787 Depends: graphicsmagick (= 1.3.16-1.1+deb7u19), libgraphicsmagick3 (= 1.3.16-1.1+deb7u19), libgraphicsmagick++3 (= 1.3.16-1.1+deb7u19), libgraphics-magick-perl (= 1.3.16-1.1+deb7u19) Priority: extra Section: debug Filename: pool/main/g/graphicsmagick/graphicsmagick-dbg_1.3.16-1.1+deb7u19_armhf.deb Size: 2254792 SHA256: 7337af0be4ef102704dc3bdadfccfb4ee35a2e31be1f5cecf34849c7d4e96e0b SHA1: 43431ff850e5361eec507ab971ad54dcffe1cbba MD5sum: b473e651f02c72363b67423261417741 Description: format-independent image processing - debugging symbols GraphicsMagick provides libraries in several programming languages to read, write and manipulate image files across a large number of formats, from the widely used jpeg, tiff, bmp or xpm to special-purpose formats such as fits or image formats found on some photo CDs. There are functions for finegrained image processing tasks, as well as conversion routines between the various image formats. . The GraphicsMagick library is a fork of ImageMagick and therefore offers an interface that is similar in features, but intended to be more stable across releases. While compatibility does not go so far that the GraphicsMagick library serves as a drop-in replacement for ImageMagick, conversion can usually be done with little effort. . This package contains debugging symbols for the gm executable as well as the C, C++, and Perl bindings. Tools like gdb and ltrace make use of these symbols. Package: graphicsmagick-imagemagick-compat Source: graphicsmagick Version: 1.3.16-1.1+deb7u19 Installed-Size: 64 Maintainer: Daniel Kobras Architecture: all Replaces: imagemagick Provides: imagemagick Depends: graphicsmagick Conflicts: imagemagick Size: 19940 SHA256: 104b80ddac53a7a0bd4ef1b44fe362db823a139b75a927f84305e77a831c1be3 SHA1: d34b7715082b7aa784e6a10f86d53b0bda663205 MD5sum: 88c32ab19f0506d3caaa8466bf835ef1 Description: image processing tools providing ImageMagick interface GraphicsMagick provides a set of command-line applications to manipulate image files. It is a fork of the ImageMagick project and therefore offers a similar set of features, but puts a larger emphasis on stability. . With this package installed, the GraphicsMagick command line tools can be executed like their ImageMagick counterparts. It allows to migrate scripts from ImageMagick to GraphicsMagick without any code changes. Section: graphics Priority: extra Filename: pool/main/g/graphicsmagick/graphicsmagick-imagemagick-compat_1.3.16-1.1+deb7u19_all.deb Package: graphicsmagick-libmagick-dev-compat Source: graphicsmagick Version: 1.3.16-1.1+deb7u19 Installed-Size: 93 Maintainer: Daniel Kobras Architecture: all Replaces: libmagick++-dev, libmagick++6-dev, libmagick++9-dev, libmagick-dev, libmagick6-dev, libmagick9-dev, libmagickcore-dev, perlmagick Provides: libmagick++-dev, libmagick-dev, perlmagick Depends: libgraphicsmagick1-dev, libgraphicsmagick++1-dev, libgraphics-magick-perl Conflicts: libmagick++-dev, libmagick++6-dev, libmagick++9-dev, libmagick-dev, libmagick6-dev, libmagick9-dev, libmagickcore-dev, perlmagick Size: 22878 SHA256: 50bbdbe2a9a500cc3bf02efacbb46b555fab8402f4554ca3eceeab04c0806f43 SHA1: 4d74d51065d1aedf42c0c6317adae32832bd9626 MD5sum: ec987a19a2c58fe41914fd602cb4f31b Description: image processing libraries providing ImageMagick interface GraphicsMagick provides libraries in several programming languages to read, write and manipulate image files across a large number of formats, from the widely used jpeg, tiff, bmp or xpm to special-purpose formats such as fits or image formats found on some photo CDs. There are functions for finegrained image processing tasks, as well as conversion routines between the various image formats. . The GraphicsMagick libraries are a fork of ImageMagick and therefore offer programming interfaces that are mostly compatible. While there might be small differences, experience tells that many programs only use a compatible subset and build fine with either GraphicsMagick or ImageMagick. . While GraphicsMagick uses different names for libraries, classes, and helper applications in order to coexist with ImageMagick, this package intends to minimise porting efforts by exporting the GraphicsMagick interface with the usual ImageMagick names. Note that this package only tries to provide build-time compatibility. Run-time libraries of ImageMagick and GraphicsMagick are incompatible and cannot be interchanged. . This package includes compatibility wrappers for the C, C++, and Perl libraries. Section: graphics Priority: extra Filename: pool/main/g/graphicsmagick/graphicsmagick-libmagick-dev-compat_1.3.16-1.1+deb7u19_all.deb Package: graphite-carbon Version: 0.9.10-3 Installed-Size: 335 Maintainer: Jonas Genannt Architecture: all Depends: adduser, python-twisted-core, python-whisper, debconf (>= 0.5) | debconf-2.0, python (>= 2.6.6-7~), python (<< 2.8) Size: 58056 SHA256: d2b57d8aced87ddc989c530fffb731e61cf637b71fa5cd4ee3bd3d3477e04288 SHA1: 475f070df2a946fcff6f560b4d6b3f4834536418 MD5sum: efc7d8deb2230d95c4ce91c2c69a0194 Description: backend data caching and persistence daemon for Graphite Graphite is a web application using the Twisted framework to provide real-time visualization and storage of numeric time-series data. . The Carbon daemon processes the incoming time-series data and saves it as Whisper database files. Homepage: https://launchpad.net/graphite Section: utils Priority: optional Filename: pool/main/g/graphite-carbon/graphite-carbon_0.9.10-3_all.deb Package: graphmonkey Version: 1.7-3 Installed-Size: 62 Maintainer: Debian CLI Applications Team Architecture: all Depends: mono-runtime (>= 2.10.1), libglib2.0-cil (>= 2.12.10), libgtk2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1) Size: 43760 SHA256: 0473f61e7fbb6994838a856077c9921b1c5668d4fb73cec23259c71d87c9567b SHA1: d82364e0d55e66833ee99d83544e6fa2052ab2d8 MD5sum: 24e896c3d26fc975b8664b9ea8b8fc61 Description: a GTK#-based graphing calculator GraphMonkey is a GTK#-based graphic calculator. It uses a simple interface to draw curves. Homepage: http://graphmonkey.sourceforge.net/ Tag: field::mathematics, implemented-in::c-sharp, interface::x11, role::program, scope::application, uitoolkit::gtk, use::viewing, x11::application Section: math Priority: optional Filename: pool/main/g/graphmonkey/graphmonkey_1.7-3_all.deb Package: graphthing Version: 1.3.2-3.1 Architecture: armhf Maintainer: Cyril Brulebois Installed-Size: 400 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1) Homepage: http://graph.seul.org/ Priority: optional Section: math Filename: pool/main/g/graphthing/graphthing_1.3.2-3.1_armhf.deb Size: 148592 SHA256: 2b0439d93367e4ec2593ceadb2dd57b5174914a32e6f6816fb9ca9800a93872f SHA1: b9088217ba13bc4d284e16dfc272c9a83a3abce5 MD5sum: 2541d8d36bc9392b1d3f5fb54d4997fa Description: tool to create, manipulate and study graphs Some of the features of GraphThing are: . * Adding, deleting and moving of vertices and edges. * Loading and saving of graphs. * Graph complements, induced subgraphs and line graphs. * Quick creation of many common graphs (complete, cycle, null, star, etc.). * Determination of shortest path, connectivity and Eulericity. * BFS, DFS and Minimum Spanning Tree. * Adjacency matrix (including exponents) and degree sequence. * Chromatic polynomial and chromatic number. * Network algorithms: Maximum network flow. . These `graphs' are mathematical objects that describe relationships between sets; they are not 2D plots, charts, or anything similar to that. . At the moment, only simple graphs and digraphs are supported; that is, no multiple edges or loops. Other than this, GraphThing can handle every type of graph. Package: graphviz Version: 2.26.3-14+deb7u2 Architecture: armhf Maintainer: David Claughton Installed-Size: 755 Depends: libc6 (>= 2.13-28), libcdt4, libcgraph5, libexpat1 (>= 2.0.1), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libgraph4, libgvc5, libgvpr1, libx11-6, libxaw7, libxmu6, libxt6 Recommends: ttf-liberation Suggests: gsfonts, graphviz-doc Conflicts: gdtclft Homepage: http://www.graphviz.org/ Priority: optional Section: graphics Filename: pool/main/g/graphviz/graphviz_2.26.3-14+deb7u2_armhf.deb Size: 351466 SHA256: 1da989fd0ea409b4f38048a830625b608b5c54e4cedb0cbd9dac5ac21de67f04 SHA1: b3d2bd995f5b0a2ff291ca6f53a5c537a204df52 MD5sum: 9b5aba84c54c1efef48b30a94210aa0a Description: rich set of graph drawing tools Graph drawing addresses the problem of visualizing structural information by constructing geometric representations of abstract graphs and networks. Automatic generation of graph drawings has important applications in key technologies such as database design, software engineering, VLSI and network design and visual interfaces in other domains. Situations where these tools might be particularly useful include: . * you would like to restructure a program and first need to understand the relationships between its types, procedures, and source files * you need to find the bottlenecks in an Internet backbone - not only individual links, but their relationships * you're debugging a protocol or microarchitecture represented as a finite state machine and need to figure out how a certain error state arises * you would like to browse a database schema, knowledge base, or distributed program represented graphically * you would like to see an overview of a collection of linked documents * you would like to discover patterns and communities of interest in a database of telephone calls or e-mail messages . This package contains the command-line tools. Package: graphviz-dev Source: graphviz Version: 2.26.3-14+deb7u2 Installed-Size: 90 Maintainer: David Claughton Architecture: all Depends: libgraphviz-dev Size: 49342 SHA256: b4e94ce73ffbe51334b7236c8564108514ab63d65f27259d95167484c4a08efa SHA1: 4267a293ae932222393630473ca4277e6cb0036f MD5sum: 9eb264469f55b1ba1e5e10e33016d19a Description: transitional package for graphviz-dev rename Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package is targeted at easing the upgrade from older graphviz-dev to the new libgraphviz-dev package. . This package can be purged at anytime once the libgraphviz-dev package has been installed. Homepage: http://www.graphviz.org/ Section: devel Priority: optional Filename: pool/main/g/graphviz/graphviz-dev_2.26.3-14+deb7u2_all.deb Package: graphviz-doc Source: graphviz Version: 2.26.3-14+deb7u2 Installed-Size: 3661 Maintainer: David Claughton Architecture: all Suggests: graphviz Conflicts: graphviz (<< 2.12-1) Size: 2579040 SHA256: 45ffbbf17f704f81195cd36d2442085a3aeab8daf3d55d01bba2fbbb130b9ba0 SHA1: 158daf728b49ef13e7ef5b5109aab9077f9206df MD5sum: d42227ac695f0d8a82948d5036a4d155 Description: additional documentation for graphviz Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package installs HTML and PDF documentation for Graphviz, as well as examples. Homepage: http://www.graphviz.org/ Section: doc Priority: optional Filename: pool/main/g/graphviz/graphviz-doc_2.26.3-14+deb7u2_all.deb Package: grass Version: 6.4.2-2 Installed-Size: 15 Maintainer: Debian GIS Project Architecture: all Depends: grass-core, grass-gui Recommends: grass-doc Suggests: grass-dev Size: 14330 SHA256: 68b4d9ce01159be9f98a7f4878ac9868d422f029dfc3e0e9338155201851c139 SHA1: 7344f22c4736607b8eb7cc34d0aeda11d1ce253c MD5sum: 9d2099ed6dbc30fd14359f300006af60 Description: Geographic Resources Analysis Support System (GRASS GIS) Commonly referred to as GRASS, this is a Geographic Information System (GIS) used for geospatial data management and analysis, image processing, graphics/map production, spatial modeling, and visualization. GRASS is currently used in academic and commercial settings around the world, as well as by many government agencies and environmental consulting companies. . Install this virtual package to get a full GRASS system. Homepage: http://grass.osgeo.org/ Tag: field::geography, implemented-in::c, implemented-in::c++, implemented-in::python, implemented-in::tcl, interface::commandline, interface::x11, protocol::db:mysql, protocol::db:psql, role::program, science::calculation, science::modelling, science::plotting, science::visualisation, scope::application, uitoolkit::motif, uitoolkit::ncurses, uitoolkit::tk, uitoolkit::wxwidgets, use::analysing, use::converting, use::organizing, use::viewing, works-with-format::TODO, works-with::3dmodel, works-with::db, works-with::image, works-with::image:raster, works-with::image:vector, works-with::text, x11::application Section: science Priority: optional Filename: pool/main/g/grass/grass_6.4.2-2_all.deb Package: grass-core Source: grass Version: 6.4.2-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 28473 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdal1 (>= 1.8.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libmysqlclient18 (>= 5.5.24+dfsg-1), libncurses5 (>= 5.5-5~), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libpng12-0 (>= 1.2.13-4), libpq5, libproj0, libreadline6 (>= 6.0), libsm6, libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libtiff5 (>> 4.0.0-1~), libtinfo5, libx11-6, libxrender1, zlib1g (>= 1:1.1.4), python (>= 2.6.6-7~), python-numpy Recommends: gdal-bin, proj-bin Suggests: grass-doc, grass-gui, grass-dev, e00compr, avce00, gnuplot, gpsbabel, gpstrans, netpbm, python-rpy2, wget | curl, xml2 Breaks: grass (<< 6.4.2-1~) Replaces: grass (<< 6.4.2-1~) Provides: grass642 Homepage: http://grass.osgeo.org/ Priority: optional Section: science Filename: pool/main/g/grass/grass-core_6.4.2-2_armhf.deb Size: 11143068 SHA256: 7ba6dd782ed8887cb7cf3cef34e407c0231b228987d4f6471047d86be80205ad SHA1: 4ba162c93c9e5d3355a64bd1b8cddaefadacbce1 MD5sum: 7523d1d062e3e723a53f18c7362ca375 Description: GRASS GIS core components Commonly referred to as GRASS, this is a Geographic Information System (GIS) used for geospatial data management and analysis, image processing, graphics/map production, spatial modeling, and visualization. GRASS is currently used in academic and commercial settings around the world, as well as by many government agencies and environmental consulting companies. . This package contains the core processing components, but not the GUI. Package: grass-dev Source: grass Version: 6.4.2-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 1095 Depends: grass-core (= 6.4.2-2), fakeroot, libproj-dev, libgdal-dev | libgdal1-dev (>= 1.5.0) Recommends: subversion Suggests: grass-dev-doc, pkg-config Provides: grass64-dev Homepage: http://grass.osgeo.org/ Priority: optional Section: devel Filename: pool/main/g/grass/grass-dev_6.4.2-2_armhf.deb Size: 217988 SHA256: 53eb70aff5a82792e060f7fae134f90e5226f219330606c34a2c5edb3bc06934 SHA1: 8bc0e9f08de53cbeacccbeb581b8ad1712e941b8 MD5sum: 4fcddb926a22ac2404348a4269b62a8e Description: GRASS GIS development files Commonly referred to as GRASS, this is a Geographic Information System (GIS) used for geospatial data management and analysis, image processing, graphics/map production, spatial modeling, and visualization. GRASS is currently used in academic and commercial settings around the world, as well as by many government agencies and environmental consulting companies. . This package contains the header files for developing with GRASS. Install this package if you wish to develop software that manipulates GRASS data sets or install addons using the g.extension module. Package: grass-dev-doc Source: grass Version: 6.4.2-2 Installed-Size: 112402 Maintainer: Debian GIS Project Architecture: all Depends: libjs-jquery Suggests: grass Size: 27092526 SHA256: 48e984229b8e55583f16ac80274802e1b3e75a7765bfae046772428a9444794d SHA1: d57430eea52cbbf83ef8a8fc216b9989950a56d0 MD5sum: dcc17f2fb915abb83bfe34bd56a390ca Description: GRASS GIS Programmers' Manual Commonly referred to as GRASS, this is a Geographic Information System (GIS) used for geospatial data management and analysis, image processing, graphics/map production, spatial modeling, and visualization. GRASS is currently used in academic and commercial settings around the world, as well as by many government agencies and environmental consulting companies. . This package contains the HTML version of the GRASS Programmers' Manual. Homepage: http://grass.osgeo.org/ Section: doc Priority: optional Filename: pool/main/g/grass/grass-dev-doc_6.4.2-2_all.deb Package: grass-doc Source: grass Version: 6.4.2-2 Installed-Size: 8666 Maintainer: Debian GIS Project Architecture: all Suggests: grass, grass-dev-doc Size: 6489528 SHA256: 90f7a19386d2d912ef47dc3288aad0a4ba46cc6bb90fb7521624a247ed0e75b2 SHA1: 1ada2537d356d2aee51ca59e46925b2a2be6b531 MD5sum: fa06c97d116633cb70557cd162773a83 Description: GRASS GIS user documentation Commonly referred to as GRASS, this is a Geographic Information System (GIS) used for geospatial data management and analysis, image processing, graphics/map production, spatial modeling, and visualization. GRASS is currently used in academic and commercial settings around the world, as well as by many government agencies and environmental consulting companies. . This package contains HTML documentation for the GRASS system. Homepage: http://grass.osgeo.org/ Tag: field::geography, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/grass/grass-doc_6.4.2-2_all.deb Package: grass-gui Source: grass Version: 6.4.2-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 6812 Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgdal1 (>= 1.8.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libpng12-0 (>= 1.2.13-4), libsm6, libtiff5 (>> 4.0.0-1~), libx11-6, libxext6, libxmu6, libxt6, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), zlib1g (>= 1:1.1.4), grass-core, python (>= 2.6.6-7~), python-wxgtk2.8, python-opengl, xterm | x-terminal-emulator Recommends: ghostscript, python-imaging Breaks: grass (<< 6.4.2-1~) Replaces: grass (<< 6.4.2-1~) Homepage: http://grass.osgeo.org/ Priority: optional Section: science Filename: pool/main/g/grass/grass-gui_6.4.2-2_armhf.deb Size: 2357944 SHA256: 263314db546ab3ba26d8a36efbbefb9d6739db32e4c06ac54e01faefe219f9ae SHA1: 938796d4d805df0f0f80ee7993118a8b9c52549a MD5sum: 2d7b85e5cdf990f26927061edee8c708 Description: GRASS GIS graphical user interfaces Commonly referred to as GRASS, this is a Geographic Information System (GIS) used for geospatial data management and analysis, image processing, graphics/map production, spatial modeling, and visualization. GRASS is currently used in academic and commercial settings around the world, as well as by many government agencies and environmental consulting companies. . This package contains the GRASS GUIs. Package: gravitation Version: 3+dfsg1-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 563 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Homepage: http://hcsoftware.sourceforge.net/gravitation/ Priority: extra Section: games Filename: pool/main/g/gravitation/gravitation_3+dfsg1-3_armhf.deb Size: 61376 SHA256: bd33657da7522daa7a99d0b92569960950712d01d0ec82c5390ff3baf6b49b62 SHA1: 78ac0b0456aa898845f351ee8ba17584f23f9026 MD5sum: 48deca7429dae3e7fe2b3fd2a92e63a2 Description: game about mania, melancholia, and the creative process Gravitation is a short, autobiographical pixelated art game by Jason Rohrer. It is a followup to his previous game, "Passage". It can be explored in approximately 8 minutes. If in doubt, please keep playing. If you still don't understand the game, please read the game creators statement on the website and the experiences of other people linked from the website. Package: gravitywars Version: 1.102-32 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 806 Depends: libc6 (>= 2.13-28), libsdl1.2debian (>= 1.2.11) Priority: optional Section: games Filename: pool/main/g/gravitywars/gravitywars_1.102-32_armhf.deb Size: 208652 SHA256: 3fe97a6ee64ae0ba2245bfaefd5235496e2810efd6b1e27a7b5a2ec5cc8de255 SHA1: d899bcac83479d1a2511d28abceee50d96ead7f1 MD5sum: f140b7a10b3581d3f739293bde4e9fe2 Description: clone of Gravity Force Gravity Wars is inspired by Gravity Force, one of the greatest games for the Amiga. This version is a little different, with a much higher resolution, and better graphics. . The aim of the game is to guide a spaceship using only thrust and orientation to the exit, fighting against gravity and inertia. It includes some new features like water, exploding doors, bonus objects, fans (ie. wind), and some other special effects like exploding bullets and splashing water. . Gravity Wars is no longer maintained upstream and this Debian package should be considered the current upstream version. Package: grc Version: 1.4 Installed-Size: 232 Maintainer: Radovan Garabík Architecture: all Depends: python Size: 26356 SHA256: 71b2928d1495014e280f310dc854234995365b4d79c35d65169b10185df422b4 SHA1: d7449aca66276418643bc12993f8398e5cf4c553 MD5sum: cd78af3bdcc75e47cb3db1638c0684e9 Description: generic colouriser for everything generic colouriser, can be used to colourise logfiles, output of commands, arbitrary text.... configured via regexp's. Tag: implemented-in::python, interface::commandline, role::program, scope::utility, use::converting, works-with::logfile, works-with::text Section: text Priority: optional Filename: pool/main/g/grc/grc_1.4_all.deb Package: grcm Version: 0.1.6-1 Architecture: armhf Maintainer: Luca Niccoli Installed-Size: 265 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6 Suggests: ssh, lsh-client, gftp-gtk, grdesktop Homepage: http://grcm.sourceforge.net/ Priority: optional Section: interpreters Filename: pool/main/g/grcm/grcm_0.1.6-1_armhf.deb Size: 112296 SHA256: 08d33ea4d5811e5c64beda02fb4083753ee64c203c7f6f5170523ae2b0624309 SHA1: e02916f96bc8df3e73f133becc4398d64e8a9a77 MD5sum: 56fc8faa572d415305901c301652de7d Description: GNOME application to initiate connections to remote machines GNOME Remote Connection Manager is an application that provides an easy way to initiate connections to remote machines. Its primary goal is to provide a GUI to launch ssh, telnet and rdesktop type of applications, however it is highly configurable. Package: grcompiler Version: 4.2-1 Architecture: armhf Maintainer: Debian Fonts Task Force Installed-Size: 1455 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.4.0) Suggests: libgraphite2-2.0.0, pango-graphite, iceweasel (>= 11.0) | firefox (>= 11.0), libreoffice Priority: optional Section: devel Filename: pool/main/g/grcompiler/grcompiler_4.2-1_armhf.deb Size: 759960 SHA256: 6520ba0cb2b33dded01de81a398d681a96505402507f3fa5ca6cd01aee8cd240 SHA1: 863c5a5e2b57d53c0ad5785d20bc7db5712acb83 MD5sum: 94ab6fdfcd4a2506c85c34857945a355 Description: Compiler of smart (graphite) fonts SILGraphite is a system that can be used to create and use "smart fonts" capable of displaying writing systems with various complex behaviors, such as: contextual shaping, ligatures, reordering, split glyphs, bidirectionality, stacking diacritics and complex positioning. . This library was designed and developed by the NRSI (Non-Roman Script Initiative) within SIL International (www.sil.org) to act as a complement to other smart font rendering technologies with limited practical local extensability. Its purpose is to help meet the needs of a very large number of "minority language" communities for local extensibility of complex script behaviors. . The behavior of the SILGraphite rendering engine for a given writing system is specified through extra tables added to a TrueType font. These tables are generated by compiling a GDL (Graphite Description Language) source file into a font using grcompiler. . This package contains the graphite compiler. Package: grdesktop Version: 0.23+d040330-3 Architecture: armhf Maintainer: Bart Martens Installed-Size: 344 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, gconf2 (>= 2.28.1-2), scrollkeeper, rdesktop (>= 1.3.0-1) Homepage: http://www.nongnu.org/grdesktop/ Priority: optional Section: x11 Filename: pool/main/g/grdesktop/grdesktop_0.23+d040330-3_armhf.deb Size: 126364 SHA256: e7b9de5a3f1091d096c9182f873bb64ffffd5aaeee1f8479c32973b51f3769b8 SHA1: f6c684ed95bce97cfc74439e67e09fb672765440 MD5sum: a1adf926ce6da3f01790b9d3d4a9e469 Description: GNOME frontend for the rdesktop client grdesktop is a GNOME frontend for the remote desktop client (rdesktop). . It can save several connections (including their options), and browse the network for available terminal servers. Package: greed Version: 3.7-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 77 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Homepage: http://www.catb.org/~esr/greed/ Priority: optional Section: games Filename: pool/main/g/greed/greed_3.7-1_armhf.deb Size: 16768 SHA256: 87b57ab713b3a427fa9aaebca5d46d7288de5200ed8587f17f587e86a70c637e SHA1: bed5e36a4df3f581474bb95a08a13e5c24c75b4d MD5sum: 0765c56a326a328b8c3ab162c4684dc6 Description: curses-based clone of the DOS free-ware game Greed This is a curses-based clone of the DOS free-ware game Greed. The goal of this game is to try to eat as much as possible of the board before munching yourself into a corner. Package: greenwich Version: 0.8.2-6 Installed-Size: 252 Maintainer: David Paleino Architecture: all Depends: whois, perl, perl (>= 5.8) | liblocale-maketext-perl, libgnome2-perl, libgtk2-perl, libgnome2-gconf-perl, libgtk2-gladexml-perl, liblocale-gettext-perl Size: 34634 SHA256: 2ac800f415faf13bacd520dee2bd745984e8b4eac6f6aafc77485fe721de250c SHA1: b6deddded4547ea90ddf14162828ed5fdb517dd0 MD5sum: fff22b60326afc391587ee5dc2439732 Description: graphical whois client for GNOME A GNOME application which allows you to perform whois lookups. You enter the whois server to use (or choose from predefined values), type the name of the host you want to find details of; and the full output from the server will be shown. For convenience, output can be easily saved to file. Homepage: http://jodrell.net/projects/greenwich Tag: interface::x11, network::client, protocol::dns, role::program, suite::gnome, uitoolkit::gtk, use::searching, x11::application Section: gnome Priority: optional Filename: pool/main/g/greenwich/greenwich_0.8.2-6_all.deb Package: gregorio Version: 2.0-1.2 Architecture: armhf Maintainer: Jérôme Marant Installed-Size: 1068 Depends: libc6 (>= 2.13-28), libltdl7 (>= 2.4.2) Recommends: gregoriotex Homepage: http://home.gna.org/gregorio Priority: optional Section: x11 Filename: pool/main/g/gregorio/gregorio_2.0-1.2_armhf.deb Size: 304960 SHA256: aa087ab252d731b14438a6bc4f002806ccf0182fae2d15520ea41271262eff8c SHA1: c891cf52d1682e23b82ba5794df138114a3dc790 MD5sum: 78023c8cf74460be314bb582b0c730c4 Description: command-line tool to typeset Gregorian chant Gregorio is a project with a lot of functionalities. The main interest is gabc, a very simple and fast language to describe a Gregorian chant score. The project is for now a command-line tool to convert gabc files into real score, like for example OpusTeX or GregorioTeX. But it also handles a XML format: GregorioXML. You can use the tool to read or write gabc and GregorioXML, and to write OpusTeX and GregorioTeX. Package: gregoriotex Source: gregorio Version: 2.0-1.2 Installed-Size: 6803 Maintainer: Jérôme Marant Architecture: all Depends: luatex, texlive-luatex, texlive-fonts-recommended, texlive-latex-extra, dpkg (>= 1.14.18), tex-common (>= 3) Recommends: gregorio, texlive-omega Size: 4797334 SHA256: effe5d4ce242cdfc42f781f3b155254b1f472330698d5b708820852dc54dac69 SHA1: 1495bfb69943e0ca037f8d84f31fc074e2068c45 MD5sum: 285ccca13771ddfee1e6e462e51cf085 Description: LuaTeX style for Gregorian chant scores GregorioTeX is a Omega/LuaTeX style for writing Gregorian chant scores. The code to write for a score is quite complex and should be written directly by the gregorio software. Homepage: http://home.gna.org/gregorio Section: x11 Priority: optional Filename: pool/main/g/gregorio/gregoriotex_2.0-1.2_all.deb Package: grep Version: 2.12-2 Architecture: armhf Essential: yes Maintainer: Anibal Monsalve Salazar Installed-Size: 1332 Pre-Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Depends: dpkg (>= 1.15.4) | install-info Suggests: libpcre3 (>= 7.7) Conflicts: rgrep Provides: rgrep Homepage: http://www.gnu.org/software/grep/ Priority: required Section: utils Filename: pool/main/g/grep/grep_2.12-2_armhf.deb Size: 432896 SHA256: 0586839dd699700d71376c86c66458d33fd301feb0c393334fbe7d2dce5ce243 SHA1: 20e236d14437c7f71fd3fc42dc568d92edf855ea MD5sum: dd9373e5753ef81debe2772ccdb0b0d5 Description: GNU grep, egrep and fgrep 'grep' is a utility to search for text in files; it can be used from the command line or in scripts. Even if you don't want to use it, other packages on your system probably will. . The GNU family of grep utilities may be the "fastest grep in the west". GNU grep is based on a fast lazy-state deterministic matcher (about twice as fast as stock Unix egrep) hybridized with a Boyer-Moore-Gosper search for a fixed string that eliminates impossible text from being considered by the full regexp matcher without necessarily having to look at every character. The result is typically many times faster than Unix grep or egrep. (Regular expressions containing backreferencing will run more slowly, however.) Package: grepcidr Version: 1.3-5 Architecture: armhf Maintainer: Ryan Finnie Installed-Size: 49 Depends: libc6 (>= 2.7) Homepage: http://www.pc-tools.net/unix/grepcidr/ Priority: optional Section: net Filename: pool/main/g/grepcidr/grepcidr_1.3-5_armhf.deb Size: 9350 SHA256: 272e2dbaca40dcdb18aef62e893f41ecf2408b4821e2ff9c699351fbad4573e2 SHA1: cb079dc739b2860a3e4791ebec12b19c33237e47 MD5sum: d49df0697e26b7d1fff1e86ca141097b Description: Filter IP addresses matching IPv4 CIDR/network specification grepcidr can be used to filter a list of IP addresses against one or more Classless Inter-Domain Routing (CIDR) specifications, or arbitrary networks specified by an address range. As with grep, there are options to invert matching and load patterns from a file. grepcidr is capable of comparing thousands or even millions of IPs to networks with little memory usage and in reasonable computation time. . grepcidr has endless uses in network software, including: mail filtering and processing, network security, log analysis, and many custom applications. Package: grepmail Version: 5.3033-5 Installed-Size: 152 Maintainer: Debian QA Group Architecture: all Depends: perl, libscalar-list-utils-perl, libmail-mbox-messageparser-perl (>= 1.5002), libtimedate-perl Suggests: libdate-manip-perl Size: 49880 SHA256: 5f15ccfefd925c2daa932cf5807f44a491bf0089d97530e1cc015122d933f919 SHA1: dcedf53253c46fe043c808fbea6afa8bd1b5fe9f MD5sum: 6b218c41bbe755bf8d71037d47a97327 Description: search mailboxes for mail matching an expression Grepmail looks for mail messages containing a pattern, and prints the resulting messages. Usage is very similar to grep. It can handle compressed mailbox files, and can search the header or body of emails. It also supports searches constrained by date and size. Homepage: http://grepmail.sourceforge.net/ Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, use::searching, works-with::mail Section: mail Priority: optional Filename: pool/main/g/grepmail/grepmail_5.3033-5_all.deb Package: gresolver Version: 0.0.5-5 Architecture: armhf Maintainer: Bart Martens Installed-Size: 138 Depends: libgtk2-perl, liblocale-gettext-perl, libnet-ipv6addr-perl, libgtk2-gladexml-perl, libgnomeui-0, gnome-icon-theme, dnsutils Homepage: http://jodrell.net/projects/gresolver Priority: optional Section: net Filename: pool/main/g/gresolver/gresolver_0.0.5-5_armhf.deb Size: 18882 SHA256: ddc95329eea96483283ac2b23d1a465ea6641e52714c844accff6c86269ac6f0 SHA1: 9a6f4200dbef6a3d33ef3f0ae80e35b1d85081e0 MD5sum: 7e80daa2ff393f69887a2c3a4c52ae97 Description: graphical tool for performing DNS queries GResolver is a graphical tool for DNS queries. It allows system administrators to quickly and easily make the most common DNS queries without constructing lengthy dig commands. . GResolver supports all the main resource record types, including AAAA, AXFR, MX and TXT, and the appropriate in-addr.arpa address is constructed from dotted-quad IP addresses when doing PTR queries. There are checkboxes for controlling the most commonly-used options. Package: gretl Version: 1.9.9-1 Architecture: armhf Maintainer: Dirk Eddelbuettel Installed-Size: 6895 Depends: libatk1.0-0 (>= 1.12.4), libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgfortran3 (>= 4.3), libglib2.0-0 (>= 2.24.0), libgmp10, libgretl1 (>= 1.9.9), libgtk2.0-0 (>= 2.24.0), libgtksourceview2.0-0 (>= 2.10.0), liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libmpfr4 (>= 3.1.0), libncurses5 (>= 5.5-5~), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libpango1.0-0 (>= 1.14.0), libreadline6 (>= 6.0), libtinfo5, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), gretl-common (>= 1.9.9-1), gnuplot (>= 4.2) Recommends: gretl-data, gretl-doc Priority: optional Section: math Filename: pool/main/g/gretl/gretl_1.9.9-1_armhf.deb Size: 2899812 SHA256: 3c96ca1b48d5e6956c545b69d5d0051a7a5334e0b9a0feac08b58241a0b457b8 SHA1: 15f7ed4dd6e1ea14b042db679bff8be98239f55e MD5sum: b7dded51fba4b0f2dd060aabd71c6be8 Description: GNU Regression, Econometric & Time-Series Library The GNU Regression, Econometric and Time-Series Library (gretl) is a software package for econometric analysis. The package comprises a shared library, a command-line client program, and a graphical client built using GTK+. . This package provides the GTK+ client and the command-line client. Package: gretl-common Source: gretl Version: 1.9.9-1 Installed-Size: 2781 Maintainer: Dirk Eddelbuettel Architecture: all Replaces: gretl (<= 1.2.2-1) Depends: ttf-freefont, ttf-dejavu Suggests: gretl (>= 1.9.9-1) Conflicts: gretl (<= 1.2.2-1) Size: 890782 SHA256: 77966762b290531289d570c2bbdf1fe4d0e3d0efda6e4809a4ff7ca72946719b SHA1: 4b8f338c7dad072b6a8d1e92e9efa2fdf6376007 MD5sum: 374c408000e735dda8cae714eb94047e Description: GNU Regression, Econometric & Time-Series Library -- scripts package The GNU Regression, Econometric and Time-Series Library (gretl) is a software package for econometric analysis. The package comprises a shared library, a command-line client program, and a graphical client built using GTK+. . This package provides scripts (included in the gretl sources) which are used by the main gretl program. Tag: field::finance, field::mathematics, implemented-in::shell, interface::x11, role::app-data, suite::gnu, uitoolkit::gtk, uitoolkit::ncurses, x11::application Section: math Priority: optional Filename: pool/main/g/gretl/gretl-common_1.9.9-1_all.deb Package: gretl-data Source: gretl Version: 1.9.9-1 Installed-Size: 4537 Maintainer: Dirk Eddelbuettel Architecture: all Replaces: gretl (<= 1.2.2-1) Depends: gretl (>= 1.9.9-1) Conflicts: gretl (<= 1.2.2-1) Size: 2564566 SHA256: f4151d804cf520196b19c03f0b1f9a14f82c5503e3156cb678c5f5c33c9776d0 SHA1: c5eae0bf99f87c238d55ebc33972694e39948dff MD5sum: 321a5b1855dd3b5e38368c6238f7c7f3 Description: GNU Regression, Econometric & Time-Series Library -- data package The GNU Regression, Econometric and Time-Series Library (gretl) is a software package for econometric analysis. The package comprises a shared library, a command-line client program, and a graphical client built using GTK+. . This package provides data sets (included in the gretl sources) which are used by the main gretl program. Tag: field::finance, field::mathematics, interface::x11, role::app-data, suite::gnu, uitoolkit::gtk, x11::application Section: math Priority: optional Filename: pool/main/g/gretl/gretl-data_1.9.9-1_all.deb Package: gretl-doc Source: gretl Version: 1.9.9-1 Installed-Size: 1509 Maintainer: Dirk Eddelbuettel Architecture: all Replaces: gretl (<= 1.2.2-1) Recommends: gretl Conflicts: gretl (<= 1.2.2-1) Size: 1485776 SHA256: 13929892f83244af5e3c350f16b4e5f96f2c88740e156b2e8e74fdce039eeb39 SHA1: e32fa3e8afc0fb6b502dd99406ce788e64d8c68a MD5sum: bdafd5683158f085f0caeb30aa527eaa Description: GNU Regression, Econometric & Time-Series Library -- doc package The GNU Regression, Econometric and Time-Series Library (gretl) is a software package for econometric analysis. The package comprises a shared library, a command-line client program, and a graphical client built using GTK+. . This package provides documentation and examples included in the gretl sources. Tag: field::finance, field::mathematics, interface::x11, made-of::html, made-of::xml, role::documentation, suite::gnu, uitoolkit::gtk, uitoolkit::ncurses, x11::application Section: doc Priority: optional Filename: pool/main/g/gretl/gretl-doc_1.9.9-1_all.deb Package: greylistd Version: 0.8.8 Installed-Size: 200 Maintainer: Debian QA Group Architecture: all Depends: python (>= 2.3), debconf (>= 0.5) | debconf-2.0, adduser Recommends: exim4 Size: 55980 SHA256: 885c0241cf5d772ed7c92449d400681e1ced1e5759a6ab7cd5460038bd4f60ac SHA1: 4430d3d854a7e5376417e34bb7169922549bce5e MD5sum: 1f83d4a7b1e522db49117525f9fd4e6b Description: Greylisting daemon for use with Exim 4 This daemon provides a simple greylisting implementation for use with the Exim Mail Transport Agent (MTA), version 4. . Once installed, you will need to configure your MTA to query the greylistd server. This package contains a script to automatically configure Exim 4. It may be possible to use greylistd with other MTAs as well. Postfix users may want to check out the "postgrey" package instead of this one. Tag: interface::daemon, mail::filters, mail::smtp, protocol::smtp, role::program, scope::utility, works-with::mail Section: mail Priority: optional Filename: pool/main/g/greylistd/greylistd_0.8.8_all.deb Package: grfcodec Version: 6.0.0-1 Architecture: armhf Maintainer: Matthijs Kooijman Installed-Size: 644 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6) Conflicts: nforenum Replaces: nforenum Homepage: http://dev.openttdcoop.org/projects/grfcodec Priority: extra Section: devel Filename: pool/main/g/grfcodec/grfcodec_6.0.0-1_armhf.deb Size: 323770 SHA256: fe4ad2e69847afd18a8ad25aacc5c7a7ebafd91240aee11a88dd77a6689ab969 SHA1: 97802f969adb6c66716c960f8f95223b6edbf8e0 MD5sum: f96368d669b921034fe6500d0c8b822d Description: suite of programs to modify Transport Tycoon Deluxe's GRF files This package provides the grfcodec, grfdiff, grfmerge and nforenum commands which can be used to work with the GRF used by the Transport Tycoon Deluxe, TTDPatch and OpenTTD games. Package: grhino Version: 0.16.1-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 454 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libstdc++6 (>= 4.4.0), grhino-data (= 0.16.1-2) Suggests: quarry, yelp Homepage: http://rhino.sourceforge.net/ Priority: optional Section: games Filename: pool/main/g/grhino/grhino_0.16.1-2_armhf.deb Size: 209494 SHA256: 59578c18102c649167fd1903947593e6032ccd31bc397cc1a8405849065958a7 SHA1: 55208bdc32e637790d68ecada103eb90be9cedec MD5sum: a670a609725812809f396a7088504663 Description: othello/reversi boardgame grhino, or rhino its former name, is an Othello/Reversi game. What distinguish grhino from most other Othello games is that grhino is targeted for experienced Othello players. Strong AI is the main focus. Its AI can be used with quarry. Package: grhino-data Source: grhino Version: 0.16.1-2 Installed-Size: 1699 Maintainer: Debian Games Team Architecture: all Replaces: grhino (<< 0.16.1-2) Suggests: quarry Breaks: grhino (<< 0.16.1-2) Size: 884686 SHA256: f244079c4f26fcc1d3c56c957b63f19560f24e4380e25d2e420b9e270c0857d4 SHA1: 21c70e1bc2973e06981e6416e7fb8427cd204a58 MD5sum: 5309e2537f47d379fda6dae100ea09e5 Description: othello/reversi boardgame - data-files grhino, or rhino its former name, is an Othello/Reversi game. What distinguish grhino from most other Othello games is that grhino is targeted for experienced Othello players. Strong AI is the main focus. Its AI can be used with quarry. . This package contains the data files needed by grhino. Homepage: http://rhino.sourceforge.net/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/g/grhino/grhino-data_0.16.1-2_all.deb Package: gri Version: 2.12.23-2.2 Architecture: armhf Maintainer: Peter S Galbraith Installed-Size: 1175 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libnetcdfc7, libreadline6 (>= 6.0), libstdc++6 (>= 4.4.0), dpkg (>= 1.15.4) | install-info, libperl4-corelibs-perl | perl (<< 5.12.3-7) Suggests: gri-html-doc, gri-pdf-doc, gri-el, gv Homepage: http://gri.sourceforge.net/ Priority: optional Section: science Filename: pool/main/g/gri/gri_2.12.23-2.2_armhf.deb Size: 628738 SHA256: 61befaa667604c453d6915ebf1f0c734a45a4ce3b87f19b255142ba1b0809739 SHA1: 33db04168ca6d39c8d8ab91ee4b04d6557279971 MD5sum: 4d4e3899b6c7ff08b4a6ef3525f10bf7 Description: a language for scientific illustration Gri is an open-source language for scientific graphics programming. It is command-driven, as opposed to point/click. Some users consider Gri similar to LaTeX, since both provide extensive power as a reward for tolerating a learning curve. The output is industry-standard PostScript as output, suitable for inclusion in other documents. . Gri can make x-y graphs, contour graphs, and image graphs. Fine control is provided over all aspects of drawing, e.g. line widths, colors, fonts, etc. Greek letters and mathematical symbols are available in a TeX-like syntax. . Folks who write 1000-line Gri scripts usually start with something as simple as the following: . open file.dat # open a file read columns x * y # read the 1st column as x and the 3rd as y draw curve # draw the data and autoscale the axes . A full manual is also available in HTML (gri-html-doc package), in PDF suitable for printing (gri-pdf-doc package) and on-line by following links from the gri home page: http://gri.sourceforge.net/ Package: gri-el Source: gri Version: 2.12.23-2.2 Installed-Size: 295 Maintainer: Peter S Galbraith Architecture: all Depends: gri (>= 2.12.1-2), emacs23 | emacsen Recommends: gv Conflicts: gri (<= 2.12.1-1) Size: 71680 SHA256: 210bd15b23d8754cbb350b30054ce88fd662ce00bf260b8439cf167cce6321bd SHA1: f045523491dc45986e8d5a13d67735e6b5d0ba62 MD5sum: 9940f948b41d179d99a17d68b02d4f46 Description: Emacs major-mode for gri, a language for scientific graphics Gri is an open-source language for scientific graphics programming. . This is the Emacs major-mode for gri. Homepage: http://gri.sourceforge.net/ Tag: devel::interpreter, implemented-in::lisp, interface::commandline, role::plugin, suite::emacs, use::editing, works-with-format::postscript, works-with::text Section: lisp Priority: optional Filename: pool/main/g/gri/gri-el_2.12.23-2.2_all.deb Package: gri-html-doc Source: gri Version: 2.12.23-2.2 Installed-Size: 2449 Maintainer: Peter S Galbraith Architecture: all Suggests: doc-base Size: 1167362 SHA256: d0835f50c936da0e92335f5f0fa69230edb07e99820b2a0941d873f6027180e9 SHA1: efac802ca20e9264a2a8cdaf2e3773ba27bef065 MD5sum: fd3da284c30b501d07d0470e0b74d5fa Description: HTML manual for gri, a language for scientific graphics Gri is a command-driven application for making x-y graphs, contour-graphs, and image graphs. . This is the Gri manual in HTML format and can be accessed directly via file:/usr/share/doc/gri/html/index.html or http://localhost/doc/gri/html/ or via doc-central, dwww or dhelp interfaces (if you have the doc-base package installed). Note that the manual in info format (without graphics) is included in the gri package itself. This manual is available on the web via: http://gri.sourceforge.net/gridoc/html/ Homepage: http://gri.sourceforge.net/ Tag: devel::interpreter, made-of::html, role::documentation, works-with-format::postscript, works-with::text Section: doc Priority: optional Filename: pool/main/g/gri/gri-html-doc_2.12.23-2.2_all.deb Package: gri-pdf-doc Source: gri Version: 2.12.23-2.2 Installed-Size: 1634 Maintainer: Peter S Galbraith Architecture: all Replaces: gri (<< 2.12.23-2), gri-ps-doc Breaks: gri (<< 2.12.23-2) Size: 1640416 SHA256: 8da26d0ceeabb98014b2ca8ac6fdd329260ad01d52c0503c51b98fd477075715 SHA1: aac67a00fff3520223ea53941575df6e6d20190b MD5sum: f06f1fb17ecc27d38bf844366c483c4f Description: PostScript manual for gri, a language for scientific graphics Gri is a command-driven application for making x-y graphs, contour-graphs, and image graphs. . This is the Gri manual in PDF format suitable for printing or viewing on-screen. The compressed file is located at /usr/share/doc/gri/gri.pdf.gz Homepage: http://gri.sourceforge.net/ Tag: made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/gri/gri-pdf-doc_2.12.23-2.2_all.deb Package: grid-packaging-tools Version: 3.6.2-1 Installed-Size: 874 Maintainer: Mattias Ellert Architecture: all Depends: autoconf, automake, libtool, perl, libarchive-tar-perl, libxml-parser-perl Size: 204018 SHA256: b76e7450f713f0136521eddf59bbc31625b00a8f7d840d1995f00d7ccaaa9c02 SHA1: 2957707be8c9eb44a9295c6cbefaf80d6e85cde7 MD5sum: 9d75b0324891f84ea43c67dd80f9941b Description: System for managing source dependencies in large projects The Grid Packaging Tools (GPT) package is a collection of packaging tools built around an XML based packaging data format. This format supports the definition of complex dependency and compatibility relationships between packages. . The tools provide a means for developers to define the packaging data and include them as part of their source code distribution. Binary packages can be automatically generated from this data. The packages defined by GPT are compatible with other packages and can be easily converted. . GPT is a key tool for the build process of the Globus grid middleware. Homepage: http://www.gridpackagingtools.com/ Section: devel Priority: optional Filename: pool/main/g/grid-packaging-tools/grid-packaging-tools_3.6.2-1_all.deb Package: gridengine-common Source: gridengine Version: 6.2u5-7.1 Installed-Size: 762 Maintainer: Debian Grid Engine Maintainers Architecture: all Replaces: gridengine-client (<< 6.2u5-2) Depends: debconf (>= 0.5) | debconf-2.0, adduser, bsd-mailx | mailx, ucf Size: 424452 SHA256: f36b7a62703be669fcfd096d9e8ed6eae377f13f0b6a39026c070a9ab76ed8c5 SHA1: 55bc6f99bab5ec6f65972267f9d7a0ddb7964107 MD5sum: ad57b8dedaac78177e8949b9a22ebba8 Description: Distributed resource management - common files Grid Engine is software that facilitates "distributed resource management" (DRM). Far more than just simple load-balancing tools or batch scheduling mechanisms, DRM software typically provides the following key features across large sets of distributed resources: . * Policy based allocation of distributed resources (CPU time, software licenses, etc.) * Batch queuing & scheduling * Support diverse server hardware, OS and architectures * Load balancing & remote job execution * Detailed job accounting statistics * Fine-grained user specifiable resources * Suspend/resume/migrate jobs * Tools for reporting Job/Host/Cluster status * Job Arrays * Integration & control of parallel jobs . This package contains required shared files and the basic configuration. Homepage: http://gridengine.sunsource.net Tag: role::app-data Section: utils Priority: optional Filename: pool/main/g/gridengine/gridengine-common_6.2u5-7.1_all.deb Package: gridlock.app Version: 1.10-3.2 Architecture: armhf Maintainer: Gürkan Sengün Installed-Size: 1005 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.6) Conflicts: gridlock Replaces: gridlock Priority: optional Section: games Filename: pool/main/g/gridlock.app/gridlock.app_1.10-3.2_armhf.deb Size: 304466 SHA256: ec7898a117017c7d268d5b5bace852a78930eb2e5574314923da02a4fde1df71 SHA1: 7a7c3e3630349c73c82bb12d63c96217eaeabcf4 MD5sum: 6b88d7349014c3731b7b90ece9734964 Description: A collection of grid-based board games for GNUstep Gridlock is a collection of grid-based board games for GNUstep, including Ataxx, Reversi, Gomoku, Connect Four, Breakthrough, Glass Bead, Hexapawn, Quad Wrangle, Cats and Dogs and Moray Eels. You can play against another person or computer opponents of varying difficulty, even over the network. . Homepage: http://dozingcat.com/ Package: gridsite Version: 1.7.16-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 284 Depends: libgridsite1.7 (= 1.7.16-1), apache2, libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Homepage: http://www.gridsite.org/ Priority: optional Section: net Filename: pool/main/g/gridsite/gridsite_1.7.16-1_armhf.deb Size: 90936 SHA256: 793ff86b8c4eb967a752087ca0c4810151069a7dcfc804e36200217920119ac6 SHA1: fa044d01f69550faf2f83a9819ecddc43fb74d5f MD5sum: 1a17bfd9596a2035faa3da67890f6a6e Description: Grid Security for the Web, Web platforms for Grids GridSite was originally a web application developed for managing and formatting the content of the http://www.gridpp.ac.uk/ website. Over the past years it has grown into a set of extensions to the Apache web server and a toolkit for Grid credentials, GACL access control lists and HTTP(S) protocol operations. . This package, gridsite, contains apache httpd modules for enabling mod_gridsite. Package: gridsite-clients Source: gridsite Version: 1.7.16-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 83 Depends: libgridsite1.7 (= 1.7.16-1), libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Homepage: http://www.gridsite.org/ Priority: optional Section: net Filename: pool/main/g/gridsite/gridsite-clients_1.7.16-1_armhf.deb Size: 27402 SHA256: 579459ad86f54aa265c874675022ebd36e037018d7ae242969092022c4c1d57a SHA1: c2702bac32cfd4028def257e08ed6d73317bc28d MD5sum: d375750fe634f1ba24797300daa42c12 Description: Clients to gridsite: htcp, htrm, htmv GridSite was originally a web application developed for managing and formatting the content of the http://www.gridpp.ac.uk/ website. Over the past years it has grown into a set of extensions to the Apache web server and a toolkit for Grid credentials, GACL access control lists and HTTP(S) protocol operations. . This package, gridsite-clients, contains clients for using against gridsite, htcp, htrm, ... Package: gridsite-dbg Source: gridsite Version: 1.7.16-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 479 Depends: gridsite (= 1.7.16-1) | libgridsite1.7 (= 1.7.16-1) | gridsite-clients (= 1.7.16-1) | gridsite-gsexec (= 1.7.16-1) Homepage: http://www.gridsite.org/ Priority: extra Section: debug Filename: pool/main/g/gridsite/gridsite-dbg_1.7.16-1_armhf.deb Size: 185342 SHA256: 183872c89fd751e69145e0086f20c49162e0be7d8761a2db8cd7c2ea4303308a SHA1: 7053ad6b941a00bd43c217bbf9aba0ec56ed1d97 MD5sum: 7dcf259fb5ff4eafeeeb22dfb3865b27 Description: Debugging symbols for gridsite GridSite was originally a web application developed for managing and formatting the content of the http://www.gridpp.ac.uk/ website. Over the past years it has grown into a set of extensions to the Apache web server and a toolkit for Grid credentials, GACL access control lists and HTTP(S) protocol operations. . This package, gridsite-dbg, contains debugging symbols for gridsite. Package: gridsite-doc Source: gridsite Version: 1.7.16-1 Installed-Size: 1229 Maintainer: Mattias Ellert Architecture: all Size: 154726 SHA256: 2802f1e7042692460d07695e50b63675a871a98097099e7369bf5f5322ac83a9 SHA1: 8b1a1bd8223f786f779610de9168d3ab670dcb3e MD5sum: a73a772d237807f85738a7da89ae01ce Description: Developers Documentation for gridsite GridSite was originally a web application developed for managing and formatting the content of the http://www.gridpp.ac.uk/ website. Over the past years it has grown into a set of extensions to the Apache web server and a toolkit for Grid credentials, GACL access control lists and HTTP(S) protocol operations. . This package, gridsite-doc, contains developer documentation for gridsite. Homepage: http://www.gridsite.org/ Tag: devel::doc, devel::examples, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gridsite/gridsite-doc_1.7.16-1_all.deb Package: gridsite-gsexec Source: gridsite Version: 1.7.16-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 64 Depends: libgridsite1.7 (= 1.7.16-1), libc6 (>= 2.13-28) Homepage: http://www.gridsite.org/ Priority: optional Section: net Filename: pool/main/g/gridsite/gridsite-gsexec_1.7.16-1_armhf.deb Size: 12826 SHA256: 0bd5b807aa3f9750642a437cad3cc3dfebf525111eccfeb794ef7389d89ecf1b SHA1: 9458ae8995a360b57038f52ab941878fc8f436e1 MD5sum: fffc732af940b926795bea8330dcaca5 Description: Setuid gsexec tools for gridsite GridSite was originally a web application developed for managing and formatting the content of the http://www.gridpp.ac.uk/ website. Over the past years it has grown into a set of extensions to the Apache web server and a toolkit for Grid credentials, GACL access control lists and HTTP(S) protocol operations. . This package, gridsite-setuid, contains the setuid gsexec program. Package: griffith Version: 0.13-3 Installed-Size: 3348 Maintainer: Piotr Ożarowski Architecture: all Depends: python (>= 2.6.6-7~), python-sqlalchemy (>= 0.5), python-gtk2 (>= 2.8.6-1), python-glade2 (>= 2.8.6-1), python-reportlab (>= 1.20debian-6), python-imaging (>= 1.1.5-6) Recommends: python-gtkspell, python-chardet, python-lxml, python-psycopg2 (>= 1.1.21-6), python-mysqldb (>= 1.2.1-p2-2) Suggests: griffith-extra-artwork (>= 0.9), python-sqlite, ipython Size: 832342 SHA256: daa8c4a7e6584785fadbd13d192904e07aca356ccb9817b50758087e15df0444 SHA1: a54dfc16be891efbf97c119ca23761debb878720 MD5sum: 1b94e56173bd6ff1aa6c4207dcef4bc1 Description: film collection manager Adding items to the movie collection is as quick and easy as typing the film title and selecting a supported source. Griffith will then try to fetch all the related information from the Web. Homepage: http://www.griffith.cc/ Tag: implemented-in::python, interface::commandline, interface::x11, role::program, scope::application, uitoolkit::gtk, use::organizing, works-with::db, x11::application Section: gnome Priority: optional Filename: pool/main/g/griffith/griffith_0.13-3_all.deb Package: grig Version: 0.8.0-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 326 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.12.0), libhamlib2 (>= 1.2.15.1), libpango1.0-0 (>= 1.14.0) Homepage: http://groundstation.sourceforge.net/grig/ Priority: optional Section: hamradio Filename: pool/main/g/grig/grig_0.8.0-1_armhf.deb Size: 140410 SHA256: 1f4a73a3b3dc76d5399f07763389854c68a5647d916e65aebd5329774caf7f94 SHA1: ef75eb2d0f2d9e32bb9530455e8104ffed1ea9fa MD5sum: 864c28978389eb70b0537b33b72e707e Description: graphical user interface to the Ham Radio Control Libraries Gnome RIG is a graphical user interface to the Ham Radio Control Libraries, which lets you control your communication radios and/or antenna rotators from a personal computer. Gnome RIG is written using the Gtk+ and Gnome widgets. . Gnome RIG is in a very early stage and it supports only a very little subset of the full Hamlib API, but it can be very useful in testing basic hamlib support for your rig. Package: grilo-plugins-0.1 Source: grilo-plugins Version: 0.1.19-1 Architecture: armhf Maintainer: Alberto Garcia Installed-Size: 507 Depends: libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libgdata13 (>= 0.8.1), libglib2.0-0 (>= 2.28.0), libgmime-2.6-0 (>= 2.6.4), libgrilo-0.1-0 (>= 0.1.19-1), libgssdp-1.0-3 (>= 0.12.0), libgupnp-1.0-4 (>= 0.18.0), libgupnp-av-1.0-2 (>= 0.6.0), libquvi7 (>= 0.4.0), librest-0.7-0 (>= 0.7), libsoup2.4-1 (>= 2.4.0), libsqlite3-0 (>= 3.5.9), libtotem-plparser17 (>= 3.4.1), libtracker-sparql-0.14-0 (>= 0.10.0), libxml2 (>= 2.7.4) Multi-Arch: same Homepage: http://live.gnome.org/Grilo Priority: optional Section: libs Filename: pool/main/g/grilo-plugins/grilo-plugins-0.1_0.1.19-1_armhf.deb Size: 215424 SHA256: 4c07905fdeee82f3124300ce2f92a41628667e4d427c814479af9fab7d5fc6b6 SHA1: 78845aa3d47985989cbe5ff3288b509f836ee958 MD5sum: c259ab5d4682b8f0031cb93dbecd5a93 Description: Framework for discovering and browsing media - Plugins Grilo is a framework focused on making media discovery and browsing easy for application developers. . More precisely, Grilo provides: * A single, high-level API that abstracts the differences among various media content providers, allowing application developers to integrate content from various services and sources easily. * A collection of plugins for accessing content from various media providers. Developers can share efforts and code by writing plugins for the framework that are application agnostic. * A flexible API that allows plugin developers to write plugins of various kinds. . This package contains the set of plugins officially distributed with Grilo: * Apple Trailers * Blip.tv * Bookmarks * Filesystem * Flickr * Gravatar * Jamendo * Last.fm (for album art) * Local metadata (album art and thumbnails) * Metadata Store * Optical Media * Podcasts * Tracker * UPnP * Vimeo * Youtube Package: grinder Version: 0.4.5-1 Installed-Size: 292 Maintainer: Debian Med Packaging Team Architecture: all Depends: perl, libbio-perl-perl, libgetopt-euclid-perl (>= 0.2.8), libmath-random-mt-perl (>= 1.13) Size: 99506 SHA256: d9cae732682b80ffb0cb242ebadc1075b6fc00c5645bbbbe9636c934355442f8 SHA1: f3910e1458ac1b61bf170e6a116b99d330d4d57b MD5sum: 2bafaa527d9b7535d14617f7eead57d0 Description: Versatile omics shotgun and amplicon sequencing read simulator Grinder is a versatile program to create random shotgun and amplicon sequence libraries based on DNA, RNA or proteic reference sequences provided in a FASTA file. . Grinder can produce genomic, metagenomic, transcriptomic, metatranscriptomic, proteomic, metaproteomic shotgun and amplicon datasets from current sequencing technologies such as Sanger, 454, Illumina. These simulated datasets can be used to test the accuracy of bioinformatic tools under specific hypothesis, e.g. with or without sequencing errors, or with low or high community diversity. Grinder may also be used to help decide between alternative sequencing methods for a sequence-based project, e.g. should the library be paired-end or not, how many reads should be sequenced. Homepage: http://sourceforge.net/projects/biogrinder/ Section: science Priority: optional Filename: pool/main/g/grinder/grinder_0.4.5-1_all.deb Package: gringo Version: 3.0.4-3 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 3263 Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblua5.1-0, libstdc++6 (>= 4.6) Recommends: clasp Suggests: potassco-guide Conflicts: clingo, iclingo Replaces: clingo, iclingo Homepage: http://potassco.sourceforge.net/ Priority: extra Section: interpreters Filename: pool/main/g/gringo/gringo_3.0.4-3_armhf.deb Size: 1409678 SHA256: 1588dd489f7e23942071b6576f4ef594b4d3e99ddad6e3d8ee92884ebd17a04a SHA1: c54ebd418e4b8778ac4ae5859a2cc6fb4a831b5c MD5sum: e79dac8dd5df57f599730b43294ea4d2 Description: grounding tools for (disjunctive) logic programs Current answer set solvers work on variable-free programs. Hence, a grounder is needed that, given an input program with first-order variables, computes an equivalent ground (variable-free) program. . This package contains the following tools: . - gringo: creates lparse-compatbile variable-free programs. - clingo: stands for clasp on gringo and combines both systems in a monolithic way. Its input language is that of gringo and its output corresponds to that of clasp. - iclingo: an incremental answer set programming system implemented on top of clingo. It is based on the idea that the grounder as well as the solver are implemented in a stateful way. Thus, both keep their previous states while increasing an incremental parameter. As regards grounding, at each incremental step, the goal is to produce only ground rules stemming from the current program slice, without re-producing previous ground rules. The ground program slices are then gradually passed to the solver that accumulates ground rules and computes answer sets for them. Package: gringotts Version: 1.2.10~pre3-1 Architecture: armhf Maintainer: Wesley J. Landaker Installed-Size: 383 Depends: libgringotts2 (= 1.2.10~pre3-1), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14) Homepage: http://gringotts.berlios.de/ Priority: optional Section: utils Filename: pool/main/g/gringotts/gringotts_1.2.10~pre3-1_armhf.deb Size: 132240 SHA256: 5ba0505c713f71b178e1c579374d4a31343b0263bcf8c59772b2a579a821f686 SHA1: 675b50aee69eaf01f1f319ae50bec1938fdedc72 MD5sum: ce4f6a78c935105ade5129497f0ee496 Description: secure password and data storage manager Gringotts is a small utility that allows you to store and organize sensitive data (passwords, credit card numbers, PINs etc.) in an easy-to-read, easy-to-access, and protected form. Package: grisbi Version: 0.8.9-1 Architecture: armhf Maintainer: Stéphane Glondu Installed-Size: 1398 Depends: grisbi-common (= 0.8.9-1), xdg-utils, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk2.0-0 (>= 2.18.0), libofx4, libpango1.0-0 (>= 1.14.0), libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.7.4), zlib1g (>= 1:1.2.6) Suggests: texlive, www-browser Homepage: http://www.grisbi.org/ Priority: optional Section: x11 Filename: pool/main/g/grisbi/grisbi_0.8.9-1_armhf.deb Size: 611574 SHA256: f0674629275a22705b2b3f9e46c53bbce723a915188aa921fb13f96ee3a5d6f5 SHA1: 279a7dc4041fcb38bc66e35fa3cea06c00cfb312 MD5sum: de1f57610e1e92168ed5da01e5464ef2 Description: personal finance management program Grisbi is a personal accounting program. Grisbi can handle multiple accounts, currencies and users. It helps you manage your money using third party, expenditure and receipt categories, as well as budgetary lines, financial years, and other information that makes it adapted for both personal and associative accounting. . Grisbi can import accounts from QIF, OFX and Gnucash files. It can print reports using LaTeX or export them via HTML. Package: grisbi-common Source: grisbi Version: 0.8.9-1 Installed-Size: 2242 Maintainer: Stéphane Glondu Architecture: all Replaces: grisbi (<< 0.5.9-5) Breaks: grisbi (<< 0.5.9-5) Size: 805942 SHA256: 47e3914b745f1d88a34a38f4409633d24d55ddb00dce7888288ae8ab5e211676 SHA1: 5d49435381e4b7daea56f4275e3350dc2f0065fd MD5sum: e3bc16e733e8ca0717ff90a36dd9e318 Description: shared files for the finance management program Grisbi Grisbi is a personal accounting program. Grisbi can handle multiple accounts, currencies and users. It helps you manage your money using third party, expenditure and receipt categories, as well as budgetary lines, financial years, and other information that makes it adapted for both personal and associative accounting. . This package contains architecture-independent files (icons, documentation and translations). Homepage: http://www.grisbi.org/ Tag: role::app-data Section: x11 Priority: optional Filename: pool/main/g/grisbi/grisbi-common_0.8.9-1_all.deb Package: grml-debootstrap Version: 0.54 Installed-Size: 250 Maintainer: Grml Team Architecture: all Depends: debootstrap (>= 0.3.3.3) | cdebootstrap (>= 0.3.16), gawk, debian-archive-keyring Recommends: kpartx, mksh, parted, qemu-utils, dialog Size: 124624 SHA256: bdfa61ed680748e8d3aa8f2b8fd096cccc076541732645023f39014f4a38bcc8 SHA1: f77fa51270e34110ec12cb46bc7a765af7acfc02 MD5sum: f25de1abdf3d892511f192b78cc486fa Description: wrapper around debootstrap for installing pure Debian Provides a wrapper suite around debootstrap and cdebootstrap to ease installation of a pure Debian system. . Configuration can be done on the command line, in a dialog frontend or in /etc/debootstrap/config. You will get a pure Debian system installed on the specified device or directory, or directly into an image file suitable for Virtual Machine use. Homepage: http://grml.org/grml-debootstrap/ Section: admin Priority: optional Filename: pool/main/g/grml-debootstrap/grml-debootstrap_0.54_all.deb Package: grml-rescueboot Version: 0.4.2 Installed-Size: 88 Maintainer: Grml Team Architecture: all Depends: grub-pc Size: 6306 SHA256: f0115d5f4b119028d9da1771c8bedfc9331f6afc2a56876d3687aa845c10ab2b SHA1: c678066597cb95d6d9a67c15df05672ed9c2da0a MD5sum: 2d2853cbc871d7509109c2609bb01961 Description: Integrates Grml ISO booting into GRUB This package provides a script for update-grub which looks for Grml ISO images in /boot/grml and automatically adds an entry for each image. The purpose is to use one of those images to boot a Grml rescue system without using a CD or USB stick. Homepage: http://git.grml.org/?p=grml-rescueboot.git Section: admin Priority: extra Filename: pool/main/g/grml-rescueboot/grml-rescueboot_0.4.2_all.deb Package: groff Version: 1.21-9 Architecture: armhf Maintainer: Colin Watson Installed-Size: 7870 Depends: groff-base (= 1.21-9), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.4.0), libx11-6, libxaw7, libxmu6, libxt6, dpkg (>= 1.15.4) | install-info Recommends: ghostscript, imagemagick, libpaper1, netpbm, psutils Breaks: groff-x11 (<< 1.18-1), jgroff (<< 1.17-1) Replaces: groff-base (<< 1.17.2-9), groff-x11 (<< 1.18-1), jgroff (<< 1.17-1) Provides: groff-x11, jgroff Multi-Arch: foreign Homepage: http://groff.ffii.org/ Priority: optional Section: text Filename: pool/main/g/groff/groff_1.21-9_armhf.deb Size: 3518712 SHA256: 68fd145119186e7dba2e5598b1bc426ff4b27408b5f93ef3056975b9236b72c8 SHA1: d98c290be49f50adac404449543a43f4ab9dabde MD5sum: c77b4ba5bb01471ffaed0ea6998d4b97 Description: GNU troff text-formatting system This package contains optional components of the GNU troff text-formatting system. The core package, groff-base, contains the traditional tools like troff, nroff, tbl, eqn, and pic. This package contains additional devices and drivers for output to DVI, HTML (when recommended packages are installed - see below), HP LaserJet printers, and Canon CAPSL LBP-4 and LBP-8 printers. . The X75, X75-12, X100, and X100-12 devices, which allow groff output to be conveniently viewed on an X display using the standard X11 fonts, are now included here. They were previously in a separate package, groff-x11. . Besides these, the groff package contains man pages describing the language and its macro sets, info documentation, and a number of supplementary programs: . - gxditview, which is used to display the output of the X* devices, and can also be used to view PostScript output from groff; - grn, a preprocessor for pictures in the 'gremlin' format; - tfmtodit, which creates font files for use with 'groff -Tdvi'; - hpftodit, which creates font files for use with 'groff -Tlj4'; - afmtodit, which creates font files for use with 'groff -Tps'; - refer, which preprocesses bibliographic references for use with groff; - indxbib, which creates inverted indices for bibliographic databases used by 'refer'; - lkbib and lookbib, which search bibliographic databases; - addftinfo, which adds metric information to troff font files for use with groff; - pfbtops, which translates a PostScript font in .pfb format to ASCII for use with groff; - mmroff, a simple groff preprocessor which expands references in mm; - pic2graph, which converts PIC diagrams into cropped image files; - eqn2graph, which converts EQN equations into cropped image files. . All the standard macro packages are supported. . Some facilities only work if certain recommended packages are installed: . - ghostscript, netpbm, psutils: required for HTML output; - imagemagick: required for the pic2graph and eqn2graph programs; - libpaper1: paper size detection in dvi, lbp, lj4, and ps devices (falls back to a4 if not installed). Package: groff-base Source: groff Version: 1.21-9 Architecture: armhf Maintainer: Colin Watson Installed-Size: 2589 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: groff Breaks: groff (<< 1.17-1), jgroff (<< 1.17-1), pmake (<< 1.45-7), troffcvt (<< 1.04-14) Replaces: groff (<< 1.20.1-6), jgroff (<< 1.17-1) Multi-Arch: foreign Homepage: http://groff.ffii.org/ Priority: important Section: text Filename: pool/main/g/groff/groff-base_1.21-9_armhf.deb Size: 1070520 SHA256: 40dbad28c5f7b9ed8924aa48ace077e76d7e701cbd7b01e93453034111061ac2 SHA1: 7338d538843e9ef64aec15684317a1bb9bb0a0b2 MD5sum: a4ce7541c1894511ef60b7cce79f658a Description: GNU troff text-formatting system (base system components) This package contains the traditional UN*X text formatting tools troff, nroff, tbl, eqn, and pic. These utilities, together with the man-db package, are essential for displaying the on-line manual pages. . groff-base is a stripped-down package containing the necessary components to read manual pages in ASCII, Latin-1, and UTF-8, plus the PostScript device (groff's default). Users who want a full groff installation, with the standard set of devices, fonts, macros, and documentation, should install the groff package. Package: grok Version: 1.20110708.1-4 Architecture: armhf Maintainer: Stig Sandbeck Mathisen Installed-Size: 205 Depends: libc6 (>= 2.13-28), libevent-2.0-5 (>= 2.0.10-stable), libpcre3 (>= 8.10), libtokyocabinet9 (>= 1.4.47) Homepage: http://code.google.com/p/semicomplete/wiki/Grok Priority: extra Section: misc Filename: pool/main/g/grok/grok_1.20110708.1-4_armhf.deb Size: 81936 SHA256: d87695c78a94f29bc22f1a6215f4129c65c96321202cb106c86573153fb49f20 SHA1: 75e0e1597ab7eb16efef6c810da4fe0089c9766b MD5sum: 39d08f3af23e0b356584e66607d78c3e Description: powerful pattern-matching and reacting tool The grok program can parse log data and program output. You can match any number of complex patterns on any number of inputs (processes and files) and have custom reactions. . Grok is simple software that allows you to easily parse logs and other files. With grok, you can turn unstructured log and event data into structured data. Package: grok-dbg Source: grok Version: 1.20110708.1-4 Architecture: armhf Maintainer: Stig Sandbeck Mathisen Installed-Size: 244 Depends: libgrok1 (= 1.20110708.1-4) Homepage: http://code.google.com/p/semicomplete/wiki/Grok Priority: extra Section: debug Filename: pool/main/g/grok/grok-dbg_1.20110708.1-4_armhf.deb Size: 164226 SHA256: 54c28e881c3a40ebf406c2d3ad09a17faa92124d3d5c885a79cc8599a6305c28 SHA1: cdcb231e183cdd8add3a016ebdf26bb9f33b7b32 MD5sum: 84672fc0fbd4f0f969ebaf95b881a8c2 Description: debugging symbols for grok This package contains the detached debugging symbols for grok. . Grok is simple software that allows you to easily parse logs and other files. With grok, you can turn unstructured log and event data into structured data. Package: grokevt Version: 0.4.1-7 Installed-Size: 148 Maintainer: Debian Forensics Architecture: all Depends: python, python-support (>= 0.90.0), reglookup Size: 37250 SHA256: db42d6b49bb46f1971c45d6ae8c4a8c84f7bcc8ff673a904e147a72632f9b650 SHA1: 3afc39f6f244957f58d3aeddbf8f81085cdced68 MD5sum: 4705d320f4f18d35cbc669494573c65d Description: scripts for reading Microsoft Windows event log files GrokEVT is a collection of scripts built for reading Microsoft Windows NT/2000/XP/2003 event log files. . Currently the scripts work together on one or more mounted Microsoft Windows partitions to extract all information needed (registry entries, message templates, and log files) to convert the logs to a human-readable format. Homepage: http://projects.sentinelchicken.org/grokevt/ Tag: admin::logging, interface::commandline, role::program, security::forensics, security::log-analyzer, use::converting, works-with::logfile Section: utils Priority: optional Filename: pool/main/g/grokevt/grokevt_0.4.1-7_all.deb Package: gromacs Version: 4.5.5-2 Architecture: armhf Maintainer: Debichem Team Installed-Size: 14535 Depends: gromacs-data (= 4.5.5-2), libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libx11-6, libxml2 (>= 2.7.4) Recommends: cpp Breaks: radiance (<= 3R8+20070924.dfsg-1) Homepage: http://www.gromacs.org/ Priority: extra Section: science Filename: pool/main/g/gromacs/gromacs_4.5.5-2_armhf.deb Size: 7552430 SHA256: d924f1dcb173b92f9ebb505720e108aabd4bb5c4647166b54705884db8e8ad5f SHA1: 6818bbfc328f6540f535c4c0416d219271ca6d51 MD5sum: ac03f205a2acc3c6109975b464a66bf4 Description: Molecular dynamics simulator, with building and analysis tools GROMACS is a versatile package to perform molecular dynamics, i.e. simulate the Newtonian equations of motion for systems with hundreds to millions of particles. . It is primarily designed for biochemical molecules like proteins and lipids that have a lot of complicated bonded interactions, but since GROMACS is extremely fast at calculating the nonbonded interactions (that usually dominate simulations) many groups are also using it for research on non- biological systems, e.g. polymers. . GROMACS offers entirely too many features for a brief description to do it justice. A more complete listing is available at . Package: gromacs-data Source: gromacs Version: 4.5.5-2 Installed-Size: 8157 Maintainer: Debichem Team Architecture: all Replaces: gromacs-doc Recommends: gromacs Suggests: tcsh | c-shell Conflicts: gromacs-doc Breaks: gromacs (<< 3.3.3-1) Size: 1853126 SHA256: 335ad807a19e21c32594f63c385c217d63ab9c10e216353930f61824c4231900 SHA1: 8dfcb0b6c94547cef0fb0df541329553b5c4a404 MD5sum: c18d72bff19d1ff9ffccc6fd64157270 Description: GROMACS molecular dynamics sim, data and documentation GROMACS is a versatile package to perform molecular dynamics, i.e. simulate the Newtonian equations of motion for systems with hundreds to millions of particles. . It is primarily designed for biochemical molecules like proteins and lipids that have a lot of complicated bonded interactions, but since GROMACS is extremely fast at calculating the nonbonded interactions (that usually dominate simulations) many groups are also using it for research on non- biological systems, e.g. polymers. . This package contains architecture-independent topology and force field data, documentation, man pages, and example files. Homepage: http://www.gromacs.org/ Tag: field::biology, field::chemistry, role::app-data, role::documentation Section: science Priority: extra Filename: pool/main/g/gromacs/gromacs-data_4.5.5-2_all.deb Package: gromacs-dev Source: gromacs Version: 4.5.5-2 Architecture: armhf Maintainer: Debichem Team Installed-Size: 1435 Depends: gromacs (= 4.5.5-2), fftw3-dev Recommends: gromacs-data Suggests: gromacs-mpich (= 4.5.5-2) | gromacs-openmpi (= 4.5.5-2), libmpich2-dev, lesstif2-dev, libx11-dev, zlib1g-dev Homepage: http://www.gromacs.org/ Priority: extra Section: devel Filename: pool/main/g/gromacs/gromacs-dev_4.5.5-2_armhf.deb Size: 294298 SHA256: b2de9a9be728c591d576e691199280eefe29a6b4192ee2470f726d50ba7fbec6 SHA1: b91dec2325a26abbc5496e7b650b8e4bb8478254 MD5sum: 14f9c1de97de290e51ec457e0ea2b7a1 Description: GROMACS molecular dynamics sim, development kit GROMACS is a versatile package to perform molecular dynamics, i.e. simulate the Newtonian equations of motion for systems with hundreds to millions of particles. . It is primarily designed for biochemical molecules like proteins and lipids that have a lot of complicated bonded interactions, but since GROMACS is extremely fast at calculating the nonbonded interactions (that usually dominate simulations) many groups are also using it for research on non- biological systems, e.g. polymers. . This package contains header files and static libraries for development purposes, plus sample Makefiles. Development components for MPI-enabled GROMACS builds also require their respective packages. Package: gromacs-mpich Source: gromacs Version: 4.5.5-2 Architecture: armhf Maintainer: Debichem Team Installed-Size: 8399 Depends: libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libmpich2-3, libxml2 (>= 2.7.4), mpich2 Recommends: gromacs Suggests: gromacs-data Homepage: http://www.gromacs.org/ Priority: extra Section: science Filename: pool/main/g/gromacs/gromacs-mpich_4.5.5-2_armhf.deb Size: 4710124 SHA256: b829ffee34783d4f11db17c6223e7086d4dafaae18e1624033ff73527ba25f28 SHA1: a97b530ead2e7182e5737b905a9c3448d23f0a37 MD5sum: c945c740960be2ed303dea82eda675d0 Description: Molecular dynamics sim, binaries for MPICH parallelization GROMACS is a versatile package to perform molecular dynamics, i.e. simulate the Newtonian equations of motion for systems with hundreds to millions of particles. . It is primarily designed for biochemical molecules like proteins and lipids that have a lot of complicated bonded interactions, but since GROMACS is extremely fast at calculating the nonbonded interactions (that usually dominate simulations) many groups are also using it for research on non- biological systems, e.g. polymers. . This package contains only the core simulation engine with parallel support using the MPICH (v2) interface. It is suitable for nodes of a processing cluster, or for multiprocessor machines. Package: gromacs-openmpi Source: gromacs Version: 4.5.5-2 Architecture: armhf Maintainer: Debichem Team Installed-Size: 8407 Depends: libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libopenmpi1.3, libxml2 (>= 2.7.4), openmpi-bin (>= 1.2.3) Recommends: gromacs Suggests: gromacs-data Replaces: gromacs-lam Homepage: http://www.gromacs.org/ Priority: extra Section: science Filename: pool/main/g/gromacs/gromacs-openmpi_4.5.5-2_armhf.deb Size: 4713458 SHA256: 5efd1e2d10de90a881e1bce8bc0e51420b7d81013c427bda21c0bdf2d5b21af6 SHA1: 9de2308c8de6927a1105077000a69d34f7513f7f MD5sum: 51cfbb2d8bd6851fa7c728013cf3e492 Description: Molecular dynamics sim, binaries for OpenMPI parallelization GROMACS is a versatile package to perform molecular dynamics, i.e. simulate the Newtonian equations of motion for systems with hundreds to millions of particles. . It is primarily designed for biochemical molecules like proteins and lipids that have a lot of complicated bonded interactions, but since GROMACS is extremely fast at calculating the nonbonded interactions (that usually dominate simulations) many groups are also using it for research on non- biological systems, e.g. polymers. . This package contains only the core simulation engine with parallel support using the OpenMPI interface. It is suitable for nodes of a processing cluster, or for multiprocessor machines. Package: gromit Version: 20041213-9 Architecture: armhf Maintainer: Pierre Chifflier Installed-Size: 118 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6 Homepage: http://www.home.unix-ag.org/simon/gromit/ Priority: optional Section: x11 Filename: pool/main/g/gromit/gromit_20041213-9_armhf.deb Size: 27112 SHA256: ed91d56b2b5cdc34d31c80578d1401dfe4f61ad77ebe422a6b43196a8a8ecf40 SHA1: f06b35f97d907dab40d7baa2783c2cceb4fbf8ec MD5sum: fd9e23b0ddd7d930dc619c81f069d5ad Description: GTK based tool to make annotations on screen Gromit enables you to make annotations on your screen. . This is especially useful when making presentations, to highlight things or point out things of interest. . Gromit is XInput-Aware, so if you have a graphic tablet you can draw lines with different strength, color, erase things, etc. Package: groovy Version: 1.8.6-1+deb7u2 Installed-Size: 11583 Maintainer: Debian Java Maintainers Architecture: all Depends: antlr, libasm3-java, libbsf-java, libcommons-cli-java (>= 1.0), libcommons-logging-java (>= 1.0.3), junit4, libmockobjects-java (>= 0.09), libregexp-java (>= 1.2), libservlet2.5-java, libjline-java, libxstream-java, ivy, libjansi-java, default-jre-headless | sun-java6-jre Suggests: groovy-doc, ant (>= 1.7.1) Size: 10441110 SHA256: 7aded86b9ff5f01da17e72cc9788b8bdb56224d21016264455610d9e25da5b33 SHA1: 4f0bd157ce6b36a0124d5b6877c9071bdc0e8b90 MD5sum: 18cb3e4b0751a721044edb57e881349f Description: Agile dynamic language for the Java Virtual Machine Groovy is an agile dynamic language for the JVM combining lots of great features from languages like Python, Ruby and Smalltalk and making them available to the Java developers using a Java-like syntax. . Groovy is designed to help you get things done on the Java platform in a quicker, more concise and fun way - bringing the power of Python and Ruby inside the Java platform. . Groovy can be used as an alternative compiler to javac to generate standard Java bytecode to be used by any Java project or it can be used dynamically as an alternative language such as for scripting Java objects, templating or writing unit test cases. Homepage: http://groovy.codehaus.org/ Section: devel Priority: optional Filename: pool/main/g/groovy/groovy_1.8.6-1+deb7u2_all.deb Package: groovy-doc Source: groovy Version: 1.8.6-1+deb7u2 Installed-Size: 77879 Maintainer: Debian Java Maintainers Architecture: all Suggests: groovy Size: 4711134 SHA256: 655fedd8b0eb7ff8c49e910c6118709457d9e7e9a61a734a9bd1fffbdb2c5d04 SHA1: 73c1419f84a8fdf752ddd92a8a6707a5edc0f7d5 MD5sum: 128c63c2a3f259638d6711a305b74151 Description: Agile dynamic language for the Java Virtual Machine (documentation) Groovy is an agile dynamic language for the JVM combining lots of great features from languages like Python, Ruby and Smalltalk and making them available to the Java developers using a Java-like syntax. . Groovy is designed to help you get things done on the Java platform in a quicker, more concise and fun way - bringing the power of Python and Ruby inside the Java platform. . Groovy can be used as an alternative compiler to javac to generate standard Java bytecode to be used by any Java project or it can be used dynamically as an alternative language such as for scripting Java objects, templating or writing unit test cases. . This package includes the Groovy API javadocs, and examples. Homepage: http://groovy.codehaus.org/ Section: doc Priority: optional Filename: pool/main/g/groovy/groovy-doc_1.8.6-1+deb7u2_all.deb Package: gross Version: 1.0.2-3 Architecture: armhf Maintainer: Antonio Radici Installed-Size: 164 Depends: adduser, postfix | exim4-daemon-light | exim4-daemon-heavy | sendmail, libc-ares2 (>= 1.7.0), libc6 (>= 2.4) Homepage: http://code.google.com/p/gross/ Priority: extra Section: mail Filename: pool/main/g/gross/gross_1.0.2-3_armhf.deb Size: 59238 SHA256: c30f1b356349a2e93861c08f9940083e0dd6b680768aba43fd6f5582a5074a1e SHA1: 39a01ba4fba45ff3f7ab230392f4d63e6c3bf5a4 MD5sum: 41586b77cac73ba171b8626a6a9e0736 Description: fast and efficient greylist server with DNSBL support Gross is a resource efficient greylist server written in C that supports greylisting and/or blocking based on DNSRBL so it will not impact legitimate mails . It also contains a milter implementation and natively supports Postfix, Exim and Sendmail. Package: groundhog Version: 1.4-9 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 148 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6) Homepage: http://home-2.consunet.nl/~cb007736/groundhog.html Priority: optional Section: games Filename: pool/main/g/groundhog/groundhog_1.4-9_armhf.deb Size: 35920 SHA256: b4515ddadcbb3164c567fe840b43ab1f2c1cec16cb98380436f5373a530e69aa SHA1: ef53159bc15803e2ba2092573dbcfbeb05c7b973 MD5sum: 8574d8a2c025dfecde04ad1f3e4d577c Description: A simple logic game The purpose of this game is to put balls in pockets of the same color by manipulating a maze of tubes. Package: growisofs Source: dvd+rw-tools Version: 7.1-10 Architecture: armhf Maintainer: Optical Media Tools Team Installed-Size: 173 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Breaks: dvd+rw-tools (<< 7.1-9) Replaces: dvd+rw-tools (<< 7.1-9) Homepage: http://fy.chalmers.se/~appro/linux/DVD+RW/ Priority: optional Section: video Filename: pool/main/d/dvd+rw-tools/growisofs_7.1-10_armhf.deb Size: 76948 SHA256: fee3e181dc08326eff610b4525aef96645bed46eceb84f2439a4f1b1d2662c3b SHA1: 00fcfb49324b077aac324fe10887c8b2dbdb4979 MD5sum: 9082ead373323047db3ab83d7df4c316 Description: DVD+-RW/R recorder growisofs is a general purpose DVD recording program that supports: . * random-access media (DVD+RW, DVD-RAM, plain files, hard disk partitions) * mastering multisession DVD media (DVD+R, DVD-R/-RW, and Blu-ray Disc) * first-/single-session recording of arbitrary pre-mastered image (formatted as UDF, ISO9660 or any other file system, if formatted at all) to all supported DVD media types. . growisofs is able to either write pre-created ISO images or create them on-the-fly (by calling genisoimage). . This package also contains dvd+rw-format, a utility to format a DVD+RW media. Package: grpn Version: 1.1.2-3.1 Architecture: armhf Maintainer: Wartan Hachaturow Installed-Size: 114 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6 Priority: extra Section: math Filename: pool/main/g/grpn/grpn_1.1.2-3.1_armhf.deb Size: 34764 SHA256: 92fc60e94a98a06ae897764d3a1a304d5d7e53fe6c8cc8a8f492ec103e917c70 SHA1: cfe8410c7da280fce827f6c618d72a6074711e38 MD5sum: 33579693a10f07eac9837ca79af4d542 Description: GTK+ reverse polish notation calculator grpn is an RPN calculator which uses the GTK+ widgets. It works with real and complex numbers, has 4 different radix modes, supports general math functions along with logarithmic and trigonometric functions. Calculator stack is only limited by free memory. Package: grr.app Version: 0.9.0-1 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 841 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), librsskit0, gnustep-fslayout-fhs Homepage: http://gap.nongnu.org/grr/ Priority: optional Section: gnustep Filename: pool/main/g/grr.app/grr.app_0.9.0-1_armhf.deb Size: 184680 SHA256: aaee343d5442c189644915781e2c7fc99e3eac18118355c5da61c8c4b3d94ea3 SHA1: a9dae1930c363a3219468ee7ce1c525afb59191c MD5sum: 58d5641fbd49780a755ed617c97ecbf2 Description: RSS reader for GNUstep Grr (Günther's RSS Reader) is a reader for RSS news feeds. It has the following features: . * Parsing RSS feeds (including Atom). * Fetching feeds from the web. * Showing headlines. * Showing the article's descriptions. * Categories for feeds. * Articles can be rated. * Simple HTML rendering on GNUstep. * Serializing obtained feed information to hard disk. * Managing (adding, removing) feeds. Package: grsync Version: 1.2.0-1 Architecture: armhf Maintainer: Martijn van Brummelen Installed-Size: 600 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), rsync Recommends: ssh-askpass Homepage: http://www.opbyte.it/grsync/ Priority: optional Section: x11 Filename: pool/main/g/grsync/grsync_1.2.0-1_armhf.deb Size: 147194 SHA256: 314a5004dbe42a623d28a8d88df4f507e03b2f4cde002bfeb5066abc5ec88040 SHA1: b9e870bce5bba1ee14f344faa8c3d05d81100a5d MD5sum: fd5c9acf96273e518920337c37f874db Description: GTK+ frontend for rsync grsync is a simple graphical interface using GTK2 for the rsync command line program. It currently supports only a limited set of the most important rsync features, but can be used effectively for local directory synchronization. Package: grub-choose-default Version: 0.2-6 Installed-Size: 52 Maintainer: Kjell Braden Architecture: all Depends: python, python-tk, grub, menu Size: 8540 SHA256: 1c51024c6288f0468d5f2455d2a1b52fe88484aea8e5f323f5cae0266765dbbb SHA1: 72deec47a4c0a05cb681fd9097b9739e63111af5 MD5sum: 8ba32af61719d60525b1df76e91b8c6d Description: Control Grub Default through a GUI grub-choose-default gives you a GUI that you can use to easily select the next default for grub and you can go and grab a snack while your computer reboots without having to wait for anything. . It's a very simple program that just reads your grub menu entries and then lets you click on the one you want to be the next default. Homepage: http://cs.unm.edu/~dmohr/grub.php Tag: admin::boot, implemented-in::python, interface::x11, role::program, scope::utility, uitoolkit::tk, use::configuring, x11::application Section: admin Priority: extra Filename: pool/main/g/grub-choose-default/grub-choose-default_0.2-6_all.deb Package: grub-disk Source: grub Version: 0.97-67 Installed-Size: 139 Maintainer: GRUB Maintainers Architecture: all Depends: grub-rescue-pc Size: 117546 SHA256: 74681b52a31bda092a03cc12d798016ec5e013ed80a3ca35277a20a7828f345e SHA1: a25a200459b787b7f98a1fce84cc383da477db7e MD5sum: 1173cebc807ce3caae212733c2b92621 Description: GRUB bootable disk image (dummy package) This is a dummy transitional package to handle upgrades. It can be safely removed. Tag: admin::boot, hardware::storage:floppy, role::app-data, role::dummy Section: admin Priority: optional Filename: pool/main/g/grub/grub-disk_0.97-67_all.deb Package: grub-doc Source: grub Version: 0.97-67 Installed-Size: 139 Maintainer: GRUB Maintainers Architecture: all Depends: grub-legacy-doc, multiboot Size: 117568 SHA256: 7362f44490928b07a0894daba9ee87ba05397de6f63e834bd18812b83bf1042e SHA1: fb091f555ebd14ddb6abf46897068b38fc133c34 MD5sum: 245e4e811c44674c4ab1e08fdaf29d91 Description: Documentation for GRand Unified Bootloader (dummy package) This is a dummy transitional package to handle upgrades. It can be safely removed. Tag: admin::boot, interface::shell, interface::text-mode, made-of::html, made-of::info, role::documentation, role::dummy Section: doc Priority: optional Filename: pool/main/g/grub/grub-doc_0.97-67_all.deb Package: grub-imageboot Version: 0.6 Installed-Size: 42 Maintainer: Alexander Wirt Architecture: all Depends: grub-pc | grub-efi-amd64 | grub-efi-ia32, syslinux-common (>= 4) Size: 4354 SHA256: 60acecad206aef550b66c317c1647f72977d43904735b3ecb5f35dcdd426cc9f SHA1: 9b30c63d22438ae04f4a366d275a4283d8755e24 MD5sum: 6c971d30b8cb04e77cd093d18070d7d0 Description: boot iso, harddisk and floppy images with grub2 and syslinux memdisk This package installs a grub hook which integrates iso, harddisk and floppy images into the grub menu. . memdisk can't boot every image see http://syslinux.zytor.com/wiki/index.php/MEMDISK for its limitations. Section: admin Priority: extra Filename: pool/main/g/grub-imageboot/grub-imageboot_0.6_all.deb Package: grub-legacy-doc Source: grub Version: 0.97-67 Installed-Size: 765 Maintainer: GRUB Maintainers Architecture: all Replaces: grub-doc Depends: dpkg (>= 1.15.4) | install-info Suggests: doc-base Size: 258768 SHA256: d04a70a203e75aeeb33708cd6634f8191ea0eefa16a9e63b1ff1042d299560f7 SHA1: dac13205c190cbcb12c4246d15e0851af04813a4 MD5sum: 87880f3da7f9a19198db0068f4c7340e Description: Documentation for GRUB Legacy GRUB is a GPLed bootloader intended to unify bootloading across x86 operating systems. In addition to loading the Linux kernel, it implements the Multiboot standard, which allows for flexible loading of multiple boot images (needed for modular kernels such as the GNU Hurd). Tag: admin::boot, made-of::html, made-of::info, role::documentation Section: doc Priority: optional Filename: pool/main/g/grub/grub-legacy-doc_0.97-67_all.deb Package: grub-splashimages Version: 1.2.3 Installed-Size: 744 Maintainer: Grub-Devel List Architecture: all Suggests: grub Size: 661436 SHA256: b0da2f628decfb57be5a25ea88c272df9c9e9b1527ac28fb7aec2f6a5d253128 SHA1: a4e7857b556eb3d2804c053cddbb635f8f065589 MD5sum: a90c84c195740f723da08367da604b89 Description: a collection of great GRUB splashimages This package contains a collection of splashimages which can be used for GRUB. If you'd like your splashimage in this package send them as bug report to Debian BTS. Homepage: http://ruslug.rutgers.edu/~mcgrof/grub-images/ Tag: admin::boot, interface::shell, interface::text-mode, made-of::icons, role::app-data, x11::theme Section: admin Priority: optional Filename: pool/main/g/grub-splashimages/grub-splashimages_1.2.3_all.deb Package: grub2-splashimages Version: 1.0.1+nmu1 Installed-Size: 10503 Maintainer: Grub-Devel List Architecture: all Suggests: grub-pc Size: 6929024 SHA256: a733579b080af8aa197d2e98955ada91b74c13254d92997b2fb2db4f5f576298 SHA1: 79c4d9fd94c7dbe0d76431197115c9168e1c8954 MD5sum: 9a28cd287643fe5c9befdfc2d271d6d1 Description: a collection of great GRUB2 splashimages This package contains a collection of splashimages which can be used for GRUB2. If you'd like your splashimage in this package send them as bug report to Debian BTS. Section: admin Priority: optional Filename: pool/main/g/grub2-splashimages/grub2-splashimages_1.0.1+nmu1_all.deb Package: grun Version: 0.9.3-1 Architecture: armhf Maintainer: Luis Rodrigo Gallardo Cruz Installed-Size: 166 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0) Suggests: xterm | x-terminal-emulator Homepage: http://grun.googlecode.com/ Priority: optional Section: x11 Filename: pool/main/g/grun/grun_0.9.3-1_armhf.deb Size: 34398 SHA256: 894bf71ac4830eae5f6ab77439c0be54cbe8b582e85dc3bf142ece47fc1785c9 SHA1: 33e76ed25713c33e3e92f04a118c74ccad481b9d MD5sum: 4d6fc00e36d95cf809ad55bc3c4f01dd Description: GTK based Run dialog gRun is a GTK based Run dialog that closely resembles the Windows Run dialog, just like xexec. It has a intelligent history mechanism and a dual level fork() mechanism for launching the application in its own process. gRun also has support for launching console mode application in an XTerm as well as associations for file types. . gRun is much more powerful than xexec, looks a lot better, and has the big advantage that you can start typing a command without having to mouse-click into the text field. . gRun is especially useful if you do not use the GNOME desktop which has a built-in run command, and if you use a window-manager (e.g. IceWM) where you can define a keyboard shortcut (e.g. Alt-F2) for staring gRun. Package: gs-cjk-resource Version: 1.20100103-3 Installed-Size: 4528 Maintainer: Kenshi Muto Architecture: all Depends: ghostscript (>= 8.70~dfsg-2.1) Recommends: cmap-adobe-japan1 | cmap-adobe-cns1 | cmap-adobe-gb1 | cmap-adobe-korea1 | cmap-adobe-japan2 Suggests: fonts-ipafont-mincho, fonts-ipafont-gothic, ttf-arphic-ukai, ttf-arphic-uming, fonts-unfonts-core Enhances: ghostscript Conflicts: gs-aladdin (<= 6.50-5), gs-cjk-resource-6.0, gs-cjk-resource-6.5 Size: 1501126 SHA256: cc18bbec724bb36f577b009fea0df4e3d905223823a9d8d5549908b8264db565 SHA1: 365a1c1eeedd9b88bb5142e5b9cd331633af1643 MD5sum: fec2d033acb1ed6310847a21bfb9bc74 Description: Resource files for gs-cjk, ghostscript CJK-TrueType extension This package contains several resource files (actually Adobe CMaps) to use CJK TrueType fonts with gs. . gs-cjk enables ghostscript to handle CJK TrueType fonts through CID-keyed font technology. In addition to this package, a language-specific CMap package (named cmap-adobe-*) and a TrueType font package (ttf-*) is needed. . Japanese: needs cmap-adobe-japan1 and fonts-ipafont-mincho | fonts-ipafont-gothic. Korean: needs cmap-adobe-korea1 and fonts-unfonts-core. Traditional-Chinese: needs cmap-adobe-cns1 and ttf-arphic-ukai | ttf-arphic-uming. Simplified-Chinese: needs cmap-adobe-gb1 and ttf-arphic-ukai | ttf-arphic-uming. Tag: role::app-data, use::printing, use::text-formatting, use::viewing, works-with::text Section: text Priority: optional Filename: pool/main/g/gs-cjk-resource/gs-cjk-resource_1.20100103-3_all.deb Package: gsalliere Source: salliere Version: 0.10-1 Installed-Size: 56 Maintainer: Matthew Johnson Architecture: all Depends: default-jre | java6-runtime, jarwrapper (>= 0.5), salliere (= 0.10-1) Size: 28948 SHA256: 521d83574a3994bcf379b2ff159ef5c888c2d087151e6be8d2a9b58ff567d812 SHA1: 50e1b8998db860f2be3540e6e8f5a3eb5c7c718d MD5sum: 270d43a693af02ad84e077aab5593a24 Description: Bridge duplicate scorer (GUI frontend) Salliere is a scoring program for duplicate bridge. It will take a file of pair numbers and contracts then score and match point them for duplicate bridge. It will then produce nicely tabulated overall results and board-by-board results. . This package contains the GUI frontend for salliere. Tag: game::card, implemented-in::java, interface::x11, role::program, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/s/salliere/gsalliere_0.10-1_all.deb Package: gsasl Version: 1.8.0-2 Architecture: armhf Maintainer: Debian XMPP Maintainers Installed-Size: 277 Depends: libc6 (>= 2.13-28), libgnutls26 (>= 2.12.17-0), libgsasl7 (>= 1.1), dpkg (>= 1.15.4) | install-info Homepage: http://www.gnu.org/software/gsasl/ Priority: optional Section: devel Filename: pool/main/g/gsasl/gsasl_1.8.0-2_armhf.deb Size: 208788 SHA256: 4dfbbec30959f0956deeba4f29f8f2f4485227e97167240d0e0c4d8c490b65b8 SHA1: 7247e50de3150e42f4af64471f03e3e4f6fc447c MD5sum: 635cfe27f18260a79b66545aef5c6228 Description: GNU SASL command line utility GNU SASL is an implementation of the Simple Authentication and Security Layer framework and a few common SASL mechanisms. SASL is used by network servers (e.g., IMAP, SMTP) to request authentication from clients, and in clients to authenticate against servers. . This package contains the GNU SASL command line tool and the GNU SASL manual. Package: gsasl-dbg Source: gsasl Version: 1.8.0-2 Architecture: armhf Maintainer: Debian XMPP Maintainers Installed-Size: 678 Depends: libgsasl7 (= 1.8.0-2) | gsasl (= 1.8.0-2) Homepage: http://www.gnu.org/software/gsasl/ Priority: extra Section: debug Filename: pool/main/g/gsasl/gsasl-dbg_1.8.0-2_armhf.deb Size: 278786 SHA256: 7a41d25097e5a36484fe507bcd6542af9e88161e697f098130edbd5b0ea086f8 SHA1: 9f7c5d7e96cbc707f58fa6910213b0961fc39c93 MD5sum: 0d4ffdf48ad5b81cf5969fb358f93cb3 Description: GNU SASL debugging symbols GNU SASL is an implementation of the Simple Authentication and Security Layer framework and a few common SASL mechanisms. SASL is used by network servers (e.g., IMAP, SMTP) to request authentication from clients, and in clients to authenticate against servers. . This package contains detached debugging information. Most people will not need this package. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. GDB will find this debug information automatically. Package: gscan2pdf Version: 1.0.4-5 Installed-Size: 1638 Maintainer: Jeffrey Ratcliffe Architecture: all Depends: libgtk2-imageview-perl, libgtk2-ex-simple-list-perl, libsane-perl (>= 0.05), liblocale-gettext-perl (>= 1.05), sane-utils (>= 1.0.17), libtiff-tools, libpdf-api2-perl, libconfig-general-perl (>= 2.40), perlmagick, imagemagick, librsvg2-common, libset-intspan-perl (>= 1.10), libhtml-parser-perl, libgoo-canvas-perl, libproc-processtable-perl, libreadonly-perl, liblog-log4perl-perl Recommends: libgtk2-ex-podviewer-perl, sane, xdg-utils, djvulibre-bin, unpaper, tesseract-ocr | gocr | cuneiform Size: 400402 SHA256: d8b4fea60dba396409773c292853d132f5849af1b85b605d601dce42c757a3ba SHA1: fab391f57f9d608028d89856ca807e2940299144 MD5sum: 3092f66bfc5a339ceada0d71f0e2d73c Description: GUI to produce PDFs or DjVus from scanned documents Only two clicks are required to scan several pages and then save all or a selection as a PDF or DjVu file, including metadata if required. . gscan2pdf can control regular or sheet-fed (ADF) scanners with SANE via libsane-perl, scanimage or scanadf, and can scan multiple pages at once. It presents a thumbnail view of scanned pages, and permits simple operations such as cropping, rotating and deleting pages. . OCR can be used to recognise text in the scans, and the output embedded in the PDF or DjVu. . PDF conversion is done by PDF::API2. . The resulting document may be saved as a PDF, DjVu, multipage TIFF file, or single page image file. Homepage: http://gscan2pdf.sourceforge.net/ Tag: hardware::scanner, implemented-in::perl, interface::x11, role::program, uitoolkit::gtk, use::converting, use::scanning, works-with-format::djvu, works-with-format::gif, works-with-format::jpg, works-with-format::pdf, works-with-format::png, works-with-format::tiff, works-with::file, works-with::image, works-with::image:raster, x11::application Section: utils Priority: optional Filename: pool/main/g/gscan2pdf/gscan2pdf_1.0.4-5_all.deb Package: gscanbus Version: 0.8-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 318 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libraw1394-11 Homepage: http://gscanbus.berlios.de/ Priority: optional Section: misc Filename: pool/main/g/gscanbus/gscanbus_0.8-1_armhf.deb Size: 115038 SHA256: bf7a02cfada60196719a8f6349f7f31e4cbef976ac85c061ecb72f7792e785e5 SHA1: c00c552a8aa899de36cce57741ca3dfa0c40b513 MD5sum: ee50a03147dbd5e74c715f4715f4509f Description: scan IEEE1394 (firewire/i.link) bus gscanbus is a little bus scanning, testing and topology visualizing tool for the Linux IEEE1394 subsystem, with some AV/C support, especially for controlling Camcorders and VCRs. It is intended as a debugging tool for IEEE1394 development, but can also be used to simply check your IEEE1394 setup on Linux. Package: gsetroot Version: 1.1-2.2 Architecture: armhf Maintainer: Anibal Avelar Installed-Size: 77 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), eterm Homepage: http://gsetroot.sourceforge.net Priority: extra Section: x11 Filename: pool/main/g/gsetroot/gsetroot_1.1-2.2_armhf.deb Size: 13400 SHA256: ef22f6e7be504a6e55e6ff1bb12023bdbaf77c0eaf8cdb5ece2f7ad77a0dbfcd SHA1: 03d280414742df7dad0882042df66967b2e4c232 MD5sum: 6895ed379346643fa69e8451a901845a Description: a C/Gtk-based front-end for Esetroot It can be used to choose a wallpaper and configure root window. It works under Window Managers like FluxBox, Enlightenment, WindowMaker NextStep, BlackBox, IceWM and others... This software is under the GPL license. Package: gsettings-desktop-schemas Version: 3.4.2-3 Installed-Size: 812 Maintainer: Debian GNOME Maintainers Architecture: all Depends: dconf-gsettings-backend | gsettings-backend Size: 113734 SHA256: 8f041dcf5f53b0b50380ea069bc3c6a8669724a25931ccc378eb67be24a8d0a7 SHA1: 6f98a2edb9928f1787e336fc2de2f6d6653c531c MD5sum: 63d847911948a8384ddb0cf425b55e69 Description: GSettings deskop-wide schemas gsettings-desktop-schemas contains a collection of GSettings schemas for settings shared by various components of a desktop. Multi-Arch: foreign Homepage: http://www.gnome.org/ Tag: made-of::xml, role::app-data, suite::gnome, use::configuring Section: gnome Priority: optional Filename: pool/main/g/gsettings-desktop-schemas/gsettings-desktop-schemas_3.4.2-3_all.deb Package: gsettings-desktop-schemas-dev Source: gsettings-desktop-schemas Version: 3.4.2-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 59 Depends: gsettings-desktop-schemas (= 3.4.2-3), gir1.2-gdesktopenums-3.0 (= 3.4.2-3) Homepage: http://www.gnome.org/ Priority: optional Section: gnome Filename: pool/main/g/gsettings-desktop-schemas/gsettings-desktop-schemas-dev_3.4.2-3_armhf.deb Size: 8918 SHA256: c6b194c35bf76963f40d4ecbe4884ab2a829280119d5b33894101e91196c8257 SHA1: bc13902b701fdfd1f4e1e29a7a1d9ee5e5235930 MD5sum: ae9641eff88debfff99bd0ad15c42133 Description: Development files for GSettings desktop-wide schemas gsettings-desktop-schemas contains a collection of GSettings schemas for settings shared by various components of a desktop. . This package contains the development files. Package: gsfonts Version: 1:8.11+urwcyr1.0.7~pre44-4.2 Installed-Size: 4632 Maintainer: Masayuki Hatta (mhatta) Architecture: all Conflicts: gs (<< 5.50-5), gs-aladdin (<< 6.50-4), gsfonts-x11 (<< 0.13) Size: 3363858 SHA256: 6c42457d352acb1ff4b3666143d01609e1e4c592a4aa664a70d80fba1af26f2a SHA1: c0dbe7c1e58836b2ca4df09550ae7ce395413b87 MD5sum: a70bc0f9ababf12cb265611bfed0fc36 Description: Fonts for the Ghostscript interpreter(s) These are free look-alike fonts of the Adobe PostScript fonts. Recommended for all flavors of Ghostscript (gs-gpl, gs-afpl and gs-esp). Homepage: http://www.ghostscript.com/ Tag: made-of::font, role::app-data, use::printing, works-with-format::postscript, works-with::text Section: fonts Priority: optional Filename: pool/main/g/gsfonts/gsfonts_8.11+urwcyr1.0.7~pre44-4.2_all.deb Package: gsfonts-x11 Version: 0.22 Installed-Size: 68 Maintainer: Roland Rosenfeld Architecture: all Depends: gsfonts (>= 6.0-2), xfonts-utils (>= 1:7.5+2) Breaks: gsfonts (<< 6.0-2) Size: 10620 SHA256: ed816484fa2a9e9ef53e9b64b0785c2b5b7eed356c43fb0a0e4c0c88f8f07180 SHA1: a530f3e03e80d102a6ef0b777eccab1935302b93 MD5sum: f6b7c09ba1b67844faa2ec14d2954d46 Description: Make Ghostscript fonts available to X11 This package makes the 35 Postscript fonts from the gsfonts package available to your X server under their "urw" names and via fonts.alias with the official "adobe" names, too. . This package does not contain any fonts itself but allows to reuse the ghostscript fonts as X11 screen fonts. Tag: made-of::font, role::app-data, x11::font Section: fonts Priority: optional Filename: pool/main/g/gsfonts-x11/gsfonts-x11_0.22_all.deb Package: gshare Version: 0.94-12 Installed-Size: 236 Maintainer: Debian CLI Applications Team Architecture: all Depends: mono-runtime (>= 2.10.1), libavahi1.0-cil (>= 0.6.10), libgconf2.0-cil (>= 2.24.0), libglade2.0-cil (>= 2.12.10), libglib2.0-cil (>= 2.12.10), libgnome2.24-cil (>= 2.24.0), libgtk2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.1), libndesk-dbus-glib1.0-cil (>= 0.4.0), libndesk-dbus1.0-cil (>= 0.6.0), gconf2 (>= 2.28.1-2), avahi-daemon Size: 162242 SHA256: af61c9571e8dfe0b8b702bef6269d5a2f8f414d248fc93d5a598a00764e339b1 SHA1: af95d754c4d6b27e8cb028aa5b958d28856f3a23 MD5sum: 39dd67b8b57505b7b9599dab611ad5ab Description: Easy user-level file sharing for GNOME GShare is a small GNOME application that allows easy user-level file sharing via FTP. DNS-SD is used to publish the share on the local network. Tag: implemented-in::c-sharp, interface::x11, protocol::ftp, protocol::zeroconf, role::program, scope::application, suite::gnome, uitoolkit::gtk, x11::application Section: gnome Priority: optional Filename: pool/main/g/gshare/gshare_0.94-12_all.deb Package: gsimplecal Version: 1.5-1 Architecture: armhf Maintainer: Julien Valroff Installed-Size: 81 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libstdc++6 (>= 4.4.0) Suggests: tint2, openbox Homepage: http://dmedvinsky.github.com/gsimplecal/ Priority: extra Section: misc Filename: pool/main/g/gsimplecal/gsimplecal_1.5-1_armhf.deb Size: 22356 SHA256: 62a4d4d33ad42f33b6ea88f35b504aaa3e76b7938f1e36409ab42de73afb2873 SHA1: c40ab39adb1bdcaa456c1e8630b1fa102cc482e2 MD5sum: d0bb66d5fd9038ead9abf03f7dbc30e6 Description: lightweight GUI calendar application gsimplecal is a lightweight calendar application written in C++ using GTK2. . It was intentionally made for use with tint2 panel in the openbox environment to be launched upon clock click, but can be bound to some hotkey in any window manager . gsimplecal can be configured to not only show the calendar, but also display multiple clocks for different world timezones. Package: gsl-bin Source: gsl Version: 1.15+dfsg.2-2 Architecture: armhf Maintainer: Dirk Eddelbuettel Installed-Size: 75 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgsl0ldbl (>= 1.9) Conflicts: gsl Replaces: gsl Homepage: http://www.gnu.org/software/gsl Priority: optional Section: math Filename: pool/main/g/gsl/gsl-bin_1.15+dfsg.2-2_armhf.deb Size: 28716 SHA256: 424af52d57e51140a9b9d596a67c6e37ee1a1dc44102803f92a4c0dfd3fa689c SHA1: f235a01c2dc84aabc1a6e44a6e096a4e549864fb MD5sum: adfa873bbb9124f9e671f0fd9a5c0691 Description: GNU Scientific Library (GSL) -- binary package The GNU Scientific Library (GSL) is a collection of routines for numerical analysis. The routines are written from scratch by the GSL team in C, and present a modern API for C programmers, while allowing wrappers to be written for very high level languages. . This package provides several example binaries. Package: gsm-utils Source: gsmlib Version: 1.10-13.2 Architecture: armhf Maintainer: Mark Purcell Installed-Size: 392 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgsmme1c2a (>= 1.10), libstdc++6 (>= 4.4.0), adduser Homepage: http://www.pxh.de/fs/gsmlib/ Priority: extra Section: comm Filename: pool/main/g/gsmlib/gsm-utils_1.10-13.2_armhf.deb Size: 136932 SHA256: 45393da4587c4373625b03d42ca2bf2016c8acaddb598696e8888ce8f0591cf3 SHA1: b340e02d352fe823fe93d001b78f564b8a004fb1 MD5sum: 41b066c407d56efce2f6e19668f50ba2 Description: GSM mobile phone access applications Some simple command line programs to access a GSM mobile phone via GSM modem or IrDA. Functions include: modification of phone books and reading, writing, sending and receiving SMS messages. Uses the GSM standards ETSI GSM 07.07, ETSI GSM 07.05, and others. Package: gsm0710muxd Version: 1.13-1 Architecture: armhf Maintainer: Johannes Schauer Installed-Size: 122 Depends: libc6 (>= 2.4), libdbus-glib-1-2 (>= 0.88), libglib2.0-0 (>= 2.14.0), dbus Homepage: http://pyneo.org Priority: extra Section: misc Filename: pool/main/g/gsm0710muxd/gsm0710muxd_1.13-1_armhf.deb Size: 34282 SHA256: e7896f35b599d619f9f77059181c0f13ccdfc61bc2968e4f0005fa238a229c26 SHA1: 3e585fb719981ac7cb3cc6a8b90caac0d3708f06 MD5sum: d941f74b5192b1bf351f45430f0c9918 Description: GSM 07.10 Multiplexer pyneo mobile stack: muxer as GSM 07.10 describes. A muxer for gsm modems to allow more than one channel to be used with the modem. Each channel can be used to issue phonecalls, watch signal strength, receiving sms or even doing ppp (gprs) at the same time. Access to the multiplexer is managed via D-Bus. Package: gsmartcontrol Version: 0.8.6-1.2 Architecture: armhf Maintainer: Giuseppe Iuculano Installed-Size: 1402 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libpcre3 (>= 8.10), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), smartmontools, menu Homepage: http://gsmartcontrol.berlios.de Priority: extra Section: utils Filename: pool/main/g/gsmartcontrol/gsmartcontrol_0.8.6-1.2_armhf.deb Size: 572722 SHA256: 9ea7dba7ce90b07bcec6df2c09378149c6de3fe0b1548d44913a7f1171f1b9b8 SHA1: 7f4f59e136fd1a43f011e9b768648de02b508009 MD5sum: acf33d30f31fa0e4452841870113aafe Description: graphical user interface for smartctl GSmartControl is a graphical user interface for smartctl, which is a tool for querying and controlling SMART (Self-Monitoring, Analysis, and Reporting Technology) data on modern hard disk drives. It allows you to inspect the drive's SMART data to determine its health, as well as run various tests on it. . Features: . * automatically reports and highlights any anomalies; * allows enabling/disabling Automatic Offline Data Collection; * allows enabling/disabling SMART itself; * supports configuration of global and per-drive options for smartctl; * performs SMART self-tests; * displays drive IDs, capabilities, attributes, and self-test/error logs; * can read in smartctl data from a saved file, interpreting it as a read-only virtual device. Package: gsmc Version: 1.1-1.1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 128 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://www.qsl.net/ik5nax/index.html Priority: optional Section: hamradio Filename: pool/main/g/gsmc/gsmc_1.1-1.1_armhf.deb Size: 40024 SHA256: c9cedf7f4614dbdd390e310d0568985b8037e9e26e13629c129bc0d9316dba1f SHA1: 84008ac700872cdcdc9cd5e5f62b99fee875bb9e MD5sum: bc719f472789bb47f6c5f5748d576761 Description: Smith Chart calculator for impedance matching gsmc allows you to perform Smith Chart calculations for RF (radio frequency) circuit design. Package: gsoap Version: 2.8.7-2+deb7u1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 4217 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgsoap2 (= 2.8.7-2+deb7u1), libstdc++6 (>= 4.4.0) Homepage: http://gsoap2.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/g/gsoap/gsoap_2.8.7-2+deb7u1_armhf.deb Size: 1337244 SHA256: 6e0b09b2e21310cdc475032fac419acba6df1608ecd630ad3c62552297a42fb5 SHA1: 35a18b1db84286b7b9f5d53605e6e4863c0727ca MD5sum: 6eaf3e309ba368ba61e684b4bce30d4f Description: Development libraries and stub generators for gSOAP The gSOAP toolkit provides a unique SOAP-to-C/C++ language binding for the development of SOAP Web Services and clients. Development libraries and stub generators. Package: gsoap-dbg Source: gsoap Version: 2.8.7-2+deb7u1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 7532 Depends: gsoap (= 2.8.7-2+deb7u1) | libgsoap2 (= 2.8.7-2+deb7u1) Homepage: http://gsoap2.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/g/gsoap/gsoap-dbg_2.8.7-2+deb7u1_armhf.deb Size: 2692528 SHA256: d6f88950151d64590edabd4f1315006f97e6dbec1320caec8fc0f4d689f60c42 SHA1: 72c6c7e364dbd7f1cb2cc0d54d58906acdaa7cdf MD5sum: 97c608640c2b8bb0e75b5f1bc1c7aca2 Description: Debugging symbols for gSOAP The gSOAP toolkit provides a unique SOAP-to-C/C++ language binding for the development of SOAP Web Services and clients. Debugging symbols. Package: gsoap-doc Source: gsoap Version: 2.8.7-2+deb7u1 Installed-Size: 9268 Maintainer: Mattias Ellert Architecture: all Replaces: gsoap (<< 2.7.17) Depends: libjs-jquery Breaks: gsoap (<< 2.7.17) Size: 3870872 SHA256: cd33ed48585bf9f9f8d0a15c8053d0c58119cf498fe423e464a227a1ea13acc1 SHA1: cfc5f8425341f22216eb7289b9f7c1f2d561f750 MD5sum: b5b7ad3775332fc836e7c51916bbfde9 Description: gSOAP documentation The gSOAP toolkit provides a unique SOAP-to-C/C++ language binding for the development of SOAP Web Services and clients. Documentation files. Homepage: http://gsoap2.sourceforge.net/ Section: doc Priority: optional Filename: pool/main/g/gsoap/gsoap-doc_2.8.7-2+deb7u1_all.deb Package: gsoko Version: 0.4.2-gpe6-3 Architecture: armhf Maintainer: Neil Williams Installed-Size: 114 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Priority: extra Section: games Filename: pool/main/g/gsoko/gsoko_0.4.2-gpe6-3_armhf.deb Size: 26316 SHA256: b362058bcbdf41f7acf7fe797f7aea49331529c5d4dd68723ce3663c353355c0 SHA1: 4a765bf370852aae2c23c4ba4d7c62a500e1f645 MD5sum: 3dbc5a9623b9c70cfd639173a9d0d93d Description: sokoban game for GPE A clone of the sokoban game for small screen devices using the GPE Palmtop Environment. "Sokoban" is japanese for "warehouse keeper". The player tries to push crates to their proper locations in a warehouse. . Crates cannot be pulled or stepped over and the player needs to avoid pushing crates into dead ends or allowing crates to block movement in the warehouse. Package: gspiceui Version: 1.0.00+dfsg-1 Architecture: armhf Maintainer: Gudjon I. Gudjonsson Installed-Size: 1399 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), gwave, geda-gschem, geda-gnetlist Recommends: gnucap Suggests: ngspice Homepage: http://www.geda.seul.org/tools/gspiceui/ Priority: optional Section: electronics Filename: pool/main/g/gspiceui/gspiceui_1.0.00+dfsg-1_armhf.deb Size: 670994 SHA256: dabf55eafd5f677a8f8781995128970ab3dd6efb3ecf1434ee0620cbc0099d9b SHA1: c4c22fddf7fd98f5e4edb5d7de033f3d0ec690c2 MD5sum: ee84665c319f1bfb42e4678c966603f1 Description: Graphical user interface for gnucap and ngspice Gspiceui is a graphichal user interface for the two freely available electronic circuit engines: GNU-Cap and Ng-Spice Current features are: * Import gschem schematic files using gentlist. * Load and parse circuit description (net list) files. * Provides a GUI interface for GNU-Cap OP, DC, AC and Transient analyses and generates appropriate simulator commands based on user input. * Provides a GUI interface for Ng-Spice DC, AC and Transient analyses and generates appropriate simulator commands based on user input. * The raw output may be viewed for any processes initiated by gspiceui. * Formatting of simulator output so that it may be plotted using gwave Package: gsql Version: 0.2.2-1.2 Architecture: armhf Maintainer: Estêvão Samuel Procópio Installed-Size: 268 Depends: menu (>= 2.1.19), gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgsql0 (= 0.2.2-1.2), libgtk2.0-0 (>= 2.10.0), libgtksourceview2.0-0 (>= 2.10.0), libice6 (>= 1:1.0.0), libnotify4 (>= 0.7.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2), gsql-mysql-engine (>= 0.2.2-1.2) | gsql-postgresql-engine (>= 0.2.2-1.2) Recommends: gsql-plugins (>= 0.2.2-1.2) Homepage: http://gsql.org Priority: optional Section: gnome Filename: pool/main/g/gsql/gsql_0.2.2-1.2_armhf.deb Size: 78832 SHA256: ff57cf18ca9731df4fc6c792eaee4c1e0bf5fec49e08fd06c136e900d15d8193 SHA1: 44ffd9e3ef8230b5d6dec4e484186421044cb56b MD5sum: 8b16d73b66ea25417cf6643be5936d7f Description: Integrated database development tool for GNOME The mission of GSQL opensource project is to supply database developers with an universal tool platform tailored against market leading DBMS by providing: . * native DBMS access (not via ODBC layer) * databased objects organised into a tree * intuitive and easy database objects handling * syntax highlighting * query plan builder * query constructor * query result export (in XML, CSV, HTML) * debugger (depending on RDBMS) * query planner control (depending on RDBMS) * database administration functions * database system monitoring * GNOME integration (via GConf and gnome-keyring) * plugin: data migration wizard for various RDBMS . Architecturely GSQL is designed so that the database interfaces are arranged into modules linked to the platform by engine API. It allows to implement new engines independently. GSQL provides developers with a rich API. To extend the functions of GSQL engines, we suggest loadable plugins API. Package: gsql-mysql-engine Source: gsql Version: 0.2.2-1.2 Architecture: armhf Maintainer: Estêvão Samuel Procópio Installed-Size: 145 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.24.0), libgsql0, libgtk2.0-0 (>= 2.10.0), libgtksourceview2.0-0 (>= 2.10.0), libmysqlclient16 (>= 5.1.50-1), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2) Homepage: http://gsql.org Priority: optional Section: gnome Filename: pool/main/g/gsql/gsql-mysql-engine_0.2.2-1.2_armhf.deb Size: 34176 SHA256: fa12df046f31eab34f05bfb60b386a397826cd5ac022a6725c785187772f977c SHA1: 91ab59c9e4ba6425fd4c8f7892c6fe631acf1d40 MD5sum: 6e36ddd37c5724ffe291438b1e723e5c Description: MySQL engine for GSQL This module provides GSQL support for MySQL server. Package: gsql-plugins Source: gsql Version: 0.2.2-1.2 Architecture: armhf Maintainer: Estêvão Samuel Procópio Installed-Size: 236 Depends: gsql (>= 0.2.2-1.2), gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libgsql0, libgtk2.0-0 (>= 2.18.0), libgtksourceview2.0-0 (>= 2.10.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libssh-4 (>= 0.3.91), libvte9 (>= 1:0.24.0), libx11-6, libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2) Homepage: http://gsql.org Priority: optional Section: gnome Filename: pool/main/g/gsql/gsql-plugins_0.2.2-1.2_armhf.deb Size: 54626 SHA256: 482553287f2acbc8de3f757ed018579382beb915d4c2ee16086ce6d79e585920 SHA1: 79be72aa2bf99be191159f52e80220992346cd8d MD5sum: 829570354ba0800dea9474cf98d42170 Description: Plugins for GSQL This package contains the plugins set for gsql. Package: gsql-postgresql-engine Source: gsql Version: 0.2.2-1.2 Architecture: armhf Maintainer: Estêvão Samuel Procópio Installed-Size: 157 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgsql0, libgtk2.0-0 (>= 2.8.0), libgtksourceview2.0-0 (>= 2.10.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libpq5, libxml2 (>= 2.6.27), gconf2 Homepage: http://gsql.org Priority: optional Section: gnome Filename: pool/main/g/gsql/gsql-postgresql-engine_0.2.2-1.2_armhf.deb Size: 39808 SHA256: e89de1e3055fd60451976391e5d5e8fef267957d75d6a903f9a74e92726a4294 SHA1: 2e00d5b8c9471264b44a076d60c21a30324de291 MD5sum: 9f82d6c1e1d6e321964bf465614b35c8 Description: PostgreSQL engine for GSQL This module provides GSQL support for PostgreSQL server. Package: gss-doc Source: gss Version: 1.0.2-1 Installed-Size: 1607 Maintainer: Debian GSS Team Architecture: all Depends: dpkg (>= 1.15.4) | install-info Recommends: gss-man Size: 960660 SHA256: 3f6cff70393716a01b6dde292aa77920b782c978fbf4323e401974602d06b773 SHA1: 73c9ea2a8687021d80b6034d06f29447397d89cc MD5sum: 773a13ff9121c6f628fea31faf221170 Description: Documentation for GSS (except man pages) The GNU Generic Security Service Library (GSSLib) is a free implementation of the GSS-API security framework. GSSLib uses Shishi to implement the Kerberos V5 mechanism, but is flexible enough to support other mechanisms too. . This package contain the manual in HTML, PDF and Info formats, and API reference in GTK-DOC and Devhelp formats. Homepage: http://www.gnu.org/software/gss/ Tag: devel::doc, made-of::html, made-of::info, made-of::man, made-of::pdf, protocol::kerberos, role::documentation, security::authentication, security::cryptography, suite::gnu Section: doc Priority: extra Filename: pool/main/g/gss/gss-doc_1.0.2-1_all.deb Package: gss-man Source: gss Version: 1.0.2-1 Installed-Size: 156 Maintainer: Debian GSS Team Architecture: all Conflicts: heimdal-dev Size: 124512 SHA256: 62b1a17afdeea47f80c35e1110116a6b5b21571456beed98ff675c321aad4a21 SHA1: 65b7e439eadf7c2adcb62319293244d671136a09 MD5sum: 2b429b806d3ea81350975e3ab3634d1d Description: Man pages for GSS The GNU Generic Security Service Library (GSSLib) is a free implementation of the GSS-API security framework. GSSLib uses Shishi to implement the Kerberos V5 mechanism, but is flexible enough to support other mechanisms too. . This package contain the API reference as man pages. Homepage: http://www.gnu.org/software/gss/ Tag: devel::doc, protocol::kerberos, role::documentation, security::authentication, suite::gnu, works-with-format::man Section: doc Priority: extra Filename: pool/main/g/gss/gss-man_1.0.2-1_all.deb Package: gssdp-tools Source: gssdp Version: 0.12.2.1-2 Architecture: armhf Maintainer: Ross Burton Installed-Size: 85 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.22.0), libgssdp-1.0-3 (>= 0.12.0), libgtk2.0-0 (>= 2.12.0), libsoup2.4-1 (>= 2.26.1) Homepage: http://www.gupnp.org Priority: optional Section: net Filename: pool/main/g/gssdp/gssdp-tools_0.12.2.1-2_armhf.deb Size: 19350 SHA256: 825db42d3c914161cab2f040bd40433b19d0c89147242f2caa9a39ad1045a09e SHA1: bd7709b297ee9dadb8193c636529f1e4941dee2b MD5sum: adf21ca7f590e581193155aa937ace47 Description: GObject-based library for SSDP (tools) A GObject-based API for doing SSDP (Simple Service Discovery Protocol) transparently. . This package contains some tools, such as gssdp-device-sniffer. Package: gst123 Version: 0.3.1-1 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 140 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.0), libgstreamer0.10-0 (>= 0.10.0), libgtk2.0-0 (>= 2.24.0), libstdc++6 (>= 4.6), libtinfo5, libx11-6, gstreamer0.10-plugins-base, gstreamer0.10-plugins-good Recommends: gstreamer0.10-plugins-bad, gstreamer0.10-plugins-ugly, gstreamer0.10-ffmpeg Homepage: http://space.twc.de/~stefan/gst123.php Priority: extra Section: video Filename: pool/main/g/gst123/gst123_0.3.1-1_armhf.deb Size: 55712 SHA256: 1729771e4d3010e6d1d366ea9232b4ce2d0d4f366543d1693da3a2a006d02151 SHA1: 87abc56ae8cf25ac09091f89f8eb097b1ff48f89 MD5sum: d7bb58d91f54cb625103c709b8731e3c Description: GStreamer based command line media player The program gst123 is designed to be a more flexible command line player in the spirit of ogg123 and mpg123, based on GStreamer. It plays all file formats supported by GStreamer, so if you have audio/video collections which contain different file formats, like flac, ogg and mp3, you can use gst123 to play all your audio/video files. Package: gstm Version: 1.2-8 Architecture: armhf Maintainer: Ryan Niebur Installed-Size: 249 Depends: ssh-client, ssh-askpass-gnome, gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libx11-6, libxml2 (>= 2.7.4) Suggests: ssh-server Homepage: http://sourceforge.net/projects/gstm/ Priority: optional Section: gnome Filename: pool/main/g/gstm/gstm_1.2-8_armhf.deb Size: 111836 SHA256: 193b36b78636f9eb49612fb2bd88ec7fa6571dacbd0f05172c35148ce7d8986e SHA1: f6694889fd221e0e7433982b8cbc66a56bbc0771 MD5sum: 72ed7a5870099551c5ca272250cf48f0 Description: SSH tunnel manager for GNOME GNOME Secure shell Tunnel Manager is a front-end to manage secure shell tunneled port redirects. A port redirect is when you use secure shell to tunnel from your machine through another machine. Package: gstreamer-tools Source: gstreamer0.10 Version: 0.10.36-1.2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 695 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24), gstreamer0.10-tools | gstreamer0.8-tools Conflicts: gstreamer0.8-tools (<< 0.8.11-2) Homepage: http://gstreamer.freedesktop.org Priority: optional Section: utils Filename: pool/main/g/gstreamer0.10/gstreamer-tools_0.10.36-1.2_armhf.deb Size: 629350 SHA256: 78442b4cc64493ddfb3ef6a51c1228e7147bb493d4a1ddb43e3186021b43761b SHA1: b85eee19ca725c967cb16c1d98d6cedac483ec1b MD5sum: fc145322c7a2a5f989c73301859693ff Description: Tools for use with GStreamer GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains unversioned command-line tools for GStreamer that work with different major/minor versions of GStreamer. Package: gstreamer0.10-alsa Source: gst-plugins-base0.10 Version: 0.10.36-1.1+deb7u2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 642 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.36), libgstreamer-plugins-base0.10-0 (>= 0.10.36) Recommends: alsa-base (>= 0.9.0) Suggests: alsa-utils (>= 0.9.0) Provides: gstreamer0.10-audiosink, gstreamer0.10-audiosource Multi-Arch: same Homepage: http://gstreamer.freedesktop.org Priority: optional Section: libs Filename: pool/main/g/gst-plugins-base0.10/gstreamer0.10-alsa_0.10.36-1.1+deb7u2_armhf.deb Size: 595570 SHA256: 31e3be73e5d7747798b0a356fbb3fb1d63ad97eb5bd2d70d9cdd85707906c7af SHA1: 918b91c29bb8032c23d411d018f6b69322216ca5 MD5sum: 79cec23db6b31115d5cd480d85227391 Description: GStreamer plugin for ALSA GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains the GStreamer plugin for the ALSA library. ALSA is the Advanced Linux Sound Architecture. Gstreamer-Elements: alsamixer, alsasink, alsasrc Gstreamer-Version: 0.10 Package: gstreamer0.10-buzztard Source: gst-buzztard Version: 0.5.0-2+deb7u1 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 203 Depends: libgstbuzztard0 (= 0.5.0-2+deb7u1), libbml0 (>= 0.6.0), libc6 (>= 2.13-28), libfluidsynth1, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libgstreamer0.10-0 (>= 0.10.22), liboil0.3 (>= 0.3.10), libxml2 (>= 2.6.27) Recommends: buzztard Provides: gstreamer0.10-audiosource Homepage: http://www.buzztard.org Priority: optional Section: sound Filename: pool/main/g/gst-buzztard/gstreamer0.10-buzztard_0.5.0-2+deb7u1_armhf.deb Size: 59312 SHA256: 5ea5f3514caa2da07d8717464b18d9dcd4a399ee7a98526a4d6ae3bea53c7bd2 SHA1: 6d72f7c198f60cb1237b31593ef01275aa8737bf MD5sum: d5ccfd984e98a4f7236dd95e22890228 Description: Buzztard - Support plugins for GStreamer GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . The Buzztard project aims to provide a modular, free, open source music studio that is conceptually based on the proprietary Windows software called Buzz. The Buzztard project itself has no direct link to Buzz apart from its concepts that we build upon and is no 1:1 Buzz copy. To allow migration for Buzz users, Buzztard provides song-file import and buzz-machine reuse. . This package contains the GStreamer plugins for Buzztard. Gstreamer-Elements: audiodelay, fluidsynth, simsyn Gstreamer-Version: 0.10 Package: gstreamer0.10-buzztard-doc Source: gst-buzztard Version: 0.5.0-2+deb7u1 Installed-Size: 174 Maintainer: Maintainers of GStreamer packages Architecture: all Recommends: libglib2.0-doc, gstreamer0.10-doc Size: 29722 SHA256: 18746c94880673a4414968472c5719df2e9d5f3847dab503a1d41a204de2044f SHA1: 4bba0a68e1cb57436131c191de50ec3534d81c86 MD5sum: 2a0737fa982150ce3ac93b8a497e0cee Description: Buzztard - Support plugins for GStreamer (documentation) GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . The Buzztard project aims to provide a modular, free, open source music studio that is conceptually based on the proprietary Windows software called Buzz. The Buzztard project itself has no direct link to Buzz apart from its concepts that we build upon and is no 1:1 Buzz copy. To allow migration for Buzz users, Buzztard provides song-file import and buzz-machine reuse. . This package contains documentation for plugins included in the GStreamer Buzztard plugins package. Homepage: http://www.buzztard.org Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gst-buzztard/gstreamer0.10-buzztard-doc_0.5.0-2+deb7u1_all.deb Package: gstreamer0.10-chromaprint Source: gst-chromaprint Version: 0.1-3 Architecture: armhf Maintainer: Christoph Reiter Installed-Size: 47 Depends: libc6 (>= 2.13-28), libchromaprint0 (>= 0.2), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.14.0), libgstreamer-plugins-base0.10-0 (>= 0.10.0), libgstreamer0.10-0 (>= 0.10.14), libxml2 (>= 2.6.27) Priority: optional Section: libs Filename: pool/main/g/gst-chromaprint/gstreamer0.10-chromaprint_0.1-3_armhf.deb Size: 8318 SHA256: 8133531fecafc707c047bd3fad3139b3ca4194087e24ca72bc05ccdcf30a542b SHA1: 6ebcb2601525a12c62b925c886333f96d332deb9 MD5sum: c19fccd05f69acfcbad9f8022550f783 Description: GStreamer plugin for calculating audio fingerprints Chromaprint is a library for calculating audio fingerprints which are used by the Acoustid service. . This package provides a GStreamer plugin for chromaprint. Package: gstreamer0.10-doc Source: gstreamer0.10 Version: 0.10.36-1.2 Installed-Size: 26691 Maintainer: Maintainers of GStreamer packages Architecture: all Depends: libxml2-doc, libglib2.0-doc Recommends: libgstreamer0.10-dev (= 0.10.36-1.2) Size: 4500098 SHA256: 5d0cfea637e183b9ecd2131b0308794d5f170cf7389000a723d3d506a118ae20 SHA1: 9544e4b2f3d2f91eff657ec4dc315b996605ad59 MD5sum: 8c1615df94dd964f98b20accf4945f4d Description: GStreamer core documentation and manuals GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains documentation for core libraries and elements as well as: * the GStreamer Manual * the GStreamer Plugin Writers Guide * Various API docs Homepage: http://gstreamer.freedesktop.org Tag: made-of::html, made-of::pdf, made-of::postscript, role::documentation Section: doc Priority: optional Filename: pool/main/g/gstreamer0.10/gstreamer0.10-doc_0.10.36-1.2_all.deb Package: gstreamer0.10-dvswitch Version: 0.0.1-1 Architecture: armhf Maintainer: James Bromberger Installed-Size: 56 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.14.0), libgstreamer0.10-0 (>= 0.10.18), libxml2 (>= 2.6.27) Homepage: https://github.com/timsvideo/gst-dvswitch Priority: extra Section: libs Filename: pool/main/g/gstreamer0.10-dvswitch/gstreamer0.10-dvswitch_0.0.1-1_armhf.deb Size: 13826 SHA256: 25e3af35606c61db96c37fe3240f45660d49d34775d570ca1ac47d7175449dfb SHA1: 95e5cd1fc83b2005772990223e7b74820db64f4d MD5sum: 02deea8ca2bb78a92e33f8c238f9335a Description: GStreamer plugin source from DVswitch gst-dvswitch is a GStreamer plugin for acquiring a DIF (DV) stream from a dvswitch server. The plugin does not require dvswitch to be installed on the same machine. This plugin borrows code quite heavily from udpsrc. Package: gstreamer0.10-ffmpeg Version: 0.10.13-5 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 356 Depends: libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.36), libgstreamer0.10-0 (>= 0.10.36), liborc-0.4-0 (>= 1:0.4.16), libpostproc52 (>= 5:0.8-2~), libswscale2 (>= 5:0.8-2~) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/g/gstreamer0.10-ffmpeg/gstreamer0.10-ffmpeg_0.10.13-5_armhf.deb Size: 183460 SHA256: 8e68c3418580f275deefdd840821cc4b623d237bb99bf6bc71b8c1bfbe8ea46e SHA1: 39002e7a403e8cecdade93c233617785d2e36ef7 MD5sum: ba18320b7397e812a0b744f73e1648eb Description: FFmpeg plugin for GStreamer This GStreamer plugin supports a large number of audio and video compression formats through the use of the FFmpeg library. The plugin contains GStreamer elements for decoding 90+ formats (AVI, MPEG, OGG, Matroska, ASF, ...), demuxing 30+ formats and colorspace conversion. . http://www.ffmpeg.org/ http://gstreamer.freedesktop.org/ http://gstreamer.freedesktop.org/modules/gst-ffmpeg.html Gstreamer-Decoders: application/gxf; application/mxf; application/x-ape; application/x-gst_ff-RoQ; application/x-gst_ff-avs; application/x-gst_ff-daud; application/x-gst_ff-ea; application/x-gst_ff-ffm; application/x-gst_ff-film_cpk; application/x-gst_ff-idcin; application/x-gst_ff-ipmovie; application/x-gst_ff-mm; application/x-gst_ff-mmf; application/x-gst_ff-nut; application/x-gst_ff-nuv; application/x-gst_ff-psxstr; application/x-gst_ff-smk; application/x-gst_ff-sol; application/x-gst_ff-vmd; application/x-gst_ff-voc; application/x-gst_ff-wc3movie; application/x-gst_ff-wsaud; application/x-gst_ff-wsvqa; application/x-shockwave-flash; application/x-yuv4mpeg, y4mversion=(int)2; audio/AMR; audio/AMR-WB; audio/G722; audio/mpeg, mpegversion=(int)1, layer=(int){ 2, 1 }; audio/mpeg, mpegversion=(int)4, stream-format=(string)loas; audio/mpeg, mpegversion=(int){ 2, 4 }, stream-format=(string){ raw, adts, adif }; audio/ms-gsm; audio/qcelp; audio/x-adpcm, layout=(string){ yamaha, xa, thp, swf, sbpro4, sbpro3, sbpro2, microsoft, westwood, dvi, smjpeg, quicktime, iss, ea-sead, ea-eacs, dk4, dk3, amv, g726, g721, ea-xas, ea-r1, ea-maxis-xa, ea, ct, adx, 4xm, ea-r3 }; audio/x-aiff; audio/x-alac; audio/x-dpcm, layout=(string){ xan, sol, roq, interplay }; audio/x-ffmpeg-parsed-ape; audio/x-ffmpeg-parsed-musepack, streamversion=(int){ 8, 7 }; audio/x-flac; audio/x-gsm; audio/x-gst_ff-mp3adu; audio/x-gst_ff-mp3on4; audio/x-gst_ff-vmdaudio; audio/x-gst_ff-ws_snd1; audio/x-imc; audio/x-mace, maceversion=(int){ 6, 3 }; audio/x-mlp; audio/x-musepack, streamversion=(int)7; audio/x-nellymoser; audio/x-pn-realaudio, raversion=(int){ 2, 1, 8 }; audio/x-qdm2; audio/x-shorten; audio/x-sipro; audio/x-true-hd; audio/x-truespeech; audio/x-tta; audio/x-ttafile; audio/x-twin-vq; audio/x-vnd.sony.atrac1; audio/x-vnd.sony.atrac3; audio/x-wma, wmaversion=(int){ 2, 1, 3 }; audio/x-wms; image/bmp; image/jpeg; image/pbm; image/png; image/ppm; image/tiff; image/x-pcx; image/x-portable-anymap; image/x-portable-graymap; image/x-sgi; image/x-sun-raster; image/x-tga; unknown/unknown; video/mpeg, mpegversion=(int){ 4, [ 1, 2 ] }, systemstream=(boolean)false; video/sp5x; video/x-3ivx; video/x-4xm; video/x-aasc; video/x-amv; video/x-apple-video; video/x-asus, asusversion=(int){ 2, 1 }; video/x-ati-vcr, vcrversion=(int)1; video/x-camstudio; video/x-camtasia; video/x-cinepak; video/x-cirrus-logic-accupak; video/x-compressed-yuv; video/x-divx, divxversion=(int){ 3, [ 4, 5 ] }; video/x-dnxhd; video/x-dv, systemstream=(boolean)false; video/x-ffv, ffvversion=(int)1; video/x-flash-screen; video/x-flash-video, flvversion=(int)1; video/x-fraps; video/x-gst_ff-8bps; video/x-gst_ff-avs; video/x-gst_ff-cavs; video/x-gst_ff-ffvhuff; video/x-gst_ff-flic; video/x-gst_ff-idcinvideo; video/x-gst_ff-interplayvideo; video/x-gst_ff-mdec; video/x-gst_ff-mmvideo; video/x-gst_ff-pgmyuv; video/x-gst_ff-qpeg; video/x-gst_ff-roqvideo; video/x-gst_ff-snow; video/x-gst_ff-vmdvideo; video/x-gst_ff-vqavideo; video/x-gst_ff-wnv1; video/x-gst_ff-xl; video/x-h261; video/x-h263, variant=(string)itu; video/x-h264; video/x-huffyuv; video/x-indeo, indeoversion=(int){ 5, 3, 2 }; video/x-intel-h263, variant=(string)intel; video/x-kmvc; video/x-lagarith; video/x-loco; video/x-mimic; video/x-mjpeg-b; video/x-msmpeg, msmpegversion=(int){ 43, 42, 41 }; video/x-msvideocodec, msvideoversion=(int)1; video/x-mszh; video/x-nsv; video/x-nuv; video/x-pn-realvideo, systemstream=(boolean)false, rmversion=(int){ 4, 3, 2, 1 }; video/x-qdrw; video/x-rle, layout=(string){ quicktime, microsoft }; video/x-smc; video/x-svq, svqversion=(int){ 3, 1 }; video/x-truemotion, trueversion=(int){ 2, 1 }; video/x-ultimotion; video/x-vmnc; video/x-vp3; video/x-vp5; video/x-vp6; video/x-vp6-alpha; video/x-vp6-flash; video/x-vp8; video/x-wmv, wmvversion=(int){ 3, 2, 1 }; video/x-xan, wcversion=(int){ 4, 3 }; video/x-xvid; video/x-zlib; video/x-zmbv Gstreamer-Elements: ffaudioresample, ffdec_4xm, ffdec_8bps, ffdec_8svx_exp, ffdec_8svx_fib, ffdec_FRWU, ffdec_aac, ffdec_aac_latm, ffdec_aasc, ffdec_ac3, ffdec_adpcm_4xm, ffdec_adpcm_adx, ffdec_adpcm_ct, ffdec_adpcm_ea, ffdec_adpcm_ea_maxis_xa, ffdec_adpcm_ea_r1, ffdec_adpcm_ea_r2, ffdec_adpcm_ea_r3, ffdec_adpcm_ea_xas, ffdec_adpcm_ima_amv, ffdec_adpcm_ima_dk3, ffdec_adpcm_ima_dk4, ffdec_adpcm_ima_ea_eacs, ffdec_adpcm_ima_ea_sead, ffdec_adpcm_ima_iss, ffdec_adpcm_ima_qt, ffdec_adpcm_ima_smjpeg, ffdec_adpcm_ima_wav, ffdec_adpcm_ima_ws, ffdec_adpcm_ms, ffdec_adpcm_sbpro_2, ffdec_adpcm_sbpro_3, ffdec_adpcm_sbpro_4, ffdec_adpcm_swf, ffdec_adpcm_thp, ffdec_adpcm_xa, ffdec_adpcm_yamaha, ffdec_alac, ffdec_als, ffdec_amrnb, ffdec_amrwb, ffdec_amv, ffdec_anm, ffdec_ansi, ffdec_ape, ffdec_asv1, ffdec_asv2, ffdec_atrac1, ffdec_atrac3, ffdec_aura, ffdec_aura2, ffdec_avs, ffdec_bethsoftvid, ffdec_bfi, ffdec_binkaudio_dct, ffdec_binkaudio_rdft, ffdec_binkvideo, ffdec_bmp, ffdec_bmv_audio, ffdec_bmv_video, ffdec_c93, ffdec_camstudio, ffdec_camtasia, ffdec_cavs, ffdec_cdgraphics, ffdec_cinepak, ffdec_cljr, ffdec_cook, ffdec_cyuv, ffdec_dca, ffdec_dfa, ffdec_dnxhd, ffdec_dpx, ffdec_dsicinaudio, ffdec_dsicinvideo, ffdec_dvvideo, ffdec_dxa, ffdec_dxtory, ffdec_eac3, ffdec_eacmv, ffdec_eamad, ffdec_eatgq, ffdec_eatgv, ffdec_eatqi, ffdec_escape124, ffdec_ffv1, ffdec_ffvhuff, ffdec_flac, ffdec_flashsv, ffdec_flashsv2, ffdec_flic, ffdec_flv, ffdec_fraps, ffdec_g722, ffdec_g726, ffdec_gsm, ffdec_gsm_ms, ffdec_h261, ffdec_h263, ffdec_h263i, ffdec_h264, ffdec_huffyuv, ffdec_idcinvideo, ffdec_iff_byterun1, ffdec_iff_ilbm, ffdec_imc, ffdec_indeo2, ffdec_indeo3, ffdec_indeo4, ffdec_indeo5, ffdec_interplay_dpcm, ffdec_interplayvideo, ffdec_jpegls, ffdec_jv, ffdec_kgv1, ffdec_kmvc, ffdec_lagarith, ffdec_loco, ffdec_mace3, ffdec_mace6, ffdec_mdec, ffdec_mimic, ffdec_mjpeg, ffdec_mjpegb, ffdec_mlp, ffdec_mmvideo, ffdec_motionpixels, ffdec_mp1float, ffdec_mp2float, ffdec_mp3, ffdec_mp3adu, ffdec_mp3adufloat, ffdec_mp3float, ffdec_mp3on4, ffdec_mp3on4float, ffdec_mpc7, ffdec_mpc8, ffdec_mpeg2video, ffdec_mpeg4, ffdec_msmpeg4, ffdec_msmpeg4v1, ffdec_msmpeg4v2, ffdec_msrle, ffdec_msvideo1, ffdec_mszh, ffdec_mxpeg, ffdec_nellymoser, ffdec_nuv, ffdec_pam, ffdec_pbm, ffdec_pcm_lxf, ffdec_pcm_s8_planar, ffdec_pcx, ffdec_pgm, ffdec_pgmyuv, ffdec_pictor, ffdec_png, ffdec_ppm, ffdec_prores, ffdec_ptx, ffdec_qcelp, ffdec_qdm2, ffdec_qdraw, ffdec_qpeg, ffdec_qtrle, ffdec_r10k, ffdec_real_144, ffdec_real_288, ffdec_rl2, ffdec_roq_dpcm, ffdec_roqvideo, ffdec_rpza, ffdec_rv10, ffdec_rv20, ffdec_rv30, ffdec_rv40, ffdec_s302m, ffdec_sgi, ffdec_shorten, ffdec_sipr, ffdec_smackaud, ffdec_smackvid, ffdec_smc, ffdec_snow, ffdec_sol_dpcm, ffdec_sp5x, ffdec_sunrast, ffdec_svq1, ffdec_svq3, ffdec_targa, ffdec_thp, ffdec_tiertexseqvideo, ffdec_tiff, ffdec_tmv, ffdec_truehd, ffdec_truemotion1, ffdec_truemotion2, ffdec_truespeech, ffdec_tta, ffdec_twinvq, ffdec_txd, ffdec_ultimotion, ffdec_utvideo, ffdec_v410, ffdec_vb, ffdec_vble, ffdec_vc1, ffdec_vc1image, ffdec_vcr1, ffdec_vmdaudio, ffdec_vmdvideo, ffdec_vmnc, ffdec_vp3, ffdec_vp5, ffdec_vp6, ffdec_vp6a, ffdec_vp6f, ffdec_vp8, ffdec_vqavideo, ffdec_wmapro, ffdec_wmav1, ffdec_wmav2, ffdec_wmavoice, ffdec_wmv1, ffdec_wmv2, ffdec_wmv3, ffdec_wmv3image, ffdec_wnv1, ffdec_ws_snd1, ffdec_xan_dpcm, ffdec_xan_wc3, ffdec_xan_wc4, ffdec_xl, ffdec_xsub, ffdec_yop, ffdec_zlib, ffdec_zmbv, ffdeinterlace, ffdemux_4xm, ffdemux_RoQ, ffdemux_aiff, ffdemux_ape, ffdemux_avs, ffdemux_daud, ffdemux_ea, ffdemux_ffm, ffdemux_film_cpk, ffdemux_gxf, ffdemux_idcin, ffdemux_ipmovie, ffdemux_mm, ffdemux_mmf, ffdemux_mpc, ffdemux_mxf, ffdemux_nsv, ffdemux_nut, ffdemux_nuv, ffdemux_psxstr, ffdemux_smk, ffdemux_sol, ffdemux_swf, ffdemux_tta, ffdemux_vmd, ffdemux_voc, ffdemux_wc3movie, ffdemux_wsaud, ffdemux_wsvqa, ffdemux_yuv4mpegpipe, ffenc_a64multi, ffenc_a64multi5, ffenc_aac, ffenc_ac3, ffenc_ac3_fixed, ffenc_adpcm_adx, ffenc_adpcm_ima_qt, ffenc_adpcm_ima_wav, ffenc_adpcm_ms, ffenc_adpcm_swf, ffenc_adpcm_yamaha, ffenc_alac, ffenc_asv1, ffenc_asv2, ffenc_bmp, ffenc_cljr, ffenc_dnxhd, ffenc_dpx, ffenc_dvvideo, ffenc_eac3, ffenc_ffv1, ffenc_ffvhuff, ffenc_flashsv, ffenc_flv, ffenc_g722, ffenc_g726, ffenc_h261, ffenc_h263, ffenc_h263p, ffenc_huffyuv, ffenc_jpegls, ffenc_ljpeg, ffenc_mjpeg, ffenc_mp2, ffenc_mpeg1video, ffenc_mpeg2video, ffenc_mpeg4, ffenc_msmpeg4, ffenc_msmpeg4v2, ffenc_nellymoser, ffenc_pam, ffenc_pbm, ffenc_pcx, ffenc_pgm, ffenc_pgmyuv, ffenc_png, ffenc_ppm, ffenc_qtrle, ffenc_real_144, ffenc_roq_dpcm, ffenc_roqvideo, ffenc_rv10, ffenc_rv20, ffenc_sgi, ffenc_snow, ffenc_svq1, ffenc_targa, ffenc_tiff, ffenc_v410, ffenc_wmav1, ffenc_wmav2, ffenc_wmv1, ffenc_wmv2, ffenc_zmbv, ffmux_3g2, ffmux_3gp, ffmux_a64, ffmux_adts, ffmux_adx, ffmux_aiff, ffmux_amr, ffmux_asf, ffmux_asf_stream, ffmux_au, ffmux_avi, ffmux_avm2, ffmux_daud, ffmux_dv, ffmux_dvd, ffmux_ffm, ffmux_filmstrip, ffmux_flv, ffmux_gxf, ffmux_ipod, ffmux_ivf, ffmux_latm, ffmux_matroska, ffmux_md5, ffmux_mmf, ffmux_mov, ffmux_mp2, ffmux_mp3, ffmux_mp4, ffmux_mpeg, ffmux_mpegts, ffmux_mpjpeg, ffmux_mxf, ffmux_mxf_d10, ffmux_nut, ffmux_ogg, ffmux_oma, ffmux_psp, ffmux_rcv, ffmux_rm, ffmux_rso, ffmux_rtsp, ffmux_sap, ffmux_segment, ffmux_smjpeg, ffmux_sox, ffmux_spdif, ffmux_svcd, ffmux_swf, ffmux_vcd, ffmux_vob, ffmux_voc, ffmux_wav, ffmux_webm, ffmux_yuv4mpegpipe, ffvideoscale, postproc_ahdeblock, postproc_autolevels, postproc_avdeblock, postproc_cubicipoldeint, postproc_default, postproc_dering, postproc_ffmpegdeint, postproc_forcequant, postproc_hdeblock, postproc_linblenddeint, postproc_linipoldeint, postproc_lowpass5, postproc_mediandeint, postproc_tmpnoise, postproc_vdeblock, postproc_x1hdeblock, postproc_x1vdeblock Gstreamer-Encoders: application/gxf; application/mxf; application/ogg; application/x-gst_ff-a64; application/x-gst_ff-adts; application/x-gst_ff-adx; application/x-gst_ff-asf_stream; application/x-gst_ff-avm2; application/x-gst_ff-daud; application/x-gst_ff-dvd; application/x-gst_ff-ffm; application/x-gst_ff-filmstrip; application/x-gst_ff-ivf; application/x-gst_ff-latm; application/x-gst_ff-md5; application/x-gst_ff-mmf; application/x-gst_ff-mpjpeg; application/x-gst_ff-nut; application/x-gst_ff-oma; application/x-gst_ff-rcv; application/x-gst_ff-rso; application/x-gst_ff-rtsp; application/x-gst_ff-sap; application/x-gst_ff-smjpeg; application/x-gst_ff-sox; application/x-gst_ff-spdif; application/x-gst_ff-svcd; application/x-gst_ff-vcd; application/x-gst_ff-vob; application/x-gst_ff-voc; application/x-pn-realmedia, systemstream=(boolean)true; application/x-shockwave-flash; application/x-yuv4mpeg, y4mversion=(int)2; audio/G722; audio/mpeg, mpegversion=(int)4, stream-format=(string)raw, base-profile=(string)lc; audio/mpeg, mpegversion=(int)1, layer=(int)2; audio/x-ac3; audio/x-adpcm, layout=(string){ yamaha, swf, microsoft, dvi, quicktime, g726, adx }; audio/x-aiff; audio/x-alac; audio/x-amr-nb-sh; audio/x-au; audio/x-dpcm, layout=(string)roq; audio/x-eac3; audio/x-nellymoser; audio/x-pn-realaudio, raversion=(int)1; audio/x-wav; audio/x-wma, wmaversion=(int){ 2, 1 }; image/bmp; image/jpeg; image/pbm; image/png; image/ppm; image/tiff; image/x-pcx; image/x-portable-anymap; image/x-portable-graymap; image/x-sgi; image/x-tga; unknown/unknown; video/mpeg, mpegversion=(int){ 4, 2, 1 }, systemstream=(boolean)false; video/mpeg, systemstream=(boolean)true; video/mpegts, systemstream=(boolean)true; video/quicktime, variant=(string){ 3gpp, 3g2, psp, iso, apple, ipod }; video/webm; video/x-asus, asusversion=(int){ 2, 1 }; video/x-cirrus-logic-accupak; video/x-divx, divxversion=(int)5; video/x-dnxhd; video/x-dv, systemstream=(boolean){ true, false }; video/x-ffv, ffvversion=(int)1; video/x-flash-screen; video/x-flash-video, flvversion=(int)1; video/x-flv; video/x-gst_ff-ffvhuff; video/x-gst_ff-pgmyuv; video/x-gst_ff-roqvideo; video/x-gst_ff-snow; video/x-h261; video/x-h263, variant=(string)itu, h263version=(string){ h263p, h263 }; video/x-huffyuv; video/x-matroska; video/x-ms-asf; video/x-msmpeg, msmpegversion=(int){ 43, 42 }; video/x-msvideo; video/x-pn-realvideo, systemstream=(boolean)false, rmversion=(int){ 2, 1 }; video/x-rle, layout=(string)quicktime; video/x-svq, svqversion=(int)1; video/x-wmv, wmvversion=(int){ 2, 1 }; video/x-zmbv Gstreamer-Version: 0.10 Package: gstreamer0.10-ffmpeg-dbg Source: gstreamer0.10-ffmpeg Version: 0.10.13-5 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 772 Depends: gstreamer0.10-ffmpeg (= 0.10.13-5) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/g/gstreamer0.10-ffmpeg/gstreamer0.10-ffmpeg-dbg_0.10.13-5_armhf.deb Size: 378814 SHA256: e1665da4c281f8b833aebb74161a74afbd9d35e0d661e5d5d60800b8e58fa9ea SHA1: 9a767f3b3a37561a873e67aa1d3f8de277f5a28b MD5sum: f3852660b5de996f775a3623762973e5 Description: FFmpeg plugin for GStreamer (debug symbols) This GStreamer plugin supports a large number of audio and video compression formats through the use of the FFmpeg library. The plugin contains GStreamer elements for decoding 90+ formats (AVI, MPEG, OGG, Matroska, ASF, ...), demuxing 30+ formats and colorspace conversion. . http://www.ffmpeg.org/ http://gstreamer.freedesktop.org/ http://gstreamer.freedesktop.org/modules/gst-ffmpeg.html . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: gstreamer0.10-fluendo-mp3 Source: gst-fluendo-mp3 Version: 0.10.15.debian-1 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 238 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0), libgstreamer0.10-0 (>= 0.10.14), liboil0.3 (>= 0.3.10), libxml2 (>= 2.6.27) Homepage: http://www.fluendo.com/resources/fluendo_mp3.php Priority: optional Section: libs Filename: pool/main/g/gst-fluendo-mp3/gstreamer0.10-fluendo-mp3_0.10.15.debian-1_armhf.deb Size: 106356 SHA256: 24d765d6c121e20965894a871651522e2edb5d32babc595a1fa768ced1657fa0 SHA1: 7624cb96cc4b4b89e1b3901c83dd818b09ff057b MD5sum: 97f1ebebd318af6c11f8e8b679782a3e Description: Fluendo mp3 decoder GStreamer plugin This GStreamer plugin permits decoding of MPEG 1 audio layer III streams. It is derived from the ISO MPEG dist10 reference package. . This plugin differs from the GStreamer MAD plugin in that it doesn't depend on a GPL library. Gstreamer-Decoders: audio/mpeg, mpegversion=(int)1, layer=(int)[ 1, 3 ] Gstreamer-Elements: flump3dec Gstreamer-Version: 0.10 Package: gstreamer0.10-gconf Source: gst-plugins-good0.10 Version: 0.10.31-3+nmu1+deb7u2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 714 Depends: gconf2 (>= 2.28.1-2), gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.36) Conflicts: gstreamer0.10-plugins-good (<< 0.10.30-2) Replaces: gstreamer0.10-plugins-good (<< 0.10.30-2) Multi-Arch: same Priority: optional Section: sound Filename: pool/main/g/gst-plugins-good0.10/gstreamer0.10-gconf_0.10.31-3+nmu1+deb7u2_armhf.deb Size: 705188 SHA256: c810985d70c8b6ec1b229c59aab9cb100e28ffc53587dc7b0537602327dfa5ab SHA1: de919db229b295931b2e6c59ff5fbd575381ab03 MD5sum: faebc0ada4f9638e086ea20113f85532 Description: GStreamer plugin for getting the sink/source information from GConf GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains the GStreamer plugin for getting the information about which sources or sinks should be used for audio and video from GConf. Gstreamer-Elements: gconfaudiosink, gconfaudiosrc, gconfvideosink, gconfvideosrc Gstreamer-Version: 0.10 Package: gstreamer0.10-gnomevfs Source: gst-plugins-base0.10 Version: 0.10.36-1.1+deb7u2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 589 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libgnomevfs2-0 (>= 1:2.17.90), libgstreamer0.10-0 (>= 0.10.36) Recommends: libgnomevfs2-extra Multi-Arch: same Homepage: http://gstreamer.freedesktop.org Priority: optional Section: libs Filename: pool/main/g/gst-plugins-base0.10/gstreamer0.10-gnomevfs_0.10.36-1.1+deb7u2_armhf.deb Size: 580230 SHA256: 68dce730c4ea7efdc5dc90bddcac704b27ea3ee989a7ff44a681509bf2f2a572 SHA1: f52f1dbc1d1e323b6ae1fb0d0d8e393aa72f9668 MD5sum: 0cd8c614141ffa31bd29d74ba980c994 Description: GStreamer plugin for GnomeVFS GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains the GStreamer plugin to read from and write to GnomeVFS URIs. GnomeVFS is the GNOME virtual file-system. Gstreamer-Elements: gnomevfssink, gnomevfssrc Gstreamer-Uri-Sinks: file, sftp, ssh Gstreamer-Uri-Sources: file, sftp, ssh Gstreamer-Version: 0.10 Package: gstreamer0.10-gnonlin Source: gnonlin Version: 0.10.17-2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 190 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.30), gstreamer0.10-plugins-base (>= 0.10.30) Homepage: http://gstreamer.freedesktop.org Priority: optional Section: gnome Filename: pool/main/g/gnonlin/gstreamer0.10-gnonlin_0.10.17-2_armhf.deb Size: 90950 SHA256: d3af865714841401562f43a6d4e8825e36371b275c7586e3d58670c236161b43 SHA1: 78f5d9ead999cf9c820e19f38be23fca1e001d18 MD5sum: ddd942e13e6f62a2916bac33d2c9aed1 Description: non-linear editing module for GStreamer GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . Gnonlin is a set of GStreamer elements to ease the creation of non-linear multimedia editors. It works together with the GStreamer multimedia framework to give developers a powerful and flexible set of tools for quickly assembling applications which needs to handle non-linear multimedia editing. . This package contains the GStreamer plugins for Gnonlin. Gstreamer-Elements: gnlcomposition, gnlfilesource, gnloperation, gnlsource, gnlurisource Gstreamer-Version: 0.10 Package: gstreamer0.10-gnonlin-dbg Source: gnonlin Version: 0.10.17-2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 337 Depends: gstreamer0.10-gnonlin (= 0.10.17-2) Homepage: http://gstreamer.freedesktop.org Priority: extra Section: debug Filename: pool/main/g/gnonlin/gstreamer0.10-gnonlin-dbg_0.10.17-2_armhf.deb Size: 144330 SHA256: c6567087ba2e678c47884d8b8587c737ee4dec7f48a95a816fa851801832a90f SHA1: 8adb18f8c9e7082f26495f071bdbec3a2bbe6b6a MD5sum: bba5fdcd1d1f151a91006c40fe864e8f Description: non-linear editing module for GStreamer GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . Gnonlin is a set of GStreamer elements to ease the creation of non-linear multimedia editors. It works together with the GStreamer multimedia framework to give developers a powerful and flexible set of tools for quickly assembling applications which needs to handle non-linear multimedia editing. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: gstreamer0.10-gnonlin-doc Source: gnonlin Version: 0.10.17-2 Installed-Size: 184 Maintainer: Maintainers of GStreamer packages Architecture: all Size: 44390 SHA256: fffa78301b0df96b8966f686a46d78b35b54d78833be71fb68562950bc589f61 SHA1: 6b68284deea5fdd82fc96872ddd175f949283c25 MD5sum: 85fc7a65743b830054257161b8f61a49 Description: GStreamer documentation for the non-linear editing module GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . Gnonlin is a set of GStreamer elements to ease the creation of non-linear multimedia editors. It works together with the GStreamer multimedia framework to give developers a powerful and flexible set of tools for quickly assembling applications which needs to handle non-linear multimedia editing. . This package contains the documentation for Gnonlin. Homepage: http://gstreamer.freedesktop.org Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gnonlin/gstreamer0.10-gnonlin-doc_0.10.17-2_all.deb Package: gstreamer0.10-hplugins Source: gstreamer-hplugins Version: 0.2.0-2 Architecture: armhf Maintainer: Samuel Ribeiro da Costa Vale Installed-Size: 54 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.25), libgstreamer0.10-0 (>= 0.10.25), libxml2 (>= 2.6.27) Homepage: http://landell.holoscopio.com/ Priority: optional Section: libs Filename: pool/main/g/gstreamer-hplugins/gstreamer0.10-hplugins_0.2.0-2_armhf.deb Size: 11402 SHA256: 234f5ed30089536112b5308156b292cce91fb091fe7ffee0329cd3696b20d45d SHA1: df5413ae1b80b421d33d207a961167197efd61ec MD5sum: 4411738eb34e59212fb4a37ea5bab3fd Description: Holoscópio plugins for GStreamer These GStreamer plugins adds extra features and effects for video processing: . * cairoimageoverlay: puts an image on top of the video * livekeeper: keep a disconnected video streaming the last frame Package: gstreamer0.10-nice Source: libnice Version: 0.1.2-1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 86 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libgssdp-1.0-3 (>= 0.12.0), libgstreamer0.10-0 (>= 0.10.35.2-2), libgupnp-1.0-4 (>= 0.18.0), libgupnp-igd-1.0-4 (>= 0.1.8), libnice10 (>= 0.1.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.6.27) Breaks: libgstfarsight0.10-0 (<< 0.0.23) Multi-Arch: same Homepage: http://nice.freedesktop.org/ Priority: optional Section: net Filename: pool/main/libn/libnice/gstreamer0.10-nice_0.1.2-1_armhf.deb Size: 23518 SHA256: ea2728d70fe2c9939515338c78dce2c7c3a48794391d06062d3b2a3a47450d81 SHA1: 6c913d10224ed3fb6cc6672c6af32a07c3a2f8ae MD5sum: 58c52c247a4186aa2d048918d610f707 Description: ICE library (GStreamer plugin) Nice is an implementation of the IETF's draft Interactive Connectivity Establishment standard (ICE). ICE is useful for applications that want to establish peer-to-peer UDP data streams. It automates the process of traversing NATs and provides security against some attacks. . Existing standards that use ICE include the Session Initiation Protocol (SIP) and the Jingle XMPP extension for audio/video calls. . Nice includes integration with GStreamer. . This package provides a GStreamer plugin for nice. Package: gstreamer0.10-packagekit Source: packagekit Version: 0.7.6-3 Architecture: armhf Maintainer: Matthias Klumpp Installed-Size: 62 Depends: packagekit (= 0.7.6-3), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.26.0), libgstreamer0.10-0 (>= 0.10.0), libpackagekit-glib2-14 Suggests: gnome-packagekit | apper Homepage: http://www.packagekit.org Priority: optional Section: libs Filename: pool/main/p/packagekit/gstreamer0.10-packagekit_0.7.6-3_armhf.deb Size: 18684 SHA256: f942b7b903d91695926ac758910f0d6b07639acc4e2b9cdb60ec314b4dd8e2dc SHA1: 5dd6152af2125ab757672931a5286457477382d4 MD5sum: 48a6a3ae7c2c43d9e953a7588de2c8d3 Description: GStreamer plugin to install codecs using PackageKit PackageKit allows performing simple software management tasks over a DBus interface e.g refreshing the cache, updating, installing and removing software packages or searching for multimedia codecs and file handlers. . This package provides a plugin for the GStreamer multimedia framework to search and to install audio and video codecs. Package: gstreamer0.10-plugins-bad Source: gst-plugins-bad0.10 Version: 0.10.23-7.1+deb7u5 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 5315 Pre-Depends: multiarch-support Depends: dconf-gsettings-backend | gsettings-backend, libass4 (>= 0.9.7), libbz2-1.0, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcdaudio1 (>= 0.99.12p2), libcurl3-gnutls (>= 7.16.2), libdc1394-22, libdca0, libdirac-encoder0, libdirectfb-1.2-9, libdvdnav4 (>= 4.2.0+20120524), libdvdread4, libfaad2 (>= 2.7), libflite1, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgme0 (>= 0.5.5), libgsm1 (>= 1.0.13), libgstreamer-plugins-bad0.10-0 (= 0.10.23-7.1+deb7u5), libgstreamer-plugins-base0.10-0 (>= 0.10.36), libgstreamer0.10-0 (>= 0.10.36), libjasper1, libkate1 (>= 0.4.1), libmimic0, libmms0 (>= 0.4), libmodplug1, libmpcdec6 (>= 1:0.1~r435), libofa0 (>= 0.9.3), libopenal1, libopus0, liborc-0.4-0 (>= 1:0.4.16), libpng12-0 (>= 1.2.13-4), librsvg2-2 (>= 2.14.4), librtmp0 (>= 2.3), libschroedinger-1.0-0 (>= 1.0.9), libslv2-9 (>= 0.6.4-1~), libsndfile1 (>= 1.0.20), libsoundtouch0, libspandsp2 (>= 0.0.6~pre18), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.3.0), libvo-aacenc0, libvo-amrwbenc0, libvpx1 (>= 1.0.0), libwildmidi1 (>= 0.2.3), libx11-6, libxml2 (>= 2.6.27), libxvidcore4 (>= 1.2.2), libzbar0 (>= 0.10), libzvbi0 (>= 0.2.11), gstreamer0.10-plugins-base Suggests: frei0r-plugins Conflicts: gstreamer0.10-plugins-farsight, gstreamer0.10-plugins-good (<< 0.10.15.2), gstreamer0.10-plugins-ugly (<< 0.10.13.2), gstreamer0.10-schroedinger, gstreamer0.10-xvid Replaces: gstreamer0.10-plugins-bad-multiverse (<< 0.10.5-2), gstreamer0.10-plugins-farsight, gstreamer0.10-plugins-really-bad (<< 0.10.14), gstreamer0.10-schroedinger, gstreamer0.10-xvid Provides: gstreamer0.10-audiosink, gstreamer0.10-audiosource, gstreamer0.10-plugins-farsight, gstreamer0.10-schroedinger, gstreamer0.10-videosink, gstreamer0.10-videosource, gstreamer0.10-visualization Multi-Arch: same Homepage: http://gstreamer.freedesktop.org/modules/gst-plugins-bad.html Priority: extra Section: libs Filename: pool/main/g/gst-plugins-bad0.10/gstreamer0.10-plugins-bad_0.10.23-7.1+deb7u5_armhf.deb Size: 1837094 SHA256: ae3220d50e83036fd059359c1548933295d29adf4dd2304cb57343fc79c137c7 SHA1: 116d671b825c3efc430fbeb6b2c02ad53d640e7a MD5sum: c0d11e464594da0cd5361990326fd64a Description: GStreamer plugins from the "bad" set GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . GStreamer Bad Plug-ins is a set of plug-ins that aren't up to par compared to the rest. They might be close to being good quality, but they're missing something - be it a good code review, some documentation, a set of tests, a real live maintainer, or some actual wide use. Gstreamer-Decoders: application/mxf; application/sdp; application/x-hls; application/x-rtp, media=(string)audio, payload=(int)[ 96, 127 ], encoding-name=(string)TELEPHONE-EVENT; application/x-rtp, payload=(int)[ 96, 127 ], media=(string)video, encoding-name=(string)VP8-DRAFT-IETF-01; application/x-yuv4mpeg, y4mversion=(int)2; audio/midi; audio/mpeg, mpegversion=(int)4, stream-format=(string){ raw, adts }; audio/mpeg, mpegversion=(int)2; audio/ms-gsm; audio/riff-midi; audio/x-adpcm, layout=(string){ microsoft, dvi }; audio/x-aiff; audio/x-ay; audio/x-dts; audio/x-gbs; audio/x-gsm; audio/x-gym; audio/x-hes; audio/x-it; audio/x-kss; audio/x-mod; audio/x-musepack, streamversion=(int){ 7, 8 }; audio/x-nsf; audio/x-opus; audio/x-private1-dts; audio/x-s3m; audio/x-sap; audio/x-siren, dct-length=(int)320; audio/x-spc; audio/x-stm; audio/x-vgm; audio/x-xm; image/jp2; image/svg; image/svg+xml; image/x-j2c, fields=(int)1; image/x-jpc, fields=(int)1; image/x-portable-anymap; image/x-portable-bitmap; image/x-portable-graymap; image/x-portable-pixmap; subtitle/x-kate; video/mpeg, mpegversion=(int){ 1, 2 }, systemstream=(boolean)true; video/mpeg, mpegversion=(int){ 4, [ 1, 2 ] }, systemstream=(boolean)false; video/mpegts; video/x-cdxa; video/x-dirac; video/x-h263, variant=(string)itu; video/x-h264; video/x-ivf; video/x-mve; video/x-nuv; video/x-vcd; video/x-vmnc, version=(int)1; video/x-vp8 Gstreamer-Elements: adpcmdec, adpcmenc, aiffmux, aiffparse, apexsink, asfmux, asfparse, assrender, audioparse, audiosegmentclip, autoconvert, autovideoconvert, bayer2rgb, bpmdetect, bulge, burn, bz2dec, bz2enc, camerabin, camerabin2, cdaudio, cdxaparse, checksumsink, chopmydata, chromahold, chromium, circle, cogcolorconvert, cogcolorspace, cogdownsample, coglogoinsert, cogmse, cogscale, coloreffects, colorspace, compare, curlsink, dataurisrc, dc1394src, dccpclientsink, dccpclientsrc, dccpserversink, dccpserversrc, debugspy, decklinksink, decklinksrc, dfbvideosink, diffuse, dilate, diracenc, diracparse, dodge, dtmfdetect, dtmfsrc, dtsdec, dvbbasebin, dvbsrc, dvbsuboverlay, dvdspu, exclusion, faad, faceoverlay, fbdevsink, festival, fieldanalysis, fisheye, flitetestsrc, fpsdisplaysink, freeverb, freeze, gaussianblur, gmedec, gsettingsaudiosink, gsettingsaudiosrc, gsettingsvideosink, gsettingsvideosrc, gsmdec, gsmenc, h263parse, h264parse, hdvparse, hlsdemux, id3mux, interaudiosink, interaudiosrc, interlace, intervideosink, intervideosrc, irtspparse, ivfparse, jifmux, jp2kdec, jp2kdecimator, jp2kenc, jpegparse, kaleidoscope, katedec, kateenc, kateparse, katetag, ladspa-amp-mono, ladspa-amp-stereo, ladspa-delay-5s, ladspa-hpf, ladspa-lpf, ladspa-noise-white, ladspa-sine-faaa, ladspa-sine-faac, ladspa-sine-fcaa, ladspa-sine-fcac, legacyh264parse, legacympegvideoparse, legacyresample, linsyssdisink, linsyssdisrc, liveadder, marble, measurecollector, mimdec, mimenc, mirror, mmssrc, modplug, mpeg4videoparse, mpegpsdemux, mpegpsmux, mpegtsdemux, mpegtsmux, mpegtsparse, mpegvideoparse, musepackdec, mvedemux, mvemux, mxfdemux, mxfmux, nuvdemux, ofa, openalsink, openalsrc, opusdec, opusenc, opusparse, patchdetect, pcapparse, pinch, pitch, pnmdec, pnmenc, removesilence, rfbsrc, rgb2bayer, rotate, rsndvdbin, rsvgdec, rsvgoverlay, rtmpsink, rtmpsrc, rtpasfpay, rtpdtmfdepay, rtpdtmfmux, rtpdtmfsrc, rtpmux, rtpopusdepay, rtpopuspay, rtpvp8depay, rtpvp8pay, scaletempo, scenechange, schrodec, schroenc, sdidemux, sdimux, sdpdemux, sfsink, sfsrc, shmsink, shmsrc, sirendec, sirenenc, smooth, solarize, spacescope, spanplc, spectrascope, speed, sphere, square, srtenc, ssim, stereo, stretch, synaescope, teletextdec, tsdemux, tsparse, ttadec, ttaparse, tunnel, twirl, vcdparse, vcdsrc, videoanalyse, videodetect, videomark, videomaxrate, videoparse, videosegmentclip, viewfinderbin, vmncdec, voaacenc, voamrwbenc, vp8dec, vp8enc, waterripple, wavescope, webvttenc, wildmidi, wrappercamerabinsrc, xviddec, xvidenc, y4mdec, zbar, zebrastripe Gstreamer-Encoders: application/mxf; application/x-bzip; application/x-kate; application/x-raw-sdi, format=(fourcc){ UYVY, v210 }; application/x-rtp; application/x-subtitle; audio/AMR-WB; audio/mpeg, mpegversion=(int)4, stream-format=(string){ adts, raw }, base-profile=(string)lc; audio/x-adpcm, layout=(string){ dvi }; audio/x-aiff; audio/x-gsm; audio/x-opus; audio/x-siren, dct-length=(int)320; image/jp2; image/x-j2c, fourcc=(fourcc){ sRGB, sYUV }, fields=(int)1; image/x-jpc, fourcc=(fourcc){ sRGB, sYUV }, fields=(int)1; image/x-portable-anymap; image/x-portable-bitmap; image/x-portable-graymap; image/x-portable-pixmap; subtitle/x-kate; text/webvtt; video/mpeg, mpegversion=(int)2, systemstream=(boolean)true; video/mpeg, mpegversion=(int)4, systemstream=(boolean)false; video/mpegts, systemstream=(boolean)true, packetsize=(int){ 188, 192 }; video/x-dirac; video/x-mimic; video/x-mp4-part; video/x-ms-asf, parsed=(boolean)true; video/x-mve; video/x-qt-part; video/x-vp8; video/x-xvid Gstreamer-Uri-Sinks: rtmfp, rtmp, rtmpe, rtmps, rtmpt, rtmpte, rtmpts Gstreamer-Uri-Sources: cd, data, dvb, dvd, mms, mmsh, mmst, mmsu, rtmfp, rtmp, rtmpe, rtmps, rtmpt, rtmpte, rtmpts, vcd Gstreamer-Version: 0.10 Package: gstreamer0.10-plugins-bad-dbg Source: gst-plugins-bad0.10 Version: 0.10.23-7.1+deb7u5 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 7090 Depends: gstreamer0.10-plugins-bad (= 0.10.23-7.1+deb7u5) Multi-Arch: same Homepage: http://gstreamer.freedesktop.org/modules/gst-plugins-bad.html Priority: extra Section: debug Filename: pool/main/g/gst-plugins-bad0.10/gstreamer0.10-plugins-bad-dbg_0.10.23-7.1+deb7u5_armhf.deb Size: 5942618 SHA256: 7515bbcf7a8874c161d21b86bd6a9ce8400048dd165530e16354f76ad8f21ef3 SHA1: 97afa0479ff6e036a491a64942edac7e167a13a5 MD5sum: c5807f25fa45c406587310ec728c7b69 Description: GStreamer plugins from the "bad" set (debug symbols) GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: gstreamer0.10-plugins-bad-doc Source: gst-plugins-bad0.10 Version: 0.10.23-7.1+deb7u5 Installed-Size: 3353 Maintainer: Maintainers of GStreamer packages Architecture: all Replaces: gstreamer0.10-plugins-bad (<< 0.10.5-3) Depends: gstreamer0.10-doc, gstreamer0.10-plugins-base-doc Size: 839836 SHA256: 0d339309365564a7137c623d8a3ded4ab62c2826ca3cda823b45b034badd03c2 SHA1: 23d7b99781beaa016a00f0c4f3a9c548558ea751 MD5sum: 501051025883d784226e2f89b29ab704 Description: GStreamer documentation for plugins from the "bad" set GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . GStreamer Bad Plug-ins is a set of plug-ins that aren't up to par compared to the rest. They might be close to being good quality, but they're missing something - be it a good code review, some documentation, a set of tests, a real live maintainer, or some actual wide use. . This package contains the documentation for plugins from the "bad" set. Homepage: http://gstreamer.freedesktop.org/modules/gst-plugins-bad.html Section: doc Priority: extra Filename: pool/main/g/gst-plugins-bad0.10/gstreamer0.10-plugins-bad-doc_0.10.23-7.1+deb7u5_all.deb Package: gstreamer0.10-plugins-base Source: gst-plugins-base0.10 Version: 0.10.36-1.1+deb7u2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 2447 Depends: libc6 (>= 2.13-28), libcdparanoia0 (>= 3.10.2+debian), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.36-1.1), libogg0 (>= 1.0rc3), liborc-0.4-0 (>= 1:0.4.16), libtheora0 (>= 1.0), libvisual-0.4-0 (>= 0.4.0-4), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libxml2 (>= 2.7.4), libgstreamer-plugins-base0.10-0 (>= 0.10.36) Suggests: gvfs Replaces: gstreamer0.10-plugins-bad (<< 0.10.3+cvs20060814-0ubuntu4) Provides: gstreamer0.10-audiosource, gstreamer0.10-videosource Multi-Arch: same Homepage: http://gstreamer.freedesktop.org Priority: optional Section: libs Filename: pool/main/g/gst-plugins-base0.10/gstreamer0.10-plugins-base_0.10.36-1.1+deb7u2_armhf.deb Size: 1070580 SHA256: 327ecbfd16f859dddb6649a95f07935b2c03757fb2d88b8d052c1aa7053dbb7e SHA1: b65c0197df3e2b274c4a4ba2034492d872f24006 MD5sum: f020d1aa6d9af30f577bda254a6fc0b1 Description: GStreamer plugins from the "base" set GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains the GStreamer plugins from the "base" set, an essential exemplary set of elements. Gstreamer-Decoders: application/ogg; application/x-annodex; application/x-ass; application/x-ogg-avi; application/x-ogm-audio; application/x-ogm-text; application/x-ogm-video; application/x-ssa; application/x-subtitle; application/x-subtitle-dks; application/x-subtitle-mpl2; application/x-subtitle-qttext; application/x-subtitle-sami; application/x-subtitle-tmplayer; audio/x-vorbis; video/x-theora Gstreamer-Elements: adder, appsink, appsrc, audioconvert, audiorate, audioresample, audiotestsrc, cdparanoiasrc, decodebin, decodebin2, encodebin, ffmpegcolorspace, gdpdepay, gdppay, giosink, giosrc, giostreamsink, giostreamsrc, multifdsink, oggaviparse, oggdemux, oggmux, oggparse, ogmaudioparse, ogmtextparse, ogmvideoparse, playbin, playbin2, playsink, ssaparse, subparse, subtitleoverlay, tcpclientsink, tcpclientsrc, tcpserversink, tcpserversrc, theoradec, theoraenc, theoraparse, uridecodebin, videorate, videoscale, videotestsrc, volume, vorbisdec, vorbisenc, vorbisparse, vorbistag Gstreamer-Encoders: application/ogg; application/x-gdp; audio/x-vorbis; video/x-theora Gstreamer-Uri-Sinks: appsink, file Gstreamer-Uri-Sources: appsrc, cdda, file Gstreamer-Version: 0.10 Package: gstreamer0.10-plugins-base-apps Source: gst-plugins-base0.10 Version: 0.10.36-1.1+deb7u2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 579 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24), libgstreamer0.10-0 (>= 0.10.36), perl, gstreamer0.10-tools Homepage: http://gstreamer.freedesktop.org Priority: optional Section: utils Filename: pool/main/g/gst-plugins-base0.10/gstreamer0.10-plugins-base-apps_0.10.36-1.1+deb7u2_armhf.deb Size: 577820 SHA256: ac3993d2383f25db174f1f653333f4af92798c01993004cc5d03f32a53ed1dc5 SHA1: e9317db385aaad2ce156b5b080303d8ccd0e2fc2 MD5sum: 229625931df261fb7fd501d9769b0148 Description: GStreamer helper programs from the "base" set GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains helper programs from the "base" set, an essential exemplary set of elements. Package: gstreamer0.10-plugins-base-dbg Source: gst-plugins-base0.10 Version: 0.10.36-1.1+deb7u2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 4077 Depends: gstreamer0.10-alsa (= 0.10.36-1.1+deb7u2), gstreamer0.10-plugins-base (= 0.10.36-1.1+deb7u2), gstreamer0.10-x (= 0.10.36-1.1+deb7u2), libgstreamer-plugins-base0.10-0 (= 0.10.36-1.1+deb7u2) Suggests: gstreamer0.10-gnomevfs (= 0.10.36-1.1+deb7u2) Replaces: gstreamer0.10-plugins-bad-dbg (<< 0.10.3+cvs20060814-0ubuntu4) Multi-Arch: same Homepage: http://gstreamer.freedesktop.org Priority: extra Section: debug Filename: pool/main/g/gst-plugins-base0.10/gstreamer0.10-plugins-base-dbg_0.10.36-1.1+deb7u2_armhf.deb Size: 3444174 SHA256: 233a06dd7d7fd6bec7afe2a10ae0ae1a139d9c6a21aa3339551f6d521505e5be SHA1: 2e8bda9d5dfde379b2aa20da92ccca515edba619 MD5sum: cd2f4c4728a4725a77f3295db65d8d06 Description: GStreamer plugins from the "base" set GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: gstreamer0.10-plugins-base-doc Source: gst-plugins-base0.10 Version: 0.10.36-1.1+deb7u2 Installed-Size: 5601 Maintainer: Maintainers of GStreamer packages Architecture: all Depends: libglib2.0-doc, gstreamer0.10-doc Size: 870248 SHA256: ce97e4522b0f55f4f91b7222c1de2ff4862d0f62fee509f8db344eabaa889582 SHA1: c403cd9ab3194e27f8bc734663eca24d07e2c2a5 MD5sum: 47cc8e06a05c586cd0c23a518e59afe3 Description: GStreamer documentation for plugins from the "base" set GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains documentation for plugins from the "base" set, an essential exemplary set of elements. Homepage: http://gstreamer.freedesktop.org Section: doc Priority: optional Filename: pool/main/g/gst-plugins-base0.10/gstreamer0.10-plugins-base-doc_0.10.36-1.1+deb7u2_all.deb Package: gstreamer0.10-plugins-cutter Source: cutter-testing-framework Version: 1.1.7-1.2 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 122 Depends: libc6 (>= 2.13-28), libcutter0, libglib2.0-0 (>= 2.14.0), libgstreamer0.10-0 (>= 0.10.35.2), libxml2 (>= 2.6.27), cutter-testing-framework-bin (= 1.1.7-1.2) Breaks: libgstreamer0.10-0 (<< 0.10.35.2) Homepage: http://cutter.sourceforge.net/ Priority: extra Section: devel Filename: pool/main/c/cutter-testing-framework/gstreamer0.10-plugins-cutter_1.1.7-1.2_armhf.deb Size: 71204 SHA256: 7771f738de70099ada8fa202825acdb3b1a3aa0f58eeada64ba88384a8414e2f SHA1: c1c59751af3bbc8dae702306e456165756777edb MD5sum: dd24c17cd8f8814d59b97b6392020623 Description: Cutter GStreamer plugin Cutter is a xUnit family Unit Testing Framework for C and C++. . This provides GStreamer elements that stream test result of Cutter. Package: gstreamer0.10-plugins-good Source: gst-plugins-good0.10 Version: 0.10.31-3+nmu1+deb7u2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 5184 Depends: libaa1 (>= 1.4p5), libavc1394-0 (>= 0.5.3), libbz2-1.0, libc6 (>= 2.13-28), libcaca0 (>= 0.99.beta17-1), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libdv4, libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.36), libgstreamer0.10-0 (>= 0.10.36-1.1), libgudev-1.0-0 (>= 146), libiec61883-0 (>= 1.2.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libjpeg8 (>= 8c), liborc-0.4-0 (>= 1:0.4.16), libpng12-0 (>= 1.2.13-4), libraw1394-11, libshout3, libsoup-gnome2.4-1 (>= 2.27.4), libsoup2.4-1 (>= 2.26.1), libspeex1 (>= 1.2~beta3-1), libstdc++6 (>= 4.4.0), libtag1c2a (>= 1.5), libv4l-0 (>= 0.5.0), libwavpack1 (>= 4.40.0), libx11-6, libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxml2 (>= 2.7.4), libxv1, zlib1g (>= 1:1.1.4), gstreamer0.10-plugins-base, gstreamer0.10-gconf Recommends: gstreamer0.10-x Conflicts: gstreamer0.10-plugins-bad (<< 0.10.21.2), gstreamer0.10-plugins-really-bad (<< 0.10.21.2) Replaces: gstreamer0.10-plugins-bad (<< 0.10.21.2), gstreamer0.10-plugins-good-doc (<< 0.10.6-2), gstreamer0.10-plugins-really-bad (<< 0.10.21.2) Provides: gstreamer0.10-audiosink, gstreamer0.10-audiosource, gstreamer0.10-videosink, gstreamer0.10-videosource, gstreamer0.10-visualization Multi-Arch: same Priority: optional Section: libs Filename: pool/main/g/gst-plugins-good0.10/gstreamer0.10-plugins-good_0.10.31-3+nmu1+deb7u2_armhf.deb Size: 1874878 SHA256: 3ae40ab546cbb9f9d34d0d8254b0dcd174b480de48e101e0a4ca285a078b41c8 SHA1: f994807ec2340cb3efe9afe3a494d48d8a694c65 MD5sum: addfd22358e26be7ed4ddcd1a2f37df7 Description: GStreamer plugins from the "good" set GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains the GStreamer plugins from the "good" set, a set of good-quality plug-ins under the LGPL license. Gstreamer-Decoders: application/x-3gp; application/x-apetag; application/x-icy; application/x-id3; application/x-navi-animation; application/x-rtcp; application/x-rtp, media=(string)audio, payload=(int)[ 96, 127 ], encoding-name=(string){ AMR, AMR-WB }, encoding-params=(string)1, octet-align=(string)1, crc=(string){ 0, 1 }, robust-sorting=(string)0, interleaving=(string)0; application/x-rtp, media=(string){ video, audio, application }, payload=(int)[ 96, 127 ], encoding-name=(string)MPEG4-GENERIC, streamtype=(string){ 4, 5 }, mode=(string){ generic, CELP-cbr, CELP-vbr, AAC-lbr, AAC-hbr }; application/x-rtp, media=(string)audio, payload=(int)[ 96, 127 ], encoding-name=(string)SPEEX, encoding-params=(string)1; application/x-rtp, media=(string)audio, payload=(int)[ 96, 127 ], encoding-name=(string)SIREN, dct-length=(int)320; application/x-rtp, media=(string)audio, payload=(int)[ 96, 127 ], encoding-name=(string)ILBC, mode=(string){ 20, 30 }; application/x-rtp, media=(string){ video, audio }, payload=(int)[ 96, 127 ], encoding-name=(string)DV, encode=(string){ SD-VCR/525-60, SD-VCR/625-50, HD-VCR/1125-60, HD-VCR/1250-50, SDL-VCR/525-60, SDL-VCR/625-50, 306M/525-60, 306M/625-50, 314M-25/525-60, 314M-25/625-50, 314M-50/525-60, 314M-50/625-50 }; application/x-rtp, payload=(int)[ 96, 127 ], media=(string){ audio, video }, encoding-name=(string){ X-QT, X-QUICKTIME }; application/x-rtp, media=(string)video, payload=(int)[ 96, 127 ], encoding-name=(string){ RAW, THEORA, X-SV3V-ES, X-SORENSON-VIDEO, X-SORENSONVIDEO, X-SorensonVideo, MP4V-ES, MP2T-ES, MP1S, JPEG, JPEG2000, H264, H263, H263-2000, MPV, H263-1998 }; application/x-rtp, media=(string)audio, payload=(int)[ 96, 127 ], encoding-name=(string){ VORBIS, X-QDM, QCELP, MP4A-LATM, L16, MPA-ROBUST, X-MP3-DRAFT-00, X-MP3-DRAFT-01, X-MP3-DRAFT-02, X-MP3-DRAFT-03, X-MP3-DRAFT-04, X-MP3-DRAFT-05, X-MP3-DRAFT-06, MPA, PCMU, PCMA, GSM, G729, G726, G726-16, G726-24, G726-32, G726-40, AAL2-G726-16, AAL2-G726-24, AAL2-G726-32, AAL2-G726-40, G723, G722, CELT, BV16, BV32, AC3 }; application/x-rtp, media=(string)other, payload=(int)[ 96, 127 ], encoding-name=(string)MP1S; application/x-rtp, media=(string)video, payload=(int)34, encoding-name=(string)H263; application/x-rtp, media=(string)audio, payload=(int)0, encoding-name=(string)PCMU; application/x-rtp, media=(string)audio, payload=(int)8, encoding-name=(string)PCMA; application/x-rtp, media=(string)application, payload=(int)[ 96, 127 ], encoding-name=(string)X-GST; application/x-rtp, media=(string)audio, payload=(int){ 12, 10, 11, 14, 3, 18, 4, 9 }; application/x-rtp, media=(string)video, payload=(int){ 33, 26, 32 }; application/x-subtitle-avi; audio/ac3; audio/mpeg, mpegversion=(int){ 1, 2, 4 }; audio/x-ac3; audio/x-alaw; audio/x-amr-nb-sh; audio/x-amr-wb-sh; audio/x-au; audio/x-dts; audio/x-eac3; audio/x-flac; audio/x-m4a; audio/x-mulaw; audio/x-speex; audio/x-wav; audio/x-wavpack, framed=(boolean){ true, false }; audio/x-wavpack-correction, framed=(boolean)false; image/bmp; image/gif; image/jpeg, sof-marker=(int){ 0, 1, 2, 5, 6, 7, 9, 10, 13, 14 }; image/png; image/svg; image/svg+xml; image/tiff; image/vnd.wap.wbmp; image/x-MS-bmp; image/x-bitmap; image/x-bmp; image/x-cmu-raster; image/x-icon; image/x-pcx; image/x-pixmap; image/x-portable-anymap; image/x-portable-bitmap; image/x-portable-graymap; image/x-portable-pixmap; image/x-sun-raster; image/x-tga; multipart/x-mixed-replace; text/x-cmml, encoded=(boolean)true; video/mj2; video/quicktime; video/webm; video/x-dv, systemstream=(boolean){ false, true }; video/x-flv; video/x-matroska; video/x-msvideo; video/x-smoke Gstreamer-Elements: 3gppmux, aacparse, aasink, ac3parse, agingtv, alawdec, alawenc, alpha, alphacolor, amrparse, apedemux, apev2mux, aspectratiocrop, asteriskh263, audioamplify, audiochebband, audiocheblimit, audiodynamic, audioecho, audiofirfilter, audioiirfilter, audioinvert, audiokaraoke, audiopanorama, audiowsincband, audiowsinclimit, auparse, autoaudiosink, autoaudiosrc, autovideosink, autovideosrc, avidemux, avimux, avisubtitle, breakmydata, cacasink, cairooverlay, cairorender, cairotextoverlay, cairotimeoverlay, capsdebug, capssetter, cmmldec, cmmlenc, cpureport, cutter, dcaparse, deinterlace, deinterleave, dicetv, dv1394src, dvdec, dvdemux, dynudpsink, edgetv, efence, equalizer-10bands, equalizer-3bands, equalizer-nbands, flacdec, flacenc, flacparse, flactag, flvdemux, flvmux, gamma, gdkpixbufdec, gdkpixbufscale, gdkpixbufsink, goom, goom2k1, gppmux, gstrtpbin, gstrtpjitterbuffer, gstrtpptdemux, gstrtpsession, gstrtpssrcdemux, hdv1394src, icydemux, id3demux, id3v2mux, imagefreeze, interleave, ismlmux, jackaudiosink, jackaudiosrc, jpegdec, jpegenc, level, matroskademux, matroskamux, matroskaparse, mj2mux, monoscope, mp4mux, mpegaudioparse, mulawdec, mulawenc, multifilesink, multifilesrc, multipartdemux, multipartmux, multiudpsink, navigationtest, navseek, optv, oss4mixer, oss4sink, oss4src, ossmixer, osssink, osssrc, pngdec, pngenc, progressreport, pushfilesrc, qtdemux, qtmoovrecover, qtmux, quarktv, radioactv, revtv, rganalysis, rglimiter, rgvolume, rippletv, rndbuffersize, rtpL16depay, rtpL16pay, rtpac3depay, rtpac3pay, rtpamrdepay, rtpamrpay, rtpbvdepay, rtpbvpay, rtpceltdepay, rtpceltpay, rtpdec, rtpdepay, rtpdvdepay, rtpdvpay, rtpg722depay, rtpg722pay, rtpg723depay, rtpg723pay, rtpg726depay, rtpg726pay, rtpg729depay, rtpg729pay, rtpgsmdepay, rtpgsmpay, rtpgstdepay, rtpgstpay, rtph263depay, rtph263pay, rtph263pdepay, rtph263ppay, rtph264depay, rtph264pay, rtpilbcdepay, rtpilbcpay, rtpj2kdepay, rtpj2kpay, rtpjpegdepay, rtpjpegpay, rtpmp1sdepay, rtpmp2tdepay, rtpmp2tpay, rtpmp4adepay, rtpmp4apay, rtpmp4gdepay, rtpmp4gpay, rtpmp4vdepay, rtpmp4vpay, rtpmpadepay, rtpmpapay, rtpmparobustdepay, rtpmpvdepay, rtpmpvpay, rtppcmadepay, rtppcmapay, rtppcmudepay, rtppcmupay, rtpqcelpdepay, rtpqdm2depay, rtpsirendepay, rtpsirenpay, rtpspeexdepay, rtpspeexpay, rtpsv3vdepay, rtptheoradepay, rtptheorapay, rtpvorbisdepay, rtpvorbispay, rtpvrawdepay, rtpvrawpay, rtpxqtdepay, rtspsrc, shagadelictv, shapewipe, shout2send, smokedec, smokeenc, smpte, smptealpha, souphttpclientsink, souphttpsrc, spectrum, speexdec, speexenc, splitfilesrc, streaktv, taginject, testsink, udpsink, udpsrc, v4l2radio, v4l2sink, v4l2src, vertigotv, videobalance, videobox, videocrop, videoflip, videomixer, videomixer2, warptv, wavenc, wavpackdec, wavpackenc, wavpackparse, wavparse, webmmux, ximagesrc, y4menc Gstreamer-Encoders: application/pdf; application/postscript; application/x-rtp, media=(string)audio, payload=(int)[ 96, 127 ], encoding-name=(string){ AMR, AMR-WB }, encoding-params=(string)1, octet-align=(string)1, crc=(string)0, robust-sorting=(string)0, interleaving=(string)0, mode-set=(int)[ 0, 7 ], mode-change-period=(int)[ 1, 2147483647 ], mode-change-neighbor=(string){ 0, 1 }, maxptime=(int)[ 20, 2147483647 ], ptime=(int)[ 20, 2147483647 ]; application/x-rtp, media=(string)video, payload=(int)[ 96, 127 ], encoding-name=(string)RAW, sampling=(string){ RGB, RGBA, BGR, BGRA, YCbCr-4:4:4, YCbCr-4:2:2, YCbCr-4:2:0, YCbCr-4:1:1 }, colorimetry=(string){ BT601-5, BT709-2, SMPTE240M }; application/x-rtp, media=(string)audio, payload=(int)[ 96, 127 ], encoding-name=(string)SIREN, bitrate=(string)16000, dct-length=(int)320; application/x-rtp, media=(string){ video, audio, application }, payload=(int)[ 96, 127 ], encoding-name=(string)MPEG4-GENERIC, streamtype=(string){ 4, 5 }, mode=(string){ generic, CELP-cbr, CELP-vbr, AAC-lbr, AAC-hbr }; application/x-rtp, media=(string)audio, payload=(int)[ 96, 127 ], encoding-name=(string)SPEEX, encoding-params=(string)1; application/x-rtp, media=(string)audio, payload=(int)[ 96, 127 ], encoding-name=(string)ILBC, mode=(string){ 20, 30 }; application/x-rtp, media=(string){ video, audio }, payload=(int)[ 96, 127 ], encoding-name=(string)DV, encode=(string){ SD-VCR/525-60, SD-VCR/625-50, HD-VCR/1125-60, HD-VCR/1250-50, SDL-VCR/525-60, SDL-VCR/625-50, 306M/525-60, 306M/625-50, 314M-25/525-60, 314M-25/625-50, 314M-50/525-60, 314M-50/625-50 }; application/x-rtp, media=(string)audio, payload=(int)[ 96, 127 ], encoding-name=(string){ VORBIS, MP4A-LATM, L16, MPA, PCMA, PCMU, GSM, G729, G726-16, G726-24, G726-32, G726-40, AAL2-G726-16, AAL2-G726-24, AAL2-G726-32, AAL2-G726-40, G723, CELT, BV16, BV32, AC3 }; application/x-rtp, media=(string)video, payload=(int)[ 96, 127 ], encoding-name=(string){ THEORA, MP4V-ES, MP2T-ES, JPEG2000, H264, H263, H263-1998, H263-2000 }; application/x-rtp, media=(string)audio, encoding-name=(string)L16, payload=(int){ 10, 11 }; application/x-rtp, media=(string)video, payload=(int)26, encoding-name=(string)JPEG; application/x-rtp, media=(string)video, payload=(int)34, encoding-name=(string)H263; application/x-rtp, media=(string)video, payload=(int)32, encoding-name=(string)MPV; application/x-rtp, media=(string)audio, payload=(int)8, encoding-name=(string)PCMA; application/x-rtp, media=(string)audio, payload=(int)0, encoding-name=(string)PCMU; application/x-rtp, media=(string)audio, payload=(int)3, encoding-name=(string)GSM; application/x-rtp, media=(string)audio, payload=(int)18, encoding-name=(string)G729; application/x-rtp, media=(string)audio, payload=(int)4, encoding-name=(string)G723; application/x-rtp, media=(string)audio, encoding-name=(string)G722, payload=(int)9; application/x-rtp, media=(string)application, payload=(int)[ 96, 127 ], encoding-name=(string)X-GST; application/x-rtp, media=(string)audio, payload=(int)14; application/x-yuv4mpeg, y4mversion=(int)2; audio/x-alaw; audio/x-flac; audio/x-mulaw; audio/x-speex; audio/x-wav; audio/x-wavpack-correction, framed=(boolean)true; image/jpeg; image/png; image/svg+xml; multipart/x-mixed-replace; text/x-cmml, encoded=(boolean)true; video/mj2; video/quicktime; video/webm; video/x-flv; video/x-matroska; video/x-msvideo; video/x-smoke Gstreamer-Uri-Sinks: udp Gstreamer-Uri-Sources: dv, hdv, http, https, pushfile, radio, rtsp, rtsp-sdp, rtsph, rtspt, rtspu, udp, v4l2 Gstreamer-Version: 0.10 Package: gstreamer0.10-plugins-good-dbg Source: gst-plugins-good0.10 Version: 0.10.31-3+nmu1+deb7u2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 6179 Depends: gstreamer0.10-plugins-good (= 0.10.31-3+nmu1+deb7u2), gstreamer0.10-pulseaudio (= 0.10.31-3+nmu1+deb7u2), gstreamer0.10-gconf (= 0.10.31-3+nmu1+deb7u2) Conflicts: gstreamer0.10-plugins-bad-dbg (<< 0.10.21.2) Replaces: gstreamer0.10-plugins-bad-dbg (<< 0.10.21.2) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/g/gst-plugins-good0.10/gstreamer0.10-plugins-good-dbg_0.10.31-3+nmu1+deb7u2_armhf.deb Size: 5306108 SHA256: 65b5d9a93ac54768fd4ec72fee6fb55a6a99675c3093d5d9e17d3eaa82d5c7a1 SHA1: 11151bbf2026a351b942069471ea50886ebe3b63 MD5sum: 26b40266a1ca96595d6a9819a5f47b6f Description: GStreamer plugins from the "good" set GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: gstreamer0.10-plugins-good-doc Source: gst-plugins-good0.10 Version: 0.10.31-3+nmu1+deb7u2 Installed-Size: 3553 Maintainer: Maintainers of GStreamer packages Architecture: all Depends: gstreamer0.10-doc, gstreamer0.10-plugins-base-doc Size: 865382 SHA256: a9945f111b64e1ffdbf36d01b03f6d6bb6702977e4f3488ee2dc4e3ee1597b51 SHA1: 6314c842d6e4d9aea96e04ebe44c5465c83cc17a MD5sum: ba2d0749e20f19e8e6ec07b3bb320105 Description: GStreamer documentation for plugins from the "good" set GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains documentation for plugins from the "good" set, a set of good-quality plug-ins under the LGPL license. Section: doc Priority: optional Filename: pool/main/g/gst-plugins-good0.10/gstreamer0.10-plugins-good-doc_0.10.31-3+nmu1+deb7u2_all.deb Package: gstreamer0.10-plugins-ugly Source: gst-plugins-ugly0.10 Version: 0.10.19-2+deb7u1 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 981 Depends: liba52-0.7.4, libc6 (>= 2.13-28), libcdio13 (>= 0.83), libdvdread4, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.36), libgstreamer0.10-0 (>= 0.10.36), libmad0 (>= 0.15.1b-3), libmp3lame0, libmpeg2-4, libopencore-amrnb0, libopencore-amrwb0, liborc-0.4-0 (>= 1:0.4.16), libsidplay1, libstdc++6 (>= 4.3.0), libtwolame0, libx264-123 Conflicts: gstreamer0.10-lame, gstreamer0.10-plugins-bad (<< 0.10.5.2), gstreamer0.10-x264 Replaces: gstreamer0.10-lame, gstreamer0.10-plugins-bad (<< 0.10.10.2), gstreamer0.10-plugins-good (<< 0.10.9.2), gstreamer0.10-x264 Multi-Arch: same Homepage: http://gstreamer.freedesktop.org/modules/gst-plugins-ugly.html Priority: optional Section: libs Filename: pool/main/g/gst-plugins-ugly0.10/gstreamer0.10-plugins-ugly_0.10.19-2+deb7u1_armhf.deb Size: 389996 SHA256: 7f4c1f5f9b6d10214f2daec2955c0b1038cdc69cffabda8ca28282028d283a3b SHA1: 43730311f5cad512bb61f61105ee28087091c192 MD5sum: 9b57125c4c61eb3da03bd52a127c0d07 Description: GStreamer plugins from the "ugly" set GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains plugins from the "ugly" set, a set of good-quality plug-ins that might pose distribution problems. Gstreamer-Decoders: application/vnd.rn-realmedia; application/x-pn-realaudio; application/x-rdt, media=(string)application, encoding-name=(string)X-REAL-RDT; application/x-rtp, media=(string){ application, video, audio }, payload=(int)[ 96, 127 ], encoding-name=(string)X-ASF-PF; audio/AMR; audio/AMR-WB; audio/ac3; audio/mpeg, mpegversion=(int)1, layer=(int)[ 1, 3 ]; audio/mpeg, mpegversion=(int)1, parsed=(boolean)false; audio/x-ac3; audio/x-lpcm; audio/x-private1-ac3; audio/x-private1-lpcm; audio/x-sid; video/mpeg, mpegversion=(int){ 1, 2 }, systemstream=(boolean){ true, false }; video/x-ms-asf Gstreamer-Elements: a52dec, ac3iec958, amrnbdec, amrnbenc, amrwbdec, asfdemux, cdiocddasrc, dvddemux, dvdlpcmdec, dvdreadsrc, dvdsubdec, dvdsubparse, lame, lamemp3enc, mad, mp3parse, mpeg2dec, mpegdemux, mpegparse, pnmsrc, rademux, rdtdepay, rdtmanager, rmdemux, rtpasfdepay, rtspreal, rtspwms, siddec, twolame, x264enc, xingmux Gstreamer-Encoders: audio/AMR; audio/mpeg, mpegversion=(int)1, layer=(int){ 2, 3 }; audio/x-iec958; audio/x-raw-int, endianness=(int)4321, signed=(boolean)true; video/x-h264, stream-format=(string){ byte-stream, avc }, alignment=(string){ au }, profile=(string){ high-10, high, main, constrained-baseline, high-10-intra } Gstreamer-Uri-Sources: cdda, dvd, pnm Gstreamer-Version: 0.10 Package: gstreamer0.10-plugins-ugly-dbg Source: gst-plugins-ugly0.10 Version: 0.10.19-2+deb7u1 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 1049 Depends: gstreamer0.10-plugins-ugly (= 0.10.19-2+deb7u1) Replaces: gstreamer0.10-plugins-bad-dbg (<< 0.10.10.2), gstreamer0.10-plugins-good-dbg (<< 0.10.9.2) Multi-Arch: same Homepage: http://gstreamer.freedesktop.org/modules/gst-plugins-ugly.html Priority: extra Section: debug Filename: pool/main/g/gst-plugins-ugly0.10/gstreamer0.10-plugins-ugly-dbg_0.10.19-2+deb7u1_armhf.deb Size: 897570 SHA256: 87de516a281749ce47bcf98d6342700d4a49dc9f17465b286002bf14abaa2832 SHA1: 26f3da8c38defebfb837b670bc4d15f00788c9d8 MD5sum: c131cd4b87d71a66a4ce8da1199ec137 Description: GStreamer plugins from the "ugly" set (debug symbols) GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: gstreamer0.10-plugins-ugly-doc Source: gst-plugins-ugly0.10 Version: 0.10.19-2+deb7u1 Installed-Size: 535 Maintainer: Maintainers of GStreamer packages Architecture: all Depends: gstreamer0.10-doc, gstreamer0.10-plugins-base-doc Size: 177150 SHA256: ba585324796c0cd45e7ab5fbad577329c7ea5d47ecdc0a3b5df5a6fec6f4b1fd SHA1: 37d978150d61978bde0dfb06ceac29307ffa597c MD5sum: ed1793521c429ae9f822d870cd113cd5 Description: GStreamer documentation for plugins from the "ugly" set GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains documentation for plugins from the "ugly" set, a set of good-quality plug-ins that might pose distribution problems. Homepage: http://gstreamer.freedesktop.org/modules/gst-plugins-ugly.html Section: doc Priority: optional Filename: pool/main/g/gst-plugins-ugly0.10/gstreamer0.10-plugins-ugly-doc_0.10.19-2+deb7u1_all.deb Package: gstreamer0.10-pulseaudio Source: gst-plugins-good0.10 Version: 0.10.31-3+nmu1+deb7u2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 810 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.36), libgstreamer0.10-0 (>= 0.10.36), libpulse0 (>= 0.99.4) Provides: gstreamer0.10-audiosink, gstreamer0.10-audiosource Multi-Arch: same Priority: optional Section: sound Filename: pool/main/g/gst-plugins-good0.10/gstreamer0.10-pulseaudio_0.10.31-3+nmu1+deb7u2_armhf.deb Size: 737658 SHA256: db740ff364e3a8575efa32120ce949bc215de31260a31a53b3d0feed1cb1cf94 SHA1: 2abee2f66cfcc9213f1dab8eb5c532ef4afea9b7 MD5sum: 2bd0fbab2ac331e6fbc79f88d8c0e322 Description: GStreamer plugin for PulseAudio GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains the GStreamer plugin for PulseAudio, a sound server for POSIX and WIN32 systems. Gstreamer-Elements: pulseaudiosink, pulsemixer, pulsesink, pulsesrc Gstreamer-Version: 0.10 Package: gstreamer0.10-qapt Source: qapt Version: 1.3.0-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 643 Depends: kde-runtime, libapt-inst1.5 (>= 0.8.0), libapt-pkg4.12 (>= 0.8.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libqapt1 (>= 1.1.0), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtglib-2.0-0 (>= 0.10.1), libqtgstreamer-0.10-0 (>= 0.10.1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.3.0), libxapian22, libqapt-runtime Homepage: https://projects.kde.org/projects/extragear/sysadmin/libqapt/ Priority: optional Section: kde Filename: pool/main/q/qapt/gstreamer0.10-qapt_1.3.0-2_armhf.deb Size: 91708 SHA256: 3e1404c0370d1a0f319352e88d73d361317bbd3e49e00b31472822c4162ecb9f SHA1: 9a5a66230266c0fc5b683391b406caeff46a5b68 MD5sum: f379cbe51712badd037de34447c0f4cd Description: GStreamer plugin to install codecs using QApt QApt is a collection of tools and a library providing a wrapper around APT. The intention is to ease the use of APT in Qt-based programs. . This package provides a plugin for the GStreamer multimedia framework to search and to install audio and video codecs. Package: gstreamer0.10-tools Source: gstreamer0.10 Version: 0.10.36-1.2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 786 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), pkg-config, libgstreamer0.10-0 (>= 0.10.36) Suggests: gstreamer0.10-plugins-base Homepage: http://gstreamer.freedesktop.org Priority: optional Section: utils Filename: pool/main/g/gstreamer0.10/gstreamer0.10-tools_0.10.36-1.2_armhf.deb Size: 689936 SHA256: 71e22e88a0f00d321b2c80a8a1453f00cdec65447f50503625aa86462a3d85a8 SHA1: 4f05cf104d30787db2683045a08387bb1d1d6aec MD5sum: b60ec19ad28c80e6576041f94eacdd83 Description: Tools for use with GStreamer GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains versioned command-line tools for GStreamer. Package: gstreamer0.10-x Source: gst-plugins-base0.10 Version: 0.10.36-1.1+deb7u2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 726 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.36), libpango1.0-0 (>= 1.18.0), libx11-6, libxext6, libxv1, libgstreamer-plugins-base0.10-0 (>= 0.10.36) Provides: gstreamer0.10-videosink Multi-Arch: same Homepage: http://gstreamer.freedesktop.org Priority: optional Section: libs Filename: pool/main/g/gst-plugins-base0.10/gstreamer0.10-x_0.10.36-1.1+deb7u2_armhf.deb Size: 622216 SHA256: 4ba1e8d970db807f8e80d31e9845b8c95e1ede683ad25fff978f3ced5cf19da0 SHA1: b4508b28048d0cc8714c3045d8400a673f9d9c76 MD5sum: 7ab9a4af9d1c4a050686643e55356490 Description: GStreamer plugins for X11 and Pango GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains the GStreamer plugins for X11 video output, both for standard Xlib support and for the Xv extension, as well as the plugin for Pango-based text rendering and overlay. Gstreamer-Elements: clockoverlay, textoverlay, textrender, timeoverlay, ximagesink, xvimagesink Gstreamer-Version: 0.10 Package: gsutil Version: 3.1-1 Installed-Size: 88 Maintainer: Julien Danjou Architecture: all Depends: perl, libhtml-form-perl | libwww-perl (<< 6) Size: 23010 SHA256: ed94b9e148d723b113444c75b2188609ce869f17b926de64b22efa26e959c82c SHA1: 9e00b7ca43620d0c262e1ff83eeb21dcb08ba4e8 MD5sum: de97a620979322491dd33b2dd8d96319 Description: configure and manage Grandstream BudgeTone 100 VOIP and GX2000 phones GsUtil is a short program written to dump and restore the data from randstream BudgeTone 100 VOIP and GX2000 phone. Since a reboot is required to make the configuration change effective, this program does that too. Tag: role::program Section: admin Priority: extra Filename: pool/main/g/gsutil/gsutil_3.1-1_all.deb Package: gsynaptics Source: gpointing-device-settings Version: 1.5.1-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 28 Depends: gpointing-device-settings Homepage: http://live.gnome.org/GPointingDeviceSettings Priority: optional Section: utils Filename: pool/main/g/gpointing-device-settings/gsynaptics_1.5.1-6_armhf.deb Size: 4096 SHA256: 4af7af787e43b56e9f49a375e5d6d3f2745cd169745937e2273c69cea0851ec7 SHA1: 5ed303f5b495cbf3a60147b451fc4805e6afc450 MD5sum: 3acce6c14f61bc1b6e4559ad78a70bbf Description: configuration tool for pointing devices (transitional package) Package to ease upgrading from gsynaptics to gpointing-device-settings. Reason for this is that gsynaptics is not compatible with recent Xservers and upstream now develops gpointing-device-settings instead. . This package can be purged at anytime once the gpointing-device-settings package has been installed. Package: gt5 Version: 1.5.0~20111220+bzr29-1 Installed-Size: 62 Maintainer: Jari Aalto Architecture: all Depends: bash (>= 2.5), w3m | lynx | links | elinks | links2 Size: 17892 SHA256: 72e47dca72280cbd14dd3d782ea3449acae773979509c38210995a6a6ead399b SHA1: d84ee366119806ccf787eab3d2960221c8b1e97f MD5sum: a4ceac5e528e4c9feb9c9f0db7828c5d Description: shell program to display visual disk usage with navigation Years have passed and disks have become larger and larger, but even on this incredibly huge harddisk era, the space seems to disappear over time. This small shell program provides more convenient listing than the default disk usage du(1). The program displays what has happened since last run and displays dir size and the total percentage. It is possible to navigate and descend to directories by using cursor keys with text based browsers like links, elinks, lynx etc. Homepage: http://freshmeat.net/projects/gt5 Tag: implemented-in::shell, interface::commandline, role::program, scope::utility, use::browsing Section: utils Priority: optional Filename: pool/main/g/gt5/gt5_1.5.0~20111220+bzr29-1_all.deb Package: gtablix Version: 0.9.13-1-3 Installed-Size: 1220 Maintainer: Robert Lemmen Architecture: all Depends: libglib-perl, libgtk2-perl, libgtk2-gladexml-perl, libxml-libxml-perl, libxml-namespacesupport-perl, libxml-sax-perl, file, perl, liblocale-gettext-perl Recommends: tablix2, xpvm, html2ps Suggests: gnuplot, imagemagick Size: 268278 SHA256: f72129f160a8cba164d54c5de6d77e1097917ea1ad73ec2bff7faade269813e0 SHA1: 09b401be49e0297f5162bebb79a13a91d90100d6 MD5sum: 0ade7f195e57d06f6b9de4de321620a3 Description: graphical user interface for Tablix G-Tablix is a graphical user interface to Tablix, a timetable construction/optimization software. It helps you write properly formatted configuration files, from which Tablix calculates the best possible timetables. Homepage: http://gtablix.homelinux.org Tag: interface::x11, role::program, scope::utility, uitoolkit::gtk, use::organizing, x11::application Section: misc Priority: extra Filename: pool/main/g/gtablix/gtablix_0.9.13-1-3_all.deb Package: gtali Source: gnome-games Version: 1:3.4.2-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 2750 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), dconf-gsettings-backend | gsettings-backend, gnome-games-data (= 1:3.4.2-3) Breaks: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Replaces: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Homepage: http://live.gnome.org/GnomeGames Priority: optional Section: games Filename: pool/main/g/gnome-games/gtali_3.4.2-3_armhf.deb Size: 1916404 SHA256: 238e8932a4f8567fffae93b3b57c05ae82722e92f1e179d8051118909b59cddb SHA1: 00b2cdc4d53859a01d041bf8f1e2b93a0a093a48 MD5sum: a25b9e319153c01f7cf54e0aa6bb31f5 Description: sort of poker with dice and less money GNOME Tali is a sort of poker played using dice. You roll five dice three times and try to create the best “hand”. Your two rerolls may include any or all of your dice. Package: gtamsanalyzer.app Version: 0.42-6 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 2687 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), libpcre3 (>= 8.10), gnustep-fslayout-fhs Recommends: graphviz, gv Homepage: http://tamsys.sourceforge.net/gtams/ Priority: optional Section: gnustep Filename: pool/main/g/gtamsanalyzer.app/gtamsanalyzer.app_0.42-6_armhf.deb Size: 576242 SHA256: ea03178fcd0b78296cd92bdf9e036286fa311070ff34d231bb45106416b91004 SHA1: 689c81f0d7dc5a7146b5abadf45e5b7956d414de MD5sum: 2e897a446405d62ec97e2363ca8e55eb Description: Qualitative Research Software for GNUstep GTAMS Analyzer is a complete coding and analysis package. It is a "port" of TAMS Analyzer for Macintosh OS X. Note, at some point the two projects will have identical file formats, at which point the initial G (for GNUstep) will be dropped. GTAMS stands for GNUstep Text Analysis Markup System, it is a convention for identifying themes in text. The software offers a wide range of tools for applying themes to texts and identifying patterns of themes within and between texts. Package: gtans Version: 1.99.0-2 Architecture: armhf Maintainer: Bart Martens Installed-Size: 690 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0) Homepage: http://gtans.sourceforge.net/ Priority: optional Section: games Filename: pool/main/g/gtans/gtans_1.99.0-2_armhf.deb Size: 193124 SHA256: a8a3f980413ed10f7d4338d96ce0339665a837e6db63aeb5af6289b99405a62c SHA1: 8e997b0489b24976763b0558ccb318d1030d8dea MD5sum: aff70fbda0ed7b634cdf856338e38c73 Description: Tangram (puzzle) game using GTK+ The Tangram is a Chinese puzzle where the player has to arrange a set of pieces to match a given shape. All the pieces must be used and should not be laid on top of one another. The pieces are five triangles, a square and a parallelogram. gtans contains more than 380 figures to play with. It uses the mouse to control pieces. gtans is highly customizable using the interface. Package: gtetrinet Version: 0.7.11-3 Architecture: armhf Maintainer: Jordi Mallach Installed-Size: 1326 Depends: gconf2 (>= 2.28.1-2), gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome2-0 (>= 2.17.3), libgnomeui-0 (>= 2.22.0), libgtk2.0-0 (>= 2.8.0) Suggests: tetrinetx Homepage: http://gtetrinet.sourceforge.net/ Priority: optional Section: gnome Filename: pool/main/g/gtetrinet/gtetrinet_0.7.11-3_armhf.deb Size: 328964 SHA256: e967f339ff116f01122c644188d0cbc0e882b39a715a2854d1fbba6beb505655 SHA1: 141ea8ca70d823cb92de85be335a99fcc62a431f MD5sum: 47a9657d08082c4d266dcce091a2a866 Description: multiplayer tetris-like game GTetrinet is a clone of Tetrinet, a multiplayer tetris variant for Windows. It is compatible with the original tetrinet, although you need a separate program, such as tetrinetx, to be able to create your own games. Package: gtg Version: 0.2.9-1 Installed-Size: 3278 Maintainer: Luca Falavigna Architecture: all Depends: python (>= 2.6.6-7~), python-gtk2 (>= 2.14), python-glade2, python-dbus, python-xdg, python-configobj, python-liblarch, python-liblarch-gtk Recommends: python-simplejson Suggests: python-cheetah, python-geoclue, python-gnomekeyring, python-launchpadlib, python-suds Size: 873282 SHA256: b481f95bfe6cb5939ff84de5dd1cf39859a4a08e4eb9842724a146f4985af674 SHA1: 87f060fc73238ef8e76c9b376e1da00ae2e1b3b9 MD5sum: 00d705d3466c31921caf13c9d74ea963 Description: organizer for the GNOME desktop environment Getting Things GNOME! is an organizer for the GNOME desktop environment. GTG focuses on usability and ease of use. Its main objective is to provide a simple and yet flexible organization tool for life and work. Homepage: http://gtg.fritalk.com/ Tag: implemented-in::python, role::program, use::organizing Section: gnome Priority: optional Filename: pool/main/g/gtg/gtg_0.2.9-1_all.deb Package: gthumb Version: 3:3.0.1-2 Architecture: armhf Maintainer: David Paleino Installed-Size: 3424 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libexiv2-12, libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome-keyring0 (>= 3.2.0), libgstreamer-plugins-base0.10-0 (>= 0.10.31), libgstreamer0.10-0 (>= 0.10.15), libgtk-3-0 (>= 3.2.0), libjpeg8 (>= 8c), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), librsvg2-2 (>= 2.34.0), libsoup-gnome2.4-1 (>= 2.36), libsoup2.4-1 (>= 2.26.1), libstdc++6 (>= 4.4.0), libtiff4 (>> 3.9.5-3~), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4), gthumb-data (= 3:3.0.1-2), gsettings-desktop-schemas Recommends: gvfs-bin, gstreamer0.10-gnomevfs, bison, flex Conflicts: gthumb2 Replaces: gthumb2 Provides: gthumb2 Homepage: http://gthumb.sourceforge.net Priority: optional Section: gnome Filename: pool/main/g/gthumb/gthumb_3.0.1-2_armhf.deb Size: 1320680 SHA256: a04bae372a4f33bf3535b93b4e68895b5cbb044296626c9c3532c4047e56fef1 SHA1: 4152dd53c7af0fb0c80ede9da21b3a2cdbd8ae33 MD5sum: 19c09a9ebd466824e9b2ebdae041d5aa Description: image viewer and browser gThumb is an advanced image viewer and browser. It has many useful features, such as filesystem browsing, slide show, image catalogs, web album creation, camera import, image CD burning, batch file operations and quick image editing features like transformation and color manipulation. . It's designed for GNOME 2 desktop environment and uses its platform. For camera import feature, the gPhoto2 library is used. Package: gthumb-data Source: gthumb Version: 3:3.0.1-2 Installed-Size: 10454 Maintainer: David Paleino Architecture: all Replaces: gthumb (<< 3:2.10.8-1) Depends: dconf-gsettings-backend | gsettings-backend, scrollkeeper Recommends: yelp Breaks: gthumb (<< 3:2.10.8-1) Size: 5528532 SHA256: bb88851e7d59db73b5d7445fd03249981335b8a599348cadfe03236c0bd0902d SHA1: 9cd97cee1ba2aae88ed2e60a5733f05103e2cb23 MD5sum: 6c67b9e33eba1c6586eaee6512bfc7db Description: image viewer and browser - arch-independent files gThumb is an advanced image viewer and browser. It has many useful features, such as filesystem browsing, slide show, image catalogs, web album creation, camera import, image CD burning, batch file operations and quick image editing features like transformation and color manipulation. . It's designed for GNOME 2 desktop environment and uses its platform. For camera import feature, the gPhoto2 library is used. . This package contains the architecture-independent files needed by gthumb. Homepage: http://gthumb.sourceforge.net Tag: made-of::html, made-of::icons, made-of::man, role::app-data, role::documentation Section: gnome Priority: optional Filename: pool/main/g/gthumb/gthumb-data_3.0.1-2_all.deb Package: gthumb-dbg Source: gthumb Version: 3:3.0.1-2 Architecture: armhf Maintainer: David Paleino Installed-Size: 5688 Depends: gthumb (= 3:3.0.1-2) Homepage: http://gthumb.sourceforge.net Priority: extra Section: debug Filename: pool/main/g/gthumb/gthumb-dbg_3.0.1-2_armhf.deb Size: 2385162 SHA256: c9614685b79870df6a8b82b531a8d4222e381847d4943daeeb5ba4201d7e5db7 SHA1: ea50361610ef7fd5c73e75bcc9c85c9c428631fa MD5sum: 0121b1c846d4f1adfc3084ea0fec4b41 Description: image viewer and browser - debugging symbols gThumb is an advanced image viewer and browser. It has many useful features, such as filesystem browsing, slide show, image catalogs, web album creation, camera import, image CD burning, batch file operations and quick image editing features like transformation and color manipulation. . It's designed for GNOME 2 desktop environment and uses its platform. For camera import feature, the gPhoto2 library is used. . This package contains the debugging symbols for gThumb. Package: gthumb-dev Source: gthumb Version: 3:3.0.1-2 Architecture: armhf Maintainer: David Paleino Installed-Size: 3126 Depends: gthumb (= 3:3.0.1-2) Homepage: http://gthumb.sourceforge.net Priority: optional Section: devel Filename: pool/main/g/gthumb/gthumb-dev_3.0.1-2_armhf.deb Size: 1010144 SHA256: 73b630fbe9e9bcfaf6c4c4a0cf438fdbc28c7f6948551bf9a5f26a44b08db77a SHA1: c81375ba7e0dda910a13396acd3debe5ecae65b4 MD5sum: 54f88526e68e655da40482d140e0451f Description: image viewer and browser - development files gThumb is an advanced image viewer and browser. It has many useful features, such as filesystem browsing, slide show, image catalogs, web album creation, camera import, image CD burning, batch file operations and quick image editing features like transformation and color manipulation. . It's designed for GNOME 2 desktop environment and uses its platform. For camera import feature, the gPhoto2 library is used. . This package contains the files needed to develop third-party extensions. Package: gtick Version: 0.5.1-1 Architecture: armhf Maintainer: Roland Stigge Installed-Size: 701 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpulse0 (>= 0.99.1), libsndfile1 (>= 1.0.20), oss-compat Homepage: http://www.antcom.de/gtick/ Priority: extra Section: sound Filename: pool/main/g/gtick/gtick_0.5.1-1_armhf.deb Size: 145902 SHA256: 74d3aa09dd7bf16b7a77ed8d18e8008ba0cc7ab086ba2d4854a15bbc6c7189ec SHA1: 413ba88491daee59315704ec65db152b15a0f072 MD5sum: a7003a16d3dd6a8592051cf3321f5311 Description: Metronome application GTick is an acoustical and graphical metronome application (usually used to support musicians at practising an instrument). It features a scale from 30 to 250 beats per minute and 2/4, 3/4, 4/4 and other meters. You need a sound device for using it. Package: gtimer Version: 2.0.0-1.1 Architecture: armhf Maintainer: Taylor LeMasurier-Wren Installed-Size: 256 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxext6, libxss1 Suggests: www-browser Homepage: http://www.k5n.us/gtimer.php Priority: optional Section: utils Filename: pool/main/g/gtimer/gtimer_2.0.0-1.1_armhf.deb Size: 91954 SHA256: d7ae4bb23c9ed0b011d410bed2a83b1653f643e6ca1b98ee09d20f383267691e SHA1: 015343ad4d01e9055fbc9e22866b4006376e8d26 MD5sum: ae4668fa4bf8fc80cd99d9079d1d18cd Description: GTK-based X11 task timer A graphical program that tracks how your time is spent. Maintains a simple list of tasks, each of which can belong to a project, and allows you to track time in each. Multiple clocks can run simultaneously, annotations can be added to a day's time, and reports can be generated in either HTML or text. If GTimer detects that you're idle, you are given the option of subtracting off the time you spent idle when you return. . Compared to other time tracking applications, GTimer is graphical without depending on a desktop environment and aims for simplicity rather than attempting to be a full-fledged project tracking and billing application. Package: gtk-3-examples Source: gtk+3.0 Version: 3.4.2-7+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 830 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.25.2), libglib2.0-0 (>= 2.32.0), libgtk-3-0 (= 3.4.2-7+deb7u1), libpango1.0-0 (>= 1.30.0), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxfixes3, libxi6, dconf-gsettings-backend | gsettings-backend Breaks: libgtk-3-common (<< 3.3.20-1) Replaces: libgtk-3-common (<< 3.3.20-1) Homepage: http://www.gtk.org/ Priority: extra Section: x11 Filename: pool/main/g/gtk+3.0/gtk-3-examples_3.4.2-7+deb7u1_armhf.deb Size: 271848 SHA256: cf1874ce918e714254c556e27df0a6fd47f1999fcc12e6e2b71ff771090a2453 SHA1: 208fdb5510327d8ae6b9bbeea4b1a30cd0fc9dfc MD5sum: d495e94bace3d63cf62fb9a474935796 Description: example files for GTK+ 3 GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains the example files and a demonstration program for GTK+3. Package: gtk-chtheme Version: 0.3.1-5 Architecture: armhf Maintainer: Ulises Vitulli Installed-Size: 83 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0) Homepage: http://plasmasturm.org/code/gtk-chtheme/ Priority: optional Section: x11 Filename: pool/main/g/gtk-chtheme/gtk-chtheme_0.3.1-5_armhf.deb Size: 18422 SHA256: 9e60a562f390d1eba4f7e36b2db73669dad4903a3142d8b49ee2ce62970feb66 SHA1: 7b5f66ec16cba6be77438a77aa9c3758026f95d8 MD5sum: d56d72ae3bdca87ad72af997a8876f63 Description: GTK+ 2.0 theme changer and previewer utility 'Gtk Theme Switch' based utility that aims to make themes previews and selections as slick as possible. Themes installed on the system are presented for selection and previewed on the fly. . This utility was develop only to work for GTK+ 2.x themes. For GTK+ 1.x themes use 'gtk-theme-switch' package Package: gtk-doc-tools Source: gtk-doc Version: 1.18-2 Installed-Size: 2058 Maintainer: Loic Minier Architecture: all Depends: perl, python (>= 2.3), jade (>= 1.2.1-35), docbook-dsssl, docbook-xml (>= 4.3), docbook-xsl (>= 1.64.1.0), docbook-to-man, xsltproc (>= 1.1.15), highlight (>= 3.4), gnome-common Recommends: pkg-config (>= 0.19.0) Conflicts: sgml2x (<< 1.0.0-2) Size: 617746 SHA256: 40fe913b78d5f8f64c250d5a63b93bbaf9775ebc267c97f654a061a50a0e0e55 SHA1: f9966e4b4ae77368cc27c026a0d55c0e418ec52b MD5sum: b69a6ada874a0aa61b0c0cd66986e2b2 Description: GTK+ documentation tools These tools extract documentation embedded in GNOME source code, and produce pretty output via DocBook SGML. Multi-Arch: foreign Tag: devel::docsystem, interface::commandline, role::program, scope::utility, uitoolkit::gtk, works-with-format::sgml, works-with::software:source, works-with::text Section: gnome Priority: optional Filename: pool/main/g/gtk-doc/gtk-doc-tools_1.18-2_all.deb Package: gtk-gnutella Version: 0.98.3-1 Architecture: armhf Maintainer: Luca Bruno Installed-Size: 31472 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnutls26 (>= 2.12.17-0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4) Homepage: http://gtk-gnutella.sf.net/ Priority: optional Section: net Filename: pool/main/g/gtk-gnutella/gtk-gnutella_0.98.3-1_armhf.deb Size: 16789034 SHA256: 62009207836468537bb99e3aecfad80e2627374d17a0d64e023892a74395404b SHA1: ba986501c91b91d8bb66debd7ba4324862338b34 MD5sum: bf7b8da28d0d3df2484f0b966b757fc1 Description: shares files in a peer to peer network Gtk-Gnutella is a reliable and efficient Gnutella client, supporting the latest Gnutella protocol, bandwidth limitation (both incoming and outgoing) traffic compression, and advanced search filters among other features. . Gnutella is a peer-based file-sharing protocol that allows a user running a Gnutella client to search for and download files from other Gnutella users, as well as share some files of his/her own. . Gtk-Gnutella offers all the extra features you expect from a modern client: persistent downloads, searches and filters, intuitive interface, upload statistics, queuing, and of course total control over many configuration parameters. Package: gtk-im-libthai Version: 0.2.1-4 Architecture: armhf Maintainer: Theppitak Karoonboonyanan Installed-Size: 64 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.5-4), libthai0 (>= 0.1.12) Recommends: im-config (>= 0.6) Multi-Arch: same Homepage: http://linux.thai.net/projects/libthai Priority: optional Section: libs Filename: pool/main/g/gtk-im-libthai/gtk-im-libthai_0.2.1-4_armhf.deb Size: 13200 SHA256: 427636319bfae0964091e20128859933d232b7e9f3e8a5610bc728ad5ab4538d SHA1: c85ee19cdde11f3dbccfe398f0ece1f7c90178e7 MD5sum: 61783095ccd34e8ca43c48ef39420787 Description: GTK+ 2 Input Method Module using LibThai LibThai is a set of Thai language support routines aimed to ease developers' tasks to incorporate Thai language support in their applications. It includes important Thai-specific functions e.g. word breaking, input and output methods as well as basic character and string supports. . This package provides third-party plugin for Thai input method in GTK+ 2, including input sequence correction, using LibThai. Package: gtk-recordmydesktop Version: 0.3.8-4.1 Installed-Size: 489 Maintainer: José L. Redrejo Rodríguez Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), recordmydesktop (>= 0.3.8.1+svn602), python-gtk2 Size: 120598 SHA256: 239b1cd978abfc76d8cf96d3d41b6723a9a7c04ba399518b9cd0326215625366 SHA1: 0c723b48ba7e3103faefeda2c9e79ff07f36e490 MD5sum: 57e71bb632d74416f653881b7ca53264 Description: Graphical frontend for recordMyDesktop screencast tool Adds an easy to use graphical icon on the GNOME toolbar to make screencasts with the video and audio capture application recordMyDesktop Homepage: http://recordmydesktop.sourceforge.net Tag: implemented-in::python, interface::x11, role::program, scope::utility, uitoolkit::gtk, use::learning, works-with-format::oggtheora, works-with::audio, works-with::video, x11::application Section: video Priority: optional Filename: pool/main/g/gtk-recordmydesktop/gtk-recordmydesktop_0.3.8-4.1_all.deb Package: gtk-redshift Source: redshift Version: 1.7-2 Installed-Size: 405 Maintainer: Franziska Lichtblau Architecture: all Depends: redshift (>= 1.7-2), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-gtk2, python-xdg Size: 60636 SHA256: 22263cc978c3a60a79bcb8931134974ce956fc7b8488409c6a628cc7caaf17ae SHA1: a9c94128088cdfc78962df5447b1e5308aa25e3a MD5sum: c2b5b7635a30fdf08da9869c52c7608a Description: Adjusts the color temperature of your screen with GTK+ integration The color temperature is set according to the position of the sun. A different color temperature is set during night and daytime. During twilight and early morning, the color temperature transitions smoothly from night to daytime temperature to allow your eyes to slowly adapt. . This package provides GTK+ integration. Homepage: https://launchpad.net/redshift Tag: implemented-in::python, interface::x11, role::program, uitoolkit::gtk, x11::applet, x11::application Section: x11 Priority: extra Filename: pool/main/r/redshift/gtk-redshift_1.7-2_all.deb Package: gtk-sharp2 Version: 2.12.10-5 Installed-Size: 137 Maintainer: Debian CLI Libraries Team Architecture: all Depends: gtk-sharp2-examples (= 2.12.10-5), gtk-sharp2-gapi (= 2.12.10-5), libglade2.0-cil-dev (= 2.12.10-5), libglib2.0-cil-dev (= 2.12.10-5), libgtk2.0-cil-dev (= 2.12.10-5), monodoc-gtk2.0-manual (= 2.12.10-5) Size: 140296 SHA256: 20fc2b57f347757df02a64f2d78a76d07564e869187b130db4c02c2c54ef513e SHA1: 03f150b8e4a9e0b19713b71bf4709510ddad953f MD5sum: 1f691231dfb5e6a111b56fb1f8427175 Description: GTK# 2.10 suite, CLI bindings for GTK+ GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit . gtk-sharp2 is a metapackage containing dependencies for the GTK# 2.10 suite. Homepage: http://www.mono-project.com/GtkSharp Tag: devel::ecma-cli, role::metapackage, uitoolkit::gtk Section: cli-mono Priority: optional Filename: pool/main/g/gtk-sharp2/gtk-sharp2_2.12.10-5_all.deb Package: gtk-sharp2-examples Source: gtk-sharp2 Version: 2.12.10-5 Installed-Size: 858 Maintainer: Debian CLI Libraries Team Architecture: all Depends: mono-runtime (>= 2.10.1), libglade2.0-cil (>= 2.12.10-1ubuntu1), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-cairo4.0-cil (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-drawing4.0-cil (>= 1.0) Size: 394092 SHA256: f104fa9c16b46c6be85d7ca4b6f16b4b95b9c5285fd3294206b1838d524b5572 SHA1: d4cfb046d6698a001c7849b0f8d21f2c5efd6146 MD5sum: d5b0f0d54555eef6f4aa647d173c163f Description: sample applications for the GTK# 2.10 toolkit GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit . This package contains some example programs that are distributed with the GTK# assemblies, including source to build them and learn from them. Homepage: http://www.mono-project.com/GtkSharp Tag: devel::ecma-cli, devel::examples, devel::lang:c-sharp, implemented-in::c-sharp, role::documentation, role::source, uitoolkit::gtk Section: cli-mono Priority: optional Filename: pool/main/g/gtk-sharp2/gtk-sharp2-examples_2.12.10-5_all.deb Package: gtk-sharp2-gapi Source: gtk-sharp2 Version: 2.12.10-5 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 374 Depends: libglib2.0-cil (>= 2.12.10-5), libxml-libxml-perl, mono-runtime (>= 2.10.1), libc6 (>= 2.13) | libc6.1 (>= 2.13) | libc0.1 (>= 2.13), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-xml4.0-cil (>= 1.0) Conflicts: gtk-sharp2-unstable-gapi Replaces: gtk-sharp2-unstable-gapi Homepage: http://www.mono-project.com/GtkSharp Priority: optional Section: libs Filename: pool/main/g/gtk-sharp2/gtk-sharp2-gapi_2.12.10-5_armhf.deb Size: 205914 SHA256: f71e469acaca36a2912b7bc120c2c3216028d069d9c568e922b5d325dfc61664 SHA1: 3accab909354d4b673226b89bd5036e9caba1942 MD5sum: 91f4b12786cb1c0792aaf2b5133d81ce Description: C source parser and C# code generator for GObject based APIs GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit . This package includes the parser and code generator used by the GTK# project to parse and bind GObject libraries. Install if you want to bind GObject-based libraries, or need to compile a project that uses it to bind such a library. Package: gtk-smooth-themes Source: smooth-themes Version: 0.5.8-2.3 Installed-Size: 316 Maintainer: Ross Burton Architecture: all Depends: gtk2-engines Recommends: librsvg2-common Size: 37752 SHA256: 2258c07f66d042591d15b76b4424e9a559a21b66a41808001877c727b2558c52 SHA1: 45c5c398258e35899863316d060e5b552b70a3cb MD5sum: c18f6ec78dc8cf285792029c9734dc39 Description: A set of themes for the Smooth GTK+ Engine The Smooth GTK+ theme engine is a GTK+ theme engine intended to be smooth, fast, and highly configurable. Homepage: http://sourceforge.net/projects/smooth-engine/ Tag: made-of::icons, role::app-data, uitoolkit::gtk, x11::theme Section: x11 Priority: optional Filename: pool/main/s/smooth-themes/gtk-smooth-themes_0.5.8-2.3_all.deb Package: gtk-theme-switch Version: 2.1.0-2 Architecture: armhf Maintainer: Denis Briand Installed-Size: 74 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://gna.org/projects/gtk-theme-switch/ Priority: optional Section: x11 Filename: pool/main/g/gtk-theme-switch/gtk-theme-switch_2.1.0-2_armhf.deb Size: 20950 SHA256: b0c79e5703bff1c8a04b023283cdf478b3b89cf90132a3ca8e7ef1b7542372a0 SHA1: 637ad12878f3b8591231fc7d32f79d4f240098cb MD5sum: 4c5f0f95f6278bc44241f5f371686430 Description: GTK+ theme switching utility Utilities to easily switch GTK+ themes that can be run from the console, and has an optional GUI dock and theme preview. It can install themes downloaded from gtk.themes.org as well straight from the tarball. Package: gtk-vector-screenshot Version: 0.3.2-1 Architecture: armhf Maintainer: Joachim Breitner Installed-Size: 104 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6 Homepage: http://www.joachim-breitner.de/projects#gtk-vector-screenshot Priority: optional Section: gnome Filename: pool/main/g/gtk-vector-screenshot/gtk-vector-screenshot_0.3.2-1_armhf.deb Size: 16282 SHA256: 0b578ff431e6367402d4f162333f1c214a75afc2aa61f98babd558549b15ad5f SHA1: 33fbc6314033e80baedd45bceb5bcf3657e6a945 MD5sum: 7009d4b24b6a0fc296e1b1fce9394adb Description: takes screenshots of applications as PDF or SVG files This gtk module allows you to take a screenshot of a running gtk-3 application as a vector image, with fully scalable graphics and selectable text. It supports rendering the application to PDF, SVG and PostScript. Package: gtk2-engines Version: 1:2.20.2-2 Architecture: armhf Maintainer: Ed Boraas Installed-Size: 1048 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.19.7-2), libpango1.0-0 (>= 1.14.0) Breaks: gnome-themes-extras (<< 2) Multi-Arch: same Priority: optional Section: gnome Filename: pool/main/g/gtk2-engines/gtk2-engines_2.20.2-2_armhf.deb Size: 470036 SHA256: 04f0c366ec687e1f32ffddac154119639f56a15aadefb5dcfa0b901221ee8f52 SHA1: fb5bfbb914aab705a4017dcd9eb3ec39843e0daf MD5sum: fa93e6b443fbcc27b90b125c43120eb6 Description: theme engines for GTK+ 2.x This package contains the "engines" that hide behind the themes for GTK+ and GNOME applications. They redefine the way GTK+ widgets are drawn. The package includes the following engines: * Clearlooks, the default GNOME theme, based on Bluecurve; * Crux, formerly known as the Eazel engine; * High contrast, which is used by some accessibility themes; * Industrial, the famous engine from Novell (formerly Ximian); * LighthouseBlue, another engine based on Bluecurve; * Metal, which gives a metallic look; * Mist, a flat and high performance engine; * Redmond95, which provides a look similar to that of Windows; * ThinIce. . A few sample themes using these engines are also included. Package: gtk2-engines-aurora Version: 1.5.1-3 Architecture: armhf Maintainer: Chow Loong Jin Installed-Size: 223 Depends: gtk2.0-binver-2.10.0, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.0) Homepage: http://www.gnome-look.org/content/show.php?content=56438 Priority: optional Section: x11 Filename: pool/main/g/gtk2-engines-aurora/gtk2-engines-aurora_1.5.1-3_armhf.deb Size: 61034 SHA256: 92bef0b3dd51122331fc7e3f8a1b1cb3fd682a1abdd11cd11676cd67d422009f SHA1: 4f7dc737dd985af54e048671266cc1993ab1315b MD5sum: 8d0bed6347aedd47063bfa55786a0d66 Description: Aurora gtk+-2.0 theme engine "Aurora" refers to the natural light displays in the sky in polar regions. This package contains the Aurora theme engine for the GTK+ toolkit, version 2.0. . GTK+ is a multi-platform toolkit for creating graphical user interfaces. Package: gtk2-engines-cleanice Version: 2.4.1-3 Architecture: armhf Maintainer: Stanislav Maslovski Installed-Size: 136 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.1-1~), libpango1.0-0 (>= 1.14.0) Homepage: http://sourceforge.net/projects/elysium-project Priority: optional Section: graphics Filename: pool/main/g/gtk2-engines-cleanice/gtk2-engines-cleanice_2.4.1-3_armhf.deb Size: 27810 SHA256: d1c4f8f4e0df70df6e33688b941962320896b628458feb7d09cf4651a4c51309 SHA1: 82d9ac4b8e4ceba5ca8dd3138ff87676a09a4127 MD5sum: 9e1cebadd2688e29b92b68f4cfc7793c Description: CleanIce themes for GTK+ 2.x This package contains a theme engine and 3 themes that allow you to configure the look of GTK+ 2.x-based programs. The programs need no modifications to use themes; the support is built in to GTK+ 2.x. . The Debian package provides these themes: CleanIce, CleanIce-Dark, CleanIce-Debian, CleanIce-Marble. Package: gtk2-engines-magicchicken Version: 1.1.1-9 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 163 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.1), libpango1.0-0 (>= 1.14.0) Conflicts: gtk-engines-mgicchikn Replaces: gtk-engines-mgicchikn Priority: optional Section: graphics Filename: pool/main/g/gtk2-engines-magicchicken/gtk2-engines-magicchicken_1.1.1-9_armhf.deb Size: 40628 SHA256: df541d6da9d4b19b10a9834baa50cafde768687ec5b8843c61dec3dfefe2d1a3 SHA1: d986a8ed8fe8a30706dadd5acddd95ee80918065 MD5sum: 3842eb3dfa63424ee5e9aa1c527ae354 Description: Magic Chicken themes for GTK+ 2.x This package contains themes which allow you to configure the look of programs using GTK+ 2.x. The programs need no modification to use themes; the support is built-in to GTK+ 2.x. . Magic Chicken is a theme which borrows much in terms of looks from the default Gtk+ 2.x theme, as well as from ThinIce and other funky themes. . The provided themes are: MagicChicken, CortlandChicken and OkayishChicken. . Author: James Cape Package: gtk2-engines-moblin Source: moblin-gtk-engine Version: 1.1.1-1.1 Architecture: armhf Maintainer: Zhengpeng Hou Installed-Size: 118 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0) Homepage: http://git.moblin.org/cgit.cgi/moblin-gtk-engine Priority: optional Section: x11 Filename: pool/main/m/moblin-gtk-engine/gtk2-engines-moblin_1.1.1-1.1_armhf.deb Size: 25308 SHA256: 548856f15e73ff4a05edd51edf90802b7ab601ae2a148f3e62f1bec09a64f299 SHA1: 26c9ec85f221d9fba6a1e4003dfe858f1e9973c7 MD5sum: 7c831fed24602c9ced627c830fc0c156 Description: gtk2 engines for moblin Moblin is an open source project focused on building a Linux-based platform optimized for the next generation of mobile devices including Netbooks, Mobile Internet Devices, and In-vehicle infotainment systems. . This package includes the gtk2 engine for moblin Package: gtk2-engines-murrine Version: 0.98.1.1-5 Architecture: armhf Maintainer: Yves-Alexis Perez Installed-Size: 256 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.5-4), libpango1.0-0 (>= 1.14.0), libpixman-1-0 (>= 0.15.14) Recommends: murrine-themes (>= 0.98) Multi-Arch: same Priority: optional Section: x11 Filename: pool/main/g/gtk2-engines-murrine/gtk2-engines-murrine_0.98.1.1-5_armhf.deb Size: 94698 SHA256: 87197d9d2751c1b6255f0d849bdd3ac64ef517846ff072d43af9c89a7f471a4d SHA1: fe208211eb5b6eaedcd6e0b9edffbfeb223ba9a6 MD5sum: d41cd4e92d54e04f754fe7f5d7bd5d95 Description: cairo-based gtk+-2.0 theme engine "Murrine" is an Italian word meaning the glass artworks done by Venicians glass blowers. The Engine is cairo-based, and it's very fast compared to clearlooks-cairo and ubuntulooks. . This package includes the Murrine engine. Package: gtk2-engines-nodoka Source: gtk-nodoka-engine Version: 0.7.0-1.1 Architecture: armhf Maintainer: Christopher James Halse Rogers Installed-Size: 272 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: https://fedorahosted.org/nodoka/wiki Priority: optional Section: gnome Filename: pool/main/g/gtk-nodoka-engine/gtk2-engines-nodoka_0.7.0-1.1_armhf.deb Size: 43146 SHA256: ebb1d27cdc6efa404188d5280e7ffad9a23c11bc21b666ba7d224a408469ac08 SHA1: be932d1dab0004b64938900b0e633bb3edfcf3ad MD5sum: 34eb8e21d03646093c2855ec4149f88e Description: Nodoka theme engine for GTK+ 2.x The engine behind the new default theme for Fedora, Nodoka is inspired by such themes engines as Clearlooks and Murrine. It features smooth, subtle gradients and rounded widgets. . Also included are a variety of GTK+ themes using the Nodoka engine. Package: gtk2-engines-oxygen Version: 1.2.4-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1194 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk2.0-0 (>= 2.24.5-4), libpango1.0-0 (>= 1.18.0), libstdc++6 (>= 4.6), libx11-6 Suggests: kde-config-gtk-style Multi-Arch: same Homepage: https://projects.kde.org/projects/playground/artwork/oxygen-gtk Priority: optional Section: gnome Filename: pool/main/g/gtk2-engines-oxygen/gtk2-engines-oxygen_1.2.4-1_armhf.deb Size: 433264 SHA256: 9549e9e454bf8278a0c637b869f2bd5aa62d5f969b6ed7efca39cb68f16aa792 SHA1: 0a00442f73c21588f34407bbe1c0fb7549d9e283 MD5sum: a5a4c2fbd12878567a635d63f59a23d7 Description: Oxygen widget theme for GTK+-based applications Oxygen-Gtk is a port of the Oxygen widget style to GTK+. . It ensures visual consistency between GTK+ and Qt-based applications running under KDE. Package: gtk2-engines-pixbuf Source: gtk+2.0 Version: 2.24.10-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 648 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.4-6.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.27.3), libpango1.0-0 (>= 1.28.3), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxfixes3, libgtk2.0-0 (= 2.24.10-2), libgtk2.0-common Multi-Arch: same Homepage: http://www.gtk.org/ Priority: optional Section: graphics Filename: pool/main/g/gtk+2.0/gtk2-engines-pixbuf_2.24.10-2_armhf.deb Size: 591100 SHA256: 8502bd307764dd0fb8a84793a645ca4217c63e2245804ea4edd1d7f34a8a4e6a SHA1: ea9c3aad51abbc4cea5cdfb682cb9e5afbc24c57 MD5sum: 32f17b44bf3a1f6a130e791d242c12dd Description: pixbuf-based theme for GTK+ 2.x GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains the pixbuf theme engine. Package: gtk2-engines-qtcurve Version: 1.8.15-4 Architecture: armhf Maintainer: Boris Pek Installed-Size: 511 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.10), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.18.0), libx11-6 Recommends: kde-style-qtcurve Multi-Arch: same Homepage: http://www.kde-look.org/content/show.php?content=40492 Priority: optional Section: gnome Filename: pool/main/g/gtk2-engines-qtcurve/gtk2-engines-qtcurve_1.8.15-4_armhf.deb Size: 193396 SHA256: 406fb2a26523f1f2b52246edc7f0bf22f624cd0ef54db54499ace8a5d86d55e7 SHA1: 79ab60316108f309ac71c55ffaee869138cc05ab MD5sum: 323999f29bbd6822680d0713221d988e Description: This is a set of widget styles for Gtk2 based apps This package together with kde-style-qtcurve aim to provide a unified look and feel on the desktop when using KDE and GNOME applications. . This package is most useful when installed together with kde-style-qtcurve. Package: gtk2-engines-ubuntulooks Source: ubuntulooks Version: 0.9.12-2 Architecture: armhf Maintainer: Alan Baghumian Installed-Size: 125 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0) Priority: optional Section: gnome Filename: pool/main/u/ubuntulooks/gtk2-engines-ubuntulooks_0.9.12-2_armhf.deb Size: 35264 SHA256: dab0582b090a04b4497fc744313933ec1b1c10d9e431f1b54698f49998c8efee SHA1: bec4523794efaa62d00d83d2c8815724b6b10342 MD5sum: 8143afe4d48029dcb60acbc4717d5878 Description: 'ubuntulooks' theme for GTK+ 2.x Ubuntulooks is a modern-looking engine for GTK+, based on Clearlooks. It features elements of various popular themes, blended together to produce a theme that is easy on the eyes and visually pleasing. . This package also ships a GTK theme called Human. Package: gtk2-engines-wonderland Version: 1.0-8 Architecture: armhf Maintainer: Ulises Vitulli Installed-Size: 104 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.1), libpango1.0-0 (>= 1.14.0) Homepage: http://art.gnome.org/themes/gtk2/274 Priority: optional Section: x11 Filename: pool/main/g/gtk2-engines-wonderland/gtk2-engines-wonderland_1.0-8_armhf.deb Size: 27490 SHA256: 4279eafa58cbd92c1cdd263fbcf943113606969e68bb4f12c19212137cd77ac4 SHA1: 6fdb195a779e13246cafdbd0f8fc226d7274dcf7 MD5sum: 09c040d552830489e1d03179d037f725 Description: Wonderland theme for GTK+-2.0 Original Bluecurve engine from Red Hat's artwork package. . This package is based on the Wonderland theme available from http://art.gnome.org/ for GTK+-2.0. This theme is not available for GTK-1.2 at the same location and thus is not provided by this package. Package: gtk2-engines-xfce Version: 2.8.1-3 Architecture: armhf Maintainer: Debian Xfce Maintainers Installed-Size: 367 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0) Suggests: xfce4-panel Homepage: http://www.xfce.org/ Priority: optional Section: xfce Filename: pool/main/g/gtk2-engines-xfce/gtk2-engines-xfce_2.8.1-3_armhf.deb Size: 54934 SHA256: 901519edb76184703b3ff77453bab7dbdbd29017e99e17739c928d012bd6903b SHA1: 97eb359e81f8048d2561ba3b1d7938794baf0540 MD5sum: f79fa067f66281c62f8c9ed0bb1181a3 Description: GTK+-2.0 theme engine for Xfce This package contains a theme engine allowing users to apply various GTK2.0 themes to Xfce. It also contains some ready themes, but users are of course free to use other themes or design their own. Package: gtk2.0-examples Source: gtk+2.0 Version: 2.24.10-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1457 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.4-6.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.27.3), libpango1.0-0 (>= 1.28.3), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxfixes3, libgtk2.0-0 (= 2.24.10-2) Homepage: http://www.gtk.org/ Priority: extra Section: x11 Filename: pool/main/g/gtk+2.0/gtk2.0-examples_2.24.10-2_armhf.deb Size: 819334 SHA256: d1669bd04457d56e74b5c1a0f33e32566ef131400d913034d20d00c4f8e16b4b SHA1: abd873d8a4648d030ffac8043de893275b024cee MD5sum: 0d6b73c2944fd0266ff5d659550a8192 Description: example files for GTK+ 2.0 GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains the example files and a demonstration program for GTK+-2.0. Package: gtk2hs-buildtools Version: 0.12.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 28678 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Homepage: http://www.haskell.org/gtk2hs/ Priority: extra Section: haskell Filename: pool/main/g/gtk2hs-buildtools/gtk2hs-buildtools_0.12.3-2_armhf.deb Size: 4652724 SHA256: e6136bd200d24bc96a3486a9e3f7a3bc38679f75fcf1733949a22920da74a700 SHA1: 8050f2f1275379a62aadb64ddf83022b80440b47 MD5sum: fded5d3081a313987a665294c2470e3e Description: Tools to build the Gtk2Hs suite of User Interface libraries This package provides a set of helper programs necessary to build the Gtk2Hs suite of libraries. These tools include a modified c2hs binding tool that is used to generate FFI declarations, a tool to build a type hierarchy that mirrors the C type hierarchy of GObjects found in glib, and a generator for signal declarations that are used to call back from C to Haskell. These tools are not needed to actually run Gtk2Hs programs. Package: gtk3-engines-oxygen Source: oxygen-gtk3 Version: 1.0.4-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1132 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.18.0), libstdc++6 (>= 4.6), libx11-6 Multi-Arch: same Homepage: https://projects.kde.org/projects/playground/artwork/oxygen-gtk Priority: optional Section: gnome Filename: pool/main/o/oxygen-gtk3/gtk3-engines-oxygen_1.0.4-1_armhf.deb Size: 404162 SHA256: 60c98d7af2bd40870c02295a246d9fe8885d47022428fb2f86f7b81be3e0d5cd SHA1: 28a66e3a746f241ada1ab8e7e493c9ad1845aa78 MD5sum: 55ee799bc81fe60499fc2f9d863d1d74 Description: Oxygen widget theme for GTK3-based applications Oxygen-Gtk is a port of the Oxygen widget style to GTK+. . It ensures visual consistency between GTK+ and Qt-based applications running under KDE. Package: gtk3-engines-unico Version: 1.0.2-1 Architecture: armhf Maintainer: Karolina Kalic Installed-Size: 97 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.1.6), libpango1.0-0 (>= 1.14.0) Multi-Arch: same Homepage: https://launchpad.net/unico Priority: optional Section: gnome Filename: pool/main/g/gtk3-engines-unico/gtk3-engines-unico_1.0.2-1_armhf.deb Size: 24886 SHA256: 8d15f6eee64d89eadfe3a8b8195e7a33a3ccae674d60f0c11d471799d451b42a SHA1: 07238e65f5a4f5a0d86c05a103b96e21e38d95d6 MD5sum: 2c6186873baca9c2e2079ff1c2818b4f Description: Unico Gtk+ 3 theme engine Unico is a Gtk+ engine that aims to be the more complete yet powerful theming engine for Gtk+ 3.0 and newer. It’s the first Gtk+ engine written with Gtk+ style context APIs in mind, using CSS as first class citizen. Package: gtk3-im-libthai Source: gtk-im-libthai Version: 0.2.1-4 Architecture: armhf Maintainer: Theppitak Karoonboonyanan Installed-Size: 64 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk-3-0 (>= 3.2.2-3), libthai0 (>= 0.1.12) Recommends: im-config (>= 0.6) Multi-Arch: same Homepage: http://linux.thai.net/projects/libthai Priority: optional Section: libs Filename: pool/main/g/gtk-im-libthai/gtk3-im-libthai_0.2.1-4_armhf.deb Size: 13216 SHA256: 75d1897b0cb2ac95a245fb5a83dfeeb8202bd458eb52c0508ce86cc3c77a08db SHA1: 4bbc21d1ee1aa8240217b0edd6bd2d7a48fccb19 MD5sum: 527d394b39b4900c809a610226e9ae2a Description: GTK+ 3 Input Method Module using LibThai LibThai is a set of Thai language support routines aimed to ease developers' tasks to incorporate Thai language support in their applications. It includes important Thai-specific functions e.g. word breaking, input and output methods as well as basic character and string supports. . This package provides third-party plugin for Thai input method in GTK+ 3, including input sequence correction, using LibThai. Package: gtkam Version: 0.1.18-1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 1219 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexif-gtk5, libexif12, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgphoto2-2 (>= 2.4.10.1), libgphoto2-port0 (>= 2.4.10.1), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://www.gphoto.org/proj/gtkam/ Priority: extra Section: graphics Filename: pool/main/g/gtkam/gtkam_0.1.18-1_armhf.deb Size: 527732 SHA256: 377d8845bc1ddd9d0b18f587c49b8839184b801fe9754dc076e99b2d1038aefd SHA1: a53d43e61bae06773aa14f997326fe2e10166741 MD5sum: 008ca2743f72716ae4cbe3f85777bbda Description: application for retrieving media from digital cameras GTKam is a graphical application based on GTK+ that allows you to transfer pictures and movies from a digital camera. Package: gtkam-dbg Source: gtkam Version: 0.1.18-1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 1448 Depends: gtkam (= 0.1.18-1) | gtkam-gimp (= 0.1.18-1) Homepage: http://www.gphoto.org/proj/gtkam/ Priority: extra Section: debug Filename: pool/main/g/gtkam/gtkam-dbg_0.1.18-1_armhf.deb Size: 643824 SHA256: e8e30e0b2d2f36564379a31d0c6119450b121a3de0d1bfb1a36035b09ccbee72 SHA1: ea9a821515db4d0d4d363f29e4bf3fd1fcd78c7b MD5sum: 8faca0949f73ccadf5d831acdeff8e4d Description: GTK+ application for digital still cameras (debugging symbols) GTKam is a graphical application based on GTK+ that allows you to transfer pictures and movies from a digital camera. . This package contains gdb debugging symbols for the gtkam and gtkam-gimp packages. Package: gtkam-gimp Source: gtkam Version: 0.1.18-1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 185 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexif-gtk5, libexif12, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgimp2.0 (>= 2.4.0), libglib2.0-0 (>= 2.16.0), libgphoto2-2 (>= 2.4.10.1), libgphoto2-port0 (>= 2.4.10.1), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), gimp Homepage: http://www.gphoto.org/proj/gtkam/ Priority: extra Section: graphics Filename: pool/main/g/gtkam/gtkam-gimp_0.1.18-1_armhf.deb Size: 72508 SHA256: 7367ccba492a9e8480006e8ae360ec981043501f536be589f1e8ed202c2e0190 SHA1: 66ed855fabf4e816b1caa8ac2e3b0d755058393b MD5sum: 47a98683d52f652fde35a7f349bfd2e0 Description: gtkam gimp plugin to open digital camera pictures GTKam is a graphical application based on GTK+ that allows you to transfer pictures and movies from a digital camera. . GTKam-gimp is a plug-in for GIMP that allows you to open pictures on a digital camera within GIMP. Package: gtkaml Version: 0.5.91-1 Architecture: armhf Maintainer: David Paleino Installed-Size: 356 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.30.0), libvala-0.14-0 (>= 0.14.2), libxml2 (>= 2.7.4) Homepage: http://code.google.com/p/gtkaml/ Priority: extra Section: devel Filename: pool/main/g/gtkaml/gtkaml_0.5.91-1_armhf.deb Size: 98044 SHA256: 4e9044a3553d443574a4cb0e890142e29c03f431b925879db7404d2fe23803cd SHA1: 4bc4431e9eead72a70463cc43369b07be49a835c MD5sum: 50596f9d0a9879e21dda449bde3fd985 Description: XML application markup language for GTK+ and Vala gtkaml is a XML language that extends the Vala.Parser and aimed at creating valid GTK+ UI classes. It features a compact XML syntax for describing the way Gtk widgets are laid out in custom widgets. Package: gtkaml-dbg Source: gtkaml Version: 0.5.91-1 Architecture: armhf Maintainer: David Paleino Installed-Size: 857 Depends: gtkaml (= 0.5.91-1) Homepage: http://code.google.com/p/gtkaml/ Priority: extra Section: debug Filename: pool/main/g/gtkaml/gtkaml-dbg_0.5.91-1_armhf.deb Size: 306022 SHA256: 528733d4500f5b4279009f044da91fec4937e086ba0c0f88ae2a7ad46868c34d SHA1: a42992ede3cc089934c997c0df0db4656fa24d85 MD5sum: 34e646849f8770ebef1e500166dcbee7 Description: XML application markup language for GTK+ and Vala - debug symbols gtkaml is a XML language that extends the Vala.Parser and aimed at creating valid GTK+ UI classes. It features a compact XML syntax for describing the way Gtk widgets are laid out in custom widgets. . This package contains the debugging symbols for gtkaml. Package: gtkatlantic Version: 0.4.2-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 558 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libxml2 (>= 2.7.4) Recommends: monopd Homepage: http://gtkatlantic.sourceforge.net/ Priority: optional Section: games Filename: pool/main/g/gtkatlantic/gtkatlantic_0.4.2-3_armhf.deb Size: 379190 SHA256: dcbf57a8099d4e1e4d5e9767ef5d9d624acb7cdf8337f118bcfe369262643d66 SHA1: 52d6a48433e34bacf622f388dd79610a6454c699 MD5sum: 61689fe06c56555d36bc8e4b0612f233 Description: Game like Monopoly GtkAtlantic is a game, like monopoly. This is a network client which work with the monopd server (see http://unixcode.org/monopd/). Package: gtkballs Version: 3.1.5-9 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 3206 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://gtkballs.antex.ru/ Priority: optional Section: games Filename: pool/main/g/gtkballs/gtkballs_3.1.5-9_armhf.deb Size: 1055098 SHA256: 135798c09af4f638d432f51f214616c5576979e9028eac71c29d90b8cc909e51 SHA1: 692cdc011241d277e69223e9e83cb4067f3b8ebf MD5sum: 12d79ea9dfed6f87099141fd5e647a42 Description: A simple logic game The goal of this game is to make the highest score by matching a number of balls of the same color in a horizontal, vertical or diagonal line. This is a clone of well-known DOS game "Lines". Package: gtkboard Version: 0.11pre0+cvs.2003.11.02-5 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 963 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11) Homepage: http://gtkboard.sourceforge.net/ Priority: optional Section: games Filename: pool/main/g/gtkboard/gtkboard_0.11pre0+cvs.2003.11.02-5_armhf.deb Size: 351886 SHA256: 895c2a22f46d39d0d6fb4ae123be9735378bd96d9b5528fc99e4de0d6a2fd85a SHA1: b789b01cdbc5acc3284934a6ee2898bb78a99ae6 MD5sum: affea3696848de8dd9234e0f1b6fbf14 Description: many board games in one program Gtkboard aims to provide a common platform for all board games. All games have a common interface. The first thing you do on starting gtkboard is to select a game from the menu. Currently 18 games are implemented. Of these, Antichess, Ataxx, Fifteen puzzle, Hiq, Memory, Othello, Pentaline, Plot4, Rgb and Samegame are fully implemented. Checkers, Infiltrate, Hypermaze, Mastermind, Maze, and Tetris are partially implemented but playable. Chess, and Pacman are partially implemented and currently unplayable. Some are single player and some are two player games. Package: gtkcookie Version: 0.4-5 Architecture: armhf Maintainer: Peter Pentchev Installed-Size: 102 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0) Priority: optional Section: x11 Filename: pool/main/g/gtkcookie/gtkcookie_0.4-5_armhf.deb Size: 27706 SHA256: 86890aff89caf52a04da7b8e59c1c3222a3e1dbdfc894c7acd20237b31ce66c2 SHA1: f8220e3305eaad4b6e59a6ece31e5b7c1d72fe53 MD5sum: 94adf628030255dd904f925c6dcb23a2 Description: editor for cookie files This package provides a graphical editor for cookie files as stored by Gecko browsers (Mozilla, FireFox, Galeon, Netscape, etc.) before they started storing their cookies in SQLite databases. Package: gtkguitune Version: 0.8-6 Architecture: armhf Maintainer: Antonin Kral Installed-Size: 175 Depends: libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.3.0), oss-compat Priority: optional Section: x11 Filename: pool/main/g/gtkguitune/gtkguitune_0.8-6_armhf.deb Size: 52040 SHA256: 7ef8280d2435817be489d7109542ae70a68c4bbf2d969e46ad31f01a3e38ec6b SHA1: 046d37ca97473d0845505725c928136b64c2d1c3 MD5sum: f6e25fcb45dbaf38232138ddf2bbbc49 Description: Guitar and other instruments tuner gtkGuitune is a Linux program for tuning guitars and other instruments by using the method of Schmitt-triggering, i.e. counting the number of triggerings between two trigger levels in a certain amount of time. Package: gtkhash Version: 0.6.0-4 Architecture: armhf Maintainer: Mònica Ramírez Arceda Installed-Size: 142 Depends: gtkhash-common (= 0.6.0-4), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libmhash2, libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4), dconf-gsettings-backend | gsettings-backend Homepage: http://gtkhash.sourceforge.net Priority: optional Section: utils Filename: pool/main/g/gtkhash/gtkhash_0.6.0-4_armhf.deb Size: 43178 SHA256: 3e94f4e972c44ee246735e6eac62e8aa7b4ca5399b2ee94bc16b3e48d7556479 SHA1: 3233ec78f8ef03f7f841efb7a816b546eb5d69e6 MD5sum: 924f4996a7a8bf42229ee9915604d388 Description: GTK+ utility for computing checksums and more GtkHash is a small GTK+ utility which allows users to compute message digests or checksums using the mhash library. Currently supported hash functions include MD5, MD6, SHA1, SHA256, SHA512, RIPEMD, TIGER and WHIRLPOOL. Package: gtkhash-common Source: gtkhash Version: 0.6.0-4 Installed-Size: 211 Maintainer: Mònica Ramírez Arceda Architecture: all Replaces: gtkhash (<< 0.6.0) Depends: dconf-gsettings-backend | gsettings-backend Breaks: gtkhash (<< 0.6.0) Size: 14678 SHA256: c5c169c3a39dd3a652c603e977e171981acdaef166d2c539c76387f5b33a01d9 SHA1: 10c2ac0f977661cc4b9ffadedef3b2ab3266840f MD5sum: 3fe9a99b46e47b6e1315074897798871 Description: common files for gtkhash extensions This package has the common files you need to install gtkhash extensions. GtkHash has two extensions: an extension for nautilus and an extension for Thunar. They allow users to compute message digests or checksums using the mhash library. Currently supported hash functions include MD5, MD6, SHA1, SHA256, SHA512, RIPEMD, TIGER and WHIRLPOOL. Homepage: http://gtkhash.sourceforge.net Section: utils Priority: optional Filename: pool/main/g/gtkhash/gtkhash-common_0.6.0-4_all.deb Package: gtklick Version: 0.6.4-3 Installed-Size: 332 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: python (>= 2.6.6-7~), python-glade2, python-gtk2, python-liblo, klick Size: 34634 SHA256: b73c07781e9c617290fd8abd10ca64327a5ea4d214442a3358e959b277d1a24e SHA1: 4cc11ffb6357c402a50f6c01c6f4f3cfa73d772e MD5sum: 227d9d318eaf736947f64895bb0b4315 Description: simple metronome GUI for JACK gtklick is a simple metronome with an easy-to-use GTK+ interface, supporting the JACK sound server. . It features different sounds to choose from, arbitrary meters and beat patterns, a speed trainer, and the ability to save and restore profiles. gtklick is implemented as a frontend to klick and uses OSC messages to communicate with the backend. . Using klick directly on the command line offers additional features like tempo maps and synchronization with JACK transport. Homepage: http://das.nasophon.de/gtklick/ Tag: implemented-in::python, role::program Section: sound Priority: optional Filename: pool/main/g/gtklick/gtklick_0.6.4-3_all.deb Package: gtklp Version: 1.2.7-2.3 Architecture: armhf Maintainer: Zak B. Elep Installed-Size: 720 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnutls26 (>= 2.12.17-0), libgssapi-krb5-2 (>= 1.6.dfsg.2), libgtk2.0-0 (>= 2.8.0), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libpango1.0-0 (>= 1.14.0), libx11-6, zlib1g (>= 1:1.1.4) Homepage: http://gtklp.sourceforge.net/ Priority: optional Section: x11 Filename: pool/main/g/gtklp/gtklp_1.2.7-2.3_armhf.deb Size: 203880 SHA256: 51a0dbe1e91fa7189705bf5844268cdb9f42fd2075b0a88cc0e392d4cbdaacb8 SHA1: 0b61a610bf47fc092ff0b359e0b2e5403a576e95 MD5sum: 72ff70889f5ffb84f4d156349aaf9478 Description: printing tool for CUPS on the GNOME Desktop GtkLP lets you print files under the Common Unix Printing System (CUPS). Written in GTK+ 2.0 and for the GNOME desktop environment, it supports multiple option settings for each printer it knows, with all the standard CUPS options available. . Other features include localized dialogs for several languages, as well as multiple interfaces; with a little more effort, you can use GtkLP as a drop-in replacement for `lp'. Package: gtkmm-documentation Version: 3.4.0-3 Installed-Size: 7714 Maintainer: Deng Xiyue Architecture: all Replaces: libgtkmm-2.4-doc (<< 1:2.14.3-2) Depends: lynx | www-browser, doc-base, libgtkmm-3.0-doc Recommends: libglibmm-2.4-doc Conflicts: libgtkmm-2.4-doc (<< 1:2.14.3-2) Size: 2994754 SHA256: 7da39c1dad0cb58d1c39f244fa1f5eccb70ba0c81ef029996c3b926724d3164e SHA1: 22e512566eb4a0aecab9e1ea6fd8f0bed3143dcd MD5sum: c43214c7a8802819d29093795360ad42 Description: Documentation of C++ wrappers for GLib/GTK+ GLib is a low-level general-purpose library used mainly by GTK+/GNOME applications, but is useful for other programs as well. glibmm is the C++ wrapper for GLib. . Gtkmm is a C++ interface for the popular GUI library GTK+. It provides a convenient interface for C++ programmers to create graphical user interfaces with GTK+'s flexible OO framework. Highlights include type safe callbacks, widgets extensible using inheritance and over 180 classes that can be freely combined to quickly create complex user interfaces. . This package contains tutorial, FAQ, as well as examples for the above components. Homepage: http://www.gtkmm.org/ Tag: devel::doc, devel::examples, made-of::html, role::documentation, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/g/gtkmm-documentation/gtkmm-documentation_3.4.0-3_all.deb Package: gtkmorph Source: xmorph Version: 1:20090926 Architecture: armhf Maintainer: A Mennucc1 Installed-Size: 335 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libmorph, libstdc++6 (>= 4.3.0), libwaili1c2 Suggests: imagemagick, gtkmorph-example Conflicts: xmorph (<< 20030121) Priority: optional Section: graphics Filename: pool/main/x/xmorph/gtkmorph_20090926_armhf.deb Size: 138462 SHA256: 337259b3f8d94ace1537975d27e2142f4a17d18e52fea9f580924759e6f25633 SHA1: 3971c78718f6adef410684201913159e010a0b40 MD5sum: 163cbadad62207d26d732c2a971ecef4 Description: Digital image warp and morph (gtk) gtkmorph loads, saves, warps, and dissolves images, and loads, saves, creates, and manipulates control meshes which determine the warping. The technique was invented and first used by Industrial Light and Magic, and is commonly called "morphing." . gtkmorph is a GUI for libmorph, using GTK+. It has many features, as the support for making movies automatically (it needs extra packages). Package: gtkmorph-example Source: xmorph Version: 1:20090926 Installed-Size: 1288 Maintainer: A Mennucc1 Architecture: all Provides: xmorph-example Depends: gtkmorph | xmorph Size: 646980 SHA256: 4f37e6f143fbdcaa8999fa4c26a759ed4f24b6ed66441c8b25fe44bc6e6bcd4a SHA1: fa721dfe2ca96fc64ce8784ec5cbfac076d9027d MD5sum: d04a9142760c19c59d528d409fe8138d Description: digital image warp and morph, examples gtkmorph loads, saves, warps, and dissolves images, and loads, saves, creates, and manipulates control meshes which determine the warping. It has support for making movies automatically (it needs extra packages). The technique was invented and first used by Industrial Light and Magic, and is commonly called "morphing." . This package contains an example session, that can be used also with xmorph. Tag: devel::examples, interface::x11, role::app-data, uitoolkit::gtk, use::editing, works-with::image, works-with::image:raster, x11::application Section: graphics Priority: optional Filename: pool/main/x/xmorph/gtkmorph-example_20090926_all.deb Package: gtkorphan Version: 0.4.4-1.1 Installed-Size: 273 Maintainer: Fabio Marzocca Architecture: all Depends: menu, perl, deborphan (>= 1.7.28.2), libgtk2-perl (>= 1:1.100-1), libglib-perl (>= 1:1.100-1), liblocale-gettext-perl, libgtk2-gladexml-perl Size: 33264 SHA256: 48206fe08e63b841ad37d6106a175b4b3276ded36749e1ca27fd708de9b8aff8 SHA1: adb6e8d3267e36c8ed790b3e484f8317b83402b8 MD5sum: c6b14ae591eb998d9952fab31210dd2d Description: A graphical tool to find and remove orphaned libraries GtkOrphan is a graphical tool which scans your Debian system, looking for orphaned libraries. It implements a GUI front-end to deborphan, but adds the package removal capability. A detailed documentation on the program can be found at: http://www.marzocca.net/linux/gtkorphan.html. Tag: admin::package-management, implemented-in::perl, interface::x11, role::program, scope::utility, suite::debian, uitoolkit::gtk, use::checking, use::organizing, works-with::software:package Section: admin Priority: optional Filename: pool/main/g/gtkorphan/gtkorphan_0.4.4-1.1_all.deb Package: gtkperf Version: 0.40+ds-2 Architecture: armhf Maintainer: Evgeni Golov Installed-Size: 101 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://gtkperf.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/g/gtkperf/gtkperf_0.40+ds-2_armhf.deb Size: 28308 SHA256: 4abc8a1c2cae80c6d942ddf6882bd57d6a265ff315c2a0fc0f790416e7031485 SHA1: a95342729aa1e44bd3c73d42b152eb1e33e551a4 MD5sum: dac7e2bfa0dc02ccca52ff82441e4f66 Description: GTK+ performance benchmark GtkPerf is an application designed to test GTK+ performance. The point is to create common testing platform to run predefined GTK+ widgets (opening comboboxes, toggling buttons, scrolling text) and this way define the speed of device/platform. Package: gtkpod Version: 2.1.2-1 Architecture: armhf Maintainer: gtkpod Maintainers Installed-Size: 964 Depends: gtkpod-data (= 2.1.2-1), libatomicparsley0 (= 2.1.2-1), libgtkpod1 (= 2.1.2-1), libanjuta-3-0 (>= 2:3.2.0), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libcurl3-gnutls (>= 7.16.2), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgdl-3-2 (>= 3.0.0), libglib2.0-0 (>= 2.31.8), libgpod4 (>= 0.7.0), libgstreamer-plugins-base0.10-0 (>= 0.10.12), libgstreamer0.10-0 (>= 0.10.0), libgtk-3-0 (>= 3.0.0), libid3tag0 (>= 0.15.1b), libimobiledevice2 (>= 0.9.7), libjavascriptcoregtk-3.0-0 (>= 1.5.1), libogg0 (>= 1.0rc3), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libwebkitgtk-3.0-0 (>= 1.3.10), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Recommends: id3v2 | python-mutagen, vorbis-tools Suggests: faad, mp3gain, perl, python (>= 2.6.6-3~) Replaces: gtkpod-data (<< 2) Homepage: http://www.gtkpod.org Priority: extra Section: sound Filename: pool/main/g/gtkpod/gtkpod_2.1.2-1_armhf.deb Size: 451960 SHA256: 26452014b547b5a1c052fb637a8ff231d54644dfcf63c042640378bafd3fb733 SHA1: 73e06d76e8af06b6982757c631d04669d18e3029 MD5sum: 771fa726d4429b9f8ea21c6d8ecc9563 Description: manage songs and playlists on an Apple iPod gtkpod is a platform independent GUI for Apple's iPod using GTK3. It allows you to upload songs and playlists to your iPod. It supports ID3 tag editing, multiple charsets for ID3 tags, detects duplicate songs, allows offline modification of the database with later synchronisation, and more. Package: gtkpod-data Source: gtkpod Version: 2.1.2-1 Installed-Size: 4072 Maintainer: gtkpod Maintainers Architecture: all Replaces: gtkpod (<< 2) Depends: dconf-gsettings-backend | gsettings-backend Suggests: gtkpod, python (>= 2.6.6-3~), python-jppy Size: 1477980 SHA256: 5fdd817b9bd0202fc8b1573137f45a3ca3ddc1abedf206dec96a67df8cab3cc5 SHA1: 98788cb01f55c245abce4d14e18244a71671b8f2 MD5sum: 7de3a9fe6f6e30d58110141e7513f8fb Description: architecture-independent files for gtkpod gtkpod is a platform independent GUI for Apple's iPod using GTK3. It allows you to upload songs and playlists to your iPod. It supports ID3 tag editing, multiple charsets for ID3 tags, detects duplicate songs, allows offline modification of the database with later synchronisation, and more. . This package contains documentation, translations and images for gtkpod. Homepage: http://www.gtkpod.org Tag: role::app-data Section: sound Priority: extra Filename: pool/main/g/gtkpod/gtkpod-data_2.1.2-1_all.deb Package: gtkpod-dbg Source: gtkpod Version: 2.1.2-1 Architecture: armhf Maintainer: gtkpod Maintainers Installed-Size: 1634 Depends: gtkpod (= 2.1.2-1), libatomicparsley0 (= 2.1.2-1), libgtkpod1 (= 2.1.2-1) Homepage: http://www.gtkpod.org Priority: extra Section: debug Filename: pool/main/g/gtkpod/gtkpod-dbg_2.1.2-1_armhf.deb Size: 1242456 SHA256: 2370c8f258fae15d36c27cd490ac3f8dc5c68786f396e65b36919c13b726f5bd SHA1: 39e633cac56ccee632d2baa2aa5c2450b6d6291a MD5sum: 1b86b05c2ea29f78b9003ab016d54770 Description: debugging symbols for gtkpod gtkpod is a platform independent GUI for Apple's iPod using GTK3. It allows you to upload songs and playlists to your iPod. It supports ID3 tag editing, multiple charsets for ID3 tags, detects duplicate songs, allows offline modification of the database with later synchronisation, and more. . This package contains the debugging symbols for gtkpod. Package: gtkpool Version: 0.5.0-9 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 3079 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0) Priority: optional Section: games Filename: pool/main/g/gtkpool/gtkpool_0.5.0-9_armhf.deb Size: 327700 SHA256: ea193becc284a9b4f15553ecd51fd0281ad7d6a0c110f08bf6e00d19671d0837 SHA1: aa14f514273e9e75a966f87ff8f9f22741eb0c73 MD5sum: b37667852d93824cd6dfbfabfd742eaf Description: simple pool billiard game written with GTK+ GtkPool brings you that classic favourite game of lounge lizards. It has a rather simple playing interface and it supports a really nifty, customizable physics system. Package: gtkvncviewer Version: 0.4-2.2 Installed-Size: 440 Maintainer: Clement Lorteau Architecture: all Depends: python, python-central (>= 0.6.11), python-glade2, python-gtk2, python-gconf, python-gnomekeyring, python-gtk-vnc, dbus-x11, gnome-keyring Size: 75762 SHA256: b3b56fd614b61a959ea6fe4720af508fcc3fd20bd92a2b086c2cb9d2382ffaa5 SHA1: a63feef6588a612cd763086d1ae5d54274ce568c MD5sum: d7031b2834a9a1aef740226986694f2d Description: Small GNOME VNC client This script provides a GUI for connecting to VNC servers. It remembers the credentials of known servers, so connecting to a VNC server is just one double-click away. Servers are shown in an icon view. Homepage: http://launchpad.net/gtkvncviewer Python-Version: current Tag: role::program Section: utils Priority: optional Filename: pool/main/g/gtkvncviewer/gtkvncviewer_0.4-2.2_all.deb Package: gtkwave Version: 3.3.37-1 Architecture: armhf Maintainer: Debian Electronics Team Installed-Size: 3661 Depends: gconf-service, libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.10.0), libjudydebian1, liblzma5 (>= 5.1.1alpha+20110809), libpango1.0-0 (>= 1.14.0), tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), zlib1g (>= 1:1.1.4) Homepage: http://gtkwave.sourceforge.net/ Priority: optional Section: electronics Filename: pool/main/g/gtkwave/gtkwave_3.3.37-1_armhf.deb Size: 2434014 SHA256: b138b515b15a12cde69f32ac472499572d11cf606064802548d626b4d2a4baec SHA1: beb598f86837a4c802d780ffd0f6e6114341474e MD5sum: faa34e964e0a9c4778518fb4ed7c1ee1 Description: VCD (Value Change Dump) file waveform viewer gtkwave is a viewer for VCD (Value Change Dump) files which are usually created by digital circuit simulators. (These files have no connection to video CDs!) Package: gtml Version: 3.5.4-7 Installed-Size: 247 Maintainer: Kenneth J. Pronovici Architecture: all Depends: perl Size: 63712 SHA256: c6b677ad460aa497b3818923faa482261c759c4ab9fd8786ad103605a77a3288 SHA1: a63de3d44fa0931fc0cb5ad00f2708eb356ead0c MD5sum: bb3d018d087de7b0c93b8e1fc9320a91 Description: HTML pre-processor GTML is an HTML pre-processor which adds some extra features specially designed for maintaining multiple web pages. HTML files generated by GTML are just like any other HTML files. Because GTML does not attempt to interpret your HTML commands in any way, it's fully compatible with all versions of HTML, and does not require any specific browser or server. Homepage: http://gtml.sourceforge.net/ Tag: devel::web, implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, works-with-format::html, works-with::text Section: web Priority: optional Filename: pool/main/g/gtml/gtml_3.5.4-7_all.deb Package: gtodo Version: 0.16.0~rc2-1.2 Architecture: armhf Maintainer: Guilherme de S. Pastore Installed-Size: 387 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), gconf2 (>= 2.28.1-2) Suggests: gtodo-applet Homepage: http://cms.qballcow.nl/ Priority: optional Section: x11 Filename: pool/main/g/gtodo/gtodo_0.16.0~rc2-1.2_armhf.deb Size: 101964 SHA256: b185c3231aa41ba9c4f62e51ebf02e8fc0555182ea5428145d42d81b1163e774 SHA1: 930f2b47264a7e659d7645c2232636a2706d06a4 MD5sum: 887ec4a9818e9c1e6a88ba3e83f72c9e Description: GNOME to-do list manager GToDo is, as its name suggests, a "to do" list manager for GNOME. Although it's simple and very small, it's also easy to use and fully-featured, being able to divide your entries into as many categories as you wish (among the default ones: Personal, Business and Unfilled), and allowing you to sort descending on ascendingly, according to their priority, due date and status, not necessarily in this order. . You can also choose if you want to highlight or even hide items, taking, for example, the time until due date into account. Package: gtranslator Version: 2.91.4-1 Architecture: armhf Maintainer: Jordi Mallach Installed-Size: 11307 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libgda-5.0-4 (>= 5.0.2), libgdict-1.0-6 (>= 3.0.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgdl-3-2 (>= 3.0.0), libgettextpo0, libgirepository-1.0-1 (>= 0.9.3), libglib2.0-0 (>= 2.32.0), libgtk-3-0 (>= 3.4.2), libgtksourceview-3.0-0 (>= 3.0.0), libgtkspell-3-0, libjson-glib-1.0-0 (>= 0.12.0), libpango1.0-0 (>= 1.14.0), libpeas-1.0-0 (>= 1.1.0), libxml2 (>= 2.7.4), gir1.2-atk-1.0, gir1.2-freedesktop, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-gtksource-3.0, gir1.2-pango-1.0, gir1.2-peas-1.0, gir1.2-gucharmap-2.90, python (>= 2.6.6-7~), python2.7, dconf-gsettings-backend | gsettings-backend, gsettings-desktop-schemas, iso-codes Homepage: http://projects.gnome.org/gtranslator/ Priority: optional Section: gnome Filename: pool/main/g/gtranslator/gtranslator_2.91.4-1_armhf.deb Size: 4786338 SHA256: 1a15cc8831501e7249f478431e295ba5adb3fe73f528d0fe13d0ae8c67044952 SHA1: edc6b272f929dfcb82d5af8d88e2741abb03e230 MD5sum: dae6c6827556591fced1a89bf387a3f1 Description: PO-file editor for the GNOME Desktop GTranslator is a po file editor which makes translating gettext applications as easy as possible, even for people with no translation experience. . It supports the usage of translation memories, syntax highlighting, spell checking, undoing of insertions and deletions, and general integration with the GNOME Desktop. Package: gtrayicon Version: 1.1-1 Architecture: armhf Maintainer: Taylor LeMasurier-Wren Installed-Size: 85 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27) Homepage: http://gtrayicon.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/g/gtrayicon/gtrayicon_1.1-1_armhf.deb Size: 14166 SHA256: 5b0eb8f1ef0290950a07487e3a5deefa8cd9fbde20289e0a601446529b2d6cfb SHA1: 425727470fc2fbf8ca870e0585f29fd0dd4e9cf9 MD5sum: 4b3c01c5e4c2dbe412e85457d54c5462 Description: Generic tray icon for GNOME Generic tray icon for GNOME is a small utility which allows to add a icon to the system tray that can be used to trigger customized enable/disable actions. Package: gtrayicon-dbg Source: gtrayicon Version: 1.1-1 Architecture: armhf Maintainer: Taylor LeMasurier-Wren Installed-Size: 50 Depends: gtrayicon (= 1.1-1) Homepage: http://gtrayicon.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/g/gtrayicon/gtrayicon-dbg_1.1-1_armhf.deb Size: 5240 SHA256: 82a3cc6cf6991e0e49db3c00600037ef743149f11eab66372830d4b8c15931e8 SHA1: deb6c162ef681da7adcb8a9a62739406c67ab6d5 MD5sum: 16093b88faec1cbec0a2410048948ccf Description: Generic tray icon for GNOME - debug symbols Generic tray icon for GNOME is a small utility which allows to add a icon to the system tray that can be used to trigger customized enable/disable actions. . This package contains the debugging symbols Package: gtypist Version: 2.9.1-2.1 Architecture: armhf Maintainer: Ben Armstrong Installed-Size: 3306 Depends: libc6 (>= 2.13-28), libncursesw5 (>= 5.6+20070908), libtinfo5, dpkg (>= 1.15.4) | install-info, fortune-mod Recommends: fortune-cookie-db Suggests: vim-addon-manager Conflicts: typist Replaces: typist Homepage: http://www.gnu.org/software/gtypist/ Priority: optional Section: misc Filename: pool/main/g/gtypist/gtypist_2.9.1-2.1_armhf.deb Size: 1091138 SHA256: bf2177805497d9f259291a43b88733e9a4b959778f0190b818679192a7a88cf2 SHA1: 2b0520bd9951dc3106601df0d41e27ca322a89f1 MD5sum: 934c7335907ed1a43d13e21e6f614488 Description: simple ncurses touch typing tutor Displays exercise lines, measures your typing speed and accuracy, and displays the results. Two exercise types are possible: drills and speed tests. . You need fortune cookies (fortune-cookie-db) to use typefortune. There are several languages specific cookie packages (fortunes-*). Package: guacamole Version: 0.6.0-1 Installed-Size: 301 Maintainer: Michael Jumper Architecture: all Depends: guacd (>= 0.6), guacd (<< 0.7) Recommends: libguac-client-vnc0 Suggests: tomcat6 | jetty Size: 277382 SHA256: c6632352d2a91b26be68d54d67e33bffd7b4616a0ba7059c2fbd5c5f83df8310 SHA1: 7da77b93bdeaee26049c9ac92dd9c998dbaaf80e MD5sum: 88ba5c9ea37f860a61e42e9ea18dd103 Description: HTML5 web application for accessing remote desktops Guacamole is an HTML5 web application that provides access to a desktop environment using remote desktop protocols. A centralized server acts as a tunnel and proxy, allowing access to multiple desktops through a web browser. No plugins are needed: the client requires nothing more than a web browser supporting HTML5 and AJAX. Homepage: http://guacamole.sourceforge.net/ Tag: implemented-in::java, interface::web, role::program, web::application Section: net Priority: extra Filename: pool/main/g/guacamole/guacamole_0.6.0-1_all.deb Package: guacamole-tomcat Source: guacamole Version: 0.6.0-1 Installed-Size: 7 Maintainer: Michael Jumper Architecture: all Depends: debconf, guacamole, tomcat6, libguac-client-vnc0, debconf (>= 0.5) | debconf-2.0 Size: 4960 SHA256: 363aab287131a531161aa70716229c008c8bdc3e920eea9744ed52ae76a9f896 SHA1: b169a791a7f8fc2df8fba09e2d144d7e4f07581e MD5sum: 492c9bc1e03ad6f662ded65d8a3a41fe Description: Tomcat-based Guacamole install with VNC support Guacamole is an HTML5 web application that provides access to a desktop environment using remote desktop protocols. A centralized server acts as a tunnel and proxy, allowing access to multiple desktops through a web browser. No plugins are needed: the client requires nothing more than a web browser supporting HTML5 and AJAX. . This metapackage depends on Tomcat, Guacamole, and the VNC support plugin for guacamole. Guacamole is automatically installed and configured under Tomcat. Homepage: http://guacamole.sourceforge.net/ Section: net Priority: extra Filename: pool/main/g/guacamole/guacamole-tomcat_0.6.0-1_all.deb Package: guacd Version: 0.6.0-1 Architecture: armhf Maintainer: Michael Jumper Installed-Size: 63 Depends: lsb-base (>= 3.0-6), libc6 (>= 2.13-28), libguac3 Homepage: http://guacamole.sourceforge.net/ Priority: extra Section: net Filename: pool/main/g/guacd/guacd_0.6.0-1_armhf.deb Size: 10814 SHA256: 81a1f1d45a6d34bbe010b4131bfec95e3b79a612f70b7b8d54365c8a92a4bbb5 SHA1: a56c1fa5ea1e1c6f2097551495bdc0909eff2f3a MD5sum: 0ed5d0cef037546593aa827dd2ad5d78 Description: Guacamole proxy daemon The Guacamole proxy daemon, guacd, translates between remote desktop protocols (like VNC) and the Guacamole protocol using protocol plugins. Once a user is authenticated with the Guacamole web application, a tunnel is established through the web application to guacd, allowing the JavaScript client to communicate to an arbitrary remote desktop server through guacd. Package: guake Version: 0.4.3-3 Architecture: armhf Maintainer: Sylvestre Ledru Installed-Size: 836 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libpython2.7 (>= 2.7), libx11-6, notification-daemon, python-notify, python (>= 2.7), gconf2, python-vte, python-dbus, python-glade2, python-gconf, python-xdg, python2.7, python (<< 2.8) Provides: x-terminal-emulator Homepage: http://www.guake.org Priority: optional Section: x11 Filename: pool/main/g/guake/guake_0.4.3-3_armhf.deb Size: 118832 SHA256: ca6914f71cfa3f8f2225c7ef62d967c4183157cf993dc6248662450c29691277 SHA1: 58ad4f470f26f60ccf70167989c78d527c468633 MD5sum: 21ab864933caba3bfc0b02d9954e7838 Description: Drop-down terminal for GNOME Desktop Environment Guake is a drop-down terminal for GNOME Desktop Environment, so you just need to press a key to invoke him, and press again to hide. Guake supports hotkeys, tabs, background transparent, etc. Package: guayadeque Version: 0.3.5~ds0-4 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 5077 Depends: gstreamer0.10-plugins-base, gstreamer0.10-plugins-good, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libdbus-1-3 (>= 1.0.2), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.22.0), libgpod4 (>= 0.7.0), libgstreamer0.10-0 (>= 0.10.11), libindicate5 (>= 0.4.90), libstdc++6 (>= 4.6), libtag1c2a (>= 1.6.1), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libwxsqlite3-2.8-0 Homepage: http://sourceforge.net/projects/guayadeque Priority: optional Section: sound Filename: pool/main/g/guayadeque/guayadeque_0.3.5~ds0-4_armhf.deb Size: 2063596 SHA256: a7163538e11beb11444927cb27ed08a42f124406f131b41998258ddab8781f45 SHA1: 6ed5288d3b40808869c03d9aef2d0d1f40e04e17 MD5sum: c8762141b6866d47f99272af16be2c10 Description: lightweight music player Guayadeque is a lightweight and easy-to-use music player that supports smart playlists and huge music collections. . Main features include: - Play mp3, ogg, flac, wma, mpc, mp4, ape, etc. - Read and write tags in all supported formats. - Allow to catalogue your music using labels. Any track, artist or album can have as many labels you want. - Smart play mode that add tracks that fit your music taste using the tracks currently in play list. - Ability to download covers manually or automatically - Suggest music using last.fm service. - Allow fast access to any music file by genre, artist, album, etc - Play shoutcast radios. - Allow to subscribe to podcasts and download all new episodes automatically or manually. - Dynamic or static play lists. - Tracks tag editor with automatically fetching of tags information for easily completion. - Lyrics downloads from different lyrics providers. - Easily expandable contextual links support. With it you can find information about a track, an artist or an album on your favourite site. - Easily expandable contextual commands support. For example you can right click on any album and click in option to record the album in a burning application. - Option to copy the selection you want to a directory or device using a configurable pattern. - Last.fm audioscrobbling support. - Partial GNOME session support to detect when GNOME session is about to close and save the play list so it can continue next time with the same tracks. - Allow to resume play status and position when closed and reopened. - You can rate the tracks from 0 to 5 stars. - MPRIS D-Bus interface support so it can easily controlled from music applets for example and many more. Package: guayadeque-dbg Source: guayadeque Version: 0.3.5~ds0-4 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 624 Depends: guayadeque (= 0.3.5~ds0-4) Homepage: http://sourceforge.net/projects/guayadeque Priority: extra Section: debug Filename: pool/main/g/guayadeque/guayadeque-dbg_0.3.5~ds0-4_armhf.deb Size: 174456 SHA256: 8d8b7793cbc4866946a3a24c5c6673df0d2e688b2bbebdac64834b177676427b SHA1: 29ff9fd443c1a249ab5628400fa260e614af2a7f MD5sum: 507460d9158a38a0fa9265c2a27b6c98 Description: lightweight music player - debugging symbols Guayadeque is a lightweight and easy-to-use music player that supports smart playlists and huge music collections. . This package provides the debugging symbols for guayadeque. Package: gucharmap Version: 1:3.4.1.1-2.1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 4358 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.3.16), libgucharmap-2-90-7 (= 1:3.4.1.1-2.1), libpango1.0-0 (>= 1.14.0), dconf-gsettings-backend | gsettings-backend Recommends: yelp Homepage: http://live.gnome.org/Gucharmap Priority: optional Section: gnome Filename: pool/main/g/gucharmap/gucharmap_3.4.1.1-2.1_armhf.deb Size: 1389410 SHA256: e16e906f13e0f4c254fc93c4d5cb5aecef10a79c5ab15aac16dcdcaa6ca3d852 SHA1: 0366bda769a0ad33a303ebeb292709d5b35fdaba MD5sum: 5b023f1dac3cc2e96519a070344f45d6 Description: Unicode character picker and font browser This program allows you to browse through all the available Unicode characters and categories for the installed fonts, and to examine their detailed properties. It is an easy way to find the character you might only know by its Unicode name or code point. Package: guessnet Version: 0.55 Architecture: armhf Maintainer: Andrew O. Shadura Installed-Size: 390 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libiw30 (>= 30~pre1), libnet1 (>= 1.1.2.1), libpcap0.8 (>= 0.9.8), libstdc++6 (>= 4.6) Suggests: pppoe, ifplugd Enhances: ifupdown Priority: optional Section: net Filename: pool/main/g/guessnet/guessnet_0.55_armhf.deb Size: 167666 SHA256: 7c701bb8d196249496d706e5a8592301b0affd539d07af48ac4ebacb0cce800f SHA1: 7d3e16e82ddf31321f052242d273477d587ea233 MD5sum: b475a9cf92d874d4c6683ac9bf9524fc Description: Guess which LAN a network device is connected to Guessnet is a non-aggressive network detection tool to use when moving a machine among networks which don't necessarily provide DHCP. . Guessnet takes in input a list of candidate network profiles, each of which includes a test description; then it runs all the tests in parallel and prints the name of the profile whose test was the first one to succeed. . Available tests are: * ARP probes to check for known hosts in the network * link beat check, to check if the interface is connected to anything * PPPOE check to see if there is a concentrator accessible via PPPOE * Checks provided by custom arbitrary scripts. . Guessnet can be used in either native mode or "ifupdown mode". In the latter case guessnet integrates nicely with ifupdown as a "mapping script". Package: gufw Source: gui-ufw Version: 12.10.0-1 Installed-Size: 1297 Maintainer: Devid Antonio Filoni Architecture: all Depends: python (>= 2.6.6-7~), ufw (>= 0.31.1), gir1.2-gtk-3.0, gir1.2-polkit-1.0, notify-osd | notification-daemon, policykit-1, python-dbus, python-gobject, gnome-icon-theme-symbolic Size: 260626 SHA256: 9d9b8d7b13ba7346dfea3aca7b184d60567bbae22af85db3c3e1d5fc11755946 SHA1: 8b1eee9a7cb63088eae15630c71e32a61bd16cef MD5sum: e0ee44b0eede7a8ff9ba743e25a85c55 Description: graphical user interface for ufw gufw is an easy and intuitive way to manage your Linux firewall. It supports common tasks such as allowing or blocking pre-configured, common p2p, or individual port(s), and many others! Homepage: https://launchpad.net/gui-ufw Tag: admin::configuring, implemented-in::python, interface::x11, network::firewall, role::program, scope::utility, security::firewall, uitoolkit::gtk, use::configuring, x11::application Section: admin Priority: optional Filename: pool/main/g/gui-ufw/gufw_12.10.0-1_all.deb Package: gui-apt-key Version: 0.4-2 Installed-Size: 512 Maintainer: Martin Schulze Architecture: all Depends: libgtk2-perl, liblocale-gettext-perl Size: 34078 SHA256: cc68e0cb0766426d3fa9f10a2b44c1c3cc15435420e8d5bd31da7b847e65b4b9 SHA1: 67cfd1754d690a6720702f7a4d731a879ed3f2ce MD5sum: 23adf5e1dcd47a74e1bdd0242498519e Description: Graphical Key Manager for APT The graphical frontend to the apt-key utility (gak) provides an easy to use interface to maintain digital keys for APT. They are required to authenticate Debian archives and prevent malicious packages to creep in. . Homepage: http://www.infodrom.org/projects/gui-apt-key/ Tag: interface::x11, role::program, scope::utility, security::authentication, uitoolkit::gtk Section: admin Priority: optional Filename: pool/main/g/gui-apt-key/gui-apt-key_0.4-2_all.deb Package: guile-1.6 Version: 1.6.8-10.3 Architecture: armhf Maintainer: Rob Browning Installed-Size: 53 Depends: guile-1.6-libs, libc6 (>= 2.13-28), libguile-ltdl-1, libltdl7 (>= 2.4.2) Suggests: guile-1.6-doc Conflicts: libguile-dev (<= 1:1.4-24) Provides: guile Priority: optional Section: lisp Filename: pool/main/g/guile-1.6/guile-1.6_1.6.8-10.3_armhf.deb Size: 19496 SHA256: bb6305502de6ee5891059d0e650e2bbc4cadcb8858a164c30c99981a8f53736d SHA1: 3d76eafcd6b997331a3709a0be243b496eebff95 MD5sum: 8b63d8b18f87ff1a308ca6af3f4df7c2 Description: The GNU extension language and Scheme interpreter Guile is a Scheme implementation designed for real world programming, providing a rich Unix interface, a module system, an interpreter, and many extension languages. Guile can be used as a standard #! style interpreter, via #!/usr/bin/guile, or as an extension language for other applications via libguile. Package: guile-1.6-dev Source: guile-1.6 Version: 1.6.8-10.3 Architecture: armhf Maintainer: Rob Browning Installed-Size: 1748 Depends: guile-1.6, libc6-dev, libncurses5-dev, libreadline6-dev Conflicts: guile-1.6 (<< 1.6.8-5), libguile-dev Replaces: guile-1.6 Provides: libguile-dev Priority: optional Section: lisp Filename: pool/main/g/guile-1.6/guile-1.6-dev_1.6.8-10.3_armhf.deb Size: 503576 SHA256: 270268c7cbb52d6c202e360735f41b095b030610ea4583a3a5f5b148fd08731f SHA1: 04532a40940dc887b37bfee2b18dd3fbaae3ed48 MD5sum: 6a60b631e1a3f2067ba282e3f422a149 Description: Development files for Guile 1.6 This package contains files needed for development using Guile 1.6. . Guile is a Scheme implementation designed for real world programming, providing a rich Unix interface, a module system, an interpreter, and many extension languages. Guile can be used as a standard #! style interpreter, via #!/usr/bin/guile, or as an extension language for other applications via libguile. Package: guile-1.6-doc Source: guile-1.6 Version: 1.6.8-10.3 Installed-Size: 392 Maintainer: Rob Browning Architecture: all Replaces: goops-doc, guile-doc Provides: guile-doc Conflicts: goops-doc, guile-doc, guile1.4-doc Size: 373842 SHA256: fdb58d59e713adb4ba6cdb87287de0a7f42cf16a78ceecf13f1bff8a5a7110d9 SHA1: 2199c2d61fa2679f34efc2462be38e410c5bc08c MD5sum: d76b962fbdd574e730af3d9d79fc8cef Description: Reference and tutorial documentation for Guile 1.6 This package contains the documentation for guile 1.6, including both a reference manual (via "info guile") and a tutorial (via "info guile-tut"). . Guile is a Scheme implementation designed for real world programming, providing a rich Unix interface, a module system, an interpreter, and many extension languages. Guile can be used as a standard #! style interpreter, via #!/usr/bin/guile, or as an extension language for other applications via libguile. Tag: devel::doc, devel::lang:scheme, made-of::info, role::documentation Section: doc Priority: optional Filename: pool/main/g/guile-1.6/guile-1.6-doc_1.6.8-10.3_all.deb Package: guile-1.6-libs Source: guile-1.6 Version: 1.6.8-10.3 Architecture: armhf Maintainer: Rob Browning Installed-Size: 2046 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libguile-ltdl-1, libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libtinfo5 Priority: optional Section: lisp Filename: pool/main/g/guile-1.6/guile-1.6-libs_1.6.8-10.3_armhf.deb Size: 622774 SHA256: 001880e449b0ba3e39b3a41d7a14bd7b73a5bc50a105da72c4667880c77659d4 SHA1: 55d592abec3eb79dd259c6b755b434ef986dff2e MD5sum: f61151a2a51b31b574deffc1205356fc Description: Main Guile libraries Guile is a Scheme implementation designed for real world programming, providing a rich Unix interface, a module system, an interpreter, and many extension languages. Guile can be used as a standard #! style interpreter, via #!/usr/bin/guile, or as an extension language for other applications via libguile. Package: guile-1.6-slib Source: guile-1.6 Version: 1.6.8-10.3 Installed-Size: 48 Maintainer: Rob Browning Architecture: all Depends: guile-1.6, slib (>= 3a2-3) Size: 16768 SHA256: 07712b157fe88dc67f8f73e98604e6c6c153164f7f6f39d9d693ed50fa937029 SHA1: 429e0be9938f1b9cdd17487fff7a98a751b0678f MD5sum: baee98b7d88373fbdc901afd8b8e46a7 Description: Guile SLIB support SLIB is a portable scheme library meant to provide compatibility and utility functions for all standard scheme implementations. Once this package is installed SLIB should be available within Guile via (use-modules (ice-9 slib)). Tag: devel::lang:scheme, devel::library, implemented-in::scheme, role::app-data Section: lisp Priority: optional Filename: pool/main/g/guile-1.6/guile-1.6-slib_1.6.8-10.3_all.deb Package: guile-1.8 Version: 1.8.8+1-8 Architecture: armhf Maintainer: Rob Browning Installed-Size: 54 Depends: guile-1.8-libs (= 1.8.8+1-8), libc6 (>= 2.4) Suggests: guile-1.8-doc Conflicts: guile1.4, libguile-dev (<= 1:1.4-24) Provides: guile Homepage: http://www.gnu.org/software/guile/ Priority: optional Section: lisp Filename: pool/main/g/guile-1.8/guile-1.8_1.8.8+1-8_armhf.deb Size: 12602 SHA256: 6fa78b5e807f678775cdd20de9cf096cb059a62efd02bb2e4cfc5881bc2c49ab SHA1: 130e33395f52a99a2c84da865ecb76d29e0d575a MD5sum: 5dc464bd129bb76bb567249356058e78 Description: GNU extension language and Scheme interpreter Guile is a Scheme implementation designed for real world programming, providing a rich Unix interface, a module system, an interpreter, and many extension languages. Guile can be used as a standard #! style interpreter, via #!/usr/bin/guile, or as an extension language for other applications via libguile. Package: guile-1.8-dev Source: guile-1.8 Version: 1.8.8+1-8 Architecture: armhf Maintainer: Rob Browning Installed-Size: 2016 Depends: guile-1.8 (= 1.8.8+1-8), libc6-dev, libncurses5-dev, libreadline6-dev, libltdl-dev, libgmp-dev Conflicts: guile-1.6 (<< 1.6.8-5), libguile-dev Provides: libguile-dev Homepage: http://www.gnu.org/software/guile/ Priority: optional Section: lisp Filename: pool/main/g/guile-1.8/guile-1.8-dev_1.8.8+1-8_armhf.deb Size: 605524 SHA256: 463d9b378523d7633a414d0aa1968c3eebc0f342cb9774278365d1fc48f2d33f SHA1: 5b06ee8d9c8045b10f3986e466721ba6fdd3045a MD5sum: f3b941451509da84187c1889bde5ba2b Description: Development files for Guile 1.8 This package contains files needed for development using Guile 1.8. . Guile is a Scheme implementation designed for real world programming, providing a rich Unix interface, a module system, an interpreter, and many extension languages. Guile can be used as a standard #! style interpreter, via #!/usr/bin/guile, or as an extension language for other applications via libguile. Package: guile-1.8-doc Source: guile-1.8 Version: 1.8.8+1-8 Installed-Size: 142 Maintainer: Rob Browning Architecture: all Depends: dpkg (>= 1.15.4) | install-info Suggests: guile-1.8-doc-non-dfsg Size: 116976 SHA256: 860313caedd5135eb9afab77ec55841f94ede467b6e33065aacd017601c8ec41 SHA1: 9229746c46af18ab5adb2af719bd5e83645ffa80 MD5sum: d45fa5286826351042897913860e8227 Description: Documentation for Guile 1.8 This package contains all of the Guile documentation that is covered under a license which is compatible with the Debian Free Software Guidelines (DFSG). This includes a tutorial which is available via "info guile-tut". . Guile is a Scheme implementation designed for real world programming, providing a rich Unix interface, a module system, an interpreter, and many extension languages. Guile can be used as a standard #! style interpreter, via #!/usr/bin/guile, or as an extension language for other applications via libguile. Homepage: http://www.gnu.org/software/guile/ Tag: devel::doc, devel::lang:scheme, made-of::info, role::documentation Section: doc Priority: optional Filename: pool/main/g/guile-1.8/guile-1.8-doc_1.8.8+1-8_all.deb Package: guile-1.8-libs Source: guile-1.8 Version: 1.8.8+1-8 Architecture: armhf Maintainer: Rob Browning Installed-Size: 2368 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libgmp10, libltdl7 (>= 2.4.2), libreadline6 (>= 6.0) Conflicts: guile-1.8-slib Replaces: guile-1.8-dev (<< 1.8.8+1-3), guile-1.8-slib Provides: guile-1.8-slib Homepage: http://www.gnu.org/software/guile/ Priority: optional Section: lisp Filename: pool/main/g/guile-1.8/guile-1.8-libs_1.8.8+1-8_armhf.deb Size: 721932 SHA256: bd271270952727c76613afda9a49311b0a53808bca4b1cd30191c1ff207184df SHA1: 762a973b841cae31b796d5229025d248cd11760d MD5sum: 5d4aacd8b837820d242769341b23f22d Description: Core Guile libraries Guile is a Scheme implementation designed for real world programming, providing a rich Unix interface, a module system, an interpreter, and many extension languages. Guile can be used as a standard #! style interpreter, via #!/usr/bin/guile, or as an extension language for other applications via libguile. Package: guile-2.0 Version: 2.0.5+1-3+rpi1 Architecture: armhf Maintainer: Rob Browning Installed-Size: 58 Depends: guile-2.0-libs (= 2.0.5+1-3+rpi1), libc6 (>= 2.13-28) Suggests: guile-2.0-doc Provides: guile Homepage: http://www.gnu.org/software/guile/ Priority: optional Section: lisp Filename: pool/main/g/guile-2.0/guile-2.0_2.0.5+1-3+rpi1_armhf.deb Size: 15556 SHA256: e09ab6af65f5ecf33300b423b0616b76c8defad5e79cf936d4a38208b059d0a6 SHA1: b05f399111690d2f33eb8c343993a64104001e85 MD5sum: f88829ed406f1352da62b5445ed94c03 Description: GNU extension language and Scheme interpreter Guile is a Scheme implementation designed for real world programming, providing a rich Unix interface, a module system, an interpreter, and many extension languages. Guile can be used as a standard #! style interpreter, via #!/usr/bin/guile, or as an extension language for other applications via libguile. Package: guile-2.0-dev Source: guile-2.0 Version: 2.0.5+1-3+rpi1 Architecture: armhf Maintainer: Rob Browning Installed-Size: 2983 Depends: guile-2.0 (= 2.0.5+1-3+rpi1), libc6-dev, libncurses5-dev, libreadline6-dev, libltdl-dev, libgmp-dev, libgc-dev, pkg-config Conflicts: libguile-dev Provides: libguile-dev Homepage: http://www.gnu.org/software/guile/ Priority: optional Section: lisp Filename: pool/main/g/guile-2.0/guile-2.0-dev_2.0.5+1-3+rpi1_armhf.deb Size: 885242 SHA256: 28714aee2efda712ed5ec81cce67f1e208ce5e4d497633f7123d198f55dff2e3 SHA1: 595980462c5c8d89df2aecf0970a27686b7a992d MD5sum: d08b450615407bd56151f63c85eb25dc Description: Development files for Guile 2.0 This package contains files needed for development using Guile 2.0. . Guile is a Scheme implementation designed for real world programming, providing a rich Unix interface, a module system, an interpreter, and many extension languages. Guile can be used as a standard #! style interpreter, via #!/usr/bin/guile, or as an extension language for other applications via libguile. Package: guile-2.0-doc Source: guile-2.0 Version: 2.0.5+1-3+rpi1 Architecture: all Maintainer: Rob Browning Installed-Size: 805 Depends: dpkg (>= 1.15.4) | install-info Suggests: guile-2.0-doc-non-dfsg Homepage: http://www.gnu.org/software/guile/ Priority: optional Section: doc Filename: pool/main/g/guile-2.0/guile-2.0-doc_2.0.5+1-3+rpi1_all.deb Size: 797188 SHA256: 3c6b852014f0173a83f18bdb3a34d7952eaa22270d7ea929f80e892960586a16 SHA1: 4911846f118df99cffe9e14f8c8c913dc591b49f MD5sum: c9d28090cfba80f61e3212a33209f095 Description: Documentation for Guile 2.0 This package contains the Guile documentation, including the Guile Reference Manual. . Guile is a Scheme implementation designed for real world programming, providing a rich Unix interface, a module system, an interpreter, and many extension languages. Guile can be used as a standard #! style interpreter, via #!/usr/bin/guile, or as an extension language for other applications via libguile. Package: guile-2.0-libs Source: guile-2.0 Version: 2.0.5+1-3+rpi1 Architecture: armhf Maintainer: Rob Browning Installed-Size: 10502 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgc1c2 (>= 1:7.1), libgcc1 (>= 1:4.4.0), libgmp10, libltdl7 (>= 2.4.2), libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libtinfo5, libunistring0 Conflicts: guile-2.0-slib Provides: guile-2.0-slib Homepage: http://www.gnu.org/software/guile/ Priority: optional Section: lisp Filename: pool/main/g/guile-2.0/guile-2.0-libs_2.0.5+1-3+rpi1_armhf.deb Size: 2784476 SHA256: 9d121f931344fd053d22f63f2ed329c2d67bfa6691f5fc5ed7af635afd01bf96 SHA1: d71fc97df60b94b5492d9ace4ff26f4491fb04ae MD5sum: 8f6c1de1d4f7fc3af021cf5a226bbc7c Description: Core Guile libraries Guile is a Scheme implementation designed for real world programming, providing a rich Unix interface, a module system, an interpreter, and many extension languages. Guile can be used as a standard #! style interpreter, via #!/usr/bin/guile, or as an extension language for other applications via libguile. Package: guile-cairo Version: 1.4.0-3 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 251 Depends: guile-1.8-libs, libc6 (>= 2.13-28), libcairo2 (>= 1.4.10), libgmp10, libltdl7 (>= 2.4.2) Homepage: http://home.gna.org/guile-cairo/ Priority: extra Section: lisp Filename: pool/main/g/guile-cairo/guile-cairo_1.4.0-3_armhf.deb Size: 61742 SHA256: 59f28c1a301868b0d6add07da6990eef8cca7cbaf1a67fc8c2758654d3a4b452 SHA1: 687585be1fbb718df2010a952d32af8a5610ec79 MD5sum: 23fed0782f9ea787f8520af84ead397f Description: Guile bindings for Cairo This package contains Guile modules that provide access to the Cairo library. Package: guile-cairo-dev Source: guile-cairo Version: 1.4.0-3 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 89 Depends: guile-cairo (= 1.4.0-3), guile-1.8-dev, libcairo2-dev (>= 1.4.10), dpkg (>= 1.15.4) | install-info Homepage: http://home.gna.org/guile-cairo/ Priority: extra Section: libdevel Filename: pool/main/g/guile-cairo/guile-cairo-dev_1.4.0-3_armhf.deb Size: 39484 SHA256: ed31215fd9098edaf94df6ae28acc017b9028159f519a0a1938531873051efff SHA1: 816552a2578a22f9e051a7de0c2cbbac0f3f2c86 MD5sum: a765511b8e278d5775742f170020f851 Description: Guile bindings for Cairo, development files This package contains the info manual for guile-cairo and the header files to allow compilation of wrappers depending on guile-cairo. Package: guile-db Version: 0.1-4.1 Architecture: armhf Maintainer: Sam Hocevar (Debian packages) Installed-Size: 63 Depends: guile-1.6-libs, libc6 (>= 2.13-28), libdb5.1, libguile-ltdl-1 Priority: optional Section: interpreters Filename: pool/main/g/guile-db/guile-db_0.1-4.1_armhf.deb Size: 7572 SHA256: dae31c11dcf15e199c2d4d1235c69d64b82ea2e6279175b5b3fbf84c4915587c SHA1: e665199ff6bfb87e487cb348a09b8049920dc34b MD5sum: 3237b0a1f7cbb38d32776ae66f102448 Description: Berkeley DB module for Guile This Guile module is a set of Guile Scheme functions to facilitate database handling from within Scheme scripts, using Berkeley DB. Package: guile-g-wrap Source: g-wrap Version: 1.9.14-1.1 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 111 Depends: guile-1.8-libs, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libglib2.0-0 (>= 2.12.0), libgmp10, libgwrap-runtime2 (>= 1.9.14), libltdl7 (>= 2.4.2) Conflicts: g-wrap (<< 1.9.9-1) Breaks: g-wrap (<< 1.9.14) Replaces: g-wrap (<< 1.9.14) Homepage: http://www.nongnu.org/g-wrap/ Priority: optional Section: lisp Filename: pool/main/g/g-wrap/guile-g-wrap_1.9.14-1.1_armhf.deb Size: 28396 SHA256: 3680003fb0fd1b87f95275a078b60e69267ac3a57a599e99746125dd9b822cef SHA1: 74b53e163a637e017cfa1c7cbd24e3459e5350a6 MD5sum: 0bd27f4bd3843673d20ddcfdc816cc55 Description: scripting interface generator for C - Guile runtime G-Wrap is a tool (and Guile library) for generating function wrappers for inter-language calls. It currently only supports generating Guile wrappers for C functions. . This package contains the Guile standard wrapset, needed by Guile bindings generated by G-Wrap. Package: guile-gnome2-canvas Source: guile-gnome-platform Version: 2.16.1-6 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 97 Depends: guile-1.8-libs, guile-gnome2-glib (>= 2.16.1), libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgmp10, libgnomecanvas2-0 (>= 2.11.1), libgtk2.0-0 (>= 2.10.13), libgwrap-runtime2 (>= 1.9.13), libltdl7 (>= 2.4.2), libpango1.0-0 (>= 1.14.0) Homepage: http://www.gnu.org/software/guile-gnome/ Priority: extra Section: lisp Filename: pool/main/g/guile-gnome-platform/guile-gnome2-canvas_2.16.1-6_armhf.deb Size: 21606 SHA256: f08f2ced875a4d9cab6e7dc189701800b2ac4c9ed2af471df0fce7ab952a4d2b SHA1: 568a6e5774045f5b23a173398d68badd9d068e16 MD5sum: 4853d1204df9443f843c511c2f39788e Description: Guile bindings for libgnomecanvas This package contains Guile modules that provide access to the libgnomecanvas library. Package: guile-gnome2-dev Source: guile-gnome-platform Version: 2.16.1-6 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 2009 Depends: g-wrap (>= 1.9.9), guile-gnome2-glib (= 2.16.1-6) Homepage: http://www.gnu.org/software/guile-gnome/ Priority: extra Section: libdevel Filename: pool/main/g/guile-gnome-platform/guile-gnome2-dev_2.16.1-6_armhf.deb Size: 244278 SHA256: 451fcce9107f7076c2042c63f49aab56f6fa6cc07c875d952be30a5e5253a971 SHA1: 79197661e9dc00b21dd522085186053e7b280e82 MD5sum: 1409b0386ac4f9cde3171ac044688b3a Description: Guile GObject binding support library, development files This package contains the development files for the Guile GObject binding support library. . You only need to install this package for building new wrapsets based on the guile-gnome GObject bindings. Package: guile-gnome2-gconf Source: guile-gnome-platform Version: 2.16.1-6 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 86 Depends: gconf-service, guile-1.8-libs, guile-gnome2-glib (>= 2.16.1), libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.12.13), libgmp10, libgwrap-runtime2 (>= 1.9.13), libltdl7 (>= 2.4.2) Homepage: http://www.gnu.org/software/guile-gnome/ Priority: extra Section: lisp Filename: pool/main/g/guile-gnome-platform/guile-gnome2-gconf_2.16.1-6_armhf.deb Size: 19060 SHA256: 921e9f4401886d3dc6facda042a619ceeb6cea4bf661aea4e879bb7b1f14d3ed SHA1: e933e53cd4e1100a8b2f869a852bc9b9677e675d MD5sum: 992ae68b2e6439105e55df446cdadcc2 Description: Guile bindings for GConf This package contains Guile modules that provide access to the GConf library. Package: guile-gnome2-glib Source: guile-gnome-platform Version: 2.16.1-6 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 340 Depends: guile-g-wrap (>= 1.9.9), guile-1.8-libs, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libglib2.0-0 (>= 2.31.8), libgmp10, libgwrap-runtime2 (>= 1.9.13), libltdl7 (>= 2.4.2) Homepage: http://www.gnu.org/software/guile-gnome/ Priority: extra Section: lisp Filename: pool/main/g/guile-gnome-platform/guile-gnome2-glib_2.16.1-6_armhf.deb Size: 85762 SHA256: 31514c7f619e6a1b3f3f324155361ae09c73ea22f6bf1b9d1baaedf19351d2ca SHA1: 561eda59571683304ab76a172c7bd2e81c8b8180 MD5sum: cce9411fbe39dbafb0a12afac6f6638e Description: Guile bindings for GLib This package contains Guile modules that provide access to the GLib library, including its object system, GObject. Package: guile-gnome2-gnome Source: guile-gnome-platform Version: 2.16.1-6 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 73 Depends: guile-1.8-libs, guile-gnome2-glib (>= 2.16.1), libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libglib2.0-0 (>= 2.24.0), libgmp10, libgnome2-0 (>= 2.17.3), libgwrap-runtime2 (>= 1.9.13), libltdl7 (>= 2.4.2), liborbit2 (>= 1:2.14.10), libpopt0 (>= 1.14) Homepage: http://www.gnu.org/software/guile-gnome/ Priority: extra Section: lisp Filename: pool/main/g/guile-gnome-platform/guile-gnome2-gnome_2.16.1-6_armhf.deb Size: 14114 SHA256: be036e107415e898010d21ab025a0ee647c6f2c676bfe5eae4d66e719c55e84a SHA1: 4f3661163f0074aee68ddf00766f355865d0afcf MD5sum: 80ce67faa77d88b7071954f3a5b0d24f Description: Guile bindings for libgnome This package contains Guile modules that provide access to the libgnome library. Package: guile-gnome2-gnome-ui Source: guile-gnome-platform Version: 2.16.1-6 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 88 Depends: guile-gnome2-gtk (= 2.16.1-6), gconf-service, guile-1.8-libs, guile-gnome2-glib (>= 2.16.1), libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.13), libgmp10, libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.10.13), libgwrap-runtime2 (>= 1.9.13), libice6 (>= 1:1.0.0), libltdl7 (>= 2.4.2), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6 Homepage: http://www.gnu.org/software/guile-gnome/ Priority: extra Section: lisp Filename: pool/main/g/guile-gnome-platform/guile-gnome2-gnome-ui_2.16.1-6_armhf.deb Size: 18800 SHA256: 3a95e479bae10e6285d40d746a69214d49bf1859ec6f5728ef68f6981f93a42c SHA1: 5e568ae0c6c28812393f8c7ba6952560cae9d75a MD5sum: 768787a49d2c8eedb16e9b08b38fa2d9 Description: Guile bindings for libgnome This package contains Guile modules that provide access to the libgnomeui library. Package: guile-gnome2-gtk Source: guile-gnome-platform Version: 2.16.1-6 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 1415 Depends: guile-1.8-libs, guile-cairo (>= 1.4.0), guile-gnome2-glib (>= 2.16.1), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.24.0), libgmp10, libgtk2.0-0 (>= 2.10.13), libgwrap-runtime2 (>= 1.9.13), libltdl7 (>= 2.4.2), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27) Homepage: http://www.gnu.org/software/guile-gnome/ Priority: extra Section: lisp Filename: pool/main/g/guile-gnome-platform/guile-gnome2-gtk_2.16.1-6_armhf.deb Size: 374662 SHA256: 55221811fcc0b0183ecd27b25d879c59c257de2006b0843f0180b67617914fcb SHA1: 46f73aede89509826d768064bcf2e6de611220d4 MD5sum: 161164d65027b6413a6ed6dd173ca196 Description: Guile bindings for GTK+, libglade, Pango and ATK This package contains Guile modules that provide access to the GTK+ widget set library and its companion libraries libglade, Pango and ATK. Package: guile-gnome2-vfs Source: guile-gnome-platform Version: 2.16.1-6 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 129 Depends: gconf-service, guile-1.8-libs, guile-gnome2-glib (>= 2.16.1), libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.12.13), libgmp10, libgnomevfs2-0 (>= 1:2.17.90), libgwrap-runtime2 (>= 1.9.13), libltdl7 (>= 2.4.2) Homepage: http://www.gnu.org/software/guile-gnome/ Priority: extra Section: lisp Filename: pool/main/g/guile-gnome-platform/guile-gnome2-vfs_2.16.1-6_armhf.deb Size: 31422 SHA256: 5364e7f5a3811205ea4c86d46070468464d5e8e05200b91743fba2ce59ef70ab SHA1: fb8a171034a58eaaa87ddee757d9b2be46950341 MD5sum: e80a4d31fbb67adaf2090b63b46c3594 Description: Guile bindings for GnomeVFS This package contains Guile modules that provide access to the GnomeVFS library. Package: guile-gnutls Source: gnutls28 Version: 3.0.20-3 Architecture: armhf Maintainer: Debian GnuTLS Maintainers Installed-Size: 448 Depends: guile-1.8-libs, libc6 (>= 2.13-28), libgmp10, libgnutls28 (>= 3.0.20-0), libltdl7 (>= 2.4.2), guile-1.8 Homepage: http://www.gnutls.org/ Priority: optional Section: lisp Filename: pool/main/g/gnutls28/guile-gnutls_3.0.20-3_armhf.deb Size: 266978 SHA256: 934f4c4230f68920cc30bfa0bb3f07e0a9c46f6bf7fa33e8052682a1288d4567 SHA1: d546bc2e79f472537c5b518a40cbe9a24c0f141a MD5sum: 4341e2a938cc8785e89b17162b982490 Description: GNU TLS library - GNU Guile bindings GnuTLS is a portable library which implements the Transport Layer Security (TLS 1.0, 1.1, 1.2) and Secure Sockets Layer (SSL) 3.0 protocols. . GnuTLS features support for: - TLS extensions: server name indication, max record size, opaque PRF input, etc. - authentication using the SRP protocol. - authentication using both X.509 certificates and OpenPGP keys. - TLS Pre-Shared-Keys (PSK) extension. - Inner Application (TLS/IA) extension. - X.509 and OpenPGP certificate handling. - X.509 Proxy Certificates (RFC 3820). - all the strong encryption algorithms (including SHA-256/384/512 and Camellia (RFC 4132)). . This package contains the GNU Guile 1.8 modules. Package: guile-library Source: guile-lib Version: 0.2.1-1 Installed-Size: 1276 Maintainer: Andreas Rottmann Architecture: all Depends: guile-1.8, dpkg (>= 1.15.4) | install-info Size: 272488 SHA256: a0ff87fa49500be82cd47b0303492d8de32c1a44969ae555f35659950fb18c7b SHA1: f53a67779a516b5df1baee28d4702d1dc5d3ad07 MD5sum: 4fcefc22620d10361c08058b1e46d21d Description: Library of useful Guile modules A set of various-purpose library modules for Guile. Covered areas include: . * Unit testing framework ala JUnit * Logging system * String routines (wrapping, completion, soundex algorithm) * OS process chains (think "shell pipes in scheme") * ANSI escape sequence text coloring Homepage: http://www.nongnu.org/guile-lib/ Tag: devel::lang:scheme, devel::library, devel::testing-qa, implemented-in::scheme, role::app-data Section: lisp Priority: optional Filename: pool/main/g/guile-lib/guile-library_0.2.1-1_all.deb Package: guile-pg Version: 0.16-5 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 214 Depends: guile-1.6-libs, libc6 (>= 2.13-28), libguile-ltdl-1, libpq5, dpkg (>= 1.15.4) | install-info Priority: optional Section: interpreters Filename: pool/main/g/guile-pg/guile-pg_0.16-5_armhf.deb Size: 77178 SHA256: 6648e0a295d09b7bc5690dea30f1c470ccd74bd776cb17b2cb4a5a971d37af5a SHA1: 4d88e60bcd26c26658fb50b3a68228f61e1a041d MD5sum: 940fb815279b574daba7429f1af53d80 Description: Guile bindings for the PostgreSQL client library This is a set of bindings providing a Guile interface to the PostgreSQL front-end library libpq. . This is alpha code. It has bugs, and the interfaces may change from version to version. Package: guilt Version: 0.35-1.1 Installed-Size: 145 Maintainer: Iulian Udrea Architecture: all Depends: git-core (>= 1.4) | git (>= 1:1.7), git-core (<= 1:1.7.0.4-1) | git (<< 1:1.8) Size: 58008 SHA256: cba2e90f658811871dbec264d185d30c0875f39baba1f66071b9d5441fb80ef4 SHA1: 1659066903ca0a21bbcb4d74803237cc8cdac9b0 MD5sum: 4688ecd5ef0d7e1b3d1998d8fdd27359 Description: quilt for git; similar to Mercurial queues Guilt (Git Quilt) is a series of bash scripts which add a Mercurial queues-like functionality and interface to git. The one distinguishing feature from other quilt-like porcelains, is the format of the patches directory. . All the information is stored as plain text - a series file and the patches (one per file). This easily lends itself to versioning the patches using any number of SCMs. Homepage: http://www.kernel.org/pub/linux/kernel/people/jsipek/guilt/ Section: devel Priority: optional Filename: pool/main/g/guilt/guilt_0.35-1.1_all.deb Package: guitarix Version: 0.22.4-1 Architecture: armhf Maintainer: Roland Stigge Installed-Size: 7767 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.4.10), libcairomm-1.0-1 (>= 1.6.4), libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.20.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.6), libzita-convolver3 (>= 3.0.2), gtk2-engines, gtk2-engines-pixbuf Recommends: jack-capture, vorbistools, lame Homepage: http://guitarix.sourceforge.net/ Priority: extra Section: sound Filename: pool/main/g/guitarix/guitarix_0.22.4-1_armhf.deb Size: 3826744 SHA256: e6b43b2d0b263e4e6771e4c9bba443aea7312652dc3069dc989ba7f7e21cf07f SHA1: e43128a25c749466bf5e0c9c06510ad4db33086b MD5sum: e71c4652497ad6a98abcb5699c0aac2f Description: Rock guitar amplifier for Jack Guitarix is a rock guitar amplifier for Jack (Jack Audio Connection Kit) with one input and two output's. It is designed to get nice trash/metall/rock/guitar sounds. Available are controls for bass, treble, gain, balance, distortion, freeverb, crybaby(wah) and echo. For the 'pressure' in the sound you can use the feedback and feedforward sliders. guitarix comes with a GTK Interface and as Ladspa plugin. Package: gummi Version: 0.6.3-1.2+deb7u2 Architecture: armhf Maintainer: Daniel Stender Installed-Size: 1090 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libgtksourceview2.0-0 (>= 2.10.0), libgtkspell0 (>= 2.0.10), libpango1.0-0 (>= 1.14.0), libpoppler-glib8 (>= 0.18), zlib1g (>= 1:1.1.4), enchant Recommends: texlive-latex-base, texlive-extra-utils, texlive-xetex Homepage: http://gummi.midnightcoding.org/ Priority: optional Section: tex Filename: pool/main/g/gummi/gummi_0.6.3-1.2+deb7u2_armhf.deb Size: 517924 SHA256: adb2280150f7f58e8d0831cca9a8ce53ba85398e8a499ef10cc2a4eceae86578 SHA1: 22c5c5853132f41f09a7cc9c71254dde9118ea1d MD5sum: c3795986e69281767aaaaa8b5aea1415 Description: GTK+ based LaTeX editor with live preview Gummi is a LaTeX editor based on GTK+. The basic features are: - Live preview pane for the compiled document, - BibTeX integration, - Helpers for tables and matrices, - Exporting to PDF, - Error checking, - Syntax highlighting, - Spellchecking, - Document statistics, - Persistent configuration. Package: gunicorn Version: 0.14.5-3+deb7u2 Installed-Size: 525 Maintainer: Chris Lamb Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-pkg-resources, python-setuptools Suggests: python-tornado, python-gevent, python-pastedeploy, python-setproctitle Size: 113068 SHA256: fd25ffeaad80aeb475319aa2706364bf6a39307253115d0aa3d1a4c39e9e4aed SHA1: 834354e9cf3e5a45d6411c271636bd14180233a7 MD5sum: ceb01fbefe60b159310cfea3495c99da Description: Event-based HTTP/WSGI server Green Unicorn (gunicorn) is an HTTP/WSGI server designed to serve fast clients or sleepy applications. That is to say; behind a buffering front-end server such as nginx or lighttpd. . * Optional support for Eventlet, Tornado and Gevent to provide asynchronous long-polling ("Comet") connections. * Process management: Gunicorn reaps and restarts workers that die. * Easy integration with Django and Paster compatible applications (Pylons, TurboGears 2, etc. * Load balancing via pre-fork and a shared socket * Graceful worker process restarts * Upgrading without losing connections * Decode chunked transfers on-the-fly, allowing upload progress notifications or stream-based protocols over HTTP Homepage: http://gunicorn.org/ Section: python Priority: optional Filename: pool/main/g/gunicorn/gunicorn_0.14.5-3+deb7u2_all.deb Package: gunroar Version: 0.15.dfsg1-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1001 Depends: gunroar-data (= 0.15.dfsg1-5), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4) Homepage: http://www.asahi-net.or.jp/~cs8k-cyu/windows/gr_e.html Priority: extra Section: games Filename: pool/main/g/gunroar/gunroar_0.15.dfsg1-5_armhf.deb Size: 234658 SHA256: 0e6cfe64da816e570b38cda72bf01cc63f8d374df90847c39dd6d32ec8c1d95f SHA1: 613a35828f9b295f9bd489f99386673b576460b3 MD5sum: 41fdb9b042e0f61e4509cbf4479b979c Description: 360-degree gunboat shooter Gunroar is an excellent shooter in which the game environment turns to be the sea and our spaceship an armed boat. The player moves around in a level generated randomly, and containing enemy islands, turrets and enemy boats) and that never ends. Each enemy killed increments your points according to the time it took you to destroy it and its size. . Gunroar is another gem among many by Kenta Cho. Package: gunroar-data Source: gunroar Version: 0.15.dfsg1-5 Installed-Size: 4861 Maintainer: Debian Games Team Architecture: all Recommends: gunroar Size: 4913972 SHA256: 592ad0a5979527186c43d98acd30a0f284110461e92873b965b4fb91e0fed9b6 SHA1: 6c49ea951ee7426c40f7a6ff6afd547b902582d2 MD5sum: a1e6d03dc463aebf2b88b89c14d761c6 Description: 360-degree gunboat shooter - game data Gunroar is an excellent shooter in which the game environment turns to be the sea and our spaceship an armed boat. The player moves around in a level generated randomly, and containing enemy islands, turrets and enemy boats) and that never ends. Each enemy killed increments your points according to the time it took you to destroy it and its size. . This package includes the architecture-independent data for the game Gunroar. Homepage: http://www.asahi-net.or.jp/~cs8k-cyu/windows/gr_e.html Tag: made-of::audio, role::app-data Section: games Priority: extra Filename: pool/main/g/gunroar/gunroar-data_0.15.dfsg1-5_all.deb Package: gup Version: 0.5.13 Architecture: armhf Maintainer: Marco d'Itri Installed-Size: 96 Depends: libc6 (>= 2.4), exim4 | mail-transport-agent, adduser Recommends: inn | inn2 Priority: extra Section: news Filename: pool/main/g/gup/gup_0.5.13_armhf.deb Size: 27322 SHA256: 2ffd7414626dcf737424cc4b8df397800db82fefc3e109e57c0fd84584720509 SHA1: a9682af905b163f44a212b0cd0930a6ea2a26440 MD5sum: 44f453290324c3c56488e199d43e38eb Description: let a remote site change their newsgroups subscription Gup, the Group Update Program is a Unix mail-server that lets a remote site change their newsgroups subscription without requiring the intervention of the news administrator at the feed site. . Gup is suited to news administrators that find they are spending an inordinate amount of time editing the INN newsfeeds file on behalf of the remote sites. Package: gupnp-dlna-tools Source: gupnp-dlna Version: 0.6.6-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 64 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgstreamer-plugins-base0.10-0 (>= 0.10.32), libgstreamer0.10-0 (>= 0.10.29.2), libgupnp-dlna-1.0-2 (>= 0.6.0), libxml2 (>= 2.6.27) Homepage: http://www.gupnp.org Priority: optional Section: net Filename: pool/main/g/gupnp-dlna/gupnp-dlna-tools_0.6.6-1_armhf.deb Size: 18180 SHA256: 59abe6d6fc8a712a9f64617683959ce9d1c60ea34e4e8350509b608580d415de SHA1: 6c708e43b0009ec494178a5904608ec4116fcfda MD5sum: e8f70b6aabbefa3bdf62deacc5398e4e Description: GObject-based library for GUPnP DLNA (tools) A small utility library that aims to ease the DLNA-related tasks such as media profile guessing, transcoding to a given profile, etc. . This package contain tools, like gupnp-dlna-info. Package: gupnp-tools Version: 0.8.4-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 473 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgssdp-1.0-3 (>= 0.12.0), libgtk-3-0 (>= 3.0.0), libgupnp-1.0-4 (>= 0.18.0), libgupnp-av-1.0-2 (>= 0.6.0), libsoup2.4-1 (>= 2.4.0), libuuid1 (>= 2.16), libxml2 (>= 2.7.4), gnome-icon-theme Priority: optional Section: net Filename: pool/main/g/gupnp-tools/gupnp-tools_0.8.4-1_armhf.deb Size: 269174 SHA256: 0682cdbe7f1fc3de504b7813789c69f1ae1666474c000037860e6dd7ed15080e SHA1: e33459d0897e05196939facf9fb87c20af623937 MD5sum: ebc61f3c870b6bcacdff4d0bad675492 Description: tools for testing UPnP devices and control points GUPnP is an object-oriented open source framework for creating UPnP devices and control points, written in C using GObject and libsoup. The GUPnP API is intended to be easy to use, efficient and flexible. . GUPnP Tools are free replacements of Intel UPnP tools that use GUPnP. They provides the following client and server side tools which enable one to easily test and debug one's UPnP devices and control points: * Universal Control Point: a tool that enables one to discover UPnP devices and services, retrieve information about them, subscribe to events and invoke actions. * Network Light: a virtual light bulb that allows control points to switch it on and off, change its dimming level and query its current status. * AV Control Point: a simple media player UI that enables one to discover and play multimedia content available on the network. * MediaServer upload: upload files to MediaServers * Upload: a simple commandline utility that uploads files to known MediaServers. Use Universal Control Point for discovering the MediaServers. Package: gupnp-vala Version: 0.10.4-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 71 Homepage: http://www.gupnp.org Priority: optional Section: libs Filename: pool/main/g/gupnp-vala/gupnp-vala_0.10.4-1_armhf.deb Size: 11116 SHA256: a62de30372fdace38a2194af8a5d59402380687e177a2fc4a941d0712caa65f6 SHA1: 8d89384549180fc202f22d84dbdffff480124df5 MD5sum: 174611daf543f6cad64d0a43b9f0246c Description: GObject-based library for UPnP (Vala bindings) A GObject-based API for doing UPnP transparently. . This package contains the Vala bindings. Package: gurgitate-mail Version: 1.10.0-1 Installed-Size: 144 Maintainer: Arnaud Cornet Architecture: all Depends: ruby Size: 26202 SHA256: 3432064fe30476d9899ea1d2fa4a0a6e26ca82ba3622f875e35d06cecf0a881a SHA1: d9f97bd8f649e13b78d560896412ea1054931a9a MD5sum: e9b759b81822ca751599ddf3006b6503 Description: E-mail processor and filter with configuration files in ruby gurgitate-mail is a mail filter that is small and easy to use. The configuration files in ruby programming language make gurgitate-mail flexible and powerful. It can sort your incoming mail, pipe them through another program or do whatever processing you program in one of its configuration file. It can store mails in folders of MBox or Maildir formats. Homepage: http://www.dagbrown.com/software/gurgitate-mail/ Section: mail Priority: optional Filename: pool/main/g/gurgitate-mail/gurgitate-mail_1.10.0-1_all.deb Package: gurlchecker Version: 0.13.1-2.1 Architecture: armhf Maintainer: Daniel Leidert (dale) Installed-Size: 1138 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcroco3 (>= 0.6.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgnet2.0-0 (>= 2.0.8), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgnutls26 (>= 2.12.17-0), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), libjson-glib-1.0-0 (>= 0.12.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libsqlite3-0 (>= 3.5.9), libtidy-0.99-0, libxml2 (>= 2.7.4) Homepage: http://gurlchecker.labs.libre-entreprise.org Priority: optional Section: net Filename: pool/main/g/gurlchecker/gurlchecker_0.13.1-2.1_armhf.deb Size: 308472 SHA256: bfcc78d29156e30992ae0182d9bf068d1d4f9764318341a9b4690566b7e1603d SHA1: 1a13b75e765ceebd6f1b69e99025e0de72cf4bdd MD5sum: b4239acb78d5c0a413df0be5c9061ed5 Description: graphical websites checker The graphical gURLChecker can . * check links * determine bad, malformed or too slow links * determine validity of each page . on a whole website, a single local page, or a browser bookmarks file. Package: gutenprint-doc Source: gutenprint Version: 5.2.9-1 Installed-Size: 748 Maintainer: Debian Printing Group Architecture: all Suggests: printer-driver-gutenprint (>= 5.2.9-1), foomatic-db-gutenprint (>= 5.2.9-1), gimp-gutenprint (>= 5.2.9-1), ijsgutenprint (>= 5.2.9-1) Size: 681410 SHA256: 5a229910c4999be7a4bf043be4ab80acb1d02359536cb8cc579e878ca6921e4a SHA1: d49dfa81c9c8ac5b5443b8b105f74106533646d4 MD5sum: 333a8cdd7b7471fec0ef5232670c9783 Description: users' guide for Gutenprint and CUPS This package contains a user guide for programs using the Gutenprint library for photographic quality printing. Currently this covers using the Print plugin for the GIMP and the Common Unix Printing System (CUPS). . Gutenprint is the print facility for the GIMP, and in addition a suite of drivers that may be used with common UNIX spooling systems using GhostScript or CUPS. These drivers provide printing quality for UNIX/Linux on a par with proprietary vendor-supplied drivers in many cases, and can be used for many of the most demanding printing tasks. Gutenprint was formerly known as Gimp-Print. Tag: hardware::printer, made-of::TODO, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/gutenprint/gutenprint-doc_5.2.9-1_all.deb Package: gutenprint-locales Source: gutenprint Version: 5.2.9-1 Installed-Size: 12702 Maintainer: Debian Printing Group Architecture: all Size: 2237910 SHA256: b75085701cba25a5a91536c8b76c419659bf96e9c3456bd999e801cce22da35f SHA1: 8de18a80eb95e4e2031431090ae52bfd3e6dea26 MD5sum: 3dcdc47595ef1272a6cdb174f066c2fc Description: locale data files for Gutenprint This package contains the i18n files of Gutenprint, used by libgutenprint2, printer-driver-gutenprint and escputil. It is also used by the Print plugin for the GIMP, gimp-gutenprint. . They are needed when you want the programs in Gutenprint to print their messages in other languages than US English. . Gutenprint is the print facility for the GIMP, and in addition a suite of drivers that may be used with common UNIX spooling systems using GhostScript or CUPS. These drivers provide printing quality for UNIX/Linux on a par with proprietary vendor-supplied drivers in many cases, and can be used for many of the most demanding printing tasks. Gutenprint was formerly known as Gimp-Print. Tag: culture::TODO, culture::bokmaal, culture::czech, culture::danish, culture::dutch, culture::french, culture::greek, culture::hungarian, culture::japanese, culture::polish, culture::slovak, culture::spanish, culture::swedish, culture::taiwanese, hardware::printer, role::app-data Section: libs Priority: optional Filename: pool/main/g/gutenprint/gutenprint-locales_5.2.9-1_all.deb Package: guvcview Version: 1.5.3-1 Architecture: armhf Maintainer: Nobuhiro Iwamatsu Installed-Size: 709 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libportaudio2 (>= 19+svn20101113), libsdl1.2debian (>= 1.2.11), libudev0 (>= 146), libv4l-0 (>= 0.5.0) Recommends: uvcdynctrl Homepage: http://guvcview.sourceforge.net/ Priority: extra Section: graphics Filename: pool/main/g/guvcview/guvcview_1.5.3-1_armhf.deb Size: 242078 SHA256: 5dc4d21658a49b80003aff1c07893053fe5f49311a61c9ec65732857d99cce71 SHA1: 5a909cb8d5fa9343a9d55bf6af5f135eb1082915 MD5sum: 88db408954e9e82dcfda07f095ed8dd3 Description: GTK+ base UVC Viewer guvcview is a simple GTK+ interface for capturing and viewing video from devices supported by the Linux UVC driver. Package: gv Version: 1:3.7.3-1 Architecture: armhf Maintainer: Bernhard R. Link Installed-Size: 528 Depends: ghostscript-x, libc6 (>= 2.13-28), libx11-6, libxinerama1, libxmu6, libxt6, xaw3dg (>= 1.5+E-1), dpkg (>= 1.15.4) | install-info Recommends: xaw3dg (>= 1.5+E-18~) Provides: pdf-viewer, postscript-viewer Homepage: http://www.gnu.org/software/gv/ Priority: optional Section: text Filename: pool/main/g/gv/gv_3.7.3-1_armhf.deb Size: 224962 SHA256: 1d2e2884cd51b8e4f75294a4ff503224e1ff5ba78fdd0b20c1ffeda8e73ea036 SHA1: b0d66216b0e77abc040918731456f9f4e6959f73 MD5sum: a004ac61131407c999af8b4ae7c176d6 Description: PostScript and PDF viewer for X gv is a comfortable viewer of PostScript and PDF files for the X Window System. It uses the ghostscript PostScript interpreter and is based on the classic X front-end for gs, ghostview, which it has replaced now. Package: gvb Version: 1.2.1-1 Installed-Size: 748 Maintainer: Pietro Battiston Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-scipy (>= 0.6.0-8), python-cairo (>= 1.4.0-2), python-gnome2 Suggests: ffmpeg Size: 244488 SHA256: ea6f6edc5242f43d58b743a733b64e93bc6c6148a2a92eb4e85e56f4e7f1d2a3 SHA1: 3818b0a2f7b4807bde330411abe55036d02077ac MD5sum: f88caa7a853e7e52271fc3370f674d52 Description: visual simulator of 1 and 2-dimensional vibrations Good ViBrations (gvb) is a small program that aims at providing a nice interface to play with waves in 1 or 2 dimensions. . It features several ways of setting initial conditions, as well as different calculation methods and graphic outputs. It is also possible to dump animation frames to png images in order to make a movie with them. . It relies on the Python library scipy to get the best possible performance in calculations. Homepage: http://www.pietrobattiston.it/gvb Section: science Priority: optional Filename: pool/main/g/gvb/gvb_1.2.1-1_all.deb Package: gvfs Version: 1.12.3-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 358 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libglib2.0-0 (>= 2.31.8), libudev0 (>= 0.140), gvfs-daemons (>= 1.12.3-4), gvfs-daemons (<< 1.12.3-4.1~), gvfs-libs (= 1.12.3-4), gvfs-common (= 1.12.3-4) Suggests: gvfs-backends Breaks: brasero (<< 2.28.0-2), libgdu0 (<< 2.28.1-3), libglib2.0-0 (<< 2.30), rhythmbox (<< 0.12.6-2) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/g/gvfs/gvfs_1.12.3-4_armhf.deb Size: 186632 SHA256: ae85701dcd093bed091a692c13a3be63bf2eb254938bb0ce175e359abeaf2211 SHA1: 10afac8a0587506cb910e1996c1ab17c3de1a78c MD5sum: 75fe7599f95b3c4ab5fe8a0e35c7411d Description: userspace virtual filesystem - GIO module gvfs is a userspace virtual filesystem where mounts run as separate processes which you talk to via D-Bus. It also contains a gio module that seamlessly adds gvfs support to all applications using the gio API. It also supports exposing the gvfs mounts to non-gio applications using fuse. . This package contains the GIO module that lets applications use gvfs mounts. Package: gvfs-backends Source: gvfs Version: 1.12.3-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1024 Depends: libarchive12, libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libcdio-cdda1 (>= 0.83), libcdio-paranoia1 (>= 0.83), libcdio13 (>= 0.83), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.31.8), libgphoto2-2 (>= 2.4.10.1), libgphoto2-port0 (>= 2.4.10.1), libgudev-1.0-0 (>= 146), libimobiledevice2 (>= 1.1.0), libplist1 (>= 0.16), libsmbclient (>= 2:3.3.1), libsoup-gnome2.4-1 (>= 2.27.4), libsoup2.4-1 (>= 2.30.0), libxml2 (>= 2.7.4), dconf-gsettings-backend | gsettings-backend, gvfs (= 1.12.3-4), gvfs-daemons (= 1.12.3-4), gvfs-libs (= 1.12.3-4), gvfs-common (= 1.12.3-4), psmisc Recommends: gnome-keyring Suggests: obex-data-server, samba-common Breaks: gvfs-common (<< 1.12.0-2) Replaces: gvfs-common (<< 1.12.0-2) Multi-Arch: foreign Priority: optional Section: gnome Filename: pool/main/g/gvfs/gvfs-backends_1.12.3-4_armhf.deb Size: 333940 SHA256: 9ae9423328e114ef72f5bc1817157e3d5157cbf70c4e113e9efbdc9763571bff SHA1: 039509bb158d9dba385ac8f7ac6f6789059730ae MD5sum: 8938a48745ec1aab4eb8a5724cc1b758 Description: userspace virtual filesystem - backends gvfs is a userspace virtual filesystem where mounts run as separate processes which you talk to via D-Bus. It also contains a gio module that seamlessly adds gvfs support to all applications using the gio API. It also supports exposing the gvfs mounts to non-gio applications using fuse. . This package contains the afc, afp, archive, cdda, dav, dnssd, ftp, gphoto2, http, network, obexftp, sftp, smb and smb-browse backends. Package: gvfs-bin Source: gvfs Version: 1.12.3-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 330 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), gvfs-common (= 1.12.3-4) Suggests: gvfs Multi-Arch: foreign Priority: optional Section: gnome Filename: pool/main/g/gvfs/gvfs-bin_1.12.3-4_armhf.deb Size: 160234 SHA256: 022e598fff1fc417d282ba4a37fe2289e6202fbe847a522e82f075b6e2965ae2 SHA1: 7845e8063bae74133127c5dc4cfee4ff5398132f MD5sum: 9c856eb328df412f064bdcfe7f1f9dbf Description: userspace virtual filesystem - binaries gvfs is a userspace virtual filesystem where mounts run as separate processes which you talk to via D-Bus. It also contains a gio module that seamlessly adds gvfs support to all applications using the gio API. It also supports exposing the gvfs mounts to non-gio applications using fuse. . This package contains the support binaries. Package: gvfs-common Source: gvfs Version: 1.12.3-4 Installed-Size: 3825 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: gvfs (<< 1.10.1-1) Depends: desktop-file-utils Recommends: gvfs Breaks: gvfs (<< 1.10.1-1) Size: 546894 SHA256: 3c0ec8cb69e21b26a40974a1729bd12fa03a2fe243f5a8d1125f3831c4c3df78 SHA1: f3ff3e97f04f357fda926055d2641c421fb6cf06 MD5sum: ce250ca5c44f4f3ed5e0c79a01c0b641 Description: userspace virtual filesystem - common data files gvfs is a userspace virtual filesystem where mounts run as separate processes which you talk to via D-Bus. It also contains a gio module that seamlessly adds gvfs support to all applications using the gio API. It also supports exposing the gvfs mounts to non-gio applications using fuse. . This package contains the data files and translations used by all gvfs components. Multi-Arch: foreign Section: libs Priority: optional Filename: pool/main/g/gvfs/gvfs-common_1.12.3-4_all.deb Package: gvfs-daemons Source: gvfs Version: 1.12.3-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 465 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libgdu0 (>= 3.0.2), libglib2.0-0 (>= 2.31.8), libgudev-1.0-0 (>= 146), libudev0 (>= 0.140), x11-utils, gvfs-libs (= 1.12.3-4), gvfs-common (= 1.12.3-4) Recommends: dbus, policykit-1-gnome, gvfs Suggests: gvfs-backends Breaks: brasero (<< 2.28.0-2), gvfs (<< 1.10.1-1), gvfs-backends (<< 1.8.1-1), libgdu0 (<< 2.28.1-3), libglib2.0-0 (<< 2.28.6-2), rhythmbox (<< 0.12.6-2) Replaces: gvfs (<< 1.10.1-1), gvfs-backends (<< 1.8.1-1) Multi-Arch: foreign Priority: optional Section: libs Filename: pool/main/g/gvfs/gvfs-daemons_1.12.3-4_armhf.deb Size: 209590 SHA256: 5aa87604c111b9dbc7a48808fe836bf8150526f757a91de3bbab7094f0643870 SHA1: f702f0a57e0d9001ba53f513876c0eef11df6f04 MD5sum: a14dce5e4aefbf5716fce2777645fde4 Description: userspace virtual filesystem - servers gvfs is a userspace virtual filesystem where mounts run as separate processes which you talk to via D-Bus. It also contains a gio module that seamlessly adds gvfs support to all applications using the gio API. It also supports exposing the gvfs mounts to non-gio applications using fuse. . This package contains the gvfs server and the minimal set of backends. Package: gvfs-dbg Source: gvfs Version: 1.12.3-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 4072 Depends: gvfs (= 1.12.3-4) Priority: extra Section: debug Filename: pool/main/g/gvfs/gvfs-dbg_1.12.3-4_armhf.deb Size: 1077590 SHA256: 8da46e494a833c806bb0f53230ed8a4b3ca8876cb2d5d25ec3ff8ea23036b7df SHA1: 4daa123fc910895e73c543f793ae0728a7e851fe MD5sum: bb810178519f94e35ac412a0f6f7c0c6 Description: userspace virtual filesystem - debugging information gvfs is a userspace virtual filesystem where mounts run as separate processes which you talk to via D-Bus. It also contains a gio module that seamlessly adds gvfs support to all applications using the gio API. It also supports exposing the gvfs mounts to non-gio applications using fuse. . This package contains the debugging symbols needed to help debug gvfs itself and gvfs modules. Package: gvfs-fuse Source: gvfs Version: 1.12.3-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 191 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libfuse2 (>= 2.8.1), libglib2.0-0 (>= 2.31.8), gvfs (= 1.12.3-4), fuse (>= 2.8.4) Priority: optional Section: gnome Filename: pool/main/g/gvfs/gvfs-fuse_1.12.3-4_armhf.deb Size: 137518 SHA256: 33fc7a3029a956c70868fef5c91436baa49be23e0f70b55a9bff12f63993dad7 SHA1: 26bcb130ee238eea34cd53136b99b14c051419ab MD5sum: dda38b747838aebc50faec12590e142d Description: userspace virtual filesystem - fuse server gvfs is a userspace virtual filesystem where mounts run as separate processes which you talk to via D-Bus. It also contains a gio module that seamlessly adds gvfs support to all applications using the gio API. It also supports exposing the gvfs mounts to non-gio applications using fuse. . This package contains the gvfs-fuse server that exports gvfs mounts to all applications using FUSE. Package: gvfs-libs Source: gvfs Version: 1.12.3-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 347 Depends: libbluray1, libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), libglib2.0-0 (>= 2.31.8), libgnome-keyring0 (>= 2.20.3), gvfs-common (= 1.12.3-4) Conflicts: libgvfscommon0 Breaks: gvfs (<< 1.10.1-1) Replaces: gvfs (<< 1.10.1-1), libgvfscommon0 Multi-Arch: same Priority: optional Section: libs Filename: pool/main/g/gvfs/gvfs-libs_1.12.3-4_armhf.deb Size: 180834 SHA256: ba49b6df70a4f5e0d4c8b450737abb40863ef30ad562a41a5ad91a592e6d54f3 SHA1: 4f1c9bf1a0537287f50441d87aba62eaf79d2355 MD5sum: 6af04837378341a3d238a8164ceb9214 Description: userspace virtual filesystem - private libraries gvfs is a userspace virtual filesystem where mounts run as separate processes which you talk to via D-Bus. It also contains a gio module that seamlessly adds gvfs support to all applications using the gio API. It also supports exposing the gvfs mounts to non-gio applications using fuse. . This package contains private libraries with common functions between the daemons and the GIO module. Package: gvidm Version: 0.8-11 Architecture: armhf Maintainer: Matteo Cypriani Installed-Size: 88 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.3.0), libx11-6, libxext6, libxinerama1, libxrandr2 (>= 4.3), libxxf86vm1 Homepage: http://users.dakotacom.net/~donut/programs/gvidm.html Priority: optional Section: x11 Filename: pool/main/g/gvidm/gvidm_0.8-11_armhf.deb Size: 25964 SHA256: 08a3e30f86e824bb6ff74b910d151a2288150f535b461c717cfce90c699a1bbd SHA1: 35178ad0d3a6c29689cab215f0fc475fb9a5b467 MD5sum: f8fb60f10e82e54457f190e3720a3cfe Description: quickly and easily change video resolutions in X Running gvidm will pop up a list of available modes and allows the user to select one if desired. This makes it perfect for running from an application menu or a hotkey, so you don't have to use ram for an applet constantly running. If you are running dual or multi-head displays, it will give you a list of screens so you can select the appropriate one. Package: gvncviewer Source: gtk-vnc Version: 0.5.0-3.1 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 88 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnutls26 (>= 2.12.17-0), libgpg-error0 (>= 1.10), libgtk-3-0 (>= 3.0.0), libgtk-vnc-2.0-0 (>= 0.5.0), libgvnc-1.0-0 (>= 0.5.0), libpango1.0-0 (>= 1.14.0), libpulse0 (>= 0.99.1), libsasl2-2 (>= 2.1.24), libx11-6, zlib1g (>= 1:1.1.4) Priority: optional Section: x11 Filename: pool/main/g/gtk-vnc/gvncviewer_0.5.0-3.1_armhf.deb Size: 42174 SHA256: fde20eb7d6a077621b36ec24a1b5ca29881e1d477682275ac86f8befcdf984ac SHA1: e2f4d4ea4ff179a668e505dc2227b592f88bd811 MD5sum: 821026c011642f24570745db012a0f8d Description: VNC viewer using gtk-vnc It is built using coroutines, allowing it to be completely asynchronous while remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the VeNCrypt authentication extension providing SSL/TLS encryption with x509 certificate authentication. . The core library is written in C and a binding for Python using PyGTK is available. The networking layer supports connections over both IPv4 and IPv6. . This package contains the VNC viewer and VNC capture utility. Package: gvpe Version: 2.24-2 Architecture: armhf Maintainer: TANIGUCHI Takaki Installed-Size: 276 Depends: libc6 (>= 2.9), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6) Homepage: http://software.schmorp.de/pkg/gvpe.html Priority: extra Section: net Filename: pool/main/g/gvpe/gvpe_2.24-2_armhf.deb Size: 130704 SHA256: 81977ffd862698518f82b8b10d2c62c3abbbb87020991e2ed715dc562dee0e25 SHA1: 547466e9e0bcc223279aa03f19e4acb2f68d2a2f MD5sum: d8886eb0df970cd8eab99e608ef7a816 Description: creates a virtual ethernet between multiple endpoints GVPE creates a virtual ethernet (broadcasts supported, any protocol that works with a normal ethernet should work with GVPE) by creating encrypted host-to-host tunnels between multiple endpoints. . Unlike other virtual private "network" solutions which merely create a single tunnel, GVPE creates a real network with multiple endpoints. . It is designed to be very simple and robust (cipher selection done at compiletime etc.), and easy to setup (only a single config file shared unmodified between all hosts). . VPN hosts can neither sniff nor fake packets, that is, you can use MAC-based filtering to ensure authenticity of packets even from member nodes. . GVPE can also be used to tunnel into some vpn network using a variety of protocols (raw IP, UDP, TCP, HTTPS-proxy-connect, ICMP and DNS). It is, however, primarily designed to sit on the gateway machines of company branches to connect them together. Package: gvrng Version: 4.4-1 Installed-Size: 1732 Maintainer: Sergio Talens-Oliag Architecture: all Replaces: gvr (<= 1.4.1-1), gvr-lessons (<= 0.5-2) Provides: gvr, gvr-lessons Depends: python, python-glade2, python-gtksourceview2 Size: 418416 SHA256: 0f5573941b53de14f01f07898b1f18ddcdf0e0a1f40e11a13863c3c39cda224c SHA1: df1bbd63160c4e895d9041c908a3d4960443ce7c MD5sum: b6d186db7209913567a00502218324c6 Description: Interactive, introductory programming language Guido van Robot Next Generation (GvRng) is a project developed by advanced high school students for use by their peers as a learning tool and precursor to the further study of programming with Python. It is a minimalistic programming language providing just enough syntax to help students learn the concepts of sequencing, conditional branching, looping and procedural abstraction. . It's biggest strength is that it permits this learning in an environment that combines the thrill of problem-solving with instant visual feedback. In short, it is an interactive, introductory programming language that is excellent for learning the basic concepts of programming, applicable in any high-level language. . The GvRng version replaces the old GvR program that used wxPython for the GUI. Homepage: http://gvr.sourceforge.net/ Tag: devel::interpreter, game::puzzle, implemented-in::python, interface::x11, role::program, uitoolkit::gtk, use::gameplaying, use::learning, x11::application Section: games Priority: optional Filename: pool/main/g/gvrng/gvrng_4.4-1_all.deb Package: gw6c Source: gogoc Version: 1:1.2-4 Installed-Size: 32 Maintainer: Craig Small Architecture: all Depends: gogoc Size: 4250 SHA256: 99bb1eb26a35a7634652e7da5453d099c24cfb90e6c230f611595f04a95de29e SHA1: 54e6f6a0aed6e6b739865fc88de3724f7fd2257f MD5sum: 2cedb935a28ed80a0c6303bb50761d3a Description: Transitional dummy package This is a dummy package to ease transition from previous versions of gw6c . It can be safely removed from your system. Homepage: http://go6.net/4105/application.asp Tag: implemented-in::c, interface::daemon, protocol::ipv6, works-with::network-traffic Section: net Priority: extra Filename: pool/main/g/gogoc/gw6c_1.2-4_all.deb Package: gwaei Version: 3.4.3-1 Architecture: armhf Maintainer: Norbert Preining Installed-Size: 1106 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.3.16), libhunspell-1.3-0, libmecab2 (>= 0.99.3-3), libpango1.0-0 (>= 1.20.0), libstdc++6 (>= 4.1.1), libwaei2, zlib1g (>= 1:1.1.4) Recommends: fonts-kanjistrokeorders Homepage: http://gwaei.sourceforge.net Priority: optional Section: gnome Filename: pool/main/g/gwaei/gwaei_3.4.3-1_armhf.deb Size: 272232 SHA256: 3e849d00f288ea3098f76a49171874d3adeac84fd35940b65f5b733e4dc376a6 SHA1: 7143b9e4e159bcb13c40d8e2771e7b9c054aa0dd MD5sum: bb48c48e59506ac0fbc9a2ca0e59a4ab Description: Japanese-English Dictionary for GNOME gWaei is an easy to use and yet powerful full-featured dictionary program for Japanese to English translation. It organizes results by relevance, supports regex searches, tabs, spell checking, kanji handwriting recognition and an accompanying console version for searches through the terminal. Package: gwakeonlan Version: 0.5.1-1 Installed-Size: 324 Maintainer: Fabio Castelli Architecture: all Depends: python-gtk2 (>= 2.12), librsvg2-common, python (>= 2.5) Size: 25880 SHA256: b2a4b1258cc12c30817f7368c290b0447ce48bbab2b7b8e196ae40a3c4ce773a SHA1: 7865b99ce56514dc3956619ee049a0757344cbc1 MD5sum: c2e70154b19193c78d83c8d6384174c6 Description: wakes up your machines using Wake on LAN gWakeOnLan is a GTK+ utility to awake turned off computers through the Wake on LAN feature. Both local and internet modes are supported. . The machines to turn on need to be shut off with the Wake on LAN magic packet enabled. Homepage: http://code.google.com/p/gwakeonlan/ Tag: implemented-in::python, interface::x11, network::client, protocol::ip, role::program, scope::application, uitoolkit::gtk, x11::application Section: net Priority: optional Filename: pool/main/g/gwakeonlan/gwakeonlan_0.5.1-1_all.deb Package: gwaterfall Version: 0.1-5 Architecture: armhf Maintainer: Ognyan Kulev Installed-Size: 69 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libxft2 (>> 2.1.1) Priority: extra Section: x11 Filename: pool/main/g/gwaterfall/gwaterfall_0.1-5_armhf.deb Size: 13376 SHA256: b52d518e5a2de6eef25c88ffc89ff94b6c3b055e28c1a621b81777faaf712f59 SHA1: 616d22c966c9ec895dc8a1743ba69c7576e49bc9 MD5sum: f3527a9e6b76bbe24cfc62748ae12b5b Description: View all characters of a font in all sizes This program facilitates comparison of various fonts and font rendering parameters. . It displays sample lines of text in sizes from 5 to 36px simultaneously and allows changing of font size range and increment, hinting, autohinting, anti-aliasing, subpixel smoothing, and aspect, immediately showing the changes on the screen. All Unicode characters are divided into blocks of 128 characters, and user can choose block of 128 characters to use as sample line of text. Package: gwave Version: 20090213-4 Architecture: armhf Maintainer: Debian Electronics Team Installed-Size: 1224 Depends: guile-1.8-libs, guile-gnome2-glib (>= 2.16.1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libreadline6 (>= 6.0), libx11-6, guile-gnome2-gtk Recommends: extra-xdg-menus Homepage: http://gwave.sourceforge.net Priority: optional Section: electronics Filename: pool/main/g/gwave/gwave_20090213-4_armhf.deb Size: 267992 SHA256: 67724c3d70a1eaab62eb11df8954f18b1588e417e3bd2eecda101d1d6c8856eb SHA1: 527445fb698c46f78c50490dfb04747b7d90e5ea MD5sum: 3197962504305f8f782323f5893ca34f Description: waveform viewer eg for spice simulators Gwave is a tool for viewing analog data, such as the output of Spice simulations. . Gwave can read "raw" files from spice2G6, spice3F5 or ngspice, and a tabular ASCII format suitable for use with GnuCAP or homegrown tools. It can also read several binary and ascii files written by commercial spice-type simulators such as hspice, tspice, and nanosim. . It supports multiple "panels" (graticules) with multiple variables displayed in each. Two vertical-bar cursors are available for time-difference measurements. Multiple files can be loaded, for comparing results of several simulations. Package: gwc Version: 0.21.17~dfsg0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 423 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), libogg0 (>= 1.0rc3), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libpulse0 (>= 0.99.1), libsm6, libsndfile1 (>= 1.0.20), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2) Suggests: yelp | www-browser Homepage: http://gwc.sf.net Priority: optional Section: sound Filename: pool/main/g/gwc/gwc_0.21.17~dfsg0-2_armhf.deb Size: 177164 SHA256: 391b209bee15463a7fc772f724778ca2e70781bd6f9310bce6eb99f63fbda4f3 SHA1: fed793d89ed2bfcbe798cae9f9a135fd9e226ec0 MD5sum: e1db73413e1b4f486946ec73891fa29d Description: Audio file denoiser The GNOME Wave Cleaner (gwc) is an application that helps you in getting rid of noise and clicks in audio files. This is most commonly used when translating your old vinyl or old tapes to a digital format, but it can be applied to every soundfile that has a more or less constant noise level. Package: gwc-dbg Source: gwc Version: 0.21.17~dfsg0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 739 Depends: gwc (= 0.21.17~dfsg0-2) Homepage: http://gwc.sf.net Priority: extra Section: debug Filename: pool/main/g/gwc/gwc-dbg_0.21.17~dfsg0-2_armhf.deb Size: 296136 SHA256: e20ec40d10fb08af355954e9c8817dee6119c1715a9bd53bb2458bbc21ba0267 SHA1: dce3eaa9f29a042d3f99a22d92ab0916743d3020 MD5sum: dcf811f8a82e50943a2f274e688b9deb Description: Audio file denoiser (debug) The GNOME Wave Cleaner (gwc) is an application that helps you in getting rid of noise and clicks in audio files. This is most commonly used when translating your old vinyl or old tapes to a digital format, but it can be applied to every soundfile that has a more or less constant noise level. . This package contains the debugging symbols. Package: gweled Version: 0.9.1-2 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 736 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.20.0), libmikmod2 (>= 3.1.10), librsvg2-2 (>= 2.14.4) Homepage: http://gweled.org/ Priority: optional Section: games Filename: pool/main/g/gweled/gweled_0.9.1-2_armhf.deb Size: 281082 SHA256: dddccb17547350aa7f64cd632cd5ce1530ff8f4c75965f4422ab6c3022db6e9b SHA1: 3a1564e9f512efd5414c9d16879e95fdf5f2ab0f MD5sum: db4497132d26f038e740b9ba7362249c Description: A "Diamond Mine" puzzle game Gweled is a GNOME version of a popular PalmOS/Windows/Java game called "Bejeweled" or "Diamond Mine". The aim of the game is to make alignment of 3 or more gems, both vertically or horizontally by swapping adjacent gems. The game ends when there are no possible moves left. Package: gwenhywfar-tools Source: libgwenhywfar Version: 4.3.3-1+deb7u1 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 282 Depends: libc6 (>= 2.13-28), libgwenhywfar60 (>= 4.2.1) Suggests: libgwenhywfar60-dbg Breaks: libgwenhywfar47-dev (<< 3.99.5beta-1) Replaces: libgwenhywfar47-dev (<< 3.99.5beta-1) Priority: optional Section: utils Filename: pool/main/libg/libgwenhywfar/gwenhywfar-tools_4.3.3-1+deb7u1_armhf.deb Size: 120728 SHA256: 28c470f1c738ec28aa37608f86ae33fb612466578753c69fda4c02475aabf3bc SHA1: 3deaf3158a59023fa4edb98d7d22af4520af2345 MD5sum: 90b0c5a035bcd50f381b3897c181b20b Description: helper applications for Gwenhywfar library This package contains some helper tools provided by Gwenhywfar and useful for applications making use of Gwenhywfar: mklistdoc, gct-tool, xmlmerge and typemaker. . Gwenhywfar allows porting of your software to different operating systems like Linux, *BSD, Windows etc. It also provides some often needed modules such as configuration file handling, simple XML file parsing, IPC etc. Package: gwenview Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 3295 Depends: kde-runtime, libc6 (>= 2.13-28), libexiv2-12, libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.7.0), libkfile4 (>= 4:4.4.95), libkio5 (>= 4:4.7.0), libkipi8 (>= 4:4.8.4), libkonq5abi1 (>= 4:4.6.1), libkparts4 (>= 4:4.5.85), libnepomuk4 (>= 4:4.3.4), libphonon4 (>= 4:4.2.0), libqt4-opengl (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), phonon Recommends: kamera Suggests: svgpart Breaks: kdegraphics-libs-data (<< 4:4.7.1~) Replaces: kdegraphics-libs-data (<< 4:4.7.1~) Homepage: http://www.kde.org/ Priority: optional Section: graphics Filename: pool/main/g/gwenview/gwenview_4.8.4-2_armhf.deb Size: 2220338 SHA256: 4d5dc4508303134a7377e69b6cd8ab3e8df8ba75719093782380ef9937a6a454 SHA1: 567199ae807f8791e439ad92f77bf716e3ad173d MD5sum: a2aa8742daab68d925ce676756cddb60 Description: image viewer Gwenview is an image viewer, ideal for browsing and displaying a collection of images. It is capable of showing images in a full-screen slideshow view and making simple adjustments, such as rotating or cropping images. . This package is part of the KDE graphics module. Package: gwenview-dbg Source: gwenview Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 23243 Depends: gwenview (= 4:4.8.4-2), kde-runtime-dbg Breaks: kdegraphics-dbg (<< 4:4.7.1~) Replaces: kdegraphics-dbg (<< 4:4.7.1~) Homepage: http://www.kde.org/ Priority: extra Section: debug Filename: pool/main/g/gwenview/gwenview-dbg_4.8.4-2_armhf.deb Size: 9714974 SHA256: 0a062c4601d5b2c68e338272ca198cbd6b6084ed6bb12a4888f8471fe38a8538 SHA1: 9560ca0cd07ba5169c6fa84b08a683df72d8ad2a MD5sum: 9519326e462ab34ad4111de6d64dc476 Description: debugging symbols for the gwenview This package contains debugging files used to investigate problems with Gwenview. . This package is part of the KDE graphics module. Package: gwhere Version: 0.2.3.dfsg.1-3 Architecture: armhf Maintainer: Bart Martens Installed-Size: 1322 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4) Homepage: http://www.gwhere.org/ Priority: optional Section: utils Filename: pool/main/g/gwhere/gwhere_0.2.3.dfsg.1-3_armhf.deb Size: 451990 SHA256: 3317b03dcd0328a05e9e17d7f945428cbfd4c1410fc853e876274ba4a4448162 SHA1: e038af39dcd7bff4345a84f5336525fbeed5ee68 MD5sum: 0ec2f7d6601169bcb6126af455bd03de Description: Removable media catalog manager GWhere allows to manage a database of your CDs and other removable media (hard disks, floppy drive, Zip drive, CD-ROM, etc...). With GWhere it's easy to browse your CDs or to make a quick search without needing to insert your CDs in the drive at each once. Package: gwhois Version: 20120626 Installed-Size: 142 Maintainer: Michael Holzt Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, perl, libwww-perl, lynx-cur, curl, libnet-libidn-perl Suggests: openbsd-inetd | inet-superserver Size: 38406 SHA256: 9429ce823e68e179d36e5990569a889eb7e45416a6975d5d4240b00e5e09b2cb SHA1: b5b2e56aa6ca65bef39259c93098c2e138eb10c9 MD5sum: 661ce609faa548950bdfc2aa5dcc70c4 Description: generic Whois Client / Server gwhois is a generic whois client / server. This means that it know for many (virtually all) TLDs, IP-Ranges and Handles out there where to ask. It queries other whois-servers as well as web-lookup forms. . gwhois can be used as a client, but you can invoke it as a server (e.g. via inetd) as well for usage with a normal whois client. Tag: implemented-in::perl, interface::commandline, interface::daemon, network::client, network::server, protocol::dns, role::program, use::searching Section: net Priority: optional Filename: pool/main/g/gwhois/gwhois_20120626_all.deb Package: gworkspace-apps-wrappers Source: gworkspace Version: 0.8.8-1.1 Installed-Size: 1229 Maintainer: Gürkan Sengün Architecture: all Replaces: apps-wrappers Recommends: gworkspace.app Suggests: imagemagick, lyx-common, alsa-utils, gimp, gnumeric, konqueror, easytag, nedit, sox, vim | nvi, xfig, xine-ui Conflicts: apps-wrappers, gworkspace (<< 0.4.5-1) Size: 340000 SHA256: de1cb83852c51d2579e1c18b427f8473007096c78155138cd9ce10b84c490214 SHA1: abfe688d3bb98886f149c2de6c1f8d5cd327c9ad MD5sum: 8c4c356e6fbf98f7a1defa27dbb32508 Description: Application wrappers for GWorkspace A few wrapper scripts to enable usage of non-GNUstep applications within a GNUstep environment. Homepage: http://www.gnustep.org/experience/GWorkspace.html Tag: interface::x11, role::app-data, suite::gnustep, uitoolkit::gnustep, x11::application Section: x11 Priority: optional Filename: pool/main/g/gworkspace/gworkspace-apps-wrappers_0.8.8-1.1_all.deb Package: gworkspace.app Source: gworkspace Version: 0.8.8-1.1 Architecture: armhf Maintainer: Gürkan Sengün Installed-Size: 7070 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.6) Recommends: preferences.app, wrapperfactory.app, preview.app, textedit.app, viewpdf.app, zipper.app, cynthiune.app Suggests: gworkspace-apps-wrappers Conflicts: gworkspace Replaces: gworkspace Homepage: http://www.gnustep.org/experience/GWorkspace.html Priority: optional Section: x11 Filename: pool/main/g/gworkspace/gworkspace.app_0.8.8-1.1_armhf.deb Size: 1355468 SHA256: 839036e964a672411c27725c3d55d301782220ddafd0ed0356c8fa18ba9c0f36 SHA1: d97adc3570aeb058d5d0a4b474b80eb84e571f0d MD5sum: e3f2b04200060c4a810fe6fb5771e8ae Description: GNUstep Workspace Manager GWorkspace is the official GNUstep workspace manager. It is a clone of NeXT's workspace manager. GWorkspace is probably one of the most useful and usable workspace managers available on any platform, owing to its well-designed interface and the natural, consistent design that it inherits from the GNUstep framework. Package: gworldclock Version: 1.4.4-9 Architecture: armhf Maintainer: Drew Parsons Installed-Size: 153 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4) Suggests: tzwatch Priority: optional Section: utils Filename: pool/main/g/gworldclock/gworldclock_1.4.4-9_armhf.deb Size: 39238 SHA256: bab795b312392a0de015231d3ebc2b8f237ac4450d8d522306eed7577132ae6a SHA1: e3100d4ea8084c47e91cf0938754e1ffb3b58da6 MD5sum: 4f5531d156f2db9a7530dede332e33a2 Description: Displays time and date in specified time zones This program displays the time and date of specified time zones using a GTK+ interface. It also provides a "rendezvous" function allowing the zones to be synchronised to a time other than the current time. This can help you organise meetings across different timezones. . The time zones may be entered by hand in TZ format or chosen from a list prepared from /usr/share/zoneinfo/zone.tab. The zone list is kept in a format consistent with the shell script tzwatch. Package: gwrite Version: 0.5.1-2 Installed-Size: 352 Maintainer: Aron Xu Architecture: all Depends: python, python-support (>= 0.90.0), python-gtk2, python-jswebkit, python-webkit, mimetex, wv Size: 57114 SHA256: 74d1c5bfe659a0eb43728dd9631625b02a115317aa7e4729eea0afa1295fb03e SHA1: a18f9107c9a0e4c9d6badf261782ce910c23df03 MD5sum: b5a54b298c7712e184683260c14b68c5 Description: simple GTK+ HTML5 rich text editor gWrite is a simple GTK+ HTML5 WYSIWYG editor, focusing on writing and simple text formating. It can automatically generate a table of contents based on the document structure. . It aims to be lighter than OOWrite & OOWeb, and to be as useful as them. Homepage: http://code.google.com/p/gwrite Tag: devel::editor, devel::web, interface::x11, role::program, scope::application, uitoolkit::gtk, use::editing, x11::application Section: editors Priority: optional Filename: pool/main/g/gwrite/gwrite_0.5.1-2_all.deb Package: gwsetup Source: geneweb Version: 6.05.1-1 Architecture: armhf Maintainer: Christian Perrier Installed-Size: 901 Pre-Depends: adduser, dpkg (>= 1.15.6~) Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5, geneweb Homepage: http://www.geneweb.org/ Priority: optional Section: misc Filename: pool/main/g/geneweb/gwsetup_6.05.1-1_armhf.deb Size: 256218 SHA256: acc6e2578cd85c78153ad217d40b22fe5e222948c19efd32909cbb30dd83cdff SHA1: a2c1c89912629b66380beecef3940861c43ad96d MD5sum: 09beb75c70090ac3530e9697fe9acd62 Description: utilities to configure and manipulate Geneweb databases Gwsetup is a command-line program which also includes a mini web server to help Geneweb users to setup and manipulate genealogical databases to be used with the main Geneweb program. Package: gwtp Source: geneweb Version: 6.05.1-1 Architecture: armhf Maintainer: Christian Perrier Installed-Size: 702 Pre-Depends: dpkg (>= 1.15.6~) Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5, geneweb Suggests: httpd Homepage: http://www.geneweb.org/ Priority: optional Section: misc Filename: pool/main/g/geneweb/gwtp_6.05.1-1_armhf.deb Size: 208596 SHA256: 3bfe472c9e42878a6aab1201e0f79763da9b904545679a9d5d3b25eb5e5f8d54 SHA1: 3d872d78dcf984b23a5291008b585108f1edd4e7 MD5sum: b5611360ffab7929cf5294136b5e3cd9 Description: web interface interacting with Geneweb databases Gwtp is a CGI program allowing owners of databases hosted on a GeneWeb site to upload and download their databases on the site and change their configuration parameters. Package: gwyddion Version: 2.28-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 4186 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.16.0), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.24.0), libgtkglext1, libgtksourceview2.0-0 (>= 2.10.0), libgwyddion2-0 (>= 2.28-2), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libpython2.7 (>= 2.7), libsm6, libstdc++6 (>= 4.1.1), libx11-6, libxml2 (>= 2.7.4), libxmu6, libxt6, zlib1g (>= 1:1.1.4), gwyddion-common (= 2.28-2) Suggests: gwyddion-plugins Homepage: http://gwyddion.net/ Priority: optional Section: science Filename: pool/main/g/gwyddion/gwyddion_2.28-2_armhf.deb Size: 1425490 SHA256: e9ab7d14f56429d7c9ccd289c525270077310d7d1c1df733720fb366ef219504 SHA1: eb1ec5692822dffd5f46e8daff6df145898a4768 MD5sum: 6db0c8388fcc2568561e136c9daa6990 Description: Scanning Probe Microscopy visualization and analysis tool Gwyddion is a modular program for Scanning Probe Microscopy (SPM) data visualization and analysis. It is primarily intended for analysis of height field data obtained by microscopy techniques like * Atomic Force Microscopy (AFM), * Magnetic Force Microscopy (MFM), * Scanning Tunneling Microscopy (STM), * Near-field Scanning Optical Microscopy (SNOM or NSOM) and others. However, it can be used for arbitrary height field and image analysis. . This package contains the main application and its modules. It also contains a GNOME (and Xfce) thumbnailer which creates previews for all file types known to Gwyddion. . Gwyddion's Python scripting interface Pygwy is also included. Package: gwyddion-common Source: gwyddion Version: 2.28-2 Installed-Size: 1406 Maintainer: Debian Med Packaging Team Architecture: all Depends: gconf2 (>= 2.28.1-2) Recommends: gwyddion (>= 2.28-2) Size: 578592 SHA256: d48a401c0562028db6ab32f8b3fee841d89b21a4519b40be03444bcb165ec417 SHA1: dfdb0cba40c10c361c6735afab50eae8dc5a3079 MD5sum: d5af442d6507f3b22c7fd08d302f7a2b Description: architecture-independent files for Gwyddion SPM analysis tool Gwyddion is a modular program for Scanning Probe Microscopy (SPM) data visualization and analysis. It is primarily intended for analysis of height field data obtained by microscopy techniques like * Atomic Force Microscopy (AFM), * Magnetic Force Microscopy (MFM), * Scanning Tunneling Microscopy (STM), * Near-field Scanning Optical Microscopy (SNOM or NSOM) and others. However, it can be used for arbitrary height field and image analysis. . This package contains architecture-independent files for Gwyddion - a modular program for Scanning Probe Microscopy data visualization and analysis. Homepage: http://gwyddion.net/ Tag: role::app-data Section: science Priority: optional Filename: pool/main/g/gwyddion/gwyddion-common_2.28-2_all.deb Package: gwyddion-plugins Source: gwyddion Version: 2.28-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 128 Depends: libc6 (>= 2.13-28), gwyddion, python (>= 2.2) | ruby (>= 1.8) | perl5 Homepage: http://gwyddion.net/ Priority: optional Section: science Filename: pool/main/g/gwyddion/gwyddion-plugins_2.28-2_armhf.deb Size: 60700 SHA256: d2d168c5d1ef828fffc4a01cd70ebcd5eccfc73afce0ddc5014b657abbc7ef99 SHA1: 610a29c77e36fe7182a593c67287f7915422c8be MD5sum: 5a7dd7d4edec159ad9a6bfb7d61e770e Description: plugins for Gwyddion SPM analysis tool Gwyddion is a modular program for Scanning Probe Microscopy (SPM) data visualization and analysis. It is primarily intended for analysis of height field data obtained by microscopy techniques like * Atomic Force Microscopy (AFM), * Magnetic Force Microscopy (MFM), * Scanning Tunneling Microscopy (STM), * Near-field Scanning Optical Microscopy (SNOM or NSOM) and others. However, it can be used for arbitrary height field and image analysis. . This package yet contains only sample plugins for Gwyddion in Python, Ruby and Perl. . If you would like to extend Gwyddion's functionality, you are encouraged to consider writing Gwyddion modules instead of plugins. For help on this, see the package libgwyddion20-doc. Package: gxine Version: 0.5.907-2.1~rpi1 Architecture: armhf Maintainer: Darren Salt Installed-Size: 1327 Depends: libc6 (>= 2.13-28), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.24.0), libgudev-1.0-0 (>= 146), liblircclient0, libmozjs185-1.0 (>= 1.8.5-1.0.0+dfsg), libpango1.0-0 (>= 1.14.0), libx11-6, libxcb1, libxext6, libxine2 (>= 1.2.0), libxinerama1, librsvg2-common, libxine2-x, libxine2-gnome Recommends: libxine2-ffmpeg Suggests: libgnomevfs2-0, realplayer, libdvdcss2 | libdvdcss, gxineplugin Priority: optional Section: video Filename: pool/main/g/gxine/gxine_0.5.907-2.1~rpi1_armhf.deb Size: 548218 SHA256: a4f033c93c424244e8886a30708bebc2cd11753ce5b1db39e11e200b780ccb24 SHA1: 190efb6648d0d484750589b808d91f5a9bf37940 MD5sum: 4f4933c07515e6b5092371c8d59fd0b2 Description: the xine video player, GTK+/Gnome user interface This is a GTK+ based GUI for the libxine video player library. It provides gxine, a media player that can play all the audio/video formats that libxine supports. Currently, this includes MPEG1/2, some AVI and Quicktime files, some network streaming methods and disc based media (VCD, SVCD, DVD). A more complete list can be found on http://xinehq.de/. . Most DVDs on the market today are play-protected by the Content Scrambling System (CSS). libxine does not provide any code to descramble those DVDs, because of legal uncertainties. If you still want to play those DVDs, you'll need a CSS decryption library like libdvdcss that is supported by libxine. Package: gxineplugin Source: gxine Version: 0.5.907-2.1~rpi1 Architecture: armhf Maintainer: Darren Salt Installed-Size: 55 Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxt6, gxine (>= 0.5.0) Suggests: realplayer, libdvdcss2 | libdvdcss Conflicts: gxine (<< 0.5.0) Priority: optional Section: video Filename: pool/main/g/gxine/gxineplugin_0.5.907-2.1~rpi1_armhf.deb Size: 6600 SHA256: 16c3b78cea9799a0b73617dabb8f92f597127c3c1359bc002233e3fcac031954 SHA1: 4475c3a1620888daa2b2baec18bc886bb4d26b8b MD5sum: 22b4bfc1cf9bc48ae08b863e3cd42c99 Description: the xine video player, GTK+/Gnome; launcher plugin for Mozilla This is a GTK+ based GUI for the libxine video player library. It provides gxine, a media player that can play all the audio/video formats that libxine supports. Currently, this includes MPEG1/2, some AVI and Quicktime files, some network streaming methods and disc based media (VCD, SVCD, DVD). A more complete list can be found on http://xinehq.de/. . This package contains the Mozilla plugin, which is a launcher for gxine. Package: gxmessage Version: 2.20.0-1 Architecture: armhf Maintainer: Bart Martens Installed-Size: 206 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), dpkg (>= 1.15.4) | install-info Conflicts: gmessage Replaces: gmessage Provides: gmessage Homepage: http://homepages.ihug.co.nz/~trmusson/programs.html#gxmessage Priority: optional Section: gnome Filename: pool/main/g/gxmessage/gxmessage_2.20.0-1_armhf.deb Size: 50316 SHA256: dba755e3b281a6ac1d4db45804766f2d0855a81815c739e945080652e4557770 SHA1: b37f3964cfd87fd9e4fe86aed6ed171f8750e79e MD5sum: 308319264a8e9257b1771054d484226e Description: xmessage clone based on GTK+ gxmessage provides an easy way to display scriptable pop-up dialogs. Based on the GIMP Toolkit, gxmessage fits in well with desktops such as GNOME, Xfce, and ROX. Package: gxmms2 Version: 0.7.1-2 Architecture: armhf Maintainer: Florian Ragwitz Installed-Size: 175 Depends: xmms2-icon, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxmmsclient-glib1 (>= 0.6DrMattDestruction), libxmmsclient6 (>= 0.7DrNo) Recommends: xmms2 Homepage: http://wejp.k.vu/projects/xmms2/ Priority: optional Section: sound Filename: pool/main/g/gxmms2/gxmms2_0.7.1-2_armhf.deb Size: 64810 SHA256: 20137f768a59aad0dc875005d98a277e655f84d4c67cd2df68ee0846dd7f5869 SHA1: bda0b26b5d59e134aeb1b0ef32d6995d7d7a9687 MD5sum: e9a2b9bee15de41197314981f520d32f Description: XMMS2 client for the GNOME desktop gxmms2 is a GTK2-based XMMS2 client, written in C. Its main window is small and simple. It includes a playlist editor with a medialib search and an artist browser and a file information dialog. Package: gxneur Version: 0.15.0-2.1 Architecture: armhf Maintainer: Alexander GQ Gerasiov Installed-Size: 973 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libenchant1c2a (>= 1.6), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.16.0), libpango1.0-0 (>= 1.14.0), libpcre3 (>= 8.10), libx11-6, libxml2 (>= 2.6.27), libxneur (>= 0.15.0), libxneur (<< 0.15.0-99), xneur (>= 0.13.0) Homepage: http://xneur.ru Priority: optional Section: x11 Filename: pool/main/g/gxneur/gxneur_0.15.0-2.1_armhf.deb Size: 379502 SHA256: ee2d56d982d03884cad1e4067b7a9417d9717be3d76a2ea4b7ed7c92f0e22d0c SHA1: 680bfe7d8c79aacdc871c1d963f174ed2e5aae7c MD5sum: bdcfb6fd8c569e907dcee1665201cabb Description: GTK+ frontend for xneur keyboard layout switcher gXNeur runs in system tray and shows XNeur's state. It also allows one to configure XNeur via GUI dialog. . XNeur is an in-place converter of text typed in with a wrong keyboard layout. See xneur package for more info. Package: gxtuner Version: 2.0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 253 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfftw3-3, libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.1.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0), libx11-6, libzita-resampler1 Homepage: http://guitarix.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/g/gxtuner/gxtuner_2.0-2_armhf.deb Size: 42656 SHA256: 2c776e834daec8e87c66f2993a35ac4ae7be53b36162484df503f990d8228993 SHA1: 09fa62286c8333b8ab45512c4b711033d6563618 MD5sum: efeb0daaa9e155baf7682280e4480f07 Description: Tuner for Jack A simple, small and lightweight guitar/bass tuner for jack. Gxtuner comes with a analogue like interface (scale), show the tune (char) and the accumulated frequency (Hz). . It's a break out of the guitarix tuner module. Package: gyoto Version: 0.0.3-5 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 86 Depends: libc6 (>= 2.13-28), libcfitsio3 (>= 3.060), libgcc1 (>= 1:4.4.0), libgyoto0, libstdc++6 (>= 4.4.0), libxerces-c3.1 Homepage: http://gyoto.obspm.fr Priority: extra Section: science Filename: pool/main/g/gyoto/gyoto_0.0.3-5_armhf.deb Size: 22198 SHA256: 465d991be1a05d2159ee033f60332a444d6931faba1c32ef0cca1411106951cd SHA1: 653d6c79ff7bdb5600e7c3e1cd8322c77b2caa46 MD5sum: 129cca0b2fb81543074b001bb3d9ca42 Description: General relativistic ray-tracing Gyoto is a framework for computing geodesics in curved space-times. The gyoto utility program uses this framework to compute images of astronomical objects in the vicinity of compact objects (e.g. black-holes). Such images are distorted by strong gravitational lensing. The gyoto program takes a scenery description in XML format, computes this scenery using relativistic ray-tracing, and saves the result in FITS format. . More complex uses of the Gyoto framework can be achieved using the yorick-gyoto package. Gyoto can be extended with plug-ins (see libgyoto0-dev). Package: gyoto-dbg Source: gyoto Version: 0.0.3-5 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 5108 Depends: libgyoto0 (= 0.0.3-5), gyoto (= 0.0.3-5), yorick-gyoto (= 0.0.3-5) Homepage: http://gyoto.obspm.fr Priority: extra Section: debug Filename: pool/main/g/gyoto/gyoto-dbg_0.0.3-5_armhf.deb Size: 1920362 SHA256: 00d333f5152eae84f05505ce8352c55623db95b5a4a4e5b8ffdd752a7940da0d SHA1: 7fff0c5b0b2b2ee1d5c051c1af8ff392d6c972e6 MD5sum: 5e9240e02cdb9efaead140832503c533 Description: debugging symbols for gyoto, libgyoto0 and yorick-gyoto Gyoto aims at providing a framework for computing orbits and ray-traced images in General relativity. It consists in a shared library (this package), utility programs (in the gyoto package), and a plug-in for the Yorick programing language (in yorick-gyoto). . This package contains the debugging symbols for the gyoto, libgyoto0 and yorick-gyoto packages. Package: gyoto-doc Source: gyoto Version: 0.0.3-5 Installed-Size: 4336 Maintainer: Debian Science Maintainers Architecture: all Size: 714354 SHA256: 4a995a3d698b867d132c432cf9df2edfa38ab4cefe4fbbdca6a7d77545820848 SHA1: 248315630e780db366113a9f2b02d8e5beb8f570 MD5sum: fb8e56f9eb270ef7197e21251cbdfa1f Description: documentation for the Gyoto library Gyoto aims at providing a framework for computing orbits and ray-traced images in General relativity. It consists in a library (libgyoto), utility programs, and a plug-in for the Yorick programing language. . This package contains the Gyoto Reference Manual. Homepage: http://gyoto.obspm.fr Section: doc Priority: extra Filename: pool/main/g/gyoto/gyoto-doc_0.0.3-5_all.deb Package: gyp Version: 0.1~svn1395-1 Installed-Size: 900 Maintainer: Debian Chromium Maintainers Architecture: all Depends: python (>= 2.6), python-support (>= 0.90.0) Size: 226510 SHA256: 6eba8f1502b8e1353ce90d3c7878301d792a5d04dc9dcb870a9185af2b14a2ae SHA1: 37aa8c6ef9801c0ef0df252fecab94e0a4e20db0 MD5sum: 6763f2ddf8cbe85e36bb3f142a800ad8 Description: Generate Your Projects GYP is a tool to generates native Visual Studio, Xcode and SCons and/or make build files from a platform-independent input format. Its syntax is a universal cross-platform build representation that still allows sufficient per-platform flexibility to accommodate irreconcilable differences Homepage: http://code.google.com/p/gyp/ Python-Version: 2.6, 2.7 Section: python Priority: optional Filename: pool/main/g/gyp/gyp_0.1~svn1395-1_all.deb Package: gyrus Version: 0.3.10-1.1 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 631 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.22.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0) Priority: optional Section: mail Filename: pool/main/g/gyrus/gyrus_0.3.10-1.1_armhf.deb Size: 146856 SHA256: f3c7e267e442b57e0c30b79971913c30340602113d41ef9c5f9948ea24b58584 SHA1: 8f1b715a0080fd3acc291b7b26a002a56c98ae6d MD5sum: 2166e8d48bec10ee2343520222157abc Description: GNOME tool for Cyrus-IMAP servers administration It allows through a nice GUI to control user quotas, create and remove mailboxes, and to manipulate the Access Control List for each mailbox. Package: gzip Version: 1.5-1.1 Architecture: armhf Essential: yes Maintainer: Bdale Garbee Installed-Size: 224 Pre-Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Depends: dpkg (>= 1.15.4) | install-info Suggests: less Priority: required Section: utils Filename: pool/main/g/gzip/gzip_1.5-1.1_armhf.deb Size: 115558 SHA256: 76b635907ddbb5c32616f0ec5b6a2b3150e5034e6f60527e3671af27371fd634 SHA1: 3c6515123a1cca364cdc1c4ec65ce64d23687106 MD5sum: 5358035dbb1c5d992fe05999a4b08bb9 Description: GNU compression utilities This package provides the standard GNU file compression utilities, which are also the default compression tools for Debian. They typically operate on files with names ending in '.gz', but can also decompress files ending in '.Z' created with 'compress'. Package: gzip-win32 Source: gzip Version: 1.5-1.1 Installed-Size: 185 Maintainer: Bdale Garbee Architecture: all Suggests: wine Size: 96476 SHA256: a15449d92e5baeb64a78cb5108a388fa81f4cbd25018f860717d7dc6975d57fe SHA1: 98737a15f50b4bb5c8e0fd365e7cf4eeea0bddd8 MD5sum: 830b6e5be42776ae86d75fea4bafb6c8 Description: GNU compression utility (win32 build) This is a win32 version of gzip, meant to be used by the win32-loader component of Debian-Installer. Section: utils Priority: extra Filename: pool/main/g/gzip/gzip-win32_1.5-1.1_all.deb Package: gzrt Version: 0.6+ds1-1 Architecture: armhf Maintainer: Paul Wise Installed-Size: 52 Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Recommends: cpio Homepage: http://www.urbanophile.com/arenn/hacking/gzrt/ Priority: optional Section: utils Filename: pool/main/g/gzrt/gzrt_0.6+ds1-1_armhf.deb Size: 9256 SHA256: ad5cac44f48993842d4f41fc17f3b442bd8636c9903abc6806dee1c965ee6c02 SHA1: e0b4da13018339c452742b938312120682fa462b MD5sum: a70b520e86f09b3790a6dd0641dff17d Description: gzip recovery toolkit gzrecover will attempt to skip over corrupted data in a gzip archive, thereby allowing the remaining data to be recovered. . Please install cpio to facilitate recovery from damaged gzipped tarballs. Package: h5utils Version: 1.12.1-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 938 Depends: libc6 (>= 2.13-28), libhdf4-0, libhdf5-7, libjpeg8 (>= 8c), libmatheval1 (>= 1.1.3), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4) Recommends: hdf5-tools Suggests: octave Homepage: http://ab-initio.mit.edu/h5utils/ Priority: optional Section: science Filename: pool/main/h/h5utils/h5utils_1.12.1-2_armhf.deb Size: 287680 SHA256: 241ffeda45cd70de00939a0c24273daec34457f10fd4c1f5c6eaa517d2afdc38 SHA1: ed6fdd9d56cd901cdb943db5b50850a850e19f0f MD5sum: 706ff5945c1dfd133ea0b39f0907cf3a Description: HDF5 files visualization tools HDF5 (Hierarchical Data Format 5) is a file format for storing scientific data. These tools allow to convert other formats to HDF5 and to visualize HDF5 files. They include: - h5topng, which extracts a 2d slice of an HDF5 file and outputs a corresponding image in PNG format; - h5totxt, which extracts 2d slices and outputs comma-delimited text (suitable for import into a spreadsheet); - h5fromtxt, which converts simple text input into multi-dimensional numeric HDF5 datasets; - h5fromh4, which converts HDF4 data to HDF5; - h5tovtk, which converts HDF5 files to VTK files for visualization with VTK-aware programs; - h5read, a plugin for the Octave numerical language. Package: ha Version: 0.999p+dfsg-3 Architecture: armhf Maintainer: Mikhail Gusarov Installed-Size: 90 Depends: libc6 (>= 2.4) Priority: optional Section: utils Filename: pool/main/h/ha/ha_0.999p+dfsg-3_armhf.deb Size: 33464 SHA256: be9a47f54ac1890ba89ee50d1ecf351050afb703c7398b63f216aa612eb2939d SHA1: ecc292bcbddfafc8466606c283b1fa36f8d45ebd MD5sum: 1fb0ba7a4d34ddb93848eb3b6a490523 Description: archiver for .ha files HA is a file archiver using HSC (arithmetic/Markov algorithms) compression method. . Mainly useful for decompressing existing .ha archives from DOS era. Package: haci Version: 0.97c-2 Installed-Size: 1305 Maintainer: TANIGUCHI Takaki Architecture: all Depends: libcgi-ajax-perl, libcgi-session-perl, libclass-accessor-perl, libclass-makemethods-perl, libconfig-general-perl, libdbd-mysql-perl, libdigest-md5-perl, libdigest-sha-perl, libfile-temp-perl, libhtml-parser-perl, liblocale-gettext-perl, liblog-loglite-perl, libmath-bigint-perl, libnet-cidr-perl, libnet-ipv6addr-perl, libnet-snmp-perl, libstorable-perl, libtemplate-perl, libtime-local-perl Suggests: libcache-fastmmap-perl, libcache-cache-perl, libdns-zoneparse-perl, libio-socket-inet6-perl, libmath-bigint-gmp-perl, libnet-dns-perl, libnet-ping-perl, libpod-wsdl-perl, libsoap-lite-perl, libsql-translator-perl, libtext-csv-xs-perl, libapache-dbi-perl Size: 514300 SHA256: 2e52e057241c806e66abab20b9b9c49c5d6387c06cf34468e3de7b9d7e6914c2 SHA1: 1f4065b0f6864b2ea14874e705a5bfb71b9b7abe MD5sum: 9fa9495d659bd215765234343781c935 Description: web based IP(v6) address and network administration tool HaCi is an IP Address / Network Administration Tool with IPv6 support. It stores its data efficiently in a relational database and uses a treelike structure to illustrate supernets and subnets. Additional data can be linked to each network following user defined templates. Homepage: http://haci.larsux.de/ Section: admin Priority: extra Filename: pool/main/h/haci/haci_0.97c-2_all.deb Package: hal Version: 0.5.14-8 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 1303 Depends: libblkid1 (>= 2.16), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libhal-storage1 (>= 0.5.11~rc2), libhal1 (>= 0.5.10), libusb-0.1-4 (>= 2:0.1.12), adduser, pciutils, usbutils, udev (>= 143), dbus (>= 0.61), lsb-base (>= 3.2-14), hal-info (>= 20070402), mount (>= 2.13) Recommends: eject, pm-utils, consolekit (>= 0.3) Breaks: xserver-xorg (<< 1:7.6+8) Homepage: http://hal.freedesktop.org/ Priority: optional Section: admin Filename: pool/main/h/hal/hal_0.5.14-8_armhf.deb Size: 453488 SHA256: 1ca2fc88711277e113b6481bfbb13ff73ca308ea513602e67126a8548f826df4 SHA1: a0e8caea8173b28722074b3056cf768f339c1f0c MD5sum: 21d8f126d698dd536e30730c9ead3696 Description: Hardware Abstraction Layer HAL provides an abstract view on hardware. . This abstraction layer is simply an interface that makes it possible to add support for new devices and new ways of connecting devices to the computer, without modifying every application that uses the device. It maintains a list of devices that currently exist, and can provide information about those upon request. Package: hal-doc Source: hal Version: 0.5.14-8 Installed-Size: 930 Maintainer: Utopia Maintenance Team Architecture: all Suggests: devhelp Size: 207878 SHA256: 1947d7d52beb0fcc31baabc1b005b62f91a001abdf841076ac651bff44f312b0 SHA1: ebbe0109cb65f80cd0b301b39fa46afd08a7ae4b MD5sum: 508049509f008b2c20173fb311942fed Description: Hardware Abstraction Layer - documentation HAL provides an abstract view on hardware. . This abstraction layer is simply an interface that makes it possible to add support for new devices and new ways of connecting devices to the computer, without modifying every application that uses the device. It maintains a list of devices that currently exist, and can provide information about those upon request. . This package contains the API documentation for HAL. Homepage: http://hal.freedesktop.org/ Tag: admin::hardware, devel::doc, hardware::detection, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/h/hal/hal-doc_0.5.14-8_all.deb Package: hal-info Version: 20091130-1 Installed-Size: 492 Maintainer: Utopia Maintenance Team Architecture: all Replaces: hal (<< 0.5.9) Conflicts: hal (<< 0.5.10) Size: 49728 SHA256: cb8efa4ac9a21538f4bc68834d93c0ea595f0d442850e5714784a6c17dd01621 SHA1: 20fa16fef336e75a76e7c9f9498ff848133851bb MD5sum: 891e4920327871cfdc62689d01f78ba9 Description: Hardware Abstraction Layer - fdi files HAL provides an abstract view on hardware. . This abstraction layer is simply an interface that makes it possible to add support for new devices and new ways of connecting devices to the computer, without modifying every application that uses the device. It maintains a list of devices that currently exist, and can provide information about those upon request. . This package contains various device information files (also known as .fdi files) for the hal package. These fdi files contain additional information that help to describe the hardware more detailed, such as suspend/resume quirks for laptops, music player or photo camera types etc. Homepage: http://hal.freedesktop.org/ Tag: admin::hardware, hardware::detection, made-of::xml, role::app-data Section: admin Priority: optional Filename: pool/main/h/hal-info/hal-info_20091130-1_all.deb Package: halevt Version: 0.1.6.2-2 Architecture: armhf Maintainer: Marcos Talau Installed-Size: 255 Depends: libboolstuff-0.1-0, libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.12.0), libhal1 (>= 0.5.14-4), libxml2 (>= 2.7.4), debconf (>= 0.5) | debconf-2.0, adduser, passwd, hal (>= 0.5.14-4), dpkg (>= 1.15.4) | install-info, lsb-base (>= 3.0-6) Homepage: http://www.nongnu.org/halevt Priority: optional Section: admin Filename: pool/main/h/halevt/halevt_0.1.6.2-2_armhf.deb Size: 72274 SHA256: 1ffe0e0ceaca0ac03e510141c114cee6e35bc2f87b84fcd68cb35957f2f921b5 SHA1: e915672b2cc930186ac6afe2295175de78af2bba MD5sum: 450a38e3c76a62f626965e6ea9dbfa1f Description: generic handler for HAL events halevt is a daemon that acts as a policy agent on top of the Hardware Abstraction Layer. It listens to HAL events and reacts with user-configurable actions. halevt is a reimplementation of the ivman project. . Among other things, halevt is useful as an automount daemon that will mount removable devices, but with a much smaller set of dependencies than tools such as gnome-volume-manager. Package: halibut Version: 1.0+svn20090906-6 Architecture: armhf Maintainer: Colin Watson Installed-Size: 1353 Depends: libc6 (>= 2.13-28), dpkg (>= 1.15.4) | install-info Enhances: enscript, vim Homepage: http://www.chiark.greenend.org.uk/~sgtatham/halibut.html Priority: optional Section: text Filename: pool/main/h/halibut/halibut_1.0+svn20090906-6_armhf.deb Size: 724038 SHA256: defd7d789c6531cbf1db6f939ee28d8d3d607dabff12bd0b40b10c11709033b2 SHA1: ed1aefdb84d3c82780f4a8db9e2224abd43bf05b MD5sum: f590bfc6b36452d54ebbb6beefb6fa6e Description: yet another free document preparation system This is yet another text formatting system, intended primarily for writing software documentation. It accepts a single source format and outputs any or all of text, HTML, Windows Help, man pages, GNU info, PostScript, or PDF. It has comprehensive indexing and cross-referencing support, and generates hyperlinks within output documents wherever possible. It supports Unicode, with the ability to fall back to an alternative representation when Unicode output is not available. Package: hama-slide-mouse-control Version: 1.0-2 Architecture: armhf Maintainer: Richard Atterer Installed-Size: 78 Depends: libc6 (>= 2.13-28), libusb-0.1-4 (>= 2:0.1.12) Priority: extra Section: utils Filename: pool/main/h/hama-slide-mouse-control/hama-slide-mouse-control_1.0-2_armhf.deb Size: 14868 SHA256: 3e8dc22803b3998164a7d2d24dcedf29783bb8a759dfe9425888d55a7e49852b SHA1: 90bb78aa967fdd44596b3510928c57f5f01f6ed9 MD5sum: 0afd974d620de431378e6a21f2a8e3a4 Description: Control the DPI setting and thumb buttons of a Hama SLide S1 gaming mouse This command-line program allows you to control a Hama SLide S1 mouse connected to the USB port. You can switch between its three modes: Either thumb button 1 and the scroll wheel select the DPI setting, or a fixed DPI setting is used, or the two thumb buttons toggle between two DPI settings. The colour of the mouse changes according to the current setting. This package also aids you in configuring udev to apply your favourite settings whenever the mouse is plugged in or the machine boots. . Homepage: http://atterer.net/hama-slide-mouse-control/ Package: hamexam Version: 1.2.0-1 Installed-Size: 1125 Maintainer: Debian Hamradio Maintainers Architecture: all Depends: eog Size: 643730 SHA256: 4d5e790a8e5d5ed8ef7678c2b066835c23929a1743a83afc39b331451937ebe4 SHA1: 91e6d3737daf1a332fcd209c8926d5047ed10f15 MD5sum: c342f63b072742763f8a3edf7860b854 Description: Study tool for USA FCC amateur radio (ham) exams. hamexam is an interactive study tool for the 3 USA FCC amateur radio (ham radio) question pools. The 3 question pools are: t element 2, Technician Class (entry level), g element 3, General Class (also requires element 2), e element 4, Extra Class (also requires elements 2 and 3). Questions are chosen randomly from the selected pool. Incorrect answers cause the question to be asked again later. Licenses are issued by the FCC, but exams are conducted by Volunteer Examiners. For more information about USA amateur radio licensing: http://www.arrl.org/licensing-preparation-exams Tag: hardware::hamradio, role::program Section: hamradio Priority: extra Filename: pool/main/h/hamexam/hamexam_1.2.0-1_all.deb Package: hamfax Version: 0.8.1-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 267 Depends: libasound2 (>= 1.0.16), libaudiofile1 (>= 0.3.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhamlib2 (>= 1.2.15.1), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://hamfax.sourceforge.net/ Priority: optional Section: hamradio Filename: pool/main/h/hamfax/hamfax_0.8.1-1_armhf.deb Size: 94948 SHA256: 75a12825ad8d208fa7f457c50e0ddc9345461f5d9b9521adcec188b6b98003ca SHA1: 3cb5e560083d36f8ee5c7d260ba51faf05ecfa38 MD5sum: 5c48ec10c9b607fe02197f3d744c6a04 Description: Receive/send radio facsimile transmissions with Soundcard/PTC-II With this package you can send or receive radio facsimile transmissions using either a Linux-supported soundcard or an SCS PTC-II data controller. . Radio facsimile transmission are used most commonly by meteorological bureaus to provide weather maps to aircraft and shipping. Package: haml-elisp Version: 1:3.0.15-4 Installed-Size: 102 Maintainer: Gastón Ramos Architecture: all Depends: emacs23 Recommends: ruby-haml Size: 12094 SHA256: 43bc2643bdd54fad84e267ab236318563dfd33fb8d90fcba7e351c7cd3fd8106 SHA1: 11d3819a361807b2d2fe9fdfac01293c28ff578a MD5sum: 28b762093a3a4cbb21d0d39d9e1181bb Description: Emacs Lisp mode for the Haml markup language This package provides the emacs-lisp for syntax-highlighting Haml mode for emacs23. Haml (see haml-ruby) is a markup language designed to simplify and beautify the maintenance and ease of writing HTML templates. Homepage: http://haml-lang.com/ Section: lisp Priority: optional Filename: pool/main/h/haml-elisp/haml-elisp_3.0.15-4_all.deb Package: hamradiomenus Version: 1.2+nmu1 Installed-Size: 36 Maintainer: Debian Hamradio Maintainers Architecture: all Depends: extra-xdg-menus (>= 1.0-2) Conflicts: hamradio-menus Size: 2620 SHA256: 46cd680cb6e2f1b32d50e21095b537d51c41e9154c2b38a2f567d4ef19bfab3a SHA1: 9b3a77c7cdfea4d06bb85504901e9d588d3bdee3 MD5sum: 8cb096772262a6fa86751ad9b3bc832f Description: hamradio menus for GNOME and KDE This package creates a hamradio submenu for GNOME and KDE along with a nice icon. . This is a dummy package which has been replaced by extra-xdg-menus. You can safely remove it from your system once extra-xdg-menus is installed. Tag: hardware::hamradio, role::app-data Section: hamradio Priority: optional Filename: pool/main/h/hamradiomenus/hamradiomenus_1.2+nmu1_all.deb Package: hamster-applet Version: 2.91.3+git20120514.b9fec3e1-1 Installed-Size: 2883 Maintainer: Debian GNOME Maintainers Architecture: all Depends: gconf2 (>= 2.28.1-2), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-cairo, python-gtk2 (>= 2.12.0), python-gconf, python-dbus, python-gobject-2 (>= 2.14.0), python-wnck, python-gnome2, python-xdg Recommends: python-notify, gnome-icon-theme Suggests: python-evolution Size: 507488 SHA256: 9029fcb3a16ee1fd8bc60eaa3c16b0c406815015706d875f8ac7e3455b4a15b5 SHA1: ebdcb7762bb3039a43f0c7035cbf80eea2900c28 MD5sum: 92aecc1231ba29f35126d62282c19203 Description: time tracking applet for GNOME Project Hamster helps you to keep track of how much time you spend on various activities during the day. Whenever you move from one task to another, you change your current activity in the GNOME applet. . It can present graphical statistics of how long you have spent on each task, and may be useful for project management or keeping employee timesheets. Homepage: http://live.gnome.org/ProjectHamster Tag: implemented-in::python, interface::x11, role::program, uitoolkit::gtk, x11::applet Section: gnome Priority: optional Filename: pool/main/h/hamster-applet/hamster-applet_2.91.3+git20120514.b9fec3e1-1_all.deb Package: handlersocket-doc Source: handlersocket Version: 1.1.0-7-g1044a28-1 Installed-Size: 63 Maintainer: Clint Byrum Architecture: all Size: 18600 SHA256: 2731ea4222b305465256c6b7062174ff72fd86dbe8e497f1064bf9c717ec43f5 SHA1: 41603c168cefcc1e25e8fa7bed5ee940ba9cc8e0 MD5sum: 8bb3aa2499fd188bdabd1f9daa87452e Description: HandlerSocket command line client HandlerSocket is a NoSQL plugin for MySQL. It works as a daemon inside the mysqld process, accept tcp connections, and execute requests from clients. HandlerSocket does not support SQL queries. Instead, it supports simple CRUD operations on tables. . This package contains extra documentation for the plugin and libraries. Homepage: https://github.com/DeNADev/HandlerSocket-Plugin-for-MySQL Tag: devel::doc, devel::lang:sql, role::documentation Section: doc Priority: extra Filename: pool/main/h/handlersocket/handlersocket-doc_1.1.0-7-g1044a28-1_all.deb Package: handlersocket-mysql-5.5 Source: handlersocket Version: 1.1.0-7-g1044a28-1 Architecture: armhf Maintainer: Clint Byrum Installed-Size: 2255 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.6), mysql-server-core-5.5 Recommends: handlersocket-doc Breaks: handlersocket-mysql-5.1 Replaces: handlersocket-mysql-5.1 Homepage: https://github.com/DeNADev/HandlerSocket-Plugin-for-MySQL Priority: extra Section: database Filename: pool/main/h/handlersocket/handlersocket-mysql-5.5_1.1.0-7-g1044a28-1_armhf.deb Size: 736024 SHA256: 63e03f95ecf4027c80829d2b54240f59bbef0fc32e55584187b94942445abff4 SHA1: 3ae4c4ae547697001818c626681c2e6a8b058b56 MD5sum: f9b67407d4bf94f22635d68c438f7645 Description: HandlerSocket plugin for MySQL 5.5 HandlerSocket is a NoSQL plugin for MySQL. It works as a daemon inside the mysqld process, accept tcp connections, and execute requests from clients. HandlerSocket does not support SQL queries. Instead, it supports simple CRUD operations on tables. Package: hannah Version: 1.0-2 Architecture: armhf Maintainer: Margarita Manterola Installed-Size: 120 Depends: hannah-data (= 1.0-2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Homepage: http://www.retrojunkies.co.uk/index.php?page=game Priority: extra Section: games Filename: pool/main/h/hannah/hannah_1.0-2_armhf.deb Size: 35332 SHA256: 3e66adf9086ded6dd05f566ab0fa96f1a18562cbbb38c5c3eeb9a4ddbe73b6b6 SHA1: be391440aee9337d8f140ffaf434d301a757777a MD5sum: e57b9628e040326c193a9cb419ee764e Description: pacman-like game, child oriented Help Hannah's Horse is like a cross between Pacman and the Dizzy game "Fastfood". The objective is to move Hannah to collect the pills around the maze while avoiding the ghosts. Moving around the maze there are also carrots which Hannah must also collect in order to complete the level. Package: hannah-data Source: hannah Version: 1.0-2 Installed-Size: 4020 Maintainer: Margarita Manterola Architecture: all Size: 3471552 SHA256: 476ea4957e867ee5c944083912001c6e9770347e1837a4fb873992544372cacf SHA1: 3c66eefc410c206f73d4c48ad9d99f89a4e78d16 MD5sum: 836af8ec5d499fac1a345e40e7dc75a5 Description: pacman-like game, child oriented - data files Help Hannah's Horse is like a cross between Pacman and the Dizzy game "Fastfood". The objective is to move Hannah to collect the pills around the maze while avoiding the ghosts. Moving around the maze there are also carrots which Hannah must also collect in order to complete the level. . This package contains the data files for the game. Homepage: http://www.retrojunkies.co.uk/index.php?page=game Tag: role::app-data Section: games Priority: extra Filename: pool/main/h/hannah/hannah-data_1.0-2_all.deb Package: hapm Version: 0.7-1 Architecture: armhf Maintainer: Joao Eriberto Mota Filho Installed-Size: 65 Depends: libc6 (>= 2.4), heartbeat Enhances: heartbeat Homepage: http://hapm.sourceforge.net Priority: optional Section: admin Filename: pool/main/h/hapm/hapm_0.7-1_armhf.deb Size: 10436 SHA256: b748e645c2036f899dc7187b45fd77d928a79dc9e2e54d80a6cde90628a7358a SHA1: 6c205f9c144b2f45ed657cc68b4ea12efd9c15ff MD5sum: 3511c9555cd047dfb3aef7a5d5b352b0 Description: light and fast high availability port monitor High Availability Port Monitor (HAPM) is an application to check the local ports status. It is a simple, light and fast daemon to check TCP/UDP ports. . HAPM works with Heartbeat daemon. Heartbeat is an essential element used in high availability projects. . If one or more monitored ports (per IP) go down then the primary Heartbeat will be killed by HAPM and the secondary host will take over virtual IP. Package: hapolicy Source: postfwd Version: 1.32-2 Installed-Size: 132 Maintainer: Jan Wagner Architecture: all Depends: perl Size: 84156 SHA256: 704461010e20ea3f36fc9628e157da8ba933d3213deadf702c1d9d62bce76fc9 SHA1: b98aac5b13e3cf02cab4377804f342e48e6a324e MD5sum: dbdb20698bb0cdfba67988158ba70a74 Description: Balancing and fallback postfix policy delegation service Hapolicy enables high availability, weighted loadbalancing and a fallback action for postfix policy delegation services. Invoked via postfix spawn it acts as a wrapper that queries other policy servers via tcp connection. The order of the service queries can be influenced by assigning a specific priority and weight to each service. Homepage: http://www.postfwd.org/ Section: mail Priority: optional Filename: pool/main/p/postfwd/hapolicy_1.32-2_all.deb Package: happy Version: 1.18.9-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 6623 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: haskell-doc, info-browser Replaces: ghc-cvs (<< 20031221) Homepage: http://www.haskell.org/happy Priority: extra Section: haskell Filename: pool/main/h/happy/happy_1.18.9-1_armhf.deb Size: 1553166 SHA256: a0c843346c8f53f1418c7d6a0aa4b51be7fbfb43cf578a18274f08c4aab917f4 SHA1: 4a1f6d551f3461fcb8db97bcd8cff63b09f42ca6 MD5sum: 224fe05f52f68809bb391b456ad13cfb Description: Parser generator for Haskell Happy is a parser generator system for Haskell, similar to the tool `yacc' for C. Like `yacc', it takes a file containing an annotated BNF specification of a grammar and produces a Haskell module containing a parser for the grammar. . Happy is flexible: you can have several Happy parsers in the same program, and several entry points to a single grammar. Happy can work in conjunction with a lexical analyser supplied by the user (either hand-written or generated by another program), or it can parse a stream of characters directly (but this isn't practical in most cases). Package: haproxy Version: 1.4.15-1 Architecture: armhf Maintainer: Christo Buschek Installed-Size: 834 Depends: libc6 (>= 2.5), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), adduser Homepage: http://haproxy.1wt.eu/ Priority: optional Section: net Filename: pool/main/h/haproxy/haproxy_1.4.15-1_armhf.deb Size: 534212 SHA256: 17fab6cc9f950e96deddc94f5ce61deed91c339955af81b2549cecefb8487af5 SHA1: bdd84d211c34312714b395ae2ccb8876aa20763c MD5sum: 7cc8471935d13d74fc5c054edb2a378b Description: fast and reliable load balancing reverse proxy HAProxy is a TCP/HTTP reverse proxy which is particularly suited for high availability environments. It features connection persistence through HTTP cookies, load balancing, header addition, modification, deletion both ways. It has request blocking capabilities and provides interface to display server status. Package: harden Version: 0.1.38+nmu1 Installed-Size: 39 Maintainer: Ola Lundqvist Architecture: all Depends: harden-environment, harden-servers, debconf (>= 1.2.0) Recommends: harden-tools Suggests: sudo, harden-clients, harden-nids, harden-remoteaudit, harden-surveillance, harden-doc Size: 11094 SHA256: dde2ee824a39896c65c78df6e0247225acb3f815a69ad29f81ef4428c0f0a7a6 SHA1: 66857b89133d99956aa91d22b155a1f499d5d1f2 MD5sum: 5a0918978dfe82ea1f2569d7d47ed1af Description: Makes your system hardened This package is intended to help the administrator to improve the security of the system, or at least make the host less susceptible. . NOTE! This package will not make your system uncrackable, and it is not intended to do so. Making your system secure involves a LOT more than just installing a package. You are recommended to read at least some documents in addition to installing this package. . There is a LOT of information available on making your system more secure. A good place to start is with the harden-doc package or at http://www.debian.org/doc/manuals/securing-debian-howto/ Tag: role::metapackage Section: admin Priority: extra Filename: pool/main/h/harden/harden_0.1.38+nmu1_all.deb Package: harden-clients Source: harden Version: 0.1.38+nmu1 Installed-Size: 54 Maintainer: Ola Lundqvist Architecture: all Depends: debconf (>= 1.2.0) Suggests: openssh-client Conflicts: ftp-upload, gcjwebplugin, svncviewer, telnet, x2vnc Size: 13952 SHA256: b751dec55d577b7ac2ce40b26e518c2f0186d33ee90537a217a9e4b59491ad84 SHA1: ba49e5ee7fd19b24ed18e21ee84b0ef8f900d58c MD5sum: 3d0af0967a1b5adcee763a60c088c6b2 Description: Avoid clients that are known to be insecure Harden-clients gives the administrator an easy way to avoid installing clients that are insecure in some sense. It conflicts with: clients that need to send passwords in plaintext, and packages that can give someone access to the local host without permission. . NOTE! This package will not make your system uncrackable, and it is not intended to do so. Making your system secure involves a LOT more than just installing a package. . For more information on how to secure your system see: http://www.debian.org/doc/manuals/securing-debian-howto/ Tag: network::client, role::metapackage, role::program Section: admin Priority: extra Filename: pool/main/h/harden/harden-clients_0.1.38+nmu1_all.deb Package: harden-development Source: harden Version: 0.1.38+nmu1 Installed-Size: 30 Maintainer: Ola Lundqvist Architecture: all Recommends: rats Size: 6562 SHA256: 39916bdff81619fd0794048243d8809ce767fde783b3ee7592b724f5d80a20d7 SHA1: ab775447d59d48f01bd4274672a36a6594f8b4ad MD5sum: a212997122c8907d077f8a7c2992bf2f Description: Development tools for creating more secure programs This package helps you to install tools that can be useful in order to create better programs in the context of security. . Such tools need knowledge from the program author so it will not automatically make your programs better. Tag: devel::testing-qa, role::metapackage Section: admin Priority: extra Filename: pool/main/h/harden/harden-development_0.1.38+nmu1_all.deb Package: harden-doc Version: 3.15.1 Installed-Size: 8599 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Size: 5257980 SHA256: ca08ab36d6964e06ae54dd6af51fcf2ca4a21dfdefd680287cbd21e01605023b SHA1: c82d56b164b102b562331d339c7a419f6a811d7e MD5sum: e21e33eb7333b074ed7fda1a18f32530 Description: Useful documentation to secure a Debian system Harden-doc will install documentation an administrator can use to make a Debian system more secure. It currently provides the 'Securing Debian Manual' from the Debian Documentation Project. . The manual is provided both in English and in all available translations, which might not be, however, fully up-to-date. Available translations include: French and German. Homepage: http://www.debian.org/doc/manuals/securing-debian-howto/ Tag: culture::french, culture::german, culture::italian, culture::japanese, culture::russian, culture::spanish, role::data, role::documentation, use::learning Section: doc Priority: extra Filename: pool/main/h/harden-doc/harden-doc_3.15.1_all.deb Package: harden-environment Source: harden Version: 0.1.38+nmu1 Installed-Size: 30 Maintainer: Ola Lundqvist Architecture: all Depends: debsums | samhain | integrit | tripwire | aide | ids, sash | osh Recommends: logcheck, checksecurity Suggests: harden-nids, sudo, debsums, samhain, integrit, tripwire, aide, ids, sash, osh, libsafe Size: 6766 SHA256: 87907ee9087c357844bf3c2de0b55c3dba32553d506c57b4ecb385334b3e4e9d SHA1: ab027505644a54da17ba2039fcc1ecc54acea344 MD5sum: 5296257d0b12906db2b8a0809c121031 Description: Hardened system environment Harden-environment provides a hardened system environment, or at least helps the administrator to configure such an environment. . Right now this includes packages for local intrusion detection. . NOTE! This package will not make your system uncrackable, and it is not intended to do so. Making your system secure involves a LOT more than just installing a package. . For more information on how to secure your system see: http://www.debian.org/doc/manuals/securing-debian-howto/ Tag: role::metapackage, security::ids Section: admin Priority: extra Filename: pool/main/h/harden/harden-environment_0.1.38+nmu1_all.deb Package: harden-nids Source: harden Version: 0.1.38+nmu1 Installed-Size: 30 Maintainer: Ola Lundqvist Architecture: all Depends: snort | ntop Recommends: logcheck Size: 6622 SHA256: c9351682230ae8c42fb1d1bb4fc3096f0e9eb8f076e456ec453075a676057c9d SHA1: 7f3e9abe868fe94ed808c6de3e6091044389f87b MD5sum: ed1e1a136ebdd2cea981b114ba55e32d Description: Harden a system by using a network intrusion detection system This package helps you to install a network intrusion detection system. A network intrusion detection system is a tool that analyzes network packets and logs anomalies or known crack attempts. . NOTE! Network intrusion detection systems do not find all attempts to crack your system. They can also be pretty hard to set up so please read more about this before you start the process. Tag: role::metapackage, security::ids Section: admin Priority: extra Filename: pool/main/h/harden/harden-nids_0.1.38+nmu1_all.deb Package: harden-remoteaudit Source: harden Version: 0.1.38+nmu1 Installed-Size: 30 Maintainer: Ola Lundqvist Architecture: all Suggests: openvas-scanner | openvas-server, openvas-client, nagios3, dsniff, harden-nids, ettercap Size: 6846 SHA256: d14fababb75148092b387386cc272583b9e6b1488f6b6f0afed773a8eb2ad9a4 SHA1: 2f33ee5673b6e6e801ca98c5d960007e4a24ee3b MD5sum: 5dff67abe900c89a4b088fdcfb2059fd Description: Audit your remote systems from this host This package helps you to install a set of tools to check remote systems, sniff for passwords and more. Observe that this kind of activity can be illegal so you have to check if you are authorized to do so in the environment where you install this package. . You can check exploits, sniff for passwords and similar things. . Nessus note: You have to have the nessus client installed on some host. The client is provided by the 'nessus' package. You can install it on the same host but that is not necessary. . NOTE! This package includes packages that can damage the system that you audit. It should ONLY be used to audit hosts, networks or systems that you are allowed to audit. I repeat: it can damage the hosts that are checked. You have been warned! Tag: network::scanner, role::metapackage Section: admin Priority: optional Filename: pool/main/h/harden/harden-remoteaudit_0.1.38+nmu1_all.deb Package: harden-servers Source: harden Version: 0.1.38+nmu1 Installed-Size: 88 Maintainer: Ola Lundqvist Architecture: all Depends: debconf (>= 1.2.0) Conflicts: bidentd, bsd-ftpd, cfingerd, cyrus-imapd, efingerd, ffingerd, fingerd, ftpd, gidentd, ident2, lukemftpd, mdidentd, midentd, muddleftpd, netkit-rpc, nfs-kernel-server, nfs-user-server, oftpd, oidentd, pawserv, pidentd, portmap, proftpd-base, pyftpd, remstats-servers, rsh-server, rstartd, rusersd, rwalld, sendmail-bin, talkd, telnetd, uw-imapd, vsftpd, wu-ftpd, xfingerd Size: 22706 SHA256: f60776c6ea08e956fd4af0fb41760ca9bd8333dd214a1fbc9d0256e8dd8c25a4 SHA1: 39b4e8f0449a682b3ab2d19defab4c35d268c3bd MD5sum: d49547bea68da2a9d76e82d2d5d17472 Description: Avoid servers that are known to be insecure Harden-servers gives the administrator an easy way to avoid servers that are insecure in some sense. It conflicts with: servers that need passwords in plaintext, packages that can give someone access to the local host without permission, and packages that give system information to remote users. . NOTE! This package will not make your system uncrackable, and it is not intended to do so. Making your system secure involves a LOT more than just installing a package. . For more information on how to secure your system see: http://www.debian.org/doc/manuals/securing-debian-howto/ Tag: network::server, role::metapackage, role::program Section: admin Priority: extra Filename: pool/main/h/harden/harden-servers_0.1.38+nmu1_all.deb Package: harden-surveillance Source: harden Version: 0.1.38+nmu1 Installed-Size: 30 Maintainer: Ola Lundqvist Architecture: all Depends: nagios3 | nagios2 | nagios | netsaint Size: 6552 SHA256: 95bafce1addae3451791387a0050023ce92e6ac3381f32617035dc95c3831767 SHA1: 2352bc458ff6c50328109e22a4563274ab8dcb67 MD5sum: 7acb82ef1425c92cbd5ef17e283d993d Description: Check services and/or servers automatically This package helps you to install tools for active network surveillance. Surveillance is the process of constant monitoring of networks and services to check that they work as expected. Tag: role::metapackage, use::monitor Section: admin Priority: extra Filename: pool/main/h/harden/harden-surveillance_0.1.38+nmu1_all.deb Package: harden-tools Source: harden Version: 0.1.38+nmu1 Installed-Size: 30 Maintainer: Ola Lundqvist Architecture: all Suggests: john, gnupg, bastille, tiger Size: 6654 SHA256: 2782fe80f409af0bd43096346f9dad3da3fce0b6f42c5d1345f35138573e2a5e SHA1: 30fc3154b4721eb83b75dd4bcf96cf7fb9009e8a MD5sum: 47d594390c18bf48880218ba42833762 Description: Tools to enhance or analyze the security of the local system Harden-tools helps you to install tools that the administrator can use to enhance the security of the local system in some way. . NOTE! This package will not make your system uncrackable, and it is not intended to do so. Making your system secure involves a LOT more than just installing a package. . For more information on how to secure your system see: http://www.debian.org/doc/manuals/securing-debian-howto/ Tag: role::metapackage Section: admin Priority: extra Filename: pool/main/h/harden/harden-tools_0.1.38+nmu1_all.deb Package: hardening-includes Source: hardening-wrapper Version: 2.2 Installed-Size: 70 Maintainer: Package Hardening Architecture: all Depends: perl, make, binutils Size: 17624 SHA256: 66f24a5a74bbbcdd9175a9e85a9759481801165b1b3f803273f25b2708676736 SHA1: f223e93d7893a0337dc1f18f8e9c768b16009e4e MD5sum: aeab963606a6e60378e858e2f10ec15f Description: Makefile for enabling compiler flags for security hardening Makefile to be included in Debian rules files. CFLAGS and LDFLAGS can be extended to include the respective HARDENING_* variables which contain architecture-validated security hardening compiler options. . Also includes the "hardening-check" script to help evaluate the hardening status of already compiled binaries. Multi-Arch: foreign Homepage: http://wiki.debian.org/Hardening Section: devel Priority: optional Filename: pool/main/h/hardening-wrapper/hardening-includes_2.2_all.deb Package: hardening-wrapper Version: 2.2 Architecture: armhf Maintainer: Package Hardening Installed-Size: 70 Depends: gcc | g++, perl Multi-Arch: foreign Homepage: http://wiki.debian.org/Hardening Priority: optional Section: devel Filename: pool/main/h/hardening-wrapper/hardening-wrapper_2.2_armhf.deb Size: 13504 SHA256: 3e18e66e38206c0e339b7cf163e48ebe8918147313221ad37727e025528ff068 SHA1: fc1cbb653752a1a71e0056e2d28e983b4fb8f03b MD5sum: 0100e0723ed4bb5e9770569a248e8e14 Description: Compiler wrapper to enable security hardening flags Replaces gcc, g++, and ld with wrapper scripts that set security hardening compilation flags, as an alternative to changing gcc specs. Enabled when DEB_BUILD_HARDENING=1 is set. Package: hardinfo Version: 0.5.1-1.2 Architecture: armhf Maintainer: Agney Lopes Roth Ferraz Installed-Size: 484 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libpcre3 (>= 8.10), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4), pciutils (>= 1:2.1.11-10) Suggests: mesa-utils Priority: optional Section: x11 Filename: pool/main/h/hardinfo/hardinfo_0.5.1-1.2_armhf.deb Size: 245094 SHA256: ad2ed6eb075b68c4d656622a02067356dece66be8c6643d16128cd9887882646 SHA1: 53dffef977faa50ffa7f68d924964f1140acbd66 MD5sum: ea2ac4e7d349210137a05bcc8e1bf008 Description: Displays system information HardInfo is a small application that displays information about your hardware and operating system. Currently it knows about PCI, ISA PnP, USB, IDE, SCSI, Serial and parallel port devices. Package: hardlink Version: 0.2.0 Architecture: armhf Maintainer: Julian Andres Klode Installed-Size: 57 Depends: libc6 (>= 2.13-28), libpcre3 (>= 8.10) Homepage: http://jak-linux.org/projects/hardlink/ Priority: optional Section: utils Filename: pool/main/h/hardlink/hardlink_0.2.0_armhf.deb Size: 12420 SHA256: 4205018c8ab7bde6e8172ede340ed9eabfa240fd8059db272c5be65a969b5013 SHA1: cb54ee94beac916bbf10ac8e040c90fbfae0d2ca MD5sum: e4d80dee633afe7beb64335b2fd0106e Description: Hardlinks multiple copies of the same file Hardlink is a tool which detects multiple copies of the same file and replaces them with hardlinks. Amongst other things, it can be used to merge identical, duplicate files in backup trees and save space. . The idea has been taken from http://code.google.com/p/hardlinkpy/, but the code has been written from scratch and licensed under the MIT license. Package: harminv Version: 1.3.1-9 Architecture: armhf Maintainer: Thorsten Alteholz Installed-Size: 63 Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), libharminv2 (>= 1.3.1), liblapack3 | liblapack.so.3 | libatlas3-base, libstdc++6 (>= 4.1.1) Homepage: http://ab-initio.mit.edu/wiki/index.php/Harminv Priority: optional Section: science Filename: pool/main/h/harminv/harminv_1.3.1-9_armhf.deb Size: 22028 SHA256: 82e7115290f55115c2fb22091b861f6dbb5bbf4f12a0f362ab6459225ca44484 SHA1: 188721efaeeeb4a4d61d4bc8a27f0f218bff9b47 MD5sum: 5ffb002edc12f3e82339b4ac3d357d30 Description: extraction of complex frequencies and amplitudes from time series Harminv is a free program to solve the problem of harmonic inversion, given a discrete-time, finite-length signal that consists of a sum of finitely-many sinusoids (possibly exponentially decaying) in a given bandwidth, it determines the frequencies, decay constants, amplitudes, and phases of those sinusoids. Package: harvestman Version: 1.4.6-13 Installed-Size: 596 Maintainer: Python Applications Packaging Team Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0) Size: 134010 SHA256: eb728af5173a9a0aec2fa8a5716030ae7fa473f45faa6900cbfda6f1de204d86 SHA1: eb40a9e426d3a26ea2510d3729fc5acae38052df MD5sum: c5532377640bdbc5d8dd8ab9746d08ab Description: a flexible web crawler application HarvestMan can be used to download files from websites, according to a number of user-specified rules. The latest version of HarvestMan supports as much as 60 plus customization options. HarvestMan is a console (command-line) application. Homepage: http://harvestmanontheweb.com Python-Version: 2.5, 2.6 Tag: implemented-in::python, interface::commandline, role::program, web::application, works-with::text Section: web Priority: optional Filename: pool/main/h/harvestman/harvestman_1.4.6-13_all.deb Package: hasciicam Version: 1.1.2-1 Architecture: armhf Maintainer: Luca Bigliardi Installed-Size: 85 Depends: ftplib3 (>= 3.1), libaa1 (>= 1.4p5), libc6 (>= 2.4) Homepage: http://ascii.dyne.org/ Priority: optional Section: graphics Filename: pool/main/h/hasciicam/hasciicam_1.1.2-1_armhf.deb Size: 29686 SHA256: 2b992492ccd0a612ff7ddf0c52ef703e7cc6b409bc6cf9ca7ca86dd251ee55a5 SHA1: 7ac33545e4ef0f74c96d7481a4340b880e97d856 MD5sum: f461c1bf918403b3bdeb57cc0654e965 Description: (h)ascii for the masses: live video as text Hasciicam makes it possible to have live ASCII video on the web. It captures video from a tv card and renders it into ascii, formatting the output into an html page with a refresh tag or in a live ASCII window or in a simple text file as well, giving the possibility to anybody that has a bttv card, a Linux box and a cheap modem line to show a live ASCII video feed that can be browsable without any need for plugin, java etc. Package: haserl Version: 0.9.29-3 Architecture: armhf Maintainer: Chow Loong Jin Installed-Size: 88 Depends: libc6 (>= 2.13-28), liblua5.1-0, debconf (>= 0.5) | debconf-2.0 Recommends: lua5.1 Homepage: http://haserl.sourceforge.net/ Priority: optional Section: interpreters Filename: pool/main/h/haserl/haserl_0.9.29-3_armhf.deb Size: 36988 SHA256: 9b6460906387858f16c2b631f9512e9d12cb8130c88cc0e2e686052ead7acb6f SHA1: 23834bc857f7f4ae1f3d6a77651e848a5f85dad7 MD5sum: 0c039a48d33a327459872a4ed52e219c Description: CGI scripting program for embedded environments Haserl is a CGI wrapper that allows PHP-style programming in Lua or a POSIX-compliant shell. It is very small, so it can be used in embedded environments, or where something like PHP is too big. . It combines three features into a small CGI engine: * It parses POST and GET requests, placing form-elements into the environment as name=value pairs for the CGI script to use. This is somewhat similar to the uncgi wrapper. * It opens a shell, and translates all text into printable statements. All text within <% ... %> constructs is passed verbatim to the shell. This is somewhat similar to how PHP scripts are parsed. * It can be set up to drop its permissions to the owner of the script, giving it some of the security features of suexec or cgiwrapper. Package: hashalot Version: 0.3-5 Architecture: armhf Maintainer: Adam Borowski Installed-Size: 59 Depends: libc6 (>= 2.4) Suggests: dmsetup, cryptsetup Priority: optional Section: utils Filename: pool/main/h/hashalot/hashalot_0.3-5_armhf.deb Size: 16630 SHA256: c93fb108a44264c8f949ecd39cbbe4bf0c70f4c11a5349ed48e72ca42fe9c858 SHA1: 099e86feab7a5e7de86968b75c712326a3779b73 MD5sum: de57252b6df4d2b1fdbae51826d4918a Description: Read and hash a passphrase This program will read a passphrase from standard input and print a binary (not printable) hash to standard output. The output is suitable for use as an encryption key. Package: hashcash Version: 1.21-1.1 Architecture: armhf Maintainer: Hubert Chan Installed-Size: 280 Depends: libc6 (>= 2.13-28) Priority: optional Section: mail Filename: pool/main/h/hashcash/hashcash_1.21-1.1_armhf.deb Size: 156574 SHA256: ac67d61288174ddbd9d91248b1d2d4699d2750395802715d1d0297476e9e0b87 SHA1: cdc78d4818a308ccd7f7ff3cbe8ecab8f37356a6 MD5sum: ec9dd2b70c8408bc905412f08802a2ea Description: postage payment scheme for email based on hash calculations Hash cash is a payment scheme that uses CPU cycles as the form of payment. This can be used as a counter-measure for junk email (spam) by using the hash cash token as a proof of payment for each email that you sent. Package: haskell-agda-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-agda-doc Size: 4070 SHA256: 58170ab3189aedd9a43df9fa9c310ec778bba62ec219db6ecc0f2429f8ecfba6 SHA1: 24909d631d7eaa0bcb413aeb452eb10fd0df1534 MD5sum: 716ab3a7a30e55862ef40bab8821e36a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-agda-doc_8_all.deb Package: haskell-convertible-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-convertible-doc Size: 4078 SHA256: 66d2d3aaeb687365d486bc2abc2228f33fe1996d3839f1217c763c3ad37599b5 SHA1: d9286e916d9a4d4a7b3892d22373d3e00e86c45f MD5sum: 9299c67e4f4aa603c04561721403a665 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-convertible-doc_8_all.deb Package: haskell-cpphs-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-cpphs-doc Size: 4070 SHA256: ed3c678e95eeaddf7187daf4677df16385132601a08f1d7bfcebeec5ab65368d SHA1: e1c32959cec4ab1a6a7719d468ba489e5fe47db4 MD5sum: 0e5bc95198b5a052bc866a60afaa68d9 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-cpphs-doc_8_all.deb Package: haskell-debian-utils Source: haskell-debian Version: 3.64-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 35764 Depends: libbz2-1.0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4) Recommends: apt-file Homepage: http://hackage.haskell.org/package/debian Priority: extra Section: devel Filename: pool/main/h/haskell-debian/haskell-debian-utils_3.64-3_armhf.deb Size: 7968082 SHA256: a82c6496a821adf938f2dd0685e77a8fd892aecf555d5e83a7513de4a88d4b1e SHA1: 27c1e6910816cb7625855096f1b158950651bb79 MD5sum: 728c1fd3f547442e93014c8c6cb2447c Description: Various helpers to work with Debian packages This package contains tools shipped with the Haskell library “debian”: . * fakechanges: Sometimes you have the .debs, .dsc, .tar.gz, .diff.gz, etc from a package build, but not the .changes file. This package lets you create a fake .changes file in case you need one. . * debian-report: Analyze Debian repositories and generate reports about their contents and relations. For example, a list of all packages in a distribution that are trumped by another distribution. . * apt-get-build-depends: Tool which will parse the Build-Depends{-Indep} lines from debian/control and apt-get install the required packages Package: haskell-devscripts Version: 0.8.12 Installed-Size: 106 Maintainer: Debian Haskell Group Architecture: all Depends: dctrl-tools, debhelper, dh-buildinfo, ghc (>= 7.4), cdbs, html-xml-utils, hscolour (>= 1.8), ghc-haddock (>= 7.4) Size: 31462 SHA256: 17e2847307880c24f937e71343a3cf411b828b9b8779d8b20e20f8868a76fbd4 SHA1: 7b9bef008679e0619fcc972b9601ad3b7c256cc8 MD5sum: 47298649c06ed1ccb5d6b6354f35a1a8 Description: Tools to help Debian developers build Haskell packages This package provides a collection of scripts to help build Haskell packages for Debian. Unlike haskell-utils, this package is not expected to be installed on the machines of end users. . This package is designed to support Cabalized Haskell libraries. It is designed to build a library for each supported Debian compiler or interpreter, generate appropriate postinst/prerm files for each one, generate appropriate substvars entries for each one, and install the package in the Debian temporary area as part of the build process. Tag: devel::buildtools, devel::debian, devel::lang:haskell, devel::packaging, implemented-in::perl, implemented-in::shell, interface::commandline, role::program, scope::utility, suite::debian Section: haskell Priority: extra Filename: pool/main/h/haskell-devscripts/haskell-devscripts_0.8.12_all.deb Package: haskell-doc Version: 20061127 Installed-Size: 32 Maintainer: Ian Lynagh (wibble) Architecture: all Depends: haskell98-report, haskell98-tutorial Suggests: ghc6 | hugs Size: 3338 SHA256: 7492a9248698c951cd19b6405348ee23edba71f483046ca9b69ad206ec8b886e SHA1: aa6e0bd391cb7ed8441df10a1fefe71c47065399 MD5sum: b767422b0bbf943217175521cc5a04b9 Description: Assorted Haskell language documentation This metapackage provides all the documentation for Haskell, "the" standard lazy functional programming language, packaged for Debian. Tag: devel::doc, devel::lang:haskell, role::documentation, role::metapackage Section: doc Priority: optional Filename: pool/main/h/haskell-doc/haskell-doc_20061127_all.deb Package: haskell-edison-api-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-edison-api-doc Size: 4074 SHA256: 736fb664793ca742f6b62a2c33e6616457c34b1a9bb8795979e58e5c1786ef5d SHA1: f89ef5e9bddb6e8d27b43a493f0ded1e84ceba87 MD5sum: 6810c3ed48c994cbe9017e614efd673f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-edison-api-doc_8_all.deb Package: haskell-edison-core-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-edison-core-doc Size: 4078 SHA256: 5a916371c4c1358d06a1256a9bb36fc5d9b71375415ab4050c5359ff6b7ea036 SHA1: c03db597d263435b94d870d71d2a61ebabb659c6 MD5sum: 7400f0edc35716edcce1d82b2a9a626d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-edison-core-doc_8_all.deb Package: haskell-haskelldb-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskelldb-doc Size: 4072 SHA256: 361c76d6a785eff8417a72604e46d03097012ff2d4a4fec7770094143ad916b3 SHA1: ffa5a772155e82464888fa94eedb0879da7432a4 MD5sum: f5810f3c92d2c30455a7a866b1fbd1ab Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-haskelldb-doc_8_all.deb Package: haskell-hdbc-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hdbc-doc Size: 4070 SHA256: b0e2df9d90664963499b6846f8472dea195a1880a29bc9674c12195025db8980 SHA1: be9d0bd7b59594939b59be103c79609ebd297fde MD5sum: 88f553f0acbee3b7519f7e259b0545c4 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-hdbc-doc_8_all.deb Package: haskell-hdbc-odbc-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hdbc-odbc-doc Size: 4074 SHA256: 8a782a9948d3fc226e182d61500290a3a7d7733617901b2ae6bd64bf9370c6cb SHA1: 87d2cb5b9ce846c81dce8187758e0649776da037 MD5sum: 80c4bb96d12c416c20b5a27435db8c67 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-hdbc-odbc-doc_8_all.deb Package: haskell-hdbc-postgresql-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hdbc-postgresql-doc Size: 4086 SHA256: 57689ad7d9f481aca55d87f7ba9146918e6b7c30c6f13112e3b50e332781734e SHA1: ae60d1fe4c1d9e9dcbeec88b7100307c166fae47 MD5sum: 2b0a74db6f59aa5acbcc66a020031cad Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-hdbc-postgresql-doc_8_all.deb Package: haskell-hdbc-sqlite3-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hdbc-sqlite3-doc Size: 4080 SHA256: b260d4de5235626816a68bd48a08fa412d55bfd20348563e8cc61bb183e08d45 SHA1: 0fef07e326003f383b5275132b365a490ec03173 MD5sum: 92f51771dcb18e09fdad3fb4475b357a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-hdbc-sqlite3-doc_8_all.deb Package: haskell-hscurses-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hscurses-doc Size: 4074 SHA256: 3f5e37339749b557c5873ace72014c7b242e37562b9553d3c803c533431b72ab SHA1: 2d84ca06b9678a48d1315c10ecea4a39a6e653c2 MD5sum: d9593fe2d83c3696148aec7e7f2ca2a9 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-hscurses-doc_8_all.deb Package: haskell-hsql-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-doc Size: 4068 SHA256: 1b7ed857630e80cd3ccb8c5c300ae420b038e61c7a56df61a737fd0d99e7291c SHA1: 06eb29f66406b0c0370b0c7533447c7a49a69dd5 MD5sum: d566cc216b4798f9cf1f1ffc23b925fe Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::examples, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-hsql-doc_8_all.deb Package: haskell-hsql-mysql-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-mysql-doc Size: 4074 SHA256: f0afa03cd28e8917dcb20b4fa96dbbd16cce3240037755b1b77571cef6d4e994 SHA1: 15d56227b4ffbe44dc59a6d14ab8e2b990eef5f4 MD5sum: c529cc736c4127571830fcbbf16ac612 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-hsql-mysql-doc_8_all.deb Package: haskell-hsql-odbc-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-odbc-doc Size: 4076 SHA256: a5677402854c6f04c1c5d24551174fa2b6b6ae982923d8264bd24490452252c8 SHA1: 72ea045fb1dcceed06ddff20f5555cb25f7ef516 MD5sum: 2005a7115f2894e7d95390a2f45994f1 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-hsql-odbc-doc_8_all.deb Package: haskell-hsql-postgresql-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-postgresql-doc Size: 4080 SHA256: b820dbe6dd805a2bbbfd0f6fc8ea63399fa59da71f500a3a0c199e8ee4d64ba7 SHA1: 8df3537e4bb09f7f6b5b5be35ce38bf5fecebb79 MD5sum: a16c7cb679ec9773574d0fc68ab76e87 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-hsql-postgresql-doc_8_all.deb Package: haskell-hsql-sqlite3-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-sqlite3-doc Size: 4078 SHA256: 7d955943845a7cc5162e82b8cfa8e16c967a8d293ede319bbd597530f30be29a SHA1: 26682e63ea340e268682746bff8d741a57597c05 MD5sum: 3166e51c2e251a968df41347de4bf0de Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-hsql-sqlite3-doc_8_all.deb Package: haskell-http-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-http-doc Size: 4068 SHA256: fb32d4d763a52956e64e2908faa194d0e1272678bc667edc6ce3eab7c346a185 SHA1: 322ccec39b0806db1a28cc9536721c3103443d90 MD5sum: 68e43626cfa5bf01d581b1ed7f3efa22 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-http-doc_8_all.deb Package: haskell-mode Version: 2.8.0-2 Installed-Size: 433 Maintainer: Petr Rockai Architecture: all Depends: emacs23 | xemacs21 | emacsen Recommends: ghc | hugs Size: 114730 SHA256: d66968688899cdeb9629cc89461126aef5779907ee20d5ccb27e139428557af1 SHA1: 4f33211a8b13f3f8d50a69bb27478dc583a6c3f7 MD5sum: b972aee029f39777a5b7a0637a248a6e Description: major mode for editing Haskell in Emacs A major mode for editing Haskell (the functional programming language) in Emacs. . Major features: - syntax highlighting (font lock), - automatic indentation, - on-the-fly documentation, - interaction with inferior ghci or hugs instance, - scans declarations and places them in a menu. Tag: devel::editor, devel::lang:haskell, implemented-in::lisp, role::plugin, suite::emacs, use::editing Section: haskell Priority: optional Filename: pool/main/h/haskell-mode/haskell-mode_2.8.0-2_all.deb Package: haskell-pcre-light-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-pcre-light-doc Size: 4076 SHA256: 34a68137bdb5574a807a37e3be46060a6c952bf521203c8942304188978fd2de SHA1: e421ecb8abbc04083120e07aaa033d0986863116 MD5sum: 027a90524ac98759188c47ebb3a0f8f3 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-pcre-light-doc_8_all.deb Package: haskell-platform Version: 2012.2.0.0 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: ghc (>= 7.4.1), ghc (<< 7.4.1+), libghc-cgi-dev (>= 3001.1.8.2), libghc-cgi-dev (<< 3001.1.8.2+), libghc-fgl-dev (>= 5.4.2.4), libghc-fgl-dev (<< 5.4.2.4+), libghc-glut-dev (>= 2.1.2.2), libghc-glut-dev (<< 2.1.2.2+), libghc-haskell-src-dev (>= 1.0.1.5), libghc-haskell-src-dev (<< 1.0.1.5+), libghc-html-dev (>= 1.0.1.2), libghc-html-dev (<< 1.0.1.2+), libghc-hunit-dev (>= 1.2.4.2), libghc-hunit-dev (<< 1.2.4.2+), libghc-mtl-dev (>= 2.1.1), libghc-mtl-dev (<< 2.1.1+), libghc-network-dev (>= 2.3.0.13), libghc-network-dev (<< 2.3.0.13+), libghc-opengl-dev (>= 2.2.3.1), libghc-opengl-dev (<< 2.2.3.1+), libghc-parallel-dev (>= 3.2.0.2), libghc-parallel-dev (<< 3.2.0.2+), libghc-parsec3-dev (>= 3.1.2), libghc-parsec3-dev (<< 3.1.2+), libghc-quickcheck2-dev (>= 2.4.2), libghc-quickcheck2-dev (<< 2.4.2+), libghc-regex-base-dev (>= 0.93.2), libghc-regex-base-dev (<< 0.93.2+), libghc-regex-compat-dev (>= 0.95.1), libghc-regex-compat-dev (<< 0.95.1+), libghc-regex-posix-dev (>= 0.95.1), libghc-regex-posix-dev (<< 0.95.1+), libghc-stm-dev (>= 2.3), libghc-stm-dev (<< 2.3+), libghc-syb-dev (>= 0.3.6.1), libghc-syb-dev (<< 0.3.6.1+), libghc-text-dev (>= 0.11.2.0), libghc-text-dev (<< 0.11.2.0+), libghc-transformers-dev (>= 0.3.0.0), libghc-transformers-dev (<< 0.3.0.0+), libghc-xhtml-dev (>= 3000.2.1), libghc-xhtml-dev (<< 3000.2.1+), libghc-zlib-dev (>= 0.5.3.3), libghc-zlib-dev (<< 0.5.3.3+), libghc-http-dev (>= 1:4000.2.3), libghc-http-dev (<< 1:4000.2.3+), cabal-install (>= 0.14.0), alex (>= 3.0.1), alex (<< 3.0.1+), happy (>= 1.18.9), happy (<< 1.18.9+), ghc-haddock Suggests: haskell-platform-doc, haskell-platform-prof Size: 6436 SHA256: 83705cd9e4fc1eadaa9b1bfec55269d94b467d302fff74633ee88c6771f0431f SHA1: ecc8d4cb9335c378d76644a36012a747ba2f48dd MD5sum: 6c93e0430f0198622fcda933ef4ddb74 Description: Standard Haskell libraries and tools The Haskell Platform is a suite of tools and libraries that contain the most important and best supported components. It is meant to be a starting point for Haskell developers who are looking for libraries to use. . Installing this meta package will also install the Debian packages containing the libraries and tools as specified in the official Haskell Platform, in the version available in Debian. This may not be the version specified in the platform. If this is of relevance to you, please check them on the official Haskell Platform homepage. Homepage: http://hackage.haskell.org/platform/ Tag: devel::lang:haskell, devel::library, role::metapackage Section: haskell Priority: extra Filename: pool/main/h/haskell-platform/haskell-platform_2012.2.0.0_all.deb Package: haskell-platform-doc Source: haskell-platform Version: 2012.2.0.0 Installed-Size: 29 Maintainer: Debian Haskell Group Architecture: all Depends: ghc-doc, libghc-cgi-doc, libghc-fgl-doc, libghc-glut-doc, libghc-haskell-src-doc, libghc-html-doc, libghc-hunit-doc, libghc-mtl-doc, libghc-network-doc, libghc-opengl-doc, libghc-parallel-doc, libghc-parsec3-doc, libghc-quickcheck2-doc, libghc-regex-base-doc, libghc-regex-compat-doc, libghc-regex-posix-doc, libghc-stm-doc, libghc-syb-doc, libghc-text-doc, libghc-transformers-doc, libghc-xhtml-doc, libghc-zlib-doc, libghc-http-doc Recommends: haskell-platform Size: 5838 SHA256: e5dcd2921d761a2e9c082ce7d345a7830d56c07cd8e56653713d6fde019063b4 SHA1: cecd518f813169bb6828f691bf8335060271699f MD5sum: b78fe5482fa6af5f30dd0c4a7eb66ffe Description: Standard Haskell libraries and tools; documentation The Haskell Platform is a suite of tools and libraries that contain the most important and best supported components. It is meant to be a starting point for Haskell developers who are looking for libraries to use. . Installing this meta package will install the documentation for the libraries as specified in the official Haskell Platform. Homepage: http://hackage.haskell.org/platform/ Tag: devel::doc, devel::lang:haskell, role::documentation, role::metapackage Section: doc Priority: optional Filename: pool/main/h/haskell-platform/haskell-platform-doc_2012.2.0.0_all.deb Package: haskell-platform-prof Source: haskell-platform Version: 2012.2.0.0 Installed-Size: 29 Maintainer: Debian Haskell Group Architecture: all Depends: haskell-platform, ghc-prof, libghc-cgi-prof, libghc-fgl-prof, libghc-glut-prof, libghc-haskell-src-prof, libghc-html-prof, libghc-hunit-prof, libghc-mtl-prof, libghc-network-prof, libghc-opengl-prof, libghc-parallel-prof, libghc-parsec3-prof, libghc-quickcheck2-prof, libghc-regex-base-prof, libghc-regex-compat-prof, libghc-regex-posix-prof, libghc-stm-prof, libghc-syb-prof, libghc-text-prof, libghc-transformers-prof, libghc-xhtml-prof, libghc-zlib-prof, libghc-http-prof Size: 5840 SHA256: 771c1da58fae43467e77d0d626ef93e14ac9b0ce5e350481dc2c22ebbc3ebeab SHA1: 03f9ad0c2f7c038196e00ec73604293d69d262fb MD5sum: c825b783eae444490ff8a0c3743f4bc4 Description: Standard Haskell libraries and tools; profiling libraries The Haskell Platform is a suite of tools and libraries that contain the most important and best supported components. It is meant to be a starting point for Haskell developers who are looking for libraries to use. . Installing this meta package will install the profiling data for the libraries as specified in the official Haskell Platform. Homepage: http://hackage.haskell.org/platform/ Section: doc Priority: extra Filename: pool/main/h/haskell-platform/haskell-platform-prof_2012.2.0.0_all.deb Package: haskell-regex-base-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-base-doc Size: 4078 SHA256: ee2864cca26cae53d5d241f0a240c544579828256809d2b7da125bf4c2e87192 SHA1: 20468235fc8a879f73a77c7b1a77b16e7f35f1e9 MD5sum: e1b2284d33f39d0c8e463dbb02e28849 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-regex-base-doc_8_all.deb Package: haskell-regex-compat-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-compat-doc Size: 4080 SHA256: adb60aa5162eaaf282d67ca1c6cd8b06f16b449a9f27df75c850a084ddfa5a65 SHA1: 5224327824ca98cbe508c3b52affe4e683c8c0eb MD5sum: 16d81e73e83c2c670d3ce86917e02bc0 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-regex-compat-doc_8_all.deb Package: haskell-regex-posix-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-posix-doc Size: 4080 SHA256: e72a04cebd7302279bb445e1b6329665ca34fb777a1a82069a1301e97cd5e4a2 SHA1: c64cce555ce749774cc5b40f6fd4bd9e1627a745 MD5sum: 7345bb318dcaa11fe9fd3c6b909f155b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-regex-posix-doc_8_all.deb Package: haskell-src-exts-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-src-exts-doc Size: 4076 SHA256: 07cb8feaacfd6256b1b44d30813c12d5ad77d7c3b01f42230b60c1085b379bcb SHA1: f713ed3bcd6c36e1bf0ad71863ed16a5bd9bb836 MD5sum: e0f3e82d2dd6effd7d6cf4e0b7688d96 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: optional Filename: pool/main/h/haskell-dummy/haskell-src-exts-doc_8_all.deb Package: haskell-uulib-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-uulib-doc Size: 4070 SHA256: 7d43760ada667aeaa92bd95b1aee49ecd95df33deb77b224ef3eec90355ba8eb SHA1: 414e56232947926b8eb15df64992b5464d6e30a8 MD5sum: 9df60ff302eec2848522429b8ff17159 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-uulib-doc_8_all.deb Package: haskell-zlib-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-zlib-doc Size: 4068 SHA256: 7630994ddbaf162175439b25f037f15a10b97d1972d7ff41c623aa8a5c78cce0 SHA1: 5cbe82a87313369b9049c5d31962833e93f3bf3d MD5sum: 97e0f04b665f8566db43091eaac27b0f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, devel::library, role::documentation, role::dummy, use::compressing Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/haskell-zlib-doc_8_all.deb Package: haskell98-report Version: 20080907-4 Installed-Size: 3158 Maintainer: Debian Haskell Group Architecture: all Suggests: lynx | www-browser Conflicts: haskell-doc (<= 19991028-3) Size: 2383190 SHA256: 8429c97553f6332d877c70219b1e9c2f6c09289069e3c7257a89cc2a0077b8d1 SHA1: 0b2b5fa70cee33264130ddc9f49d3b74539e77e5 MD5sum: 90f7d92322d5f50ccecf2b610a60e578 Description: The Haskell 98 Language and Libraries Revised Report & addenda Haskell is a non-strict, pure functional general-purpose programming language. This package contains its definition in HTML, PS and PDF formats. It is a revised version of "The Haskell 98 Report" and "The Haskell 98 Library Report", fixing the bugs documented in "Errata for the Haskell 98 Language Report" (which is included). . It also contains the Foreign Function Interface (FFI) addendum and the Hierarchical Modules addendum in PS and PDF formats. Homepage: http://darcs.haskell.org/haskell98-report/ Tag: devel::doc, devel::lang:haskell, made-of::html, made-of::pdf, made-of::postscript, role::data, role::documentation Section: doc Priority: optional Filename: pool/main/h/haskell98-report/haskell98-report_20080907-4_all.deb Package: haskell98-tutorial Version: 200006-2-1.1 Installed-Size: 484 Maintainer: Ian Lynagh (wibble) Architecture: all Recommends: haskell98-report Suggests: lynx | www-browser Conflicts: haskell-doc (<= 19991028-3) Size: 113694 SHA256: 26022c0d77c101e1cca6d1d2a850eddc6d92d9b8ad4c6b49ec591cacbac23976 SHA1: 8933797650c0b1318f0d74321f530c85c6b2bf38 MD5sum: eaf71b28712b9e809675fcc4e45070e3 Description: A Gentle Introduction to Haskell 98 The Gentle Introduction is designed to serve as a supplement to the Haskell 98 Report; it provides a tutorial introduction for someone who is already familiar with another programming language (preferably a functional one). Tag: devel::doc, devel::lang:haskell, made-of::html, role::data, role::documentation Section: doc Priority: optional Filename: pool/main/h/haskell98-tutorial/haskell98-tutorial_200006-2-1.1_all.deb Package: haskelldb-doc Source: haskelldb Version: 2.1.1-5 Installed-Size: 33 Maintainer: Debian Haskell Group Architecture: all Depends: haskell-haskelldb-doc Size: 8922 SHA256: 2f572271cf044c33691271e0265d44f66f94c07fc52a25cd128c4dcde1f44914 SHA1: f2aee3267066c54df780296c17c601c29f8385b5 MD5sum: c5a8e694377e1441a1b4d6931f8d48e6 Description: transitional dummy package This package is a dummy package and is used to easy the upgrade from previous versions of haskelldb-doc to haskell-haskelldb-doc. . This package can safely be removed. Homepage: http://haskelldb.sourceforge.net/ Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: optional Filename: pool/main/h/haskelldb/haskelldb-doc_2.1.1-5_all.deb Package: hatari Version: 1.6.2-1 Architecture: armhf Maintainer: Teemu Hukkanen Installed-Size: 7230 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libportaudio2 (>= 19+svn20101113), libreadline6 (>= 6.0), libsdl1.2debian (>= 1.2.11), libx11-6, zlib1g (>= 1:1.2.3.3), python (>= 2.6.6-7~) Recommends: binfmt-support Suggests: mtools, unzip, dosfstools Homepage: http://hatari.tuxfamily.org/ Priority: optional Section: otherosfs Filename: pool/main/h/hatari/hatari_1.6.2-1_armhf.deb Size: 2479508 SHA256: 3077b12db6614e716c6bcd3e1505a5404e34b8eb2b7dcfddb922f568e652acc4 SHA1: 345b45d58d8a20734bd5944581b65b832a780cbd MD5sum: bd1b1ae82c066660f87b8721386b974b Description: Emulator for the Atari ST, STE, TT, and Falcon computers Hatari is an emulator for the Atari ST line of computers. . The Atari ST was a 16/32 bit computer system which was first released by Atari in 1985. Using the Motorola 68000 CPU, it was a very popular computer having quite a lot of CPU power at that time. . Unlike many other Atari ST emulators which try to give you a good environment for running GEM applications, Hatari tries to emulate the hardware of a ST as close as possible so that it is able to run most of the old ST games and demos. Package: hatop Version: 0.7.7-1 Installed-Size: 96 Maintainer: John Feuerstein Architecture: all Depends: python (>= 2.4) Size: 26062 SHA256: 8e753d4fb4d02180a67d8237396f54cbe29cdcfc0a6097f4c66f20f0a1569fd0 SHA1: 8da7e8a8461302d402a50157dac601fff78145e9 MD5sum: 31fd50ed56c4588df3e41b4f71289753 Description: interactive ncurses client for haproxy HATop is an interactive ncurses client and real-time monitoring, statistics displaying tool for the HAProxy TCP/HTTP load balancer. . HATop's appearance is similar to top(1). It supports various modes for detailed statistics of all configured proxies and services in near realtime. In addition, it features an interactive CLI for the haproxy unix socket. This allows administrators to control the given haproxy instance (change server weight, put servers into maintenance mode, ...) directly out of hatop (using keybinds or the CLI) and monitor the results immediately. Homepage: http://feurix.org/projects/hatop/ Tag: admin::monitoring, implemented-in::python, interface::commandline, scope::utility, uitoolkit::ncurses Section: admin Priority: extra Filename: pool/main/h/hatop/hatop_0.7.7-1_all.deb Package: haveged Version: 1.4-4 Architecture: armhf Maintainer: Jérémy Bobbio Installed-Size: 158 Depends: lsb-base (>= 3.2-14), libc6 (>= 2.13-28) Homepage: http://www.issihosts.com/haveged/ Priority: extra Section: misc Filename: pool/main/h/haveged/haveged_1.4-4_armhf.deb Size: 28572 SHA256: 9d477b4e48c71aed7d55e2cd5258d50df09ab75a2bc0286f5be0a4a9deaa02e2 SHA1: 1ac60d583cc363cd52980dd883c9ea913463fd6f MD5sum: f7fe15d219d25c73ad2b8a1096c98369 Description: Linux entropy source using the HAVEGE algorithm haveged is a userspace entropy daemon which is not dependent upon the standard mechanisms for harvesting randomness for the system entropy pool. This is important in systems with high entropy needs or limited user interaction (e.g. headless servers). . haveged uses HAVEGE (HArdware Volatile Entropy Gathering and Expansion) to maintain a 1M pool of random bytes used to fill /dev/random whenever the supply of random bits in dev/random falls below the low water mark of the device. . More information about HAVAGE is available at http://www.irisa.fr/caps/projects/hipsor/ Package: haxml Version: 1:1.22.5-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 32330 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Recommends: libghc-haxml-dev (= 1:1.22.5-2) Homepage: http://hackage.haskell.org/package/HaXml Priority: extra Section: haskell Filename: pool/main/h/haxml/haxml_1.22.5-2_armhf.deb Size: 7441492 SHA256: 5adf0bbaa1f37c888ae16b5b9f956fcd87e2a7aadbb8355e327d9a123b789040 SHA1: 2f42c073610d8d8b1b5fddc24b76ed04e6c9dc92 MD5sum: 6ae580d824b057b380224db7fa4b8a8c Description: utilities for using XML documents with Haskell . HaXml is a collection of utilities for parsing, filtering, transforming, and generating XML documents using Haskell. Its basic facilities include: - a parser for XML, - a separate error-correcting parser for HTML, - an XML validator, - pretty-printers for XML and HTML. . This package contains the HaXml command-line tools. Package: hdate-applet Source: hdate-applet (0.15.11-1.1) Version: 0.15.11-1.1+b1 Architecture: armhf Maintainer: Debian Hebrew Packaging Team Installed-Size: 296 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libecal-1.2-11 (>= 3.4.3), libedataserver-1.2-16 (>= 3.4.3), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libhdate1 (>= 1.6), libical0 (>= 0.31), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.6.27) Homepage: http://hdateapplet.sourceforge.net/ Priority: optional Section: gnome Filename: pool/main/h/hdate-applet/hdate-applet_0.15.11-1.1+b1_armhf.deb Size: 206958 SHA256: fdb5cec8ccd3298ef868bfc25d6908114befab1eac821d0975bec0bed65e8734 SHA1: 7ba02777b39039ed4f5c945944ebdc954839c2b5 MD5sum: 8d547697b8aeb3077108c5fdce1c5ba6 Description: Hebrew calendar applet A GNOME desktop applet providing an Hebrew calendar that gives the user easy access to the Jewish calendar (including Hebrew date, parasha, sunset and sunrise times, holydays and moon phase). Package: hddtemp Version: 0.3-beta15-52 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 235 Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, lsb-base (>= 3.0-3) Suggests: ksensors Conflicts: gkrellm-hddtemp (<< 0.1-9), ksensors (<< 0.7-8) Homepage: http://www.guzu.net/linux/hddtemp.php Priority: extra Section: utils Filename: pool/main/h/hddtemp/hddtemp_0.3-beta15-52_armhf.deb Size: 60792 SHA256: cbe206e11ca5138320674b3ed6340ca425cb6d73255e00cf32a22e1e08bdf24a SHA1: a0a1c1e133d3dbc10c3e54d6b3103743d0b49da1 MD5sum: 04806cc27ee6ddf8d95219c54b3ad638 Description: hard drive temperature monitoring utility The hddtemp program monitors and reports the temperature of PATA, SATA or SCSI hard drives by reading Self-Monitoring Analysis and Reporting Technology (S.M.A.R.T.) information on drives that support this feature. Package: hdf4-tools Source: libhdf4 Version: 4.2r4-13 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 564 Depends: libc6 (>= 2.13-28), libhdf4-0, libjpeg8 (>= 8c), zlib1g (>= 1:1.1.4) Homepage: http://www.hdfgroup.com/ Priority: optional Section: graphics Filename: pool/main/libh/libhdf4/hdf4-tools_4.2r4-13_armhf.deb Size: 262138 SHA256: be28da3da8935b172e57fe07b8c57d7ab3502919f6815542d08fb3755c9ce07f SHA1: e2893fef7a037176a7f8c99c06c223305def50d9 MD5sum: 7eb49bd4cc7b0ab06881f9e74ae8bb3b Description: Hierarchical Data Format 4 library -- runtime package HDF is a multi-object file format for storing and transferring graphical and numerical data mainly used in scientific computing. HDF supports several different data models, including multidimensional arrays, raster images, and tables. Each defines a specific aggregate data type and provides an API for reading, writing, and organizing the data and metadata. New data models can be added by the HDF developers or users. . This package includes some basic utilities to view, pack, unpack, HDF files. Package: hdf5-helpers Source: hdf5 Version: 1.8.8-9+deb7u1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 52 Breaks: hdf5-tools (<< 1.8.7-1) Replaces: hdf5-tools (<< 1.8.7-1) Homepage: http://hdfgroup.org/HDF5/ Priority: optional Section: science Filename: pool/main/h/hdf5/hdf5-helpers_1.8.8-9+deb7u1_armhf.deb Size: 32758 SHA256: 4021c4fa71a40fcfd3da4cb28957ce89fb66318237619e4b84db3f125ed77ba8 SHA1: 5afaba95fa074cfeecf028cbb4f21c5dbc084657 MD5sum: b77563842c182d75e60fe55ca02e39fa Description: Hierarchical Data Format 5 (HDF5) - Helper tools HDF5 is a file format and library for storing scientific data. HDF5 was designed and implemented to address the deficiencies of HDF4.x. It has a more powerful and flexible data model, supports files larger than 2 GB, and supports parallel I/O. . This package contains helper tools for HDF5. . These tools were provided by hdf5-tools before version 1.8.7-2. Package: hdf5-tools Source: hdf5 Version: 1.8.8-9+deb7u1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 1708 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhdf5-7, zlib1g (>= 1:1.1.4) Homepage: http://hdfgroup.org/HDF5/ Priority: optional Section: science Filename: pool/main/h/hdf5/hdf5-tools_1.8.8-9+deb7u1_armhf.deb Size: 635530 SHA256: 57eb1157cd285df8e79b04199ed48862eec0d4456c985ff9d1e7d8f12be0a261 SHA1: a622830c20d2b87267acf5ade4b3672c3d4d1b82 MD5sum: f1ac3c1676e94435e927f75de7ec0fc9 Description: Hierarchical Data Format 5 (HDF5) - Runtime tools HDF5 is a file format and library for storing scientific data. HDF5 was designed and implemented to address the deficiencies of HDF4.x. It has a more powerful and flexible data model, supports files larger than 2 GB, and supports parallel I/O. . This package contains runtime tools for HDF5. Package: hdfview Source: jhdf Version: 2.8.0-5 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 578 Depends: libjgraph-java, libjhdf5-java, libjhdf4-java, java-wrappers, default-jre Suggests: www-browser Homepage: http://www.hdfgroup.org/hdf-java-html/ Priority: optional Section: libs Filename: pool/main/j/jhdf/hdfview_2.8.0-5_armhf.deb Size: 415684 SHA256: 9aab8c6d744f14a771328724ee40ec9777e8b134f2f86bf6f545dac259b13d86 SHA1: 5133ed20378c8cc10f35120924f23380c76807f6 MD5sum: d7717d9181897be747870a7afb78f47e Description: Java HDF Object viewer HDF is a versatile data model that can represent very complex data objects and a wide variety of metadata. It is a completely portable file format with no limit on the number or size of data objects in the collection. . This package provides a HDF4/HDF5 viewer. Package: hdhomerun-config Source: libhdhomerun Version: 20120405-1 Architecture: armhf Maintainer: Francois Marier Installed-Size: 102 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.silicondust.com/downloads/linux Priority: optional Section: misc Filename: pool/main/libh/libhdhomerun/hdhomerun-config_20120405-1_armhf.deb Size: 39400 SHA256: 04d2e87ebc1e721a5e58e84d9704c4cb11de3c38de0af1526c2a06f53c010eaf SHA1: c1e60333840fe7170f4b196bc199891b1315619a MD5sum: 97f6fbe1a2cb37b4a7475e3898b75015 Description: Configuration utility for Silicon Dust HD HomeRun This package supports the Silicon Dust HDHomeRun. The HDHomeRun is a networked, two tuner digital TV tuner compatible with MythTV, SageTV, and VLC. . This utility can be used for: * Discovering your tuner location and name * Gathering tuner settings * Setting tuner setting * Performing scans * Performing firmware upgrades Package: hdparm Version: 9.39-1 Architecture: armhf Maintainer: Stephen Gran Installed-Size: 278 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), lsb-base Recommends: powermgmt-base Suggests: apmd Replaces: apmd (<= 3.0.2-1.15) Homepage: http://sourceforge.net/projects/hdparm/ Priority: optional Section: admin Filename: pool/main/h/hdparm/hdparm_9.39-1_armhf.deb Size: 114016 SHA256: 35c76d4ae812b3bd36ee6cb2b05f6877e5ad6a22fa4655e68340a0a833111a2e SHA1: 2229850372e0f391fcf0803ca72e90f3cb8525bc MD5sum: 2ae1062c91d0725f5bd65eabf9947bf2 Description: tune hard disk parameters for high performance Get/set device parameters for Linux SATA/IDE drives. Primary use is for enabling irq-unmasking and IDE multiplemode. Package: hdparm-dbg Source: hdparm Version: 9.39-1 Architecture: armhf Maintainer: Stephen Gran Installed-Size: 220 Depends: hdparm (= 9.39-1) Homepage: http://sourceforge.net/projects/hdparm/ Priority: extra Section: debug Filename: pool/main/h/hdparm/hdparm-dbg_9.39-1_armhf.deb Size: 89758 SHA256: e68d9dc44952c5fb4cc3bfd8944153a1bc2d0c0f088bdc60a8bf397ca6e13da1 SHA1: 69a25967cf322a340202c1781be225a9c723c5c4 MD5sum: 5695124b870fcc8dc17f2b2d45826c03 Description: debug files for hdparm This package contains the stripped debugging symbols for hdparm Package: hdup Version: 2.0.14-4 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 153 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), ssh | rsync Suggests: bzip2 | lzop, mcrypt, gnupg Homepage: http://www.miek.nl/projects/hdup/index.html Priority: optional Section: utils Filename: pool/main/h/hdup/hdup_2.0.14-4_armhf.deb Size: 58878 SHA256: 98c3efd8b99e38db62f4540d1377d150e109e75e32edbc0267e7772f3e5d1faa SHA1: 25e0525e5c969fa2241884b0f56d33514f086ce5 MD5sum: db04768a68e77262484f8b096b01e46d Description: Filesystem duplicator and backup hdup is a backup utility with the following characteristics: . remote backups (move the backup to another machine); encrypted backups; no obscure format for the backups; compression (gzip/bzip or none); simple to use. . The source also includes two manpages, one for hdup itself and the other for the configuration file. It should be fairly obvious how to use hdup. Package: headache Version: 1.03-22 Installed-Size: 219 Maintainer: Debian OCaml Maintainers Architecture: all Depends: ocaml-base-nox-3.12.1, libextlib-ocaml Size: 49502 SHA256: 76d7c0fd674b046c1a1bfe72bd1ef2371f016a7a5ef8c232faa2693350c9c8af SHA1: c31b1c5ba01b4f7e21a4cda0feb06ba5ad133647 MD5sum: 1ef5ef8b666ab5001e8e6b16f91e2b9f Description: Tool to manage license notes of source files This tool help you add/remove the license note you can find at the beginning of most source files. . Features of headache: - generate header as comment in your source code. - recognize different format of comment depending of the file extension ( currently Makefile/Ocaml code/C code ). - support configuration file to extend the recognize file extension. - allow to create a bounding box of comment around your license. Homepage: http://cristal.inria.fr/~simonet/soft/ Tag: devel::lang:c, devel::lang:ocaml, implemented-in::ocaml, interface::commandline, role::program, scope::utility, use::converting, works-with::software:source Section: devel Priority: optional Filename: pool/main/h/headache/headache_1.03-22_all.deb Package: hearse Version: 1.5-8.1 Installed-Size: 143 Maintainer: Roderick Schertler Architecture: all Depends: debconf (>= 1.2.0) | debconf-2.0, perl, libwww-perl, nethack-common | nethack Size: 41890 SHA256: 0354adbb6faa2fdaf3ea3fcbaccb1766767b1bd79a799502b6189c033c9d8b91 SHA1: 3059d15c9c3ea375d2476266652c4d79a7857b7f MD5sum: 275ef1b8a1bc17561ba8e3ed468e3414 Description: exchange Nethack bones files with other players Nethack sometimes saves the level on which you die (including your stuff, what killed you, and your ghost) in a "bones file". These files get loaded into later Nethack games. If you're the only Nethack player on your system you'll only get bones files you created yourself. . With Hearse, you can automatically exchange bones files with other Nethack players. When run it uploads any new bones files it finds on your system, then downloads any bones files the server feels like giving it. See http://www.argon.org/~roderick/hearse/ for more information. . An important thing to note is that by default using Hearse will cause you to end up with more bones than you otherwise would have. This changes the game's balance and is considered by many players to be a mild form of cheating. You can address this by turning on the --delete-uploaded option, but the down side is you'll never encounter your own bones files. Tag: game::rpg:rogue, interface::commandline, role::program, scope::utility, use::downloading, use::gameplaying Section: games Priority: optional Filename: pool/main/h/hearse/hearse_1.5-8.1_all.deb Package: heartbeat Version: 1:3.0.5-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 1077 Depends: python (>= 2.6.6-7~), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0), libgnutls26 (>= 2.12.17-0), libheartbeat2 (>= 1:3.0.5), libltdl7 (>= 2.4.2), libpils2 (>= 1.0.9+hg2665), libplumb2 (>= 1.0.9+hg2665), libplumbgpl2 (>= 1.0.9+hg2665), libstonith1 (>= 1.0.9+hg2665), libuuid1 (>= 2.16), zlib1g (>= 1:1.1.4), cluster-glue, iproute, adduser, iputils-ping, psmisc, gawk, mawk, libxml2-utils, libpam-runtime (>= 0.76-14), resource-agents Recommends: rsyslog | system-log-daemon, logrotate, iptables, pacemaker (>= 1.0.6) Provides: cluster-messaging-framework Homepage: http://www.linux-ha.org/ Priority: optional Section: admin Filename: pool/main/h/heartbeat/heartbeat_3.0.5-3_armhf.deb Size: 378894 SHA256: 0e6e6ac2e1d63329bef24c3251a3b42168aaca8d3c3036a9327e8c2f10699df5 SHA1: a9592ccb14cab477f42fbdd362d4ca01d1106883 MD5sum: d17f0247f1de19607b0fb066c4b25670 Description: Subsystem for High-Availability Linux heartbeat is a messaging subsystem for high availability which implements serial, UDP, and PPP/UDP heartbeats. . It is one of the messaging layers supported by the Pacemaker cluster resource manager. Package: heartbeat-dev Source: heartbeat Version: 1:3.0.5-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 3367 Depends: heartbeat (= 1:3.0.5-3) Conflicts: libpils-dev (<< 2.0.8-3), libstonith-dev (<< 2.0.8-3), pacemaker-dev (<< 1.0.1-1) Homepage: http://www.linux-ha.org/ Priority: optional Section: devel Filename: pool/main/h/heartbeat/heartbeat-dev_3.0.5-3_armhf.deb Size: 1151974 SHA256: 9d162c403af9fca07ccd06e820c8c48a500665f6969d2d6a79725d2b0d0e7042 SHA1: c14b53a64fb1ee63ed971b0fd6b2ecdef956ac90 MD5sum: d5571f1cf54cdb3f62833482363c46e6 Description: Subsystem for High-Availability Linux - development files heartbeat is a messaging subsystem for high availability which implements serial, UDP, and PPP/UDP heartbeats. . It is one of the messaging layers supported by the Pacemaker cluster resource manager. . This package contains Heartbeat-specific development files. Package: hebcal Version: 3.5-2 Architecture: armhf Maintainer: Shaya Potter Installed-Size: 126 Depends: libc6 (>= 2.7) Priority: optional Section: utils Filename: pool/main/h/hebcal/hebcal_3.5-2_armhf.deb Size: 50562 SHA256: bd9527fe73a3e7b7590528279197bfb335819ee19115d7578e2822ca2269dae0 SHA1: 5d4b7f30e0f2d72b3d9de9e6f671c160718597d5 MD5sum: 398d95e77dfcc9f29ec73892aceeace0 Description: A Perpetual Jewish Calendar Hebcal is a program which prints out the days in the Jewish calendar for a given gregorian year. Hebcal is fairly flexible in terms of which events in the Jewish calendar it displays. Package: hedgewars Version: 0.9.17-1 Architecture: armhf Maintainer: Dmitry E. Oboukhov Installed-Size: 20066 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, liblua5.1-0, libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-net1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), ttf-dejavu-core, ttf-wqy-zenhei, hedgewars-data (>= 0.9.17) Homepage: http://hedgewars.org Priority: extra Section: games Filename: pool/main/h/hedgewars/hedgewars_0.9.17-1_armhf.deb Size: 4695736 SHA256: c69bb1aec1b3fa3849cf5e5321d0b69fa8d9dbb99e61f2b812b8300ac1207820 SHA1: 60dea68e7aa46ca234584e211ac9fe8594b2d07f MD5sum: 2a19f1ee9f4c61ec5e2def0691c29549 Description: Worms style game Each player controls a team of several hedgehogs. During the course of the game, players take turns with one of their hedgehogs. They then use whatever tools and weapons are available to attack and kill the opponents' hedgehogs, thereby winning the game. Hedgehogs may move around the terrain in a variety of ways, normally by walking and jumping but also by using particular tools such as the "Rope" or "Parachute", to move to otherwise inaccessible areas. Each turn is time-limited to ensure that players do not hold up the game with excessive thinking or moving. . A large variety of tools and weapons are available for players during the game: Grenade, Cluster Bomb, Bazooka, UFO, Homing Bee, Shotgun, Desert Eagle, Fire Punch, Baseball Bat, Dynamite, Mine, Rope, Pneumatic pick, Parachute. Most weapons, when used, cause explosions that deform the terrain, removing circular chunks. The landscape is an island floating on a body of water, or a restricted cave with water at the bottom. A hedgehog dies when it enters the water (either by falling off the island, or through a hole in the bottom of it), it is thrown off either side of the arena or when its health is reduced, typically from contact with explosions, to zero (the damage dealt to the attacked hedgehog or hedgehogs after a player's or CPU turn is shown only when all movement on the battlefield has ceased). Package: hedgewars-data Source: hedgewars Version: 0.9.17-1 Installed-Size: 119425 Maintainer: Dmitry E. Oboukhov Architecture: all Replaces: hedgewars (<= 0.8.1-10) Recommends: hedgewars Conflicts: hedgewars (<= 0.8.1-10) Size: 115863838 SHA256: be05e804b2367437216022dc405c14581d581061e427169ebcd1e5b2336f6a82 SHA1: d236f567c0eb3bd792492956b792774cc832384f MD5sum: c2a472caa0119513fc2db3d892b66d76 Description: Data files for hedgewars This package contains data files (images, sounds, levels data) for the hedgewars package. Homepage: http://hedgewars.org Tag: role::app-data Section: games Priority: extra Filename: pool/main/h/hedgewars/hedgewars-data_0.9.17-1_all.deb Package: heimdal-clients Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Architecture: armhf Maintainer: Brian May Installed-Size: 719 Depends: krb5-config, libasn1-8-heimdal (>= 1.4.0+git20110226), libc6 (>= 2.13-28), libdb5.1, libedit2 (>= 2.11-20080614-1), libgssapi3-heimdal (>= 1.4.0+git20110226), libhcrypto4-heimdal (>= 1.4.0+git20110226), libhdb9-heimdal (>= 1.4.0+git20110226), libheimbase1-heimdal (>= 1.4.0+git20110226), libheimntlm0-heimdal (>= 1.4.0+git20110226), libhx509-5-heimdal (>= 1.4.0+git20110226), libkadm5clnt7-heimdal (>= 1.6~git20120311), libkadm5srv8-heimdal (>= 1.4.0+git20110226), libkafs0-heimdal (>= 1.4.0+git20110226), libkrb5-26-heimdal (>= 1.6~git20120311), libotp0-heimdal (>= 1.4.0+git20110226), libroken18-heimdal (>= 1.4.0+git20110226), libsl0-heimdal (>= 1.6~git20120311), libtinfo5, libwind0-heimdal (>= 1.4.0+git20110226) Suggests: heimdal-docs, heimdal-kcm Conflicts: ftp (<< 0.16-1), heimdal-servers (<< 0.4e-7), kerberos4kth-clients, kerberos4kth-user, netstd, openafs-client (<< 1.2.2-3), otp, rsh-client (<< 0.16.1-1), ssltelnet, telnet (<< 0.17-1), telnet-ssl (<< 0.14.9-2) Replaces: heimdal-servers (<< 0.6.3-12) Provides: ftp, rsh-client, telnet-client Homepage: http://www.h5l.org/ Priority: extra Section: net Filename: pool/main/h/heimdal/heimdal-clients_1.6~git20120403+dfsg1-2+deb7u1_armhf.deb Size: 333666 SHA256: df808b1355d258dc3dce6ae7dfd08b7d8a369288e79301d9ad3a4879f656900c SHA1: ee12470ae8f44cddc9704e29e01a2508f4f63c85 MD5sum: 227bd78725a115f07a85b674145c0cd6 Description: Heimdal Kerberos - clients Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. . This package includes Kerberos utilities like kadmin, kinit, kpasswd and klist. It also includes client programs like telnet and ftp that have been compiled with Kerberos support. Package: heimdal-clients-x Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Architecture: armhf Maintainer: Brian May Installed-Size: 133 Depends: heimdal-clients, libasn1-8-heimdal (>= 1.4.0+git20110226), libc6 (>= 2.13-28), libhcrypto4-heimdal (>= 1.4.0+git20110226), libice6 (>= 1:1.0.0), libkafs0-heimdal (>= 1.4.0+git20110226), libkrb5-26-heimdal (>= 1.4.0+git20110226), libroken18-heimdal (>= 1.4.0+git20110226), libsm6, libx11-6, libxau6, libxt6 Suggests: heimdal-docs Conflicts: heimdal-clients (<< 0.2l-2), kerberos4kth-x11 Replaces: heimdal-clients (<< 0.2l-2) Homepage: http://www.h5l.org/ Priority: extra Section: net Filename: pool/main/h/heimdal/heimdal-clients-x_1.6~git20120403+dfsg1-2+deb7u1_armhf.deb Size: 60620 SHA256: 6e4dda77fffa9d49b063e76ad387e49edb7d222283a8e3a590850bf7fc6d5341 SHA1: d1e3ec3837141354bb4ab49d6501a1a1780ebacf MD5sum: 2033c6b47225d0218d9857392ddb0f19 Description: Heimdal Kerberos - X11 client programs Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. . This package includes Kerberos client programs for forwarding the X connection securely to a remote computer. Package: heimdal-dbg Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Architecture: armhf Maintainer: Brian May Installed-Size: 10175 Depends: libkrb5-26-heimdal (= 1.6~git20120403+dfsg1-2+deb7u1) Homepage: http://www.h5l.org/ Priority: extra Section: debug Filename: pool/main/h/heimdal/heimdal-dbg_1.6~git20120403+dfsg1-2+deb7u1_armhf.deb Size: 3683826 SHA256: e04a8615eb9c92766d0e2712d8abe715420e6bb8c202bd0805d3019f9c82aaf4 SHA1: 57353028ea15ab82667d9b8f4ef9f5a313e7e813 MD5sum: 5de641eec6c363e81ea574132282ae4d Description: Heimdal Kerberos - debugging symbols Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. . This package contains the debugging symbols for all heimdal libraries. Package: heimdal-dev Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Architecture: armhf Maintainer: Brian May Installed-Size: 1261 Depends: heimdal-multidev (= 1.6~git20120403+dfsg1-2+deb7u1) Conflicts: libkrb5-dev Homepage: http://www.h5l.org/ Priority: extra Section: devel Filename: pool/main/h/heimdal/heimdal-dev_1.6~git20120403+dfsg1-2+deb7u1_armhf.deb Size: 757334 SHA256: 006a9641efdfbcd509985bbf788bf1727ec14617b38083c0881a1378d6a6b994 SHA1: f37f5c5a0f5f0ad61a0cc32aa6daeb089c677421 MD5sum: c4a4cc6db2b6c7a3025f9aee1516a5c9 Description: Heimdal Kerberos - development files Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. . This is the development package, required for developing programs for Heimdal. Package: heimdal-docs Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Installed-Size: 103 Maintainer: Brian May Architecture: all Replaces: heimdal-lib (<< 0.3c-5), heimdal-servers (<< 0.6.3-3), libkrb5-15-heimdal Depends: dpkg (>= 1.15.4) | install-info Suggests: heimdal-clients, heimdal-clients-x, heimdal-servers, heimdal-servers-x Conflicts: heimdal-lib (<< 0.3c-5) Size: 98368 SHA256: bff1693dbe85129aca14231dc4ea243acc3687dd927258af681fe29d08fe4920 SHA1: becb14f350bfaa55f9b35f40828f71ddf2fec0fc MD5sum: feaa2530b0a31950915c877bd70e6a73 Description: Heimdal Kerberos - documentation Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. . This package includes documentation (in info format) on how to use Heimdal, and relevant standards for Kerberos. Homepage: http://www.h5l.org/ Section: doc Priority: extra Filename: pool/main/h/heimdal/heimdal-docs_1.6~git20120403+dfsg1-2+deb7u1_all.deb Package: heimdal-kcm Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Architecture: armhf Maintainer: Brian May Installed-Size: 124 Depends: libasn1-8-heimdal (>= 1.4.0+git20110226), libc6 (>= 2.13-28), libhcrypto4-heimdal (>= 1.4.0+git20110226), libhdb9-heimdal (>= 1.4.0+git20110226), libheimbase1-heimdal (>= 1.4.0+git20110226), libheimntlm0-heimdal (>= 1.4.0+git20110226), libkrb5-26-heimdal (>= 1.4.0+git20110226), libroken18-heimdal (>= 1.4.0+git20110226) Homepage: http://www.h5l.org/ Priority: extra Section: net Filename: pool/main/h/heimdal/heimdal-kcm_1.6~git20120403+dfsg1-2+deb7u1_armhf.deb Size: 51634 SHA256: 876ef6f66ef2ee224b470528cfe1ca0e8dc8a16687d8766c1cd637bb24004490 SHA1: ff899aead32c99a28f38205b47948365d6295bf8 MD5sum: af345b224799aadc771625f6d0d53f39 Description: Heimdal Kerberos - KCM daemon Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. . This package includes the KCM daemon which can hold the credentials for all users in the system. Access control is done with Unix-like permissions. The daemon checks the access on all operations based on the UID and GID of the user. The tickets are renewed as long as is permitted by the KDC's policy. Package: heimdal-kdc Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Architecture: armhf Maintainer: Brian May Installed-Size: 360 Depends: debconf (>= 0.5.00) | debconf-2.0, heimdal-clients, krb5-config, openbsd-inetd | inet-superserver, libasn1-8-heimdal (>= 1.4.0+git20110226), libc6 (>= 2.13-28), libcap-ng0, libdb5.1, libedit2 (>= 2.11-20080614-1), libgssapi3-heimdal (>= 1.4.0+git20110226), libhcrypto4-heimdal (>= 1.4.0+git20110226), libhdb9-heimdal (>= 1.4.0+git20110226), libkadm5srv8-heimdal (>= 1.6~git20120311), libkdc2-heimdal (>= 1.4.0+git20110226), libkrb5-26-heimdal (>= 1.4.0+git20110226), libroken18-heimdal (>= 1.4.0+git20110226), libsl0-heimdal (>= 1.4.0+git20110226) Recommends: logrotate Suggests: heimdal-docs Conflicts: heimdal-clients (<< 0.4e-3), heimdal-servers (<< 0.6.3-3), kerberos4kth-kdc, krb5-admin-server, krb5-kdc Replaces: heimdal-clients (<< 0.7.2-1), heimdal-servers (<< 0.4e-3) Homepage: http://www.h5l.org/ Priority: extra Section: net Filename: pool/main/h/heimdal/heimdal-kdc_1.6~git20120403+dfsg1-2+deb7u1_armhf.deb Size: 128196 SHA256: 654766f7d7e92384fd8e61b7071fb9eab1b7fa723e01fc0e2134952fe903ef37 SHA1: 9e0e5a5548d6eb79e16708812f3c7ad5e1cfb7a5 MD5sum: e6af9dddff7b658a1450f331ebc65578 Description: Heimdal Kerberos - key distribution center (KDC) Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. . This package includes the KDC (key distribution center) server, which is designed to run on a secure computer and keeps track of users' passwords. This is done using the Kerberos protocol in such a way that the server computers do not need to know the passwords. Package: heimdal-multidev Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Architecture: armhf Maintainer: Brian May Installed-Size: 4345 Depends: comerr-dev, libasn1-8-heimdal (= 1.6~git20120403+dfsg1-2+deb7u1), libgssapi3-heimdal (= 1.6~git20120403+dfsg1-2+deb7u1), libhcrypto4-heimdal (= 1.6~git20120403+dfsg1-2+deb7u1), libhdb9-heimdal (= 1.6~git20120403+dfsg1-2+deb7u1), libheimbase1-heimdal (= 1.6~git20120403+dfsg1-2+deb7u1), libhx509-5-heimdal (= 1.6~git20120403+dfsg1-2+deb7u1), libkadm5clnt7-heimdal (= 1.6~git20120403+dfsg1-2+deb7u1), libkadm5srv8-heimdal (= 1.6~git20120403+dfsg1-2+deb7u1), libkafs0-heimdal (= 1.6~git20120403+dfsg1-2+deb7u1), libkdc2-heimdal (= 1.6~git20120403+dfsg1-2+deb7u1), libkrb5-26-heimdal (= 1.6~git20120403+dfsg1-2+deb7u1), libwind0-heimdal (= 1.6~git20120403+dfsg1-2+deb7u1) Suggests: heimdal-docs Conflicts: heimdal-clients (<< 0.4e-7), kerberos4kth-dev Replaces: heimdal-clients (<< 0.4e-7) Homepage: http://www.h5l.org/ Priority: extra Section: devel Filename: pool/main/h/heimdal/heimdal-multidev_1.6~git20120403+dfsg1-2+deb7u1_armhf.deb Size: 1289870 SHA256: 7489193f155852b4b064a5c72ee9db0a0ee40eb46c4c5179343880db9b60c2b7 SHA1: fced3e5b16493baef139cb14d1a6fd232c7c2e40 MD5sum: b0852687304ebe9888e8cdaee878c9a9 Description: Heimdal Kerberos - Multi-implementation Development Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. . This package provides versions of the Heimdal development files that can be installed along-side MIT Kerberos development files. Normally, heimdal-dev should be used. However if a package needs to build against both Heimdal Kerberos and MIT Kerberos, then the multidev package should be used. Package: heimdal-servers Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Architecture: armhf Maintainer: Brian May Installed-Size: 346 Depends: krb5-config, openbsd-inetd | inet-superserver, libasn1-8-heimdal (>= 1.4.0+git20110226), libc6 (>= 2.13-28), libdb5.1, libgssapi3-heimdal (>= 1.5~pre2+git20110720), libhcrypto4-heimdal (>= 1.4.0+git20110226), libkafs0-heimdal (>= 1.4.0+git20110226), libkrb5-26-heimdal (>= 1.4.0+git20110226), libotp0-heimdal (>= 1.4.0+git20110226), libroken18-heimdal (>= 1.4.0+git20110226), libtinfo5 Suggests: heimdal-docs Conflicts: ftp-server, heimdal-clients (<< 0.2l-2), kerberos4kth-services, netstd, pop3-server, rsh-server, telnet-server, telnetd, telnetd-ssl, wu-ftpd-academ (<< 2.5.0) Replaces: heimdal-clients (<< 0.2l-2) Provides: ftp-server, pop3-server, rsh-server, telnet-server Homepage: http://www.h5l.org/ Priority: extra Section: net Filename: pool/main/h/heimdal/heimdal-servers_1.6~git20120403+dfsg1-2+deb7u1_armhf.deb Size: 162500 SHA256: 6be971395c72391ea5351821a6c91882c37426950a0b846347c740a2fb3edbe9 SHA1: 7aee8b8f23855c8da6c90510c055e8f3426fb6d1 MD5sum: 7e01f8fdb6c6f9dc41cdc89b898cc37b Description: Heimdal Kerberos - server programs Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. . This package includes servers such as telnetd and ftpd that have been compiled with Heimdal support. Package: heimdal-servers-x Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Architecture: armhf Maintainer: Brian May Installed-Size: 94 Depends: heimdal-servers, openbsd-inetd | inet-superserver, libasn1-8-heimdal (>= 1.4.0+git20110226), libc6 (>= 2.13-28), libhcrypto4-heimdal (>= 1.4.0+git20110226), libice6 (>= 1:1.0.0), libkafs0-heimdal (>= 1.4.0+git20110226), libkrb5-26-heimdal (>= 1.4.0+git20110226), libroken18-heimdal (>= 1.4.0+git20110226), libsm6, libx11-6, libxau6 Suggests: heimdal-docs Conflicts: heimdal-servers (<< 0.2l-3), kerberos4kth-x11 Replaces: heimdal-servers (<< 0.2l-3) Homepage: http://www.h5l.org/ Priority: extra Section: net Filename: pool/main/h/heimdal/heimdal-servers-x_1.6~git20120403+dfsg1-2+deb7u1_armhf.deb Size: 39892 SHA256: d9eb8e2447aba9397e5e854ed7987b7d351b70161739b1eac2f49d7c109507f9 SHA1: faf58fa9a93c7618abd6fb8a0d9e8d14b0677488 MD5sum: 779fdc3a799b0d0e19fb5d0fa070d729 Description: Heimdal Kerberos - X11 server programs Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. . This package includes Kerberos server programs for forwarding the X connection securely from a remote computer. Package: heirloom-mailx Version: 12.5-2+deb7u1 Architecture: armhf Maintainer: Hilko Bengen Installed-Size: 449 Depends: base-files (>= 2.2.0), libc6 (>= 2.13-28), libgssapi-krb5-2 (>= 1.10+dfsg~), libssl1.0.0 (>= 1.0.0) Suggests: exim4 | mail-transport-agent Conflicts: mailutils (<< 1:1.1+dfsg1-4), mailx (<< 1:20071201) Replaces: nail Provides: imap-client, mail-reader, mailx Homepage: http://heirloom.sourceforge.net/mailx.html Priority: optional Section: mail Filename: pool/main/h/heirloom-mailx/heirloom-mailx_12.5-2+deb7u1_armhf.deb Size: 253508 SHA256: 4a20bcc4ed3f2a69b18dabddd545bca65c75ea122d62ff171ae7e12753b356d5 SHA1: 9292bbd2e8c13f4220dcd596d8dbb57515e313b9 MD5sum: 6155feba05b677f5f01eb5b53ae4ba2d Description: feature-rich BSD mail(1) Workalike of the classical mail(1). Heirloom mailx can produce and read MIME and S/MIME messages and has greatly improved character-set handling, including support for UTF-8. . It can send messages through a local /usr/bin/sendmail interface or SMTP, using a smarthost. Mail can be read from local mailboxes as well as via POP3 or IMAP connections. Network protocols can be encrypted using SSL/TLS. Package: helium Version: 1.7~pre20090428-3.1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 20216 Depends: libc6 (>= 2.13-28), libedit2 (>= 2.11-20080614-1), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libtinfo5 Homepage: http://www.cs.uu.nl/wiki/bin/view/Helium/WebHome Priority: optional Section: haskell Filename: pool/main/h/helium/helium_1.7~pre20090428-3.1_armhf.deb Size: 4718356 SHA256: 65055b7e836ca13167a21d7dc7c7b387637a37f916de27f23b20d241d3d76948 SHA1: 415131791183d2d44d99233816af1519c4d6e441 MD5sum: ab28eb3a6b1844905c66360558046775 Description: compiler used for learning the programming language Haskell Helium is a functional programming language and a compiler designed especially for learning Haskell. Quality of the error messages has been the main concern both in the choice of the language features and in the implementation of the compiler. The goal of the language and the compiler is to let students (or anyone) learn functional programming more quickly and with more fun. . Note: Helium does not support type classes yet. For that reason it is incompatible with Haskell text books. Package: hello Version: 2.8-2 Architecture: armhf Maintainer: Santiago Vila Installed-Size: 544 Depends: libc6 (>= 2.13-28), dpkg (>= 1.15.4) | install-info Homepage: http://www.gnu.org/software/hello/ Priority: optional Section: devel Filename: pool/main/h/hello/hello_2.8-2_armhf.deb Size: 66910 SHA256: 71c1dbcc3dabc1ba7d798ca4d2f3cd695f626f93d765b112a7be95f90b0eace6 SHA1: b651e9efab2c8edacd85d63470c90a196cca828b MD5sum: d10099717229b969e28cf56ec0ffc3c0 Description: The classic greeting, and a good example The GNU hello program produces a familiar, friendly greeting. It allows non-programmers to use a classic computer science tool which would otherwise be unavailable to them. . Seriously, though: this is an example of how to do a Debian package. It is the Debian version of the GNU Project's `hello world' program (which is itself an example for the GNU Project). Package: hello-debhelper Version: 2.8-1 Architecture: armhf Maintainer: Santiago Vila Installed-Size: 542 Depends: libc6 (>= 2.13-28), dpkg (>= 1.15.4) | install-info Conflicts: hello Replaces: hello Provides: hello Homepage: http://www.gnu.org/software/hello/ Priority: extra Section: devel Filename: pool/main/h/hello-debhelper/hello-debhelper_2.8-1_armhf.deb Size: 67860 SHA256: d373fd24bb8ddd949e407c21e6b304c4587a1a11a8a3ddf482b4216edfc2b144 SHA1: 917b84607b63ef42110da897a140688fd9ddea8d MD5sum: 04baf01e53322de74109ecc592ed4e7e Description: The classic greeting, and a good example The GNU hello program produces a familiar, friendly greeting. It allows non-programmers to use a classic computer science tool which would otherwise be unavailable to them. . Seriously, though: this is an example of how to do a Debian package. It is the Debian version of the GNU Project's `hello world' program (which is itself an example for the GNU Project). . This is the same as the hello package, except it uses debhelper to make the deb. Please see debhelper as to what it is. Package: help2man Version: 1.40.10 Architecture: armhf Maintainer: Brendan O'Dea Installed-Size: 487 Depends: perl (>= 5.14.2-11), libc6 (>= 2.13-28), liblocale-gettext-perl, dpkg (>= 1.15.4) | install-info Priority: optional Section: devel Filename: pool/main/h/help2man/help2man_1.40.10_armhf.deb Size: 95980 SHA256: eeb1950a55f5445434f93505f44473f91dd6f46c9ffdd79677be1354adb04dce SHA1: ad99db330ba5f228cac6cf3987fad9befa037afe MD5sum: 9a71dad485fff9400125513c4a534b37 Description: Automatic manpage generator Program to create simple man pages from the --help and --version output of other programs. . Since most GNU documentation is now in info format, this provides a way to generate a placeholder man page pointing to that resource while still providing some useful information. Package: helpviewer.app Version: 0.3-7 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 1028 Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), gnustep-fslayout-fhs Homepage: http://www.roard.com/helpviewer/ Priority: optional Section: gnustep Filename: pool/main/h/helpviewer.app/helpviewer.app_0.3-7_armhf.deb Size: 197542 SHA256: 1a270c16d40e9a9d4b6bff16b8659e4024f30c1f4bde42c58aa8bf7dbd8f8f2e SHA1: 327c5c9b771bab0e849f0b9fd4cd4f3105373da9 MD5sum: 47677f8faa8bfca4b982b6165b490a1c Description: Online help viewer for GNUstep programs HelpViewer is an online help viewer for GNUstep programs. It can render files in the XLP format, although this format has been deprecated and only several packages still use it. Package: hepmc-examples Source: hepmc Version: 2.06.09-1 Installed-Size: 197 Maintainer: Debian Science Maintainers Architecture: all Depends: libclhep-dev, libpythia8-dev, libhepmc-dev (>= 2.06.09-1), libhepmcfio-dev (>= 2.06.09-1) Suggests: hepmc-user-manual, hepmc-reference-manual Size: 68914 SHA256: a5bcf20834fb2ba2285558b9507e124dc74c9abc2ead9572426cdb6013d68786 SHA1: 9753b3626d1b3aaf33f9da260b6789bc7aabb023 MD5sum: 965472057d13465d98dd005b43b42e82 Description: Event Record for Monte Carlo Generators - example files The HepMC package is an object oriented event record written in C++ for High Energy Physics Monte Carlo Generators. . Many extensions from HEPEVT, the Fortran HEP standard, are supported: the number of entries is unlimited, spin density matrices can be stored with each vertex, flow patterns (such as color) can be stored and traced, integers representing random number generator states can be stored, and an arbitrary number of event weights can be included. Particles and vertices are kept separate in a graph structure, physically similar to a physics event. . The added information supports the modularisation of event generators. Event information is accessed by means of iterators supplied with the package. . This package provides example source files of HepMC. Homepage: http://lcgapp.cern.ch/project/simu/HepMC/ Section: devel Priority: optional Filename: pool/main/h/hepmc/hepmc-examples_2.06.09-1_all.deb Package: hepmc-reference-manual Source: hepmc Version: 2.06.09-1 Installed-Size: 709 Maintainer: Debian Science Maintainers Architecture: all Recommends: libhepmc-dev, libhepmcfio-dev, hepmc-user-manual Size: 698156 SHA256: 360818966b2b2cdc5921f037d5113c0369f80f214b87340a7f88c929000bdda4 SHA1: c9c2271c3f057709ebd2f5c3e3c3d2bd902e3990 MD5sum: 4abc245966bf57922defa7e917909f1d Description: Event Record for Monte Carlo Generators - Reference Manual The HepMC package is an object oriented event record written in C++ for High Energy Physics Monte Carlo Generators. . Many extensions from HEPEVT, the Fortran HEP standard, are supported: the number of entries is unlimited, spin density matrices can be stored with each vertex, flow patterns (such as color) can be stored and traced, integers representing random number generator states can be stored, and an arbitrary number of event weights can be included. Particles and vertices are kept separate in a graph structure, physically similar to a physics event. . The added information supports the modularisation of event generators. Event information is accessed by means of iterators supplied with the package. . This package provides HepMC2 Reference Manual. Homepage: http://lcgapp.cern.ch/project/simu/HepMC/ Section: doc Priority: optional Filename: pool/main/h/hepmc/hepmc-reference-manual_2.06.09-1_all.deb Package: hepmc-user-manual Source: hepmc Version: 2.06.09-1 Installed-Size: 274 Maintainer: Debian Science Maintainers Architecture: all Recommends: libhepmc-dev, libhepmcfio-dev Suggests: hepmc-reference-manual Size: 252634 SHA256: 6fcb410564576ecfe11f45de37903e84320719d38f00d69bebee9273e13e778c SHA1: e61f1ec5a48d1bfa3c3f944c7a254ff86a6fde19 MD5sum: bd4d59711096f183e3905dceee6a3d9f Description: Event Record for Monte Carlo Generators - User Manual The HepMC package is an object oriented event record written in C++ for High Energy Physics Monte Carlo Generators. . Many extensions from HEPEVT, the Fortran HEP standard, are supported: the number of entries is unlimited, spin density matrices can be stored with each vertex, flow patterns (such as color) can be stored and traced, integers representing random number generator states can be stored, and an arbitrary number of event weights can be included. Particles and vertices are kept separate in a graph structure, physically similar to a physics event. . The added information supports the modularisation of event generators. Event information is accessed by means of iterators supplied with the package. . This package provides HepMC2 User Manual. Homepage: http://lcgapp.cern.ch/project/simu/HepMC/ Section: doc Priority: optional Filename: pool/main/h/hepmc/hepmc-user-manual_2.06.09-1_all.deb Package: herbstluftwm Version: 0.3-1 Architecture: armhf Maintainer: Christoph Egger Installed-Size: 317 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.28.0), libx11-6 Recommends: dzen2, xterm Suggests: suckless-tools Provides: x-window-manager Homepage: http://wwwcip.cs.fau.de/~re06huxa/herbstluftwm/ Priority: optional Section: x11 Filename: pool/main/h/herbstluftwm/herbstluftwm_0.3-1_armhf.deb Size: 91100 SHA256: d77a8da0d2f2e9286f6393a48c897aa5e538e2d69067fd3277b2dd38e3b4eac5 SHA1: 9e040f0792f155927b7d5a3a2882619b7d560656 MD5sum: e898db56a4afa644c2ada7d5e1d10a57 Description: manual tiling window manager for X11 In herbstluftwm the layout is based on splitting frames into subframes which can be split again or can be filled with windows, Tags (or workspaces or virtual desktops or ...) can be added/removed at runtime. Each tag contains an own layout and exactly one tag is viewed on each monitor. The tags are monitor independent. . It is configured at runtime via ipc calls from herbstclient. So the configuration file is just a script which is run on startup. Package: hercules Version: 3.07-2.2+rpi1 Architecture: armhf Maintainer: Peter De Schrijver (p2) Installed-Size: 6431 Depends: libbz2-1.0, libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libltdl7 (>= 2.4.2), zlib1g (>= 1:1.2.3.3) Suggests: x3270 Priority: extra Section: otherosfs Filename: pool/main/h/hercules/hercules_3.07-2.2+rpi1_armhf.deb Size: 2469968 SHA256: fd09d7e307380e5cc5de964444827b0599bec031fbec954d2f23eff8fcac61f4 SHA1: df177a83ac256c28450fe2dd7422b0d6c5e7e64e MD5sum: b6468d18b8ec8ffbd1f147aaba6efebb Description: System/370, ESA/390 and z/Architecture Emulator Hercules is an open source software implementation of the mainframe System/370 and ESA/390 architectures, in addition to the new 64-bit z/Architecture. . This means that your PC can emulate an IBM mainframe processor. The mainframe can range from a 360 to a z900 - running in "System/370" mode, "ESA/390" mode, or "z/Architecture" mode. Hercules executes S/370, ESA/390, and z/Architecture instructions and channel programs. It emulates mainframe I/O devices by using PC devices. For example, 3390 DASD devices are emulated by large files on your hard disk, and local 3270 screens are emulated by tn3270 sessions. . Hercules implements only the raw S/370, ESA/390, and z/Architecture instruction set; it does not provide any operating system facilities. This means that you need to provide an operating system or standalone program which Hercules can load from an emulated disk or tape device. You will have to use a free software operating system such as Linux, write the operating system or standalone program yourself, obtain a license from IBM to run one of their operating systems on your PC, or use IBM programs and operating systems which have been placed in the public domain. . Virtual networking can be accomplished using the TUN/TAP driver in host Linux kernel. Package: herculesstudio Version: 1.3.0-2 Architecture: armhf Maintainer: Liang Guo Installed-Size: 1229 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0), hercules (>= 3.07-2.1) Homepage: http://www.mvsdasd.org/hercstudio/ Priority: extra Section: otherosfs Filename: pool/main/h/herculesstudio/herculesstudio_1.3.0-2_armhf.deb Size: 572738 SHA256: f9f19ca2f203c02c67dcf7ed319107013ba5ce3d7f698a05eec4de75fe1bdcea SHA1: b14ca98bf28d259862a11dc36d6963a3a64bd389 MD5sum: c7bf06a6a6e9b3b006d0ddb9e31c78db Description: Hercules GUI front-end Hercules Studio is a GUI front-end of the Hercules mainframe Emulator. With Hercules Studio, you can easily control and monitor the hercules virtual machine. Package: heroes-common Source: heroes Version: 0.21-8.4 Architecture: armhf Maintainer: Daniel Burrows Installed-Size: 387 Depends: libc6 (>= 2.13-28) Recommends: heroes-sdl (= 0.21-8.4) Conflicts: heroes-ggi (<< 0.8-3), heroes-sdl (<< 0.8-3), heroes-utils Replaces: heroes-ggi (<< 0.8-3), heroes-sdl (<< 0.8-3), heroes-utils Provides: heroes Priority: optional Section: games Filename: pool/main/h/heroes/heroes-common_0.21-8.4_armhf.deb Size: 132212 SHA256: 1dff8d14114ae61914700be6f130fb841111e5c3d0520188625bbb4fefb5741c SHA1: f91fc76da80d0609d1c9fbc7a29950fc04fb0d9c MD5sum: 3f1d0dbf760878a51fd9b1c5cfe21ef7 Description: Collect powerups and avoid your opponents' trails Heroes is similar to the "Tron" and "Nibbles" games of yore, but includes many graphical improvements and new game features. In it, you must maneuver a small vehicle around a world and collect powerups while avoiding obstacles, your opponents' trails, and even your own trail. . Several styles of play are available, including "get-all-the-bonuses", deathmatch, and "squish-the-pedestrians". All game styles can be played in both single-player and two-player (split-screen) modes. . You should install the heroes-sound-effects package if you want sound effects, and the heroes-sound-tracks package if you want background music in the game. . This package contains a small number of common files for heroes. Package: heroes-data Version: 1.5-2 Installed-Size: 2686 Maintainer: Daniel Burrows Architecture: all Replaces: heroes (<< 0.6-0pre3) Recommends: heroes-common Conflicts: heroes (<< 0.6-0pre3) Size: 434430 SHA256: 5f95672d0d59ef3be64cbb17efc585ea162ef81c20bdc56859c9dce1450f4576 SHA1: 3869bf6970855bea7a44f99f514f16d09eb00afb MD5sum: 4370f1426fc0d21d090b1d29c92a8ac8 Description: Required data files for heroes Heroes is similar to the "Tron" and "Nibbles" games of yore, but includes many graphical improvements and new game features. In it, you must maneuver a small vehicle around a world and collect powerups while avoiding obstacles, your opponents' trails, and even your own trail. . This package contains required data files for heroes, including images, level files, and tilesets. Tag: game::arcade, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/h/heroes-data/heroes-data_1.5-2_all.deb Package: heroes-sdl Source: heroes Version: 0.21-8.4 Architecture: armhf Maintainer: Daniel Burrows Installed-Size: 331 Depends: heroes-data (>= 1.4-1), heroes-common (= 0.21-8.4), libc6 (>= 2.13-28), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11) Recommends: heroes-sound-effects, heroes-sound-tracks Priority: optional Section: games Filename: pool/main/h/heroes/heroes-sdl_0.21-8.4_armhf.deb Size: 148910 SHA256: 7d6f984b4a0c9f96b7806767cc9dd196d7d1ffc528e3b63b5b25ed90eeb440c6 SHA1: a729015c89664275fbd4d66f4f2b208502e58182 MD5sum: 336cb2b96d38717cb1d9f802526dd405 Description: Collect powerups and avoid your opponents' trails Heroes is similar to the "Tron" and "Nibbles" games of yore, but includes many graphical improvements and new game features. In it, you must maneuver a small vehicle around a world and collect powerups while avoiding obstacles, your opponents' trails, and even your own trail. . Several styles of play are available, including "get-all-the-bonuses", deathmatch, and "squish-the-pedestrians". All game styles can be played in both single-player and two-player (split-screen) modes. . You should install the heroes-sound-effects package if you want sound effects, and the heroes-sound-tracks package if you want background music in the game. . This version is linked against the SDL libraries. Package: heroes-sound-effects Version: 1.0-4 Installed-Size: 666 Maintainer: Daniel Burrows Architecture: all Replaces: heroes (<< 0.6-0pre3) Recommends: heroes Conflicts: heroes (<< 0.6-0pre3) Size: 392374 SHA256: 7e03f82e1147ab05482d31826bf63331169bb2d85657b79741a2573bcb003ff3 SHA1: c3582dfbc321acbf4b12427e08f877db33b180e7 MD5sum: de385e89052bb766ce0e1b237147c20d Description: Optional sound files for heroes Heroes is similar to the "Tron" and "Nibbles" games of yore, but includes many graphical improvements and new game features. In it, you must maneuver a small vehicle around a world and collect powerups while avoiding obstacles, your opponents' trails, and even your own trail. . This package contains optional sound effects for heroes. Install these if you want nifty little noises while playing the game. Tag: game::arcade, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/h/heroes-sound-effects/heroes-sound-effects_1.0-4_all.deb Package: heroes-sound-tracks Version: 1.0-4 Installed-Size: 3005 Maintainer: Daniel Burrows Architecture: all Replaces: heroes (<< 0.6-0pre3) Recommends: heroes Conflicts: heroes (<< 0.6-0pre3) Size: 1289406 SHA256: 0a46ae371755c1a73cae21340543f2699d8e3fd83642b35241af72d1d3be1cfa SHA1: fa4ba2270e1c2847df2929995a608eb885598806 MD5sum: 6c1cbacb08784bf68657f371af125c81 Description: Optional sound files for heroes Heroes is similar to the "Tron" and "Nibbles" games of yore, but includes many graphical improvements and new game features. In it, you must maneuver a small vehicle around a world and collect powerups while avoiding obstacles, your opponents' trails, and even your own trail. . This package contains optional background music for Heroes. Install this if you want to listen to music while playing the game, or if you just happen to like the tunes. Be warned that this package is quite large and will take a long time to download over a modem or other slow link! Tag: game::arcade, made-of::audio, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/h/heroes-sound-tracks/heroes-sound-tracks_1.0-4_all.deb Package: hesiod Version: 3.0.2-21+deb7u1 Architecture: armhf Maintainer: Karl Ramm Installed-Size: 7 Depends: libc6 (>= 2.13-28), libhesiod0 Priority: extra Section: utils Filename: pool/main/h/hesiod/hesiod_3.0.2-21+deb7u1_armhf.deb Size: 5674 SHA256: 5843685896dd921ca6b3b147951329475c163904accc771f7ab0f7f263525fcd SHA1: cc070f7ba5d81816aff73bfd4bbd9d264b3d93e3 MD5sum: 378e2251f249d38d741a4f5d64691d6b Description: Project Athena's DNS-based directory service - utilities Hesiod is a name service library that can provide general name service for a variety of applications. It is derived from BIND, the Berkeley Internet Name Daemon, and leverages the existing DNS infrastructure of a network. It is used on a number of university networks, including MIT and Iowa State University. . This package is only useful on networks that already use Hesiod. Package: hevea Version: 1.10-14 Installed-Size: 1664 Maintainer: Debian OCaml Maintainers Architecture: all Depends: ghostscript, netpbm (>= 2:9.10-1), texlive-base, ocaml-base-nox-3.12.1, dpkg (>= 1.14.18), tex-common (>= 2.00) Suggests: hevea-doc Size: 400666 SHA256: 12db2573b0c5e5d7803d9d9dc4d8aa69c80987bd0de228a2875f28a8faca8f13 SHA1: 174bd0da797efc0d4a43cce750ed15e221c3f517 MD5sum: 8d6b49cac483d256e947bd62b90c7846 Description: translates from LaTeX to HTML, info, or text Its remarkable features are - It produces good output. Special symbols (like mathematical symbols) are translated into HTML entities which should be rendered by any graphical browser. Picture files are only generated on demand, for instance when translating graphics. - It is highly configurable through (La)TeX macros. Though aimed at LaTeX input it understands a fair subset of TeX' macro language. - It runs fast. . This version of HeVeA is patched to generate by default picture files in the PNG format instead of the GIF format. Homepage: http://hevea.inria.fr/ Tag: field::mathematics, implemented-in::ocaml, interface::commandline, role::program, scope::utility, use::converting, use::editing, works-with-format::html, works-with-format::info, works-with-format::plaintext, works-with-format::png, works-with-format::tex, works-with::image, works-with::image:raster, works-with::text Section: tex Priority: optional Filename: pool/main/h/hevea/hevea_1.10-14_all.deb Package: hex-a-hop Version: 0.0.20070315-8 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 3423 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-pango1, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Homepage: http://www.aceinternet.co.uk/~mokona/ Priority: optional Section: games Filename: pool/main/h/hex-a-hop/hex-a-hop_0.0.20070315-8_armhf.deb Size: 988270 SHA256: 09ed966bcda85652e0d2ca391bfa19e18de6a594391f29be8432bb34d51451e5 SHA1: 26c9c325460528432eaaaa33814be952e2ece20e MD5sum: 5ab4c88027d603d61dd85802748fae17 Description: puzzle game based on hexagonal tiles Hex-a-hop is a great puzzle game in which a girl has to break all the green tiles in an hexagonal map without getting trapped. There is no time limit and no real-time element. . The objective is simply to destroy all the green hexagonal tiles on each of the 100 levels. As you progress through the game, more types of tiles are introduced which make things more difficult and interesting. Package: hexalate Version: 1.0.1-3 Architecture: armhf Maintainer: Bart Martens Installed-Size: 142 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://gottcode.org/hexalate/ Priority: optional Section: games Filename: pool/main/h/hexalate/hexalate_1.0.1-3_armhf.deb Size: 40558 SHA256: e313fec8432a12a3d80358b839b024175fc1756d105f4d112c72a0cb05cd363a SHA1: f5490f3b6c5883e5ff378b929e296338335cc4d0 MD5sum: dee84363dc2cfac2083f38658bb0503f Description: Color matching puzzle Hexalate is a color matching game. The goal of the game is to rotate and position the circles so that each touching line matches in color. You rotate circles by right clicking, and you move circles by dragging them. The game stores the positions and rotations of the circles across runs. Package: hexcurse Version: 1.55-2 Architecture: armhf Maintainer: RISKO Gergely Installed-Size: 83 Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5 Priority: optional Section: utils Filename: pool/main/h/hexcurse/hexcurse_1.55-2_armhf.deb Size: 25894 SHA256: 87340974b8752c6f957cdaa0d0834a365320253e9c9b8f30df4babcf28e2453f SHA1: 20a40ce482f6d5177393ec629c31f9ee2b21a6b6 MD5sum: 26440cf729513d83534e6ec4c8d744c3 Description: A ncurses-based hex editor with many features HexCurse is a versatile ncurses-based hex editor written in C that provides the user with many features. It currently supports searching, hex, and decimal address output, jumping to specified locations in a file, and quick keyboard shortcuts to commands. Package: hexec Version: 0.2.1-2 Architecture: armhf Maintainer: TANIGUCHI Takaki Installed-Size: 109 Depends: libc6 (>= 2.4) Homepage: http://sourceforge.net/projects/hexec/ Priority: extra Section: devel Filename: pool/main/h/hexec/hexec_0.2.1-2_armhf.deb Size: 28526 SHA256: ae25ebeac005c0ff068989d3843255f3fcb26ee16b0be2b4362fcf54e7e04bd3 SHA1: bf33eed58966fb37998d5cfa1ca8063b7cf4191d MD5sum: 230e53e29410906f3925e6e7a692c916 Description: Command line tool to hook into exec calls hexec can be used to hook into exec system calls. It evaluates a user defined expression against all hooked exec calls. This expression can contain simple path checks (e.g. -path "*/name") and even complex bash scripts. Package: hexedit Version: 1.2.12-4 Architecture: armhf Maintainer: Alexander Reichle-Schmehl Installed-Size: 82 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5 Homepage: http://www.chez.com/prigaux/hexedit.html Priority: optional Section: editors Filename: pool/main/h/hexedit/hexedit_1.2.12-4_armhf.deb Size: 28280 SHA256: 0d80244081b31d6265730231bde60eaa9caf13facdffa98d43d1f0ee5bee0b1c SHA1: 23ac7c8760a8e52ae03fdc6a2235fa715ffafc3d MD5sum: 3f93348f427ad0247df91485cb074f0a Description: view and edit files in hexadecimal or in ASCII hexedit shows a file both in ASCII and in hexadecimal. The file can be a device as the file is not whole read. You can modify the file and search through it. You have also copy&paste and save to file functions. Truncating or appending to the file. Modifications are shown in bold. Package: hexer Version: 0.1.7-1.1 Architecture: armhf Maintainer: Peter Pentchev Installed-Size: 248 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Multi-Arch: foreign Homepage: http://devel.ringlet.net/editors/hexer/ Priority: optional Section: utils Filename: pool/main/h/hexer/hexer_0.1.7-1.1_armhf.deb Size: 100078 SHA256: 8e7d3caf065c128f106881058cea013792dfd25d0f1906837c8ad644c2841a9f SHA1: 3bde17aea27990b0c609174afd532a454ce487a1 MD5sum: 68ba986e74b1794423a6b870e15912b6 Description: interactive binary editor with a Vi-like interface Hexer is an interactive binary editor (also known as a hexeditor) with a Vi-like interface. Its most important features are multiple buffers, multi-level undo, command-line editing with completion, and binary regular expressions. Package: hexter Version: 0.6.2-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 392 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), liblo7 (>= 0.26~repack), libpango1.0-0 (>= 1.14.0) Suggests: dssi-host-jack Provides: dssi-plugin Homepage: http://dssi.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/h/hexter/hexter_0.6.2-3_armhf.deb Size: 158590 SHA256: 1299add347861e3ef588078be1cbcee576964e3c0b3fb2c96c348c8efc60da8d SHA1: b7896bb1e77ccf185ba7baae8589d9052bd20099 MD5sum: 6a1c748dba79f2f23ca57b6b31867c6c Description: Yamaha DX7 modeling DSSI plugin hexter is a software synthesizer that models the sound generation of a Yamaha DX7 synthesizer. It can easily load most DX7 patch bank files, accept patch editing commands via MIDI sys-ex messages, and recreate the sound of the DX7 with great accuracy. Package: hexxagon Version: 1.0pl1-3.1 Architecture: armhf Maintainer: Michael Piefel Installed-Size: 1485 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6) Priority: optional Section: games Filename: pool/main/h/hexxagon/hexxagon_1.0pl1-3.1_armhf.deb Size: 1324642 SHA256: 255ecc1cae56efa59a02f9bb4a4ad06fdd9c1a0adc764a6d23daec2a17306cf5 SHA1: 1b404f05c8822004a59c0b4161d365622ffbe482 MD5sum: 282f5b3f7975f8cfefb10085827d63cd Description: Hexagonal Ataxx clone The goal of the game is to conquer as much of the board as possible. This is done by capturing the opponent’s pieces or by cloning your own. The board is a hexagon built from smaller hexagons. . Hexxagon is just like Ataxx apart from the board design; the Ataxx board is square and the Hexxagon board is hexagonal. Hexxagon also offers alternatives boards. Package: hfsplus Version: 1.0.4-12 Architecture: armhf Maintainer: Aurélien GÉRÔME Installed-Size: 176 Depends: libc6 (>= 2.7), libhfsp0 Priority: optional Section: otherosfs Filename: pool/main/h/hfsplus/hfsplus_1.0.4-12_armhf.deb Size: 48564 SHA256: 269ddb00d8c3002303043f2cc6d16977fc511111df3ccd88bbf1f3b77f307628 SHA1: 37da1d77e4fbd30db4ca2b26ee90316084a610b9 MD5sum: c68b6d6c748a7e7853d59b5cf37577c9 Description: Tools to access HFS+ formatted volumes HFS+ is a modernized version of Apple Computers HFS Filesystem. Nowadays, it is widely used with more recent versions of MacOS. hfsplus consists of a library and a set of tools that allow access to HFS+ volumes. . This package contains the tools themselves. Package: hfsprogs Version: 332.25-10 Architecture: armhf Maintainer: Rogério Brito Installed-Size: 323 Depends: libbsd0 (>= 0.0), libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0) Homepage: http://www.opensource.apple.com/darwinsource/10.4/ Priority: optional Section: otherosfs Filename: pool/main/h/hfsprogs/hfsprogs_332.25-10_armhf.deb Size: 159774 SHA256: cfdedb40ffaaf2ca6742d462ffe4074889f800c78f00b0d53f20abad23b306ad SHA1: c9b9ad5c91fa7bafdcd0d4d7da3a42caf8fa3c0c MD5sum: c3240dc90c099274976586cc774df7c9 Description: mkfs and fsck for HFS and HFS+ file systems The HFS+ file system used by Apple Computer for their Mac OS is supported by the Linux kernel. Apple provides mkfs and fsck for HFS+ with the Unix core of their operating system, Darwin. . This package is a port of Apple's tools for HFS+ filesystems. . For users, HFS+ seems to be a good compromise to carry files between MacOS X and Linux Machines, as HFS+ doesn't suffer the problems of FAT32 like: . * huge space waste (in slack space as devices grow faster); * ability to create files that are more than 4GB in size (especially good for those working with multimedia and that need to carry large ISO files); * ability to use case preserving (and even sensitivity!); * ability to use uid's and gid's on the filesystem. . Users in general can enjoy such benefits since it is expected to have more HFS+ filesystems in use, as Apple has announced Macintoshes for ix86-64, besides the filesystem being already supported by PowerPC systems since the beginning. Package: hfsutils Version: 3.2.6-11 Architecture: armhf Maintainer: Aurélien GÉRÔME Installed-Size: 148 Depends: libc6 (>= 2.4) Suggests: hfsutils-tcltk Priority: extra Section: otherosfs Filename: pool/main/h/hfsutils/hfsutils_3.2.6-11_armhf.deb Size: 73370 SHA256: 5552bb3052190fd339595e9bdf1a7573c10f8c4b287131aef8cc30113bf01093 SHA1: 06600e2ac4ab64ce34f6a7f73a9028169c7a10f4 MD5sum: ed565657a5adfe4a57d568a48cd37336 Description: Tools for reading and writing Macintosh volumes HFS is the native Macintosh filesystem format. . This package contains several command-line utilities for reading and writing Macintosh HFS-formatted media such as floppy disks, CD-ROMs, and hard disks. . Homepage: http://www.mars.org/home/rob/proj/hfs/ Package: hfsutils-tcltk Source: hfsutils Version: 3.2.6-11 Architecture: armhf Maintainer: Aurélien GÉRÔME Installed-Size: 246 Depends: hfsutils, libc6 (>= 2.4), tcl8.4 (>= 8.4.16), tk8.4 (>= 8.4.16) Replaces: hfsutils (<< 3.1-3) Priority: extra Section: otherosfs Filename: pool/main/h/hfsutils/hfsutils-tcltk_3.2.6-11_armhf.deb Size: 105690 SHA256: 927ab86e3481d06bd0ff7f5b1ba67d46cfc78954c49aa393b1c604a6ec47ed41 SHA1: c62dc089a648d77234bd8271797b1167cb5c0dd9 MD5sum: 0b8b8ce64ac0c46edbbc34dafe347e53 Description: Tcl/Tk interfaces for reading and writing Macintosh volumes HFS is the native Macintosh filesystem format. . This package contains xhfs, a Tk-based X windows interface, and hfssh, a Tcl-based shell. . Homepage: http://www.mars.org/home/rob/proj/hfs/ Package: hg-fast-export Version: 20120618-1 Installed-Size: 87 Maintainer: Andrey Rahmatullin Architecture: all Depends: python (>= 2.6.6-7~), git, mercurial Size: 17094 SHA256: e69076f8c3cb75065da157e61e02fb65e851408248d7346660b55a05f3631b4e SHA1: 20307cb069d9b97fb28028f6fe99fef438b0d237 MD5sum: 8145f3b198443cee9433184eed0b727d Description: mercurial to git converter using git-fast-import hg-fast-export tool allows incremental import of mercurial repositories to git repositories. . It can automatically import a local hg repo into a local git repo using just one command. Subsequent importing of new changesets is supported. . Included git-hg wrapper script can be used to transparently track Mercurial repositories without a separate checkout. It also includes experimental support for pushing back to Mercurial. Homepage: http://repo.or.cz/w/fast-export.git Tag: devel::rcs, implemented-in::python, implemented-in::shell, interface::commandline, role::program, scope::utility, works-with::vcs Section: vcs Priority: optional Filename: pool/main/h/hg-fast-export/hg-fast-export_20120618-1_all.deb Package: hgsubversion Version: 1.4-1 Installed-Size: 341 Maintainer: Qijiang Fan Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), mercurial (>= 1.9.1-1~), subversion (>= 1.5), python-subvertpy (>= 0.7.4) | python-subversion Size: 66188 SHA256: bf0f8de8f34a0deded9e84957838ebc72d27443e8747c5f1d87f691923f7bd80 SHA1: df35ed39d10f2f9ae66411f91908624bc85d8f9e MD5sum: 230a8f385045ba2e9874d8d03ad50fb7 Description: Subversion client as Mercurial extension hgsubversion is an extension for Mercurial that allows using Mercurial as a Subversion client. . At this point, hgsubversion is usable by users reasonably familiar with Mercurial as a VCS. It's not recommended to dive into hgsubversion as an introduction to Mercurial, since hgsubversion "bends the rules" a little and violates some of the typical assumptions of early Mercurial users. Homepage: http://bitbucket.org/durin42/hgsubversion Tag: devel::rcs, implemented-in::python, role::plugin, works-with::software:source Section: vcs Priority: extra Filename: pool/main/h/hgsubversion/hgsubversion_1.4-1_all.deb Package: hgsvn Version: 0.1.8-1 Installed-Size: 264 Maintainer: Vincent Danjean Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-pkg-resources, mercurial, subversion Size: 40910 SHA256: 198e6571896dc8d2be9681e5a024fb897de828e3b03cfe600d427227d09a8332 SHA1: 767627fd2f663fbe992a521c9b30a28a07929867 MD5sum: 4e2c72520eb0b4e5bd97cce9926630c3 Description: Scripts to work locally on Subversion checkouts using Mercurial This set of scripts allows to work locally on Subversion-managed projects using the Mercurial distributed version control system. . Why use Mercurial ? You can do local (disconnected) work, pull the latest changes from the SVN server, manage private branches, submit patches to project maintainers, etc. And of course you have fast local operations like "hg log", "hg annotate"... . Currenly three scripts are provided: hgimportsvn, hgpullsvn, and hgpushsvn. . hgimportsvn initializes an SVN checkout which is also a Mercurial repository. . hgpullsvn pulls the latest changes from the SVN repository, and updates the Mercurial repository accordingly. It can be run multiple times. . hgpushsvn pushes your local Mercurial commits back to the SVN repository. Homepage: http://cheeseshop.python.org/pypi/hgsvn Tag: devel::rcs Section: vcs Priority: extra Filename: pool/main/h/hgsvn/hgsvn_0.1.8-1_all.deb Package: hgview Version: 1.5.0-4 Installed-Size: 546 Maintainer: David Douard Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-qt4, python-qscintilla2, python-docutils, hgview-common (= 1.5.0-4) Enhances: mercurial Size: 122614 SHA256: 01144f941bf5616027e0f258c053ca67ee1d556e3987e3da1d23136d4cd2a2f3 SHA1: 53da8884545178dfbe4b5145d7614588316c2963 MD5sum: 26ebc86c159f92d587922318b4ed6dff Description: mercurial interactive history viewer (Qt4 interface) Its purpose is to easily navigate in a mercurial repository history. It has been written with efficiency in mind when dealing with quite big repositories. . This package installs the Qt4 interface. Homepage: http://www.logilab.org/project/hgview Tag: devel::rcs, implemented-in::python, interface::x11, role::plugin, role::program, uitoolkit::qt, use::viewing, works-with::vcs, x11::application Section: vcs Priority: optional Filename: pool/main/h/hgview/hgview_1.5.0-4_all.deb Package: hgview-common Source: hgview Version: 1.5.0-4 Installed-Size: 180 Maintainer: David Douard Architecture: all Replaces: hgview (<< 1.4) Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), mercurial (>= 1.9.1-1) Recommends: hgview | hgview-curses Enhances: mercurial Breaks: hgview (<< 1.4) Size: 30044 SHA256: dd3eec453433ea0a43a28377ebf49d750a68c347b3f6a9b0c85ed6aee2575584 SHA1: c13022918df9df841ba1897d812dcd5225524fa4 MD5sum: 32468fbc200b14799b760cc3229666ce Description: mercurial interactive history viewer (common files) Its purpose is to easily navigate in a mercurial repository history. It has been written with efficiency in mind when dealing with quite big repositories. . This package install the common files. You may want to install a user interface (hgview or hgview-curses). Homepage: http://www.logilab.org/project/hgview Section: vcs Priority: optional Filename: pool/main/h/hgview/hgview-common_1.5.0-4_all.deb Package: hgview-curses Source: hgview Version: 1.5.0-4 Installed-Size: 162 Maintainer: David Douard Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-urwid, python-pygments, python-pyinotify, hgview-common (= 1.5.0-4) Enhances: mercurial Size: 26298 SHA256: ad56f9c8cd6b38159767e1675deefa2ff0a7ceff34ca1876c9c67ca18dc52568 SHA1: 93aba2e29128a1d9cd085730ee01b3379b8b1d25 MD5sum: ce76f518fc38841dedf797b7aca5ebb9 Description: mercurial interactive history viewer (text interface) Its purpose is to easily navigate in a mercurial repository history. It has been written with efficiency in mind when dealing with quite big repositories. . This package installs the text interface. Note that the Qt interface is more complete than the text interface and provides more views. Homepage: http://www.logilab.org/project/hgview Section: vcs Priority: optional Filename: pool/main/h/hgview/hgview-curses_1.5.0-4_all.deb Package: hhsuite-data Source: hhsuite Version: 2.0.15-1 Installed-Size: 6014 Maintainer: Debian Med Packaging Team Architecture: all Size: 2522162 SHA256: 254274e2ff767e95af53164fc26af871f04311eeb8184bcc0929535a4479daab SHA1: f5616321b6b2ae42a750b3ca37913f9d3e94f6ed MD5sum: 8650fa401cbcd66346c58c52d5145847 Description: sensitive protein sequence searching based on HMM-HMM alignment (data) HH-suite is an open-source software package for sensitive protein sequence searching based on the pairwise alignment of hidden Markov models (HMMs). . This package contains architecture independent scripts and data files. Homepage: http://toolkit.genzentrum.lmu.de/sections/search Section: science Priority: extra Filename: pool/main/h/hhsuite/hhsuite-data_2.0.15-1_all.deb Package: hibernate Version: 2.0+15+g88d54a8-1 Installed-Size: 311 Maintainer: Andrey Rahmatullin Architecture: all Depends: kbd Pre-Depends: dpkg (>= 1.15.7.2) Recommends: dash, hdparm, vbetool, uswsusp Suggests: 915resolution, xscreensaver | kscreensaver | gnome-screensaver | xlock | vlock Size: 98694 SHA256: e8c21be4ee8b570fce7087e905b101ea557742116ca07c33741762c9323fef52 SHA1: cf84cbb91141d8afca6c08b34ae2cc2304a4098e MD5sum: 1e9e7133d23d219e8a4bef58e95c7edf Description: smartly puts your computer to sleep (suspend to RAM or disk) The hibernate script helps you in putting your computer to sleep, using one of the various methods available in the kernel. . Hibernate can take care of loading and unloading modules, provides various hacks needed to get some video cards to resume properly under X, can optionally restart networking and system services, and basically do whatever else you ask it. It can be extended by writing new "scriptlets" which run at different points during the suspend process. . Currently the script supports all suspend mechanisms available through the /sys/power/state interface (including ACPI suspend and the in-kernel software suspend), as well as TuxOnIce. Homepage: http://tuxonice.net/ Tag: admin::power-management, hardware::power, hardware::power:acpi, interface::daemon, network::server, role::program Section: utils Priority: optional Filename: pool/main/h/hibernate/hibernate_2.0+15+g88d54a8-1_all.deb Package: hicolor-icon-theme Version: 0.12-1 Installed-Size: 1440 Maintainer: Ross Burton Architecture: all Size: 11712 SHA256: 31e11d6abf7f779bcbf128c261e728e021f2dc0ce9feb1c0cd561c1f00439f3e SHA1: 492c9b970bfa5ac7e2e109b63b81ab9932a29e17 MD5sum: 451e4def3cba7676cfd1083e1fb70fea Description: default fallback theme for FreeDesktop.org icon themes This is the default fallback theme used by implementations of the Freedesktop.org Icon Theme specification. Tag: made-of::icons, made-of::svg, role::app-data, suite::gnome, suite::kde, suite::xfce, x11::theme Section: misc Priority: optional Filename: pool/main/h/hicolor-icon-theme/hicolor-icon-theme_0.12-1_all.deb Package: highlight Version: 3.9-1+deb7u1 Architecture: armhf Maintainer: Ayman Negm Installed-Size: 639 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblua5.1-0, libstdc++6 (>= 4.4.0), highlight-common (= 3.9-1+deb7u1) Homepage: http://www.andre-simon.de Priority: optional Section: devel Filename: pool/main/h/highlight/highlight_3.9-1+deb7u1_armhf.deb Size: 312358 SHA256: 808628fd3a8554add881be378c7c9c3852631ab93f29503bf0ec751471a8e4a5 SHA1: 2f5e294d3cac992b347a7fd19b23f9378305e720 MD5sum: aeda1b0f2d35ff5dbd17e2228b77be13 Description: Universal source code to formatted text converter A utility that converts sourcecode to HTML, XHTML, RTF, LaTeX, TeX, SVG, XML or terminal escape sequences with syntax highlighting. It supports several programming and markup languages. Language descriptions are configurable and support regular expressions. The utility offers indentation and reformatting capabilities. It is easily possible to create new language definitions and colour themes. Package: highlight-common Source: highlight Version: 3.9-1+deb7u1 Installed-Size: 718 Maintainer: Ayman Negm Architecture: all Replaces: highlight (<< 2.9) Breaks: gtk-doc-tools (<< 1.17-2), highlight (<< 3.2), libhighlight-perl (<< 3.2) Size: 214398 SHA256: ff00d91a8a1b704bec407c7686d05b40c4409f532d7eae82ba7371b58646a170 SHA1: b5d624b9e047e91068158cadf5e8ce6538992d6d MD5sum: a3e98149471cf6ae235b3e2af2daab3e Description: source code to formatted text converter (architecture independent files) A utility that converts sourcecode to HTML, XHTML, RTF, LaTeX, TeX, SVG, XML or terminal escape sequences with syntax highlighting. It supports several programming and markup languages. Language descriptions are configurable and support regular expressions. The utility offers indentation and reformatting capabilities. It is easily possible to create new language definitions and colour themes. . These are the architecture independent files. Homepage: http://www.andre-simon.de Tag: role::app-data Section: devel Priority: optional Filename: pool/main/h/highlight/highlight-common_3.9-1+deb7u1_all.deb Package: hiki Version: 0.8.8.1-3 Installed-Size: 1107 Maintainer: Taku YASUI Architecture: all Depends: ruby (>= 1.8), ruby1.8, ruby-uconv Suggests: apache2 | httpd, tdiary-theme, ruby-imagesize, ruby-rd, librt-ruby1.8 Size: 250130 SHA256: 5df981e108635c01ec466319ba9cb0c24141a9d6a7e95e551875e75fe4ea953b SHA1: b0166420fc55c6ba093df47cfdec05a4d0819a04 MD5sum: 1c33e80058509771267fdc8426a78a78 Description: Wiki Engine written in Ruby Hiki is one of WikiWiki Web clone (Wiki Engine) written in programming language Ruby. WikiWikiWeb is web base collaboration tool. Hiki has following features: . * Simple syntax like original Wiki. * Theme function by CSS(Cascading Style Sheet). You can use a lot of tDiary themes (http://www.tdiary.net/theme.rhtml). * Plugin function, you can add various functions. * InterWiki support. * Categorize. Homepage: http://hikiwiki.org/ Tag: implemented-in::ruby, interface::web, network::server, role::program, use::editing, web::wiki, works-with-format::html, works-with::text Section: web Priority: optional Filename: pool/main/h/hiki/hiki_0.8.8.1-3_all.deb Package: hime Version: 0.9.9+git20120619+dfsg-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 754 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxtst6, hime-data, hime-tables Recommends: im-config | im-switch, hime-gtk3-immodule Suggests: hime-anthy, hime-chewing, hime-qt4-immodule Homepage: http://hime.luna.com.tw Priority: optional Section: utils Filename: pool/main/h/hime/hime_0.9.9+git20120619+dfsg-1_armhf.deb Size: 299056 SHA256: 91ac01bb80c3123d5f0a01c6a621d96b9587332ed33d14456c32052d1c1bc732 SHA1: f8b883b427b74f1c745d271612b54803a1e64e9d MD5sum: 46249a4efb0838bb562a0db2220edec9 Description: GTK+ based input method for Chinese users HIME Input Method Editor is a input method platform, which is forked from the famous gcin input method. . This package contains only GTK2 immodule. For GTK3 and Qt4 immodule, please install correspondence packages. Package: hime-anthy Source: hime Version: 0.9.9+git20120619+dfsg-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 115 Depends: libanthy0, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.20.0), hime (>= 0.9.9+git20120619+dfsg-1), anthy Homepage: http://hime.luna.com.tw Priority: optional Section: utils Filename: pool/main/h/hime/hime-anthy_0.9.9+git20120619+dfsg-1_armhf.deb Size: 32888 SHA256: fb05d7fce2deceda7c9fb7fb7f7c79b05fdd42a730369624b3bcc837a8bae5e4 SHA1: 220c5ddb7b94f4fd1390963eb6b79df7df42091f MD5sum: 3427532c7ae5c9369babcaba4063c063 Description: support library to use Anthy in HIME HIME Input Method Editor is a input method platform, which is forked from the famous gcin input method. . This package is the support library to use anthy in HIME. Package: hime-chewing Source: hime Version: 0.9.9+git20120619+dfsg-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 93 Depends: libc6 (>= 2.13-28), libchewing3, libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.20.0), libpango1.0-0 (>= 1.14.0), hime (>= 0.9.9+git20120619+dfsg-1) Homepage: http://hime.luna.com.tw Priority: optional Section: utils Filename: pool/main/h/hime/hime-chewing_0.9.9+git20120619+dfsg-1_armhf.deb Size: 25044 SHA256: 4ad0919fc700e95de783ac66e719fa06ec4c7afb8a4197e37bbf1915e0651bb6 SHA1: b7247da0c8bb96f11f6f39d133a705fd71d0a216 MD5sum: 5fdb55664f8025a60fc3ffb0d53b5638 Description: support library to use Chewing in HIME HIME Input Method Editor is a input method platform, which is forked from the famous gcin input method. . This package is the support library to use chewing in HIME. Package: hime-data Source: hime Version: 0.9.9+git20120619+dfsg-1 Installed-Size: 745 Maintainer: IME Packaging Team Architecture: all Size: 506226 SHA256: 758e93414eb2bde9b342b5924738ac499a3832b3ea588ed985e85a041ff4f191 SHA1: e32a53fbc21182f2b57212ddcb3fba1425b3441c MD5sum: dfaa1e60f9d2f62ecdff1ad3ff212111 Description: icons, locales and scripts for HIME HIME Input Method Editor is a input method platform, which is forked from the famous gcin input method. . This package contains icons, locales, scripts and other architecture- independent things. Homepage: http://hime.luna.com.tw Section: utils Priority: optional Filename: pool/main/h/hime/hime-data_0.9.9+git20120619+dfsg-1_all.deb Package: hime-dev Source: hime Version: 0.9.9+git20120619+dfsg-1 Installed-Size: 71 Maintainer: IME Packaging Team Architecture: all Depends: hime (>= 0.9.9+git20120619+dfsg-1) Size: 16022 SHA256: 1f06aa171b2ad8b6dfffcf48fff33c8fa35c7023af80f799248d9e982cbb7eb3 SHA1: 8035aa40326a57e077c1a399c1910bd31296a9c0 MD5sum: a24f03a828d41784234b5f27d9986858 Description: development files for HIME HIME Input Method Editor is a input method platform, which is forked from the famous gcin input method. . This package provides header files of HIME. Homepage: http://hime.luna.com.tw Section: devel Priority: optional Filename: pool/main/h/hime/hime-dev_0.9.9+git20120619+dfsg-1_all.deb Package: hime-gtk3-immodule Source: hime Version: 0.9.9+git20120619+dfsg-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 97 Depends: hime (>= 0.9.9+git20120619+dfsg-1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0) Homepage: http://hime.luna.com.tw Priority: optional Section: utils Filename: pool/main/h/hime/hime-gtk3-immodule_0.9.9+git20120619+dfsg-1_armhf.deb Size: 19994 SHA256: 86395d0c68cbde61a77fa6c4e8f921bc12eb8e1ba67f789fe44f2cb6aedd5ed4 SHA1: 2067284853cba3a717d232c96ce834fd6ac7f2d3 MD5sum: eeeb6c18e08d817160c31f0a5aaa465a Description: GTK3 input method module with HIME as backend HIME Input Method Editor is a input method platform, which is forked from the famous gcin input method. . This package is the GTK3 input method module (immodule) for HIME. Package: hime-qt4-immodule Source: hime Version: 0.9.9+git20120619+dfsg-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 109 Depends: hime (>= 0.9.9+git20120619+dfsg-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://hime.luna.com.tw Priority: optional Section: utils Filename: pool/main/h/hime/hime-qt4-immodule_0.9.9+git20120619+dfsg-1_armhf.deb Size: 26720 SHA256: e8109ec1be68206786687d7ab38571bb94e171a593c961b04772025efd4c93f3 SHA1: 960b1b50e538771045251767e4415d9aca19f146 MD5sum: df3d1c9cd60a89eaee9c397c4b4ad163 Description: Qt4 input method module with HIME as backend HIME Input Method Editor is a input method platform, which is forked from the famous gcin input method. . This package is the Qt4 input method module (immodule) for HIME. Package: hime-tables Source: hime Version: 0.9.9+git20120619+dfsg-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 7055 Homepage: http://hime.luna.com.tw Priority: optional Section: utils Filename: pool/main/h/hime/hime-tables_0.9.9+git20120619+dfsg-1_armhf.deb Size: 2831552 SHA256: d1d777b4362ee8308053027ffdde28483f90650355ba26a26eea87bcd137710b SHA1: dd3945e37959aec7b2f3f6f38cbb4b9d2c53a303 MD5sum: c0ea89004c75bd9f1816f65b2d527800 Description: input method tables for HIME HIME Input Method Editor is a input method platform, which is forked from the famous gcin input method. . This package contains architecture-dependent input method tables. Package: hitchhiker Version: 0.01~20091129+bzr41-4 Installed-Size: 68 Maintainer: Jari Aalto Architecture: all Depends: python (>= 2.4), python-bzrlib (>= 2.0.0) Size: 11032 SHA256: cc963cda6efed5fcdc7ec184f1bcf0aa7674c122a900a44d453994121e636d59 SHA1: ff0875fbd6d279dca5d5be81722ef8e119092f46 MD5sum: a3d76f744bd6ca962f1f0a0a89347564 Description: access locations using Bazaar transports A client to access bzr transports, namely the Bazaar version control repositories. This utility can be used to log into the remote host and manipulate Bazaar repository content like it were a FTP or SFTP client. Commands include cp, mv, rmtree etc. Use Case: command 'bzr upgrade ' is run and after the process is over the backup.bzr needs to be removed. With this tool the task is easy. . An interactive mode and pure command line mode are provided. Homepage: https://launchpad.net/hitchhiker Tag: devel::rcs, implemented-in::python, role::program Section: net Priority: optional Filename: pool/main/h/hitchhiker/hitchhiker_0.01~20091129+bzr41-4_all.deb Package: hitori Version: 0.3.2-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 757 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.24.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0) Recommends: yelp, gvfs Homepage: http://live.gnome.org/Hitori Priority: optional Section: games Filename: pool/main/h/hitori/hitori_0.3.2-1_armhf.deb Size: 236844 SHA256: 0e478b7f06dd951b625e5b195fc878d4100b2cda6bba688b3072023fe1d8a1f0 SHA1: e73503546c870ac350d6b35504f7c72aa1a395a9 MD5sum: 918f3ecedfe0c6674809e0869f78599a Description: logic puzzle game similar to sudoku Hitori puzzles give you a grid filled with numbers. The goal is to remove numbers such that no row or column contains duplicates. . This implementation has undo/redo support, can give hints, and generates puzzles up to 10x10 cells large. Package: hlbr Version: 1.7.2-2 Architecture: armhf Maintainer: Joao Eriberto Mota Filho Installed-Size: 317 Depends: libc6 (>= 2.4), libpcre3 (>= 8.10) Recommends: tcpdump Suggests: hlbrw Homepage: http://hlbr.sourceforge.net Priority: optional Section: net Filename: pool/main/h/hlbr/hlbr_1.7.2-2_armhf.deb Size: 87414 SHA256: bae1f1aaecbc65ef709da59fa8ec6b5e54c4a3147aec1664c3f7cee77881a072 SHA1: fbcdf8e6fce2ab2d67daf0055210abe6fd85ab8f MD5sum: 6f9d9791a40f69814cdb33f17dc039f3 Description: IPS that runs over layer 2 (no TCP/IP stack required) HLBR stands for Hogwash Light BR. It is a Brazilian fork of Jason Larsen's Hogwash Intrusion Prevention System (IPS). Its main feature is that it can run directly over OSI model layer 2, which means it doesn't even require a TCP/IP stack, running as a bridge. . HLBR comes with a set of rules to detect known malicious network traffic, and you can define your own rules as well. Packet handling include options like dropping or diverting it to another machine (such as a honeypot). Since it works like a bridge and doesn't requires an IP address, it is invisible to intruders. HLBR is a firewall component and must be put before a Intrusion Detection System (IDS). The IDS (Snort or other) will show all suspect traffic doesn't blocked and it can be used to compose new rules to IPS. . HLBR rule definition language has support for regular expressions (Perl). All blocked traffic is dumped in log under tcpdump format. Package: hlbrw Version: 0.2.4-1 Installed-Size: 100 Maintainer: Joao Eriberto Mota Filho Architecture: all Depends: hlbr, iwatch Size: 6994 SHA256: a3e8feae95569e3179fe65c7fe3d74d4ebbf2769d64425049fa15188caf58843 SHA1: 604c0d2562c34e40e6bebc40d6496623922c1221 MD5sum: f21f60565a3bbcb86ae367ecdee17051 Description: assistant to help make new rules to HLBR HLBRW is an acronym to Hogwash Light BR Watch. The intent is provide a tool to help make rules to HLBR (http://hlbr.sf.net). In others words, HLBRW was made to be used by HLBR users needing make new rules (it will require some expertise about HLBR, TCP/IP protocol suite and regular expressions). . HLBRW is a script started by iwatch (a system events watch program available at http://iwatch.sourceforge.net) when the HLBR events log is modified. The concept is very single: if the HLBR log was modified, then a knew attack was blocked. But the attacker can make others subsequent actions unknown by HLBR. Then the iwatch running as daemon will start HLBRW and it will co-ordinate a tcpdump session to record the posterior traffic generated by attacker IP for some minutes. If the recorded traffic isn't relevant (without a push in TCP or another relevant protocol), the created file will be deleted. Based in the recorded traffic, the network security manager will can make new rules. . HLBRW is part of the HLBR project, an Intrusion Prevention System (IPS) used in firewall systems. Homepage: http://hlbr.sf.net Section: admin Priority: optional Filename: pool/main/h/hlbrw/hlbrw_0.2.4-1_all.deb Package: hlins Version: 0.39-19 Installed-Size: 251 Maintainer: Debian OCaml Maintainers Architecture: all Depends: ocaml-base-nox-3.12.1 Size: 56024 SHA256: e59c884dc09dafe10828f3bcedec046bca8d5719be87e20d7ee9df2b08181287 SHA1: e26709ba743cb74e3ba6aa361159e2131c0059b8 MD5sum: d1f74a03068a8ff7b56a213fced52b67 Description: Insert URLs into html documents Hlins is a tool to insert hypertext links into HTML documents, using a database with entries of the form "name = url". It is designed for inserting URLs of real persons: it knows about abbreviations of first and middle names and tolerates dropping the second part of a composite last name. Tag: implemented-in::ocaml, interface::commandline, role::program, scope::utility, use::converting, works-with-format::html, works-with::text Section: text Priority: optional Filename: pool/main/h/hlins/hlins_0.39-19_all.deb Package: hlint Version: 1.8.28-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 17203 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Recommends: ghc Homepage: http://hackage.haskell.org/package/hlint Priority: extra Section: haskell Filename: pool/main/h/hlint/hlint_1.8.28-1_armhf.deb Size: 3451290 SHA256: 91171201949dfbf6fa1a4b5a914b0d4b02d0ba5e9a804e94c1daab3de394813d SHA1: 9b0e226624f473d91ac04ec56fe79014f616eb88 MD5sum: 901f84baae0fd500bc526970d56d6ffc Description: Haskell source code suggestions HLint gives suggestions on how to improve your source code. It can either print them directly, or generated a colored HTML output. Package: hmake Version: 3.14-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 48538 Depends: libc6 (>= 2.13-28), libedit2 (>= 2.11-20080614-1), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libncurses5 (>= 5.5-5~), libtinfo5, ghc Priority: extra Section: haskell Filename: pool/main/h/hmake/hmake_3.14-3_armhf.deb Size: 8298038 SHA256: 64b68b9899859c258b38235d7fc9c0edea81a61d37b70b2ba3c86719f31c9dfd SHA1: a64cbc2a3e5c46fc2b538b5b4883b5455938868b MD5sum: 96994953c027c6794d11383ae9f34836 Description: The Haskell Make System This package contains a universal make system for use with Haskell compilers. hmake is not compiler-dependent, so you may use this with hugs, ghc, or nhc98. . hmake will use the module import definitions of the source files to determine which modules need to be compiled and loaded to run the resulting executable. . In addition, the "hi" hmake-interactive environment is provided. This is a hugs-like text-mode utility that allows you to interactively program using any of the available Haskell compilers (including ghc, but defaults to nhc98.) WARNING: This is a fairly slow interpreter :-) . For more information, see the nhc98 web page at . Package: hmmer Version: 3.0-4 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 3548 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Suggests: hmmer-doc (>= 3.0-4) Homepage: http://hmmer.janelia.org/ Priority: optional Section: science Filename: pool/main/h/hmmer/hmmer_3.0-4_armhf.deb Size: 1831342 SHA256: 0f79fdba99fc6840c6ec4f6d560755b7b400046e5232678ccaf61abf8b601d2f SHA1: 991016f52d42ee605736834214d39a9a5585762d MD5sum: f52ef7416449487fd270642566c8f256 Description: profile hidden Markov models for protein sequence analysis HMMER is an implementation of profile hidden Markov model methods for sensitive searches of biological sequence databases using multiple sequence alignments as queries. . Given a multiple sequence alignment as input, HMMER builds a statistical model called a "hidden Markov model" which can then be used as a query into a sequence database to find (and/or align) additional homologues of the sequence family. Package: hmmer-doc Source: hmmer Version: 3.0-4 Installed-Size: 773 Maintainer: Debian Med Packaging Team Architecture: all Recommends: hmmer (>= 3.0-4), xpdf-reader | pdf-viewer Size: 758462 SHA256: e0722f1d99300cd5051cd8bfe64f252b161b69a8d9182408e7d2309999e8253b SHA1: 7dd3160cae5e14de6c92c76b790f89ee0b85aac9 MD5sum: f71634592ec48eaa7a5b84c3d3806cab Description: profile hidden Markov models for protein sequence analysis (docs) HMMER is an implementation of profile hidden Markov model methods for sensitive searches of biological sequence databases using multiple sequence alignments as queries. . Given a multiple sequence alignment as input, HMMER builds a statistical model called a "hidden Markov model" which can then be used as a query into a sequence database to find (and/or align) additional homologues of the sequence family. . This package contains documents and example files for the hmmer package. Homepage: http://hmmer.janelia.org/ Tag: made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/h/hmmer/hmmer-doc_3.0-4_all.deb Package: hnb Version: 1.9.18-9 Architecture: armhf Maintainer: Carsten Hey Installed-Size: 238 Depends: libc6 (>= 2.7), libncursesw5 (>= 5.6+20070908), libtinfo5 Homepage: http://hnb.sourceforge.net/ Priority: optional Section: misc Filename: pool/main/h/hnb/hnb_1.9.18-9_armhf.deb Size: 86546 SHA256: 7b552cd31d1bf7e2c7545f8b5908d95b205848845592855a7cd36d3387f2f40d SHA1: 6696b64a9f74f75d614dec306cb471c0b3df4101 MD5sum: 4072bf220d293cc784fd068fb74cef37 Description: hierarchical notebook Hnb is an ncurses program to organize many kinds of data in one place, for example addresses, todo lists, ideas, book reviews or to store snippets of brainstorming, to make a structured packing list or just to take random notes. It can export ascii, html and xml, supports todo checkboxes, checkbox trees with percentages, priorities, preferences, searching and more. . Hnb is orphaned upstream. Though the current Debian maintainer tries to keep hnb in a usable and releasable state he does not plan to add many new features. If you are interested in hnb and want to become its new upstream maintainer please contact the original author and the Debian maintainer. . Hnb does currently not support UTF-8. Package: ho22bus Version: 0.9.1-2 Architecture: armhf Maintainer: Eleanor Chen Installed-Size: 277 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.3.0), ho22bus-data Homepage: http://ho22bus.googlecode.com Priority: optional Section: utils Filename: pool/main/h/ho22bus/ho22bus_0.9.1-2_armhf.deb Size: 116248 SHA256: 6c9c26f97fdafd5597d47799afc9fe7af0dc58debcbecf50285d30d199f6fdb1 SHA1: 873a31d62d31d184a13c1856f4ca0c898cf314f9 MD5sum: d640fb14bdd1d3f5bfaaabc04292c1a7 Description: simple application to memorize words This application is for second language learners to memorize words. It is user-friendly and light-weighted. . Features included: * New words collection * Multi-dictionary support * Games to help memorizing Package: ho22bus-data Source: ho22bus Version: 0.9.1-2 Installed-Size: 11878 Maintainer: Eleanor Chen Architecture: all Size: 11927802 SHA256: 5482d7de2db20fc71029ff2bb7cc28b272e8b2f57ed098cd3078dd364e12b55f SHA1: 17b135b3859f8398401dc6ebd68ac14fda368ee7 MD5sum: f6d6ef90a27abf9137e05a57780b0a33 Description: common data for ho22bus This application is for second language learners to memorize words. It is user-friendly and light-weighted. . Features included: * New words collection * Multi-dictionary support * Games to help memorizing . This package provides common data files for ho22bus. Homepage: http://ho22bus.googlecode.com Section: utils Priority: optional Filename: pool/main/h/ho22bus/ho22bus-data_0.9.1-2_all.deb Package: hobbit-plugins Version: 20120532 Installed-Size: 214 Maintainer: Christoph Berg Architecture: all Depends: xymon-client | hobbit-client Recommends: libsysadm-install-perl, lsof, sudo (>= 1.7.2p1-1) Suggests: libdbd-pg-perl, libpoe-component-irc-perl, libnet-tftp-perl, ipmitool, fping, ntp, git | git-core, bzr, mercurial, subversion, debsums Enhances: backuppc, dphys-config, mailman, postfix Size: 47044 SHA256: 43221d4f11b504e290395a8d2654c5fa0a9183ab00e8540329ffd804bbd12f4f SHA1: 3522b910ad8d36846969dea9db5a644fd069f8fd MD5sum: d07d29003937681105efb60ed9dd7fb6 Description: plugins for the Xymon network monitor This package provides plugins for the Xymon network monitor. (Formerly called Hobbit.) . Included client plugins: * apt - check for outstanding updates * backuppc - check for errors reported by BackupPC servers (needs backuppc and sudo) * dirtyetc - check for conffiles which are modified compared to Debian's defaults but should not be (needs sudo and debsums, supports dphys-config) * dirtyvcs - check for dirty VCS working copies (supports Git, Mercurial, Bzr and Subversion, needs appropriate VCS packages installed) * entropy - check kernel entropy pool size * ipmi - read IPMI sensors and event log (needs ipmitool) * libs - check for running processes with upgraded libraries (needs lsof and sudo) * mailman - check for the existence of Mailman qfiles. (needs sudo) * mdstat - check for failed or resyncing RAID devices * mq - check (postfix's) mail queue * misc - meta plugin for running series of scripts (needs libsysadm-install-perl) * ntpq - check the ntpd daemon synchronization status (needs ntp) * postgres - statistics graphs for PostgreSQL databases (needs libdbd-pg-perl) * sftbnc - check if the local Postfix MTA has soft_bounce enabled. . Included server plugins: * aptdiff - monitor list of installed packages in host pools * conn6 - check IPv6 connectivity (needs fping) * ircbot - relay status changes to IRC (needs libpoe-component-irc-perl) * tftp - checks TFTP servers by downloading a file from them (needs libnet-tftp-perl) . Helper software: * Hobbit.pm: Perl module for writing plugins * xynagios: adaptor for running Nagios plugins with Xymon Homepage: http://xymon.sourceforge.net/ Tag: admin::monitoring, hardware::storage, implemented-in::perl, implemented-in::shell, protocol::ipv6, protocol::irc, role::plugin, use::monitor, works-with::logfile, works-with::mail, works-with::software:package, works-with::vcs Section: net Priority: extra Filename: pool/main/h/hobbit-plugins/hobbit-plugins_20120532_all.deb Package: hocr-gtk Source: hocr Version: 0.10.17-1 Installed-Size: 356 Maintainer: Debian Hebrew Packaging Team Architecture: all Depends: python, libhocr-python (>= 0.10.17-1), python-imaging-sane, aspell-he Size: 49916 SHA256: c410481d0402cea2e67929c72ed6518fc8f662e44fd36c589401bc711de0ca3e SHA1: 7b2c3a6798049a72e3f6f2388d0900cd73d0101e MD5sum: 383f7ac9243cc647f588c9087b2f08e6 Description: GTK+ frontend for Hebrew OCR Hocr-gtk is a GTK+ based graphical interface to the libhocr library. It can open multiple image formats and uses aspell for internal spell checking. Homepage: http://hocr.berlios.de/ Tag: accessibility::ocr, culture::hebrew, implemented-in::c, interface::x11, role::program, scope::application, uitoolkit::gtk, use::converting, works-with::image, works-with::image:raster, works-with::text, x11::application Section: graphics Priority: optional Filename: pool/main/h/hocr/hocr-gtk_0.10.17-1_all.deb Package: hodie Version: 1.5-1 Architecture: armhf Maintainer: Christian T. Steigies Installed-Size: 62 Depends: libc6 (>= 2.13-28) Priority: optional Section: science Filename: pool/main/h/hodie/hodie_1.5-1_armhf.deb Size: 17660 SHA256: ec47c9251b9a345b3e01ae0eb7744afee05ae3c84927e47cee6fcf389ba15015 SHA1: 7712c934985bca5635927aedc920b7271e46cfa6 MD5sum: 2fb67547ad8e7196c3585291f5ba7c86 Description: prints the date in latin hodie has the same functionality as the date (1) program, only it prints it in grammatically correct latin Package: hoichess Version: 0.10.3-6.1 Architecture: armhf Maintainer: Oliver Korff Installed-Size: 540 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Recommends: xboard (>= 4.2.7-1) | scid Priority: optional Section: games Filename: pool/main/h/hoichess/hoichess_0.10.3-6.1_armhf.deb Size: 274294 SHA256: add7e967766af6a4b20222dd77807f161cd613fd0438a06544686ab804f43ece SHA1: 3c3d132f75fab549c62207b30088c3d7fe4b3a23 MD5sum: 605360f07398b444e8ae20166a3a0488 Description: xboard compatible chess engine to play chess with chess engine compatible with xboard, but may also be used stand-alone, via terminal. Hoichess is written in C++ for GNU/Linux systems, but should work on most other Unix like systems. It provides also a xiangqi ("Chinese chess") playing program. Package: hol-light Version: 20120602-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 18947 Depends: camlp5, camlp5-1kaa3, ocaml-nox-3.12.1 Suggests: readline-editor, prover9, coinor-csdp, pari-gp, maxima, dmtcp, libocamlgraph-ocaml-dev Homepage: http://www.cl.cam.ac.uk/~jrh13/hol-light/ Priority: optional Section: math Filename: pool/main/h/hol-light/hol-light_20120602-1_armhf.deb Size: 3762790 SHA256: c0101b69ee9e9ef64c6bdfffcbdc1f81cc67de1858cf8e4163163e5ad1690451 SHA1: 7d7be25ee8dab6112079424301aa49f2114778ed MD5sum: 85c1948ec39a6304dc6cd85bc6e70e6b Description: HOL Light theorem prover HOL Light is an interactive theorem prover for Higher-Order Logic with a very simple logical core running in an OCaml toplevel. HOL Light is famous for the verification of floating-point arithmetic as well as for the Flyspec project, which aims at the formalization of Tom Hales' proof of the Kepler conjecture. Package: hol88 Version: 2.02.19940316-15 Architecture: armhf Maintainer: Camm Maguire Installed-Size: 53597 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libreadline6 (>= 6.0), libx11-6 Priority: optional Section: math Filename: pool/main/h/hol88/hol88_2.02.19940316-15_armhf.deb Size: 12353576 SHA256: f5d7cd9e6bc239f027c93f821df335e4e088d52ea6fe7733201ac173dc388235 SHA1: de836a4fee7f328a0d476fd1abfb46e48b6037f5 MD5sum: 49c4e2d912fcd85fb9c049485d2b828b Description: Higher Order Logic, system image The HOL System is an environment for interactive theorem proving in a higher-order logic. Its most outstanding feature is its high degree of programmability through the meta-language ML. The system has a wide variety of uses from formalizing pure mathematics to verification of industrial hardware. Academic and industrial sites world-wide are using HOL. Package: hol88-contrib-help Source: hol88 Version: 2.02.19940316-15 Installed-Size: 150 Maintainer: Camm Maguire Architecture: all Size: 27092 SHA256: bf515184b87c5f80e55b80846773efb671956cd79562e2e13f49855463a331a6 SHA1: 309e1fba1ac0ef50de9ad3cb04b30edf24e841ab MD5sum: deafe21749833693d2305a3f19a01e40 Description: Higher Order Logic, user contributed online help files The HOL System is an environment for interactive theorem proving in a higher-order logic. Its most outstanding feature is its high degree of programmability through the meta-language ML. The system has a wide variety of uses from formalizing pure mathematics to verification of industrial hardware. Academic and industrial sites world-wide are using HOL. Section: math Priority: optional Filename: pool/main/h/hol88/hol88-contrib-help_2.02.19940316-15_all.deb Package: hol88-contrib-source Source: hol88 Version: 2.02.19940316-15 Installed-Size: 5547 Maintainer: Camm Maguire Architecture: all Size: 1009534 SHA256: 27ba59b7e420f8802dfc5df2d48812a6f7548c8c01f14ef72d3f0a8716aac3c1 SHA1: 1a4a5cb527f141683b6d8f85a88ca6720b1d0554 MD5sum: f191d5274d31752d3bc61148bb5fafd2 Description: Higher Order Logic, user contributed source The HOL System is an environment for interactive theorem proving in a higher-order logic. Its most outstanding feature is its high degree of programmability through the meta-language ML. The system has a wide variety of uses from formalizing pure mathematics to verification of industrial hardware. Academic and industrial sites world-wide are using HOL. Section: math Priority: optional Filename: pool/main/h/hol88/hol88-contrib-source_2.02.19940316-15_all.deb Package: hol88-doc Source: hol88 Version: 2.02.19940316-15 Installed-Size: 1086 Maintainer: Camm Maguire Architecture: all Size: 1051202 SHA256: 018ef296330f336ea2c355246f564c552c9503959e0835b0b88405ef7126d09a SHA1: 787aac3ab434ead775fc8b1c1f09066e7cd09619 MD5sum: 1ba83b752066869d22fefce650772565 Description: Documentation for hol88 The HOL System is an environment for interactive theorem proving in a higher-order logic. Its most outstanding feature is its high degree of programmability through the meta-language ML. The system has a wide variety of uses from formalizing pure mathematics to verification of industrial hardware. Academic and industrial sites world-wide are using HOL. Tag: made-of::postscript, made-of::tex, role::documentation Section: doc Priority: optional Filename: pool/main/h/hol88/hol88-doc_2.02.19940316-15_all.deb Package: hol88-help Source: hol88 Version: 2.02.19940316-15 Installed-Size: 905 Maintainer: Camm Maguire Architecture: all Size: 322926 SHA256: 184590cd8a84126eff6905d4ed180d90b6e54212179220fae8e70e3f363267cc SHA1: 5b325f87a6cd0ec4393e9fa93f17130811615131 MD5sum: 9f3ece74334e216c4ee0152a9682e4d0 Description: Higher Order Logic, online help files The HOL System is an environment for interactive theorem proving in a higher-order logic. Its most outstanding feature is its high degree of programmability through the meta-language ML. The system has a wide variety of uses from formalizing pure mathematics to verification of industrial hardware. Academic and industrial sites world-wide are using HOL. Tag: role::documentation Section: math Priority: optional Filename: pool/main/h/hol88/hol88-help_2.02.19940316-15_all.deb Package: hol88-library Source: hol88 Version: 2.02.19940316-15 Architecture: armhf Maintainer: Camm Maguire Installed-Size: 14029 Priority: optional Section: math Filename: pool/main/h/hol88/hol88-library_2.02.19940316-15_armhf.deb Size: 4481360 SHA256: da9ef84aab29ecf7bcae3a59e8c5c598e13749525ba6f20345171c7d50b8817a SHA1: 4d9c03b4010e1a446a3257331a8885c5dcd53868 MD5sum: 399317f01d019a0cae2b324cd0183ffb Description: Higher Order Logic, binary library modules The HOL System is an environment for interactive theorem proving in a higher-order logic. Its most outstanding feature is its high degree of programmability through the meta-language ML. The system has a wide variety of uses from formalizing pure mathematics to verification of industrial hardware. Academic and industrial sites world-wide are using HOL. Package: hol88-library-help Source: hol88 Version: 2.02.19940316-15 Installed-Size: 1430 Maintainer: Camm Maguire Architecture: all Size: 311078 SHA256: 7c54e36d7ce36e36086f859b1ff79d634989665195e384550480f7f9c9285fb9 SHA1: de481fc54c423c4838f217dc2ccb507fc712edfc MD5sum: 6dda52ea89015a870ae4e994aaeae97f Description: Higher Order Logic, library online help files The HOL System is an environment for interactive theorem proving in a higher-order logic. Its most outstanding feature is its high degree of programmability through the meta-language ML. The system has a wide variety of uses from formalizing pure mathematics to verification of industrial hardware. Academic and industrial sites world-wide are using HOL. Tag: role::documentation Section: math Priority: optional Filename: pool/main/h/hol88/hol88-library-help_2.02.19940316-15_all.deb Package: hol88-library-source Source: hol88 Version: 2.02.19940316-15 Installed-Size: 3630 Maintainer: Camm Maguire Architecture: all Size: 567032 SHA256: 5ae90092b19abceb0f04f99d6e516e0f9f48df9179877d3ecf639a0a338b4912 SHA1: 158a2d687d350a580aa607dc0ddf622544b5921c MD5sum: b1076240edef3542b928bfd79a43e7b4 Description: Higher Order Logic, library source files The HOL System is an environment for interactive theorem proving in a higher-order logic. Its most outstanding feature is its high degree of programmability through the meta-language ML. The system has a wide variety of uses from formalizing pure mathematics to verification of industrial hardware. Academic and industrial sites world-wide are using HOL. Tag: role::source Section: math Priority: optional Filename: pool/main/h/hol88/hol88-library-source_2.02.19940316-15_all.deb Package: hol88-source Source: hol88 Version: 2.02.19940316-15 Installed-Size: 1932 Maintainer: Camm Maguire Architecture: all Size: 377290 SHA256: a7a49ee1a9fb76871c8af2de5ae96fc31860c9a8fdb7a395c4aa9995b101a80d SHA1: 122424426bd7ae06cfab1b3762577c8c0447838b MD5sum: 4e314ae80416dcb8c89bff35a586d500 Description: Higher Order Logic, source files The HOL System is an environment for interactive theorem proving in a higher-order logic. Its most outstanding feature is its high degree of programmability through the meta-language ML. The system has a wide variety of uses from formalizing pure mathematics to verification of industrial hardware. Academic and industrial sites world-wide are using HOL. Tag: role::source Section: math Priority: optional Filename: pool/main/h/hol88/hol88-source_2.02.19940316-15_all.deb Package: holdingnuts Version: 0.0.5-4 Architecture: armhf Maintainer: Jan Hauke Rahm Installed-Size: 2098 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Suggests: holdingnuts-server Homepage: http://www.holdingnuts.net Priority: extra Section: games Filename: pool/main/h/holdingnuts/holdingnuts_0.0.5-4_armhf.deb Size: 1547672 SHA256: 02a4cb29552d97e8306917b6a9bb9cafc70ee0c428791fa7083296b6292dba94 SHA1: 9257d9e9ea1122a4ea239303ff9a96ac6d875096 MD5sum: 5f7f708d83165f18215c02c8a1935b79 Description: poker client HoldingNuts is an open source multi-platform poker client and server. You can play the popular Texas Hold'em variant with people all over the world, meet your friends, run your own games and even setup your own poker network. . This package provides the client. Package: holdingnuts-server Source: holdingnuts Version: 0.0.5-4 Architecture: armhf Maintainer: Jan Hauke Rahm Installed-Size: 178 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: holdingnuts Homepage: http://www.holdingnuts.net Priority: extra Section: games Filename: pool/main/h/holdingnuts/holdingnuts-server_0.0.5-4_armhf.deb Size: 72056 SHA256: f2c01b155723bc3d636361d2809172c2ec99fc05622dd96b2af5f675840ba225 SHA1: 284e25db5103728f7869d9a5c07146feceef6d51 MD5sum: 31c0910de063d5b59f24264722f49af1 Description: poker server HoldingNuts is an open source multi-platform poker client and server. You can play the popular Texas Hold'em variant with people all over the world, meet your friends, run your own games and even setup your own poker network. . This package provides the server. Package: holotz-castle Version: 1.3.14-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 237 Depends: holotz-castle-data (= 1.3.14-5), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), zlib1g (>= 1:1.2.0) Suggests: holotz-castle-milanb Homepage: http://www.mainreactor.net/holotzcastle/en/index_en.html Priority: optional Section: games Filename: pool/main/h/holotz-castle/holotz-castle_1.3.14-5_armhf.deb Size: 92592 SHA256: fd13134ddda77e8dec924ae3b7798943f1c1d80b70b4f26d80d491bee95ed3cf SHA1: 6f7061017714766d8801d7cb420f4ac7ab98937f MD5sum: 82ad3d66ee4247188dd5d344b6e0b623 Description: platform game with high doses of mystery A great mystery is hidden beyond the walls of Holotz's Castle. Will you be able to help Ybelle and Ludar to escape alive from the castle? Test your dexterity with this tremendously exciting platform game! Package: holotz-castle-data Source: holotz-castle Version: 1.3.14-5 Installed-Size: 9271 Maintainer: Debian Games Team Architecture: all Provides: holotz-castle-milanb Conflicts: holotz-castle-milanb Size: 3489126 SHA256: 879d4747a0e9bd87640e32ecfef727ccffd63d89fffcde56861667c6f0b80be8 SHA1: 928d161b863a1a06f2e45b6dde9ec1209ac7e8d0 MD5sum: 8292fd5060419736d8d0dbb335a3591e Description: platform game with high doses of mystery - data files This package contains data files for the Holotz's Castle game. Homepage: http://www.mainreactor.net/holotzcastle/en/index_en.html Tag: game::platform, role::app-data, uitoolkit::sdl, use::gameplaying Section: games Priority: optional Filename: pool/main/h/holotz-castle/holotz-castle-data_1.3.14-5_all.deb Package: holotz-castle-editor Source: holotz-castle Version: 1.3.14-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 237 Depends: holotz-castle-data (= 1.3.14-5), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), zlib1g (>= 1:1.2.0) Homepage: http://www.mainreactor.net/holotzcastle/en/index_en.html Priority: optional Section: games Filename: pool/main/h/holotz-castle/holotz-castle-editor_1.3.14-5_armhf.deb Size: 96950 SHA256: b6642b53d448efd4a06cbc0cfa28df77fb09e865128a7405764c83fefaaa49a7 SHA1: 6898936dde0e18d5adb4c7442b6c4c801f3c2b24 MD5sum: 4beb56264d5425f6721807a7a2c6d74b Description: platform game with high doses of mystery - level editor This package contains the level editor for the Holotz's Castle game. Package: homebank Version: 4.4-1 Architecture: armhf Maintainer: Francesco Namuri Installed-Size: 669 Depends: homebank-data (>= 4.4-1), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.18.0), libofx4, libpango1.0-0 (>= 1.14.0) Recommends: librsvg2-common Replaces: homebank-data (<= 3.3-0ubuntu2) Homepage: http://homebank.free.fr/ Priority: optional Section: gnome Filename: pool/main/h/homebank/homebank_4.4-1_armhf.deb Size: 283594 SHA256: 53cb8ab5cc12938444c14e1b63f9624d9075abd98c1131f03ae3a3814b907214 SHA1: 4512413decfa36440ff2a08631adb59564f55614 MD5sum: f368af62b26ac711c2deae009f1b645d Description: Manage your personal accounts at home HomeBank is a fast, simple and easy to use program to manage your accounts. It differs from gnucash for the better look and feel, and for the greatest start-up speed. It has a lot of features such as easy analysis with graphical charts (statistics, budget, overdrawn, car cost), multi-accounts support, budget management, reminder, import from OFX/QFX-CSV files, visual status of operations. It is based on GTK2. Package: homebank-data Source: homebank Version: 4.4-1 Installed-Size: 3670 Maintainer: Francesco Namuri Architecture: all Recommends: homebank (>= 4.4-1) Size: 2147366 SHA256: c8e5ca666ffcba8f40a7deee126fe4f12157564f47684a06c1ab6019b60b7b29 SHA1: a06e2112396bf19b25fb99cd0eb622a13d302fae MD5sum: 9f7fa4e1b12772531841c3fd70d6532b Description: Data files for homebank HomeBank is a fast, simple and easy to use program to manage your accounts. It differs from gnucash for the better look and feel, and for the greatest start-up speed. It has a lot of features such as easy analysis with graphical charts (statistics, budget, overdrawn, car cost), multi-accounts support, budget management, reminder, import from OFX/QFX-CSV files, visual status of operations. It is based on GTK2. . This package contains the architecture independent portions of homebank Homepage: http://homebank.free.fr/ Tag: field::finance, implemented-in::c, office::finance, role::app-data Section: gnome Priority: optional Filename: pool/main/h/homebank/homebank-data_4.4-1_all.deb Package: horde-sam Version: 0.1+cvs20080316-1 Installed-Size: 1188 Maintainer: Horde Maintainers Architecture: all Depends: horde3 Recommends: imp4 Suggests: amavisd-new, ingo1, spamassassin, spamc Size: 402344 SHA256: 4da53394b97f40c938b7903b40755bdc701442c09838a7e69b39fb923fefd071 SHA1: a42370e4a9c6245a2a08c89413297c8d88b8dd6d MD5sum: f60c3802b7a5f52555fac82cad736658 Description: spam module for Horde Framework SAM is the Horde module permitting to each user to manage his SpamAssassin or Amavisd-new preferences. For example, it can authorize to change SpamAssassin user scores, manage blacklist and whitelist. . User preferences can be stored in a variety of backends such as a SQL database, LDAP storage or on an FTP server. Tag: devel::lang:php, implemented-in::php, interface::web, mail::filters, protocol::ftp, protocol::ldap, role::program, web::application, works-with::db, works-with::mail Section: web Priority: optional Filename: pool/main/h/horde-sam/horde-sam_0.1+cvs20080316-1_all.deb Package: horde3 Version: 3.3.12+debian0-2.2 Installed-Size: 19155 Maintainer: Horde Maintainers Architecture: all Depends: libapache2-mod-php5 | libapache2-mod-php4 (>= 4:4.3.10) | php5-cgi | php4-cgi (>= 4:4.3.10), libapache2-mod-php5 | php5-cgi | php4-domxml, php5-gd, php5-mcrypt, php-log, php-mail, php-mail-mime, apache2 | httpd, libjs-scriptaculous Recommends: php5-mysql | php5-pgsql | php5-ldap, php5-cli, logrotate, php-file, php-date, php-services-weather (>= 1.3.1), php-db (>= 1.7.8), php-mdb2, php-mdb2-driver-mysql | php-mdb2-driver-pgsql | php-mdb2-driver-sqlite, locales, fckeditor, tinymce2 | tinymce (<< 3) Suggests: imp4, turba2, gollem, chora2, kronolith2, mnemo2, webcpp, xlhtml, ppthtml, wv, source-highlight, enscript, rpm, php5-mhash, gettext, php-net-imap, libgeoip1, unrtf, libwpd-tools, php5-auth-pam Size: 7781924 SHA256: 116c0cc16a1489cbc854cd6b0a27c10bc1d3ca3735f53bec7785f2fac35a95b8 SHA1: b2ada15106762004f3d952ba472c39bcd755c6af MD5sum: 1192b860e04834f336649c78b40525e5 Description: horde web application framework The Horde Framework is written in PHP, and provides the common tools a Web application requires: classes for dealing with preferences, compression, browser detection, connection tracking, MIME, and more. . The Horde Framework, by itself, does not provide any significant end user functionality; it provides a base for other applications and tools for developers. You will probably want to install some of the available Horde applications, such as IMP (a webmail client), or Kronolith (a calendar). . There is a list of Horde applications and projects at http://www.horde.org/projects.php. Homepage: http://www.horde.org/ Tag: devel::lang:php, devel::lang:sql, devel::web, implemented-in::php, interface::web, protocol::http, protocol::ldap, role::program, web::appserver, works-with::db Section: web Priority: optional Filename: pool/main/h/horde3/horde3_3.3.12+debian0-2.2_all.deb Package: horgand Version: 1.14-5 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 284 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.7), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0), libx11-6, libxpm4 Recommends: horgand-data Homepage: http://horgand.berlios.de/ Priority: optional Section: sound Filename: pool/main/h/horgand/horgand_1.14-5_armhf.deb Size: 98234 SHA256: d235a0d764e8f1cc3d173fc3409a6d9ec2b514ab0e4936ef00338f9719e71317 SHA1: 635e6740ac13066a400b56106639664f1a817984 MD5sum: 61bb52978274630fc2684a4d9cf26882 Description: JACK capable organ softsynth Horgand is a JACK capable organ client with presets and some effects incorporated. It generates the sound in real time like a FM synthesizer. Due this, you can change the frecuency of all the drawars and add some special effects. It features auto-accompaniment, looped drums, and a bass line in a wave table way. Package: horgand-data Source: horgand Version: 1.14-5 Installed-Size: 3014 Maintainer: Debian Multimedia Maintainers Architecture: all Replaces: horgand (<< 1.14-1) Breaks: horgand (<< 1.14-1) Size: 2321296 SHA256: a9868ca3b96c780188839d4f680f9a6d8c2d37460a05cebcdf77b66629ff59a1 SHA1: 85d575e1304cbf861518892df82db50407d8b0d9 MD5sum: 908ac89ee626482d15d0ed607b52a63b Description: JACK capable organ softsynth (data files) Horgand is a JACK capable organ client with presets and some effects incorporated. It generates the sound in real time like a FM synthesizer. Due this, you can change the frecuency of all the drawars and add some special effects. It features auto-accompaniment, looped drums, and a bass line in a wave table way. . This package contains architecture-independent data files for horgand. Homepage: http://horgand.berlios.de/ Tag: role::app-data Section: sound Priority: optional Filename: pool/main/h/horgand/horgand-data_1.14-5_all.deb Package: host Source: bind9 Version: 1:9.8.4.dfsg.P1-6+nmu2+deb7u20 Installed-Size: 49 Maintainer: LaMont Jones Architecture: all Depends: bind9-host Size: 22206 SHA256: 9cddc36e8c40360ce63a233de0b78a7831489c82f10f270a8f405407b01edee4 SHA1: 94430ba50d5523abd866c112fc5a88f3d9e1646e MD5sum: 28e3add4dfeb3e3fad3ab32986a640ae Description: Transitional package This dummy package is provided for a smooth transition from the previous host package. It may safely be removed after installation. Section: net Priority: extra Filename: pool/main/b/bind9/host_9.8.4.dfsg.P1-6+nmu2+deb7u20_all.deb Package: hostap-utils Version: 1:0.4.7-1 Architecture: armhf Maintainer: Faidon Liambotis Installed-Size: 152 Depends: libc6 (>= 2.4) Homepage: http://w1.fi/ Priority: optional Section: net Filename: pool/main/h/hostap-utils/hostap-utils_0.4.7-1_armhf.deb Size: 50166 SHA256: 84a242e9118ec0d8027e2fd7c4e52845ff3b7e3ad8b269638eacd95c2fddcdbd SHA1: 20fe9ce4478791728f60f5f7678b365fd872e0f3 MD5sum: 6d8a1561ead8b35f552c187142be7078 Description: Utility programs for Host AP driver for Intersil Prism2/2.5/3 This is a Linux driver for wireless LAN cards based on Intersil's Prism2/2.5/3 chipset. The driver supports a so called Host AP mode, i.e., it takes care of IEEE 802.11 management functions in the host computer and acts as an access point. This does not require any special firmware for the wireless LAN card. In addition to this, it has support for normal station operations in BSS and possible also in IBSS. . This packages contains binary utilities for use with hostap. Package: hostapd Source: wpa (1.0-3+deb7u5) Version: 1:1.0-3+deb7u5 Architecture: armhf Maintainer: Debian/Ubuntu wpasupplicant Maintainers Installed-Size: 888 Depends: libc6 (>= 2.13-28), libnl-3-200 (>= 3.2.7), libnl-genl-3-200 (>= 3.2.7), libssl1.0.0 (>= 1.0.0), lsb-base (>= 3.2-13), initscripts (>= 2.88dsf-13.3) Multi-Arch: foreign Homepage: http://w1.fi/wpa_supplicant/ Priority: optional Section: net Filename: pool/main/w/wpa/hostapd_1.0-3+deb7u5_armhf.deb Size: 421286 SHA256: ff76c38fae1a0770e0d8d037eb725b9a03c1f273a0d453c9d5d083edffb3f5c4 SHA1: 72c0c26b4e2bd6cba273e868f213717b1065c080 MD5sum: 6275868a1419f92cde3060113c403e6c Description: user space IEEE 802.11 AP and IEEE 802.1X/WPA/WPA2/EAP Authenticator Originally, hostapd was an optional user space component for Host AP driver. It adds more features to the basic IEEE 802.11 management included in the kernel driver: using external RADIUS authentication server for MAC address based access control, IEEE 802.1X Authenticator and dynamic WEP keying, RADIUS accounting, WPA/WPA2 (IEEE 802.11i/RSN) Authenticator and dynamic TKIP/CCMP keying. . The current version includes support for other drivers, an integrated EAP authenticator (i.e., allow full authentication without requiring an external RADIUS authentication server), and RADIUS authentication server for EAP authentication. . hostapd works with the following drivers: . * mac80211 based drivers with support for master mode [linux] * Host AP driver for Prism2/2.5/3 [linux] * Driver interface for FreeBSD net80211 layer [kfreebsd] * Any wired Ethernet driver for wired IEEE 802.1X authentication. Package: hostname Version: 3.11 Architecture: armhf Essential: yes Maintainer: Debian Hostname Team Installed-Size: 71 Pre-Depends: libc6 (>= 2.4) Breaks: nis (<< 3.17-30) Replaces: nis (<< 3.17-30) Priority: required Section: admin Filename: pool/main/h/hostname/hostname_3.11_armhf.deb Size: 14376 SHA256: d80954ad0303e80782e7c1d1fb2b561c4210c31f60cb278a922f8c00eee86f7f SHA1: 535c078b95bfb3bbf2373f83eb625b8466b28008 MD5sum: 5b365680e5a6eb985d34af8ea344f2e3 Description: utility to set/show the host name or domain name This package provides commands which can be used to display the system's DNS name, and to display or set its hostname or NIS domain name. Package: hotkeys Version: 0.5.7.4-0.3 Architecture: armhf Maintainer: Alexander Wirt Installed-Size: 246 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdb5.1, libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxext6, libxinerama1, libxml2 (>= 2.2.8), libxmu6, libxosd2 (>= 2.2.14), libxrandr2 (>= 2:1.2.0), libxrender1 Conflicts: xmms (<< 1.2.6-1) Priority: optional Section: x11 Filename: pool/main/h/hotkeys/hotkeys_0.5.7.4-0.3_armhf.deb Size: 151670 SHA256: 66114849f80f773dd39cbaf36802e92b191daa64f270bd8614c1ec134bd8be29 SHA1: 51739c0f5bf7ae4d2d913b60390077bb1bfc14b1 MD5sum: 4f87bbad2780873a4fbf27f5ee63035f Description: A hotkeys daemon for your Internet/multimedia keyboard in X This program sits at the back and listens for the "special" hotkeys that you won't normally use on your Internet/Multimedia keyboards. The buttons perform their intended behaviors, such as volume up and down, mute the speaker, control the backlight, launch applications, etc. It has On-screen display (OSD) to show the volume, program that's being started, etc. . It features an XML-based keycode configuration file format, which makes it possible to define the hotkeys to launch any programs you want. Package: hotot Version: 1:0.9.7.32+git20111213.1d89daf-1.1 Installed-Size: 1362 Maintainer: Julien Valroff Architecture: all Depends: python (>= 2.6.6-7~), python-gtk2, python-gobject, python-webkit, python-keybinder, python-notify, libjs-jquery, python-dbus Size: 612312 SHA256: b99e302b3fd71fa074aee10131f4fd64c245a4dcec13a2436c971d1c03c95044 SHA1: 48d48e7998d5d1287cb8b7344a5f9e764ffafeda MD5sum: 620b4bc2a92cb0848bd07c9ddb7b1062 Description: lightweight microblogging client Hotot is a lightweight Twitter and identi.ca client based on GTK+ and WebKit. . Features include: * Update/View Timelines * Follow/Unfollow people * Post status * Reply tweets * Post direct messages * View people profile * Native notification * Global key-shortcut Homepage: http://www.hotot.org Tag: implemented-in::python, interface::x11, role::program, uitoolkit::gtk, web::microblog, x11::application Section: net Priority: optional Filename: pool/main/h/hotot/hotot_0.9.7.32+git20111213.1d89daf-1.1_all.deb Package: hotssh Version: 0.2.6-2 Installed-Size: 236 Maintainer: Thomas Bläsing Architecture: all Depends: python (>= 2.4), python-central (>= 0.6.11), openssh-client (>= 5.1p1), python-gtk2 (>= 2.12.1), python-dbus (>= 0.83.0), python-vte (>= 0.16.14), gnome-terminal (>= 2.22.3) Size: 48364 SHA256: baea78d50c8da9c33eda9291481f68546b72eeebf898f952b3c06ae8b2d2dde3 SHA1: a3112339e504bb15d95877d6658a50a052ed6f91 MD5sum: 10f9b1da24f917b534b9e427eac91929 Description: graphical interface to secure shell HotSSH is an interface to Secure Shell, for GNOME and OpenSSH. It intends to be a better experience than simply invoking "ssh" from an existing terminal window. Features: * Fast search-based interface for new connections * Also display and search of local (Avahi) SSH servers * Tabbed display with automatic session saving * Close integration with OpenSSH features like connection sharing * NetworkManager integration to easily reconnect after a network change Homepage: http://www.gnome.org/projects/hotssh/ Python-Version: >= 2.4 Tag: admin::login, interface::shell, interface::x11, network::client, protocol::ssh, role::program, scope::application, security::authentication, security::cryptography, uitoolkit::gtk, use::login, works-with::software:running, x11::application, x11::terminal Section: gnome Priority: optional Filename: pool/main/h/hotssh/hotssh_0.2.6-2_all.deb Package: hotswap Version: 0.4.0-12 Installed-Size: 36 Maintainer: Alberto Gonzalez Iniesta Architecture: all Depends: hotswap-text, hotswap-gui Size: 5124 SHA256: e3409c463a5b23930433811d186d528677965c4585e6fa8df2761be1ce1e626a SHA1: 267b0b588d43fa3b44cdefa50eee9b2c4568b729 MD5sum: e3c87ecfd42bb5544098abaeec6bcd83 Description: (de)register hotswappable IDE hardware Hotswap is a utility to register and deregister hotswappable IDE hardware. It is written to be used on Laptops with some sort of hardware bay to remove the module from the machine without rebooting it. eg. Dell Laptops. . Note that this utility is not required to insert or remove batteries or floppy disk drives; only for IDE devices. . This is a metapackage that Depends on both the command line tool and the graphical front-ends to allow seamless upgrades. Homepage: http://timstadelmann.de/hotswap.html Tag: hardware::laptop, interface::x11, role::metapackage, uitoolkit::xlib Section: admin Priority: optional Filename: pool/main/h/hotswap/hotswap_0.4.0-12_all.deb Package: hotswap-gui Source: hotswap Version: 0.4.0-12 Architecture: armhf Maintainer: Alberto Gonzalez Iniesta Installed-Size: 132 Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libx11-6, libxt6, hotswap-text Breaks: hotswap (<< 0.4.0) Replaces: hotswap (<< 0.4.0) Homepage: http://timstadelmann.de/hotswap.html Priority: optional Section: admin Filename: pool/main/h/hotswap/hotswap-gui_0.4.0-12_armhf.deb Size: 23846 SHA256: b9c9e261c67f3f4bcdff46534a0c1f6e201d04ead8251f6f26d49eb94cc32cdf SHA1: 0f10fe9ad50357a0823f0103ec51684cdd8bf731 MD5sum: 6f32f9733eb9b135d181e21961109bb0 Description: (de)register hotswappable IDE hardware (GUI front-ends) Hotswap is a utility to register and deregister hotswappable IDE hardware. It is written to be used on Laptops with some sort of hardware bay to remove the module from the machine without rebooting it. eg. Dell Laptops. . Note that this utility is not required to insert or remove batteries or floppy disk drives; only for IDE devices. . This package includes the Motif front-end to the command line tool. Package: hotswap-text Source: hotswap Version: 0.4.0-12 Architecture: armhf Maintainer: Alberto Gonzalez Iniesta Installed-Size: 154 Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4) Suggests: hotswap-gui Breaks: hotswap (<< 0.4.0) Replaces: hotswap (<< 0.4.0) Homepage: http://timstadelmann.de/hotswap.html Priority: optional Section: admin Filename: pool/main/h/hotswap/hotswap-text_0.4.0-12_armhf.deb Size: 27398 SHA256: c0de871707b75feb3b25e76c7e462d416e306486d0155b3a45af6ef122530139 SHA1: 2f9cce774555268b2b3edff77246d7d948afa98a MD5sum: 4f144626a45a23d1444fc1f0d1ca96d4 Description: (de)register hotswappable IDE hardware (command line tool) Hotswap is a utility to register and deregister hotswappable IDE hardware. It is written to be used on Laptops with some sort of hardware bay to remove the module from the machine without rebooting it. eg. Dell Laptops. . Note that this utility is not required to insert or remove batteries or floppy disk drives; only for IDE devices. . This package includes the command line tool. Package: hotwire Version: 0.721-2 Installed-Size: 1412 Maintainer: Josselin Mouette Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-gnome2, python-gtk2, python-gobject, python-dbus, python-vte, python-cairo, dbus-x11 Recommends: python-gnomedesktop, python-simplejson, python-gtksourceview2 Suggests: python-psyco Size: 225102 SHA256: 22b9c80486a422b240c6fd0610d213e73bd5e296cbc34569a3b10fda18b43827 SHA1: a50f92beb44330f15e70ea38f320113d1950783d MD5sum: 378d6d40c757c60a46023e303d429ba7 Description: Extensible graphical command execution shell Hotwire is a primary replacement for a terminal emulator, with an innovative graphical user interface, oriented towards developers and system administrators. It can natively do about 80-90% of what one would normally do in a terminal with a shell, and can also embed a terminal by itself. Homepage: http://code.google.com/p/hotwire-shell/ Tag: implemented-in::python, interface::x11, role::program, scope::utility, suite::gnome, uitoolkit::gtk, works-with::file, x11::terminal Section: gnome Priority: optional Filename: pool/main/h/hotwire/hotwire_0.721-2_all.deb Package: howm Version: 1.4.0rc2-2 Installed-Size: 922 Maintainer: Youhei SASAKI Architecture: all Depends: emacs | emacs23 Suggests: ruby Size: 328676 SHA256: 0aa28564f8a4f1513c91ca60d9522405f8c790d9bdab1cb375fe41e0703264c2 SHA1: 85ab3d64107a7a728fb474818366c26b502df30c MD5sum: bd677cd1d2caaa32cd8d02d21e562323 Description: Note-taking tool on Emacs Howm(Hitori Otegaru Wiki Modoki) is a note-taking tool on Emacs. . It is similar to emacs-wiki; you can enjoy hyperlinks and full-text search easily. It is not similar to emacs-wiki; it can be combined with any format. Homepage: http://howm.sourceforge.jp/ Section: lisp Priority: extra Filename: pool/main/h/howm/howm_1.4.0rc2-2_all.deb Package: hoz Version: 1.65-2 Architecture: armhf Maintainer: Miriam Ruiz Installed-Size: 83 Depends: libc6 (>= 2.13-28) Homepage: http://hoz.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/h/hoz/hoz_1.65-2_armhf.deb Size: 15560 SHA256: d7723d263e8627adb09b69a068ba6299568d01b2b3766750e3868c28ff04405e SHA1: 1f546cdf4bfc63c71bc7bb44e809deed6ae08cca MD5sum: 93a31fee872a7077f52441c0f7d0779c Description: file splitter that uses the hacha file format HOZ is a file splitter, which uses the same file format as the popular 'Hacha' program. Package: hoz-gui Source: hoz Version: 1.65-2 Architecture: armhf Maintainer: Miriam Ruiz Installed-Size: 78 Depends: hoz (= 1.65-2), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://hoz.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/h/hoz/hoz-gui_1.65-2_armhf.deb Size: 16424 SHA256: 5159b0ab1a8cd3c27c9d0b0f387b7597a9416a2909496315557b6170b7b13e17 SHA1: 53e936ce60bdd4b9b89be1a1b79e23959c8a40d1 MD5sum: 8b46d8239a0676d7acc05f3630dae7c1 Description: file splitter that uses the hacha file format HOZ is a file splitter, which uses the same file format as the popular 'Hacha' program. . This package includes the GUI interface for the program. Package: hp-ppd Version: 0.9-0.2 Installed-Size: 290 Maintainer: A Mennucc1 Architecture: all Suggests: linuxprinting.org-ppds Size: 43992 SHA256: 28e349e85f57bcd3efa56e893230df7f801cfb753237ac3deeca0f388a8721a6 SHA1: 6445e63828073bf077386ae8b0c4903ed88e6ac1 MD5sum: 996aeb775ce31f382eeb10e047e1a079 Description: HP Postscript Printer Definition (PPD) files Because PostScript is just a page description language, there is a need to provide a mechanism for a print spooler to customize the PostScript Job to the actual printer device. . A PPD (PostScript Printer Definitions) specify the device specific PostScript commands needed to utilize printer features (such as printing quality, paper tray, duplex printing). . This package contains some PPDs for HP printers that are not in package linuxprinting.org-ppds Tag: hardware::printer, role::app-data, use::printing Section: utils Priority: optional Filename: pool/main/h/hp-ppd/hp-ppd_0.9-0.2_all.deb Package: hp-search-mac Version: 0.1.3 Installed-Size: 36 Maintainer: Ola Lundqvist Architecture: all Depends: perl, opalmod (>= 0.1.6), libnet-snmp-perl (>= 3.6-7) Size: 9266 SHA256: 5a2f692263f42503b6e55564c18e4626fb48fa853151ea6c9b2c2356102a089f SHA1: a3249575777c6a57c1775be2e392f45998a2ef39 MD5sum: 99829e60e57b7a1398f5cc04393cee2e Description: Search for a MAC address on HP switches This package contains a small utility that can query HP switches for their connection table. It then allow you to search for a MAC address and tell you where it is physically connected (best match first). . The functionality is similar to traceroute but on Ethernet level and only for HP switches. Tag: hardware::detection, implemented-in::perl, interface::commandline, network::scanner, protocol::ethernet, role::program, scope::utility Section: admin Priority: optional Filename: pool/main/h/hp-search-mac/hp-search-mac_0.1.3_all.deb Package: hp2xx Version: 3.4.4-8+deb7u1 Architecture: armhf Maintainer: Christian T. Steigies Installed-Size: 473 Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libtiff4 (>> 3.9.5-3~), libx11-6, zlib1g (>= 1:1.1.4), dpkg (>= 1.15.4) | install-info Priority: optional Section: graphics Filename: pool/main/h/hp2xx/hp2xx_3.4.4-8+deb7u1_armhf.deb Size: 353462 SHA256: 3ddfe3f258dc02fb68d515b4617cd9917ba50161c7ca6c45a81a62915cbaf635 SHA1: 9db695811046a4eecfb7823a5c371eb52721d45b MD5sum: a5b0e53cef1f91a052cc925ef29ffabe Description: HPGL converter into some vector- and raster formats HP2XX reads HPGL ASCII source files, interprets them, and converts them into either another vector-oriented format or one of several rasterfile formats. Currently, its HPGL parser recognizes a subset of the HP 7550A command set. Some high-level functions are missing. Also, only some of the fixed space vector fonts and none of the variable space arc fonts are supported. Beside these limitations, hp2xx has proven to work with many HP-GL sources without any trouble. Package: hp48cc Version: 1.3-4 Architecture: armhf Maintainer: Roberto Lumbreras Installed-Size: 80 Depends: libc6 (>= 2.4) Priority: optional Section: devel Filename: pool/main/h/hp48cc/hp48cc_1.3-4_armhf.deb Size: 21344 SHA256: 5a52e8d446f2296f3ed9a5935e44c838572bc809f55e7a66f2107d29117144e2 SHA1: 9b784203a50df6a25683a9750225f6da3724e95a MD5sum: f4dbbc6fd9d5c1a80852979f3edf201d Description: C-like compiler which produces HP48 RPN `hp48cc' is a C-like compiler that translates the input code into the HP48 RPN language. The language recognized by the compiler is only a small subset of the C language, with some non-standard extensions, but powerful enough to write complex programs simply. Package: hpanel Version: 0.3.2-4 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 64 Depends: libc6 (>= 2.13-28), libx11-6, libxft2 (>> 2.1.1), libxpm4 Homepage: http://freshmeat.net/projects/hpanel Priority: optional Section: x11 Filename: pool/main/h/hpanel/hpanel_0.3.2-4_armhf.deb Size: 15438 SHA256: a345ab25222bf59d018dfbada016655d45b779507dba771ccd3281556c94aa97 SHA1: 844b9d7f01ca0e4f54ad8a8b78d35dc88a119170 MD5sum: 4753f6f828d0e94dad85c3619f7e0a67 Description: minimalist panel for X Hpanel is hacked version of fspanel, a small panel that lists your windows and allows you to switch workspaces. It requires a window manager that is compliant with the NETWM specification. It works nicely with pekwm and aewm++, and handles maximized windows better than fspanel. Package: hpcc Version: 1.4.1-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1195 Depends: libatlas3gf-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenmpi1.3, mpi-default-bin Homepage: http://icl.cs.utk.edu/hpcc/ Priority: extra Section: science Filename: pool/main/h/hpcc/hpcc_1.4.1-2_armhf.deb Size: 599696 SHA256: 09bbeb334b56fa8db3c3cd308a6d4e8d4a77c85188e29290ce05867a5b9e9cf8 SHA1: 50307f840eb5ff5fac1484740751c24576cf9e8a MD5sum: 8f0252f10f0455af3e6c8ec6a75a9f50 Description: HPC Challenge benchmark The High Performance Computing (HPC) Challenge benchmark runs a suite of 7 tests that measure the performance of CPU, memory and network for HPC clusters. Amongst others, it includes the High-Performance LINPACK (HPL) benchmark, used by the Top500 ranking (http://www.top500.org/). Package: hpijs Source: hplip Version: 3.12.6-3.1+deb7u2 Installed-Size: 96 Maintainer: Debian HPIJS and HPLIP maintainers Architecture: all Depends: printer-driver-hpijs Size: 72688 SHA256: 1377f764844d414fea7a5ffba68f1ce228ad12ec625afb2ec63aee65da1e069e SHA1: 34cb7641766a821c120b4ad2afca5aae4589ed66 MD5sum: f5f9eb114667b389504be95acec0e5f7 Description: transitional dummy package for hpijs printer driver This is a transitional dummy package to transition to uniformly named printer-driver-hpijs. Homepage: http://hplipopensource.com/hplip-web/index.html Section: text Priority: optional Filename: pool/main/h/hplip/hpijs_3.12.6-3.1+deb7u2_all.deb Package: hpijs-ppds Source: hplip Version: 3.12.6-3.1+deb7u2 Installed-Size: 14452 Maintainer: Debian HPIJS and HPLIP maintainers Architecture: all Replaces: hplip-ppds Provides: hplip-ppds Depends: printer-driver-hpijs (>= 3.12.6-3.1+deb7u2), foomatic-filters (>= 3.0.2) Breaks: foomatic-filters-ppds (>> 20000101), hplip-ppds Size: 851964 SHA256: 8003e4801ae93358f124dd096cb4dcc2e28b686fbc1790b2ef341e1beb82bc31 SHA1: 00b8c9ea8d568db11741d9e4c4abece6805fde20 MD5sum: 881b34aa63dfeef6117345e61b79093c Description: HP Linux Printing and Imaging - HPIJS PPD files This package contains PPD (printer definition) files for the printers supported through the HP Linux Printing and Imaging System HPIJS driver. . These PPDs should work well with the matching versions of HPLIP and HPIJS, but may not be the most up-to-date PPDs available for a given printer. See http://www.openprinting.org/ for the latest version of the PPDs (which are not guaranteed to work well). Homepage: http://hplipopensource.com/hplip-web/index.html Section: utils Priority: optional Filename: pool/main/h/hplip/hpijs-ppds_3.12.6-3.1+deb7u2_all.deb Package: hping3 Version: 3.a2.ds2-6 Architecture: armhf Maintainer: Guillaume Delacour Installed-Size: 227 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcap0.8 (>= 0.9.8), tcl8.4 (>= 8.4.16) Homepage: http://www.hping.org/ Priority: extra Section: net Filename: pool/main/h/hping3/hping3_3.a2.ds2-6_armhf.deb Size: 105078 SHA256: adf984d5339404da3f5564d725154b7ec27fd31cbc2da18927f8f5c46cac70fa SHA1: 07ff541b5fb9d11d33c9a213e3af84018438fad3 MD5sum: 826a9ca489903a449c6fb9ae3761f035 Description: Active Network Smashing Tool hping3 is a network tool able to send custom ICMP/UDP/TCP packets and to display target replies like ping does with ICMP replies. It handles fragmentation and arbitrary packet body and size, and can be used to transfer files under supported protocols. Using hping3, you can test firewall rules, perform (spoofed) port scanning, test network performance using different protocols, do path MTU discovery, perform traceroute-like actions under different protocols, fingerprint remote operating systems, audit TCP/IP stacks, etc. hping3 is scriptable using the Tcl language. Package: hplip Version: 3.12.6-3.1+deb7u2 Architecture: armhf Maintainer: Debian HPIJS and HPLIP maintainers Installed-Size: 251 Depends: libc6 (>= 2.13-28), libcups2 (>= 1.4.0), libdbus-1-3 (>= 1.0.2), libhpmud0 (= 3.12.6-3.1+deb7u2), libsane (>= 1.0.11-3), libsnmp15 (>= 5.4.3~dfsg), libssl1.0.0 (>= 1.0.0), libusb-1.0-0 (>= 2:1.0.8), libsane-hpaio (= 3.12.6-3.1+deb7u2), hplip-data (= 3.12.6-3.1+deb7u2), printer-driver-hpcups (= 3.12.6-3.1+deb7u2), python (>= 2.7), python (<< 2.8), python-dbus (>= 0.80), python-imaging, python-pexpect, python-reportlab, coreutils (>= 5.1.0), lsb-base (>= 3), adduser (>= 3.34), cups (>= 1.1.20), policykit-1, python-gobject-2, wget Recommends: sane-utils, avahi-daemon Suggests: hplip-gui, hplip-doc, python-notify, system-config-printer Homepage: http://hplipopensource.com/hplip-web/index.html Priority: optional Section: utils Filename: pool/main/h/hplip/hplip_3.12.6-3.1+deb7u2_armhf.deb Size: 153678 SHA256: 22da3a3e3d3a49738aa4b06a9ca578d8fa3c6fec4beaf620fe363c023f42a3bd SHA1: 1bad23bfe172d40b6598d9996cb623f3277228a9 MD5sum: 8ade87e7b993adae17f57a03735d08a7 Description: HP Linux Printing and Imaging System (HPLIP) The HP Linux Printing and Imaging System provides full support for printing on most HP SFP (single function peripheral) inkjets and many LaserJets, and for scanning, sending faxes and for photo-card access on most HP MFP (multi-function peripheral) printers. . HPLIP is composed of: * System services to handle communications with the printers * HP CUPS backend driver (hp:) with bi-directional communication with HP printers (provides printer status feedback to CUPS and enhanced HPIJS functionality such as 4-side full-bleed printing support) * HP CUPS backend driver for sending faxes (hpfax:) * hpcups CUPS Raster driver to turn rasterized input from the CUPS filter chain into the printer's native format (PCL, LIDIL, ...). (hpcups is shipped in a separate package) * HPIJS Ghostscript IJS driver to rasterize output from PostScript(tm) files or from any other input format supported by Ghostscript, and also for PostScript(tm) to fax conversion support (HPIJS is shipped in a separate package) * Command line utilities to perform printer maintenance, such as ink-level monitoring or pen cleaning and calibration * GUI and command line utility to download data from the photo card interfaces in MFP devices * GUI and command line utilities to interface with the fax functions * A GUI toolbox to access all these functions in a friendly way * HPAIO SANE backend (hpaio) for flatbed and Automatic Document Feeder (ADF) scanning using MFP devices . USB, JetDirect (network) and parallel-port devices are supported. Package: hplip-cups Source: hplip Version: 3.12.6-3.1+deb7u2 Installed-Size: 96 Maintainer: Debian HPIJS and HPLIP maintainers Architecture: all Depends: printer-driver-hpcups Size: 72688 SHA256: 5f0fff44d573a961bbbd4b8e11c17dd17ba2dc7451ea889a9af0e2a4728fc0c2 SHA1: feb885bd43eed149a70245681d451847e9b791b7 MD5sum: 9fd0a092d428cee34f58dc4db3228b81 Description: transitional dummy package for hpcups printer driver This is a transitional dummy package to transition to uniformly named printer-driver-hpcups. Homepage: http://hplipopensource.com/hplip-web/index.html Section: text Priority: optional Filename: pool/main/h/hplip/hplip-cups_3.12.6-3.1+deb7u2_all.deb Package: hplip-data Source: hplip Version: 3.12.6-3.1+deb7u2 Installed-Size: 9450 Maintainer: Debian HPIJS and HPLIP maintainers Architecture: all Depends: python (>= 2.6.6-7~), xz-utils Suggests: hplip Size: 6868520 SHA256: c5578645ab7a233d6016b9411615ed6e909a48a30cc0e77345c32858d2158118 SHA1: ee03cf685ec6aa8d78ed0f885631ce26058217d1 MD5sum: 610395abe5eabfb0c1c6a9305bc323ce Description: HP Linux Printing and Imaging - data files This package contains data files and PPDs for the HP Linux Printing and Imaging System. Homepage: http://hplipopensource.com/hplip-web/index.html Section: utils Priority: optional Filename: pool/main/h/hplip/hplip-data_3.12.6-3.1+deb7u2_all.deb Package: hplip-dbg Source: hplip Version: 3.12.6-3.1+deb7u2 Architecture: armhf Maintainer: Debian HPIJS and HPLIP maintainers Installed-Size: 1462 Depends: hplip (= 3.12.6-3.1+deb7u2) | printer-driver-hpcups (= 3.12.6-3.1+deb7u2) | printer-driver-hpijs (= 3.12.6-3.1+deb7u2) Breaks: hplip (<< 3.10.2-2ubuntu2) Homepage: http://hplipopensource.com/hplip-web/index.html Priority: extra Section: debug Filename: pool/main/h/hplip/hplip-dbg_3.12.6-3.1+deb7u2_armhf.deb Size: 1206098 SHA256: 414ce0addaf77b4694faf52dd9e7d976a3b54fa4bd97eb72cc32163027a4685f SHA1: d6c7a1b1dd6ae042b86182e61d87270605f0deb6 MD5sum: 6098f2d7f70993698265ebf499d54caa Description: HP Linux Printing and Imaging - debugging information This package contains debugging symbolic information for all the binaries and libraries in the hplip and hpijs packages. . It is useful for generating backtraces using debuggers like gdb and to get better information from tools like ltrace. Package: hplip-doc Source: hplip Version: 3.12.6-3.1+deb7u2 Installed-Size: 787 Maintainer: Debian HPIJS and HPLIP maintainers Architecture: all Suggests: hplip Size: 674942 SHA256: cad626996a5a93b734d9691d40c814d713c1e61e221541ca3eecc75ec2b6ae1f SHA1: 1adbd6dbae561880b791c98bccc4a9d1ae944ac1 MD5sum: 32e2ace7f97dab41e4af28f92d04a682 Description: HP Linux Printing and Imaging - documentation This package contains the full documentation for the HP Linux Printing and Imaging System for off-line reading. It includes the documentation for the HPIJS IJS driver as well. Homepage: http://hplipopensource.com/hplip-web/index.html Section: doc Priority: optional Filename: pool/main/h/hplip/hplip-doc_3.12.6-3.1+deb7u2_all.deb Package: hplip-gui Source: hplip Version: 3.12.6-3.1+deb7u2 Installed-Size: 148 Maintainer: Debian HPIJS and HPLIP maintainers Architecture: all Replaces: hplip (<< 3.12.4-2) Depends: hplip (>= 3.12.6-3.1+deb7u2), dbus-x11, python-qt4, python-qt4-dbus, gksu | kdebase-bin (<< 4:4.4.0-1) | kde-runtime | kdebase-runtime | kdesudo | ktsuss Breaks: hplip (<< 3.12.4-2) Size: 86418 SHA256: 3233b83d8854ee6af0bb4decfa22dc28b25789b1c335fa3b93c1ebb3189cd674 SHA1: 5046957178d1ecd7e01ae27a73635cf02df45208 MD5sum: 10ebfee9c9ec3da4b5796805d7fc67da Description: HP Linux Printing and Imaging - GUI utilities (Qt-based) This package contains utilities with graphical user interface (GUI) for HPLIP: HP Toolbox, HP Fax, ... . Note that all GUI utilities are based on the Qt (KDE) GUI environment. There are currently no equivalent utilities based on GTK (GNOME). Homepage: http://hplipopensource.com/hplip-web/index.html Recommends: xsane | simple-scan | skanlite, python-notify Section: utils Priority: optional Filename: pool/main/h/hplip/hplip-gui_3.12.6-3.1+deb7u2_all.deb Package: hpsockd Version: 0.17 Architecture: armhf Maintainer: LaMont Jones Installed-Size: 267 Depends: libc6 (>= 2.4), libdb5.1, libgcc1 (>= 1:4.4.0) Priority: extra Section: net Filename: pool/main/h/hpsockd/hpsockd_0.17_armhf.deb Size: 94820 SHA256: bd30fc63682c5d8b0bf3519ee5183c102f23f9ebc4c469fc834f090f55d689e3 SHA1: e695358ab60e57bfebbba9868b385e023c7e2670 MD5sum: 49d877a93ebf0e73421e2ea5abc15f37 Description: HP SOCKS server Hpsockd is yet-another SOCKS server, with both version 5 (RFC1928 and others) as well as version 4 support, originally written at Hewlett-Packard Company. Package: hscolour Version: 1.19-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 5137 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Homepage: http://code.haskell.org/~malcolm/hscolour/ Priority: extra Section: haskell Filename: pool/main/h/hscolour/hscolour_1.19-3_armhf.deb Size: 1211170 SHA256: 95514b248ec522f54c6c3252c13a9e2876f33c2eb9217a11ddd6360d5ca25ccd SHA1: 0bab49dc71140c261f38e6c9621a26b504847aa0 MD5sum: ae0283dbab00813a11b1eac79580afae Description: Haskell program to colourise Haskell code HsColour currently has five output formats: ANSI terminal codes, HTML 3.2 with tags, HTML 4.01 with CSS, LaTeX, and mIRC chat client codes. Package: hsetroot Version: 1.0.2-1 Architecture: armhf Maintainer: Francesco Pedrini Installed-Size: 59 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libimlib2, libx11-6, libxext6, zlib1g (>= 1:1.1.4) Priority: optional Section: x11 Filename: pool/main/h/hsetroot/hsetroot_1.0.2-1_armhf.deb Size: 10118 SHA256: 61be22c72c5774981030d67234fdd0213d0d38bea29518f2a9464f705bfb4fa6 SHA1: c9458fcee7f9b18d3aff993d52bffa15de272da8 MD5sum: 3de23a0fc140146b8c049dad0375d004 Description: tool for compose root-pixmaps for X11 hsetroot is a tool which allows you to compose wallpapers ("root pixmaps") for X. It has a lot of options like rendering gradients, solids, images but it also allows you to perform manipulations on those things, or chain them together. . Homepage: http://thegraveyard.org/hsetroot.php Package: hspell Version: 1.1-2 Architecture: armhf Maintainer: Debian Hebrew Packaging Team Installed-Size: 822 Depends: libc6 (>= 2.7), zlib1g (>= 1:1.1.4) Homepage: http://hspell.ivrix.org.il/ Priority: optional Section: text Filename: pool/main/h/hspell/hspell_1.1-2_armhf.deb Size: 700142 SHA256: caa8d7b63339920b495da53664fda2fc3d79e896d5f7852fedc9bc2cfa4774f5 SHA1: 67afa7575ad97d39b3dae04b9eb6043e518503e3 MD5sum: b3a2919c7fec8155c8dccd1210915b6b Description: Hebrew spell checker and morphological analyzer Hspell checks nikkud-less writing (Ktiv Male) and follows the rules set by the Academy of Hebrew Language. It includes all the dictionaries that it needs. Package: hspell-gui Version: 0.2.6-5.1 Architecture: armhf Maintainer: Debian Hebrew Packaging Team Installed-Size: 108 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), hspell Homepage: http://hspell-gui.sourceforge.net/ Priority: optional Section: text Filename: pool/main/h/hspell-gui/hspell-gui_0.2.6-5.1_armhf.deb Size: 28308 SHA256: 6bbfbafeb44689c0aba3e060f687088cccc9181d947a21100d0e6c5ac3656282 SHA1: 4821078fa8901379b8b3713886720d0b337fb28a MD5sum: bee87956f9c7925fa5445da7578e94cc Description: graphical front-end for the hspell hebrew spell checker graphical front-end for hspell the hebrew spell checker. Provides an easy access to the spell checker to the command-line challenged. Package: hsqldb-server Source: hsqldb Version: 1.8.0.10+dfsg-0+deb7u1 Installed-Size: 122 Maintainer: Rene Engelhard Architecture: all Depends: libhsqldb-java (= 1.8.0.10+dfsg-0+deb7u1), adduser, lsb-base (>= 3.0-3), gcj-jre Size: 51484 SHA256: 30c03b4844ab44240d3a0331cb07a99d52c37d13bd27bca48f4621ea3ec2ca71 SHA1: 2fab23c39abc418bd0ff70b1ff17b6c68a2f2149 MD5sum: 2b9a15ff8eb93a5843c8cfdd000da07d Description: Java SQL database server HSQLDB is an SQL relational database engine written in Java. It has a JDBC driver and supports a rich subset of SQL-92 (BNF tree format) plus SQL:1999 and SQL:2003 enhancements. It offers a small, fast database engine that offers both in-memory and disk-based tables. Embedded and server modes are available. Additionally, it includes tools such as a minimal web server, in-memory query and management tools (can be run as applets), and a number of demonstration examples. . This package contains the files necessary to run an HSQLDB server. More commonly, HSQLDB is used as an embedded database engine, which does not require this package. . Web site: http://hsqldb.org/ Tag: role::program, works-with::db Section: database Priority: optional Filename: pool/main/h/hsqldb/hsqldb-server_1.8.0.10+dfsg-0+deb7u1_all.deb Package: hsqldb-utils Source: hsqldb Version: 1.8.0.10+dfsg-0+deb7u1 Installed-Size: 83 Maintainer: Rene Engelhard Architecture: all Replaces: libhsqldb-java (<< 1.8.1.1~) Depends: libhsqldb-java (= 1.8.0.10+dfsg-0+deb7u1), gij | java-gcj-compat | java2-runtime Size: 31068 SHA256: b0abc5cfcd1921ab9284d62e0d8c6c9b60d9cdf40e75d1bf417a1f19d98cb413 SHA1: b9d7143dcdd25625e8071ffdc9b21a24aa43f510 MD5sum: 3f48b477768b047028e0b90474d7f47f Description: Java SQL database engine (utilities) HSQLDB is an SQL relational database engine written in Java. It has a JDBC driver and supports a rich subset of SQL-92 (BNF tree format) plus SQL:1999 and SQL:2003 enhancements. It offers a small, fast database engine that offers both in-memory and disk-based tables. Embedded and server modes are available. Additionally, it includes tools such as a minimal web server, in-memory query and management tools (can be run as applets), and a number of demonstration examples. . This package contains some scripts to invoke the Utilities of HSQLDB (org.hsqldb.util.*): - hsqldb-databasemanager: DatabaseManager - hsqldb-databasemanagerswing: DatabaseManagerSwing - hsqldb-transfer: Transfer - hsqldb-querytool: QueryTool - hsqldb-sqltool: SqlTool Tag: role::program, scope::utility, works-with::db Section: database Priority: optional Filename: pool/main/h/hsqldb/hsqldb-utils_1.8.0.10+dfsg-0+deb7u1_all.deb Package: htag Version: 0.0.24-1 Installed-Size: 248 Maintainer: Simon Huggins Architecture: all Depends: perl (>= 5.6.0-16) Size: 30442 SHA256: 9763d61680c2f6d468538cbe06a8818494185cdc88fda8c87b6e8ffc0ea6ca2d SHA1: aa838b2c7818856174df0ae1109bbf040e921992 MD5sum: 822085c6a77aad72e37fbbf5a804caf7 Description: A tagline/.signature adder for email, news and FidoNet messages htag is a tagline (aka .signature for those without a Fidonet background) adder but it has now been so over engineered that it will also do anything you want it to[0], do lots of stuff I want it to, and do stuff it wants to. . [0] Or at least it will if you write the appropriate plugin. Tag: implemented-in::perl, interface::commandline, protocol::fidonet, protocol::nntp, role::program, scope::utility, works-with::mail Section: mail Priority: optional Filename: pool/main/h/htag/htag_0.0.24-1_all.deb Package: htcheck Version: 1:2.0.0~rc1-2 Architecture: armhf Maintainer: Marco Nenciarini Installed-Size: 549 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmysqlclient16 (>= 5.1.50-1), libstdc++6 (>= 4.4.0) Recommends: mysql-server, htcheck-php Homepage: http://htcheck.sourceforge.net/ Priority: extra Section: web Filename: pool/main/h/htcheck/htcheck_2.0.0~rc1-2_armhf.deb Size: 273014 SHA256: d33a6fb34d15867a7f1976304b128f182160de8b1a84ffd28cf53ca6b25cb5f8 SHA1: ecce8b3ee7df1005f68efa4a39869f5e4ee62eb0 MD5sum: e14b9469a9b73395cb15258c03803c56 Description: Utility for checking web site for dead/external links ht://Check is more than a link checker. It's particularly suitable for checking broken links, anchors and web accessibility barriers, but retrieved data can also be used for Web structure mining. Uses a MySQL backend. Derived from ht://Dig. Package: htcheck-php Version: 1:2.0.0~rc1-2 Installed-Size: 292 Maintainer: Marco Nenciarini Architecture: all Depends: apache2 | apache | httpd, php5 | libapache2-mod-php5 | libapache2-mod-php5filter | php5-cgi, php5-mysql Recommends: htcheck Size: 48488 SHA256: 869ee677e000e645a83804044477cd64bbe65e442ad02eab2c21f6473ee855ce SHA1: 84d42eea2222492daabb92e82fe4200eb1233d7d MD5sum: 0c0d6ff7c4ec40207e65b76f19ba3f1f Description: Simple php interface to database generated by ht://Check ht://Check is more than a link checker. It's particularly suitable for checking broken links, anchors and web accessibility barriers, but retrieved data can also be used for Web structure mining. Uses a MySQL backend. Derived from ht://Dig. . This package contains a simple php interface to database generated by ht://Check Homepage: http://htcheck.sourceforge.net/ Tag: devel::lang:sql, implemented-in::php, interface::web, protocol::http, role::program, scope::utility, use::checking, web::application, works-with-format::html, works-with::db, works-with::text Section: web Priority: extra Filename: pool/main/h/htcheck-php/htcheck-php_2.0.0~rc1-2_all.deb Package: htdig Version: 1:3.2.0b6-12 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 5159 Depends: debconf (>= 1.2.9) | debconf-2.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), perl, lockfile-progs Suggests: htdig-doc, wwwoffle | httpd Conflicts: libmifluz0 Priority: optional Section: web Filename: pool/main/h/htdig/htdig_3.2.0b6-12_armhf.deb Size: 1828054 SHA256: e8659d014d0e5fbe33d4e2982a6d2d0d68093a804214d4fa77bff0a8324b0af3 SHA1: eea2ae8036d6d611a27bcb835f5c412e3fd37828 MD5sum: 556c99327d7aeb3a1665b0f2e145c4db Description: web search and indexing system - binaries The ht://Dig system is a complete web search engine for a small domain or intranet. It is not meant to replace the major Internet-wide search engines; instead it is meant to cover the search needs of a single company, campus, or even a particular subsection of a website. . Features: - intranet searching, spanning multiple local web servers; - robot exclusion; - boolean expression searches; - configurable search results; - fuzzy searching (various algorithms supported); - indexing of HTML and text files; - keyword tagging of HTML documents; - email notification of expired documents; - indexing of protected servers; - searches on subsections of the database; - limitation of search depth; - ISO-Latin-1 character set support. Package: htdig-doc Source: htdig Version: 1:3.2.0b6-12 Installed-Size: 1677 Maintainer: Debian QA Group Architecture: all Depends: perl5 Suggests: htdig Size: 530106 SHA256: 5033b3e554330d6261e2bf8803339ade09058452cfa7098010b5dd6beb7faeb6 SHA1: 939e81d8dab3801e6b2f59c46632a1127315621a MD5sum: 682f466c64b90230daf35a44ea35ca58 Description: web search and indexing system - documentation The ht://Dig system is a complete web search engine for a small domain or intranet. It is not meant to replace the major Internet-wide search engines; instead it is meant to cover the search needs of a single company, campus, or even a particular subsection of a website. . This package provides the HTML documentation and examples. Tag: made-of::html, role::documentation, use::searching, web::cgi, works-with-format::html, works-with::text Section: doc Priority: optional Filename: pool/main/h/htdig/htdig-doc_3.2.0b6-12_all.deb Package: html-helper-mode Version: 3.0.4kilo-2 Installed-Size: 256 Maintainer: Chris Lawrence Architecture: all Depends: emacs21 | emacsen, css-mode Size: 63132 SHA256: e151e5a242241773d7a2dfb457fb14258ab647fcb60cc7ec8e3902851ed8075a SHA1: be21770334773a40f61828748c829ac22a6ef9f1 MD5sum: 3930112afb8dc3d37187e7d77bd8dfd1 Description: A popular HTML editing mode for emacs html-helper-mode has historically been a popular mode for editing HTML files in various flavors of Emacs. It includes font-lock mode support, menu support, and support for editing pages with embedded JSP, PHP, and ASP tags. . Current Home Page: http://www.nongnu.org/baol-hth/index.html . Old Home Page: http://www.santafe.edu/~nelson/tools/ Tag: implemented-in::lisp, role::plugin, suite::emacs, use::editing, works-with-format::html, works-with::text Section: editors Priority: extra Filename: pool/main/h/html-helper-mode/html-helper-mode_3.0.4kilo-2_all.deb Package: html-xml-utils Version: 6.1-1 Architecture: armhf Maintainer: Debian XML/SGML Group Installed-Size: 1483 Depends: perl, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2) Homepage: http://www.w3.org/Tools/HTML-XML-utils/ Priority: extra Section: text Filename: pool/main/h/html-xml-utils/html-xml-utils_6.1-1_armhf.deb Size: 500372 SHA256: a04fab13af56b7eae9314f7ec5c25df1207b6876fd106f8a4f6ccedef00dd43a SHA1: 38fbbbddc3bca0576758df2662a4be4941489725 MD5sum: 38ab63b2eb4576aa0e997eaf054fa5f3 Description: HTML and XML manipulation utilities HTML-XML-utils provides a number of simple utilities for manipulating and converting HTML and XML files in various ways. The suite consists of the following tools: . asc2xml - convert from UTF-8 to &#nnn; entities xml2asc - convert from &#nnn; entities to UTF-8 hxaddid - add IDs to selected elements hxcite - replace bibliographic references by hyperlinks hxcite-mkbib - expand references and create bibliography hxclean - apply heuristics to correct an HTML file hxcopy - copy an HTML file while preserving relative links hxcount - count elements and attributes in HTML or XML files hxextract - extract selected elements hxincl - expand included HTML or XML files hxindex - create an alphabetically sorted index hxmkbib - create bibliography from a template hxmultitoc - create a table of contents for a set of HTML files hxname2id - move some ID= or NAME= from A elements to their parents hxnormalize - pretty-print an HTML file hxnsxml - convert output of hxxmlns back to normal XML hxnum - number section headings in an HTML file hxpipe - convert XML to a format easier to parse with Perl or AWK hxprintlinks - number links & add table of URLs at end of an HTML file hxprune - remove marked elements from an HTML file hxref - generate cross-references hxselect - extract elements that match a (CSS) selector hxtoc - insert a table of contents in an HTML file hxuncdata - replace CDATA sections by character entities hxunent - replace HTML predefined character entities to UTF-8 hxunpipe - convert output of pipe back to XML format hxunxmlns - replace "global names" by XML Namespace prefixes hxwls - list links in an HTML file hxxmlns - replace XML Namespace prefixes by "global names" Package: html2markdown Source: python-html2text Version: 3.200.3-2 Installed-Size: 27 Maintainer: Debian Python Modules Team Architecture: all Depends: python-html2text (= 3.200.3-2) | python3-html2text (= 3.200.3-2) Size: 2988 SHA256: 4471170fec7bfdb203c225455f0b3add5645855199ad9d6c5ce137d8294e22f5 SHA1: 5f1e8481f23e00440da5f1272dcf243b0ae87e23 MD5sum: 6762a1bc2e84050e468d47988e4a8821 Description: Dummy upgrade package for merge of html2markdown into python-html2text This is a transitional package and can safely be removed; see the python-html2text and python3-html2text packages instead. Homepage: http://www.aaronsw.com/2002/html2text/ Tag: role::dummy Section: oldlibs Priority: extra Filename: pool/main/p/python-html2text/html2markdown_3.200.3-2_all.deb Package: html2ps Version: 1.0b7-1 Installed-Size: 320 Maintainer: Rafael Cunha de Almeida Architecture: all Depends: perl, perlmagick, libwww-perl, libhtml-parser-perl, libpaper-utils Suggests: ghostscript, weblint-perl, texlive-base, postscript-viewer, xhtml2ps Size: 106272 SHA256: 23d440f7c7f027ec45a8c2b79913dd80a127f0346bfccd0bc848c7d26f19f874 SHA1: f2a0e4ff8593a483e5893c796cdc1a09238a4051 MD5sum: 8de2df6958e593adadab5222dd4d2211 Description: HTML to PostScript converter This program converts HTML directly to PostScript. The HTML code can be retrieved from one or more URLs or local files, specified as parameters on the command line. A comprehensive level of HTML is supported, including inline images, CSS 1.0, and some features of HTML 4.0. . This is our justification for the suggests list: . We suggest ghostscript since it is required in order to output DSC compliant PS (--dsc option) and make cross references at links within the set of converted documents (--xref option). . We suggest weblint-perl because it's the default method for checking HTML syntax (use the -c option). . We suggest texlive-base because we need TeX hyphenation pattern in order to hyphenate text (use -H option). . We suggest postscript-viewer because this package generates postscripts that can be viewed on a postscript-viewer. . We suggest xhtml2ps because it's a interesting graphical interface for this package. Homepage: http://user.it.uu.se/~jan/html2ps.html Tag: interface::commandline, role::program, scope::utility, use::converting, works-with-format::html, works-with-format::postscript, works-with::text Section: text Priority: optional Filename: pool/main/h/html2ps/html2ps_1.0b7-1_all.deb Package: html2text Version: 1.3.2a-15 Architecture: armhf Maintainer: Eugene V. Lyubimkin Installed-Size: 255 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Suggests: curl | wget Homepage: http://www.mbayer.de/html2text/ Priority: optional Section: web Filename: pool/main/h/html2text/html2text_1.3.2a-15_armhf.deb Size: 98462 SHA256: 4fb3e740598337dd039bb438da39d53f144efc327d8ac24163c75683c7889d87 SHA1: 03ade54d311549ca934b10ed07c934e1dd71555f MD5sum: 528fcd583dfca2fdb3a2f9eeb6b35265 Description: advanced HTML to text converter html2text is a converter from HTML to plain text. . html2text reads HTML documents supplied in the command line (or from standard input), converts each of them into a stream of plain text characters and writes output to the file or the terminal. . Debian version also can recognize encoding of documents, do on-fly input and output recoding. . html2text was written because the author wasn't happy with the output of "lynx -dump" and so he wrote something better. Package: html2wml Version: 0.4.11-1 Installed-Size: 428 Maintainer: Werner Heuser Architecture: all Depends: perl5, libhtml-parser-perl, liburi-perl, libwww-perl, libtext-template-perl Recommends: libxml-parser-perl, tidy, httpd | httpd-cgi Suggests: wap-wml-tools, iceweasel, wapua Size: 109472 SHA256: 677d35b4bfcb56f7f66f1d5e839f9ff5e1ca957d5c02b2aeea5c5304d296461d SHA1: ac05345df6ccec312772cf3320d4dda42821821c MD5sum: f0e67068951c1aada8dae26b144a80eb Description: converts HTML pages to WML (WAP) or i-mode pages html2wml converts HTML pages to WML or i-mode(tm) pages, suitable for being viewed on a WAP or i-mode devices, e.g. cell phones. The conversion can be done either on the command line to create static pages or on-the-fly by calling this program as a CGI. . Different WAP browsers are available to view the results of html2wml, e.g. the wmlbrowser add-on for iceweasel (aka firefox) and wapua. Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, use::text-formatting, works-with-format::html, works-with::text Section: web Priority: optional Filename: pool/main/h/html2wml/html2wml_0.4.11-1_all.deb Package: htmldoc Version: 1.8.27-8 Architecture: armhf Maintainer: Jeff Licquia Installed-Size: 510 Depends: libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.6), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libssl1.0.0 (>= 1.0.0), libxpm4, zlib1g (>= 1:1.1.4), htmldoc-common Homepage: http://www.htmldoc.org/ Priority: optional Section: web Filename: pool/main/h/htmldoc/htmldoc_1.8.27-8_armhf.deb Size: 241652 SHA256: e0919ba16b7d12030e896b19880a625765cc3f8a97c8925e75d55fea56c56b17 SHA1: 8618d78d345fcdecd321389822e64063a659d21a MD5sum: c489d36ef6b58d2e2bf0f7810112ea4b Description: HTML processor that generates indexed HTML, PS, and PDF HTMLDOC is a program for writing documentation in HTML and producing indexed HTML, PostScript, or PDF output (with tables of contents). It supports most HTML 3.2 and some HTML 4.0 syntax, as well as GIF, JPEG, and PNG images. Package: htmldoc-common Source: htmldoc Version: 1.8.27-8 Installed-Size: 7941 Maintainer: Jeff Licquia Architecture: all Replaces: htmldoc (<< 1.8.27) Conflicts: htmldoc (<< 1.8.27) Size: 5281878 SHA256: f75f8206e31ef504da4b66dbf2f64661a8743521f22363d9af96c4bf60ffdedf SHA1: 949b9354cb318745651bd1d08f68cc024625f4b6 MD5sum: d588ea10806e6a5d8a60b9300185604d Description: Common arch-independent files for htmldoc HTMLDOC is a program for writing documentation in HTML and producing indexed HTML, PostScript, or PDF output (with tables of contents). It supports most HTML 3.2 and some HTML 4.0 syntax, as well as GIF, JPEG, and PNG images. . This package contains the htmldoc files common to all architectures. Homepage: http://www.htmldoc.org/ Tag: interface::commandline, made-of::html, made-of::man, made-of::pdf, made-of::postscript, role::app-data Section: web Priority: extra Filename: pool/main/h/htmldoc/htmldoc-common_1.8.27-8_all.deb Package: htop Version: 1.0.1-1 Architecture: armhf Maintainer: Eugene V. Lyubimkin Installed-Size: 207 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncursesw5 (>= 5.6+20070908), libtinfo5 Suggests: strace, ltrace Homepage: http://htop.sourceforge.net Priority: optional Section: utils Filename: pool/main/h/htop/htop_1.0.1-1_armhf.deb Size: 74830 SHA256: c2872559143d5235b8db299129d5ff9e8b3247c4a41fd4d9d8f9b5e18f504b63 SHA1: 77df36af9039eb9afab54a56d737ea041760651e MD5sum: 6fffac1a9ee40eabaa9c3b2c31268ced Description: interactive processes viewer Htop is an ncursed-based process viewer similar to top, but it allows one to scroll the list vertically and horizontally to see all processes and their full command lines. . Tasks related to processes (killing, renicing) can be done without entering their PIDs. Package: htsengine Version: 1.06-1 Architecture: armhf Maintainer: Koichi Akabe Installed-Size: 61 Depends: libc6 (>= 2.4), libhtsengine1 Homepage: http://hts-engine.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/h/htsengine/htsengine_1.06-1_armhf.deb Size: 14760 SHA256: b919d1fda48f9daede91f7019c0ed4242d2aae19c5a3b8094601fd9e3f9bb34a SHA1: dd8d742b9f9484c45f753836231f5a0a61edfcfc MD5sum: 69792fc99d23b8f84b080d95a276d8a3 Description: frontend of HMM-based speech synthesis engine This engine is software to synthesize speech waveform from Hidden Markov Models (HMM) trained by the HMM-based speech synthesis system (a.k.a. HTS). . This package is a frontend of HTS engine. Package: httest Version: 2.2.6-1 Architecture: armhf Maintainer: Eva Ramon Salinas Installed-Size: 412 Depends: libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblua5.1-0, libpcre3 (>= 8.10), libssl1.0.0 (>= 1.0.1), zlib1g (>= 1:1.1.4) Homepage: http://htt.sourceforge.net Priority: extra Section: devel Filename: pool/main/h/httest/httest_2.2.6-1_armhf.deb Size: 176722 SHA256: 836cf6c9ba403817eb766afb3768a06d327f07dcacb980f1ff727ee61b716228 SHA1: 19d2ece39a785ecbe1142c6bc1259d5ee98d07b8 MD5sum: ace902611fde405a6ee72ce0517d2e07 Description: HTTP test tool HTTP Test Tool is a script based tool for testing and benchmarking web applications, web servers, proxy servers and web browsers. htttest can emulate clients and servers even in the same test script. Emulating servers is a unique feature, very useful for testing proxy servers, web browsers and HTTP clients. The testing can match patterns in answers (both server(s) and client(s)) to test the validity. Package: http-icons Version: 0~20041010-1 Installed-Size: 205 Maintainer: Jonas Smedegaard Architecture: all Size: 151458 SHA256: 6d6db69af4a89bf0f452c23343e00d19257f988a299d454f78ed955cb23c0d79 SHA1: 8a891696ef991d3379738f454551f37398d6f615 MD5sum: 188cfedf46efaa0a5670d8966d0ac18a Description: classic MIME icons http-icons date back to Mosaic for X and have been included in the NCSA httpd and Apache server distributions. Modernized, maintaining the original size so they can be used as a drop in replacement. Homepage: http://www.ideocentric.com/technology/articles/title/apache-icons Section: misc Priority: optional Filename: pool/main/h/http-icons/http-icons_0~20041010-1_all.deb Package: httpcode Version: 0.5-2 Installed-Size: 106 Maintainer: Khalid El Fathi Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-colorama, python-pkg-resources Size: 10248 SHA256: d64ea9ce14365b818f68886230722e90d7d49f6385d45b158857bcbe08914ba2 SHA1: c663d15117ee0a1718b610a9af288a761b35ac9f MD5sum: a1e837098d143b9479283211d8be952f Description: Explains the meaning of an HTTP status code on the command line httpcode is a little utility that explains the meaning of an HTTP status code on the command line. Homepage: https://github.com/rspivak/httpcode/ Section: utils Priority: extra Filename: pool/main/h/httpcode/httpcode_0.5-2_all.deb Package: httperf Version: 0.9.0-2 Architecture: armhf Maintainer: Alexander Reichle-Schmehl Installed-Size: 136 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0) Homepage: http://www.hpl.hp.com/research/linux/httperf/ Priority: optional Section: web Filename: pool/main/h/httperf/httperf_0.9.0-2_armhf.deb Size: 71326 SHA256: 2fde209db833427b95740cfdb60a9d2011bb31b26d0b5e37ad22e082a40cdaec SHA1: 3c89b0c7725e410d5471c2e361732d2527db6d4a MD5sum: f42f7a5778eed91329f1e9dc9cef023c Description: An HTTP server performance tester httperf is a tool to measure web server performance. It speaks the HTTP protocol both in its HTTP/1.0 and HTTP/1.1 flavors and offers a variety of workload generators. While running, it keeps track of a number of performance metrics that are summarized in the form of statistics that are printed at the end of a test run. Package: httpfs2 Version: 0.1.4-1 Architecture: armhf Maintainer: Michal Suchanek Installed-Size: 55 Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1) Recommends: fuse-utils Homepage: http://sourceforge.net/projects/httpfs/ Priority: extra Section: web Filename: pool/main/h/httpfs2/httpfs2_0.1.4-1_armhf.deb Size: 12308 SHA256: a150575c43d5ac83cf7a778a128e0ebf8abf4c589b954ba0c01bfc044df5e62f SHA1: 51076527c5b2ba1f31a6ed8c06ad521774ab625e MD5sum: 16ee0c9e7cccbfc5b2f999435a32be55 Description: FUSE filesystem for mounting files from http servers httpfs2 is a FUSE based filesystem for mounting http or https URLS as files in the filesystem. There is no notion of listable directories in http so only a single URL can be mounted. The server must be able to send byte ranges. Package: httpie Version: 0.1.6+20120309git-2.1 Architecture: armhf Maintainer: Bartosz Fenski Installed-Size: 116 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-requests (>= 0.10.4), python-pygments, python (>= 2.7) | python-argparse, python-pkg-resources Homepage: http://httpie.org Priority: extra Section: web Filename: pool/main/h/httpie/httpie_0.1.6+20120309git-2.1_armhf.deb Size: 15480 SHA256: e6a4a67641aedae73110ba3006466d7628acf9f8482788a39fb94887c8a48546 SHA1: ae69f9e05486ff61a307b3a6f08c6eb0575948d3 MD5sum: 3f7e1e454ecf72bb2447b8dc573cc0a3 Description: CLI, cURL-like tool for humans HTTPie is a CLI HTTP utility that makes CLI interaction with HTTP-based services as human-friendly as possible. . HTTPie does so by providing an http command that allows for issuing arbitrary HTTP requests using a simple and natural syntax and displaying colorized responses. Package: httping Version: 1.5.3-1 Architecture: armhf Maintainer: Nico Golde Installed-Size: 75 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), openssl Replaces: httping-nossl Homepage: http://www.vanheusden.com/httping Priority: optional Section: net Filename: pool/main/h/httping/httping_1.5.3-1_armhf.deb Size: 23978 SHA256: e749c5c585ec0c1b85be44f536fac4cf70bcc52d213dd15e0e292a82e46fad63 SHA1: b82c596a7f7db3485887bc06b3b52e5ceb0afc5a MD5sum: 0fd6b1d41e8f81921a6bec9254420f79 Description: ping-like program for http-requests httping is like ping for HTTP. It sends requests to a hostname or a remote URL and it shows you how long it takes to connect, send a HTTP request and retrieve the reply (only the header). . It supports SSL as well as various different ways to use it. Package: httptunnel Version: 3.3+dfsg-3 Architecture: armhf Maintainer: Teemu Hukkanen Installed-Size: 135 Depends: libc6 (>= 2.13-28) Homepage: http://www.nocrew.org/software/httptunnel.html Priority: optional Section: net Filename: pool/main/h/httptunnel/httptunnel_3.3+dfsg-3_armhf.deb Size: 57084 SHA256: 8e2fb6f0dbf1ae00c636311bb7a87fd1bebf7af51b988383dd4234bf7cd9ec20 SHA1: 5ffa0e4101a9022c0ba33f1c7345359123fc35fa MD5sum: 8889cd4f391d6695c3116b5714d49a81 Description: Tunnels a data stream in HTTP requests Creates a bidirectional virtual data stream tunnelled in HTTP requests. The requests can be sent via a HTTP proxy if so desired. . This can be useful for users behind restrictive firewalls. If WWW access is allowed through a HTTP proxy, it's possible to use httptunnel and, say, telnet or PPP to connect to a computer outside the firewall. Package: httrack Version: 3.46.1-1 Architecture: armhf Maintainer: Xavier Roche Installed-Size: 107 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhttrack2, zlib1g (>= 1:1.1.4) Suggests: webhttrack, httrack-doc Homepage: http://www.httrack.com Priority: optional Section: web Filename: pool/main/h/httrack/httrack_3.46.1-1_armhf.deb Size: 40086 SHA256: 9d69770d2248a2cad6f19b07b15f04ac172dbf65ce03c89cfde9896200944bbb SHA1: edda4f05d85701a6fa9c8824ab679c960ff36a62 MD5sum: 9df0f1deea00f48513ea0b79ec60e165 Description: Copy websites to your computer (Offline browser) HTTrack is an offline browser utility, allowing you to download a World Wide website from the Internet to a local directory, building recursively all directories, getting html, images, and other files from the server to your computer. . HTTrack arranges the original site's relative link-structure. Simply open a page of the "mirrored" website in your browser, and you can browse the site from link to link, as if you were viewing it online. HTTrack can also update an existing mirrored site, and resume interrupted downloads. HTTrack is fully configurable, and has an integrated help system. Package: httrack-doc Source: httrack Version: 3.46.1-1 Installed-Size: 917 Maintainer: Xavier Roche Architecture: all Size: 517606 SHA256: a2760da728d48c70d5301933cf6cd9c9fdd079559d32af21b85bab23efef068d SHA1: ecb164d557ea29b4cf9cc04e21c28bba3107a154 MD5sum: dad41fe259444f03f16bc40219aa4a13 Description: Httrack website copier additional documentation This package adds supplemental documentation for httrack and webhttrack as a browsable html documentation Homepage: http://www.httrack.com Tag: made-of::html, protocol::ftp, protocol::http, role::documentation, use::browsing, use::synchronizing Section: doc Priority: optional Filename: pool/main/h/httrack/httrack-doc_3.46.1-1_all.deb Package: hugin Version: 2011.4.0+dfsg-5 Architecture: armhf Maintainer: Debian PhotoTools Maintainers Installed-Size: 3455 Depends: hugin-tools (= 2011.4.0+dfsg-5), enblend (>= 3.2), enfuse, libimage-exiftool-perl, make, libboost-signals1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libexiv2-12, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libpano13-2 (>= 2.9.18), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1) Breaks: hugin-bin (<< 0.7.0~svn) Replaces: hugin-bin (<< 0.7.0~svn), hugin-data (<< 0.7.0~svn), hugin-tools (<< 0.7.0~svn) Homepage: http://hugin.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/h/hugin/hugin_2011.4.0+dfsg-5_armhf.deb Size: 1600482 SHA256: 8e43f3bd9230a786bbb0709af87d4e0042d842e5a9f5a076166255872b84916b SHA1: 6056318dd6d0e619557761d3eb430e8192fd83b5 MD5sum: 93abd5684ede754d5f1f928c07ec78d3 Description: panorama photo stitcher - GUI tools Hugin is a panorama photo stitching program. Essentially, Hugin is a GUI frontend for Panorama Tools. Stitching is accomplished by using several overlapping photos taken from the same location, and using control points to align and transform the photos so that they can be blended together to form a larger image. Hugin allows for the easy creation of control points between two images, optimization of the image transforms, and much more. . This package includes the following graphical interfaces, using the command-line tools provided in the hugin-tools package: * hugin - Hugin panorama creator. * hugin_stitch_project - Hugin batch stitcher. * nona_gui - Graphical interface for nona. * PTBatcherGUI - Batch controller for the stitching process. * calibrate_lens_gui - Lens calibration tool. Package: hugin-data Source: hugin Version: 2011.4.0+dfsg-5 Installed-Size: 17624 Maintainer: Debian PhotoTools Maintainers Architecture: all Depends: python (>= 2.6.6-7~) Suggests: python-wxgtk2.8 Size: 9579920 SHA256: cd11840c09ea2621eca6e820bdf519705bbdb1694224f39449cf0bf0c4a6adf1 SHA1: ef330372288568c3809de7c06887a76db535ef06 MD5sum: b1d56c0bd7ec5475510409a8d9118a06 Description: panorama photo stitcher - common data files Hugin is a panorama photo stitching program. . This package includes the data files needed by the hugin and hugin-tools packages. Homepage: http://hugin.sourceforge.net/ Tag: role::app-data Section: graphics Priority: optional Filename: pool/main/h/hugin/hugin-data_2011.4.0+dfsg-5_all.deb Package: hugin-tools Source: hugin Version: 2011.4.0+dfsg-5 Architecture: armhf Maintainer: Debian PhotoTools Maintainers Installed-Size: 15479 Depends: hugin-data (= 2011.4.0+dfsg-5), freeglut3, libboost-regex1.49.0 (>= 1.49.0-1), libboost-signals1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libexiv2-12, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libilmbase6 (>= 1.0.1), libjpeg8 (>= 8c), libopenexr6 (>= 1.6.1), libpano13-2 (>= 2.9.18), libpng12-0 (>= 1.2.13-4), libpython2.7 (>= 2.7), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libzthread-2.3-2, python2.7, python (>= 2.7), python (<< 2.8) Recommends: libpano13-bin Breaks: hugin-bin (<< 0.7.0~svn) Replaces: hugin-bin (<< 0.7.0~svn) Provides: python-hsi Homepage: http://hugin.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/h/hugin/hugin-tools_2011.4.0+dfsg-5_armhf.deb Size: 4616290 SHA256: 534f8a7026909a6a270b04ff43e067c0b8a5b1ac620c2d427d66a1418ee7d8be SHA1: 15d1b45f04cf5412c89de40c6747a357d33dc396 MD5sum: 32eefb8e8177d9c4af4772ad879fcbf2 Description: panorama photo stitcher - commandline tools Hugin is a panorama photo stitching program. . This package includes command-line tools used by hugin and other packages for image stitching and High Dynamic Range (HDR) images: * align_image_stack - Align overlapping images for HDR creation. * autooptimiser - Optimize image positions. * celeste_standalone - Remove cloud-like control points. * cpclean - Remove wrong control points. * cpfind - Patent-free control-point detector * deghosting_mask - Creates mask for removing ghosting in images. * fulla - Correct lens distortion, vignetting, and chromatic aberration. * hugin_hdrmerge - Merge overlapping images. * icpfind - Control-point detector wrapper program. * matchpoint - Run feature detection and extraction. * nona - Remap images. (Also does simple stitching.) * pano_modify - Change output parameters of project file. * pto2mk - Create a makefile for stitching. * pto_merge - Merges two or more Hugin project files. * tca_correct - Calculate transverse chromatic aberration. * vig_optimize - Determine the radial vignetting. Package: hugs Source: hugs98 Version: 98.200609.21-5.3 Architecture: armhf Maintainer: Isaac Jones Installed-Size: 3812 Depends: libhugs-base, libhugs-haskell98, libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libtinfo5 Recommends: libhugs-alut, libhugs-cabal, libhugs-fgl, libhugs-glut, libhugs-haskell-src, libhugs-haxml, libhugs-hgl, libhugs-hunit, libhugs-mtl, libhugs-network, libhugs-openal, libhugs-opengl, libhugs-parsec, libhugs-quickcheck, libhugs-stm, libhugs-time, libhugs-unix, libhugs-x11, libhugs-xhtml Suggests: haskell-mode, haskell-doc, cpphs Conflicts: hugs98 Replaces: hugs98 Provides: hugs98 Priority: optional Section: interpreters Filename: pool/main/h/hugs98/hugs_98.200609.21-5.3_armhf.deb Size: 1139798 SHA256: 4e5748141f17db1d63629cfc6dca2998235ccde6d4f78f984ef2c373355d2f70 SHA1: 55ad73a3e98ba44f6b281ef94dd222e6e774ffb8 MD5sum: 0a4a83a32d0ce9236bd03f1503c9609c Description: A Haskell 98 interpreter Hugs is an interpreter for the non-strict, purely functional programming language Haskell. This version of Hugs, Hugs 98, supports nearly all of the Haskell 98 specification, as well as a number of extensions. . The Haskell language is described by documents in the haskell-doc package. Other libraries are documented in the ghc6-doc package. Package: hunspell Version: 1.3.2-4 Architecture: armhf Maintainer: Debian LibreOffice Maintainers Installed-Size: 157 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libhunspell-1.3-0, libncursesw5 (>= 5.6+20070908), libreadline6 (>= 6.0), libstdc++6 (>= 4.3.0), libtinfo5, hunspell-en-us | hunspell-dictionary | myspell-dictionary Multi-Arch: foreign Homepage: http://hunspell.sourceforge.net/ Priority: optional Section: text Filename: pool/main/h/hunspell/hunspell_1.3.2-4_armhf.deb Size: 75310 SHA256: e56d28176ac6572b913dcf70b7803a88235ae98f62f5e8cf86c1007da78093fe SHA1: 6f6468708fb468752f6859f30a284a5cfd8e6362 MD5sum: 99bbc8d883991d05ea0d4050efd4319d Description: spell checker and morphological analyzer (program) Hunspell is a spell checker and morphological analyzer library and program designed for languages with rich morphology and complex word compounding or character encoding. It is based on MySpell and features an Ispell-like terminal interface using Curses library, an Ispell pipe interface and an OpenOffice.org UNO module. . Main features: - Unicode support (first 65535 Unicode character) - morphological analysis (in custom item and arrangement style) - Max. 65535 affix classes and twofold affix stripping (for agglutinative languages, like Azeri, Basque, Estonian, Finnish, Hungarian, Turkish, etc.) - Support complex compoundings (for example, Hungarian and German) - Support language specific algorithms (for example, handling Azeri and Turkish dotted i, or German sharp s) - Handling conditional affixes, circumfixes, fogemorphemes, forbidden words, pseudoroots and homonyms. . This package contains the program with the Ispell-like terminal and pipe interfaces. Package: hunspell-an Version: 0.2-1 Installed-Size: 324 Maintainer: Jordi Mallach Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-an, myspell-an, openoffice.org-spellcheck-an Depends: dictionaries-common (>= 1.1) Suggests: hunspell, libreoffice-core | openoffice.org-hunspell | openoffice.org-core (>= 2.0.2), iceweasel | icedove | iceape-browser Size: 91222 SHA256: 3de9fd373800bc31c40aea4b9edaf48934bf0674769fdbc1e73e619a6323ac8f SHA1: 66096c6d458fcb3c3017a578eb0ec1ac6d7ff3dd MD5sum: 093a1b316c64b815792ab2075c4bb61d Description: Aragonese dictionary for hunspell This is the Aragonese dictionary for use with the hunspell spellchecker. . The wordlist is built using free corpuses using Aragonese Wikipedia and Aragonese Apertium dictionaries. They are based on the Aragonese grammar as proposed by the Academia de l'Aragonés. Homepage: https://addons.mozilla.org/es-ES/firefox/addon/corrector-ortografico-aragones/ Section: text Priority: optional Filename: pool/main/h/hunspell-an/hunspell-an_0.2-1_all.deb Package: hunspell-ar Source: ayaspell-dic Version: 3.1-1 Installed-Size: 7160 Maintainer: Debian Arabic Packaging Team Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-ar Depends: dictionaries-common (>= 0.10) Suggests: hunspell Size: 1537696 SHA256: 9617b9604d8dc82fd64877715eadb344c4d93f8d828ad0fd8fc9bb1713601eed SHA1: 32cabafe9193c8acdcbef45cd633cbbf245164ca MD5sum: 2ea4196e032135ab86463da516bfd42d Description: Arabic dictionary for hunspell This package contains all the required files to add support for Arabic language to the hunspell spell checker. . This is the ayaspell-dic dictionary packaged for Debian. Homepage: http://ayaspell.sourceforge.net/ Tag: culture::arabic, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/a/ayaspell-dic/hunspell-ar_3.1-1_all.deb Package: hunspell-be Version: 0.53-3 Installed-Size: 1761 Maintainer: Hleb Valoshka <375gnu@gmail.com> Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-be Depends: dictionaries-common (>= 1.0.0) Suggests: iceape-browser | iceweasel | icedove, libreoffice Conflicts: openoffice.org (<= 1.0.3-2) Size: 363414 SHA256: 9fe7d078d1d47490fe6ccd5e0a0b757c7b0a300e49c31c99190a21a4df8a5e2e SHA1: 82cb3d073652fe52f88534879064e580641a06fc MD5sum: dfc0c519c5cab2968e3f1be5b423ce84 Description: Belarusian dictionary for hunspell and academic orthography This package contains Belarusian dictionary for the hunspell spell-checker currently supported by LibreOffice and Mozilla. . This dictionary is for the recent academic orthography. Homepage: http://spell-be.sourceforge.net/ Section: text Priority: optional Filename: pool/main/h/hunspell-be/hunspell-be_0.53-3_all.deb Package: hunspell-da Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 2620 Maintainer: Debian OpenOffice Team Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-da Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: firefox (<< 2.0.0.3-2), iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2), myspell-da, openoffice.org (<= 1.0.3-2), openoffice.org-core (<< 2.1~m190-1) Size: 575596 SHA256: bb1fe8ebe77c4dcbb0a535f1de5368e446bea1048747f0dfcdbc8f5eb9048139 SHA1: ea4e5e13bd24e6dc2e1d96331e6efd609ab88144 MD5sum: 9a65fd38a25669cadd895e0ab27a5d70 Description: Danish dictionary for hunspell This is the Danish dictionary for use with the hunspell spellchecker Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hunspell-da_3.3.0-4+deb7u1_all.deb Package: hunspell-de-at Source: igerman98 Version: 20120607-1 Installed-Size: 1076 Maintainer: Roland Rosenfeld Architecture: all Replaces: myspell-de-at, openoffice.org-spellcheck-de-at Provides: hunspell-dictionary, hunspell-dictionary-de, openoffice.org-spellcheck-de-at Depends: dictionaries-common (>= 0.10) Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: myspell-de-at, openoffice.org-spellcheck-de-at Breaks: firefox (<< 2.0.0.3-2), iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2), openoffice.org (<= 1.0.3-2), thunderbird (<< 2.0.0.1+0dfsg-0) Size: 340554 SHA256: d8ece7360b5ff92fa7ab10fe2e1b008bfa2bfbbd0b0cd1b28611cc931260ffae SHA1: f6f5e7223c6b05d00f3235877909749833e02646 MD5sum: 88c53ba77649ac3056417083dd996466 Description: Austrian (German) dictionary for hunspell This is the German (Austria) dictionary (de-AT-1996) for use with the hunspell spellchecker which is currently used within OpenOffice.org2 and as an ispell clone. Homepage: http://j3e.de/ispell/igerman98/ Tag: culture::german, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/igerman98/hunspell-de-at_20120607-1_all.deb Package: hunspell-de-at-frami Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 2546 Maintainer: Debian OpenOffice Team Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-de Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: hunspell-de-at, myspell-de-at Size: 749252 SHA256: c7dd30aa7e6f77f0fc17b2ae94bd21d6f87075cec981d999beec8a1f6ee8aa6b SHA1: b72b5d6cf50be1862a07215b69f8f9f4dd340c37 MD5sum: 1d95a78a0fdb96a95259f09853d56c7d Description: Austrian (German) dictionary for hunspell ("frami" version) This is the German (Austria) dictionary (de-DE-1996) for use with the hunspell spellchecker . This package contains a enhanced version by Franz Michael Baumann with some words missing in the base dictionary or not (yet) belonging to the "core" German words. Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hunspell-de-at-frami_3.3.0-4+deb7u1_all.deb Package: hunspell-de-ch Source: igerman98 Version: 20120607-1 Installed-Size: 1075 Maintainer: Roland Rosenfeld Architecture: all Replaces: myspell-de-ch, openoffice.org-spellcheck-de-ch Provides: hunspell-dictionary, hunspell-dictionary-de, openoffice.org-spellcheck-de-ch Depends: dictionaries-common (>= 0.10) Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: myspell-de-ch, openoffice.org-spellcheck-de-ch Breaks: firefox (<< 2.0.0.3-2), iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2), openoffice.org (<= 1.0.3-2), thunderbird (<< 2.0.0.1+0dfsg-0) Size: 340140 SHA256: 3d95b045c2cfa57e6720c7c5553f26e7d79fc9c474588a2af8a4849d2a1eb66c SHA1: 17eb9a85e81566d22c2ef9e572d61f245e47074b MD5sum: 867c453369a35e656293b8442fd19f88 Description: Swiss (German) dictionary for hunspell This is the German (Switzerland, Liechtenstein) dictionary (de-CH-1996) for use with the hunspell spellchecker which is currently used within OpenOffice.org2 and as an ispell clone. Homepage: http://j3e.de/ispell/igerman98/ Tag: culture::german, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/igerman98/hunspell-de-ch_20120607-1_all.deb Package: hunspell-de-ch-frami Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 2547 Maintainer: Debian OpenOffice Team Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-de Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: hunspell-de-ch, myspell-de-ch Size: 747850 SHA256: 46135ecd7f0da53cf32e61412005fa9eb1fdec7687b258157f4ad70c60394c56 SHA1: f2ffbb17470dbf94f5c8d4a047bc3261736e3cc0 MD5sum: 0030fd8cb632bc83c4c53edf8de20dc4 Description: Swiss (German) dictionary for hunspell ("frami" version) This is the German (Switzerland) dictionary (de-DE-1996) for use with the hunspell spellchecker. . This package contains a enhanced version by Franz Michael Baumann with some words missing in the base dictionary or not (yet) belonging to the "core" German words. Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hunspell-de-ch-frami_3.3.0-4+deb7u1_all.deb Package: hunspell-de-de Source: igerman98 Version: 20120607-1 Installed-Size: 1076 Maintainer: Roland Rosenfeld Architecture: all Replaces: myspell-de-de, openoffice.org-spellcheck-de-de Provides: hunspell-dictionary, hunspell-dictionary-de, openoffice.org-spellcheck-de-de Depends: dictionaries-common (>= 0.10) Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: myspell-de-de, openoffice.org-spellcheck-de-de Breaks: firefox (<< 2.0.0.3-2), iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2), openoffice.org (<= 1.0.3-2), openoffice.org-core (<< 2.1~m190-1), thunderbird (<< 2.0.0.1+0dfsg-0) Size: 339492 SHA256: 30d476bde55bcbf6b7c8ebb02c64a74963e277c79130af7c38ca7535b3ecb96c SHA1: 12cdb2c5bd893f022134f247749564e49deec563 MD5sum: 311aa7e8feb65dee681e3612ec21b85a Description: German dictionary for hunspell This is the German (Belgium, Germany, Luxemburg) dictionary (de-DE-1996) for use with the hunspell spellchecker which is currently used within OpenOffice.org2 and as an ispell clone. Homepage: http://j3e.de/ispell/igerman98/ Tag: culture::german, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/igerman98/hunspell-de-de_20120607-1_all.deb Package: hunspell-de-de-frami Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 2543 Maintainer: Debian OpenOffice Team Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-de Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: hunspell-de-de, myspell-de-de Size: 748072 SHA256: 1a337337688958d212800a97958549194fbaa7ae330e0c0e3490dfc74bff466b SHA1: af0c1bcd138df5f776510004d3259ca7c98ccdf5 MD5sum: 84b3597a0ab9ee7c89afeb384afcfee5 Description: German dictionary for hunspell ("frami" version) This is the German (Belgium, Germany, Luxemburg) dictionary (de-DE-1996) for use with the hunspell spellchecker. . This package contains a enhanced version by Franz Michael Baumann with some words missing in the base dictionary or not (yet) belonging to the "core" German words. Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hunspell-de-de-frami_3.3.0-4+deb7u1_all.deb Package: hunspell-de-med Source: medicalterms Version: 20110608-1 Installed-Size: 248 Maintainer: Tobias Quathamer Architecture: all Depends: dictionaries-common, hunspell-de-de | hunspell-de-at | hunspell-de-ch Size: 58440 SHA256: e9cc1d9670b21090b542cdab77dfa5be52ad724361bde9cb11cc095971354f75 SHA1: 1200a8cba2e65306b9122b86aa74e998d5f73aa0 MD5sum: 9f807a5fec0c325468fabbf7dd9b2ce6 Description: German medical dictionary for hunspell This package contains a German medical dictionary for use with the hunspell spellchecker which is currently used within OpenOffice.org2 and as an ispell clone. Homepage: http://launchpad.net/medicalterms Tag: culture::german, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/m/medicalterms/hunspell-de-med_20110608-1_all.deb Package: hunspell-en-ca Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 708 Maintainer: Debian OpenOffice Team Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-en, hunspell-dictionary-en-ca Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: firefox (<< 2.0.0.3-2), iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2), openoffice.org (<= 1.0.3-2), openoffice.org-core (<< 2.1~m190-1) Size: 239170 SHA256: f4113dc32b5160486cfb05359efd79b360557b24e2b29881604acf690b9cfb4f SHA1: 6ef52a2e8cc8b0677089c972309f223befa112ab MD5sum: c8d6bfb79d1633041006497965021187 Description: English_canadian dictionary for hunspell This is the English_canadian dictionary for use with the hunspell spellchecker Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hunspell-en-ca_3.3.0-4+deb7u1_all.deb Package: hunspell-en-us Version: 20070829-6+deb7u1 Installed-Size: 698 Maintainer: Rene Engelhard Architecture: all Replaces: myspell-en-us, openoffice.org-spellcheck-en-us Provides: hunspell-dictionary, hunspell-dictionary-en, hunspell-dictionary-en-us Depends: dictionaries-common (>= 0.10) Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: firefox (<< 2.0.0.3-2), iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2), myspell-en-us, openoffice.org (<= 1.0.3-2), openoffice.org-core (<< 2.1~m190-1) Size: 255022 SHA256: 029de446ee87f8919d0a279f498b21a54e4c9e45e6aefd1e0a704f1bbdd13565 SHA1: 9fa165d34e50601cbe9ba2c15285dc70d4257253 MD5sum: 23a8d8b7adf5bb6a640e81d9c155ffb3 Description: English_american dictionary for hunspell This is the English_american dictionary for use with the hunspell spellchecker Section: text Priority: optional Filename: pool/main/h/hunspell-en-us/hunspell-en-us_20070829-6+deb7u1_all.deb Package: hunspell-eu-es Source: xuxen-eu-spell Version: 0.4.20081029-6 Installed-Size: 4900 Maintainer: Agustin Martin Domingo Architecture: all Replaces: myspell-eu-es, openoffice.org-spellcheck-eu Provides: hunspell-dictionary, hunspell-dictionary-eu, myspell-eu-es, openoffice.org-spellcheck-eu Depends: dictionaries-common (>= 1.1) Suggests: hunspell, libreoffice-core | openoffice.org-hunspell | openoffice.org-core (>= 2.0.2), iceape-browser | iceweasel | icedove Conflicts: firefox (<< 2.0.0.3-2), iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2), myspell-eu-es, openoffice.org (<= 1.0.3-2), openoffice.org-core (<< 2.1~m190-1), openoffice.org-spellcheck-eu, thunderbird (<< 2.0.0.1+0dfsg-0) Size: 643596 SHA256: 2f5bd0b1f13914cb5323e035125404251f18a414a49ecc00058c22eeebfa629c SHA1: feeff0d3a4f7832f6256f9f208eaccbe834536d8 MD5sum: aad2343fd05fa135ab75c2afa1587c55 Description: Basque (Euskera) dictionary for hunspell This is the Basque (Euskera) dictionary for use with the hunspell spellchecker. Homepage: http://www.euskara.euskadi.net/r59-20660/es/contenidos/informacion/euskarazko_softwarea/es_9568/xuxen.html Tag: culture::basque, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/x/xuxen-eu-spell/hunspell-eu-es_0.4.20081029-6_all.deb Package: hunspell-fr Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 1194 Maintainer: Debian OpenOffice Team Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-fr Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: firefox (<< 2.0.0.3-2), iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2), myspell-da, myspell-fr, myspell-fr-gut, openoffice.org (<= 1.0.3-2), openoffice.org-core (<< 2.1~m190-1) Size: 277810 SHA256: 361a7451cded60dc17f929e7abe3604a1f73b7496e35e727b5fe1e29d57bdacc SHA1: 2d2319fa754e41afeaa1da1700adeb86c8e18271 MD5sum: cc40c5f01ca456b8f4072e9bedefbf9d Description: French dictionary for hunspell This is the French dictionary for use with the hunspell spellchecker Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hunspell-fr_3.3.0-4+deb7u1_all.deb Package: hunspell-gl-es Version: 2.2a-10 Installed-Size: 996 Maintainer: Agustin Martin Domingo Architecture: all Replaces: hunspell-gl, myspell-gl-es, openoffice.org-spellcheck-gl Provides: hunspell-dictionary, hunspell-dictionary-gl, hunspell-gl, myspell-gl-es, openoffice.org-spellcheck-gl Depends: dictionaries-common (>= 1.1) Suggests: hunspell, libreoffice-core | openoffice.org-hunspell | openoffice.org-core (>= 2.0.2), iceape-browser | iceweasel | icedove Conflicts: firefox (<< 2.0.0.3-2), hunspell-gl, iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2), myspell-gl-es, openoffice.org (<= 1.0.3-2), openoffice.org-core (<< 2.1~m190-1), openoffice.org-spellcheck-gl, thunderbird (<< 2.0.0.1+0dfsg-0) Size: 223704 SHA256: bcc7f54c5b2eeb48a2ceb3e53d02d6799d8bc838db21565f8b87dc2b200e039a SHA1: 0e7ca77b67c6e730d9bccb002f68f93fc53d2ded MD5sum: 0e167d4fbf78184c8ce762a20f8cc526 Description: Galician dictionary for hunspell This is the Galician dictionary for hunspell spellchecker which is currently used within OpenOffice.org and the mozilla variants. It uses the official RAG standard. Homepage: http://wiki.mancomun.org/index.php/Corrector_ortogr%C3%A1fico_para_OpenOffice.org Tag: culture::galician, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/h/hunspell-gl-es/hunspell-gl-es_2.2a-10_all.deb Package: hunspell-hu Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 3477 Maintainer: Debian OpenOffice Team Architecture: all Replaces: myspell-hu Provides: hunspell-dictionary, hunspell-dictionary-hu, myspell-hu Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: firefox (<< 2.0.0.3-2), iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2), myspell-da, myspell-hu, openoffice.org (<= 1.0.3-2), openoffice.org-core (<< 2.1~m190-1) Size: 732554 SHA256: 6e1614bd86d349c05049c806ba9bd9fefd2c03b5a79608603726f1e02dc1a6cd SHA1: d376540b539cb73f15aed9acf7133066fbcf9556 MD5sum: 3f1323fa35fe79ad0e1f3b97b799ec4c Description: Hungarian dictionary for hunspell This is the Hungarian dictionary for use with the hunspell spellchecker Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hunspell-hu_3.3.0-4+deb7u1_all.deb Package: hunspell-kk Version: 1.1-2 Installed-Size: 2256 Maintainer: Timur Birsh Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-kk, myspell-dictionary, myspell-dictionary-kk Depends: dictionaries-common (>= 1.10.5) Suggests: iceape-browser | iceweasel | icedove, openoffice.org (>= 1.0.3-3) Conflicts: openoffice.org (<= 1.0.3-2) Size: 216296 SHA256: f31b307cfb4c5614ef92a76b6cda2cb069b7ffefce6161623f1c0ec59428deb0 SHA1: b240bd392cc97e5207f4312b1861a89c53455c54 MD5sum: 53bc07a218472ff69e5cb48311de7a74 Description: Kazakh dictionary for hunspell This dictionary contains Kazakh wordlist for the hunspell spellchecker currently supported by Mozilla and OpenOffice. Homepage: http://extensions.services.openoffice.org/en/project/dict-kk Tag: made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/h/hunspell-kk/hunspell-kk_1.1-2_all.deb Package: hunspell-ko Source: hunspell-dict-ko Version: 0.5.5-1 Installed-Size: 16576 Maintainer: Debian Korean L10N Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-ko Depends: dictionaries-common (>= 1.0) Conflicts: libhunspell-1.2-0 (<< 1.2.14) Size: 1194460 SHA256: 0d905eca191cbae06b1c9f748c56853f41d46a0d1fc63c76832850f7910c7217 SHA1: dce9699f2dea2e3fc2ca359679590f61fc64bafd MD5sum: df600cee71d1b55cd8152559c190a454 Description: Korean dictionary for hunspell This is Korean dictionary for use with hunspell Homepage: http://code.google.com/p/spellcheck-ko Tag: culture::korean, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/h/hunspell-dict-ko/hunspell-ko_0.5.5-1_all.deb Package: hunspell-ml Version: 0.1-2 Installed-Size: 5300 Maintainer: Debian-IN Team Architecture: all Depends: dictionaries-common (>= 0.10) Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Size: 738920 SHA256: acc79df1154d7e0d28cd08e00e2c3cf9b71378d032185b2ac241443c62eee3b9 SHA1: 49feed599ec5efedbd9421ab78909c42d611c2c5 MD5sum: f33498874ac447ee2cfbec7e9ed1b8e5 Description: Malayalam dictionary for hunspell This package contains all the required files to add support for Malayalam language to the hunspell spell checker. Homepage: http://git.savannah.gnu.org/cgit/smc.git/tree/spellcheck/hunspell-ml Tag: made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/h/hunspell-ml/hunspell-ml_0.1-2_all.deb Package: hunspell-ne Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 874 Maintainer: Debian OpenOffice Team Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-ne Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: firefox (<< 2.0.0.3-2), iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2), openoffice.org (<= 1.0.3-2), openoffice.org-core (<< 2.1~m190-1) Size: 180190 SHA256: 6a186d3762a909db70c4678c4b8e4e52d4325de2a4f05d7a028247308a434784 SHA1: e6721ce174cec0d2785cbcd62743d4f6ae7f7850 MD5sum: e3c73dc27414aded74144d2c982a39f5 Description: Nepali dictionary for hunspell This is the Nepali dictionary for use with the hunspell spellchecker Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hunspell-ne_3.3.0-4+deb7u1_all.deb Package: hunspell-ro Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 1984 Maintainer: Debian OpenOffice Team Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-ro Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: firefox (<< 2.0.0.3-2), iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2), openoffice.org (<= 1.0.3-2), openoffice.org-core (<< 2.1~m190-1) Size: 533482 SHA256: c55ba83b0637e06420362b9e6d23f918ed0af3b799e6588d931a29cd8ce23969 SHA1: 2a1bcc9788793ec633953905c02781fd287e7cb5 MD5sum: 7e7b16bf096513afdcd60e2bc3ae245d Description: Romanian dictionary for hunspell This is the Romanian dictionary for use with the hunspell spellchecker Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hunspell-ro_3.3.0-4+deb7u1_all.deb Package: hunspell-ru Version: 20120501-1 Installed-Size: 5392 Maintainer: Dmitry Kurochkin Architecture: all Replaces: myspell-ru Provides: hunspell-dictionary, hunspell-dictionary-ru Depends: dictionaries-common (>= 1.0.0) Suggests: iceape-browser | iceweasel | icedove, libreoffice Conflicts: myspell-ru, openoffice.org (<= 1.0.3-2) Size: 780574 SHA256: 05cd1e9da9346a87dfea8f9cea194ccab402836505455e5b80bc287e17b58e78 SHA1: 92b20f06341fc03dbd312a4c4df7bf234274f2ca MD5sum: 3a5e31400913a8311783a1bf839d3188 Description: Russian dictionary for hunspell This package contains Russian dictionary for the hunspell spell-checker currently supported by LibreOffice and Mozilla. . This is a new hunspell dictionary aimed to provide a better alternative for myspell-ru. Homepage: http://code.google.com/p/hunspell-ru Tag: culture::russian, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/h/hunspell-ru/hunspell-ru_20120501-1_all.deb Package: hunspell-se Version: 1.0~beta6.20081222-1.2 Installed-Size: 25400 Maintainer: Petter Reinholdtsen Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-se Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 1:2.4) Conflicts: openoffice.org-core (<< 1:2.4) Size: 3325358 SHA256: 6087db3996afb3ee1c943d4bcd7e75f69505e1cdacd3063b6c770f511177cbb5 SHA1: 30dd26f5d7e595298bc0cc595dbfd4a0fb050715 MD5sum: 22f09a2d1152c2c03ce070d87ad45192 Description: North Sámi Hunspell dictionary This package contains all the required files to add support for the language North Sámi to the hunspell spell checker which is currently used in OpenOffice.org2 and as an ispell clone. . This hunspell dictionary is part of the Divvun project to create Sámi proofing tools. Homepage: http://www.divvun.no/ Tag: made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/h/hunspell-se/hunspell-se_1.0~beta6.20081222-1.2_all.deb Package: hunspell-sh Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 2000 Maintainer: Debian OpenOffice Team Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-sh Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: firefox (<< 2.0.0.3-2), iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2), myspell-da, openoffice.org (<= 1.0.3-2), openoffice.org-core (<< 2.1~m190-1) Size: 545034 SHA256: 1556f48480d4ab7181c8c9d1a1f4e906ece7831b493d0518a7ee96c09ae9df00 SHA1: 42141090a029c5d65bf70b633dafbf7c0e9f9978 MD5sum: 9ce9d078258b91895a093828f3336df1 Description: Serbian (Latin) dictionary for hunspell This is the Serbian dictionary for use with the hunspell spellchecker Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hunspell-sh_3.3.0-4+deb7u1_all.deb Package: hunspell-sr Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 3559 Maintainer: Debian OpenOffice Team Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-sr Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: firefox (<< 2.0.0.3-2), iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2), myspell-da, openoffice.org (<= 1.0.3-2), openoffice.org-core (<< 2.1~m190-1) Size: 649830 SHA256: f58f8160163e37ca190582ba811191d3c3067cbd70fbf1698dc35a4ff320da90 SHA1: fbfafe9fd89d95708fcef79dea9c3df6059111b7 MD5sum: a5e31d4a897c124fd4203e6e57b0dd67 Description: Serbian (Cyrillic) dictionary for hunspell This is the Serbian dictionary for use with the hunspell spellchecker Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hunspell-sr_3.3.0-4+deb7u1_all.deb Package: hunspell-sv-se Source: hunspell-sv Version: 1.51-1 Installed-Size: 1237 Maintainer: Jon Lachmann (JoTaB) Architecture: all Replaces: myspell-sv-se (<< 1.46) Provides: hunspell-dictionary, hunspell-dictionary-sv Depends: dictionaries-common (>= 0.10) Suggests: libreoffice, iceape-browser | iceweasel | icedove Conflicts: openoffice.org (<= 1.0.3-2) Breaks: myspell-sv-se (<< 1.46) Size: 385674 SHA256: de787043e5dceaa5e2bd0366b639287586e3ddb099c6913f655479da34fb423d SHA1: c0b8976473ea9231e287e014af1ea75baf4f45d0 MD5sum: 0f2afe485b324eac60da90e2e9a83b39 Description: Swedish (SE) dictionary for hunspell This is the Swedish (SE) dictionary for use with the hunspell spellchecker which is currently used within LibreOffice and the mozilla spellchecker. Homepage: http://dsso.se Tag: culture::swedish, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/h/hunspell-sv/hunspell-sv-se_1.51-1_all.deb Package: hunspell-tools Source: hunspell Version: 1.3.2-4 Architecture: armhf Maintainer: Debian LibreOffice Maintainers Installed-Size: 172 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libhunspell-1.3-0, libstdc++6 (>= 4.3.0), perl Conflicts: libmyspell-dev Replaces: hunspell (<< 1.2.7-2), libmyspell-dev Multi-Arch: foreign Homepage: http://hunspell.sourceforge.net/ Priority: optional Section: text Filename: pool/main/h/hunspell/hunspell-tools_1.3.2-4_armhf.deb Size: 72582 SHA256: 289986f36a9c1bc6d78f6e9317882988e8717924b35660f6e1829321885f10fb SHA1: 565f53a043bb34c29361be14c47dc2491703f68f MD5sum: 64654bffaf0ffce3993f6be7614885ea Description: tools for hunspell Hunspell is a spell checker and morphological analyzer library and program designed for languages with rich morphology and complex word compounding or character encoding. It is based on MySpell and features an Ispell-like terminal interface using Curses library, an Ispell pipe interface and an OpenOffice.org UNO module. . Main features: - Unicode support (first 65535 Unicode character) - morphological analysis (in custom item and arrangement style) - Max. 65535 affix classes and twofold affix stripping (for agglutinative languages, like Azeri, Basque, Estonian, Finnish, Hungarian, Turkish, etc.) - Support complex compoundings (for example, Hungarian and German) - Support language specific algorithms (for example, handling Azeri and Turkish dotted i, or German sharp s) - Handling conditional affixes, circumfixes, fogemorphemes, forbidden words, pseudoroots and homonyms. . This package contains a the munch/unmunch tools of hunspell and ispellaff2myspell for converting ispell affix files for myspell/hunspell format. Package: hunspell-uz Source: uzbek-wordlist Version: 0.6-3.2+deb7u1 Installed-Size: 2199 Maintainer: Mashrab Kuvatov Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-uz, openoffice.org-spellcheck-uz Depends: dictionaries-common (>= 0.10) Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: firefox (<< 2.0.0.3-2), iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2) Size: 302572 SHA256: 8b8d4e6c806b267f7ce2edd2f4ba2d148310b7c16fde1d31a8a28381d9dac7ca SHA1: 7c1c74f10434a1f86a78bf60e6bfefbd84f0b2ee MD5sum: 70cb3d513507d41012d87585af15e9f2 Description: The Uzbek dictionary for Hunspell This package contains all the required files to add support for Uzbek language to the Hunspell spell checker Homepage: http://www.uni-bremen.de/~kmashrab/uzbek-word-list Section: text Priority: optional Filename: pool/main/u/uzbek-wordlist/hunspell-uz_0.6-3.2+deb7u1_all.deb Package: hunspell-vi Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 46 Maintainer: Debian OpenOffice Team Architecture: all Provides: hunspell-dictionary, hunspell-dictionary-vi Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Suggests: hunspell, openoffice.org-hunspell | openoffice.org-core (>= 2.0.2) Conflicts: firefox (<< 2.0.0.3-2), iceape-browser (<< 1.1.1-2), icedove (<< 2.0.0.0-4), iceweasel (<< 2.0.0.3-2), libxul0d (= 1.8.0.11-3), mozilla-browser (<< 1.8+1.1.1-2), myspell-da, openoffice.org (<= 1.0.3-2), openoffice.org-core (<< 2.1~m190-1) Size: 24666 SHA256: cb486986f838760c00fec875ca7484d1fd9fb260b91e9ee475ac03863fc2415d SHA1: 46701cac555778cf165a3d9c59f031cd455440ae MD5sum: 41605a459fcba39e8734aa54b4d6da0c Description: Vietnamese dictionary for hunspell This is the Vietnamese dictionary for use with the hunspell spellchecker Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hunspell-vi_3.3.0-4+deb7u1_all.deb Package: hunt Version: 1.5-6 Architecture: armhf Maintainer: Angel Ramos Installed-Size: 165 Depends: libc6 (>= 2.4) Priority: optional Section: net Filename: pool/main/h/hunt/hunt_1.5-6_armhf.deb Size: 81440 SHA256: f8ea9934e295a45a55a6111cf42541e6f96e551d328511d410cbc60594fd3dd9 SHA1: d30929a64f82b9ecd76e28af0f5e6dda44bca752 MD5sum: 812687aa48f6406843ad5f3182bc7701 Description: Advanced packet sniffer and connection intrusion Hunt is a program for intruding into a connection, watching it and resetting it. . Note that as hunt is operating on Ethernet, it is best used for connections which can be watched through it. However, it is possible to do something even for hosts on another segments or hosts that are on switched ports. Package: hv3 Source: tk-html3 Version: 3.0~fossil20110109-2 Installed-Size: 822 Maintainer: Ole Streicher Architecture: all Depends: tk (>= 8.5.0-1), tk-html3, libsqlite3-tcl, tcllib Recommends: libtk-img, tcl-tls Size: 227934 SHA256: c61fcecd46b9099864765616895e3a978615805f6d7ab22f1b8cfdf22dbd19b0 SHA1: 27f1fb51a56e75a07720186e28973f899302573e MD5sum: 1cac11e613227d44c3cdfed2ab29ce8a Description: Lightweight web browser Hv3 is a cross-platform web browser with support for modern web standards like HTML, CSS, HTTP. . ECMAScript (a.k.a. javascript) is not supported since the corresponding library (SEE) is installed (not available in Debian yet). Homepage: http://tkhtml.tcl.tk/index.html Section: web Priority: extra Filename: pool/main/t/tk-html3/hv3_3.0~fossil20110109-2_all.deb Package: hwdata Version: 0.234-1 Installed-Size: 438 Maintainer: Noël Köthe Architecture: all Depends: usbutils, pciutils Size: 89878 SHA256: 34d6fbb84cd99bc6349f27bfc98c3aa87ac00efb4e5ac83b794ad7aeb4440048 SHA1: 791a071a6437531ca2adcc0d4e0211e3eb2cc1fd MD5sum: dad224e2b93dbeca520d5773374c4e55 Description: hardware identification / configuration data This package contains various hardware identification and configuration data, such as the pci.ids database, or the XFree86/xorg Cards database. It's needed for the kudzu hardware detection. Homepage: http://git.fedorahosted.org/git/hwdata.git Tag: admin::configuring, admin::hardware, hardware::detection, role::app-data, use::configuring Section: misc Priority: optional Filename: pool/main/h/hwdata/hwdata_0.234-1_all.deb Package: hwinfo Version: 16.0-2.2 Architecture: armhf Maintainer: William Vera Installed-Size: 95 Depends: libc6 (>= 2.13-28), libhd16 Priority: optional Section: admin Filename: pool/main/h/hwinfo/hwinfo_16.0-2.2_armhf.deb Size: 45624 SHA256: 0d46589335e5b01834700927874f6a49e36d2abee3eedb703fd3c39cdfbc2346 SHA1: 662a303349557eb84975ece17d86e529d39007cf MD5sum: 36451a75a3618835172066a2c3caae46 Description: Hardware identification system hwinfo is the hardware detection tool used in SuSE Linux. . In Debian Edu (Skolelinux) hwinfo has shown better results than discover when detecting mouse, keyboard and monitor. . hwinfo collects information about the hardware installed on a system. Among others, libhd contains information about cdrom, zip, floppy, disks and partitions, network card, graphics card, monitor, camera, mouse, sound, pppoe, isdn, modem, printer, scanner, bios, cpu, usb, memory and smp. . This package does not include the binaries hwscan, hwscand and hwscanqueue. If you think one or more of these should be included in the package, please contact the maintainer at hwinfo@packages.debian.org. Package: hwloc Version: 1.4.1-4 Architecture: armhf Maintainer: Samuel Thibault Installed-Size: 342 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libhwloc5, libpci3 (>= 1:3.1.9-2), libtinfo5, libx11-6, libxml2 (>= 2.6.27) Multi-Arch: foreign Homepage: http://www.open-mpi.org/projects/hwloc/ Priority: extra Section: admin Filename: pool/main/h/hwloc/hwloc_1.4.1-4_armhf.deb Size: 117462 SHA256: 5f40c2fbab853773fcdecadb9396e6092a5bead31653492ce5c75e23d2903d89 SHA1: 415e5f21762cdd396faa97f9b2633daf909c8983 MD5sum: 9836a43e24bec196756d67df6dfeab66 Description: Hierarchical view of the machine - utilities Hardware Locality (hwloc) provides a portable abstraction (across OS, versions, architectures, ...) of the hierarchical topology of modern architectures. It primarily aims at helping high-performance computing applications with gathering information about the hardware so as to exploit it accordingly and efficiently. . hwloc provides a hierarchical view of the machine, NUMA memory nodes, sockets, shared caches, cores and simultaneous multithreading. It also gathers various attributes such as cache and memory information. . hwloc supports old kernels not having sysfs topology information, with knowledge of cpusets, offline cpus, and Kerrighed support . This package contains utilities to show the topology of the machine, manipulate cpu masks, and bind processes. Package: hwloc-nox Source: hwloc Version: 1.4.1-4 Architecture: armhf Maintainer: Samuel Thibault Installed-Size: 323 Depends: libc6 (>= 2.13-28), libhwloc5, libpci3 (>= 1:3.1.9-2), libtinfo5, libxml2 (>= 2.6.27) Conflicts: hwloc Multi-Arch: foreign Homepage: http://www.open-mpi.org/projects/hwloc/ Priority: extra Section: admin Filename: pool/main/h/hwloc/hwloc-nox_1.4.1-4_armhf.deb Size: 110988 SHA256: 84557df09c25f461c5f9bfffb93dc545b12e706bd9e62371394e3e8da983df63 SHA1: 63ac919a7929ce649f1188c21902a003e6bd9807 MD5sum: 04ab73889225d7be71e9df6dd1d3987f Description: Hierarchical view of the machine - non-X version of utilities Hardware Locality (hwloc) provides a portable abstraction (across OS, versions, architectures, ...) of the hierarchical topology of modern architectures. It primarily aims at helping high-performance computing applications with gathering information about the hardware so as to exploit it accordingly and efficiently. . hwloc provides a hierarchical view of the machine, NUMA memory nodes, sockets, shared caches, cores and simultaneous multithreading. It also gathers various attributes such as cache and memory information. . hwloc supports old kernels not having sysfs topology information, with knowledge of cpusets, offline cpus, and Kerrighed support . This package contains utilities to show the topology of the machine (without X support), manipulate cpu masks, and bind processes. Package: hyantesite Version: 1.3.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 68 Depends: libc6 (>= 2.13-28), libhyantes0 (= 1.3.0-1) Homepage: http://hyantes.gforge.inria.fr Priority: extra Section: science Filename: pool/main/h/hyantesite/hyantesite_1.3.0-1_armhf.deb Size: 15464 SHA256: 0b8d317f2a4493aa297a607e74f701b91f1afb4f1fd690fede295352821fed23 SHA1: 81429b45b8abae435ceae0e6324744940c53aabb MD5sum: e3755c96d249c0dabb99a03d90e6cacb Description: geomatic tool to compute neighbourhood population potential Client to perform actions provided by libhyantes. hyantes aims to develop new methods for the cartographic representation of human distributions (population density, population increase, etc.) with various smoothing functions and opportunities for time-scale animations of maps. It provides a smoothing method related to multiscalar neighbourhood density estimation. Package: hybrid-dev Source: ircd-hybrid Version: 1:7.2.2.dfsg.2-10+deb7u1 Installed-Size: 271 Maintainer: Dominic Hargreaves Architecture: all Suggests: ircd-hybrid Size: 64924 SHA256: 2354c94588c10c6cbfe78da14023d39c9c008d0eb1e170b244a54ab62e4b710f SHA1: 2c98a23581f50da53568ad3b7e97410b4bc0ef96 MD5sum: f54d1ac023495e070cb01fe9fb8d0add Description: development files for ircd-hybrid These are the headers used when writing modules for ircd-hybrid. For more information on how to write these modules, see the ircd-hybrid documentation or example_module.c in the source code for ircd-hybrid. . It also includes mbuild-hybrid, a shell script that simplifies building and installation of such modules. This shell script is simplistic and assumes a lot; if you possess clue, you will know what to do anyway. Homepage: http://ircd-hybrid.com/ Tag: devel::lang:c, devel::library, protocol::irc, role::devel-lib, use::chatting Section: devel Priority: optional Filename: pool/main/i/ircd-hybrid/hybrid-dev_7.2.2.dfsg.2-10+deb7u1_all.deb Package: hyde Version: 0.8.5a1-4 Installed-Size: 3118 Maintainer: Julien Danjou Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-commando, python-jinja2, python-yaml, python-markdown, python-smartypants, python-pygments, python-typogrify, python (>= 2.7) | python-argparse, python-pkg-resources Size: 1476246 SHA256: 06cfe65447f6c80177b02d93de1ef84ca1bb6df73f6fcf7781c563be2ece9c1c SHA1: 03e3a59b377bc5c26918ea21a81c851ded792d1e MD5sum: df0562bc22169d51a99acba93eb43c5d Description: static website generator with the power of Django templates Hyde is a static website generator powered by Python & Django. Hyde supports all the Django template tags & filters and even has a few of its own. The built-in web server + auto-generator provide instant refresh and unlimited flexibility. Homepage: http://hyde.github.com Section: web Priority: extra Filename: pool/main/h/hyde/hyde_0.8.5a1-4_all.deb Package: hydra Version: 7.3-1 Architecture: armhf Maintainer: Julián Moreno Patiño Installed-Size: 347 Depends: libafpclient0, libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libfbclient2 (>= 2.5.0.25784~ReleaseCandidate1.ds2), libidn11 (>= 1.13), libmysqlclient18 (>= 5.5.13-1), libncp (>= 2.2.0.19.0pre71-1), libpcre3 (>= 8.10), libpq5, libssh-4 (>= 0.3.91), libssl1.0.0 (>= 1.0.0), libsvn1 (>= 1.5) Suggests: hydra-gtk Homepage: http://freeworld.thc.org/thc-hydra/ Priority: extra Section: net Filename: pool/main/h/hydra/hydra_7.3-1_armhf.deb Size: 169396 SHA256: 66a6c59bb0406519dfdd4d4f82c60ea76b9d6453a0bf285c3a549e488cf2a069 SHA1: 75a3fabfbe04cccb91f85e7f77066da34b52fa1c MD5sum: beaf10ef8e8f2ba423c6fde69d5f24e8 Description: Very fast network logon cracker Hydra is a parallized login cracker which supports numerous protocols to attack. New modules are easy to add, beside that, it is flexible and very fast. . This tool gives researchers and security consultants the possiblity to show how easy it would be to gain unauthorized access from remote to a system. . Currently this tool supports: TELNET, FTP, HTTP, HTTPS, HTTP-PROXY, LDAP, SMB, SMBNT, MS-SQL, MySQL, REXEC, SOCKS5, VNC, POP3, IMAP, NNTP, PCNFS, ICQ, Cisco auth, Cisco enable, Cisco AAA (incorporated in telnet module). Package: hydra-gtk Source: hydra Version: 7.3-1 Architecture: armhf Maintainer: Julián Moreno Patiño Installed-Size: 124 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), hydra (>= 6.1-1) Homepage: http://freeworld.thc.org/thc-hydra/ Priority: extra Section: net Filename: pool/main/h/hydra/hydra-gtk_7.3-1_armhf.deb Size: 43976 SHA256: 7ec42b11987bf63445c2a9c9de12f4180e8704ebf6b1426e0afc37deab5c544c SHA1: 7487f6168285c0881241711b322d355446c45cb8 MD5sum: a7bfebe0f4f87f9419ec0c499466e5eb Description: Very fast network logon cracker - GTK+ based GUI Hydra is a parallized login cracker which supports numerous protocols to attack. New modules are easy to add, beside that, it is flexible and very fast. . This tool gives researchers and security consultants the possiblity to show how easy it would be to gain unauthorized access from remote to a system. . Currently this tool supports: TELNET, FTP, HTTP, HTTPS, HTTP-PROXY, LDAP, SMB, SMBNT, MS-SQL, MySQL, REXEC, SOCKS5, VNC, POP3, IMAP, NNTP, PCNFS, ICQ, Cisco auth, Cisco enable, Cisco AAA (incorporated in telnet module). . This package is the GTK+ based GUI for hydra. Package: hydrogen Version: 0.9.6~beta2-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 16005 Depends: libarchive12, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libportaudio2 (>= 19+svn20101113), libportmidi0, libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.6.1), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.6) Recommends: hydrogen-drumkits, rubberband-cli Homepage: http://www.hydrogen-music.org/ Priority: optional Section: sound Filename: pool/main/h/hydrogen/hydrogen_0.9.6~beta2-1_armhf.deb Size: 7333902 SHA256: 536c51c251a450479304ab8c04664f479a0f9bdb370a7a0277020d4d2c2b69fe SHA1: e8c9a5b564743d8a381142cd25a3f0ca1c0a74f4 MD5sum: 54448a0c9f58a3fea1c16f973226a006 Description: advanced drum machine/step sequencer Hydrogen is an advanced drum machine. It's main goal is to bring professional yet simple and intuitive pattern-based drum programming. . General features: * Very user-friendly, modular, fast and intuitive graphical interface based on QT 4. * Sample-based stereo audio engine, with import of sound samples in .wav, .au and .aiff formats. * Support of samples in compressed FLAC file. . Sequencer and mixer features: * Pattern-based sequencer, with unlimited number of patterns and ability to chain patterns into a song. * Up to 64 ticks per pattern with individual level per event and variable pattern length. * 32 instrument tracks with volume, mute, solo, pan capabilities. * Multi layer support for instruments (up to 16 samples for each instrument). * Ability to import/export song files. * Unique human velocity, human time, pitch and swing functions. * Multiple patterns playing at once. . Other features: * JACK, ALSA, PortAudio and OSS audio drivers. * ALSA MIDI and PortMidi input with assignable midi-in channel (1..16, ALL). * Import/export of drumkits. * Export song to wav file. * Export song to midi file. Package: hydrogen-drumkits Version: 0.9.3.20070703-3 Installed-Size: 30204 Maintainer: Debian Multimedia Maintainers Architecture: all Suggests: hydrogen Size: 18384984 SHA256: 0ee18c614d80272bb9e24b13383ba4dab1214837203c5ca99e3ff0453831a4b6 SHA1: 268c7924267bed6bd822a95bb1fd580c444daa44 MD5sum: f44ec26a429f35519beb542154c60358 Description: drumkits for Hydrogen This package contains a collection of drumkits for Hydrogen, a sample based drum machine/step sequencer. Homepage: http://www.hydrogen-music.org/?p=drumkits Tag: role::app-data Section: sound Priority: optional Filename: pool/main/h/hydrogen-drumkits/hydrogen-drumkits_0.9.3.20070703-3_all.deb Package: hylafax-client Source: hylafax Version: 3:6.0.6-5 Architecture: armhf Maintainer: Giuseppe Sacco Installed-Size: 725 Pre-Depends: libpaper-utils Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjbig0, libstdc++6 (>= 4.4.0), libtiff5 (>> 4.0.0-1~), zlib1g (>= 1:1.1.4), enscript | libgnomeprint-data, ucf, gsfonts, ghostscript Recommends: netpbm, transfig Suggests: mgetty-viewfax Conflicts: mgetty-fax Homepage: http://www.hylafax.org Priority: extra Section: comm Filename: pool/main/h/hylafax/hylafax-client_6.0.6-5_armhf.deb Size: 337716 SHA256: 49cdeaf43ced6622a092e7c147dd6bf3622dc07cb71703cb4acdb936d36dc24d SHA1: c65fedb6d1b2455f1bd17e5ef67260e1fe14cbc9 MD5sum: 2191184d3249e1a3bb914e3f0279810f Description: Flexible client/server fax software - client utilities The HylaFAX client software communicates with a HylaFAX server via TCP/IP. . HylaFAX support the sending and receiving of facsimiles, the polled retrieval of facsimiles and the send of alphanumeric pages. Package: hylafax-client-dbg Source: hylafax Version: 3:6.0.6-5 Architecture: armhf Maintainer: Giuseppe Sacco Installed-Size: 692 Depends: hylafax-client (= 3:6.0.6-5) Homepage: http://www.hylafax.org Priority: extra Section: comm Filename: pool/main/h/hylafax/hylafax-client-dbg_6.0.6-5_armhf.deb Size: 488696 SHA256: 6f0c53668d4c7d035631fea8de0f2f05065ca91db31119d79b9dea3fb55c384f SHA1: 8a41fd216960ec23a510dcce81568f3e2795da89 MD5sum: 0d0a646b5237608f9697ba65cdda7ebd Description: Flexible client/server fax software - client utilities The HylaFAX client software communicates with a HylaFAX server via TCP/IP. . HylaFAX support the sending and receiving of facsimiles, the polled retrieval of facsimiles and the send of alphanumeric pages. . This package only contains debugging symbols. Package: hylafax-server Source: hylafax Version: 3:6.0.6-5 Architecture: armhf Maintainer: Giuseppe Sacco Installed-Size: 2581 Depends: hylafax-client (= 3:6.0.6-5), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjbig0, libpam0g (>= 0.99.7.1), libstdc++6 (>= 4.4.0), libtiff5 (>> 4.0.0-1~), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, libtiff-tools (>= 4.0.1-3), bsd-mailx | mailx, psmisc, sed (>= 4.1.2), ghostscript, adduser, lsb-base (>= 3.0-6), exim4-daemon-light | mail-transport-agent Suggests: mgetty, psrip Conflicts: capi4hylafax (<< 1:01.02.03-4), mgetty-fax Homepage: http://www.hylafax.org Priority: extra Section: comm Filename: pool/main/h/hylafax/hylafax-server_6.0.6-5_armhf.deb Size: 1105688 SHA256: e513b7af471716e1695003c4209b5a59b653c1cfb0348914c8c0a2c7c38c6adc SHA1: f76dc597f2f01abe0b386037c384d8d480220dcf MD5sum: 29ffd26632db9e1cd892938ee2fcec9c Description: Flexible client/server fax software - server daemons This package support the sending and receiving of facsimiles, the polled retrieval of facsimiles and the send of alphanumeric pages. . The host running the server must have either a Class 1, Class 2, or a Class 2.0 fax modem attached to one of its serial ports. End-user applications to manage the transmission of documents via facsimile are provided separately by the hylafax-client package. Package: hylafax-server-dbg Source: hylafax Version: 3:6.0.6-5 Architecture: armhf Maintainer: Giuseppe Sacco Installed-Size: 2061 Depends: hylafax-server (= 3:6.0.6-5) Homepage: http://www.hylafax.org Priority: extra Section: comm Filename: pool/main/h/hylafax/hylafax-server-dbg_6.0.6-5_armhf.deb Size: 1613322 SHA256: fe0a8cfca8b9b5611f124c4ffac93f5ca7f342eb330e4e64ac1933b92e69f8fe SHA1: 2e6472808ada8daebeb7f6f2967137ca5db8f32b MD5sum: 344324907886d8e5e45f67be2ef0d70b Description: Debug symbols for the hylafax server This package support the sending and receiving of facsimiles, the polled retrieval of facsimiles and the send of alphanumeric pages. . The host running the server must have either a Class 1, Class 2, or a Class 2.0 fax modem attached to one of its serial ports. End-user applications to manage the transmission of documents via facsimile are provided separately by the hylafax-client package. . This package only contains debugging symbols. Package: hyphen-af Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 69 Maintainer: Debian OpenOffice Team Architecture: all Replaces: openoffice.org-hyphenation-af Provides: hyphen-hyphenation-patterns, hyphen-hyphenation-patterns-af, openoffice.org-hyphenation-af Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Size: 31994 SHA256: c7d904721edfc8bd4162c55f4a8bc95468f6814ea489bd421d5abf60b35c1e81 SHA1: 802c817cdab68b7552a6468d1ff334cb4e85455a MD5sum: d7d466dffb2581e7622a687e46bb3f5b Description: Afrikaans hyphenation patterns for LibreOffice/OpenOffice.org This package contains the Afrikaans hyphenation patterns for LibreOffice/OpenOffice.org Recommends: libreoffice-writer | openoffice.org-writer Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hyphen-af_3.3.0-4+deb7u1_all.deb Package: hyphen-as Version: 0.7.0-1 Installed-Size: 49 Maintainer: Debian-IN Team Architecture: all Depends: dictionaries-common (>= 0.10) Recommends: libreoffice-writer | openoffice.org-writer Size: 3904 SHA256: d89618a5b60cd657fe42acae8f3f47c166203ab1f5d65d63005c37c866a0da91 SHA1: 220d39b0b42e7a114f6b974489005ebe36c973e4 MD5sum: 51c204eec43e502e3205b6aaac39be5e Description: Assamese hyphenation patterns for OpenOffice.org/LibreOffice Hyphenation is the process of inserting hyphens in between the syllables of a word so that when the text is justified, maximum space is utilized. . This package provides the hyphenation rules for Assamese language. Homepage: http://wiki.smc.org.in/Hyphenation Section: text Priority: optional Filename: pool/main/h/hyphen-as/hyphen-as_0.7.0-1_all.deb Package: hyphen-bn Version: 0.7.0-2 Installed-Size: 49 Maintainer: Debian-IN Team Architecture: all Depends: dictionaries-common (>= 0.10) Recommends: libreoffice-writer | openoffice.org-writer Size: 4586 SHA256: 14b5ecc82e112d9f2c2af495ea84122b1eb1e5625760834c32fde0731a7fbc07 SHA1: efe1a7587c7f94fe1cb2fe75d8461b82b5e90379 MD5sum: f29fe10ecc677493e7ee4e75d58aa578 Description: Bengali hyphenation patterns for OpenOffice.org/LibreOffice Hyphenation is the process inserting hyphens in between the syllables of a word so that when the text is justified, maximum space is utilized. . This package provides the hyphenation rules for Bengali language. Homepage: http://wiki.smc.org.in/Hyphenation Tag: culture::bengali, role::app-data, suite::openoffice Section: text Priority: optional Filename: pool/main/h/hyphen-bn/hyphen-bn_0.7.0-2_all.deb Package: hyphen-ca Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 12 Maintainer: Debian OpenOffice Team Architecture: all Replaces: openoffice.org-hyphenation-ca Provides: hyphen-hyphenation-patterns, hyphen-hyphenation-patterns-ca, openoffice.org-hyphenation-ca Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Size: 9296 SHA256: cc0213bfa438c67c1832eadad945f290aaa8d098cfbfee1405a09c1a3807a5e7 SHA1: ab41538f558664af1d16f32773550274ba3e1d3c MD5sum: c69035d5c3f5031a706c2d763051eb7d Description: Catalan hyphenation patterns for LibreOffice/OpenOffice.org This package contains the Catalan hyphenation patterns for LibreOffice/OpenOffice.org Recommends: libreoffice-writer | openoffice.org-writer Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hyphen-ca_3.3.0-4+deb7u1_all.deb Package: hyphen-de Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 57 Maintainer: Debian OpenOffice Team Architecture: all Replaces: openoffice.org-hyphenation-de Provides: hyphen-hyphenation-patterns, hyphen-hyphenation-patterns-de, openoffice.org-hyphenation-de Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Size: 33574 SHA256: 8d13f314740ef9bb5d773a7f1fb7332a234307bd2ac1d512f6be18064c734bae SHA1: 2ba2d977dcb2e27fb42cf7fa02e31128824a072f MD5sum: dca5bbc0c8853d44a2e11a69ddb6b29c Description: German hyphenation patterns for LibreOffice/OpenOffice.org This package contains the German hyphenation patterns for LibreOffice/OpenOffice.org Recommends: libreoffice-writer | openoffice.org-writer Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hyphen-de_3.3.0-4+deb7u1_all.deb Package: hyphen-en-us Source: hyphen Version: 2.8.3-2 Installed-Size: 136 Maintainer: Debian LibreOffice Maintainers Architecture: all Replaces: openoffice.org-hyphenation-en-us Provides: hyphen-hyphenation-pattern, openoffice.org-hyphenation, openoffice.org-hyphenation-en, openoffice.org-hyphenation-en-us Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Recommends: libreoffice-writer | openoffice.org-writer Size: 59038 SHA256: 7910aa29ef928f2d9ea8d4b76e371c264065e0f6d8188f0e83b9d998ec4e2e57 SHA1: 441c4b77601cffebbc4f94a8dd737de849741837 MD5sum: 1173a69227e0afc30a1add88c8ab0d5a Description: US English hyphenation patterns for LibreOffice/OpenOffice.org This package contains the English (USA) hyphenation patterns for LibreOffice/OpenOffice.org Tag: role::app-data, suite::TODO, suite::openoffice Section: text Priority: optional Filename: pool/main/h/hyphen/hyphen-en-us_2.8.3-2_all.deb Package: hyphen-fr Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 20 Maintainer: Debian OpenOffice Team Architecture: all Replaces: openoffice.org-hyphenation-fr Provides: hyphen-hyphenation-patterns, hyphen-hyphenation-patterns-fr, openoffice.org-hyphenation-fr Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Size: 12908 SHA256: 2662938477d64c530bb91edd0dd27a299c63696ba01b9edb500e3cf7c02f1e36 SHA1: a2debb4cf3b2f54187615e09bd7810ae71dc5033 MD5sum: 19cd90ce5cdfbac560a39ff4c6ee3d06 Description: French hyphenation patterns for LibreOffice/OpenOffice.org This package contains the French hyphenation patterns for LibreOffice/OpenOffice.org Recommends: libreoffice-writer | openoffice.org-writer Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hyphen-fr_3.3.0-4+deb7u1_all.deb Package: hyphen-gu Version: 0.7.0-2 Installed-Size: 49 Maintainer: Debian-IN Team Architecture: all Depends: dictionaries-common (>= 0.10) Recommends: libreoffice-writer | openoffice.org-writer Size: 4320 SHA256: c637ac243ffde6c4a119b31bc1f18ac504f4b1cdc7ecae293a8e07b24ba4744f SHA1: 0aaf4d3e0337cbbf4adac3a77c9d6d377f860215 MD5sum: 545dabe9b4ceae11cefdcfc5b535d5ab Description: Gujarati hyphenation patterns for OpenOffice.org/LibreOffice Hyphenation is the process of inserting hyphens in between the syllables of a word so that when the text is justified, maximum space is utilized. . This package provides the hyphenation rules for Gujarati language. Homepage: http://wiki.smc.org.in/Hyphenation Tag: iso15924::gujr, role::app-data, suite::openoffice Section: text Priority: optional Filename: pool/main/h/hyphen-gu/hyphen-gu_0.7.0-2_all.deb Package: hyphen-hi Version: 0.7.0-3 Installed-Size: 49 Maintainer: Muneeb Shaikh Architecture: all Depends: dictionaries-common (>= 0.10) Recommends: libreoffice-writer | openoffice.org-writer Size: 4262 SHA256: 01e6d7becfd188e650581906edc14093a03e210181fc4343e5611e5979216877 SHA1: ee32e468e95c3a4e5b9d3127dc20d90bb2d9bb92 MD5sum: e1cb37fd03b0d9a448c238c954c3223b Description: Hindi hyphenation patterns for OpenOffice.org/LibreOffice Hyphenation is the process of inserting hyphens in between the syllables of a word so that when the text is justified, maximum space is utilized. . This package provides the hyphenation rules for Hindi language. Homepage: http://wiki.smc.org.in/Hyphenation Tag: culture::hindi, role::app-data, suite::openoffice Section: text Priority: optional Filename: pool/main/h/hyphen-hi/hyphen-hi_0.7.0-3_all.deb Package: hyphen-hr Source: myspell-hr Version: 20060617-2.3 Installed-Size: 44 Maintainer: Vedran Furač Architecture: all Replaces: openoffice.org-hyphenation-hr (<< 20060617-2.2~) Provides: openoffice.org-hyphenation Depends: openoffice.org (>= 1.0.3-3) | openoffice.org-writer | openoffice.org-impress, dictionaries-common (>= 0.10) Conflicts: openoffice.org (<= 1.0.3-2) Breaks: openoffice.org-hyphenation-hr (<< 20060617-2.2~) Size: 6410 SHA256: 86a5fc80314a0f843ca62f50aa99e69cf43161875f1be805c152ba70b0a0e003 SHA1: 363fb2938cf68c5d00a5acb5aa68aa481e26d401 MD5sum: 92af8f8d01755bc066cfeb9b83d041ee Description: Croatian hyphenation pattern for OpenOffice.org This is the Croatian hyphenation pattern for use with OpenOffice.org. Tag: culture::croatian, role::app-data, suite::openoffice Section: text Priority: optional Filename: pool/main/m/myspell-hr/hyphen-hr_20060617-2.3_all.deb Package: hyphen-hu Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 862 Maintainer: Debian OpenOffice Team Architecture: all Replaces: openoffice.org-hyphenation-hu Provides: hyphen-hyphenation-patterns, hyphen-hyphenation-patterns-hu, openoffice.org-hyphenation-hu Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Size: 319334 SHA256: 579f9655198699757e318c5cf42f1c2923f0043f47c7e76057f07abbc6949ede SHA1: 619a21f1cd8082792ce99aff1a80dc733a5ef461 MD5sum: accd627d2db198b6a62bd31215fae877 Description: Hungarian hyphenation patterns for LibreOffice/OpenOffice.org This package contains the Hungarian hyphenation patterns for LibreOffice/OpenOffice.org Recommends: libreoffice-writer | openoffice.org-writer Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hyphen-hu_3.3.0-4+deb7u1_all.deb Package: hyphen-it Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 9 Maintainer: Debian OpenOffice Team Architecture: all Replaces: openoffice.org-hyphenation-it Provides: hyphen-hyphenation-patterns, hyphen-hyphenation-patterns-it, openoffice.org-hyphenation-it Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Size: 7656 SHA256: 5cea0931915fa9a715684e40eddd74e523e63d775f7ecc278528f839258a79d9 SHA1: 875f6ec0d57706369084dce62fbdea504cdfe0ef MD5sum: c88fa073b26fa2fdd02638ebaf2b6100 Description: Italian hyphenation patterns for LibreOffice/OpenOffice.org This package contains the Italian hyphenation patterns for LibreOffice/OpenOffice.org Recommends: libreoffice-writer | openoffice.org-writer Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hyphen-it_3.3.0-4+deb7u1_all.deb Package: hyphen-kn Version: 0.7.0-2 Installed-Size: 49 Maintainer: Debian-IN Team Architecture: all Depends: dictionaries-common (>= 0.10) Recommends: libreoffice-writer | openoffice.org-writer Size: 4444 SHA256: c589ceaa775d8d8482ac55b0afc273be25f58ef7a642ddbfa819e45c26e50697 SHA1: 1db959a755220ff56dd23a60e24e1da06f3fa32d MD5sum: bac9aa32778476a7137169cbc74fcc0c Description: Kannada hyphenation patterns for OpenOffice.org/LibreOffice Hyphenation patterns hyphenate words at line boundary does making it more natural to read. . This package contains hyphention patterns for the Indian language Kannada They can be installed for OpenOffice or for LibreOffice. Homepage: http://wiki.smc.org.in/Hyphenation Tag: iso15924::knda, role::app-data, suite::openoffice Section: text Priority: optional Filename: pool/main/h/hyphen-kn/hyphen-kn_0.7.0-2_all.deb Package: hyphen-mr Version: 0.7.0-1 Installed-Size: 49 Maintainer: Debian-IN Team Architecture: all Depends: dictionaries-common (>= 0.10) Recommends: libreoffice-writer | openoffice.org-writer Size: 3860 SHA256: ef63614d307d32d2febfd0fced2a491d46bf52c891816479b7fc91fa98abb69c SHA1: 58babf06678165b7bb73d872b13583092ef02e2c MD5sum: 73da3382daf97509c8ed70bf55666c1a Description: Marathi hyphenation patterns for OpenOffice.org/LibreOffice Hyphenation is the process of inserting hyphens in between the syllables of a word so that when the text is justified, maximum space is utilized. . This package provides the hyphenation rules for Marathi language. Homepage: http://wiki.smc.org.in/Hyphenation Section: text Priority: optional Filename: pool/main/h/hyphen-mr/hyphen-mr_0.7.0-1_all.deb Package: hyphen-pa Version: 0.7.0-1 Installed-Size: 49 Maintainer: Debian-IN Team Architecture: all Depends: dictionaries-common (>= 0.10) Recommends: libreoffice-writer | openoffice.org-writer Size: 4478 SHA256: f1c012be3aba58606c71cc94b5c3e725137d08be515c2cf8a9c9676167226c02 SHA1: da326f161932a338654e7b67f15a5e5c3af286ff MD5sum: f3bd90ea371bf59cf78922f05b3259df Description: Punjabi hyphenation patterns for OpenOffice.org/LibreOffice Hyphenation is the process inserting hyphens in between the syllables of a word so that when the text is justified, maximum space is utilized. . This package provides the hyphenation rules for Punjabi language. Homepage: http://wiki.smc.org.in/Hyphenation Tag: culture::punjabi, role::app-data, suite::openoffice Section: text Priority: optional Filename: pool/main/h/hyphen-pa/hyphen-pa_0.7.0-1_all.deb Package: hyphen-pl Source: openoffice.org-hyphenation-pl Version: 1:3.0a-4 Installed-Size: 104 Maintainer: Mateusz Skowronski Architecture: all Replaces: openoffice.org-hyphenation-pl Provides: hyphen-hyphenation-patterns, hyphen-hyphenation-patterns-pl, openoffice.org-hyphenation-pl Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Recommends: libreoffice-writer | openoffice.org-writer Size: 17222 SHA256: 22ac1fbbf1b980f9a185d550ea248cee67d1c6f13a660d48efe90b5be638a8ea SHA1: b5eda96f4f0bfe32b2877402ed9270edc0965380 MD5sum: 40d5229653d5907bf26d95d27571b3f5 Description: Polish hyphenation patterns for LibreOffice/OpenOffice.org Openoffice.org is a full-featured office productivity suite that provides a near drop-in replacement for Microsoft(R) Office. . This package contains the Polish hyphenation patterns for LibreOffice/OpenOffice.org Tag: culture::polish, role::app-data, suite::openoffice Section: text Priority: optional Filename: pool/main/o/openoffice.org-hyphenation-pl/hyphen-pl_3.0a-4_all.deb Package: hyphen-ro Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 34 Maintainer: Debian OpenOffice Team Architecture: all Replaces: openoffice.org-hyphenation-ro Provides: hyphen-hyphenation-patterns, hyphen-hyphenation-patterns-ro, openoffice.org-hyphenation-ro Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Size: 18260 SHA256: 1654e42b05daf5556840f56a58b38e3a1263d764a7250a48f6fbacca7a837f72 SHA1: 28760892c9fa27976489f5a33f7f5313ebf44e2b MD5sum: f30a1cdb1039ca4ec4ac55d883782708 Description: Romanian hyphenation patterns for LibreOffice/OpenOffice.org This package contains the Romanian hyphenation patterns for LibreOffice/OpenOffice.org Recommends: libreoffice-writer | openoffice.org-writer Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hyphen-ro_3.3.0-4+deb7u1_all.deb Package: hyphen-sh Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 35 Maintainer: Debian OpenOffice Team Architecture: all Replaces: openoffice.org-hyphenation-sh Provides: hyphen-hyphenation-patterns, hyphen-hyphenation-patterns-sh, openoffice.org-hyphenation-sh Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Size: 17644 SHA256: fa89b43baf2a18fdb3c4e5748fba7df41a79b1b928162617cfeb628750ae3f2a SHA1: 685c885dccffee4c45294c47e2e5bc5cee87c620 MD5sum: 48db1601804c344ffcf0a4f0f871b56e Description: Serbian (Latin) hyphenation patterns for LibreOffice/OpenOffice.org This package contains the Serbian hyphenation patterns for LibreOffice/OpenOffice.org Recommends: libreoffice-writer | openoffice.org-writer Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hyphen-sh_3.3.0-4+deb7u1_all.deb Package: hyphen-show Version: 20000425-2 Architecture: armhf Maintainer: Martin Buck Installed-Size: 57 Depends: libc6 (>= 2.7) Priority: optional Section: tex Filename: pool/main/h/hyphen-show/hyphen-show_20000425-2_armhf.deb Size: 11736 SHA256: 34bd73360ba3750fc3d1d1128b1e0dd3be9ecb11f2411c636e8a338290a1fb71 SHA1: 5328d88b3fd65669b0c9ff174d5bd9e68c057db6 MD5sum: ab3a6fa0be41f9adb23f0549a061d248 Description: Show hyphenations in DVI-files hyphen_show scans a DVI-file, tries to find all hyphenations and writes them to stdout. It is useful to check whether TeX's hyphenation algorithm really did the good job it is supposed to do. Package: hyphen-sl Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 16 Maintainer: Debian OpenOffice Team Architecture: all Replaces: openoffice.org-hyphenation-sl Provides: hyphen-hyphenation-patterns, hyphen-hyphenation-patterns-sl, openoffice.org-hyphenation-sl Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Size: 11948 SHA256: 4789d2a2397ae143ee7c9f8223bb630da9194c0d4e9c9e014fdfb6b0e06e74f9 SHA1: 34a5e9a437f8f3ded467b36130bd46e14c19d7ca MD5sum: a34971aa96c50085998a94f686d8af68 Description: Slovenian hyphenation patterns for LibreOffice/OpenOffice.org This package contains the Slovenian hyphenation patterns for LibreOffice/OpenOffice.org Recommends: libreoffice-writer | openoffice.org-writer Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hyphen-sl_3.3.0-4+deb7u1_all.deb Package: hyphen-sr Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 34 Maintainer: Debian OpenOffice Team Architecture: all Replaces: openoffice.org-hyphenation-sr Provides: hyphen-hyphenation-patterns, hyphen-hyphenation-patterns-sr, openoffice.org-hyphenation-sr Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Size: 17556 SHA256: 3f4cc34680d5b02fd3220b9a163d084f6c44354756782c512a5ceb304a122040 SHA1: e00d962d5bb2886967695e6fb66eb0779f885cbc MD5sum: d76c26edbc65ca919e3fe592b0716622 Description: Serbian (Cyrillic) hyphenation patterns for LibreOffice/OpenOffice.org This package contains the Serbian hyphenation patterns for LibreOffice/OpenOffice.org Recommends: libreoffice-writer | openoffice.org-writer Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hyphen-sr_3.3.0-4+deb7u1_all.deb Package: hyphen-ta Version: 0.7.0-1 Installed-Size: 49 Maintainer: Debian-IN Team Architecture: all Depends: dictionaries-common (>= 0.10) Recommends: libreoffice-writer | openoffice.org-writer Size: 3848 SHA256: d06e16b6d7801c3511132c7dc2b3096b51ca0ba6d125af85511317c0a484af08 SHA1: 568c14466b3b268145960d80d82d7c7f54253a5a MD5sum: 2791cb1a3e7c3b26be90a6353aed115c Description: Tamil hyphenation patterns for OpenOffice.org/LibreOffice Hyphenation is the process of inserting hyphens in between the syllables of a word so that when the text is justified, maximum space is utilized. . This package provides the hyphenation rules for Tamil language. Homepage: http://wiki.smc.org.in/Hyphenation Section: text Priority: optional Filename: pool/main/h/hyphen-ta/hyphen-ta_0.7.0-1_all.deb Package: hyphen-te Version: 0.7.0-1 Installed-Size: 49 Maintainer: Debian-IN Team Architecture: all Depends: dictionaries-common (>= 0.10) Recommends: libreoffice-writer | openoffice.org-writer Size: 3868 SHA256: 50c215b27941aa63ee1911bad3daa299df2780b7ff15568edc6943deee2091bf SHA1: f6ffdf643d20f5b8a9f67a8717f6c48ff631395a MD5sum: 327dfdf9d74dc17bbe2628642116b6f0 Description: Telugu hyphenation patterns for OpenOffice.org/LibreOffice Hyphenation is the process of inserting hyphens in between the syllables of a word so that when the text is justified, maximum space is utilized. . This package provides the hyphenation rules for Telugu language. Homepage: http://wiki.smc.org.in/Hyphenation Section: text Priority: optional Filename: pool/main/h/hyphen-te/hyphen-te_0.7.0-1_all.deb Package: hyphen-zu Source: openoffice.org-dictionaries (1:3.3.0~rc10-4+deb7u1) Version: 1:3.3.0-4+deb7u1 Installed-Size: 9 Maintainer: Debian OpenOffice Team Architecture: all Replaces: openoffice.org-hyphenation-zu Provides: hyphen-hyphenation-patterns, hyphen-hyphenation-patterns-zu, openoffice.org-hyphenation-ui Depends: dictionaries-common (>= 0.10) | openoffice.org-updatedicts Size: 7556 SHA256: c54c15cdc546193025388cbd492d9960958437f940cd942bdcefba6bde26e018 SHA1: 5f57ef26a9771c09dcd75b7183f0926e7811f194 MD5sum: 586d89399cd5e112e2521d203bd7f451 Description: Zulu hyphenation patterns for LibreOffice/OpenOffice.org This package contains the Zulu hyphenation patterns for LibreOffice/OpenOffice.org Recommends: libreoffice-writer | openoffice.org-writer Section: text Priority: optional Filename: pool/main/o/openoffice.org-dictionaries/hyphen-zu_3.3.0-4+deb7u1_all.deb Package: i2c-tools Version: 3.1.0-2 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 218 Depends: libc6 (>= 2.13-28), perl, adduser, udev | makedev Suggests: libi2c-dev, python-smbus Conflicts: lm-sensors (<< 1:3.0.0-1) Homepage: http://www.lm-sensors.org Priority: extra Section: utils Filename: pool/main/i/i2c-tools/i2c-tools_3.1.0-2_armhf.deb Size: 59528 SHA256: 90c4f138b8b7a3836580e79888a46f9575d1fbbd08d309b84e8ce214a6c38e16 SHA1: c7ace4a833c4b0555daf723a751beff014865f43 MD5sum: 10114c1ae5ef1d949d2aca6fc10893ba Description: heterogeneous set of I2C tools for Linux This package contains a heterogeneous set of I2C tools for Linux: a bus probing tool, a chip dumper, register-level access helpers, EEPROM decoding scripts, and more. Package: i3 Source: i3-wm Version: 4.2-2 Architecture: armhf Maintainer: Michael Stapelberg Installed-Size: 38 Depends: i3-wm (= 4.2-2) Recommends: i3lock (>= 2.2), suckless-tools, i3status (>= 2.3) Homepage: http://i3wm.org/ Priority: extra Section: x11 Filename: pool/main/i/i3-wm/i3_4.2-2_armhf.deb Size: 14290 SHA256: 2948a1b799936f8ea9445b8c92ca7228d1e9078a5fe5ad394b48dcd66644a417 SHA1: ffa0c5654f0f1d4be004eb287aa2084e6c6f3ca0 MD5sum: 0e7b51f3d804d2cf009c4ab1cabca3c4 Description: metapackage (i3 window manager, screen locker, menu, statusbar) This metapackage installs the i3 window manager (i3-wm), the i3lock screen locker, i3status (for system information) and suckless-tools (for dmenu). These are all the tools you need to use the i3 window manager efficiently. Package: i3-wm Version: 4.2-2 Architecture: armhf Maintainer: Michael Stapelberg Installed-Size: 1515 Depends: libc6 (>= 2.13-28), libev4 (>= 1:4.04), libpcre3 (>= 8.10), libstartup-notification0 (>= 0.10), libx11-6, libxcb-icccm4 (>= 0.3.9), libxcb-keysyms1 (>= 0.3.9), libxcb-randr0 (>= 1.3), libxcb-util0 (>= 0.3.8), libxcb-xinerama0, libxcb1, libxcursor1 (>> 1.1.2), libyajl2 (>= 2.0.4), perl, x11-utils Recommends: xfonts-base Suggests: rxvt-unicode | x-terminal-emulator Provides: x-window-manager Homepage: http://i3wm.org/ Priority: extra Section: x11 Filename: pool/main/i/i3-wm/i3-wm_4.2-2_armhf.deb Size: 770922 SHA256: 1138da2719d2c47052654ecbcbbfeba465364a8544f0ff548be66d557dd1b97c SHA1: efc79b1f30f2c90034a6fbc705fc3dba654ecfe0 MD5sum: 1e54979e39ae545a54de106778b64b52 Description: improved dynamic tiling window manager Key features of i3 are good documentation, reasonable defaults (changeable in a simple configuration file) and good multi-monitor support. The user interface is designed for power users and emphasizes keyboard usage. i3 uses XCB for asynchronous communication with X11 and aims to be fast and light-weight. . Please be aware i3 is primarily targeted at advanced users and developers. Package: i3-wm-dbg Source: i3-wm Version: 4.2-2 Architecture: armhf Maintainer: Michael Stapelberg Installed-Size: 9336 Depends: i3-wm (= 4.2-2) Homepage: http://i3wm.org/ Priority: extra Section: debug Filename: pool/main/i/i3-wm/i3-wm-dbg_4.2-2_armhf.deb Size: 3062688 SHA256: 723656c92a98843a260cb91cd2416540736ffe874352dfaa9824d0443237585f SHA1: 467ce4d5dfec4893d2921711fade5ce982b85c97 MD5sum: ecfda5655e1c55e8b544a32032a3b57d Description: Debugging symbols for the i3 window manager Debugging symbols for the i3 window manager. Please install this to produce useful backtraces before creating new tickets. Package: i3lock Version: 2.4.1-1 Architecture: armhf Maintainer: Michael Stapelberg Installed-Size: 72 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.6.4-5~), libev4 (>= 1:4.04), libpam0g (>= 0.99.7.1), libx11-6, libxcb-dpms0, libxcb-image0 (>= 0.3.8), libxcb-keysyms1 (>= 0.3.8), libxcb-shm0, libxcb-xinerama0, libxcb1 Homepage: http://i3wm.org/i3lock/ Priority: optional Section: x11 Filename: pool/main/i/i3lock/i3lock_2.4.1-1_armhf.deb Size: 18262 SHA256: beed9e8c41167a33eb845c6f054af70525e3355e23dd96104c5ea83cd14f0c18 SHA1: 64ff7c07ae693fa845b81c7c65cceb2a21714c65 MD5sum: 978cb38666177f6538c467a2450cacc3 Description: improved screen locker i3lock is a simple screen locker like slock. After starting it, you will see a white screen (you can configure the color/an image). You can return to your screen by entering your password. . i3lock forks so you can combine it with an alias to suspend to RAM. . You can specify either a background color or a PNG image which will be displayed while your screen is locked. . You can specify whether i3lock should bell upon a wrong password. . i3lock uses PAM and therefore is compatible with LDAP etc. Package: i3status Version: 2.5.1-1 Architecture: armhf Maintainer: Michael Stapelberg Installed-Size: 99 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libconfuse0 (>= 2.5), libiw30 (>= 30~pre1), libyajl2 (>= 2.0.4) Recommends: dzen2 | xmobar | i3-wm, libcap2-bin Enhances: dzen2, i3-wm, xmobar Homepage: http://i3wm.org/i3status Priority: extra Section: utils Filename: pool/main/i/i3status/i3status_2.5.1-1_armhf.deb Size: 32436 SHA256: 79fb4cc70110f0c34c9d4f1577323c46ac28c31826e80f1a9b52ee475c3ca34b SHA1: 95f878f521efa2686949c6ff0648ef356708b8f7 MD5sum: 8e6f4f02b16097a1e4be3489b19e1247 Description: Generates a status line for dzen2, xmobar or i3bar i3status is a small (about 1500 SLOC) and light-weight program for generating a status bar for i3bar, dzen2, xmobar or similar programs by combining several information about your system (IP addresses of your interfaces, load, current date/time, ESSID of the network you are associated to, disk status, and so on). Package: iagno Source: gnome-games Version: 1:3.4.2-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 1674 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libcanberra-gtk3-0 (>= 0.25), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.3.16), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.32.0), dconf-gsettings-backend | gsettings-backend, gnome-games-data (= 1:3.4.2-3) Recommends: gnome-games-extra-data Breaks: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Replaces: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2) Homepage: http://live.gnome.org/GnomeGames Priority: optional Section: games Filename: pool/main/g/gnome-games/iagno_3.4.2-3_armhf.deb Size: 942806 SHA256: 20e51e744155edfa9fdf36c3480cb96c2a977dd29adde332ad0a225e3407777c SHA1: 930bd86d10bd3259fb18e478e36933a5b5425111 MD5sum: ccbe048aa56a8c450fdb5cddc4833754 Description: popular Othello game for GNOME Iagno is a computer version of the game Reversi, more popularly called Othello. Iagno is a two player strategy game similar to Go. The board is 8 by 8 with tiles that are black on one side and white on the other side. The object of Iagno is to flip as many of your opponent's tiles to your color as possible without your opponent flipping your tiles. This is done by trapping your opponent's tiles between two tiles of your own color. Package: iamerican Source: ispell Version: 3.3.02-6 Installed-Size: 244 Maintainer: Robert Luberda Architecture: all Provides: ispell-dictionary Depends: dictionaries-common, ienglish-common (= 3.3.02-6), ispell, debconf (>= 0.5) | debconf-2.0 Suggests: wamerican Size: 187680 SHA256: 7b19979eefc1677389410a973390d8726629b9303469a7d978e9f617d53a510b SHA1: f1a857838e1b225a0267a563afdff3939e687296 MD5sum: 0f4d876e0ff3f9fcf5b36f56cfc84fed Description: American English dictionary for ispell (standard version) This package provides the standard, medium-sized American English dictionary, based on the americanmed+ dictionary supplied with the source for ispell, with additional words added from the more comprehensive wamerican word list package. . There are also -small, -large, -huge, and -insane versions of this dictionary, and there are ibritish* packages as well. . The package also suggests wamerican because ispell's (L)ookup command needs a word list. Homepage: http://www.lasr.cs.ucla.edu/geoff/ispell.html Tag: culture::TODO, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/ispell/iamerican_3.3.02-6_all.deb Package: iamerican-huge Source: ispell Version: 3.3.02-6 Installed-Size: 679 Maintainer: Robert Luberda Architecture: all Provides: ispell-dictionary Depends: dictionaries-common, ienglish-common (= 3.3.02-6), ispell, debconf (>= 0.5) | debconf-2.0 Suggests: wamerican-huge Size: 633742 SHA256: 43f224a1cca8f814459f0f2fc88f1048f10168bfccc0312911cc69cc0a2238ab SHA1: 49442f5f2b0b2812caf7928f2a9390623ba223ba MD5sum: 7f5bafb01a3a12f2e6622aa54c91d7b6 Description: American English dictionary for ispell (huge) This package provides the american-huge dictionary, based on the americanxlg+ dictionary supplied with the source for ispell, with additional words added from the more comprehensive wamerican-huge word list package. . This is an even larger dictionary than the one installed by iamerican-large. Nothing prevents you installing both (and others) at the same time. . There are also -small, -large, -insane, and standard versions of this dictionary, and there are ibritish* packages as well. . The package also suggests wamerican-huge because ispell's (L)ookup command needs a word list. Homepage: http://www.lasr.cs.ucla.edu/geoff/ispell.html Section: text Priority: optional Filename: pool/main/i/ispell/iamerican-huge_3.3.02-6_all.deb Package: iamerican-insane Source: ispell Version: 3.3.02-6 Installed-Size: 1321 Maintainer: Robert Luberda Architecture: all Provides: ispell-dictionary Depends: dictionaries-common, ienglish-common (= 3.3.02-6), ispell, debconf (>= 0.5) | debconf-2.0 Suggests: wamerican-insane Size: 1290926 SHA256: 14e644960cf2843226fc70f4da556ec9e18918c6d8b2a25af6c5e433650ef433 SHA1: 1b8e1b3dbb9a85d4da2d8a461b2efa1fb3ed2ea2 MD5sum: 3f70b2943cb62e2d344f14afa4069cd8 Description: American English dictionary for ispell (insane version) This package provides the american-insane dictionary, based on the americanxlg+ dictionary supplied with the source for ispell, with additional words added from the more comprehensive wamerican-insane word list package. . This is an even larger dictionary than the one installed by iamerican-huge, and possibly contains invalid words (as well as words that are very uncommon). Nothing prevents you installing both (and others) at the same time. . There are also -small, -large, -huge, and standard versions of this dictionary, and there are ibritish* packages as well. . The package also suggests wamerican-huge because ispell's (L)ookup command needs a word list. Homepage: http://www.lasr.cs.ucla.edu/geoff/ispell.html Section: text Priority: optional Filename: pool/main/i/ispell/iamerican-insane_3.3.02-6_all.deb Package: iamerican-large Source: ispell Version: 3.3.02-6 Installed-Size: 416 Maintainer: Robert Luberda Architecture: all Provides: ispell-dictionary Depends: dictionaries-common, ienglish-common (= 3.3.02-6), ispell, debconf (>= 0.5) | debconf-2.0 Suggests: wamerican-large Size: 363800 SHA256: 97bd45ea33cc46df91c19cf29a8725cc738d412d692b65ca21ff65cd50c15982 SHA1: e11e6141b61f1754fd333061930b31b71a15eb7d MD5sum: 2b4998d5277cb819b3c49aa4efe87d99 Description: American English dictionary for ispell (large) This package provides the american-large dictionary, based on the americanlrg+ dictionary supplied with the source for ispell, with additional words added from the more comprehensive wamerican-large word list package. . This is larger dictionary than the one installed by iamerican. Nothing prevents you installing both (and others) at the same time. . There are also -small, -huge, -insane, and standard versions of this dictionary, and there are ibritish* packages as well. . The package also suggests wamerican-large because ispell's (L)ookup command needs a word list. Homepage: http://www.lasr.cs.ucla.edu/geoff/ispell.html Section: text Priority: optional Filename: pool/main/i/ispell/iamerican-large_3.3.02-6_all.deb Package: iamerican-small Source: ispell Version: 3.3.02-6 Installed-Size: 152 Maintainer: Robert Luberda Architecture: all Provides: ispell-dictionary Depends: dictionaries-common, ienglish-common (= 3.3.02-6), ispell, debconf (>= 0.5) | debconf-2.0 Suggests: wamerican-small Size: 93514 SHA256: 77c7f65d8ef2478ab95d74479571b5dc0df6741c445d1fa032fcfc135001012f SHA1: ca8fdc7445d58e4d45e495fb4ca2e10a16257882 MD5sum: 94449e728992375a026d5475c25c29dd Description: American English dictionary for ispell (small) This package provides the american-small dictionary, based on the americansml+ dictionary supplied with the source for ispell, with additional words added from the more comprehensive wamerican-small word list package. . This is smaller dictionary than the one installed by iamerican. Nothing prevents you installing both (and others) at the same time. . There are also -large, -huge, -insane, and standard versions of this dictionary, and there are ibritish* packages as well. . The package also suggests wamerican-small because ispell's (L)ookup command needs a word list. Homepage: http://www.lasr.cs.ucla.edu/geoff/ispell.html Section: text Priority: optional Filename: pool/main/i/ispell/iamerican-small_3.3.02-6_all.deb Package: iasl Source: acpica-unix Version: 20100528-3 Architecture: armhf Maintainer: Mattia Dongili Installed-Size: 678 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0) Homepage: http://www.acpica.org Priority: optional Section: devel Filename: pool/main/a/acpica-unix/iasl_20100528-3_armhf.deb Size: 345958 SHA256: a06dc9e263fdaff0d49ab4c250118d9b8f29244897ee22c1d4feb5f984c0ea60 SHA1: a4cab3aa96b0572e21f1295ea8d3bc17382df48c MD5sum: 2a633688825bcc80af2c08ec3fb9e7de Description: Intel ASL compiler/decompiler iASL compiles ASL (ACPI Source Language) into AML (ACPI Machine Language). This AML is suitable for inclusion as a DSDT in system firmware. It also can disassemble AML, for debugging purposes. Package: iat Version: 0.1.3-7 Architecture: armhf Maintainer: Dmitry E. Oboukhov Installed-Size: 47 Depends: libc6 (>= 2.4) Priority: extra Section: otherosfs Filename: pool/main/i/iat/iat_0.1.3-7_armhf.deb Size: 7288 SHA256: 7e901e77e6b12c59f421e69cbc509f55cedf1436727f65a23be0add48885bf13 SHA1: 3ff8280d9aafffb455cf44282ca3e4e7c3244ab4 MD5sum: 10e87d6fb6e4c46376eee07e4648d810 Description: Converts many CD-ROM image formats to iso9660 iat (Iso9660 Analyzer Tool) is a tool for detecting the structure of many types of CD-ROM image file formats, such as BIN, MDF, PDI, CDI, NRG, and B5I, and converting them into ISO-9660. Package: iaxmodem Version: 1.2.0~dfsg-1 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 363 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://iaxmodem.sourceforge.net/ Priority: optional Section: comm Filename: pool/main/i/iaxmodem/iaxmodem_1.2.0~dfsg-1_armhf.deb Size: 143508 SHA256: 750ce178d7d7dbd9909f2b9514917827351bf284a264dea8a285d963a4ce2786 SHA1: e0d48f442fe79a25e383a63776393fcafb31027e MD5sum: ed4f12b67bb76cae26b201716a08a361 Description: software modem with IAX2 connectivity IAXmodem is a software modem written in C that uses an IAX channel (commonly provided by an Asterisk PBX system) instead of a traditional phone line and uses a DSP library instead of DSP hardware chipsets. . IAXmodem was originally conceived to function as a fax modem usable with HylaFAX, and it does that well. However IAXmodem also has been known to function with mgetty+sendfax and efax. Package: ibid Version: 0.1.1+dfsg-4 Installed-Size: 1389 Maintainer: Python Applications Packaging Team Architecture: all Depends: python (>= 2.6.6-7~), python-beautifulsoup, python-chardet, python-configobj (>= 4.7), python-dateutil, python-html5lib, python-jinja2, python-pkg-resources, python-soappy, python-sqlalchemy (>= 0.5), python-twisted-core (>= 10.0.0-3~), python-twisted-web, python-twisted-words, python-zope.interface | python-zopeinterface, python2.7 | python2.6, python (<< 2.8), libjs-sphinxdoc (>= 1.0) Recommends: fortune, ipcalc, iputils-ping, iputils-tracepath, man-db, python-dictclient, python-dnspython, python-feedparser, python-html2text, python-imdbpy, python-twisted-mail, python-wokkel (>= 0.6.3), units Suggests: apt-file, aptitude, bc, bzr, caca-utils, dictd, nmap, python-aalib, python-dbus, python-imaging, python-matplotlib, python-mysqldb | python-psycopg2, python-numpy, python-objgraph, python-pyfiglet (>= 0.6), python-svn Size: 320422 SHA256: 0a09694648b1dbc83c5ad268ac38edac822ff202bd5f3fcb7745653c0e3ee073 SHA1: 4349a8f26dd5aae98e0670eb198e81d6e8b673e3 MD5sum: 8e920827044e057ece71e42895bcd9a7 Description: easily extensible Python IM bot for IRC, Jabber, SILC, campfire, etc. Ibid is a multi-protocol, general purpose, instant messaging chat bot written in Python. It aims for a naturalistic interface rather than strict-syntax commands. . Ibid understands many protocols, including IRC, Jabber/XMPP/Google Talk, Campfire, NMDC and SILC. It also interacts over SMTP, HTTP and various RPC protocols. . Ibid aims to make plugins as easy as possible to write. It ships with a large collection of plugins for looking up information, performing conversions, storing factoids, delivering messages, and much more. Homepage: http://ibid.omnia.za.net/ Tag: devel::lang:python, implemented-in::python, interface::daemon, network::client, network::server, protocol::http, protocol::irc, protocol::jabber, protocol::smtp, protocol::ssl, role::program, use::chatting, use::entertaining, works-with::im Section: net Priority: optional Filename: pool/main/i/ibid/ibid_0.1.1+dfsg-4_all.deb Package: ibod Version: 1.5.0-6 Architecture: armhf Maintainer: Paul Martin Installed-Size: 85 Depends: libc6 (>= 2.13-28), libwrap0 (>= 7.6-4~), ipppd | isdnutils Priority: extra Section: net Filename: pool/main/i/ibod/ibod_1.5.0-6_armhf.deb Size: 15410 SHA256: ff5d55016e8f5e7cad51e7b55abf2a12799dfad0c46dba3dd081cfb8075d64db SHA1: 56a7d92b11359d90f76572a1b91d3cd43202d2fe MD5sum: 429a1a041d82322ef1786b40fb2206d8 Description: ISDN MPPP bandwidth on demand daemon ibod is an ISDN MPPP bandwidth on demand daemon designed to operate in conjunction with isdn4linux. The program monitors inbound and outbound traffic on the ISDN interface. When the required bandwidth exceeds the capacity for the current number of ISDN B-channels, more (slave) channels are connected according to the MPPP protocol. When the traffic decreases, the slave channel(s) are disconnected. Package: ibrazilian Source: br.ispell Version: 3.0~beta4-15 Installed-Size: 356 Maintainer: Agustin Martin Domingo Architecture: all Provides: ispell-dictionary Depends: debconf (>= 0.5) | debconf-2.0, dictionaries-common (>= 0.22.30), ispell Suggests: brazilian-conjugate Size: 190060 SHA256: 347545188cec9be63395ebb1bd5edb29bc160ba3d641da1bde09d6a66f8c8e8a SHA1: 0871a5f5b5099764103ac17aa787b5ecf776d2d6 MD5sum: cac12a28bf8c7aacc188a3a5aaae1a2a Description: Brazilian Portuguese dictionary for ispell This is the Brazilian Portuguese dictionary for ispell as put together by Ricardo Ueda Karpischek . . Current status is good enough to be used for daily needs. This dictionary is being developed in a structured way. Verbs are treated apart by a specific software, a verb conjugator (conjugue). Names are partitioned into semantic classes to make vocabulary completion and revision easier. Homepage: http://www.ime.usp.br/~ueda/br.ispell/ Tag: culture::brazilian, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/b/br.ispell/ibrazilian_3.0~beta4-15_all.deb Package: ibritish Source: ispell Version: 3.3.02-6 Installed-Size: 244 Maintainer: Robert Luberda Architecture: all Provides: ispell-dictionary Depends: dictionaries-common, ienglish-common (= 3.3.02-6), ispell, debconf (>= 0.5) | debconf-2.0 Suggests: wbritish Size: 187990 SHA256: f734f970cb2a74ab1a618de286ed8d8efcbe3148be70fbb43cf8a7ac459a9ea8 SHA1: bd295b6af12bf2642b85f8555531decd8e3d6f86 MD5sum: 5c3f6455299439c863a2e2cda2f38f45 Description: British English dictionary for ispell (standard version) This package provides the standard, medium-sized British English dictionary, based on the britishmed+ dictionary supplied with the source for ispell, with additional words added from the more comprehensive wbritish word list package. . There are also -small, -large, -huge, and -insane versions of this dictionary, and there are iamerican* packages as well. . The package also suggests wbritish because ispell's (L)ookup command needs a word list. Homepage: http://www.lasr.cs.ucla.edu/geoff/ispell.html Tag: culture::british, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/ispell/ibritish_3.3.02-6_all.deb Package: ibritish-huge Source: ispell Version: 3.3.02-6 Installed-Size: 681 Maintainer: Robert Luberda Architecture: all Provides: ispell-dictionary Depends: dictionaries-common, ienglish-common (= 3.3.02-6), ispell, debconf (>= 0.5) | debconf-2.0 Suggests: wbritish-huge Size: 635360 SHA256: 052b56f6ef25b388cd288d1b13d974d25c4180ff4136bb77235170eae6f1ed42 SHA1: 7c5cbc17b4404522307e9c93866220977b790cfa MD5sum: 7efc99908665e8d865f1790b6b08e33e Description: British English dictionary for ispell (huge) This package provides the british-huge dictionary, based on the britishxlg+ dictionary supplied with the source for ispell, with additional words added from the more comprehensive wbritish-huge word list package. . This is an even larger dictionary than the one installed by ibritish-large. Nothing prevents you installing both (and others) at the same time. . There are also -small, -large, -insane, and standard versions of this dictionary, and there are iamerican* packages as well. . The package also suggests wbritish-huge because ispell's (L)ookup command needs a word list. Homepage: http://www.lasr.cs.ucla.edu/geoff/ispell.html Tag: culture::british, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/ispell/ibritish-huge_3.3.02-6_all.deb Package: ibritish-insane Source: ispell Version: 3.3.02-6 Installed-Size: 1323 Maintainer: Robert Luberda Architecture: all Provides: ispell-dictionary Depends: dictionaries-common, ienglish-common (= 3.3.02-6), ispell, debconf (>= 0.5) | debconf-2.0 Suggests: wbritish-insane Size: 1293696 SHA256: cd0e7a0ae351c687c426c8ad0340a81033c35abfc497f0e63005e21c6afb5538 SHA1: f64dfd0af42d1215126d28b88fbb0e424bc348da MD5sum: 092cb096ea23ab9c340bfdc3f58908fd Description: British English dictionary for ispell (insane version) This package provides the british-insane dictionary, based on the britishxlg+ dictionary supplied with the source for ispell, with additional words added from the more comprehensive wbritish-insane word list package. . This is an even larger dictionary than the one installed by ibritish-huge, and possibly contains invalid words (as well as words that are very uncommon). Nothing prevents you installing both (and others) at the same time. . There are also -small, -large, -huge, and standard versions of this dictionary, and there are iamerican* packages as well. . The package also suggests wbritish-huge because ispell's (L)ookup command needs a word list. Homepage: http://www.lasr.cs.ucla.edu/geoff/ispell.html Tag: culture::british, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/ispell/ibritish-insane_3.3.02-6_all.deb Package: ibritish-large Source: ispell Version: 3.3.02-6 Installed-Size: 417 Maintainer: Robert Luberda Architecture: all Provides: ispell-dictionary Depends: dictionaries-common, ienglish-common (= 3.3.02-6), ispell, debconf (>= 0.5) | debconf-2.0 Suggests: wbritish-large Size: 364676 SHA256: ae4150c4be240196b4ac2a15c742784c9dc7fa901818167208890582b252d151 SHA1: b21cf987506edcee6770ea4ac376bb762908ae30 MD5sum: 84f4de4f86370082b0d91dfa9d85ea12 Description: British English dictionary for ispell (large) This package provides the british-large dictionary, based on the britishlrg+ dictionary supplied with the source for ispell, with additional words added from the more comprehensive wbritish-large word list package. . This is larger dictionary than the one installed by ibritish. Nothing prevents you installing both (and others) at the same time. . There are also -small, -huge, -insane, and standard versions of this dictionary, and there are iamerican* packages as well. . The package also suggests wbritish-large because ispell's (L)ookup command needs a word list. Homepage: http://www.lasr.cs.ucla.edu/geoff/ispell.html Tag: culture::british, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/ispell/ibritish-large_3.3.02-6_all.deb Package: ibritish-small Source: ispell Version: 3.3.02-6 Installed-Size: 152 Maintainer: Robert Luberda Architecture: all Provides: ispell-dictionary Depends: dictionaries-common, ienglish-common (= 3.3.02-6), ispell, debconf (>= 0.5) | debconf-2.0 Suggests: wbritish-small Size: 93740 SHA256: 68d77ec8fc64efc8ab83057398e5f64c454712b850908a9409a37a9b4b2c840a SHA1: a5bc706b21e24751ce2673927cdc309f0018646a MD5sum: 291d2b7e07d9ce4de0aa2dc13c94f565 Description: British English dictionary for ispell (small) This package provides the british-small dictionary, based on the britishsml+ dictionary supplied with the source for ispell, with additional words added from the more comprehensive wbritish-small word list package. . This is smallr dictionary than the one installed by ibritish. Nothing prevents you installing both (and others) at the same time. . There are also -large, -huge, -insane, and standard versions of this dictionary, and there are iamerican* packages as well. . The package also suggests wbritish-small because ispell's (L)ookup command needs a word list. Homepage: http://www.lasr.cs.ucla.edu/geoff/ispell.html Tag: culture::british, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/ispell/ibritish-small_3.3.02-6_all.deb Package: ibulgarian Source: bgoffice Version: 4.1-3 Architecture: armhf Maintainer: Damyan Ivanov Installed-Size: 3367 Depends: ispell (>= 3.3.02), dictionaries-common, debconf (>= 0.5) | debconf-2.0 Suggests: wbulgarian Provides: ispell-dictionary Homepage: http://bgoffice.sourceforge.net/ Priority: optional Section: text Filename: pool/main/b/bgoffice/ibulgarian_4.1-3_armhf.deb Size: 722442 SHA256: 27401a579e6b28ff227e6a67df37fe4758cc50fc3e548cbb252e074cc9f3a01b SHA1: 747c3e8e2dd04a579762ff427c2ca824568656db MD5sum: 5c0dcaafc1a2abebc14f27d338faad23 Description: Bulgarian dictionary for ispell This is the Bulgarian dictionary for ispell. . This package also recommends wbulgarian because ispell's (L)ookup command needs a wordlist. Package: ibus Version: 1.4.1-9+deb7u1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 1793 Depends: gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.5-4), libibus-1.0-0 (>= 1.4.1), libx11-6, gconf2 (>= 2.28.1-2), python (>= 2.6.6-7~), python-ibus (= 1.4.1-9+deb7u1), gnome-icon-theme, python-xdg, librsvg2-common, python-notify Recommends: ibus-gtk3, ibus-gtk, ibus-qt4, ibus-clutter, im-config | im-switch Suggests: ibus-doc Breaks: ibus-anthy (<< 1.2), ibus-chewing (<< 1.2.99), ibus-hangul (<< 1.2.99), ibus-m17n (<< 1.2.99), ibus-pinyin (<< 1.2.99), ibus-table (<< 1.2) Multi-Arch: foreign Homepage: http://code.google.com/p/ibus/ Priority: optional Section: utils Filename: pool/main/i/ibus/ibus_1.4.1-9+deb7u1_armhf.deb Size: 433980 SHA256: 468266abd7ef635c49246b116d2e732571941fada3cb5ba3de8ed9646e8ffa37 SHA1: ca3a457fdbd67967a975bd642abd783668de873b MD5sum: 106884f686637d7f170ea32304cf84a5 Description: Intelligent Input Bus - core IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. Package: ibus-anthy Version: 1.2.6-2+deb7u1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 612 Depends: python (>= 2.7), python (<< 2.8), libanthy0, libc6 (>= 2.13-28), libpython2.7 (>= 2.7), ibus (>= 1.2), anthy, python-glade2 Homepage: http://code.google.com/p/ibus Priority: optional Section: utils Filename: pool/main/i/ibus-anthy/ibus-anthy_1.2.6-2+deb7u1_armhf.deb Size: 107402 SHA256: 91f96e6058ac4188b65452bb15446da41cd58bf22e427b011df729e43702bd0f SHA1: c13bf673ab4418c2a52f8890b8039817593ebd54 MD5sum: c10f8cb0f71e4cc54f3a7dffac11ca1e Description: anthy engine for IBus IBus is an Intelligent Input Bus. It is a new input framework for Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . IBus-Anthy is an input method (IM) for Japanese, based on IBus. Package: ibus-array Version: 0.0.2-6 Architecture: armhf Maintainer: Keng-Yu Lin Installed-Size: 2824 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libibus-1.0-0, libsqlite3-0 (>= 3.6), python (>= 2.4), python-support (>= 0.90.0), ibus (>= 1.3) Homepage: http://code.google.com/p/ibus-array/ Priority: optional Section: utils Filename: pool/main/i/ibus-array/ibus-array_0.0.2-6_armhf.deb Size: 1310438 SHA256: 9c4f9f5070123fc31b33282edb43c671f2554b47517fe89d6806ef977b7e1b95 SHA1: 16ac1488a4da4584143e8103d8ee842bcfbeb581 MD5sum: f2065c66788a840d273d1b64dd24c9b4 Description: Array 30 input engine for iBus IBus is an Intelligent Input Bus. It is a new input framework for Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . ibus-array is a IM Engine for Traditional Chinese, based on IBus. Package: ibus-chewing Version: 1.3.10+clean-3 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 202 Depends: libc6 (>= 2.13-28), libchewing3, libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.12.0), libibus-1.0-0, libx11-6, libxtst6, gconf2 (>= 2.28.1-2), ibus (>= 1.3.99.20110419) Homepage: http://code.google.com/p/ibus Priority: optional Section: utils Filename: pool/main/i/ibus-chewing/ibus-chewing_1.3.10+clean-3_armhf.deb Size: 55528 SHA256: ca1faf4d68af4184da8a3a39e08c452d39a709743d40b4c20a591edb5311708f SHA1: 7d132742f53768e373a356d9415436bab1087c03 MD5sum: e5fa3976b4a93e77216740b1affc7f72 Description: Chewing engine for IBus IBus is an Intelligent Input Bus. It is a new input framework for Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . IBus-chewing is a IBus based IM Engine for Traditional Chinese Package: ibus-clutter Source: ibus-client-clutter (0.0+git20090728.a936bacf-5) Version: 0.0+git20090728.a936bacf-5+b1 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 67 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libclutter-1.0-0 (>= 1.10.0), libclutter-imcontext-0.1-0, libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.7.4), libdrm2 (>= 2.3.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libibus-1.0-0 (>= 1.4.1), libjson-glib-1.0-0 (>= 0.12.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6, libclutter-imcontext-0.1-bin Multi-Arch: same Homepage: http://moblin.org/projects/input-method-framework Priority: optional Section: utils Filename: pool/main/i/ibus-client-clutter/ibus-clutter_0.0+git20090728.a936bacf-5+b1_armhf.deb Size: 10382 SHA256: 8fdc467ae4b7647d4bd9a2fb9eeb8c58d167938b5476f8b5c88d2029478a73b6 SHA1: 9bdff84ba4fad3c8816c97cb37c326a2ab42810b MD5sum: 07e1c1b661c7e727baa8a86c99cee29c Description: ibus input method framework for clutter IBus is an Intelligent Input Bus. It is a new input framework for Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . ibus-clutter is the clutter client of ibus, it provides a clutter-immodule for ibus. Package: ibus-doc Source: ibus Version: 1.4.1-9+deb7u1 Installed-Size: 2051 Maintainer: IME Packaging Team Architecture: all Size: 336228 SHA256: c2ef6f5d27d101dab6105507ec961ae439206b011c2e926a2347e140496e80a1 SHA1: df77031f79f437f2167d1c8908c6097cc1b1a0df MD5sum: 8cede16cde7620ff1e059f6fbfde0fdf Description: Intelligent Input Bus - development documentation IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . This package contains the reference manual. Homepage: http://code.google.com/p/ibus/ Tag: accessibility::input, devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/i/ibus/ibus-doc_1.4.1-9+deb7u1_all.deb Package: ibus-el Version: 0.3.0-2 Installed-Size: 214 Maintainer: IME Packaging Team Architecture: all Depends: emacs23 | emacs22, python (>= 2.5), ibus (>= 1.3), python-xlib Suggests: ibus-gtk, ibus-qt4 Size: 41754 SHA256: dcabc2159d3df78a118768cf507171e3b5d3c6e9a3411f2838ae8a1e494bf128 SHA1: 9db87d0b0a115e26421223d94925cd0aea2fffbd MD5sum: 84720c1b99d4b937dd1bdad334a3b697 Description: IBus client for GNU Emacs IBus is an Intelligent Input Bus. It is a new input framework for Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . ibus.el is a IBus client for GNU Emacs. This program allows users on-the-spot style input with IBus. The input statuses are individually kept for each buffer, and prefix-keys such as C-x and C-c can be used even if IBus is active. So you can input various languages fast and comfortably by using it. Homepage: https://launchpad.net/ibus.el Tag: accessibility::input, role::plugin, suite::emacs Section: lisp Priority: optional Filename: pool/main/i/ibus-el/ibus-el_0.3.0-2_all.deb Package: ibus-googlepinyin Version: 0.1.1+hg20111212-1 Installed-Size: 137 Maintainer: IME Packaging Team Architecture: all Depends: python (>= 2.6.6-7~), libgooglepinyin0, libopencc1, ibus Size: 30954 SHA256: 339b1da3928a1d01ba8833ba775302dc1ea61f93634518c87de79e6aafd45cec SHA1: d2d8de3a9979dbaf00397ff0f7b4e4cb18de24ac MD5sum: 4f0892975180616250c0d79d92c4b729 Description: googlepinyin engine for ibus libgooglepinyin is a fork of Google Pinyin on Android, it features to have excellent input experience and uses little resource. . This package is for IBus to use libgooglepinyin. Homepage: http://code.google.com/p/libgooglepinyin/ Section: utils Priority: optional Filename: pool/main/i/ibus-googlepinyin/ibus-googlepinyin_0.1.1+hg20111212-1_all.deb Package: ibus-gtk Source: ibus Version: 1.4.1-9+deb7u1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 221 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.5-4), libibus-1.0-0 (>= 1.4.1), libpango1.0-0 (>= 1.14.0) Multi-Arch: same Homepage: http://code.google.com/p/ibus/ Priority: optional Section: utils Filename: pool/main/i/ibus/ibus-gtk_1.4.1-9+deb7u1_armhf.deb Size: 162450 SHA256: 90daf548c6c08e36a7fd508da09c6595da59868cc26cba9a5b81097527d3f7c6 SHA1: b0878428e2a07fe844ddcb4a0e79572c32261066 MD5sum: d255581d5daf008258febb721293e350 Description: Intelligent Input Bus - GTK+2 support IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . This package contains the GTK+2 IM module. Package: ibus-gtk3 Source: ibus Version: 1.4.1-9+deb7u1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 221 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libibus-1.0-0 (>= 1.4.1), libpango1.0-0 (>= 1.14.0) Multi-Arch: same Homepage: http://code.google.com/p/ibus/ Priority: optional Section: utils Filename: pool/main/i/ibus/ibus-gtk3_1.4.1-9+deb7u1_armhf.deb Size: 162378 SHA256: 867fd34bd4e85f1cd94f2c1f861e7ac0ca462da06eddd152da2c5772becee6b7 SHA1: 99a69363bae6f55d5f34b098d907926727f33f55 MD5sum: ee0308461f9d27b3eca9129360c58906 Description: Intelligent Input Bus - GTK+3 support IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . This package contains the GTK+3 IM module. Package: ibus-hangul Version: 1.4.1-1+deb7u1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 280 Depends: python (>= 2.6.6-7~), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libhangul1 (>= 0.1.0), libibus-1.0-0 (>= 1.4.1), ibus (>= 1.3.99) Homepage: http://code.google.com/p/ibus Priority: optional Section: utils Filename: pool/main/i/ibus-hangul/ibus-hangul_1.4.1-1+deb7u1_armhf.deb Size: 69132 SHA256: f00dd8e0b4ab00b35c3511c460a933a04647fe640d774d94dacbcd8ea883fa9e SHA1: af65c0a622fe1ecafde3257b6ae474196bd6adf6 MD5sum: 7fd5169ee530916724821be1eb23b15f Description: Hangul engine for IBus IBus is an Intelligent Input Bus. It is a new input framework for Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . IBus-Hangul is an input method (IM) for Korean language, based on IBus. Package: ibus-input-pad Version: 1.4.0-2 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 136 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.22.0), libibus-1.0-0, libinput-pad1 (>= 1.0.0), libpango1.0-0 (>= 1.14.0), ibus (>= 1.3.99.20110419) Homepage: http://code.google.com/p/input-pad/ Priority: optional Section: utils Filename: pool/main/i/ibus-input-pad/ibus-input-pad_1.4.0-2_armhf.deb Size: 24432 SHA256: 6d567deff4eda4b4d6c97f22e1293e40a51f7c22a05a2e0cc7e1b9651612b484 SHA1: fb5b7220bcb5957956a1c2dd3c8801bf2e4be128 MD5sum: 3e9b24360c912ede85c05ce26c900983 Description: Input Pad for IBus The input pad engine for IBus platform. It provides the interface to use input-pad with IBus. . This package contains the IBus engine. Package: ibus-m17n Version: 1.3.4-1+deb7u1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 149 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk2.0-0 (>= 2.12.0), libibus-1.0-0 (>= 1.4.1), libm17n-0 (>= 1.6.3), libpango1.0-0 (>= 1.14.0), ibus (>= 1.4.1) Homepage: http://code.google.com/p/ibus Priority: optional Section: utils Filename: pool/main/i/ibus-m17n/ibus-m17n_1.3.4-1+deb7u1_armhf.deb Size: 30124 SHA256: efaf1256e4a3f2adbc1805b25db288d904d5c6b1c1b3b7142a35308d298dee40 SHA1: e22972cefcb7821a1af72055ad08d138db0cd380 MD5sum: 90696d6b404e3770a732446c9f25fe7e Description: m17n engine for IBus IBus-m17n is a IM Engine for multiple languages, based on IBus. . IBus-m17n enables IBus to input many non-latin characters from the keyboard using libm17n library. Package: ibus-pinyin Version: 1.4.0-1+deb7u1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 1475 Depends: ibus-pinyin-db-open-phrase (= 1.4.0-1+deb7u1) | ibus-pinyin-db-android (= 1.4.0-1+deb7u1), python (>= 2.6.6-7~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libibus-1.0-0 (>= 1.4.1), liblua5.1-0, libopencc1 (>= 0.1.0), libsqlite3-0 (>= 3.6.11), libstdc++6 (>= 4.5), libuuid1 (>= 2.16), ibus (>= 1.3.99.20110419) Homepage: http://code.google.com/p/ibus Priority: optional Section: utils Filename: pool/main/i/ibus-pinyin/ibus-pinyin_1.4.0-1+deb7u1_armhf.deb Size: 635298 SHA256: 62e56e8d11cd796746f4a2f37c3d8351ef7816a4d90afb09164ac3635ce18ae7 SHA1: b9b09bbec34a3cc92223ed7f6ddc5696c7dee5aa MD5sum: b796dd0f5c515b1d0bc7b03cd62c4018 Description: Pinyin engine for IBus ibus-pinyin is a IBus based IM engine for Chinese. . For more information on pinyin input method, please check http://en.wikipedia.org/wiki/Pinyin_method . This package contains pinyin input method. Package: ibus-pinyin-db-android Source: ibus-pinyin Version: 1.4.0-1+deb7u1 Installed-Size: 3561 Maintainer: IME Packaging Team Architecture: all Size: 1431322 SHA256: a09b04d925cf9341a5090ccb926be87babeb872cb34055f282e11337b19b3688 SHA1: 0da76a87cad45f293024c7f9af2f8db709ced57d MD5sum: 463fbb63792f8ac2040f09e31ca3b34c Description: Pinyin engine for IBus - Android database ibus-pinyin is a IBus based IM engine for Chinese. . For more information on pinyin input method, please check http://en.wikipedia.org/wiki/Pinyin_method . This package contains the android data files used by ibus-pinyin. Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-pinyin/ibus-pinyin-db-android_1.4.0-1+deb7u1_all.deb Package: ibus-pinyin-db-open-phrase Source: ibus-pinyin Version: 1.4.0-1+deb7u1 Installed-Size: 82 Maintainer: IME Packaging Team Architecture: all Depends: pinyin-database (>= 1.2.99-3~), pinyin-database (<< 1.2.100) Size: 51664 SHA256: ec595de0c726dc6e59d00cbb29428b72cd17464e544d38e8db578b41b2a89426 SHA1: 7df3f8266137cf20b595f63d375e2dc91ec5ac2f MD5sum: 4124b50a9fd9325cc2b403fdeea0ee9b Description: Pinyin engine for IBus - open-phrase database ibus-pinyin is a IBus based IM engine for Chinese. . For more information on pinyin input method, please check http://en.wikipedia.org/wiki/Pinyin_method . This package contains the open-phrase data files used by ibus-pinyin. Homepage: http://code.google.com/p/ibus Tag: role::app-data Section: utils Priority: optional Filename: pool/main/i/ibus-pinyin/ibus-pinyin-db-open-phrase_1.4.0-1+deb7u1_all.deb Package: ibus-qt4 Source: ibus-qt Version: 1.3.1-2.1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 194 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libgcc1 (>= 1:4.4.0), libibus-qt1, libicu48 (>= 4.8-1), libqt4-dbus (>= 4:4.8.0~), libqt4-xml (>= 4:4.8.0~), libqtcore4 (>= 4:4.8.0~), libqtgui4 (>= 4:4.8.0~), libstdc++6 (>= 4.4.0) Homepage: http://code.google.com/p/ibus Priority: optional Section: utils Filename: pool/main/i/ibus-qt/ibus-qt4_1.3.1-2.1_armhf.deb Size: 52450 SHA256: e3d5534a28b8e9eab4a2aea01ee70e69dcbdf6b0fb79a917ea78ffaed72e3af7 SHA1: 4d8571f6a0e50ca25fd80ca537c80f5e0a757ef4 MD5sum: ae2dbff7dc61a6af58c8595d2af94e16 Description: qt-immodule for ibus (QT4) IBus is an Intelligent Input Bus. It is a new input framework for Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . ibus-qt4 is the QT4 client of ibus, it provide a qt-immodule for ibus. Package: ibus-skk Version: 1.4.1-2+deb7u1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 269 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libibus-1.0-0 (>= 1.4.1), libpango1.0-0 (>= 1.14.0), libskk0 (>= 0.0.10), ibus (>= 1.4), skkdic | skkserv Homepage: http://github.com/ueno/ibus-skk Priority: optional Section: utils Filename: pool/main/i/ibus-skk/ibus-skk_1.4.1-2+deb7u1_armhf.deb Size: 79540 SHA256: bbdad2d1c096fec4113ba56fba73c75f8480002f8492c2bed2ef8916f1d36d30 SHA1: b9c4b26d93ccf2b422ce72db48a3dda569eff58d MD5sum: 0c5c4a76ef1525b80d95d40542bc4afe Description: SKK engine for IBus IBus is an Intelligent Input Bus. It is a new input framework for Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . IBus-SKK is an input method (IM) for Japanese, based on IBus. Package: ibus-sunpinyin Version: 2.0.3-4+deb7u1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 498 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libibus-1.0-0 (>= 1.4.1), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libsunpinyin3, python (>= 2.6.6-7~), sunpinyin-data, python-glade2 Homepage: http://code.google.com/p/sunpinyin Priority: optional Section: utils Filename: pool/main/i/ibus-sunpinyin/ibus-sunpinyin_2.0.3-4+deb7u1_armhf.deb Size: 225270 SHA256: b7aac573509a7a9f8ba5da48da4c6e3b39c574d272f5ab56a04e52618defc5d0 SHA1: 6d33f9c6394562c269dcd3c7d7968f65113cae29 MD5sum: 95927454f688c8693326b43ef8ccc7f7 Description: sunpinyin engine for ibus Sunpinyin is a statistical language model (SLM) based input method engine for Simplified Chinese, it features full sentence input. . This package contains the sunpinyin engine for ibus. Package: ibus-table Version: 1.3.9.20110827-2 Installed-Size: 579 Maintainer: IME Packaging Team Architecture: all Depends: python (>= 2.6.6-7~), ibus (>= 1.3.99.20110419) Size: 221702 SHA256: a827afbb600176800f3ae965d42dcf72a300619dc4f1e078fc97d0cef94c7980 SHA1: 356173902af7e0261033de2efed9bf2021161f7c MD5sum: 8da42711257af1bfbd424cce1c616bd9 Description: table engine for IBus IBus-Table is the IM Engine framework for table-based input methods, such as WuBi, ErBi, CangJie and so on. . This package provide the table engine framework and one input method: * Compose: input special character by compose letter and diacritical mark . More input method based on ibus-table can be installed from ibus-table-cangjie, ibus-table-wubi, ... Homepage: http://code.google.com/p/ibus Tag: accessibility::input Section: utils Priority: optional Filename: pool/main/i/ibus-table/ibus-table_1.3.9.20110827-2_all.deb Package: ibus-table-array30 Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 14650 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.9.20110827) Size: 5327698 SHA256: fed7a77825469a054eb511da99db4d823d221ede5a24aa64e5d4db6d178129c4 SHA1: e659ddd0cc0415b11cef0f6d7d48b026cd880e8d MD5sum: 7ed555f965c66a0380ebd8c4ef23039d Description: Array30 input method based on table engine of ibus This package provide one input method: Array30. . Array30 is a Chinese input method, check more information at http://zh.wikipedia.org/wiki/%E8%A1%8C%E5%88%97%E8%BC%B8%E5%85%A5%E6%B3%95 (in Chinese). Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-array30_1.3.4-1_all.deb Package: ibus-table-cangjie Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 28 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table-cangjie3, ibus-table-cangjie5, ibus-table-cangjie-big Size: 3814 SHA256: 18260637164c921fa9444d6afc10c7eabd7e1105860cf2f363eb3719c9302a7b SHA1: 9bb506fc3a3ea185a4f2c3a8a88c90c6d547fb5f MD5sum: 40c4ab621f12db5b55588e7ba1cd74b9 Description: ibus-table input method: CangJie (dummy package) This is a dummy package for safe upgrade. . You can safely remove it from your system. Homepage: http://code.google.com/p/ibus Tag: accessibility::input, culture::chinese, role::app-data, role::dummy Section: misc Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-cangjie_1.3.4-1_all.deb Package: ibus-table-cangjie-big Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 5521 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.9.20110827) Size: 1928718 SHA256: 7e5e313fc50129332430d8a90c258324a4ef306894481b0dcc7d9ccd4618a4bf SHA1: 781618c1929c17447a3fceaee99a638ae9e8dac7 MD5sum: 20815c1adb5e8a8bde6a1ea31fa8f278 Description: ibus-table input method: CangJie (big) This package provide one input method: CangJie (big). . CangJie (big) is a Traditional Chinese input method, check more information at http://en.wikipedia.org/wiki/Cangjie_input_method . Homepage: http://code.google.com/p/ibus Section: misc Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-cangjie-big_1.3.4-1_all.deb Package: ibus-table-cangjie3 Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 3195 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.9.20110827) Size: 1128632 SHA256: d56a8934e2c2046c9066615dcd83c937a11ad9ab1b5835c7987668f72031a9ce SHA1: 774fc8964f2c56c70422b6f41ea90d8cb9dccd2d MD5sum: 8bdb5e23f4243ea1458fb8c4c3814331 Description: ibus-table input method: CangJie3 This package provide one input method: CangJie3. . CangJie3 is a Traditional Chinese input method, check more information at http://en.wikipedia.org/wiki/Cangjie_input_method . Homepage: http://code.google.com/p/ibus Section: misc Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-cangjie3_1.3.4-1_all.deb Package: ibus-table-cangjie5 Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 7139 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.9.20110827) Size: 2510330 SHA256: 08067f03332e7933f1012bdf56fd861c55f27407053d75392ad05e029d9334f9 SHA1: 5e1188ba590ca20cd78994a80bd9bc311b38edf4 MD5sum: a9bd7fef7e6fbbc8f74ab2ac2e3a1a95 Description: ibus-table input method: CangJie5 This package provide one input method: CangJie5. . CangJie5 is a Traditional Chinese input method, check more information at http://en.wikipedia.org/wiki/Cangjie_input_method . Homepage: http://code.google.com/p/ibus Section: misc Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-cangjie5_1.3.4-1_all.deb Package: ibus-table-cantonese Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 994 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.9.20110827) Size: 285658 SHA256: b76b32ac7b89b1f85f2641ce72a149a81d88e489eec3ef1495a1c92f910baa67 SHA1: 0bf915c4acb8c5e7725a6e7747a64da56ba22806 MD5sum: 2032da463b0f681f42381e52fb4d1f7f Description: ibus-table input method: Cantonese This package provides one input methods: Cantonese Homepage: http://code.google.com/p/ibus Section: misc Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-cantonese_1.3.4-1_all.deb Package: ibus-table-cantonhk Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 1154 Maintainer: IME Packaging Team Architecture: all Replaces: ibus-table-cantonese Depends: ibus-table (>= 1.3.9.20110827) Conflicts: ibus-table-cantonese (<= 1.2.0.20100305-2) Size: 338330 SHA256: 956f8c515dc275dbd5c7bd3ec6c303713ad72913478a23bead7e9d766491658f SHA1: 24e6d8e7f88d3738332216030b3000ad313e7f86 MD5sum: 0e9541a5b0c5921856b01f9701ace508 Description: ibus-table input method: Cantonhk This package provides one input methods: Cantonhk Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-cantonhk_1.3.4-1_all.deb Package: ibus-table-cns11643 Source: ibus-table-others Version: 1.3.0.20100528-3 Installed-Size: 7344 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.0) Size: 1914740 SHA256: c4c4acb1b5da713ae27e028bd89e17d77cb5a2f02fcdc5b795398669f94d544f SHA1: daf8d78c848ff704990acf95996d4e69b57c8f72 MD5sum: 4c8ed07eae2eac0bf246c0e85c22e08f Description: ibus-table input method: Cns11643 IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . This package provides one input method: Cns11643. Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-table-others/ibus-table-cns11643_1.3.0.20100528-3_all.deb Package: ibus-table-compose Source: ibus-table-others Version: 1.3.0.20100528-3 Installed-Size: 165 Maintainer: IME Packaging Team Architecture: all Replaces: ibus-table (<= 1.3.0.20100621-1) Depends: ibus-table (>= 1.3.0) Size: 19398 SHA256: b62bfb81570093fb35340c31f84ca0fbcbcad16e83636c51d7fbd61071ec931e SHA1: 9cc2a6cde91e5c9045ddcfbcf838a06e9eb62866 MD5sum: a239e0579e501ea4869742ff73621dda Description: ibus-table input method: Compose IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . This package provide one input method: Compose Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-table-others/ibus-table-compose_1.3.0.20100528-3_all.deb Package: ibus-table-easy Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 27 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table-easy-big Size: 2946 SHA256: 2755c8d0d9ea304bcf28adb752c57fe6695f046961809802156dcd8b0dd66643 SHA1: 6685cfa2628c2430a7914f5197c932df6c512974 MD5sum: 3a1668d00a24a55dc84fa7d9239fb116 Description: ibus-table input method: Easy (dummy package) This is a dummy package for stable upgrade. . You can safely remove it from your system. Homepage: http://code.google.com/p/ibus Section: misc Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-easy_1.3.4-1_all.deb Package: ibus-table-easy-big Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 10825 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.9.20110827) Size: 3723456 SHA256: ea8d131e58130215892348df13ea3a5279ee00bd4a874ceff3584fc4611ff069 SHA1: 7b729cfe681f459cc79287858491bdee7f862a0c MD5sum: 68a5bd16531eac0b6f0d33d42f8e8cb0 Description: ibus-table input method: Easy (big) This package provide one input method: Easy (big). . Easy (big) is a Traditional Chinese input method. Homepage: http://code.google.com/p/ibus Section: misc Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-easy-big_1.3.4-1_all.deb Package: ibus-table-emoji Source: ibus-table-others Version: 1.3.0.20100528-3 Installed-Size: 180 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.0) Size: 19400 SHA256: 0c24ab3e3c8fa326b9ebf728e83e43a04d505b5347d42dbb75bd8e5b4f48b498 SHA1: 6a6d80c9887005c5e3c8c49942cd397b62f78870 MD5sum: b6ab35e90fb4e3e4112b804acb6dc70d Description: ibus-table input method: Emoji IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . This package provides one input method: Emoji. . Emoji is the Japanese term for the picture characters or emoticons used in Japanese wireless messages and webpages. For more information, see http://en.wikipedia.org/wiki/Emoji. Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-table-others/ibus-table-emoji_1.3.0.20100528-3_all.deb Package: ibus-table-erbi Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 4266 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.9.20110827) Size: 1775976 SHA256: 7475efff4efb36717982044cd301193905554613b7cea8f54cbcd1df7cc5ac63 SHA1: 0daae5903c582dc6cb35ae550fdee6479c6ffe5a MD5sum: 424934b9d451e9c75b30fd4e4665304e Description: ibus-table input method: ErBi This package provide one input methods: ErBi . ErBi is a Simplified Chinese input method, check more information at http://zh.wikipedia.org/wiki/%E4%BA%8C%E7%AC%94%E8%BE%93%E5%85%A5%E6%B3%95 (in Chinese). Homepage: http://code.google.com/p/ibus Tag: accessibility::input, culture::chinese, role::app-data Section: utils Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-erbi_1.3.4-1_all.deb Package: ibus-table-erbi-qs Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 10258 Maintainer: IME Packaging Team Architecture: all Replaces: ibus-table-erbi Depends: ibus-table (>= 1.3.9.20110827) Conflicts: ibus-tabel-erbi (<= 1.2.0.20090901-1) Size: 3863714 SHA256: f2b7c929e092cde0dbebb87c3a20976c10bd9c7f31e18a34f7a9264386d69d21 SHA1: 18534d22ac927f14d46ce536c021561e527c53d2 MD5sum: ce6fad2617e746c42948c518e4318725 Description: ibus-table input method: ErBi(QS) This package provide one input methods: ErBi(QS) . ErBi is a Simplified Chinese input method, check more information at http://zh.wikipedia.org/wiki/%E4%BA%8C%E7%AC%94%E8%BE%93%E5%85%A5%E6%B3%95 (in Chinese). Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-erbi-qs_1.3.4-1_all.deb Package: ibus-table-extraphrase Version: 1.2.0.20100305-1 Installed-Size: 5184 Maintainer: LI Daobing Architecture: all Size: 2123444 SHA256: 4f924605b36df272a34fc5e09d33b4f40c2627c54bfdb8b9c9f609f6e1c44fce SHA1: 26ea82a89ea42d4a2bb95516530f3cc54e6bbd61 MD5sum: 44091924b725c91989f45f8aba2faaae Description: Extra phrase for table engine of ibus IBus-Table is the IM Engine framework for table-based input methods, such as WuBi, ErBi, Cangjie and so on. . This package provide extra phrase for table engine of ibus. Homepage: http://code.google.com/p/ibus Tag: accessibility::input, role::app-data Section: utils Priority: optional Filename: pool/main/i/ibus-table-extraphrase/ibus-table-extraphrase_1.2.0.20100305-1_all.deb Package: ibus-table-ipa-x-sampa Source: ibus-table-others Version: 1.3.0.20100528-3 Installed-Size: 144 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.0) Size: 10562 SHA256: 7ef631b675887aad4082e7e0a6517de8819ed2fd26c50ebff5ed56a0e391d3bc SHA1: ddb4b3ce7a254a647a178087689afeab04f37df3 MD5sum: f16880933af0c010a1581dc0ca7169ae Description: ibus-table input method: IPA-X-SAMPA IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . This package provide one input method: IPA-X-SAMPA . For more information of IPA-X-SAMPA, see http://en.wikipedia.org/wiki/X-SAMPA Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-table-others/ibus-table-ipa-x-sampa_1.3.0.20100528-3_all.deb Package: ibus-table-jyutping Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 1065 Maintainer: IME Packaging Team Architecture: all Replaces: ibus-table-cantonese Depends: ibus-table (>= 1.3.9.20110827) Conflicts: ibus-table-cantonese (<= 1.2.0.20100305-2) Size: 254236 SHA256: 8d965fe046130f7bb19ecd0cd968b9b816f9ca057ac751f6df4d0b3f3d03e49a SHA1: 9fc85fa4420a792bbb79f9092268addb9c2827e3 MD5sum: 1c0fed872a8ee5da76c320a8791382b3 Description: ibus-table input method: Jyutping This package provides one input method: Jyutping . http://en.wikipedia.org/wiki/Jyutping Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-jyutping_1.3.4-1_all.deb Package: ibus-table-latex Source: ibus-table-others Version: 1.3.0.20100528-3 Installed-Size: 186 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.0) Size: 18924 SHA256: 0795bcfe60f19c78f7c91f3f2950f0aa51f9118b934a861c03ae517b5f6df74e SHA1: 6a938b0128646106229941413060c23c44ac22d6 MD5sum: fbaf34f31d5c2a76d95bd09db1164d79 Description: ibus-table input method: LaTeX IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . This package provides one input method: LaTeX. . For more information of LaTex, see http://en.wikipedia.org/wiki/LaTeX. Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-table-others/ibus-table-latex_1.3.0.20100528-3_all.deb Package: ibus-table-quick Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 27 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table-quick3, ibus-table-quick5, ibus-table-quick-classic Size: 2960 SHA256: 62a078b1eab1dd967bd442b767f0042c1bc4415c922d4196222873270c532ce5 SHA1: b428665188e7ba9ba7c5fba34fd242108fa7760e MD5sum: f4a35eb530576b1c354a969c4c713274 Description: ibus-table input method: Quick (dummy package) This is a dummy package for stable upgrade. . You can safely remove it from your system. Homepage: http://code.google.com/p/ibus Tag: accessibility::input, culture::chinese, role::app-data Section: misc Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-quick_1.3.4-1_all.deb Package: ibus-table-quick-classic Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 1218 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.9.20110827) Size: 385440 SHA256: ee1693bda9758bebb1cf031bee0527a40be3cc6d74b5c78cda937a9087e97b75 SHA1: 871316c3a7013ffedda5e47e3d621383a8b3756c MD5sum: fd9a3e54f14df2c43128f7221bedd55c Description: ibus-table input method: Quick Classic This package provide one input method: Quick Classic. . Quick Classic is a Traditional Chinese input method. Homepage: http://code.google.com/p/ibus Section: misc Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-quick-classic_1.3.4-1_all.deb Package: ibus-table-quick3 Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 3002 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.9.20110827) Size: 1010778 SHA256: 6ba989c75409a2784229acb7f1f079e050890673ef02b47a00adb79b5ce0cac0 SHA1: f5818984055f12342aa1656ab0884bce5269d545 MD5sum: 15af0ab9670bdffb3f3fc4a4392e9a1d Description: ibus-table input method: Quick3 This package provide one input method: Quick3. . Quick3 is a Traditional Chinese input method. Homepage: http://code.google.com/p/ibus Section: misc Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-quick3_1.3.4-1_all.deb Package: ibus-table-quick5 Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 6386 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.9.20110827) Size: 1950212 SHA256: 42e5d0cb86ddba3e3a5d0df8ccc8b56615611df452c99eb9fc340e06a12e0692 SHA1: 7e40672ff9900ab1e642fc547b1bbcbee3dae8b4 MD5sum: a2f4aed51551fd40532764c8824e87fa Description: ibus-table input method: Quick5 This package provide one input method: Quick5. . Quick5 is a Traditional Chinese input method. Homepage: http://code.google.com/p/ibus Section: misc Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-quick5_1.3.4-1_all.deb Package: ibus-table-rustrad Source: ibus-table-others Version: 1.3.0.20100528-3 Installed-Size: 146 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.0) Size: 9402 SHA256: 3445b287c7f96a83916da358c547ece7e637dcfe34591ca37b088b2cfd01fd41 SHA1: d9844f0a0b27f9e9d1cbda754e75040a4e937509 MD5sum: 068289a1ef43bdab88ed01057a4ac223 Description: ibus-table input method: Russian Traditional IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . This package provide one input method: Russian Traditional . Russian Traditional is a Russian input method. Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-table-others/ibus-table-rustrad_1.3.0.20100528-3_all.deb Package: ibus-table-scj6 Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 7627 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.9.20110827) Size: 2535826 SHA256: 92acb491e9c3130c641961e05e2176b1935410ed5aadda45544f51d237a82906 SHA1: d1fc92572dfd2711831dbdff3e32d5c90db3220c MD5sum: 3b5be74c63780c9655b021834c1cf699 Description: ibus-table input method: Smart CangJie 6 This package provide one input method: Smart CangJie 6. . Smart CangJie 6 is a Traditional Chinese input method, check more information at http://www.scj2000.com/ and http://www.scj2000.net/ Homepage: http://code.google.com/p/ibus Section: misc Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-scj6_1.3.4-1_all.deb Package: ibus-table-stroke5 Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 618 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.9.20110827) Size: 164372 SHA256: 945951a65cf0bae232f1769e432caed06f4e50f041b6efcfad1b8d5918918e78 SHA1: 069b9cb4ae546cb7d30721884936aad6a5d46a1c MD5sum: 775ab9546096cbdbcd74c8589aad0f80 Description: ibus-table input method: Stroke5 This package provides one input method: Stroke5. Homepage: http://code.google.com/p/ibus Section: misc Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-stroke5_1.3.4-1_all.deb Package: ibus-table-thai Source: ibus-table-others Version: 1.3.0.20100528-3 Installed-Size: 143 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.0) Size: 7500 SHA256: f7a5a50889ae0f7f87500ec00a180c936e1094476deb925554cdba8fc66178c3 SHA1: 1a7519255905050f40033e4444202d16a83b0f90 MD5sum: 042fc079b9c3568492afac1eeb0fcdc0 Description: ibus-table input method: Thai IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . This package provides one input method: Thai. . Thai is a Thai language input method. Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-table-others/ibus-table-thai_1.3.0.20100528-3_all.deb Package: ibus-table-translit Source: ibus-table-others Version: 1.3.0.20100528-3 Installed-Size: 145 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.0) Size: 9242 SHA256: 21d436acd540a2a0266d89d2ab641fbe1d4535353d0fa921a18b28c2d871be5e SHA1: 1e4e4fb4f32ea8d974747d85ca156c5bfc1ae689 MD5sum: 807b71ed9be5ce85d5418ae3f358759a Description: ibus-table input method: Translit IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . This package provide one input method: Translit . Translit is a Russian input method. Homepage: http://code.google.com/p/ibus Tag: accessibility::input, culture::russian, role::app-data Section: utils Priority: optional Filename: pool/main/i/ibus-table-others/ibus-table-translit_1.3.0.20100528-3_all.deb Package: ibus-table-translit-ua Source: ibus-table-others Version: 1.3.0.20100528-3 Installed-Size: 145 Maintainer: IME Packaging Team Architecture: all Replaces: ibus-table-translit (<= 1.2.0.20090907-1) Depends: ibus-table (>= 1.3.0) Size: 9322 SHA256: 4e5a787c1be41be8ee76fce421cebdc71c7d661b48ea11a8b1d2722f87d3beb6 SHA1: ca8b4464003e8cfcff878db93e5d03fd6bcf2462 MD5sum: f1debe18e767a5954d8f384f15e3b1bf Description: ibus-table input method: Ukrainian Translit IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . This package provide one input method: Ukrainian Translit. . Ukrainian Translit is a Ukrainian input method. Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-table-others/ibus-table-translit-ua_1.3.0.20100528-3_all.deb Package: ibus-table-viqr Source: ibus-table-others Version: 1.3.0.20100528-3 Installed-Size: 144 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.0) Size: 9918 SHA256: 79ad32e7e4ce8f18b442a3c192d36183fdf712eb6de8c7ebc2f7518068056fe1 SHA1: 4d593bac2d7654ecb33ae437ee094cc7dd9764d1 MD5sum: bad431919af723deca69e84b85bf44ee Description: ibus-table input method: Viqr IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . This package provides one input method: Viqr. . Viqr is a Vietnamese input method. Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-table-others/ibus-table-viqr_1.3.0.20100528-3_all.deb Package: ibus-table-wu Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 1896 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.9.20110827) Size: 510308 SHA256: d1c1a3dba6e588143bc54784a8efd3195e5afcbc65b641e0e2808fe9855f1ce5 SHA1: 3bc76312e72ef112b0f3b756b34226b04aacd6be MD5sum: 5eb37ca384aea5d6521d3ea84f6d6dbb Description: ibus-table input method: Wu This package provides one input method: Wu. Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-wu_1.3.4-1_all.deb Package: ibus-table-wubi Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 28689 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.9.20110827) Size: 11650892 SHA256: 7d71e3d1ae8136e10824c93f94ee967624bb27de8346bd50050b2221ec514414 SHA1: b3f9dcaed8ca462815101a1dc82f962629495d18 MD5sum: b74eaf0d00289815e1be4519153a893a Description: ibus-table input method: Wubi This package provide one input method: WuBi. . Wubi is a Chinese input method, check more information at http://en.wikipedia.org/wiki/Wubi_method . Homepage: http://code.google.com/p/ibus Tag: accessibility::input, culture::chinese, role::app-data Section: misc Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-wubi_1.3.4-1_all.deb Package: ibus-table-yawerty Source: ibus-table-others Version: 1.3.0.20100528-3 Installed-Size: 143 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.0) Size: 6546 SHA256: 9cc86c9603a4df743bb437f73892a6c266eb39ce4b3af5f2f46b0bdbd882eec7 SHA1: 6ea1e823bc1f8e1e18c728cb621f4e1bbc598759 MD5sum: 36200cc1c0ef87d487927f13e7c49a6c Description: ibus-table input method: Yawerty IBus is an Intelligent Input Bus. It is a new input framework for the Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . This package provide one input method: Yawerty. Homepage: http://code.google.com/p/ibus Section: utils Priority: optional Filename: pool/main/i/ibus-table-others/ibus-table-yawerty_1.3.0.20100528-3_all.deb Package: ibus-table-yong Source: ibus-table-chinese Version: 1.3.4-1 Installed-Size: 5952 Maintainer: IME Packaging Team Architecture: all Depends: ibus-table (>= 1.3.9.20110827) Size: 2172270 SHA256: 5ecfabeb692567424c99d64b52614ea2c8eea0f8da21f46642aa1e9355fbcb75 SHA1: 545700182470bda7b0847d4094c3c3f0576dd2e2 MD5sum: ea2582a515095681c02b001c1f1e92b2 Description: ibus-table input method: YongMa This package provide one input method: YongMa. . YongMa is a Chinese input method, check more information at http://yong.uueasy.com/read.php?tid=218 . Homepage: http://code.google.com/p/ibus Tag: accessibility::input, culture::chinese, role::app-data Section: utils Priority: optional Filename: pool/main/i/ibus-table-chinese/ibus-table-yong_1.3.4-1_all.deb Package: ibus-tegaki Version: 0.3.1-1 Architecture: armhf Maintainer: LI Daobing Installed-Size: 91 Depends: python, python-support (>= 0.90.0), ibus (>= 1.2), python-tegaki-gtk (>= 0.3.1) Homepage: http://www.tegaki.org/ Priority: optional Section: utils Filename: pool/main/i/ibus-tegaki/ibus-tegaki_0.3.1-1_armhf.deb Size: 11820 SHA256: afcd94a36770ceb7320302332e4945f9e79e7ce4c884085007d96dc265cb66a1 SHA1: 10ce70e0a04498c69db82881fff431338fa701d5 MD5sum: f0a75b10b7f42d2c1ca90bc7b60f8bcc Description: tegaki engine for IBus IBus is an Intelligent Input Bus. It is a new input framework for Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . Tegaki is an ongoing project which aims to develop a free and open-source modern implementation of handwriting recognition software, that is suitable for both the desktop and mobile devices, and that is designed from the ground up to work well with Chinese and Japanese. . this package provide the tegaki engine for ibus. Package: ibus-unikey Version: 0.6.1-1 Architecture: armhf Maintainer: Lê Quốc Tuấn Installed-Size: 256 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.12.0), libibus-1.0-0, libstdc++6 (>= 4.4.0), libx11-6, ibus (>= 1.3.99) Homepage: http://ibus-unikey.googlecode.com/ Priority: extra Section: utils Filename: pool/main/i/ibus-unikey/ibus-unikey_0.6.1-1_armhf.deb Size: 83352 SHA256: 89ffe539f55d9e6592eb83b33080186f89dca034e60325b797225e27bcfee41e SHA1: ebd1376852f7d3a337fa48b557c70c7dec31eb92 MD5sum: 6685e46a9c7962ae0400343220cd4e41 Description: Vietnamese Input Method Engine for IBus using Unikey Engine IBus is an Intelligent Input Bus. It is a new input framework for Linux OS. It provides full featured and user friendly input method user interface. It also may help developers to develop input method easily. . Ibus-Unikey is a Vietnamese input method for Ibus Use Unikey engine to process keyevent Package: ibus-xkbc Version: 1.3.3.20100922-2+deb7u1 Installed-Size: 4839 Maintainer: IME Packaging Team Architecture: all Depends: python, python-support (>= 0.90.0), ibus (>= 1.3.99.20110817) Size: 2463042 SHA256: 81ab813acf47d2312f14ebe4097dbfbdb6b6217ff80cbb2bacd6656e465060a5 SHA1: 09122915962093a36db1b5a01ad533325482c5a5 MD5sum: 9576ffe0d541b65f22e5d6f522d2f6bc Description: keyboard layout emulation engine for IBus ibus-xkbc is a keyboard layout emulation engine based on XKeyboard Config data Homepage: http://github.com/sun-im/ibus-xkbc Section: utils Priority: optional Filename: pool/main/i/ibus-xkbc/ibus-xkbc_1.3.3.20100922-2+deb7u1_all.deb Package: ibverbs-utils Source: libibverbs Version: 1.1.6-1 Architecture: armhf Maintainer: Roland Dreier Installed-Size: 136 Depends: libc6 (>= 2.7), libibverbs1 (>= 1.1.2) Homepage: http://www.openfabrics.org/ Priority: extra Section: net Filename: pool/main/libi/libibverbs/ibverbs-utils_1.1.6-1_armhf.deb Size: 42302 SHA256: f452228cbbc4d05f47966c3aaa01f8c00ee66212057ee8f664020557906e2ebb SHA1: efb97aa4c56c5ec8608eadc07368f3e6969384ab MD5sum: 28b93eca40fade8e1977e61df5bb5c33 Description: Examples for the libibverbs library libibverbs is a library that allows userspace processes to use RDMA "verbs" as described in the InfiniBand Architecture Specification and the RDMA Protocol Verbs Specification. iWARP ethernet NICs support RDMA over hardware-offloaded TCP/IP, while InfiniBand is a high-throughput, low-latency networking technology. InfiniBand host channel adapters (HCAs) and iWARP NICs commonly support direct hardware access from userspace (kernel bypass), and libibverbs supports this when available. . This package contains useful libibverbs1 example programs such as ibv_devinfo, which displays information about InfiniBand devices. Package: ical2html Version: 2.0-1 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 68 Depends: libc6 (>= 2.13-28), libical0 (>= 0.30) Homepage: http://www.w3.org/Tools/Ical2html/ Priority: optional Section: utils Filename: pool/main/i/ical2html/ical2html_2.0-1_armhf.deb Size: 13820 SHA256: 0fe6ec66d218a5f19c91052423aa9e3016fb6228391224e844dfd3f83536145f SHA1: 27bbf1b8d9cc6ce335a59937d53945dbc65c5db3 MD5sum: 7a744fa20b58248826a8596ff8c82025 Description: create an HTML table from icalendar data ical2html takes an iCalendar file and outputs an HTML file showing one or more months in the form of tables. . This package contains the following commandline utilities: * ical2html - create an HTML table from icalendar data * icalmerge - merge icalendar files, keeping only the most recent version of each event * icalfilter - filter an iCalendar file based on class and/or category Package: icatalan Source: softcatala-spell Version: 0.20111230b-4 Installed-Size: 686 Maintainer: Jordi Mallach Architecture: all Provides: ispell-dictionary Depends: debconf (>= 0.5) | debconf-2.0, dictionaries-common (>= 1.1), ispell Suggests: wcatalan Size: 568452 SHA256: 041fa7c90df22ccc1b978254c7123bec484b3a462968747dd2f41d28aa648e85 SHA1: 9be77534cdc2d2dd0d06280a8a8893a9df7eb372 MD5sum: 18e696af0b8b08bbf8c0db006ad1703a Description: Catalan dictionary for ispell This is the Catalan dictionary for ispell as put together by Joan Moratinos using data from different sources. Homepage: http://www.softcatala.org/wiki/Corrector_ortogr%C3%A0fic Tag: culture::catalan, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/s/softcatala-spell/icatalan_0.20111230b-4_all.deb Package: icc-profiles-free Version: 2.0.1+dfsg-1 Installed-Size: 1665 Maintainer: Oleksandr Moskalenko Architecture: all Replaces: icc-profiles (<= 2.0-2) Conflicts: icc-profiles (<= 2.0-2) Breaks: icc-profiles (<= 2.0-2) Size: 552056 SHA256: 9e6bdbde0a8e71865d4c4d9d9d767b05e6bf6b10d54bddc88dd6f3b229403fcb SHA1: 6a3a9244ae7dc3ab6b97fa4120428ef44c7e1fd5 MD5sum: 795a530715c9a210c56df5faf538b140 Description: ICC color profiles for use with color profile aware software Color management allows controlled conversion between color representation of input and output devices and color spaces. ICC profiles are an important part of color management. They are data files that represent mappings between the input or output color space of a particular device and the device-agnostic profile connection space (PCS) in accordance with the standards set by the International Color Consortium (ICC). This package contains a number of high quality ICC color profiles for use with color profile aware software such as Scribus, Gimp, CinePaint, Krita, or Digikam. In general this package is useful if the software using it was built against a color management support library such as liblcms1 or liblcms2-2. In some software such as Scribus you have to explicitly enable color managemenent in the preferences to be able to use these profiles. The sampleicc-tools package contains tools that can be used to manipulate and query the icc profiles in Debian. . The color profiles in this package are available under free software licenses. Additional profiles under non-free licenses are available in the icc-profiles package. Section: graphics Priority: optional Filename: pool/main/i/icc-profiles-free/icc-profiles-free_2.0.1+dfsg-1_all.deb Package: icc-utils Source: argyll Version: 1.4.0-8 Architecture: armhf Maintainer: Christian Marillat Installed-Size: 143 Depends: libc6 (>= 2.13-28), libicc2 Breaks: libicc2 (<< 2.12+argyll1.4.0-2) Replaces: libicc2 (<< 2.12+argyll1.4.0-2) Homepage: http://www.argyllcms.com/ Priority: optional Section: graphics Filename: pool/main/a/argyll/icc-utils_1.4.0-8_armhf.deb Size: 74028 SHA256: de4eff51e15d9024787b92e90609a7f97dee2c0440904b6c67de874b57be7352 SHA1: f6dab90e9accaf3b4d2ba29b6217a4ea040ebd42 MD5sum: 7e86f0a0b76b78128d75dc3bbcf7ebf9 Description: ICC profile I/O library The icclib is a set of routines which implement the reading and writing of color profile files that conform to the International Color Consortium (ICC) Profile Format Specification, Version 3.4. . This package contains two utilities : . * iccdump : dump an ICC file in human readable form. * icclu : translate colors through an ICC profile. Package: ice34-services Source: zeroc-ice Version: 3.4.2-8.2 Architecture: armhf Maintainer: Francisco Moya Installed-Size: 12240 Depends: icebox (>= 3.4.2-8.2), libc6 (>= 2.13-28), libdb5.1++, libfreeze34, libgcc1 (>= 1:4.4.0), libglacier2-34, libicebox34, libicedb34, libicegrid34, libicepatch2-34, libicessl34, libicestorm34, libiceutil34, libicexml34, libreadline5 (>= 5.2), libslice34, libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libzeroc-ice34 Conflicts: freeze, glacier2, ice-services, ice32-services, ice33-services, icegrid, icepatch2, icestorm, zeroc-ice-services Replaces: freeze, glacier2, ice-services, ice32-services, ice33-services, icegrid, icepatch2, icestorm, zeroc-ice-services Provides: freeze, glacier2, ice-services, icegrid, icepatch2, icestorm Homepage: http://www.zeroc.com/ Priority: optional Section: devel Filename: pool/main/z/zeroc-ice/ice34-services_3.4.2-8.2_armhf.deb Size: 3260828 SHA256: 2d62430a369425f2fd4a1c53adc9daf76b425c48fc5d2007a44426ee2f9a2298 SHA1: 498e1736e8ea7e894103ba03113511d2bc5476dd MD5sum: cf2004001b30a9e2b59a58ac5823ba7b Description: Services bundled into ZeroC Ice Ice, the Internet Communications Engine, is a middleware for the practical programmer developed by ZeroC Inc. A high-performance Internet communications platform, Ice includes a wealth of layered services and plug-ins. . Ice is built on concepts which will be familiar to CORBA programmers, and supports a wide variety of programming languages and runtime platforms. . This package contains binaries for all Ice services (IceGrid, IceStorm, IcePatch2, Glacier2 and Freeze). You will find further information in the ZeroC home page (http://www.zeroc.com/ice.html) and in the non-free package zeroc-ice-manual. Package: ice34-slice Source: zeroc-ice Version: 3.4.2-8.2 Installed-Size: 2339 Maintainer: Francisco Moya Architecture: all Replaces: ice-slice, ice32-slice, ice33-slice Provides: ice-slice Conflicts: ice-slice, ice32-slice, ice33-slice Size: 220702 SHA256: be391f5115641e54e2dfc667f6b9c682a89cd3df2b882755b161de3356275a7a SHA1: b4d524804ae7c6a8169d3b1bd5561eae2c951560 MD5sum: 7da684f7e056217be40165af8f21d35b Description: Slice definitions for Ice 3.4 services Ice, the Internet Communications Engine, is a middleware for the practical programmer developed by ZeroC Inc. A high-performance Internet communications platform, Ice includes a wealth of layered services and plug-ins. . Ice is built on concepts which will be familiar to CORBA programmers, and supports a wide variety of programming languages and runtime platforms. Slice is the interface description language used in Ice. . This package installs the Slice definitions of standard Ice services on /usr/share/slice. You will find further information in the ZeroC home page (http://www.zeroc.com/ice.html) and in the non-free package zeroc-ice-manual. Homepage: http://www.zeroc.com/ Section: devel Priority: optional Filename: pool/main/z/zeroc-ice/ice34-slice_3.4.2-8.2_all.deb Package: ice34-translators Source: zeroc-ice Version: 3.4.2-8.2 Architecture: armhf Maintainer: Francisco Moya Installed-Size: 3247 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libiceutil34, libslice34, libstdc++6 (>= 4.6) Conflicts: ice-translators, ice32-translators, ice33-translators, slice2cpp, slice2cs, slice2docbook, slice2freeze, slice2freezej, slice2html, slice2java, slice2py, slice2rb, slice2vb Replaces: ice-translators, ice32-translators, ice33-translators, slice2cpp, slice2cs, slice2docbook, slice2freeze, slice2freezej, slice2html, slice2java, slice2py, slice2rb, slice2vb Provides: ice-translators, slice2cpp, slice2cs, slice2docbook, slice2freeze, slice2freezej, slice2html, slice2java, slice2py, slice2rb, slice2vb Homepage: http://www.zeroc.com/ Priority: optional Section: devel Filename: pool/main/z/zeroc-ice/ice34-translators_3.4.2-8.2_armhf.deb Size: 1000118 SHA256: 44750c18353cd5de204fa4f59ab4e32dbf48fc2abb006457b52e5c674a3dca62 SHA1: 7e9701e3c388fbab764ce81bc52403eeaaa88ef5 MD5sum: 1d8916533ccef29bf964963e6678a167 Description: Slice translators to several languages Ice, the Internet Communications Engine, is a middleware for the practical programmer developed by ZeroC Inc. A high-performance Internet communications platform, Ice includes a wealth of layered services and plug-ins. . Ice is built on concepts which will be familiar to CORBA programmers, and supports a wide variety of programming languages and runtime platforms. Slice is the interface description language used in Ice. . This package contains all the Slice translators (slice2cpp, slice2py, slice2cs, slice2java, slice2vb, slice2rb, slice2freeze, slice2freezej, slice2docbook, and slice2html). You will find further information in the ZeroC home page (http://www.zeroc.com/ice.html) and in the non-free package zeroc-ice-manual. Package: iceape Version: 2.7.12-1 Architecture: armhf Maintainer: Maintainers of Mozilla-related packages Installed-Size: 31334 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.10.2-2~), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libevent-2.0-5 (>= 2.0.10-stable), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libhunspell-1.3-0, libjpeg8 (>= 8c), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 4.7.0~1.9b1), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.10), libpango1.0-0 (>= 1.14.0), libpixman-1-0 (>= 0.18.0), libsqlite3-0 (>= 3.7.12-1~), libstartup-notification0 (>= 0.8), libstdc++6 (>= 4.6), libvpx1 (>= 1.0.0), libx11-6, libxext6, libxrender1, libxt6, zlib1g (>= 1:1.1.4) Recommends: iceape-chatzilla Suggests: fonts-stix | otf-stix, libgssapi-krb5-2 | libkrb53, xul-ext-dom-inspector, gconf-service, libgconf-2-4 (>= 2.31.1), libnotify4 (>= 0.7.0), libgnomeui-0, libcanberra0 Conflicts: iceape-browser (<< 2.0.14-5~), iceape-calendar (<< 2.0), iceape-chatzilla (<< 2.0), iceape-dev-bin (= 1.1.14-1.1), iceape-dom-inspector (<< 2.0), iceape-gnome-support, iceape-mailnews Replaces: iceape-browser, iceape-gnome-support, iceape-mailnews Provides: iceape-browser, iceape-mailnews, imap-client, mail-reader, news-reader, www-browser Priority: optional Section: web Filename: pool/main/i/iceape/iceape_2.7.12-1_armhf.deb Size: 17881528 SHA256: 4bb7c5e5cb5049c68b2dd6afb71e38de7bef1e4c08c2b7dceb748f2941677d51 SHA1: b0f9da2da756160d1bd9cd41aa10d54d483781e3 MD5sum: cf5b5d118fc2de3e9607910ae5a3ce53 Description: The Iceape Internet Suite The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . The Iceape Internet Suite consists of: - an Internet browser (Iceape Navigator) - an HTML WYSIWYG editor (Iceape Composer) - a Mail and News client (Iceape Mail & Newsgroups) - an Address Book (Iceape Address Book) - an IRC client (Chatzilla) . Iceape Navigator is a sophisticated graphical World-Wide-Web browser, with a large number of various browser features like support for HTML 4.0, CSS 2, JavaScript, etc. It also features tabbed browsing, popup blocking, and many others options. . Iceape Composer is a WYSIWYG HTML editor that lets you modify arbitrary HTML pages. It provides dynamic image and table resizing, quick insert and delete of table cells, and supports CSS and positioned layers. . Iceape Mail & Newsgroups client supports NNTP Newsgroups and IMAP/POP Mail. It has a full variety of features such as multiple accounts, labels, adaptive junk mail control, S/MIME, digital signing. . Iceape Address Book adds address book management to the Iceape Mail & Newsgroups client. It supports LDAP, vCards... . Chatzilla is provided in a separate package (iceape-chatzilla). Package: iceape-browser Source: iceape Version: 2.7.12-1 Installed-Size: 97 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape Size: 34650 SHA256: ec2dff7bebac1fb04dc1a70a1d6f830768f502a240e4f73fd55f6257a2d2a89c SHA1: 114e41b01a383c6a96dd51c3b17bd0d35d4ab99b MD5sum: f3c08e5450ad79ac9aa06bbf4369220a Description: Transitional package to iceape The Iceape suite, with the exception of the IRC client, has been grouped in a common package. This package makes the transition smoother for users who hadn't installed the meta-package. . This package can safely be removed. Tag: implemented-in::c++, interface::x11, network::client, protocol::http, protocol::ssl, role::dummy, suite::mozilla, uitoolkit::gtk, use::browsing, web::browser, works-with-format::html, x11::application Section: web Priority: optional Filename: pool/main/i/iceape/iceape-browser_2.7.12-1_all.deb Package: iceape-chatzilla Source: iceape Version: 2.7.12-1 Installed-Size: 539 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12), iceape (<= 2.7.12-1.1~) Size: 431164 SHA256: 200c98893f66104d15193b3d0f1ee8ced8b9313a2b482d6d1b60717cb3e017cf SHA1: 1ef7624bc2f92536e1ab9002d7e0d166adcaa1e1 MD5sum: d275d26273fa7048dfb0808b963bb6b7 Description: Iceape Chatzilla IRC client Chatzilla is a full featured IRC client integrated with the Iceape Internet Suite. It is scriptable, and supports what most people would expect from an IRC client. . See the 'iceape' package for more information on the Iceape Internet Suite. Tag: implemented-in::c++, network::client, protocol::irc, role::plugin, suite::mozilla Section: net Priority: optional Filename: pool/main/i/iceape/iceape-chatzilla_2.7.12-1_all.deb Package: iceape-dbg Source: iceape Version: 2.7.12-1 Architecture: armhf Maintainer: Maintainers of Mozilla-related packages Installed-Size: 413363 Depends: iceape, libnss3-dbg | libnss3-1d-dbg, libnspr4-dbg | libnspr4-0d-dbg Conflicts: iceape-browser (<< 2.0.14-5~), iceape-mailnews (<< 2.0.14-5~) Priority: extra Section: debug Filename: pool/main/i/iceape/iceape-dbg_2.7.12-1_armhf.deb Size: 171181076 SHA256: ad241c69b05ee652eac218e513248ea4911c333dd2c4ab274c9fc6f2a93c8ea4 SHA1: 1d84fc4d674ccc408a06a54655190010e7cfc23f MD5sum: d6281f038f845fc982420fa5dbbf25f2 Description: Debugging symbols for the Iceape Internet Suite This package provides the debugging symbols for the Iceape Internet Suite programs. Install this package if you need to debug such a program or if you need a useful backtrace of a crash. . See the 'iceape' package for more information on the Iceape Internet Suite. Package: iceape-l10n-all Source: iceape Version: 2.7.12-1 Installed-Size: 97 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape-l10n-be, iceape-l10n-ca, iceape-l10n-cs, iceape-l10n-de, iceape-l10n-en-gb, iceape-l10n-es-ar, iceape-l10n-es-es, iceape-l10n-fi, iceape-l10n-fr, iceape-l10n-gl, iceape-l10n-hu, iceape-l10n-it, iceape-l10n-ja, iceape-l10n-lt, iceape-l10n-nb-no, iceape-l10n-nl, iceape-l10n-pl, iceape-l10n-pt-pt, iceape-l10n-ru, iceape-l10n-sk, iceape-l10n-sv-se, iceape-l10n-tr, iceape-l10n-zh-cn Size: 34810 SHA256: 8239f2acb7867f1750bfe10a446391b71675bf96fd6db02791c3c6f1b09e2b23 SHA1: 94717eb729d177d5ee72497aca676ccef0aa988b MD5sum: b78275c58d923d03a63f7c84f6b6556d Description: All language packages for Iceape (meta) The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This is a metapackage depending on all available localizations of Iceape. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-all_2.7.12-1_all.deb Package: iceape-l10n-be Source: iceape Version: 2.7.12-1 Installed-Size: 900 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Size: 745758 SHA256: d23e2cb3316a1e7f0f448add8f55ad832b984447b10475520b19cbd81657097f SHA1: 74f9d56462821b8cdbe32022ba49dd6928d09c7f MD5sum: 22a14a6a2f03d0004a1fa990892094ef Description: Belarusian language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Belarusian. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-be_2.7.12-1_all.deb Package: iceape-l10n-ca Source: iceape Version: 2.7.12-1 Installed-Size: 917 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-ca Size: 762476 SHA256: d87d222a51ac25631761d1b23bed493ee3c4a143d2d7fb09b61dd541d900f36b SHA1: 8d00bad34640dd245d27f910b2eaf45c80557076 MD5sum: af2379ea50672fe37ff8550d5c9e20a0 Description: Catalan language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Catalan. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-ca_2.7.12-1_all.deb Package: iceape-l10n-cs Source: iceape Version: 2.7.12-1 Installed-Size: 922 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-cs-cz Size: 769172 SHA256: a4bfeba7e23bd7c4a860f25a72f11e43b50a3d6560e37ef7ab8775dc648ccddd SHA1: d2fbb481e2d3a2584c015c732cab913dbd701d53 MD5sum: e5975c3b1ffaa5fcb3f5203cdfe8e0fb Description: Czech language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Czech. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-cs_2.7.12-1_all.deb Package: iceape-l10n-de Source: iceape Version: 2.7.12-1 Installed-Size: 962 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-de-de | myspell-de-at | myspell-de-ch Size: 809668 SHA256: 7d8633b827e118f5e66fc720947acd5e982c6360157e87e9bd5458ba7377c60c SHA1: abdabf94a24018ad7a4c817af12f7acdf1879aa4 MD5sum: 21fcbd011acc382f5ec7a32aa04562ef Description: German language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in German. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-de_2.7.12-1_all.deb Package: iceape-l10n-en-gb Source: iceape Version: 2.7.12-1 Installed-Size: 893 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-en-gb | myspell-en-us Size: 731196 SHA256: c95cc50c6841ecceee1eeae98969ad824bf60d9a1dda2273167c12aa72e21a0f SHA1: 98659c1e53e5638d4458accbd026e5c8d5e43a3d MD5sum: 8fbf821c811c55514a100ae5d5e9e844 Description: English (United Kingdom) language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in English (United Kingdom). Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-en-gb_2.7.12-1_all.deb Package: iceape-l10n-es-ar Source: iceape Version: 2.7.12-1 Installed-Size: 962 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-es Size: 803828 SHA256: c2bce2fb515c4c5ad015602779b597b82f86c7545520ccae94a0a8c19ed7c741 SHA1: 22ba7dcf994b40d70ad089196f3ff1864fd48919 MD5sum: bd8f18e0d0f233e0a1c1a518537798a5 Description: Spanish (Argentina) language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Spanish (Argentina). Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-es-ar_2.7.12-1_all.deb Package: iceape-l10n-es-es Source: iceape Version: 2.7.12-1 Installed-Size: 893 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-es Size: 731214 SHA256: b93344f8f52036f0d687a6d7088e064c3558b9bd1d414573dfbcf56f31e20e40 SHA1: 682530d2cdc11ea5d80c8a9af2726be356d4d763 MD5sum: 037cbb6fe0576f7f5bbbf956928f01e1 Description: Spanish (Spain) language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Spanish (Spain). Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-es-es_2.7.12-1_all.deb Package: iceape-l10n-fi Source: iceape Version: 2.7.12-1 Installed-Size: 913 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: xul-ext-mozvoikko Size: 759098 SHA256: d50ebd6dc5ee7a8ae724c36a903cb82fb9723b76e687bb16da6213f74deeb1c0 SHA1: c185716a814fc55ca380267442df4b98ac217c3e MD5sum: 56964b577fcb077a9b81d07c59cc5af3 Description: Finnish language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Finnish. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-fi_2.7.12-1_all.deb Package: iceape-l10n-fr Source: iceape Version: 2.7.12-1 Installed-Size: 918 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-fr | myspell-fr-gut Size: 764224 SHA256: f5e55cdcc489d5c602f20809b223e7d79389bde619a44090e45709d73dde04de SHA1: 8b8316aaea2062db4ec29d4c4e36f806c7938b98 MD5sum: d2fb622717114a921efb37f028f31c6c Description: French language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in French. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-fr_2.7.12-1_all.deb Package: iceape-l10n-gl Source: iceape Version: 2.7.12-1 Installed-Size: 913 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Size: 758606 SHA256: 96bf48ee85d355ee6f43649d680d5e89c9425b2f2a7405fb7700cefacf1954d2 SHA1: 92ea390f11a961da22efe86babc5083b1b3e729b MD5sum: 6abe2dbab7fcbdaf43e77290ca6853c9 Description: Galician language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Galician. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-gl_2.7.12-1_all.deb Package: iceape-l10n-hu Source: iceape Version: 2.7.12-1 Installed-Size: 936 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-hu Size: 782554 SHA256: fb5797dd9e847f7569a3d341717248b1fdcd457eadcb98592c3778544b8f89f5 SHA1: 62c5aa605f1df781da5cb7536cd32b5adf1ac7fb MD5sum: 558a2d29be749f6506f774703c58952f Description: Hungarian language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Hungarian. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-hu_2.7.12-1_all.deb Package: iceape-l10n-it Source: iceape Version: 2.7.12-1 Installed-Size: 845 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-it Size: 689820 SHA256: 839dc4921ae6a2eae027660e49f63fa026e8851f311cd36b737e7ef6dc5fbeb9 SHA1: fccaab7290e7b6eb0c4b6b0c35aa87e3e8b318d3 MD5sum: 7abdc6eb4154b88509a6c4f119c943a7 Description: Italian language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Italian. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-it_2.7.12-1_all.deb Package: iceape-l10n-ja Source: iceape Version: 2.7.12-1 Installed-Size: 966 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Size: 815050 SHA256: 1201d9d12f9be368c197bf3b824f9c97545784c3f1c33ca6757549f3aeb4c986 SHA1: f3ab40d1a8669985fd38e8816a989db89a9ebd6d MD5sum: 5a4fad9c23c8d19833ac5c76ad9aca4a Description: Japanese language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Japanese. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-ja_2.7.12-1_all.deb Package: iceape-l10n-lt Source: iceape Version: 2.7.12-1 Installed-Size: 1057 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-lt Size: 907810 SHA256: 31de45dac31263c862aeb38d4a6f486c7bed67541237ee26c94c3d7ede2f40aa SHA1: 8e60ac112d78514b6dbeef78b565b5c65bc7568b MD5sum: 8d45110acc90f388923f01fb9cfca947 Description: Lithuanian language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Lithuanian. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-lt_2.7.12-1_all.deb Package: iceape-l10n-nb-no Source: iceape Version: 2.7.12-1 Installed-Size: 911 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-nb Size: 750428 SHA256: c88ba3a52d89022aed1c48d17188cdf0bd0bad82afd3a98efa38586b1498a296 SHA1: eef6c29427623b427dcb306211a487ffdc80cf63 MD5sum: d1acbe2ef1120e70d5365476aa8679f9 Description: Norwegian Bokmål (Norway) language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Norwegian Bokmål (Norway). Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-nb-no_2.7.12-1_all.deb Package: iceape-l10n-nl Source: iceape Version: 2.7.12-1 Installed-Size: 910 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-nl Size: 756214 SHA256: 7ec6633ed8f8eac7d82c47b3083ffb76b16cba8214036dfd8c018aea142e324a SHA1: b1038b4923866df203b1334475e716018e2871f1 MD5sum: 604de00ea6ee06565212804b0d499df3 Description: Dutch language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Dutch. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-nl_2.7.12-1_all.deb Package: iceape-l10n-pl Source: iceape Version: 2.7.12-1 Installed-Size: 931 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-pl Size: 777880 SHA256: 0946701eaab791842cedf3840e1860d31be495d3e49bdeb061b910a2cff88b12 SHA1: 1b2519d0bfc7eb87abbebdba6eb400830899154f MD5sum: ceee78a29bd2f947558f04489ee50f54 Description: Polish language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Polish. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-pl_2.7.12-1_all.deb Package: iceape-l10n-pt-pt Source: iceape Version: 2.7.12-1 Installed-Size: 948 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-pt-pt | myspell-pt Size: 789350 SHA256: 2f8f9e1ea0e610dcdcf8ab7106655a64e29ee766bccbfd1ecb773baeca214806 SHA1: ab316bb636b690ffdeb68ab5bdd207d0766cb540 MD5sum: afc6e343f0635a3ee5b7a22af947e8b8 Description: Portuguese (Portugal) language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Portuguese (Portugal). Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-pt-pt_2.7.12-1_all.deb Package: iceape-l10n-ru Source: iceape Version: 2.7.12-1 Installed-Size: 995 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-ru Size: 843560 SHA256: 796918e9f4f463fba1e161895521959c8e95015c8649518b951de60cb2f2f406 SHA1: 838a2a77cf373f578b892c6b993978a9a40dd937 MD5sum: 455bab7a596cb43ac4ad80cb89a78b25 Description: Russian language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Russian. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-ru_2.7.12-1_all.deb Package: iceape-l10n-sk Source: iceape Version: 2.7.12-1 Installed-Size: 945 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-sk Size: 792250 SHA256: 084b692c6d48e43c926c5341a7935c315e03f76561ed8dabbe255b348627e080 SHA1: c21c9709f9fecffa22eea75e60166cd50c86a2fe MD5sum: 92a985b40aec8b3726ba22f45667994d Description: Slovak language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Slovak. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-sk_2.7.12-1_all.deb Package: iceape-l10n-sv-se Source: iceape Version: 2.7.12-1 Installed-Size: 973 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Recommends: myspell-sv-se Size: 812928 SHA256: 68b92132a0f1809eaa37b1bcff3e64e6d389f3f50950ccc2f8e1ecd5724147e0 SHA1: fcf264bdd302c09e0c5f290c3ccf7e60f548946b MD5sum: bc8c98f0518617ed2220fb3bfb03e165 Description: Swedish (Sweden) language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Swedish (Sweden). Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-sv-se_2.7.12-1_all.deb Package: iceape-l10n-tr Source: iceape Version: 2.7.12-1 Installed-Size: 913 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Size: 758758 SHA256: 41f2e4ed364f64b96803906fbe564f26624e7bfe29d57d76969a51e9cd24481a SHA1: 82f268d29d2b1da99332dd2efe06b26a81df9489 MD5sum: 449c91720751538f09ad3d555dbe2fce Description: Turkish language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Turkish. Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-tr_2.7.12-1_all.deb Package: iceape-l10n-zh-cn Source: iceape Version: 2.7.12-1 Installed-Size: 941 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: iceape (>= 2.7.12-1), iceape (<< 2.7.12-1.1~) Size: 781312 SHA256: 8aaa8e68ab395f8cf11274cbad5188176ec3b7b983dfabec09b03a29ca60e8fd SHA1: ab0c4df240edce145e18f934cdcc33eb2799bdeb MD5sum: 8843be8937963d094d4272ac33019082 Description: Chinese (China) language package for Iceape The Iceape Internet Suite is an unbranded Seamonkey Internet Suite suitable for free distribution. The Seamonkey Internet Suite is a set of Internet oriented applications. It is the continuity of the Mozilla Suite after it has been abandoned in favor of Firefox and Thunderbird. . This package contains the localization of Iceape in Chinese (China). Section: localization Priority: optional Filename: pool/main/i/iceape/iceape-l10n-zh-cn_2.7.12-1_all.deb Package: icebox Source: zeroc-ice Version: 3.4.2-8.2 Architecture: armhf Maintainer: Francisco Moya Installed-Size: 341 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicebox34, libiceutil34, libstdc++6 (>= 4.4.0), libzeroc-ice34 Homepage: http://www.zeroc.com/ Priority: optional Section: devel Filename: pool/main/z/zeroc-ice/icebox_3.4.2-8.2_armhf.deb Size: 115004 SHA256: 4c2b744706a0591edc5d037b71db7500c62989c016eaf449f63a90e45102e141 SHA1: ee5563025e8828e62e96a22f11a2fdd5747ab40d MD5sum: 5ae8275f9bdba76f39815dd6c960273f Description: Plugin-based meta-application for ZeroC Ice Ice, the Internet Communications Engine, is a middleware for the practical programmer developed by ZeroC Inc. A high-performance Internet communications platform, Ice includes a wealth of layered services and plug-ins. . Ice is built on concepts which will be familiar to CORBA programmers, and supports a wide variety of programming languages and runtime platforms. . This package provides the IceBox related utilities, a general purpose plugin-based application development framework for Ice applications. You will find further information in the ZeroC home page (http://www.zeroc.com/ice.html) and in the non-free package zeroc-ice-manual. Package: icebreaker Version: 1.21-11 Architecture: armhf Maintainer: LaMont Jones Installed-Size: 157 Depends: libc6 (>= 2.13-28), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11) Priority: optional Section: games Filename: pool/main/i/icebreaker/icebreaker_1.21-11_armhf.deb Size: 49692 SHA256: f88c17a7e35b94aa2fe8de332c5a4cb6426d42b7a6c9542348ca21900f5d3acc SHA1: 91f4de25203edf86acfdb4a2d5472e5183ebc8b3 MD5sum: 9cbaace839a2292dd8706f21c65d0096 Description: Break the iceberg So, uh, there's a bunch of penguins on an iceberg in Antarctica. You have been selected to catch them so they can be shipped to Finland, where they are essential to a secret plot for world domination. . In order to trap the penguins, you'll need to break the iceberg into small chunks. (They're afraid of water, for no apparent reason. Ah well. "The Matrix" had more plot holes than this, and it still was a hit.) You do this by melting lines in the ice with Special High-Tech GNU Tools. . Once 80% or more of the iceberg is gone, the remaining chunks are small enough for shipping. Of course, if you manage to get rid of more than that, you'll save on postage, thus earning you exponential amounts of Geek Cred (a.k.a. "score"). . See also http://www.mattdm.org/icebreaker/ Package: icecast2 Version: 2.3.2-9+deb7u3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 570 Depends: adduser, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libogg0 (>= 1.0rc3), libspeex1 (>= 1.2~beta3-1), libtheora0 (>= 0.0.0.alpha7.dfsg), libvorbis0a (>= 1.1.2), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), debconf (>= 0.5) | debconf-2.0 Suggests: ices2 Homepage: http://www.icecast.org/ Priority: optional Section: sound Filename: pool/main/i/icecast2/icecast2_2.3.2-9+deb7u3_armhf.deb Size: 308208 SHA256: 4b8c34a0f1ae604caee8429f717516688a43b14196690a5d18ec1ea14a066906 SHA1: 08ccab4083da704c0bda6c6b54a4ceca4ede3439 MD5sum: cb610698676601a27038762e4cff0d00 Description: streaming media server Icecast is a versatile multimedia streaming server which can create (for instance) a private jukebox or "Internet radio station". It supports Ogg streaming using the Vorbis and Theora codecs, as well as other formats such as MP3, AAC, or NSV, and is compatible with most media players. Package: icecc Version: 0.9.7-5 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 862 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), debconf (>= 0.5) | debconf-2.0, adduser, lsb-base, g++ | c++-compiler | c-compiler, dpkg (>= 1.9.0) Suggests: icecc-monitor Homepage: http://en.opensuse.org/Icecream Priority: optional Section: devel Filename: pool/main/i/icecc/icecc_0.9.7-5_armhf.deb Size: 253950 SHA256: 0cc7b2eb1fc653217b46ded8b96cc52ee227e3dd6ea77cc746d2060f55a024cf SHA1: 6d93cfb1f3e7d201a142caf69ece9960f8ec226e MD5sum: c713af91f24ab8f73a9ad93f5c88fe1e Description: distributed compiler (client and server) icecc (also known as Icecream) is a distributed compile system. It allows parallel compiling by distributing the compile jobs to several nodes of a compile network running the icecc daemon. The icecc scheduler routes the jobs and provides status and statistics information to the icecc monitor. . Each compile node can accept one or more compile jobs depending on the number of processors and the settings of the daemon. Link jobs and other jobs which cannot be distributed are executed locally on the node where the compilation is started. Package: icecc-monitor Version: 2.0~svn951472-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 494 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6) Suggests: icecc Homepage: http://en.opensuse.org/Icecream Priority: optional Section: kde Filename: pool/main/i/icecc-monitor/icecc-monitor_2.0~svn951472-2_armhf.deb Size: 137646 SHA256: 748197813571c1dc9e3ea9b023448a5672315836e8124c5f777d422012514bbf SHA1: 35777b3860c86cfd167113ea953d0eabd95e3043 MD5sum: 1fcf9bd0a72a777692c1c3c3dae9508e Description: icecc monitor for KDE icecc-monitor is an icecc (distributed compiler) monitor for KDE. It provides a view on the distributed compile network, which jobs run where and details about jobs and nodes (statistics and overview information). . See the 'icecc' package for more informations. Package: icecream Version: 1.3-4 Installed-Size: 80 Maintainer: Cristian Greco Architecture: all Depends: perl Size: 16086 SHA256: d72f974a838c48a4332416e8e84e82087f0c91d55cfb26c06ba29d620ce863e4 SHA1: 71d571ba3e6e43e8c0dfc3fc52aaf25b4173216b MD5sum: 61395ebe59d05eb26a509eeef36c3ec3 Description: lightweight stream download utility icecream is a non-interactive stream download utility written in Perl. It connects to icecast and shoutcast servers or direct stream URLs, and redirects all fetched content to stdout and/or to media files on your disk. . Listen to the stream piping the output to a stdin-capable media player. Save the stream to a named file or split it into different tracks. It is possible to redirect the stream and save it to disk at the same time. Homepage: http://icecream.sourceforge.net Tag: implemented-in::perl, interface::commandline, network::client, protocol::http, role::program, use::downloading, works-with::audio Section: net Priority: optional Filename: pool/main/i/icecream/icecream_1.3-4_all.deb Package: icedax Source: cdrkit Version: 9:1.1.11-2 Architecture: armhf Maintainer: Joerg Jaspert Installed-Size: 346 Depends: libc6 (>= 2.13-28) Suggests: vorbis-tools, cdrkit-doc Conflicts: cdda2wav (<< 9:1.0) Replaces: cdda2wav (<< 9:1.0) Priority: optional Section: sound Filename: pool/main/c/cdrkit/icedax_1.1.11-2_armhf.deb Size: 178892 SHA256: 370f35b03197c7f10e0feedc93b589efd3bb62ac1fa5f82e1b7392569f85662d SHA1: c023456bd127636726a662b2bfebf928a736ae6f MD5sum: dd9210109fe0d3348a6526e4617704f3 Description: Creates WAV files from audio CDs icedax lets you digitally copy ("rip") audio tracks from a CD, avoiding the distortion that is introduced when recording via a sound card. Data can be dumped into raw (cdr), wav or sun format sound files. Options control the recording format (stereo/mono; 8/16 bits; sampling rate, etc). . Please install cdrkit-doc if you want most of the documentation and README files. Package: icedove Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 272 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird (>= 1:52.8.0-1~deb7u1) Size: 101322 SHA256: c4031b50dcb1168fa8e600bb5587f1c84da0181fc218aa0468704091aef322df SHA1: 52f607957de9c5a6d6d84d1e7724f286bbeff007 MD5sum: c83ea7cc6a375f5630d96abb03dffd00 Description: mail/news client with RSS and integrated spam filter support Icedove is an unbranded Thunderbird mail client suitable for free distribution. It supports different mail accounts (POP, IMAP, Gmail), has an integrated learning Spam filter, and offers easy organization of mails with tagging and virtual folders. Also, more features can be added by installing extensions. . The goal of Icedove is to produce a cross platform standalone mail application using the XUL user interface language. . This is a transitional package. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove_52.8.0-1~deb7u1_all.deb Package: icedove-bidiui Source: bidiui Version: 0.9.6-1 Installed-Size: 352 Maintainer: Debian Hebrew Packaging Team Architecture: all Depends: icedove (>= 2.0) Suggests: user-he Size: 83696 SHA256: 0cf696683be579b9543ec05e24de0dc0f137d6417c4b719a390b9d7ff0003e8b SHA1: 3421bb69a53e89f0fc465a5939a3f54f9d8e50cc MD5sum: 3998ce7030fd0e1c565c65086c681f56 Description: BiDirectional support for Icedove An extension for Icedove (originally written for Thunderbird) that allows users to create emails with explicit paragraph directionality, as needed for proper recipient rendering of emails with bidi languages, such as Hebrew, Arabic, Farsi, Urdu or Yiddish. . The extension adds "BiDi" controls, setting the paragraph direction, as well as automatic direction sensing for unformatted text messages, and direction overrides. Homepage: http://bidiui.mozdev.org/mail/ Section: mail Priority: optional Filename: pool/main/b/bidiui/icedove-bidiui_0.9.6-1_all.deb Package: icedove-dbg Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-dbg Size: 97248 SHA256: 51d25fc65358f355c13410856978801adb57d772b7218844858f5894f4a39d38 SHA1: 12e09d31e7d6e5652e39e75ff2d5babe8f121a0f MD5sum: c43f89f2d5486eabe63b1e62a1198283 Description: Debug Symbols for Icedove Icedove is an unbranded Thunderbird mail client suitable for free distribution. It supports different mail accounts (POP, IMAP, Gmail), has an integrated learning Spam filter, and offers easy organization of mails with tagging and virtual folders. Also, more features can be added by installing extensions. . This package contains the debug symbols for Icedove. Install this package if you need to debug such a program or if you need a useful backtrace of a crash. . This is a transitional package. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-dbg_52.8.0-1~deb7u1_all.deb Package: icedove-dev Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-dev (>= 1:52.8.0-1~deb7u1) Size: 97248 SHA256: a83d226e1253b791371b8a81c8e496a9583de7f1244c977db156d646315d2b41 SHA1: fc40a174aacd85608103a76aee03ea43a3301759 MD5sum: 278c4a4ee3eb51bbe20c2ea7e0519066 Description: Development files for Icedove Icedove is an unbranded Thunderbird mail client suitable for free distribution. It supports different mail accounts (POP, IMAP, Gmail), has an integrated learning Spam filter, and offers easy organization of mails with tagging and virtual folders. Also, more features can be added by installing extensions. . This package contains the development headers, idl files and static libraries needed to develop applications using Icedove. . This is a transitional package. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-dev_52.8.0-1~deb7u1_all.deb Package: icedove-dispmua Source: dispmua Version: 1.6.8-1 Installed-Size: 31 Maintainer: Debian Mozilla Extension Maintainers Architecture: all Depends: xul-ext-dispmua Size: 7426 SHA256: 575188b2650e0be9eac0a3430585979dfecc6afcedfea924967e0485cb29b371 SHA1: a3c7c67086dbecf81302b2bd319981cba6e764e7 MD5sum: 18b3f7d0d5fa17f3579b2eaddc936122 Description: display Mail User Agent extension for Icedove (transitional package) Adds an image on the right side of the "expanded header view" which displays the icon of the application with which the currently selected mail was written. . This is a transitional package that depends on xul-ext-dispmua. It can be safely removed. Homepage: http://www.juergen-ernst.de/addons/dispmua.html Tag: role::plugin, suite::mozilla Section: oldlibs Priority: extra Filename: pool/main/d/dispmua/icedove-dispmua_1.6.8-1_all.deb Package: icedove-gcontactsync Source: gcontactsync Version: 0.3.5-1 Installed-Size: 56 Maintainer: Debian Mozilla Extension Maintainers Architecture: all Depends: icedove (>= 2.0.0.8), xul-ext-gcontactsync Size: 11776 SHA256: e5b167d0f4798696468755ad7abeb6245c998b8a6c7d687194bbc5c32115697d SHA1: f6b742273fa1302ab48c38ecc8a594767bf18771 MD5sum: 5808786f5f0bc2ffbda9e568074424f1 Description: synchronize contacts from Gmail into IceDove - dummy package This is a transitional dummy package to ease the migration from the old icedove-gcontactsync to the new xul-ext-gcontactsync package. You can safely remove it. Homepage: http://gcontactsync.mozdev.org/ Tag: implemented-in::ecmascript, role::plugin, suite::mozilla, works-with::pim Section: mail Priority: optional Filename: pool/main/g/gcontactsync/icedove-gcontactsync_0.3.5-1_all.deb Package: icedove-l10n-all Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-all (>= 1:52.8.0-1~deb7u1) Size: 97154 SHA256: c7023a3589a01925d45c3a147751d37a37ad9bc5f08d963972fcbf29adeed903 SHA1: e71049583a0596399c516fef727a2073ef58ead4 MD5sum: 5c2af44452248082ec131ebfb6e14183 Description: All language packages for Icedove (meta) - Transitional package Thunderbird is an mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package is a metapackage depending on all available localization of Thunderbird. . This is a transitional package. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-all_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-ar Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-ar (>= 1:52.8.0-1~deb7u1) Size: 97156 SHA256: 290c56f1f413b7aa6b9f51b1ac1c14097b231144537104ffd7af22e178084901 SHA1: 0959a528273a27f43bd27d913c16aa972c6be287 MD5sum: d116c09bb1f333611f611716ae30e828 Description: Arabic language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Arabic. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:ar Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-ar_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-ast Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-ast (>= 1:52.8.0-1~deb7u1) Size: 97166 SHA256: a9be09b55cd5827f3ede74292afdccc13008e9b1f6fa94dd7e60098a2622d7a9 SHA1: b3236010e50b5c17d965bb86114c95c50cb92a54 MD5sum: 1b42087e3ca7eb018484b085c6707d74 Description: Asturian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Asturian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:ast Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-ast_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-be Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-be (>= 1:52.8.0-1~deb7u1) Size: 97166 SHA256: 94c5afb268f5120d399879d0c01aca98a933d2e4f36a26b3fd69e287269119f2 SHA1: 83f8fbc068f27346e403adaa6c7240dcdefb76f2 MD5sum: 615c1e65bcfb7890e63075538dd35b59 Description: Belarusian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Belarusian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:be Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-be_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-bg Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-bg (>= 1:52.8.0-1~deb7u1) Size: 97160 SHA256: a395b8d99e735d10e466cc789995f634b64f830953a09627f67be257aae1ded7 SHA1: 9b7ee341aef2637feac34d9072e2c0df541cc5cd MD5sum: aeb5de7bc735ea7d29b4ab6e9056f1d1 Description: Bulgarian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Bulgarian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:bg Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-bg_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-bn-bd Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-bn-bd (>= 1:52.8.0-1~deb7u1) Size: 97172 SHA256: c5a9901c4a08050ceca78adfce5ece5fa4050041ba2e08ae112a22921412cdf1 SHA1: 1ac2c3d905b2996f210c09eb0c7b7b65a4a2f5a7 MD5sum: 2a317d2bdd9602765d20982b54436a9f Description: Bengali language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Bengali. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:bd-BD Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-bn-bd_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-br Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-br (>= 1:52.8.0-1~deb7u1) Size: 97162 SHA256: 9b3633d4b4069b63d5a6b546f002dfd2ccdf8c1a692cf654cb7fff4825524df6 SHA1: 962173f750a57a37009d992350643b0d816c2ae0 MD5sum: dce1669e89e4d034e744c82a03eb2f35 Description: Breton language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Breton. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:br Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-br_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-ca Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-ca (>= 1:52.8.0-1~deb7u1) Size: 97168 SHA256: a7c9179775a05fb9164a7b1f5c3131b2d0d268923611d694aa2da5b353ae6590 SHA1: 7f91bfab47e3aa6934b6cd131d81967edad85495 MD5sum: 36433bbe76568c25a6685b4a144e1b1d Description: Catalan/Valencian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Catalan/Valencian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:ca Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-ca_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-cs Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-cs (>= 1:52.8.0-1~deb7u1) Size: 97158 SHA256: 704f809efeb23e15726b5a5adafcc8be1f3c3e94663e3d4c906de32957a8fb88 SHA1: 2f451d5766e2b3312b148a738d16bd9130a547c4 MD5sum: 97b9339c2e029b022821c4c0e8b44c97 Description: Czech language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Czech. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:cs Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-cs_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-da Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-da (>= 1:52.8.0-1~deb7u1) Size: 97156 SHA256: 71a06fa7a74d59d6eef61efd4d04ca82d525d059b1013097e2d017b2ba2aaaa3 SHA1: 598262b515d79a31d06e8a66582cba6663dd08a4 MD5sum: 3137d6f643ed81e7e15172fcfc9376fd Description: Danish language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Danish. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:da Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-da_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-de Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-de (>= 1:52.8.0-1~deb7u1) Size: 97160 SHA256: b8faba53efaf084c28dbd173aa72e0202ba42956d984dbc5055a5e8229ff15f0 SHA1: 47f6ccb8ec78aaa67caa49511a77bba752e3f9c0 MD5sum: c67d7f61b8ab501c6c6a9d7513ea4e7d Description: German language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in German. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:de Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-de_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-dsb Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-dsb (>= 1:52.8.0-1~deb7u1) Size: 97164 SHA256: 99b229fe444e49360545ef44ad8c3897dd36e827f5dd08e21e3edc4accd9cd54 SHA1: bb43da95eaa9c0c95312d91cfdbd82144a8df442 MD5sum: d7751e9407714a2d6e476bd9e4dade1c Description: Lower Sorbian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Lower Sorbian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:dsb Section: oldlibs Priority: extra Filename: pool/main/t/thunderbird/icedove-l10n-dsb_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-el Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-el (>= 1:52.8.0-1~deb7u1) Size: 97162 SHA256: 71c912b83831475b0dd782e1afa2653ab55157fa95c67694b6d241d71b7e6bb3 SHA1: 2bcc1f4d5f4e23c3be78fe435dad59ab85fcdfaa MD5sum: 81a7396b9ef8e3f4d961561195570f1a Description: Greek language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Greek. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:el Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-el_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-en-gb Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-en-gb (>= 1:52.8.0-1~deb7u1) Size: 97184 SHA256: c229feccb7895546d7a5dc2ec47c7499819b07b7c947219dfbbc4a57be0bf9df SHA1: 21f5020e12f20e051b64265c9f14af02d737897b MD5sum: 583ef0e599be20145ff561595e030cde Description: English (Great Britain) language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in English (Great Britain). . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:en-GB Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-en-gb_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-es-ar Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-es-ar (>= 1:52.8.0-1~deb7u1) Size: 97180 SHA256: d5e7679b813cf5574d754da096e6e2213ba3eb1c5de54fcff25ab4377f92f6e6 SHA1: 81e5124775ab4cec9f3f8bb3dc1416d1710b326b MD5sum: e7906a41fc98b22bb3c87e783ee88ba3 Description: Spanish (Argentina) language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Spanish (Argentina). . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:es-AR Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-es-ar_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-es-es Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-es-es (>= 1:52.8.0-1~deb7u1) Size: 97172 SHA256: 244c15cadc30c76736381f95b5814dc102cfa9c307b383e47a02cb6163cf82a5 SHA1: 2d678dd8c78dfbf56953a4900d179bf5e385aafa MD5sum: 7fe8f50b1c17d2b526db3d0dff483718 Description: Spanish (Spain) language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Spanish (Spain). . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:es-ES Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-es-es_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-et Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-et (>= 1:52.8.0-1~deb7u1) Size: 97158 SHA256: 570bde4904cde95fbe7ee2c5d5c27bbb0789cb240c73f68fc7d1c4416ef41752 SHA1: f14d3c30c75bcc92e0ab10665826718319cfdaa9 MD5sum: 23c5b8a47023c475e96c40398785b725 Description: Estonian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Estonian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:et Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-et_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-eu Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-eu (>= 1:52.8.0-1~deb7u1) Size: 97154 SHA256: e2de72eb7cabaf96bea63131fc45aeb890e1a5321a5d6dc1595fd08f791894c7 SHA1: 62b0699ec06e307739e9fac169b129cbf3cc07c9 MD5sum: a31f976ef0ed42ffdc0c11cc0f6a6f01 Description: Basque language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Basque. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:eu Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-eu_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-fi Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-fi (>= 1:52.8.0-1~deb7u1) Size: 97160 SHA256: ec7113ab1f28f0ee715597736a1cd38eac5c0a662549aa633560f0c1d7103a70 SHA1: 0478bbf1772a0b3098461ae5131e34f25c20ec6f MD5sum: fa7dde9a99ff3cd53dad74d5f7da9b09 Description: Finnish language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Finnish. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:fi Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-fi_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-fr Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-fr (>= 1:52.8.0-1~deb7u1) Size: 97152 SHA256: 4851690704551654f132ab1e69754f9194ab7ba06e47dd340e9b00391e8cbcbf SHA1: 84aa33c4fa44cd10a601535c52e49d6fcc3c8a33 MD5sum: bd5ad66c402306c4cd8e827c5540c52b Description: French language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in French. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:fr Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-fr_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-fy-nl Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-fy-nl (>= 1:52.8.0-1~deb7u1) Size: 97174 SHA256: 740eecef326f58cbf4e9a17c43bc5e7cc1f0ce7fc0ddbffe050ed8cce338ab0e SHA1: 67a87929d245f3ec262680b6601a1dfff4698742 MD5sum: 42883db8851bf792cff04fcf65acb399 Description: Frisian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Frisian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:fy-NL Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-fy-nl_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-ga-ie Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-ga-ie (>= 1:52.8.0-1~deb7u1) Size: 97182 SHA256: dbbe53420d290c2da498fc4a70c5b2ca6acbee53b6c2269567d1700261aa74dc SHA1: e81c74db8c89e3751df31feb72dcbc2a97b30fbf MD5sum: 66d2a5af0ba7b97891fce2e11693d03c Description: Irish (Ireland) language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Irish (Ireland). . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:ga-IE Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-ga-ie_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-gd Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-gd (>= 1:52.8.0-1~deb7u1) Size: 97170 SHA256: 14e5fd564ee59fc11b2d4acea7d677a1b9ae2125e5a5668cc475228ce42e5055 SHA1: 82b97a6e473f58238fcad2f9c0f3191114dba5d8 MD5sum: b6fdddf5b05fdbe0319804b04a19a8f3 Description: Gaelic (Scottish) language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Gaelic (Scottish). . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:gd Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-gd_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-gl Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-gl (>= 1:52.8.0-1~deb7u1) Size: 97162 SHA256: 41b6be454e78e8f5f60623944c4103b3f290bfc14d2be71b8d7d44e73a5b9dd2 SHA1: d51e28317bdf17bb9e6f894f94110d0babcbbdb2 MD5sum: 4212ec906b3d7ab870151e45ff6bd85b Description: Galician language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Galician. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:gl Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-gl_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-he Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-he (>= 1:52.8.0-1~deb7u1) Size: 97162 SHA256: 8cc59abe3b2cbbaa7367fa0c6b8c7e8cd57f3d689f210129962db6fab19ac597 SHA1: a3d52c11a5c95fa67fd0a4f3cd90cf33db854e0a MD5sum: f5f11bbeeb631a1a8651c325db81a87e Description: Hebrew language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Hebrew. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:he Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-he_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-hr Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-hr (>= 1:52.8.0-1~deb7u1) Size: 97160 SHA256: 48ee760319f0e87440ab589fcdd331e427387529afc7183130b308e94d14f2d3 SHA1: ca32f4af05019c1b5327056bb4ce1ab503a0b07a MD5sum: 5cfc77fb47442821b5c8c54152710662 Description: Croatian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Croatian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:hr Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-hr_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-hsb Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-hsb (>= 1:52.8.0-1~deb7u1) Size: 97166 SHA256: 08824141c521db122c4b9eb1e283584d6a6c5623a012e86e9b060ccba577ac85 SHA1: c23a217bcca085a38d050bc5d6fc56e6ca37352e MD5sum: 5b756b0a31ea859d6a04737916ae4e5a Description: Upper Sorbian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Upper Sorbian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:hsb Section: oldlibs Priority: extra Filename: pool/main/t/thunderbird/icedove-l10n-hsb_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-hu Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-hu (>= 1:52.8.0-1~deb7u1) Size: 97160 SHA256: d27c0331fbd26ae304420ea2b197976bc969bd51d3b1b426c189592b19228186 SHA1: 99cefae252dd2746c91955d0252bc33938ea37db MD5sum: 6dd1e8ca7e9d46fb214314befb3bc25f Description: Hungarian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Hungarian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:hu Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-hu_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-hy-am Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-hy-am (>= 1:52.8.0-1~deb7u1) Size: 97168 SHA256: 7ca3bb30cb2031dee441ff7fc141b69b9b83d8acb1f17f25cd27b2217575fb23 SHA1: eecf7019eb37e8055815789a28c3a644ce6ed5d2 MD5sum: 0f24e46ee25a6e5fe9273e7b9f61cde5 Description: Armenian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Armenian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:hy-AM Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-hy-am_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-id Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-id (>= 1:52.8.0-1~deb7u1) Size: 97162 SHA256: f21107dddbb3fd66fa27ba1e4fd3bba3da28e6fcaac0041ce42f2cd2cffc5712 SHA1: faa63ad57ae08c88f5cc4827d2a937c04ee57735 MD5sum: 01e312c52341e827f53b292dfe36b1f2 Description: Indonesian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Indonesian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:id Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-id_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-is Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-is (>= 1:52.8.0-1~deb7u1) Size: 97158 SHA256: 1e856ae239fc88fd727ea78a6995862042c63fd100071537098c4ec2a2275569 SHA1: 8d8adc48ddf08a466e787521344f882826e2b70c MD5sum: a00f938db7e1259ceb285dc1cd6bb3c8 Description: Icelandic language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Icelandic. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:is Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-is_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-it Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-it (>= 1:52.8.0-1~deb7u1) Size: 97156 SHA256: fbd7b7eba467f27a63227e6da89665d7ed170ac3845fbf8392e272cbb10bd493 SHA1: bbfc4b71324b76a8e02cc9605f94555030e3a382 MD5sum: ba8845594b5527b3e9fd05dcb06537f5 Description: Italian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Italian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:it Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-it_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-ja Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-ja (>= 1:52.8.0-1~deb7u1) Size: 97162 SHA256: 9355dd22cfa02174098d8000fb5fa663e5cca9c5fd00825cfa380c8f15cf7514 SHA1: 66bbc6d84678971d0b658924afc27d5cddf8a0ad MD5sum: fa5b7cb1ee6177eb3c8945030aae6036 Description: Japanese language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Japanese. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:ja Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-ja_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-kab Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-kab (>= 1:52.8.0-1~deb7u1) Size: 97154 SHA256: 18f67a1845f2570635386e80afd5b58f0c6c06777060e126c349a2b3d7168154 SHA1: d86bb6ebba0744a14c38b18f5b13aabe017f1a69 MD5sum: f25fd25c86b7f8b1d465863f3a6485bb Description: Kabyle language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Kabyle. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:kab Section: oldlibs Priority: extra Filename: pool/main/t/thunderbird/icedove-l10n-kab_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-ko Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-ko (>= 1:52.8.0-1~deb7u1) Size: 97158 SHA256: bd234da4845a63f522dc6d33f2675b89e0479567ff1b564dce120e72f54072de SHA1: e518f1727df70f22177e83cefdb1b655f9fd6078 MD5sum: ddb0e69576d30dd7a9920ed743492d1f Description: Korean language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Korean. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:ko Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-ko_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-lt Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-lt (>= 1:52.8.0-1~deb7u1) Size: 97162 SHA256: 47ba721063061ac4f6537011af2736e7221e306f9cf527d0192ebb07ad418b3e SHA1: a6bb4ad4e1c092410acc5ef7fb13c92f72481b7b MD5sum: 5fe113384d5477fbbf4f4cdb4615cd77 Description: Lithuanian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Lithuanian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:lt Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-lt_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-nb-no Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-nb-no (>= 1:52.8.0-1~deb7u1) Size: 97182 SHA256: 32388a0debd2ce4e1ce6c65c18bd56b30e2219fe922786862554510e476a602e SHA1: 4a587e40b999ad425bc4f56b255f26cdaf917f7a MD5sum: 8e528ce5efd260e5e495cc5c2bd2174b Description: Bokmaal (Norway) language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Bokmaal (Norway). . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:nb-NO Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-nb-no_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-nl Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-nl (>= 1:52.8.0-1~deb7u1) Size: 97156 SHA256: a57fea347b5b1debf40567321b7ab713b781197b9d0054fffc264eacd168e46a SHA1: 726c25a9193a09b177dd117bbe68162fded43f32 MD5sum: 88c8519518f34d01c0bcb197a893251c Description: Dutch language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Dutch. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:nl Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-nl_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-nn-no Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-nn-no (>= 1:52.8.0-1~deb7u1) Size: 97180 SHA256: 5df7c91b1dead76fc4b1d7a1a23b65c59d2da60601bcfb42861d63cd1b9afbde SHA1: 4c25f1242b68822f94c2a08bef02c08efe0a6f6e MD5sum: e394cf9fad186dc0f3243fd2a6d9fae5 Description: Nynorsk (Norway) language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Nynorsk (Norway). . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:nn-NO Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-nn-no_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-pa-in Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-pa-in (>= 1:52.8.0-1~deb7u1) Size: 97176 SHA256: abb214557b70d2b0515a20182f01f58a3f27da46096df75fbfb510274d03f35b SHA1: 97a0076d905738a3643ff40d9aa58c90284fffd9 MD5sum: d8e80305ee30652d3994de366c6ff23e Description: Punjabi (India) language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Punjabi (India). . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:pa Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-pa-in_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-pl Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-pl (>= 1:52.8.0-1~deb7u1) Size: 97158 SHA256: 9fbb660d285c79fd07e4b5b180d5d5261a9ed2f6ac0b54454c6e2ccb3e8d6348 SHA1: 855b4c973a768d588dcf411bf64dcdd44d99eb02 MD5sum: d5cedbe0dfae54f5a3b9e43b55e97f9c Description: Polish language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Polish. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:pl Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-pl_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-pt-br Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-pt-br (>= 1:52.8.0-1~deb7u1) Size: 97178 SHA256: 2e79344e30d2db64f7be18db5986fac7771f96d5bca37690a6ac812775495c2d SHA1: e5bbe9f59a7c589fe062f385d6f24f0585e6b9d0 MD5sum: ff56a59a81c45c952e37fadc4fb6dd91 Description: Portuguese (Brazil) language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Portuguese (Brazil). . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:pt-BR Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-pt-br_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-pt-pt Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-pt-pt (>= 1:52.8.0-1~deb7u1) Size: 97180 SHA256: 9680c42e3396654bb28e2d4b7820cbc6341ecc9038b12c7073b4018780cb7cf0 SHA1: 6fa0796708a9b51f6a6151b4703dd2e6ce54f39c MD5sum: b273c59cd19adc4a9241467a33b9f3cb Description: Portuguese (Portugal) language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Portuguese (Portugal). . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:pt-PT Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-pt-pt_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-rm Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-rm (>= 1:52.8.0-1~deb7u1) Size: 97162 SHA256: 2ec751dc1e7578c672938dc4f23a12a073e1907a2fc3e8b2854902c686846743 SHA1: 1610b3961d323cca12f33916082620e77e93719c MD5sum: 71dc8a084b7b0cd39081d39a947b78b5 Description: Romansh language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Romansh. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:rm Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-rm_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-ro Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-ro (>= 1:52.8.0-1~deb7u1) Size: 97162 SHA256: 413dabd4e35301e423f008463d73d595a7396a53ab5efd34f212b3e9ef8a385d SHA1: 9e4f3110c10f322dc350ee3e403f3a1b24f6eed8 MD5sum: 2a3df024b4788fd067c17a78cae312f5 Description: Romania language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Romania. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:ro Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-ro_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-ru Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-ru (>= 1:52.8.0-1~deb7u1) Size: 97164 SHA256: 4ce691a9a5f25070ae71c3a3b1a21c25f651a805047e0512c0ac5e2c17f91279 SHA1: 7f09d1f437be2eac53625543ab4415b968909062 MD5sum: a0a69b1045ebd252ef7e8d16bd7189cf Description: Russian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Russian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:ru Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-ru_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-si Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-si (>= 1:52.8.0-1~deb7u1) Size: 97160 SHA256: df58b1e2ae5d0b681c065a5c494d5f917b54acea58ec65fdcccad48345a9de4b SHA1: 903a81881ed808e2bb7f04936228f5f1d033942c MD5sum: b478e5ceacd6dcb97b152876fba27061 Description: Sinhala language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Sinhala. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:si Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-si_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-sk Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-sk (>= 1:52.8.0-1~deb7u1) Size: 97164 SHA256: 9831302e15f7c5d2a4e0856b70f76ba04ca1b4f417c87c3e42023383190c67db SHA1: 468aa8ac84205bed13981e0a5f11c53e9363af3a MD5sum: d847acb090015d58cb8ebab93491ef1b Description: Slovak language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Slovak. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:sk Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-sk_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-sl Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-sl (>= 1:52.8.0-1~deb7u1) Size: 97164 SHA256: d6d373749bac5fc187c69b48b1a5fa7749b7a39b9d224f5139bf5eaebd8ef41b SHA1: 175d8b1d010d72baca53961d690ab20b5953cd1c MD5sum: aeb4563283fa3401e6260db86715a28f Description: Slovenian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Slovenian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:sl Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-sl_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-sq Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-sq (>= 1:52.8.0-1~deb7u1) Size: 97162 SHA256: 2471a64ff708153d30b0e37daa837acce54e5a4e8a5bfa5b96267da923f93615 SHA1: 56c1d307343bb3e072287e68fabb21f141ecab5c MD5sum: c51e4016518e919d2b8510ed7550e739 Description: Albanian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Albanian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:sq Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-sq_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-sr Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-sr (>= 1:52.8.0-1~deb7u1) Size: 97162 SHA256: 0832254e17bf37f17dd844679e36afea31c65b9b6bcd59ad9a65e0b15565f8cd SHA1: 738beeacab412ac40b04634634fc02d467392fa5 MD5sum: 2ed6ac2a91d3c3aef676c555144b182f Description: Serbian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Serbian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:sr Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-sr_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-sv-se Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-sv-se (>= 1:52.8.0-1~deb7u1) Size: 97176 SHA256: 03867f9ffc42665d4449e80ad6b233b9ac6e80ea6e8d323af4ec426439802130 SHA1: 726fc9cd277fd31b364add3f6d14c4aae860db26 MD5sum: ed159e3524b103f9c3539a340f9b19be Description: Swedish (Sweden) language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Swedish (Sweden). . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:sv-SE Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-sv-se_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-ta-lk Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-ta-lk (>= 1:52.8.0-1~deb7u1) Size: 97168 SHA256: 3e12f2a8ee683480efb24eb771980535d14919e5dde361c9cefdf5b18b44316f SHA1: 13a308df8f4f6380b0369a39a8da00659806babc MD5sum: 79e3c1e3f90b741ed453e80adfd3c3fe Description: Tamil language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Tamil. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:ta-LK Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-ta-lk_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-tr Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-tr (>= 1:52.8.0-1~deb7u1) Size: 97160 SHA256: 08137c843b273c44f84459a07eec2d76d29f3979c1ebfdf9b2f7e9ae618d5309 SHA1: c62c8e7f1e32ef89fd2cd07b4676955cb6a07ee8 MD5sum: a36ffbd846ddcdcb1ca2c4cfa7d011cb Description: Turkish language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Turkish. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:tr Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-tr_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-uk Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-uk (>= 1:52.8.0-1~deb7u1) Size: 97164 SHA256: 67e5d53dfa22095ba9f31689612202e2f45be631194829540e5cf6ef46364bae SHA1: 6971e4fbb56240ddeb7fec632b9a279e2d2bb838 MD5sum: 447c0c4cd3c838349bed4e74dd484d37 Description: Ukrainian language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Ukrainian. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:uk Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-uk_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-vi Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-vi (>= 1:52.8.0-1~deb7u1) Size: 97164 SHA256: 19fc3d3a096ce596d147945817787d8e6af79910da58572b398a8a9582d2bb93 SHA1: 86785deb60cf006f54782db9b09432c75a42ad9b MD5sum: 07f68339eee95630af975f956fd83762 Description: Vietnamese language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Vietnamese. . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:vi Section: localization Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-vi_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-zh-cn Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-zh-cn (>= 1:52.8.0-1~deb7u1) Size: 97180 SHA256: 084758f03eac0132f14148e7fb44bbf0d8376d58b7ee8a98bd9b0dcbcffe9360 SHA1: 4825fe79585144e992bf6f78db9a2bfdbf8a1086 MD5sum: 469e3dc04b1c9e13a8ccfbd79ab1c1ed Description: Chinese (China) language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Chinese (China). . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:zh-CN Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-zh-cn_52.8.0-1~deb7u1_all.deb Package: icedove-l10n-zh-tw Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: thunderbird-l10n-zh-tw (>= 1:52.8.0-1~deb7u1) Size: 97176 SHA256: 5992f9661a693e442d68dedabd394b0653b603e014405519494704b89e2dbac9 SHA1: 723be64de9aea135b027070e862cfa206318e718 MD5sum: a2af6c3dac56e4a89469f57ee1a7e197 Description: Chinese (Taiwan) language package for Icedove - Transitional package Icedove is an unbranded Thunderbird mail client suitable for free distribution. The goal of Thunderbird is to produce a cross platform stand-alone mail application using the XUL user interface language. . This package contains the localization of Icedove in Chinese (Taiwan). . This is a transitional package. It can be safely removed. Homepage: https://wiki.mozilla.org/L10n:Teams:zh-TW Section: mail Priority: optional Filename: pool/main/t/thunderbird/icedove-l10n-zh-tw_52.8.0-1~deb7u1_all.deb Package: icedove-quotecolors Source: quotecolors Version: 0.3-3 Installed-Size: 27 Maintainer: Debian Mozilla Extension Maintainers Architecture: all Depends: xul-ext-quotecolors Size: 3072 SHA256: 8e7d2cf31a21bb4b71e671d178b3262d12e3156c6f4e704565e155364bcf916e SHA1: 32e07acb3f83f7d4c31e904893964f285c013e53 MD5sum: 03ff41b37c756be3116ace30f244e4da Description: Colorize different quoting levels in e-mail messages (transitional package) With this extensions installed up to five quoting levels can be displayed in different colors making it easier to read e-mails with lots of quoted replies. Foreground and background colors can be customised. . This is a transitional package that depends on xul-ext-quotecolors. It can be safely removed. Homepage: http://quotecolors.mozdev.org/ Tag: role::plugin, works-with::mail Section: oldlibs Priority: extra Filename: pool/main/q/quotecolors/icedove-quotecolors_0.3-3_all.deb Package: icedtea-6-jre-cacao Source: openjdk-6 Version: 6b38-1.13.10-1~deb7u1+rpi1 Architecture: armhf Maintainer: OpenJDK Team Installed-Size: 1753 Depends: openjdk-6-jre-headless (= 6b38-1.13.10-1~deb7u1+rpi1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Provides: icedtea6-jre-cacao Multi-Arch: same Homepage: http://openjdk.java.net/ Priority: extra Section: java Filename: pool/main/o/openjdk-6/icedtea-6-jre-cacao_6b38-1.13.10-1~deb7u1+rpi1_armhf.deb Size: 780714 SHA256: 651460d5b58d1c6d49ba358de700873febd24e38937f081b1e845b2ea9ab2d10 SHA1: 83dc772e8959139e312261f10418b9c4d357ba74 MD5sum: e591310cf73e3e59a4c7f9acbb793413 Description: Alternative JVM for OpenJDK, using Cacao The package provides an alternative runtime using the Cacao VM and the Cacao Just In Time Compiler (JIT). This is a somewhat faster alternative than the Zero port on architectures like alpha, armel, m68k, mips, mipsel, powerpc and s390. . The VM is started with the option `-cacao'. See the README.Debian for details. Package: icedtea-6-jre-jamvm Source: openjdk-6 Version: 6b38-1.13.10-1~deb7u1+rpi1 Architecture: armhf Maintainer: OpenJDK Team Installed-Size: 1467 Depends: openjdk-6-jre-headless (= 6b38-1.13.10-1~deb7u1+rpi1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://openjdk.java.net/ Priority: extra Section: java Filename: pool/main/o/openjdk-6/icedtea-6-jre-jamvm_6b38-1.13.10-1~deb7u1+rpi1_armhf.deb Size: 566020 SHA256: e6bed452b9232bc8675f5ea61c4669616b22c774e79bebb95e8409bd070da073 SHA1: cfda39334e23d64b638855be8eae9e00f2d2bca2 MD5sum: 399eb66c03ece3f67b2d24fb33d16d14 Description: Alternative JVM for OpenJDK, using JamVM The package provides an alternative runtime using the JamVM. This is a somewhat faster alternative than the Zero port on architectures like armel, mips, mipsel, powerpc. . The VM is started with the option `-jamvm'. See the README.Debian for details. Package: icedtea-6-plugin Source: icedtea-web Version: 1.4-3~deb7u2 Architecture: armhf Maintainer: OpenJDK Team Installed-Size: 252 Depends: openjdk-6-jre, icedtea-netx (= 1.4-3~deb7u2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libstdc++6 (>= 4.6) Conflicts: icedtea-gcjwebplugin (<< 1.0-1ubuntu4), icedtea-plugin (<< 1.2~pre1-1~), icedtea6-plugin (<< 6b21.2~pre1-1~) Replaces: icedtea-gcjwebplugin, icedtea-plugin (<< 1.2~pre1-1~), openjdk-6-jre (<< 6b18-1.8.7-3) Provides: icedtea6-plugin Multi-Arch: same Homepage: http://icedtea.classpath.org/wiki/IcedTea-Web Priority: extra Section: web Filename: pool/main/i/icedtea-web/icedtea-6-plugin_1.4-3~deb7u2_armhf.deb Size: 85386 SHA256: 1301e508fdd08e42a59112b309fd32dce670bde92aba14ea63a7f3236045df1d SHA1: 3daa0c3bf98596bc15373a7eb9ad3572f2ad1dbe MD5sum: 9b7879bb7d42a57a5ed359cb040afa5f Description: web browser plugin based on OpenJDK and IcedTea to execute Java applets IcedTeaPlugin is a web browser plugin to execute Java applets, supporting LiveConnect/JavaScript. It is targeted for xulrunner-1.9 and compatible browsers that support the NPAPI. Npp-Applications: ec8030f7-c20a-464f-9b0e-13a3a9e97384, 92650c4d-4b8e-4d2a-b7eb-24ecf4f6b63a, aa5ca914-c309-495d-91cf-3141bbb04115 Npp-Description: IcedTea Java Web Browser Plugin for OpenJDK 6 (execution of applets on web pages) Npp-Mimetype: application/x-java-vm, application/x-java-applet, application/x-java-applet;version=1.1, application/x-java-applet;version=1.1.1, application/x-java-applet;version=1.1.2, application/x-java-applet;version=1.1.3, application/x-java-applet;version=1.2, application/x-java-applet;version=1.2.1, application/x-java-applet;version=1.2.2, application/x-java-applet;version=1.3, application/x-java-applet;version=1.3.1, application/x-java-applet;version=1.4, application/x-java-applet;version=1.4.1, application/x-java-applet;version=1.4.2, application/x-java-applet;version=1.5, application/x-java-applet;version=1.6, application/x-java-applet;jpi-version=1.6.0_00, application/x-java-bean, application/x-java-bean;version=1.1, application/x-java-bean;version=1.1.1, application/x-java-bean;version=1.1.2, application/x-java-bean;version=1.1.3, application/x-java-bean;version=1.2, application/x-java-bean;version=1.2.1, application/x-java-bean;version=1.2.2, application/x-java-bean;version=1.3, application/x-java-bean;version=1.3.1, application/x-java-bean;version=1.4, application/x-java-bean;version=1.4.1, application/x-java-bean;version=1.4.2, application/x-java-bean;version=1.5, application/x-java-bean;version=1.6, application/x-java-bean;jpi-version=1.6.0_00 Npp-Name: The IcedTea Web Browser Plugin Package: icedtea-7-jre-cacao Source: openjdk-7 Version: 7u151-2.6.11-1+deb7u1+rpi1 Architecture: armhf Maintainer: OpenJDK Team Installed-Size: 444 Depends: openjdk-7-jre-headless (= 7u151-2.6.11-1+deb7u1+rpi1) Multi-Arch: same Homepage: http://openjdk.java.net/ Priority: extra Section: java Filename: pool/main/o/openjdk-7/icedtea-7-jre-cacao_7u151-2.6.11-1+deb7u1+rpi1_armhf.deb Size: 312614 SHA256: 9a200f4b1277fa8f1eb8caa017279d655a25aaa9f7f38b9e2cf6e85ee74b87cc SHA1: 306dfeca820dc35700d05b678b3fdea979aeb7df MD5sum: 76e62b0fa7a5985061f5009d42d3d554 Description: Transitional package for obsolete Cacao JVM for OpenJDK Cacao support was removed for recent versions of OpenJDK 7. . This is a transitional package which can be safely removed. Package: icedtea-7-jre-jamvm Source: openjdk-7 Version: 7u151-2.6.11-1+deb7u1+rpi1 Architecture: armhf Maintainer: OpenJDK Team Installed-Size: 2023 Depends: openjdk-7-jre-headless (= 7u151-2.6.11-1+deb7u1+rpi1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://openjdk.java.net/ Priority: extra Section: java Filename: pool/main/o/openjdk-7/icedtea-7-jre-jamvm_7u151-2.6.11-1+deb7u1+rpi1_armhf.deb Size: 931294 SHA256: 29ddbdeab5c0c3e2bc9bda5793a7b2df9e8bd6bcc421e0f1238fdb841ad851d2 SHA1: e282aa94c8f15e505731f65c6c32a9a2cc6d6536 MD5sum: 13f44dfea5c093413650e5f98eebce8b Description: Alternative JVM for OpenJDK, using JamVM The package provides an alternative runtime using the JamVM. This is a somewhat faster alternative than the Zero port on architectures like armel, mips, mipsel, powerpc. . The VM is started with the option `-jamvm'. See the README.Debian for details. Package: icedtea-7-plugin Source: icedtea-web Version: 1.4-3~deb7u2 Architecture: armhf Maintainer: OpenJDK Team Installed-Size: 252 Depends: openjdk-7-jre, icedtea-netx (= 1.4-3~deb7u2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libstdc++6 (>= 4.6) Conflicts: icedtea-gcjwebplugin (<< 1.0-1ubuntu4), icedtea-plugin (<< 1.2~pre1-1~), icedtea6-plugin (<< 6b21.2~pre1-1~) Multi-Arch: same Homepage: http://icedtea.classpath.org/wiki/IcedTea-Web Priority: extra Section: web Filename: pool/main/i/icedtea-web/icedtea-7-plugin_1.4-3~deb7u2_armhf.deb Size: 85348 SHA256: b09c1f880cb05a9ce1fc5c8e1bfefd7e16e01b56a683b051efc4262f578be87a SHA1: b5484299d029ad2e9ab9483786c5e570891f4b5a MD5sum: a2d1c8fbfbe159cbdd9748f017d26bcb Description: web browser plugin based on OpenJDK and IcedTea to execute Java applets IcedTeaPlugin is a web browser plugin to execute Java applets, supporting LiveConnect/JavaScript. It is targeted for xulrunner-1.9 and compatible browsers that support the NPAPI. Npp-Applications: ec8030f7-c20a-464f-9b0e-13a3a9e97384, 92650c4d-4b8e-4d2a-b7eb-24ecf4f6b63a, aa5ca914-c309-495d-91cf-3141bbb04115 Npp-Description: IcedTea Java Web Browser Plugin for OpenJDK 7 (execution of applets on web pages) Npp-Mimetype: application/x-java-vm, application/x-java-applet, application/x-java-applet;version=1.1, application/x-java-applet;version=1.1.1, application/x-java-applet;version=1.1.2, application/x-java-applet;version=1.1.3, application/x-java-applet;version=1.2, application/x-java-applet;version=1.2.1, application/x-java-applet;version=1.2.2, application/x-java-applet;version=1.3, application/x-java-applet;version=1.3.1, application/x-java-applet;version=1.4, application/x-java-applet;version=1.4.1, application/x-java-applet;version=1.4.2, application/x-java-applet;version=1.5, application/x-java-applet;version=1.6, application/x-java-applet;version=1.7, application/x-java-applet;jpi-version=1.7.0_00, application/x-java-bean, application/x-java-bean;version=1.1, application/x-java-bean;version=1.1.1, application/x-java-bean;version=1.1.2, application/x-java-bean;version=1.1.3, application/x-java-bean;version=1.2, application/x-java-bean;version=1.2.1, application/x-java-bean;version=1.2.2, application/x-java-bean;version=1.3, application/x-java-bean;version=1.3.1, application/x-java-bean;version=1.4, application/x-java-bean;version=1.4.1, application/x-java-bean;version=1.4.2, application/x-java-bean;version=1.5, application/x-java-bean;version=1.6, application/x-java-bean;version=1.7, application/x-java-bean;jpi-version=1.7.0_00 Npp-Name: The IcedTea Web Browser Plugin Package: icedtea-netx Source: icedtea-web Version: 1.4-3~deb7u2 Architecture: armhf Maintainer: OpenJDK Team Installed-Size: 141 Depends: openjdk-6-jre (>= 6b23~pre10~) | openjdk-7-jre, icedtea-netx-common (>= 1.4-3~deb7u2) Conflicts: openjdk-6-jre (<< 6b18-1.8.7-3), openjdk-6-jre-headless (<< 6b18-1.8.7-3) Replaces: openjdk-6-jre (<< 6b18-1.8.7-3), openjdk-6-jre-headless (<< 6b18-1.8.7-3) Multi-Arch: same Homepage: http://icedtea.classpath.org/wiki/IcedTea-Web Priority: extra Section: java Filename: pool/main/i/icedtea-web/icedtea-netx_1.4-3~deb7u2_armhf.deb Size: 20732 SHA256: ed094e7b9e7d1889a9ffb599d4a31f54a9e15b7ce5a0c52f37f4967289305ed2 SHA1: cce271cd832402253b88c8c23232110fdd2894ae MD5sum: dbedc828b0f5d4c390bd56545c30afad Description: NetX - implementation of the Java Network Launching Protocol (JNLP) NetX provides a drop-in replacement for javaws (Java Web Start). Since upstream NetX is dormant, IcedTea is hosting and modifying the sources in the IcedTea-Web directory. . IcedTea's NetX currently supports verification of signed jars, trusted certificate storing, system certificate store checking, and provides the services specified by the jnlp API. Package: icedtea-netx-common Source: icedtea-web Version: 1.4-3~deb7u2 Installed-Size: 1022 Maintainer: OpenJDK Team Architecture: all Replaces: icedtea-netx (<< 1.2~), icedtea-plugin (<< 1.1.2-1~), openjdk-6-jre (<< 6b18-1.8.7-3), openjdk-6-jre-headless (<< 6b18-1.8.7-3) Conflicts: openjdk-6-jre (<< 6b18-1.8.7-3), openjdk-6-jre-headless (<< 6b18-1.8.7-3) Size: 855260 SHA256: c9207f8347d9ba230773257c663a86a051924762172e2ce611b915552550bffd SHA1: 936b8a7a2b469fed8aa8f793faa2846f72f7bbd7 MD5sum: adef257b2a6ac3c1b85467fcd55f9279 Description: NetX - implementation of the Java Network Launching Protocol (JNLP) NetX provides a drop-in replacement for javaws (Java Web Start). Since upstream NetX is dormant, IcedTea is hosting and modifying the sources in the IcedTea-Web directory. . This package contains the architecture independent files. Homepage: http://icedtea.classpath.org/wiki/IcedTea-Web Multi-Arch: foreign Section: java Priority: extra Filename: pool/main/i/icedtea-web/icedtea-netx-common_1.4-3~deb7u2_all.deb Package: icedtea-plugin Source: icedtea-web Version: 1.4-3~deb7u2 Installed-Size: 35 Maintainer: OpenJDK Team Architecture: all Depends: icedtea-6-plugin Size: 8716 SHA256: c6df9bbc852b7b124de00c445f124f5608965f19d728a6b6c4a5ed5b959b249a SHA1: 6d195dc710cc0d1d7ba5648bb7953fd9e9a5ad5b MD5sum: 3275fa51ee3d3a354215834e765c5947 Description: web browser plugin to execute Java applets (dependency package) IcedTeaPlugin is a web browser plugin to execute Java applets, supporting LiveConnect/JavaScript. It is targeted for xulrunner-1.9 and compatible browsers that support the NPAPI. . This is a dependency package, it can be safely removed after upgrade. Homepage: http://icedtea.classpath.org/wiki/IcedTea-Web Section: web Priority: extra Filename: pool/main/i/icedtea-web/icedtea-plugin_1.4-3~deb7u2_all.deb Package: icedtea6-plugin Source: icedtea-web (1.4-3~deb7u2) Version: 6b21.4-3~deb7u2 Installed-Size: 21 Maintainer: OpenJDK Team Architecture: all Depends: icedtea-6-plugin Size: 890 SHA256: 5ed34d0a1928d9ce9bb03f5b76748a7e2c0c70b55e95aafdb2a178372f5120c2 SHA1: 5f3358cf546c7b6e825f0b2948573f705cf405d7 MD5sum: 3d73669da656fef645c5740e04b08e50 Description: web browser plugin to execute Java applets (dependency package) IcedTeaPlugin is a web browser plugin to execute Java applets, supporting LiveConnect/JavaScript. It is targeted for xulrunner-1.9 and compatible browsers that support the NPAPI. . This is a dependency package, it can be safely removed after upgrade. Homepage: http://icedtea.classpath.org/wiki/IcedTea-Web Section: devel Priority: extra Filename: pool/main/i/icedtea-web/icedtea6-plugin_6b21.4-3~deb7u2_all.deb Package: icee-slice Source: zeroc-icee Version: 1.2.0-6.1 Installed-Size: 51 Maintainer: Francisco Moya Architecture: all Size: 11828 SHA256: d423264ccd8e4559ca7cc6baac2f4128527a0801c3f0f69716c5ee833a3f0a91 SHA1: 8380631ac333bb15378089fb5f3fde554c35b585 MD5sum: 13ca66c152f1ff0b295195b6186db9e0 Description: Slice definitions for ZeroC IceE services ZeroC Ice is a CORBA-like middleware solution. IceE is a subset of Ice used in embedded systems. This package installs the Slice definitions of standard IceE services on /usr/share/slice. Homepage: http://www.zeroc.com/ Section: devel Priority: optional Filename: pool/main/z/zeroc-icee/icee-slice_1.2.0-6.1_all.deb Package: icee-translators Source: zeroc-icee-translators Version: 1.2.0-6 Architecture: armhf Maintainer: Francisco Moya Installed-Size: 1308 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Conflicts: icecpp, slice2cppe (<< 1.2.0-5), slice2javae (<< 1.2.0-5) Replaces: icecpp, slice2cppe (<< 1.2.0-5), slice2javae (<< 1.2.0-5) Homepage: http://www.zeroc.com/ Priority: optional Section: devel Filename: pool/main/z/zeroc-icee-translators/icee-translators_1.2.0-6_armhf.deb Size: 570920 SHA256: f3ac997ab47266fd2de7a743651077102ebbb7af6787cf90729fc0e810461c8e SHA1: c0144488afacedabddde94ea5cf9645a70a2b1d5 MD5sum: f37e50de473bdf60fc0068c393ea9aac Description: ZeroC IceE Slice translators for C++ and Java ZeroC Ice is a CORBA-like middleware solution. Slice is the IDL used in Ice. IceE is a subset of Ice used in embedded systems. This package installs all of the available translators for IceE. Package: icegrid-gui Source: zeroc-ice Version: 3.4.2-8.2 Installed-Size: 3903 Maintainer: Francisco Moya Architecture: all Depends: default-jre, libjgoodies-looks-java, libjgoodies-forms-java Recommends: ice34-translators, ice34-services Size: 3036192 SHA256: abd7b78f690ef8e3a70233d43c3d484ce337cb8315167fc9d0918ad8670b1433 SHA1: 8dc7d49fcc411c1cae6ae2e800eed2c3e5bccfee MD5sum: d87a519a473414f1c42e50c49a6e896d Description: GUI for IceGrid service IceGrid is a sophisticated server activation and deployment tool for advanced grid computing with ZeroC Ice middleware (www.zeroc.com). . This package provides a graphical user interface for IceGrid. You will find more information in the non-free package zeroc-ice-manual. Homepage: http://www.zeroc.com/ Tag: role::program Section: devel Priority: optional Filename: pool/main/z/zeroc-ice/icegrid-gui_3.4.2-8.2_all.deb Package: iceowl-extension Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning (>= 1:52.8.0-1~deb7u1) Size: 97126 SHA256: 61759364f4e2392b236bd471655fd795dcb719bd131cfcb448e4322408e37cfb SHA1: 061bb7ed42016edf9c927f7efc5bbb066a213ec0 MD5sum: b2d5cdb560ef4bd04fa57654cba60f5b Description: Calendar Extension for Thunderbird/Icedove Iceowl Extension is an unbranded Lightning Calendar extension for Thunderbird/Icedove. It can handle events, event invitations and tasks in multiple calendars. . It supports local calendars, CalDAV and plain ics files on WebDAV. . This is a transitional package. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-extension_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-ar Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-ar (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: 91f10fc4aaf0416759b2a240c32b49ecf1ab9ed39ac9d659749269946cb6b79d SHA1: 360498fae30f0a8242026e8a1b887212304fc620 MD5sum: 20582140fc94cea0c590e6a6730453e7 Description: Arabic language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Arabian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-ar_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-ast Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-ast (>= 1:52.8.0-1~deb7u1) Size: 97146 SHA256: db0dc1e30ae1d944965122559c0ca01f52b7a127ad35100f884ac8c554faf096 SHA1: c6bdc28159ceb28a74560185d41f20f6686b7081 MD5sum: 29df810346f67341eb6c9be7a553aa3f Description: Asturian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Asturian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: oldlibs Priority: extra Filename: pool/main/t/thunderbird/iceowl-l10n-ast_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-be Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-be (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: 44b25de6b6abb1667b6d95d20ae29e50a624eb636e3109e0837c328a97894919 SHA1: 4ae755e7b3357f6b4d1e16c9d421a0e1ac7add76 MD5sum: 1eb45cdcf083c70a4b0c7c19f17bf979 Description: Belarusian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Belarusian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-be_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-bg Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-bg (>= 1:52.8.0-1~deb7u1) Size: 97148 SHA256: 3cd9f8ff9f17958df95c959c97a669ccd2c25040fe66957bd97d5f8b6b592259 SHA1: 5708f3182a6778724bc031e217af081a137fa158 MD5sum: ae24fc7534371596f3c4225c0704cbec Description: Bulgarian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Bulgarian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-bg_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-bn-bd Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-bn-bd (>= 1:52.8.0-1~deb7u1) Size: 97158 SHA256: f53dbe12c72ceee0315a944dac348171cff1ef6dbb83f89abfba17383480a174 SHA1: 299cd3d1e302aff8dc0d54c77e00d855ad1b96c6 MD5sum: c22a115e47fb4c7dafd0bda01d3ef22f Description: Bengali language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Bengali/Bangladesh. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-bn-bd_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-br Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-br (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: d4375a7f2889da88660144b484959edd25146c71fc1f91a6062bcaf4549cedff SHA1: 996a6d1c1c0ce31f0edc66e01371818e2d2d004f MD5sum: 5a91599a7d4dbcb1726c2a4d903f904e Description: Breton language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Breton. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-br_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-ca Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-ca (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: 7a140a8f846e33d0a574fd84aa3f60a319003afacfd23a4245352a60506a7114 SHA1: e57808c4dce3486291c471fc7e2782e0ee68304e MD5sum: e4367a18f338d495a7df5e745794007a Description: Catalan/Valencian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Catalan/Valencian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-ca_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-cs Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-cs (>= 1:52.8.0-1~deb7u1) Size: 97140 SHA256: 9b443e6dbbd260c786d8bf396087f8a27c94e686df08275d811cd8792dcfd75a SHA1: 8b35d3f4dfc3b7b7180fcbaa03d1c3a927c077ef MD5sum: bf27a0d9d98af0ee25454a993a3638c2 Description: Czech language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Czech. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-cs_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-cy Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-cy (>= 1:52.8.0-1~deb7u1) Size: 97142 SHA256: 4607bd4fc35901228fa7285713e50fdf572ea83ef7c3f04ceea7cf929efbbbc5 SHA1: 24cba32b0e1f49c41ab7bfc0e02331d9e72dde3a MD5sum: a2cab4ef24adf02ada9af4ab5905d3f0 Description: Welsh language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Welsh. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-cy_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-da Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-da (>= 1:52.8.0-1~deb7u1) Size: 97146 SHA256: 53df5e8223f7a1d1e4f0b1b191357c47335a8321a6b8cf6f1ed37ae9156723c4 SHA1: 16281f0f5d94a8b8d59af4eae3e27378616ede36 MD5sum: 735541c624df225e33cf4049d87a519b Description: Danish language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Danish. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-da_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-de Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-de (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: c564007e88332905a7a74b9739819fc9d1426ee69ebde0efd1411adbc4add4db SHA1: bc23e4eda463876739b1356b891ff26a7f0f3a26 MD5sum: fe47521eabed02885ab7685f6954332e Description: German language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in German. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-de_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-dsb Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-dsb (>= 1:52.8.0-1~deb7u1) Size: 97150 SHA256: bf5184ab1a61f32d4a47ea5e27987605352511e420918154a82670e7d98ddd1a SHA1: f0b0b14698e28e44efe4b4f6487e5dfe83508d3d MD5sum: 91dbeb1752229cc9bac9a200a04047a1 Description: Lower Sorbian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Lower Sorbian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: oldlibs Priority: extra Filename: pool/main/t/thunderbird/iceowl-l10n-dsb_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-el Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-el (>= 1:52.8.0-1~deb7u1) Size: 97138 SHA256: 9e8e29def3dcf545135945b285376438d0243147d120a6c0e11bf57e51198798 SHA1: 0a58c6378b0d08022919c68035c98da949c6f73e MD5sum: 311a46cfc3262b767b52facceff27ce8 Description: Greek language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Greek. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-el_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-en-gb Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-en-gb (>= 1:52.8.0-1~deb7u1) Size: 97152 SHA256: 3f4e802e76fb012e23a11d215c6147d3ef367f8c87a5b345ac4949d7a941e511 SHA1: cd19c4a20ef752cf89de9b78961296a71f41683f MD5sum: b0add9d2a1f96b1b860af1dfef9f6745 Description: British English language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in British English. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-en-gb_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-es-ar Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-es-ar (>= 1:52.8.0-1~deb7u1) Size: 97160 SHA256: 66d792ca17fba00387fe561576fc1fadc184b66e184435054b80e62045da2053 SHA1: f12831bd018f6fed0f8b5edd912d2399944e7e16 MD5sum: 5fe4820195f3b1ebc541ef404dc53aa6 Description: Spanish language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Spanish (Argentina). It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-es-ar_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-es-es Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-es-es (>= 1:52.8.0-1~deb7u1) Size: 97154 SHA256: 99ce249f423624ae40bbfb7bf32de8e96ec1360165e214c76442bad38f3f4d8a SHA1: 45b16c2860898f6bda52ef18d66f8d672ad54a54 MD5sum: 0310173bf3bdd8aedd3879551508a4df Description: Spanish (Spain) language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Spanish (Spain). It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-es-es_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-et Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-et (>= 1:52.8.0-1~deb7u1) Size: 97146 SHA256: e67d3611c7e81b3cbf4f171c58050aa239a122b9a594bbcd893c736ac7eac75f SHA1: 9b0399bc34e5398cbf91e1e8e9ffa38994e8477d MD5sum: 34a67b92f01f21ee452c4024a22bbceb Description: Estonian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Estonian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-et_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-eu Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-eu (>= 1:52.8.0-1~deb7u1) Size: 97148 SHA256: 8930a4820b9b193c228793ca1db82355ec63d19a692c8ca7dc8398aaf0db7448 SHA1: 9ef566515efffccfce39a545ac6e74cc10253789 MD5sum: e2b91e1d16a1e4d298ea9d6cd3932709 Description: Basque language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Basque. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-eu_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-fi Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-fi (>= 1:52.8.0-1~deb7u1) Size: 97142 SHA256: f70ab88294bd74ea2e73dc22e973c6b443ed583000fb464c671604e3817483bc SHA1: 62ef842e848c4dd4a7d6167c906ead376a248db4 MD5sum: c1bf8be940676a706c664f4c8ed75b24 Description: Finnish language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Finnish. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-fi_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-fr Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-fr (>= 1:52.8.0-1~deb7u1) Size: 97142 SHA256: 7659393456e8144092eea27f6f0e9b2ae05e55b516c46cea3fb75bb93b88bfa6 SHA1: 635e087a79004e642c5c4fe12848a9669e4971af MD5sum: fcefc9262de975d45efe0fde6190cd28 Description: French language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in French. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-fr_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-fy-nl Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-fy-nl (>= 1:52.8.0-1~deb7u1) Size: 97154 SHA256: 6acaddf683812fc5786296b3a34fe0c5de1d6b90bc98e229803940b2880745e8 SHA1: e6332895797b1e5af847c7941d5234b12ca22945 MD5sum: 6e083da374eb9726706590738747ffa4 Description: Western Frisian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Western Frisian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-fy-nl_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-ga-ie Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-ga-ie (>= 1:52.8.0-1~deb7u1) Size: 97156 SHA256: 57fab2c6d5dfe658d259166e6ad4a0e3de6048e36349997d0012dd0fdcc16192 SHA1: 576cc167217323754b1657c4d3cea158245e0ad8 MD5sum: 76b2c792b902cab39b193545297cf08b Description: Irish (Ireland) language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Irish (Ireland). It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-ga-ie_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-gd Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-gd (>= 1:52.8.0-1~deb7u1) Size: 97148 SHA256: da7879be7dd14e8259656682e4f77586f8a852a18bdc2ffc3261ec47377e7dc8 SHA1: f83c1e4df2d4b418173cc5c3494d940b76ac5da8 MD5sum: 14aeff3fae028d258fb1b4db26f89aa5 Description: Scottish Gaelic language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Scottish Gaelic. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-gd_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-gl Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-gl (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: 6f9d490be483a970f5a079dab7234b6417bdf406f7f631b968b42099248c2e55 SHA1: bdda9b157939490d7d45f81445c7cf4cbcb8762d MD5sum: b72a3bd2385270265c060a9dfd2d4b0a Description: Galician language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Galician. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-gl_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-he Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-he (>= 1:52.8.0-1~deb7u1) Size: 97148 SHA256: d6fef590f175a82f2d5f8008b97278f82a5fa4cee7a9dfbd1ac3494c5bf53f77 SHA1: 0b68d6bd272d96f6e36880dea7f65bdfef6dcd5b MD5sum: 75b54166755b8f1701ec3a6fb819f77a Description: Hebrew language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Hebrew. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-he_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-hr Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-hr (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: 62402ec3c10deca473203f103b3d5d29ae5068ab4ea26ea26bc9c48b814c398d SHA1: 982b8803c8bf0ae76402dbcb7cce32910ed57cdd MD5sum: aa12c8a38583ea2ce71651ac73c7ee47 Description: Croatian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Croatian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-hr_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-hsb Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-hsb (>= 1:52.8.0-1~deb7u1) Size: 97154 SHA256: 9bc8502a28219e00dad80faac4418414fdde1a3dbe60db529330a24a72e12bf7 SHA1: 91e0dff487d02a6f487724ca096ab79f9d90318c MD5sum: 9c3ea6bacc06317dba35a41796c21c2a Description: Upper Sorbian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Upper Sorbian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: oldlibs Priority: extra Filename: pool/main/t/thunderbird/iceowl-l10n-hsb_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-hu Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-hu (>= 1:52.8.0-1~deb7u1) Size: 97146 SHA256: edafafa05a58389ee4118338e32d950f45a058d1d7d1a04adf3e67b0dbeb326c SHA1: 8e7a0008a7368a68b21a24a5414a4867e673a14e MD5sum: 383c2a95a322186d076fe18cfe4565c8 Description: Hungarian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Hungarian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-hu_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-hy-am Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-hy-am (>= 1:52.8.0-1~deb7u1) Size: 97158 SHA256: f3f33ab86ec72cca3c115edf0831cd91661047973460d37149b2b420bab76953 SHA1: 75606c2fe065a04999159fbae0c920e774145e82 MD5sum: 5e67daa3addc9da7a7d12aca758a3183 Description: Armenian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Armenian (Armenia). It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-hy-am_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-id Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-id (>= 1:52.8.0-1~deb7u1) Size: 97148 SHA256: 44586c74d17b8ffcf362e1f366f25382e87fd5f4128839726d25f65c58ac212b SHA1: ffb289d187d4d52b578705cd126efdefda03f51c MD5sum: 9f6d14f2e68a0b23db4cbb1db2f5d0be Description: Indonesian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Indonesian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-id_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-is Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-is (>= 1:52.8.0-1~deb7u1) Size: 97140 SHA256: 3609dcca03a1a352c3c309e6d630827590c3f9ee4da209904bbe4964d735ea15 SHA1: 33c86cd6f04c0c17a62f71880b63d411a1a7283a MD5sum: 421204bf0f05b916c12320a8835e53dc Description: Icelandic language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Icelandic. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-is_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-it Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-it (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: 34cb9441d97e81ac2e6f84b05ff3ced23ea2deb0c59c1f3c2d8b54e27e4012ae SHA1: 15e096cfe38238f6aaf57f2b4fbc880fa964ace4 MD5sum: d5866c449150abfac602aec1ba4d1b76 Description: Italian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Italian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-it_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-ja Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-ja (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: 61165585be50ac3d9d02a0ec52d3e1ad869d53ffaf2631367232ebff74583ed8 SHA1: e5c4e3b7801d21943fdb82d92ed41c1067bcdaaf MD5sum: 92ab5f734258c5c4bcd09e694fd52dbe Description: Japanese language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Japanese. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-ja_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-kab Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-kab (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: 9c166de6177ed2ab2afb06bfcbad97cb75acb6d63ffb0ec57ea2c107e5b71eb2 SHA1: db70bfd7537c739476ab393f3479f1da5a7c3fe0 MD5sum: ba8e34efe24cc7bc6205dd74a7f6d99b Description: Kabyle language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Kabyle. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: oldlibs Priority: extra Filename: pool/main/t/thunderbird/iceowl-l10n-kab_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-ko Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-ko (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: d7e3c4caeb59bf553d5591bf2c9a81ab388ab253eb8d6ac1939e8048384e73d3 SHA1: 85b74c0757b60fea09a00016221bba09b7f02d2d MD5sum: d73986c52451050fad182ae3a985e236 Description: Korean language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Korean. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-ko_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-lt Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-lt (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: d0ba9cd4536e697ffc21ae6015e6b1cbd3eaf702cbd57b081bb46d6fa1753d3a SHA1: 9c46089ac0f4d3b397ce0072c413b92b19fd2af4 MD5sum: ae3ee0525f1eac9b5874edc9c5eed468 Description: Lithuanian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Lithuanian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-lt_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-nb-no Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-nb-no (>= 1:52.8.0-1~deb7u1) Size: 97156 SHA256: 504ecf4dc5e9871c274b674a50161df616b465f506e642477ffc960062267129 SHA1: 0113fdfb9b849670c9b7fa576fb16f396701dc33 MD5sum: 6ac3951bf7b136ba5536b3a6095c8ca2 Description: Bokmaal (Norway) language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Bokmaal (Norway). It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-nb-no_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-nl Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-nl (>= 1:52.8.0-1~deb7u1) Size: 97140 SHA256: b4a0a7c2f09f44ca10822ba70eff00efce598392c21f6125a8799e204958fcd1 SHA1: 8dd46a9ac2c3f83525c02880cfcc031a661c78b6 MD5sum: d0488b329e0710eef55454b0975ee2d2 Description: Dutch language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Dutch. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-nl_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-nn-no Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-nn-no (>= 1:52.8.0-1~deb7u1) Size: 97158 SHA256: 8b13125f0128ef8df1a5fb49daeb9adba1f03d587ef77e5e8e713317b9a6b657 SHA1: c0dea4dc70192e2787f3b95d3745bd291f6ccbd6 MD5sum: 254b5aee74877758eacc5f5e0a227b98 Description: Nynorsk (Norway) language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Nynorsk (Norway). It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-nn-no_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-pa-in Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-pa-in (>= 1:52.8.0-1~deb7u1) Size: 97154 SHA256: b4c9d428c0eebb1c6efcd298766e9259eb27f919113a95a8bc46f787bf68b3a9 SHA1: 7c5f33c4efa4cf3ecfb21c5abe77c1e4da3bed63 MD5sum: 772291aa8b3f0e7fec5bb1f94cac1f14 Description: Punjabi language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Punjabi (India). It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-pa-in_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-pl Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-pl (>= 1:52.8.0-1~deb7u1) Size: 97142 SHA256: 6f6b8dd58cc0f7ce0658018620b38b24da6b0fc80c88fd1a04d1ed1a9332168d SHA1: e0aa7ea78ad8f6ec687a76d6d38a28713748f794 MD5sum: 08184cb706beaf87f57301276ee54a76 Description: Polish language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Polish. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-pl_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-pt-br Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-pt-br (>= 1:52.8.0-1~deb7u1) Size: 97158 SHA256: c1c8066f79994c2704d79a762230c7b48debe4cbdccbf4c4b0c9e6bfebe1266b SHA1: 7edb295336b387964b278a7d02c823455d9632f5 MD5sum: 495d9ddc7c7cdf46525270faa520c364 Description: Portuguese (Br) language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Portuguese (Brazil). It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-pt-br_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-pt-pt Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-pt-pt (>= 1:52.8.0-1~deb7u1) Size: 97160 SHA256: 1e3bb15be81bdae35a40ebcdf1dacb97d195ff4a3afa4144ed6d06c1434658a9 SHA1: 33f74da07746aeee4d1fa787d034ab7101bf4189 MD5sum: 5940eeaf79df1682773cac7fc4a2fb69 Description: Portuguese (Pt) language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Portuguese (Portugal). It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-pt-pt_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-rm Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-rm (>= 1:52.8.0-1~deb7u1) Size: 97142 SHA256: 7cb2b012d21f887f536dda4aa582fab159b9ab702a99cef5089a8544f3e46bc6 SHA1: 7966b9640a37e4b6e7284319c7eaf2999ad6f3ad MD5sum: 6384cee723953cb88aa9492bbd1d846e Description: Romansh language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Romansh. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-rm_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-ro Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-ro (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: c672db3dd92bb11b341eede0652a79a86362af74063a9154153b8d18ce68bd5e SHA1: 2770cb605e86cf8e196f1afe8a013dea96e3290d MD5sum: 1d50377f669547d3703438b5356cae68 Description: Romanian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Romanian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-ro_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-ru Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-ru (>= 1:52.8.0-1~deb7u1) Size: 97142 SHA256: 82b61e7194e7b79912b6517b8dae9c55f383b8a8abeeb81f603239b8a0880c7b SHA1: c6283ac20997d27c43ff607958defacb53239537 MD5sum: b82949250c6f52aacc9ae0f70b00003e Description: Russian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Russian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-ru_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-si Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-si (>= 1:52.8.0-1~deb7u1) Size: 97142 SHA256: 3deac793108d3e03da5a8a7f4971cd9620e8ef6b309fd34994db34ba26416ea1 SHA1: 77a4840fca0b103e87e6067c3a34817f9d50cf04 MD5sum: 6386b812e8a95d00ff4802db3d1fa701 Description: Sinhala language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Sinhala. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-si_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-sk Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-sk (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: b89b0c0e7dde1776cbb7d284c046d128de21106a2a6fc524657e82016dd17677 SHA1: 64386219d0d018a062ae235a29efa19cb187d01c MD5sum: c4a32d82324300414025f24a6d991d46 Description: Slovak language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Slovak. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-sk_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-sl Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-sl (>= 1:52.8.0-1~deb7u1) Size: 97142 SHA256: c4cf2acb366e2c7d77eb7bf383cdf864bc62fc0150ea481d3e1dbd5c1dd6c63c SHA1: e8687a4d0af8761e9283d17b8e55f350c0aa74db MD5sum: 750ca0e24c63291933666b7b3edb8010 Description: Slovenian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Slovenian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-sl_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-sq Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-sq (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: 69c04a3f4488e63b529ad505c18677e8a338c3a381f23d31d7169a9f9f08d912 SHA1: 56f131145955f427c4e438265eb2b31742d411c3 MD5sum: 1734d9c89c7255cd17af299e958ba1cb Description: Albanian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Albanian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-sq_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-sr Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-sr (>= 1:52.8.0-1~deb7u1) Size: 97140 SHA256: 8e8401c8a8ab53d1d25466a9702a55105af8cb099f4387e911b23f2b7cb9211d SHA1: 1f96a36f479a17fb737fe1a4f0edeb62f5fae05f MD5sum: 29d59c9f424c42ca37c554af295adc6f Description: Serbian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Serbian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-sr_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-sv-se Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-sv-se (>= 1:52.8.0-1~deb7u1) Size: 97152 SHA256: 8f44d04fc152af3590634cca1f58a30c0066db95e44aae6e8e2ce262b5fe4047 SHA1: 1f342967976fe579d1278e3a2208d520b8b16754 MD5sum: 1db650ec53f86619478f865924fc153d Description: Swedish language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Swedish (Sweden). It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-sv-se_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-ta-lk Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-ta-lk (>= 1:52.8.0-1~deb7u1) Size: 97156 SHA256: 60cef38f8d0ae4331544910f9eff36a10039edf8e8287057676c40e938f3a34d SHA1: 43621197d253bab9e48ce96c24da6fcc4987dc07 MD5sum: 98b32c1f7ebd890de1414391ba62fe25 Description: Tamil language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Tamil (Sri Lanka). It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-ta-lk_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-tr Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-tr (>= 1:52.8.0-1~deb7u1) Size: 97140 SHA256: 2ec9197e31f097f9fee92b2b6e7802273d0371a8f6b090fcf9ea796881c61c5f SHA1: 272ab120491ef377758e23c51a138895d502ccfa MD5sum: b44575e615421eed2e8fdfadf64e1253 Description: Turkish language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Turkish. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-tr_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-uk Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-uk (>= 1:52.8.0-1~deb7u1) Size: 97144 SHA256: 8fc4e489632538c5689098bc048c3ccc418d20c2623567de37c910bc74d8e8c9 SHA1: 8d497def456111099cb4b1f4385b80bb588297cc MD5sum: b484cd1be9b2873ecd7f08f3448f9dd9 Description: Ukrainian language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Ukrainian. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-uk_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-vi Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-vi (>= 1:52.8.0-1~deb7u1) Size: 97142 SHA256: 6e677ffe94832559b83807d50ea8c63d0e79ec584f2d182f4598e5aeecc184b3 SHA1: 31f5f132f025ba273d14a01e89afab149b4d84ed MD5sum: d5a974fa8ddbe7e0d65594b0e9a908bb Description: Vietnamese language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Vietnamese. It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-vi_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-zh-cn Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-zh-cn (>= 1:52.8.0-1~deb7u1) Size: 97152 SHA256: 8e6b61971711c616092892823c7067d52af28ca8869fd0dbd67596f933d24c50 SHA1: 2624c7e219af2328bfc27673e3a9cd6d3795942a MD5sum: b28c476eb4e30b4d244dd4f32132ab63 Description: Chinese (China) language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Chinese (China). It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: web Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-zh-cn_52.8.0-1~deb7u1_all.deb Package: iceowl-l10n-zh-tw Source: thunderbird Version: 1:52.8.0-1~deb7u1 Installed-Size: 256 Maintainer: Carsten Schoenert Architecture: all Depends: lightning-l10n-zh-tw (>= 1:52.8.0-1~deb7u1) Size: 97154 SHA256: d4560a35d70d8e4a0ef990f0bc94a7edf3b11c744bbb999e5d94646be53675f9 SHA1: c70ddc37def5e559b79833e7bd8ccee5e74dcbf1 MD5sum: ffe7091ed14510b866642deb0612f666 Description: Chinese (Taiwan) language package for iceowl-extension - Transitional package The iceowl-extension is a Mozilla based calendar extension for Icedove. It's goal is to integrate a Calendar and Task application using the XUL user interface language. . This is a transitional package that contains the localization of iceowl-extension in Chinese (Taiwan). It can be safely removed. Homepage: http://www.mozilla.org/thunderbird/ Section: localization Priority: optional Filename: pool/main/t/thunderbird/iceowl-l10n-zh-tw_52.8.0-1~deb7u1_all.deb Package: ices2 Version: 2.0.1-13 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 194 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libogg0 (>= 1.0rc3), libshout3, libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libxml2 (>= 2.7.4), netbase Suggests: icecast2 Homepage: http://www.icecast.org/ices.php Priority: optional Section: sound Filename: pool/main/i/ices2/ices2_2.0.1-13_armhf.deb Size: 64024 SHA256: 636d01fe45dc504bd019518e8168ad763cfa5a0609bcf13538603697b2eb2392 SHA1: 5b99fd50fadf7ce2601b22fa5bea95d705ca592a MD5sum: f4c5bb4536438c0b1888685f343b2804 Description: Ogg Vorbis streaming source for Icecast 2 IceS 2.x is used to source Icecast 2 streaming audio servers with Ogg Vorbis audio streams. It supports both live audio input from a soundcard and re-encoding of Ogg Vorbis files from a playlist. Package: iceweasel Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 254 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr Size: 118868 SHA256: db11dc78ba67ab3c4662866943a11afd31d60d4ec6198952a6b411ddcc85dd2f SHA1: c227a57fae08062b81b60ef57562a1cfab291c1d MD5sum: e79103d28f79f8f00b9fef365a619848 Description: Web browser based on Firefox - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-dbg Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-dbg Size: 116788 SHA256: d837c13d4762190d07f7a9a377d1a22e8a9e6c85a527ac6e5cc313f633e2f196 SHA1: 9e56582787c362dc089fe0a548077bf52747831a MD5sum: 77913b74b80f69dc37858d39c0a4ea66 Description: Debugging symbols for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: devel Priority: extra Filename: pool/main/f/firefox-esr/iceweasel-dbg_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-dev Source: firefox-esr Version: 45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-dev Size: 116800 SHA256: 4c4e733d5d8601cdba993cbfa67527159ed63b34e837378a7604d68de821d8a5 SHA1: 53a866f64ead7b9819785a700fb547009feb743c MD5sum: 29f85c733a77727859350db705d9979e Description: Development files for the Gecko engine library - Transitional package This is a transitional package, it can be safely removed. Section: libdevel Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-dev_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-downthemall Source: downthemall Version: 2.0.13-2 Installed-Size: 52 Maintainer: Debian Mozilla Extension Maintainers Architecture: all Depends: xul-ext-downthemall, iceweasel Size: 11872 SHA256: 090230837c5976d29e8cf50b6ae8175ec900eb80faaec77c3c519cf4e7f88780 SHA1: cc52ab2ba7d1b8ca3d2ca42d6a1068a882558720 MD5sum: f445acd4593cdd5c85054d1f25778d6a Description: iceweasel extension with advanced download capabilities - dummy package This is a transitional dummy package to ease the migration from the old iceweasel-downthemall to the new xul-ext-downthemall package. You can safely remove it. Homepage: http://www.downthemall.net/ Tag: implemented-in::ecmascript, role::plugin, suite::mozilla, use::downloading Section: web Priority: optional Filename: pool/main/d/downthemall/iceweasel-downthemall_2.0.13-2_all.deb Package: iceweasel-l10n-ach Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-ach Size: 117128 SHA256: 2696a71ed9b6f0cf2d87164428a40daa28bf526cfd27718e44663f9f3c9d22a1 SHA1: afa997754dd1400a1eeacb877ea2a7577c5b07d4 MD5sum: 4e76a593bcd0588d1efeee9dc0cf3e8b Description: Acoli language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-ach_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-af Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-af Size: 117108 SHA256: 713f1605643c074e0be8095d0344be9ad235bf0d5c3706f60d6a6925fb6955fb SHA1: d8a91d067c21c17b0c584f14b36ae91033777725 MD5sum: 99281c5c8a54931c5f8fc4bccb0258bd Description: Afrikaans language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-af_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-all Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-all Size: 116806 SHA256: d332a682cfc08e254f0ba9fa2745dcb849c79c72c5b9370777e16f1c60f3c1ab SHA1: 48298f5c76706363449622841ac796d0d506ffda MD5sum: abaf57d71a72c648acdc95250f4f5570 Description: All language packages for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-all_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-an Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-an Size: 117112 SHA256: 50475a88e0d1febe31ef4fcc6bce1902299d88eacc35f5663374d94e2daa990f SHA1: 565dca2cbaef40208ef6655f328d41080d146a5c MD5sum: de3d7fd240ef993b1370ca4305b72697 Description: Aragonese language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-an_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-ar Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-ar Size: 117108 SHA256: 5de1b90b2690cb18fa17a48e5918071a057e4935f731c6294c358e25bedb4cf7 SHA1: 0924bcff66c02ca01b9fb1f1b788673d9c5e3e3b MD5sum: 20ad324924c12542ee2a49aa6007011c Description: Arabic language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-ar_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-as Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 233 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-as Size: 117218 SHA256: 576bd9525ca472c8be3ef209d9115d107a0d831de888eebab69dfc4128520892 SHA1: 470e5cbdb3bab2f1c63b5a18f6049669871cbcaa MD5sum: 3fd8084deff0cc8ce0a07d305401fde7 Description: Assamese language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-as_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-ast Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-ast Size: 117120 SHA256: 97ad2a88ae8396c3c124e734e6f64c8853b976085c1fc0b86abb12a51445b6a1 SHA1: 35aa4d48d65c5f7b36dcddf98fda995b1c70c990 MD5sum: 54d40991515f813242be180793b93839 Description: Asturian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-ast_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-az Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-az Size: 117138 SHA256: 9fae32b9d5f243074f4403e31a982efe8cc7b5425d617cc00c463af0e2bf3ee4 SHA1: 9c699a5ee44ffaaf5dff3174d2f8e141d6de12de MD5sum: 5321286fa9cbfa955f956166316a4b5f Description: Azerbaijani language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-az_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-be Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 229 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-be Size: 117130 SHA256: 9f6da8c409aa0cd5a5bc9c91ee400dee829bc60d7750a2734b3ed41a3784e8a9 SHA1: 07e4e5341fb5657a4dba813a98a64a31fd1afd9c MD5sum: afbbe5d9abaa6341aecce9fc64a3256a Description: Belarusian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-be_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-bg Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-bg Size: 117134 SHA256: 83c8e5f07f4b61b728a212a1e8c24a4004b2265a1ff3a8c55db4acf52576b77d SHA1: eaaaba2b89386adf53e3a5b3b1113b1e712d7703 MD5sum: 121e0f92021c0a820b00d98c9a53ce13 Description: Bulgarian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-bg_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-bn-bd Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-bn-bd Size: 116822 SHA256: 07a00726407c873d7a3ca1c43527825c2062b31f44aadde5397cea44ee26a2f1 SHA1: ef8dc44b54056864f446ab58c564c713c3e8fd07 MD5sum: 5d6c91e64b371d77545c690f48ab812d Description: Bengali (Bangladesh) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-bn-bd_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-bn-in Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-bn-in Size: 116830 SHA256: dff572dc57bc2c5682e453c8ba6ad01966f0f63b853a69590812be5dac29e170 SHA1: 84e25ed110cf990e85606bbeee516bc446be2585 MD5sum: e39a2aa15824b8796c87921d912cc018 Description: Bengali (India) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-bn-in_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-br Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-br Size: 117126 SHA256: e0d44baa87c5ed17867cff25b9379652b5a1b76c6c93e8a67c4fd1387e323337 SHA1: 19b997afcb29fd07b768860290150558e6a64baf MD5sum: 2d373efe0ace663ea1b0b00939a0729b Description: Breton language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-br_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-bs Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-bs Size: 117128 SHA256: ff5381ceb8e468d98a483286eeff8baba8e291bd179ff5ec5b4babb251084c21 SHA1: 3895806b577961ee3579290463669ea3bb5bd562 MD5sum: f04cbe59c857742ab4af2252b6b6b8cf Description: Bosnian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-bs_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-ca Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 227 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-ca Size: 117100 SHA256: 37c37bcbdbef690d5938fa8d2e035aa04ddeaf52ab23fe73014e9896f573629a SHA1: 041207a2b2d8289b3b686868c38a93a9cd3b5e88 MD5sum: 37e772216c974d9eea28d29ced6f43fc Description: Catalan language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-ca_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-cs Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-cs Size: 117106 SHA256: 6ab0b708254f857c61406244b1c12176fc75819d2000ce4702b4d103108d67b5 SHA1: d0d23bcb7be36716774420cce04e4e6fe0d7729f MD5sum: 25457a533c64f4aa39b924fc420e2d1a Description: Czech language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-cs_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-cy Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 229 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-cy Size: 117154 SHA256: 49c9c79dd6ae443dae3160930cc2fc01916b2f1659d3efbefad841f26365c662 SHA1: 9b5356d07d749e3235418168439e1d56d6cc31eb MD5sum: a92acfbd460fee985f9ec137c1925da7 Description: Welsh language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-cy_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-da Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 227 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-da Size: 117106 SHA256: 22686e188975699f71fa1e693b0ae8e95991c3fc9aa6d788be22ffbdbdc9739b SHA1: eb142a727da0167fc4f43586a0e5cdc90528eda3 MD5sum: 3501a216c06f53c1888bc8614bb20af4 Description: Danish language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-da_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-de Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-de Size: 117132 SHA256: bffe1ee56d4a3a2a7461034cbdca62be4aa551b9cd570daa9b0e277003d60a52 SHA1: b95ddbd25fc77a3d98113fa131c2206c424c57d1 MD5sum: cece99efda27215a736d6ec2bc50ff51 Description: German language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-de_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-dsb Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-dsb Size: 117140 SHA256: e2c5c6e0a652aaca40877cb06750dda04083e7c696d267d77ccfa33807159ed0 SHA1: 01eced6aa9ca560ae503a4d151cf101d265d0fef MD5sum: 2d0ad378e2b85ef5d909890dd37708a7 Description: Lower Sorbian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-dsb_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-el Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-el Size: 117114 SHA256: e54f459cb99e7cb9d4cb67f5e6560ccd95a27f6296ff504667e344ea3992b71d SHA1: 17b53391e67c2f8cf8a11f7346bcf9829815d3f1 MD5sum: 868e0eee27e817586407fa0894e482d0 Description: Modern Greek language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-el_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-en-gb Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-en-gb Size: 116832 SHA256: a4785d59dda81900f940d79b8bf4fb80cb5791668e68d16d7a8b6ae51021bd06 SHA1: d729a85551db0189cd5d06a5f9c7c34c5b9a1545 MD5sum: f53669e505725be4cfd7beb6e9aabb25 Description: English (United Kingdom) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-en-gb_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-en-za Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-en-za Size: 116830 SHA256: 42ca2aaaabe3ea27b6e4967a9f11384e0b24b1e41e17429df6a041adc9429fc6 SHA1: 3aaaf0c30a7d0e4c870ff3786ece83faf837f777 MD5sum: 2f489204479298f721d24c7287ee2ad3 Description: English (South Africa) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-en-za_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-eo Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 229 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-eo Size: 117164 SHA256: 9904c7380b6e11b5c6749d677554c55c95120daa0cc76cd725139ca64bed1eb6 SHA1: 5e012b474dc7afc46554bc5f14bb1bdb972be279 MD5sum: 74381d24db1451b5691420b659660c11 Description: Esperanto language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-eo_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-es-ar Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-es-ar Size: 116834 SHA256: a300c86b841ee953b71907e0e589c49a66dbbb3ca96033b75cb40dc584bc339e SHA1: b48b75af009ed7553021b78bc9cd2d6458a64615 MD5sum: c4ca986fcc60c7a1b840cab6e3d4c5fd Description: Spanish (Argentina) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-es-ar_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-es-cl Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-es-cl Size: 116826 SHA256: e954f933b3fd498078269f939d77560a43d65c8670a298c9efe77423c46a1453 SHA1: fffe74c98163d78688402b60c771c180a7a47511 MD5sum: b03186c8564a0b68b8591d2fb64dad78 Description: Spanish (Chile) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-es-cl_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-es-es Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-es-es Size: 116820 SHA256: 118f4ac8bd6f8cd9db2410ba8502fb5cf325f50cfa581647b6be6393a5475441 SHA1: c3b04bf072b42fdb755b4a40fe77e776f8e05c22 MD5sum: 793d815af09928f77659000dfc8ffb7b Description: Spanish (Spain) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-es-es_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-es-mx Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-es-mx Size: 116828 SHA256: 083b6935c210c90503b82e656816ddb2220d417d0721f2bdd5c1e767b71ec185 SHA1: 646da29d5c644c77d7f26164cf68f77d857a7be9 MD5sum: 394e895e66f716e43fec1dfd4b2e081e Description: Spanish (Mexico) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-es-mx_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-et Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-et Size: 117104 SHA256: fffb2ed349b11f92d94be99cfc2925b8e50610402b3fcd150314f13d06c152f9 SHA1: e4669d46c832891fe13dd29a99633638728c1414 MD5sum: fbd57d81223ac7ce40e3ba7e266dcfdd Description: Estonian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-et_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-eu Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 229 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-eu Size: 117148 SHA256: 6518ab00c0bfad907095a3f4fdc14e5760009a9c8b7eada6235dea12cbde305a SHA1: b6d48ac51cacc096e7d71a99f8f9f2bc16d7248f MD5sum: 3f59e21cb33705cd40d07c59da0f3e9d Description: Basque language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-eu_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-fa Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-fa Size: 117120 SHA256: 4ef11cdf5359178e6306d1c7f872c32d98aab80fa042d1e88123adc525b84272 SHA1: 6a9ecda956a02fa4d8602aee5c8ca4e3f856af27 MD5sum: a075927039635c4b7200d9ff92a80462 Description: Persian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-fa_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-ff Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-ff Size: 117136 SHA256: a8640bd7fcf8ad2c141a9f20e1202c0c0d81bd98804e603d252ca7d7e6d3492a SHA1: 10916d16ce12d6870210094451cbfd98da4314df MD5sum: 7c86dc0aa3d6c08367a7f19e5eb45c74 Description: Fulah language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-ff_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-fi Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-fi Size: 117112 SHA256: c693fcda5397da90ce4696e0442cac2fa3093f20ec127f48dc5b4a223d793fb6 SHA1: 588946122429e4f5f5455e5e8db99743a384d133 MD5sum: a81582b08f8dd671fb4e56134f92d18a Description: Finnish language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-fi_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-fr Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-fr Size: 117134 SHA256: 8596387a8c756e8ce4e64428d496c967e18c5ebe57e724a28914ba42ca951379 SHA1: 3e8cd813b78a95a608a9cad0f2d97d4ec45bbd57 MD5sum: bbee111d83e03e0e5c14998b424e3f05 Description: French language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-fr_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-fy-nl Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-fy-nl Size: 116836 SHA256: 485d462a1bca59fac914d9716ef1c3c2f1c853044f6d450508bd5b83917553b2 SHA1: 029bcea8de9d433de4c9e45ef31f4ab5069969cf MD5sum: 91c7a652ba000da55a4536c678069a69 Description: Western Frisian (Netherlands) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-fy-nl_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-ga-ie Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-ga-ie Size: 116808 SHA256: db1e3f18f8efc70c07e3fabfa26df44ec875cae400c849440db22cbeeff36a60 SHA1: fef5c93f041013627e4c1e335a7d43d9392b6a68 MD5sum: 62d06a83f58c9dc9970f0b2fa86e6ced Description: Irish (Ireland) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-ga-ie_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-gd Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-gd Size: 117144 SHA256: 5ea5374a2216a668fe52cba68a7ed9168c490cc7fa379b912aba47f37db304d6 SHA1: 77139727f7b54e20591d0b940e2932bf59d53fa2 MD5sum: 378a31d6b244c75586d1537b976109ac Description: Scottish Gaelic language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-gd_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-gl Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-gl Size: 117112 SHA256: 4bd9bbe926d2f55a60bec3b2f9db4f9bea0f55cf02fcc089739975b54ec9590d SHA1: b2ebcb1f139763645c792c4f027c030aca4d2f34 MD5sum: c6c9e34165c9fb9e0b12fb5bffb9a9f0 Description: Galician language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-gl_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-gn Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-gn Size: 116800 SHA256: 949272ad390b12f3aa326076837e75a709ae61af301c3fadd4819a637d60b715 SHA1: 017cb389d73c6b8d3887aa7be2f56dc8cf7b8c38 MD5sum: b7b6e3fc6f4debf0ed359e957a3750e5 Description: Guarani language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: oldlibs Priority: extra Filename: pool/main/f/firefox-esr/iceweasel-l10n-gn_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-gu-in Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-gu-in Size: 116818 SHA256: 385bb1e687fc313ffb82cdab4c01c2fd72acaddf3a7c9514d4b6d256c1204e5a SHA1: c71d5bc346e151fae49dc749889e5da46b5352fd MD5sum: 9b813960d29205f1a7d437f50dd59b0e Description: Gujarati (India) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-gu-in_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-he Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 226 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-he Size: 117048 SHA256: 5e0bb063b1573d47110edd3729e492ed2f5f3b3d669c400c898a0297d0f965e4 SHA1: ebd93233c73a00899cdb714ac45dcface7da24f3 MD5sum: 8870a3fb2c54a3f6fb4fcce909b72372 Description: Hebrew language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-he_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-hi-in Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-hi-in Size: 116822 SHA256: fbdbbeb5c09a4a38b89f8550c61c84926f61e4f5891ef694b91d8e3802eb86c5 SHA1: e792a06154c3f1f7f22884b38cd0a7d0861df840 MD5sum: b2a49ea98461e397d5964c0c7eda8802 Description: Hindi (India) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-hi-in_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-hr Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 229 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-hr Size: 117140 SHA256: a7e0845ad3be5ddf4c250b3ad7aaee7770acdda930413c4ef2679269b4939cd3 SHA1: 758f64b525e1b7ce4639a28e52e1ae29a282dd72 MD5sum: b800bdba4eba578160d5b54789e876be Description: Croatian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-hr_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-hsb Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-hsb Size: 117134 SHA256: 11c4050ffb38a01d582db1e4c375abfc6e97f5b0b52270cd39482438019e1a7b SHA1: 48c5cd1594db871f2f230ad5119d0951fa299a07 MD5sum: 2c6ba9bae58413b99fa46878f625fa15 Description: Upper Sorbian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-hsb_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-hu Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 227 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-hu Size: 117100 SHA256: fc504ddf047e4ebb4d212d800ee7da9a83c71ea1056d3d254468544414fafcac SHA1: 044d4c2bdca3d3faff3e29316f87d1712e915517 MD5sum: bcb37834da0af836328907b12eac20ee Description: Hungarian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-hu_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-hy-am Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-hy-am Size: 116826 SHA256: e8ba107405332f0030aadb01643be452492006b270b85248e97d418a4566b413 SHA1: 5595061c363c8fc5a6e8750243baca21e08fe0e1 MD5sum: dcefee64bc4da63343bd2243a76844c5 Description: Armenian (Armenia) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-hy-am_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-id Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 225 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-id Size: 117044 SHA256: 907e1c4c90992f1c9ba30d72be15fe8cfa3d14ddc878e7ab1ff8a80b9ea7d1df SHA1: 50fb0648a5a1949459dd2b4ef3db9cd02917b2eb MD5sum: 0c65b6f0efc8bcb97c6b5ada832820bf Description: Indonesian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-id_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-is Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-is Size: 117134 SHA256: cfc61be6aa0969a67534c2b677f1bd279fdf6168f93d3756ff4bd9491b423940 SHA1: 304e7011b37603206a01b4f8c31cba47bca54020 MD5sum: 95ef0585a85c2c395cd9ba69b8957919 Description: Icelandic language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-is_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-it Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-it Size: 117116 SHA256: 972f0548560f130e576dc27b3d9a18b5d2678d8d23928a0ec4dcab0cb977819d SHA1: 1f430deb15caab2da7002cb13b9ca09d759bfc9d MD5sum: 1a5b2456ded88b58a440abbaa57b966a Description: Italian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-it_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-ja Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 230 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-ja Size: 117154 SHA256: 053bd0c99c92fb96f124d5d9a83fb04f0bc88e288f102d264f90326d3d7b1efe SHA1: e4da8f82d63ddc31bad39ab20f851d73927bcfd3 MD5sum: ad13059e9100470f38f98a97212a729a Description: Japanese language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-ja_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-kk Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-kk Size: 117110 SHA256: 535ab398292c684b7ff1f4f217921f8c58552b27de6ac6b36398d6bc06dd3130 SHA1: c3863982180ebd44535e5e96c14cb9180df2fdb8 MD5sum: 0a52b547db162d4e81ec7aff8f8e66cf Description: Kazakh language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-kk_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-km Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-km Size: 117130 SHA256: 1541c3f7d4daadcaa809850878a15a9261c5acc68c246a7f6b1ea7ca17b69075 SHA1: e5bc3d12341dd537241478016b40b13ff24169fa MD5sum: 852149111c18983c512edd2e472c8c6f Description: Central Khmer language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-km_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-kn Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-kn Size: 117102 SHA256: 1405ae06b63ba8f1301747330297d791327b86f489ed530c71f9c629b9202cf6 SHA1: 4d6f1422d34cc899f24895214ea91b4faa9dd856 MD5sum: 9fb21bf85264635b5b5b9aa66ad66c7c Description: Kannada language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-kn_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-ko Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 227 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-ko Size: 117100 SHA256: 6b37340d47ff11dd2e04d8d9ce2af36882d9eb7b0ec6e4e8b0eac47bc5df59e2 SHA1: d52e27303969c42b6b78a5b92d1a8d6d3ac774aa MD5sum: 8fe03faebe3a1be6482d179ee3b24906 Description: Korean language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-ko_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-lij Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-lij Size: 117128 SHA256: b3178cbc19578f835fee29154455d7d89bf650bf2d0f50e7787283564bb93bd5 SHA1: 7b64f4dff589e88335026d2ac39497bce082d277 MD5sum: 25fb57b060fbc3a020da19d72d46046c Description: Ligurian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-lij_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-lt Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-lt Size: 117110 SHA256: c0bc45a6c07f6bbe350df88b45193c8e8f114bbe6e09aaae17d4d05e3296a95b SHA1: fd1fe9e1bfaca099343183122889d577636ed0f6 MD5sum: ec6f1cf050d7bcef0b89415f7bd99082 Description: Lithuanian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-lt_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-lv Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-lv Size: 117114 SHA256: 7f6bdf8a0e2c9fb1fa5d7efd81a08c0e513f8880d7799754c770aa31295fe3e8 SHA1: ad69a23b21b6448180038dc3ccd63e52fda41825 MD5sum: b4ef2b91e8f4c5791102f5a618a9e9c1 Description: Latvian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-lv_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-mai Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-mai Size: 117112 SHA256: b78dc1206c36dd223cd071fbfc40b46df0d657c32049b53d10df5376ec8e19c8 SHA1: 48a6f6c2129facb5b403c4c88c46a9a643392cda MD5sum: d7be661cb80ce8d7ec1ae053d82b49d7 Description: Maithili language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-mai_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-mk Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 229 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-mk Size: 117142 SHA256: 130b4c33497f004d8290f1f790efa485557c06f16ca980de6a2ce2e524b5063e SHA1: 1f61d8b5d716cd00aa5adb0a8277ff34844fb6c4 MD5sum: a080859ad644b5aee0789859357ed73b Description: Macedonian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-mk_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-ml Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-ml Size: 117110 SHA256: bbe4d1647df0f05b49240c2cf988379ec25fe9f301edfabba6f0c7370f3d3b4f SHA1: 44310552a6bc27bcf427dbc0804688ed07896a1d MD5sum: 886deeae2decb6f9426daa2f68ebfa25 Description: Malayalam language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-ml_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-mr Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-mr Size: 117136 SHA256: d5470dad5b386ee64f46dde66b25546a48989ebb0679abc29b171802b2c84e24 SHA1: 65dd679625bb76dc002b12772a120e09706de214 MD5sum: e432e36c728addfc32bd8f71ab31f381 Description: Marathi language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-mr_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-ms Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-ms Size: 117126 SHA256: 2e2d6b3c13368213386533ce7fb65f48577ee92610ee88ab1f2dedcc313c1f0f SHA1: cd5d28edd81665ed5464674cca5b867d5dfc518b MD5sum: 2c4b1e0cc74360d3964349121ac8e876 Description: Malay language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-ms_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-nb-no Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-nb-no Size: 116824 SHA256: dfa817ce8004902969002ad382210169c4d4562ae4a34b82e6056ab0f9419d91 SHA1: 057f04f2dd2a46d548f671699021645bf8005a89 MD5sum: 627fce8f0fbccf4a7872e42b4c4cba59 Description: Norwegian Bokmål (Norway) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-nb-no_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-nl Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 227 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-nl Size: 117090 SHA256: 35802647802b9c851849edc1ef8ad7023e9c4f2f3be868590592a11d0c8542cc SHA1: c5aba9e187b15091c972d22a6f74185ac93dcf41 MD5sum: ea7ba3b15cfff968453fb99f61e9862a Description: Dutch language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-nl_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-nn-no Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-nn-no Size: 116822 SHA256: 9443b3d6064e79c527b05446a4886146410103085ab9a0d5b67187fc9d3ff9c6 SHA1: 40c90b12eebc228d3e485538a94d6501f4be75b9 MD5sum: 4792407dfe7c945f5b1ac19405f0e047 Description: Norwegian Nynorsk (Norway) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-nn-no_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-or Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-or Size: 117124 SHA256: 1ab3c9293eee17be7aa174fe195af98e77f52ff2a6fcf76445b2e339fd146388 SHA1: 38abddd3ea1174a0bcd0c2c2e439189bd499c42a MD5sum: 2d8ff38e85be7d70d4b76efa9b472db3 Description: Oriya language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-or_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-pa-in Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-pa-in Size: 116806 SHA256: c1b9d100d304aaea346a6e1c75ec599ad17e314aa0e39ae8a7720bff949b6027 SHA1: 7ec49e4e8eb39220001d7c9111733ea895bbc77d MD5sum: 13721c84b5e086456d14256175878ba3 Description: Panjabi (India) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-pa-in_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-pl Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-pl Size: 117124 SHA256: 3239a54b1188acdd8b6eeeb2f35714f4be8071e4a439ae4e56cb2d043adee703 SHA1: b10f1c0f341c558a6a67be46cb3f74dfc3f7ce79 MD5sum: d6864a88c366d3ae5083f747103c5a32 Description: Polish language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-pl_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-pt-br Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-pt-br Size: 116816 SHA256: 319389fc61987013c0aedddbdb2aa545243dc5ee864eabb791cc20b86fa53e91 SHA1: 1b25b562244e98805d0efc6179d0f11c1e41b76f MD5sum: 3fc9d385c25ed6cf54c2fa3c2ce59ac4 Description: Portuguese (Brazil) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-pt-br_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-pt-pt Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-pt-pt Size: 116826 SHA256: 11c625586103d9f5c999985a51dc7596d5a1819a03104f97076867bd1807c682 SHA1: efa8e22f3c0882812b1d44872043d4a4afd682e3 MD5sum: 31e779d2930e8b010ba0c64ca754efee Description: Portuguese (Portugal) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-pt-pt_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-rm Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-rm Size: 117112 SHA256: e87c862c78e213ddd805fe8842af4708f66b4d3725258739537a42ec2de8cc94 SHA1: 9f16fa3db369ff6f19272f69bbf59e7633f07949 MD5sum: 6d72f278669926477888cf1892cf1ad6 Description: Romansh language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-rm_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-ro Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-ro Size: 117094 SHA256: 04a0afb6eaac1f7e0aabd3b14186c1e3cd030a056813b87e389a064b9e7a79fe SHA1: 10dac20817f4bc81a63b2b994afbe864780cc8ee MD5sum: a39cedfab7050e6e1a6d65eb407e3c6f Description: Romanian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-ro_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-ru Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-ru Size: 117120 SHA256: 327bca660261cbb2bf783a5a9ee94316ab748d5ce8375859a32863f763540daf SHA1: 7033f4dcc8a1937a002141df2028f0cb351e59d5 MD5sum: 6cd67cdfe25cbac85e81fb2b767edd1b Description: Russian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-ru_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-si Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-si Size: 117138 SHA256: ff2240d04443502ddab410d263961d721e07254494ddb60fd12b9992fe9172c6 SHA1: baa3d5e7bb65b92e87e59903ed55ecfb8bf46ae9 MD5sum: 2b7b465e5aa41769d6c8f7a0169ee0d7 Description: Sinhala language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-si_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-sk Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 229 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-sk Size: 117134 SHA256: e1b95adc7288ffa6d5434faaa09f0f0a7d283e1c5d18020f7c4beb44a5303f55 SHA1: 327177d20b3ad3ed84d1ff9468f544ad938fad03 MD5sum: 78c9b27f581ac71fd1fa1f0b2243cc88 Description: Slovak language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-sk_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-sl Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-sl Size: 117114 SHA256: d9f3fcebc9cae4fb2ddbe3297a02591706b85ada126fb7b43fca6653d6b95b6a SHA1: 550a778a17a4f772a2046f0e2bc2365f96073205 MD5sum: 8d60e53eb76f21f053badc520cc095fc Description: Slovenian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-sl_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-son Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 229 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-son Size: 117140 SHA256: 3f6797b07222b23abb3e46cbc7e8bd75e71c285c4a06007467c686c8464edb49 SHA1: 97fe6c87f1a4b3642dfd7c026cdb63dd9dc31016 MD5sum: 32e7e1fde16663c3ee9e5155d8b3e5d9 Description: Songhai languages language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-son_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-sq Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-sq Size: 117118 SHA256: 1ffc88a73e00457d2188249aff5f4eb1ed14c289fc19e945b90a1575782ba4e1 SHA1: 8ccc47a59317176eda7eac26346f585fe1be9d6c MD5sum: 672b7b59777b53ba508cab6091f67785 Description: Albanian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-sq_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-sr Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-sr Size: 117138 SHA256: 63d81f47378e05a29d75a1721a6a9e49ade93f3c0d380719c760b3db2e18105a SHA1: 9cd31ad6687d18b560d188d02b93352faa1e4367 MD5sum: 8f5e85d16ca723c109a72c01fb431609 Description: Serbian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-sr_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-sv-se Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-sv-se Size: 116810 SHA256: 3a6ee42fe03ab94e80daff199e8ce9ce4551c5542491dcced3b020190c6d1a4a SHA1: ac62e3c135e553f372d30f1ba7de53f8e2d34393 MD5sum: eaaaea84f9935c36657e41b11f50d5c9 Description: Swedish (Sweden) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-sv-se_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-ta Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 227 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-ta Size: 117108 SHA256: bef71f83571c398a315ad687c4870228adb86cf7113fa7c10dcc43857d3df619 SHA1: 1078c91286c2d1bdf6c38e5c0f051e3445248aa6 MD5sum: 226f7538b9aae0c379503efa50c4901d Description: Tamil language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-ta_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-te Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-te Size: 117132 SHA256: 1b87a1d43db9d3f6ee9b33439a326c3b8c98131a07dee2c03c2bbb34c03897ce SHA1: 5cfbea408fae5c94ee858ddc6fcf5a9efefaf844 MD5sum: 8cb82ea359aa1c43d038e27c11b5577f Description: Telugu language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-te_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-th Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 229 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-th Size: 117148 SHA256: 973faaf45e72a378e1b75455bae2d705a0a61df81d6825f0e1f40d85d36777d3 SHA1: 9383b5cdfb3b8ec3ce26e97eaa4670f6f24e1167 MD5sum: b7326b203d1958327f01c9af83dcb770 Description: Thai language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-th_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-tr Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-tr Size: 117118 SHA256: 27880cf8b776968a40bb0b5be46cf0d9b43ccd6681133650d5576be88d68d7cd SHA1: 1000e08db8865166821d5790298425a6a08713ae MD5sum: 2dd2bbc5b52222d21f5a1536a7053c92 Description: Turkish language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-tr_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-uk Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 227 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-uk Size: 117106 SHA256: 494cc92436ed4d959a19b44c59f7011771d19f6000e129e233084e00664bcedf SHA1: d14c8d22fcd9babb33b850b058becf439ddd76f3 MD5sum: a211bd4b83bbe6a2c543b3b5e166732e Description: Ukrainian language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-uk_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-uz Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-uz Size: 117134 SHA256: 4e9618d313705393bcf1bae383177131c919afc619b005e6a248815aa47ea7e5 SHA1: 59823735df9b82cdbc6d040ca36a79517319344d MD5sum: f5dd056a220a91957f2fdc1c703b53b0 Description: Uzbek language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-uz_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-vi Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 228 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-vi Size: 117146 SHA256: c984227707f890a2eababaf20fd6a1f266c5e2b7526555ab92499d2a37e04bef SHA1: 868231ab754d831792fa050876431b4b35afbee8 MD5sum: 04a53c020ebe3dbdffcc85ddeeaa2f50 Description: Vietnamese language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-vi_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-xh Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 225 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-xh Size: 117034 SHA256: 8828bde37096addc54ccb5c26003087be9b3260d961ec84b3f96eadf75cc3839 SHA1: eba25c99fad6a4da73a999a95882c9cdc93ca969 MD5sum: 366f0e3e0bbd546be363b8b9ced0cd7e Description: Xhosa language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: localization Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-xh_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-zh-cn Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-zh-cn Size: 116820 SHA256: 77c9b13f0afa1df81cbdaf34232cf53bfb74f8da2b3d2e8c4c7eb2cb378a92ce SHA1: dc6cb00e8e3d24f63a078cc2ff6861af6f1b5d61 MD5sum: 8e135131b9e2c12e7c15d6836e41cdc2 Description: Chinese (China) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-zh-cn_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-l10n-zh-tw Source: firefox-esr (45.9.0esr-1~deb7u1) Version: 1:45.9.0esr-1~deb7u1 Installed-Size: 222 Maintainer: Maintainers of Mozilla-related packages Architecture: all Depends: firefox-esr-l10n-zh-tw Size: 116832 SHA256: 8f9b4298ddd4581dc387ac71ab0a1ad46b0b444107acfa816c9977c33599be16 SHA1: 778afe67e01d466490d1165ef679808a5f46c37e MD5sum: c7a48d0d03d431669dcf2fdc7d69dd4a Description: Chinese (Taiwan) language package for Iceweasel - Transitional package This is a transitional package, it can be safely removed. Section: web Priority: optional Filename: pool/main/f/firefox-esr/iceweasel-l10n-zh-tw_45.9.0esr-1~deb7u1_all.deb Package: iceweasel-linky Version: 3.0.0-2 Installed-Size: 64 Maintainer: Debian Mozilla Extension Maintainers Architecture: all Depends: xul-ext-linky, iceweasel Size: 11780 SHA256: 920159e19b2622f02e55401e15c5f13cdf8d3e1a33deed0eb7f1e2fe33345131 SHA1: 72dbb21f2119b8521fd93cebea6776819336631c MD5sum: b39d2786199e7339c0bd681872e683eb Description: iceweasel extension to handle web and image links - dummy package This is a transitional dummy package to ease the migration from the old iceweasel-linky to the new xul-ext-linky package. You can safely remove it. Homepage: http://gemal.dk/mozilla/linky.html Tag: implemented-in::ecmascript, role::plugin, suite::mozilla, use::downloading Section: web Priority: optional Filename: pool/main/i/iceweasel-linky/iceweasel-linky_3.0.0-2_all.deb Package: iceweasel-scrapbook Source: scrapbook Version: 1.5.4-1 Installed-Size: 28 Maintainer: Debian Mozilla Extension Maintainers Architecture: all Depends: xul-ext-scrapbook Size: 3508 SHA256: caedea27e9e77e274d4d7a33766366021b823428e8c5230060439ec1cd4779f7 SHA1: 77f37ad9333240d8dbe30a97abf7b6fb318c5aa3 MD5sum: de57a8d4b05573b739dcf4cde3329e6d Description: transitional dummy package This is a transitional dummy package to ease the migration from the iceweasel-scrapbook to the new xul-ext-scrapbook package. You can remove it safely. Homepage: http://amb.vis.ne.jp/mozilla/scrapbook/ Tag: implemented-in::ecmascript, interface::x11, role::plugin, suite::mozilla, use::browsing, use::editing, use::organizing, use::storing, works-with-format::html, works-with::text Section: web Priority: optional Filename: pool/main/s/scrapbook/iceweasel-scrapbook_1.5.4-1_all.deb Package: iceweasel-torbutton Source: torbutton Version: 1.4.6-1 Installed-Size: 16 Maintainer: Jérémy Bobbio Architecture: all Depends: xul-ext-torbutton Size: 15890 SHA256: 942504461632f8e4bedf1809f6ec216755e31691a1de0d075c0b3145354f6ff4 SHA1: 797126e0160070066bf38e2c08d56506580482ff MD5sum: 3f7106da1b19e6cea63db89de961ca6f Description: transitional dummy package This is a transitional dummy package to ease the migration from the iceweasel-torbutton to the new xul-ext-torbutton package. You can remove it safely. Homepage: https://www.torproject.org/torbutton/ Tag: role::plugin, security::privacy, suite::mozilla Section: web Priority: optional Filename: pool/main/t/torbutton/iceweasel-torbutton_1.4.6-1_all.deb Package: iceweasel-vimperator Source: vimperator Version: 3.3-2 Installed-Size: 1505 Maintainer: Francois Marier Architecture: all Depends: iceweasel (>= 4) Size: 555186 SHA256: 0fad65ac46951b16afabe3a88b7c3a189070437d4b0e81caf589e1d7f21335d4 SHA1: 6f1f0853e7276bd189469fb5e9e6985924cf880f MD5sum: 82f5139ede4dd84a9439429fb909f2c4 Description: Iceweasel extension to make it have vim look and feel Vimperator is an iceweasel add-on, which makes it look and behave like the Vim text editor. It has similar key bindings, and you could call it a modal web browser, as key bindings differ according to which mode you are in. Homepage: http://vimperator.org/vimperator Tag: role::plugin, suite::mozilla, works-with::people Section: web Priority: optional Filename: pool/main/v/vimperator/iceweasel-vimperator_3.3-2_all.deb Package: icewm Version: 1.3.7-4 Architecture: armhf Maintainer: Eduard Bloch Installed-Size: 1671 Depends: icewm-common (= 1.3.7-4), libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxft2 (>> 2.1.1), libxinerama1, libxrandr2 (>= 2:1.2.0), ttf-dejavu-core | custom-icewm-font Suggests: icewm-gnome-support Provides: x-window-manager Homepage: http://www.icewm.org Priority: optional Section: x11 Filename: pool/main/i/icewm/icewm_1.3.7-4_armhf.deb Size: 285828 SHA256: 15e499489f5f9ab302fe2ec14f1e342e2021f3d9c4366fcc636540f6b6e6a1fe SHA1: 7ed9414352046c01ce76e71505529474b8c1971d MD5sum: c9bf10c31daa4679e4afec56c163af47 Description: wonderful Win95-OS/2-Motif-like window manager IceWm is a Window Manager for X Window System. It is fast and memory-efficient, and it provides many different looks including Windows'95, OS/2 Warp 3,4, Motif. It tries to take the best features of the above systems. Additional features include multiple workspaces, opaque move/resize, task bar, window list, mailbox status, digital clock. . GNOME support files can be found in the `icewm-gnome-support' package. . Extra themes are available from the icewm-themes package. Package: icewm-common Source: icewm Version: 1.3.7-4 Architecture: armhf Maintainer: Eduard Bloch Installed-Size: 1610 Recommends: menu Suggests: icewm-themes, icepref, iceme, grun, xlockmore Conflicts: menu (<< 2.1.9-1) Replaces: icewm (<< 1.2.14) Homepage: http://www.icewm.org Priority: optional Section: x11 Filename: pool/main/i/icewm/icewm-common_1.3.7-4_armhf.deb Size: 302264 SHA256: 36976c048a1b17ff244a65b0a75829f9ed849d152fab7ebb569c20494de7adea SHA1: 36a478bb537f6deeaf8116bc3743d726d4ee1a43 MD5sum: ab8cd3f00a29bb0c6d889a2f3487fef6 Description: wonderful Win95-OS/2-Motif-like window manager IceWm is a Window Manager for X Window System. Can emulate the look of Windows'95, OS/2 Warp 3,4, Motif. Tries to take the best features of the above systems. Features multiple workspaces, opaque move/resize, task bar, window list, mailbox status, digital clock. Fast and small. . This package provides the common files for icewm, icewm-experimental and icewm-lite binary packages. Package: icewm-experimental Source: icewm Version: 1.3.7-4 Architecture: armhf Maintainer: Eduard Bloch Installed-Size: 51 Depends: icewm (= 1.3.7-4), icewm-common (= 1.3.7-4), ttf-dejavu-core | custom-icewm-font Provides: x-window-manager Homepage: http://www.icewm.org Priority: optional Section: x11 Filename: pool/main/i/icewm/icewm-experimental_1.3.7-4_armhf.deb Size: 2584 SHA256: 966ab423483f2577cb3fd5cf2b037cdbdc7e26bffcb6cea8c4a9d4c6e1035b2f SHA1: f61c271cc4ccab55147e8d8552e6a80ccb64896d MD5sum: 4e7237cd439db291fc02f8d7d6a151ab Description: wonderful Win95-OS/2-Motif-like window manager IceWm is a Window Manager for X Window System. It is fast and memory-efficient, and it provides many different looks including Windows'95, OS/2 Warp 3,4, Motif. It tries to take the best features of the above systems. Additional features include multiple workspaces, opaque move/resize, task bar, window list, mailbox status, digital clock. . This package sometimes provides special IceWm versions with experimental features enabled. When the configurations of the packages do not diverge it simply enforces an upgrade to the current icewm package. Package: icewm-gnome-support Source: icewm Version: 1.3.7-4 Architecture: armhf Maintainer: Eduard Bloch Installed-Size: 115 Depends: icewm-common (= 1.3.7-4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgnome-desktop-2-17, libgnome2-0 (>= 2.17.3), libgnomevfs2-0 (>= 1:2.17.90) Conflicts: gnome-control-center (<< 1:2.0), icewm-gnome Replaces: icewm-gnome Provides: icewm-gnome Homepage: http://www.icewm.org Priority: optional Section: gnome Filename: pool/main/i/icewm/icewm-gnome-support_1.3.7-4_armhf.deb Size: 25542 SHA256: 99e22a01995ac31e23549580da796d14016cae92130e49df6aac64ac34d98d45 SHA1: 6c3096b5359f3ec2d72bc7c9960d1e3f271ab5ba MD5sum: 9f3d9879f647df448be3d0b759e12433 Description: GNOME support files for IceWM This package provides all files needed for IceWM to enable GNOME related features. . IceWm is a Window Manager for X Window System. It is fast and memory-efficient, and it provides many different looks including Windows'95, OS/2 Warp 3,4, Motif. Package: icewm-lite Source: icewm Version: 1.3.7-4 Architecture: armhf Maintainer: Eduard Bloch Installed-Size: 1084 Depends: icewm-common (= 1.3.7-4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxinerama1 Provides: x-window-manager Homepage: http://www.icewm.org Priority: optional Section: x11 Filename: pool/main/i/icewm/icewm-lite_1.3.7-4_armhf.deb Size: 190330 SHA256: c14a923ccc334eec32d93d3a03f7da902872785373978f884fe72596103c6b01 SHA1: 299a878f56650bba9e8c003209bc62202fd0983b MD5sum: 00528a9508425e0b05c7b862a3187f0f Description: wonderful Win95-OS/2-Motif-like window manager IceWm is a Window Manager for X Window System. It is fast and memory-efficient, and it provides many different looks including Windows'95, OS/2 Warp 3,4, Motif. It tries to take the best features of the above systems. Additional features include multiple workspaces, opaque move/resize, task bar, window list, mailbox status, digital clock. . This package provides a minimal icewm binary with no support for taskbar and antialiased fonts. . Extra themes are available from the icewm-themes package. Package: icewm-themes Version: 1.2.26-2 Installed-Size: 8375 Maintainer: Eduard Bloch Architecture: all Depends: icewm-common (>= 1.2.6) Size: 2682802 SHA256: deb9ed4da21a0aa3a85eb03155e62d303450f2718415d2a4c7f2da653ff71458 SHA1: 05cdb4c0f17c4ed63d3b76a23275b771b0698ab5 MD5sum: 77bf3dc0200055f003976bed7b211769 Description: Theme files for the Ice Window Manager This package contains the contributed theme files for icewm. It contains nice looking themes, emulating the look of Windows'95, OS/2 Warp 3, or produced by phantasy of various people. . Ice Window Manager for X Window System. Tries to take the best features of the above systems. Features multiple workspaces, opaque move/resize, task bar, window list, mailbox status, digital clock. Fast and small. Tag: interface::x11, role::app-data, x11::theme Section: x11 Priority: optional Filename: pool/main/i/icewm-themes/icewm-themes_1.2.26-2_all.deb Package: icheck Version: 0.9.7-6.1 Architecture: armhf Maintainer: Erinn Clark Installed-Size: 504 Depends: libc6 (>= 2.4), perl (>= 5.14.2-9), perlapi-5.14.2 Priority: optional Section: devel Filename: pool/main/i/icheck/icheck_0.9.7-6.1_armhf.deb Size: 86092 SHA256: 2b9576dfbf96e63d0ceaab888e67212bbce86cc794871055ef8b7a63c2f79170 SHA1: d34a715b269b465fdce38b854ae40ec0f759f533 MD5sum: caab100aef84071d274a0f80f7047e71 Description: C interface ABI/API checker A tool for statically checking C interfaces for API and ABI changes. All changes to type declarations that can cause ABI changes should be detected, along with most API changes. . icheck is intended for use with libraries, as a method of preventing ABI drift. Package: icicles Version: 23.0+20110910-2 Installed-Size: 3961 Maintainer: Sebastien Delafond Architecture: all Depends: emacs23 | emacs22 | emacs-snapshot Size: 1116064 SHA256: de2bae4b3231798f2f777ca8f4f851d83c198f9fcf1b47b51d73f6806ef243a1 SHA1: 6e849701d0f9a8b86dedd8a9e63ec24053018cae MD5sum: 5b49826e694d775a9b0010ab5f6f157d Description: emacs library that enhances minibuffer/input completion Icicles lets you do the following: * cycle through completion candidates that match your current input. * use a pattern to match completion candidates, including: - regexp matching (including substring). - fuzzy matching. - prefix matching (as in vanilla Emacs). - command abbreviation matching. * use multiple regexps to match candidates, chaining these filters together like piped 'grep' commands. * see all possible complete inputs (pertinent commands, variables, and so on) that match your partial or regexp input: the list is updated dynamically (incrementally) if you change your input. * see all previous inputs that match your partial or regexp input, and selectively reuse them. * match input against completion candidates that do not match a given regexp; that is, complement the set of matches and use the result for subsequent matching. * use multiple regexps to search (and replace) text across multiple buffers, files, or regions. * search areas of text that have a certain text property, such as a face. * browse Imenu or tags entries that match your partial or regexp input. * create and use multiple-choice menus; that is, menus where you can choose multiple entries any number of times. * create and use multi-commands so you can perform an action on any number of candidate inputs any number of times. * perform set operations (intersection, union, etc) on the fly, using sets of completion candidates or other strings. * persistently save and later reuse sets of completion candidates (e.g. project file names). * complete input piecewise, against multiple completion candidates, in parallel. * complete key sequences, and navigate the key-binding hierarchy (this includes the menu bar menu hierarchy) (see also LaCarte) * sort completion candidates on the fly, in multiple, context-dependent ways. Homepage: http://www.emacswiki.org/emacs/Icicles Tag: implemented-in::lisp, role::plugin, suite::emacs, use::editing, use::filtering, use::searching, works-with::text Section: misc Priority: optional Filename: pool/main/i/icicles/icicles_23.0+20110910-2_all.deb Package: icinga Version: 1.7.1-7 Architecture: armhf Maintainer: Debian Nagios Maintainer Group Installed-Size: 29 Depends: icinga-cgi (= 1.7.1-7), icinga-core (= 1.7.1-7) Suggests: nagios-nrpe-plugin Priority: optional Section: net Filename: pool/main/i/icinga/icinga_1.7.1-7_armhf.deb Size: 1300 SHA256: 07e5b258628e20e68b49eb7aa90ead899d524b6bbf0e1cbd082b22f3a1ca327a SHA1: 41a4ebb3a0844f527a066fa67ce28938a0842ddc MD5sum: 39d99076edbaa03c66c00c902b9d525a Description: host and network monitoring system - metapackage Icinga is a modular monitoring framework for hosts, services, and networks, based on the Nagios project. It is designed to be easy to understand and modify to fit any need. . Features include: * monitoring of network services via ping, SMTP, POP3, HTTP, NNTP, or TCP port; * plugin interface to allow for user-developed service checks * contact notifications when problems occur and get resolved (via email, pager, or user-defined method) * support for proactive problem resolution (handlers can be defined to be run during service or host events) * web output: current status, notifications, problem history, log file, etc. . This is a metapackage which depends on the Icinga core and the web front-end. Package: icinga-cgi Source: icinga Version: 1.7.1-7 Architecture: armhf Maintainer: Debian Nagios Maintainer Group Installed-Size: 4606 Depends: adduser, apache2-utils, coreutils (>= 4.5.3), icinga-common (= 1.7.1-7), libjs-jquery (>= 1.4.2-2~), ucf (>= 0.28), debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4) Recommends: apache2 | httpd, nagios-images (>> 0.1) Priority: optional Section: net Filename: pool/main/i/icinga/icinga-cgi_1.7.1-7_armhf.deb Size: 1901658 SHA256: ee1dce64919315040a8ec1896106bae68f7078e08a722f01664af67bfc0ad907 SHA1: c3eb07ffef75d4646af0b0c2ee7f50fc44a1cbd4 MD5sum: 52daee6b2b6e8a19e0ecfff39a180db1 Description: host and network monitoring system - CGI scripts Icinga is a modular monitoring framework for hosts, services, and networks, based on the Nagios project. It is designed to be easy to understand and modify to fit any need. . Features include: * monitoring of network services via ping, SMTP, POP3, HTTP, NNTP, or TCP port * plugin interface to allow for user-developed service checks * contact notifications when problems occur and get resolved (via email, pager, or user-defined method) * support for proactive problem resolution (handlers can be defined to be run during service or host events) * web output: current status, notifications, problem history, log file, etc. . This package provides CGI script files. Package: icinga-common Source: icinga Version: 1.7.1-7 Installed-Size: 328 Maintainer: Debian Nagios Maintainer Group Architecture: all Depends: adduser, bsd-mailx | mailx, coreutils (>= 4.5.3), dpkg (>= 1.16.1), lsb-base (>= 3.0-6), nagios-plugins-basic, ucf (>= 0.28), debconf (>= 0.5) | debconf-2.0 Size: 110110 SHA256: 096c9d37e20510a40fdfbcfc7ff45d967e08adf257b53f7c800d5edfd33572a4 SHA1: 0b77061c30e488e4025415f4b95272b57c28ea76 MD5sum: a9852149f8accc31dabab6e40d29a65e Description: host and network monitoring system - support files Icinga is a modular monitoring framework for hosts, services, and networks, based on the Nagios project. It is designed to be easy to understand and modify to fit any need. . Features include: * monitoring of network services via ping, SMTP, POP3, HTTP, NNTP, or TCP port * plugin interface to allow for user-developed service checks * contact notifications when problems occur and get resolved (via email, pager, or user-defined method) * support for proactive problem resolution (handlers can be defined to be run during service or host events) * web output: current status, notifications, problem history, log file, etc. . This package contains the common files for all Icinga packages. Recommends: nagios-plugins Section: net Priority: optional Filename: pool/main/i/icinga/icinga-common_1.7.1-7_all.deb Package: icinga-core Source: icinga Version: 1.7.1-7 Architecture: armhf Maintainer: Debian Nagios Maintainer Group Installed-Size: 692 Depends: icinga-common (= 1.7.1-7), libc6 (>= 2.13-28), libperl5.14 (>= 5.14.2) Suggests: nagios-nrpe-plugin Replaces: icinga Priority: optional Section: net Filename: pool/main/i/icinga/icinga-core_1.7.1-7_armhf.deb Size: 268240 SHA256: c36982d367cadf82152b31d1c55916ce66523b491f4ff06953ce45011dbbc67e SHA1: 950d8e36f9c53296f8a659e2467a2a76e93bd24e MD5sum: 223d82374f4c772fff5b410d2d570de6 Description: host and network monitoring system - core files Icinga is a modular monitoring framework for hosts, services, and networks, based on the Nagios project. It is designed to be easy to understand and modify to fit any need. . Features include: * monitoring of network services via ping, SMTP, POP3, HTTP, NNTP, or TCP port * plugin interface to allow for user-developed service checks; * contact notifications when problems occur and get resolved (via email, pager, or user-defined method) * support for proactive problem resolution (handlers can be defined to be run during service or host events) * web output: current status, notifications, problem history, log file, etc. . This package provides the core of Icinga without the web front-end. Package: icinga-dbg Source: icinga Version: 1.7.1-7 Architecture: armhf Maintainer: Debian Nagios Maintainer Group Installed-Size: 10519 Depends: icinga-core (= 1.7.1-7), libc6 (>= 2.13-28), libperl5.14 (>= 5.14.2) Priority: extra Section: debug Filename: pool/main/i/icinga/icinga-dbg_1.7.1-7_armhf.deb Size: 3886376 SHA256: b0bea19ec44204a9bddb4933bbb7cba4a130c84ca5a1f05e3b6abb96323fbe7d SHA1: 0eb6e266a88d546e8a4523f2af7b278c0a56ab15 MD5sum: 1aea6d11c6730a349ab920a891915fbb Description: host and network monitoring system - debug files Icinga is a modular monitoring framework for hosts, services, and networks, based on the Nagios project. It is designed to be easy to understand and modify to fit any need. . Features include: * monitoring of network services via ping, SMTP, POP3, HTTP, NNTP, or TCP port * plugin interface to allow for user-developed service checks; * contact notifications when problems occur and get resolved (via email, pager, or user-defined method) * support for proactive problem resolution (handlers can be defined to be run during service or host events) * web output: current status, notifications, problem history, log file, etc. . This package provides gdb debugging symbols for the Icinga binaries and the mini_epn tool which can help in debugging Icinga problems. Package: icinga-doc Source: icinga Version: 1.7.1-7 Installed-Size: 10650 Maintainer: Debian Nagios Maintainer Group Architecture: all Size: 5354974 SHA256: a3a4680aa3ec4f6c716dea231b5f48fced1cc8392fa4a21f089fe3e87c688981 SHA1: d4e2e1b8aca4bf6840d1ffd30546abb981205a33 MD5sum: bb475459933fc105c4b44a6b65caf7af Description: host and network monitoring system - documentation Icinga is a modular monitoring framework for hosts, services, and networks, based on the Nagios project. It is designed to be easy to understand and modify to fit any need. . Features include: * monitoring of network services via ping, SMTP, POP3, HTTP, NNTP, or TCP port * plugin interface to allow for user-developed service checks; * contact notifications when problems occur and get resolved (via email, pager, or user-defined method) * support for proactive problem resolution (handlers can be defined to be run during service or host events) * web output: current status, notifications, problem history, log file, etc. . This package provides the documentation for Icinga. Section: doc Priority: optional Filename: pool/main/i/icinga/icinga-doc_1.7.1-7_all.deb Package: icinga-idoutils Source: icinga Version: 1.7.1-7 Architecture: armhf Maintainer: Debian Nagios Maintainer Group Installed-Size: 1374 Depends: dbconfig-common, icinga-common (= 1.7.1-7), libdbd-mysql | libdbd-pgsql, lsb-base (>= 3.0-6), ucf, debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libdbi1 (>= 0.8.4) Recommends: mysql-client | postgresql-client Priority: optional Section: net Filename: pool/main/i/icinga/icinga-idoutils_1.7.1-7_armhf.deb Size: 260726 SHA256: 45ff062d57f8733a8a407ca7c65e2268b131d94ebe3feb65a47e33ed0e109516 SHA1: 7f88e2012baf007bf12d0355758e6b64fe3a64f0 MD5sum: c6d48766425962688f366ec2e896f91e Description: host and network monitoring system - icinga-dataobjects support Icinga is a modular monitoring framework for hosts, services, and networks, based on the Nagios project. It is designed to be easy to understand and modify to fit any need. . Features include: * monitoring of network services via ping, SMTP, POP3, HTTP, NNTP, or TCP port * plugin interface to allow for user-developed service checks * contact notifications when problems occur and get resolved (via email, pager, or user-defined method) * support for proactive problem resolution (handlers can be defined to be run during service or host events) * web output: current status, notifications, problem history, log file, etc. . This package contains icinga-dataobjects support which makes it possible to import Icinga status information messages into an SQL database. Package: icinga-web Version: 1.7.1+dfsg2-6 Installed-Size: 28419 Maintainer: Debian Nagios Maintainer Group Architecture: all Depends: dbconfig-common, icinga-core (>= 1.7.0), icinga-idoutils (>= 1.7.0), php5, php5-cli, php5-gd, php5-mysql | php5-pgsql, php5-xsl, ucf, debconf (>= 0.5) | debconf-2.0 Recommends: apache2 | httpd, mysql-client | postgresql-client Size: 7350508 SHA256: d28ef11c7e13bd797629ad8d08a7e74f4adc081e77e25af8749924b824f3862a SHA1: 8e41b12e35098dd1b1d3222d3b5acab1f912d51a MD5sum: 0419c24a48e8d0dbfaf3890d707baf25 Description: host and network monitoring system - modern web interface Icinga is a modular monitoring framework for hosts, services, and networks, based on the Nagios project. It is designed to be easy to understand and modify to fit any need. . Features include: * monitoring of network services via ping, SMTP, POP3, HTTP, NNTP, or TCP port; * plugin interface to allow for user-developed service checks; * contact notifications when problems occur and get resolved (via email, pager, or user-defined method) * support for proactive problem resolution (handlers can be defined to be run during service or host events); * web output: current status, notifications, problem history, log file, etc. . This package provides the new web interface to view Icinga monitoring results and send commands to the Icinga Core. Host and service status, history, notifications and status maps are available to keep a check on the health of your network in real time. Homepage: http://www.icinga.org Section: php Priority: extra Filename: pool/main/i/icinga-web/icinga-web_1.7.1+dfsg2-6_all.deb Package: icinga-web-pnp Source: icinga-web Version: 1.7.1+dfsg2-6 Installed-Size: 94 Maintainer: Debian Nagios Maintainer Group Architecture: all Depends: icinga-web (= 1.7.1+dfsg2-6), pnp4nagios Size: 17216 SHA256: 7e922548dbacc05c7c8d181c9e3d8bc83904686b4da92eca21dfda7b157a2e5c SHA1: 50963fe2411b3e8e2fc0ddf502bbc16d9ebf09d8 MD5sum: 176485c958ce3c262f67a8fe68595fc9 Description: host and network monitoring system - PNP4Nagios web plugin Icinga is a modular monitoring framework for hosts, services, and networks, based on the Nagios project. It is designed to be easy to understand and modify to fit any need. . Features include: * monitoring of network services via ping, SMTP, POP3, HTTP, NNTP, or TCP port; * plugin interface to allow for user-developed service checks; * contact notifications when problems occur and get resolved (via email, pager, or user-defined method) * support for proactive problem resolution (handlers can be defined to be run during service or host events); * web output: current status, notifications, problem history, log file, etc. . This package provides a plugin for Icinga's new web interface, integrating PNP4Nagios to provide direct access to its data. Homepage: http://www.icinga.org Section: php Priority: extra Filename: pool/main/i/icinga-web/icinga-web-pnp_1.7.1+dfsg2-6_all.deb Package: icli Version: 0.42-1 Installed-Size: 68 Maintainer: Debian Nagios Maintainer Group Architecture: all Depends: perl, perl-modules (>= 5.10.1~rc2-1) | libautodie-perl, liblist-moreutils-perl, libterm-size-perl, libtimedate-perl Enhances: icinga Size: 16388 SHA256: 8091cccbae9ca630c338b13cc4deda0eb41f74b877ed31b845d3952cc870efcd SHA1: 6d848bf39d88a421103852e0a014937009d72406 MD5sum: 32b0241aeeba3599abaf65adb286e114 Description: command line interface for the icinga monitoring system icli is a command line interface to Icinga. It can show the service, host, queue or downtime status of all or specified hosts or hostgroups. It can also be used to schedule service rechecks. . By overriding the status file path names, it can also be used with a nagios installation. Homepage: http://derf.homelinux.org/projects/icinga-cli/ Tag: admin::monitoring, interface::commandline, role::program, use::monitor Section: net Priority: optional Filename: pool/main/i/icli/icli_0.42-1_all.deb Package: icmake Version: 7.18.00-2 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 262 Pre-Depends: dpkg (>= 1.15.7.2) Depends: libc6 (>= 2.13-28) Suggests: icmake-doc Homepage: http://icmake.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/i/icmake/icmake_7.18.00-2_armhf.deb Size: 99676 SHA256: cc64d431f3c303bdd2bd50bb0d4e438b551584d0440da5dc986ba1de1671e39b SHA1: e071a93f0815d4fd219352908877accc67545862 MD5sum: 96f94d425a56e26c877bfba9deca798e Description: Intelligent C-like MAKEr, or the ICce MAKE utility Icmake is a hybrid between a 'make' utility and a 'shell script' language. Originally, it was written to provide a useful tool for automatic program maintenance and system administrative tasks on MS-DOS platforms. Package: icmake-doc Source: icmake Version: 7.18.00-2 Installed-Size: 143 Maintainer: Francesco Paolo Lovergine Architecture: all Size: 100542 SHA256: 22d98d1635cfe639e2fba74659eb63fbe3ff848bb61176c80df14cc15651ec4b SHA1: 293116a7fc22d574ff4ebb0122e4834a56cec014 MD5sum: 47cc5bed573291a2d5d33548492e6601 Description: Documentation files for icmake Icmake is a hybrid between a 'make' utility and a 'shell script' language. Originally, it was written to provide a useful tool for automatic program maintenance and system administrative tasks on MS-DOS platforms. . This package provides the supplemental documentation for icmake. Homepage: http://icmake.sourceforge.net/ Tag: devel::doc, devel::examples, made-of::postscript, role::documentation Section: doc Priority: optional Filename: pool/main/i/icmake/icmake-doc_7.18.00-2_all.deb Package: icmpinfo Version: 1.11-7 Architecture: armhf Maintainer: Alberto Gonzalez Iniesta Installed-Size: 54 Depends: libc6 (>= 2.7) Priority: optional Section: net Filename: pool/main/i/icmpinfo/icmpinfo_1.11-7_armhf.deb Size: 12650 SHA256: 332d56e7507f0d75872c954f8a8c7fb757320f726edb1afda99b6a16e3d18119 SHA1: 08ddf6de8ef00882441b3d12933fe521654d4511 MD5sum: 4c154c35fc98bcda1b6d1153054d22e3 Description: Interpret ICMP messages Icmpinfo is a tool for looking at the ICMP messages received on the running host. It can be used to detect and record 'bombs' as well as various network problems. Package: icmptx Version: 0.2-1 Architecture: armhf Maintainer: Runa Sandvik Installed-Size: 53 Depends: libc6 (>= 2.4) Homepage: http://github.com/jakkarth/icmptx Priority: extra Section: net Filename: pool/main/i/icmptx/icmptx_0.2-1_armhf.deb Size: 7384 SHA256: e16bc1cb0099a1727c59007730af365ed9d1adba74f3b0ae54c1e822f6cb5020 SHA1: 26992af64e4879d009ce8efcbb6f2f3261fd4e8f MD5sum: 99af80eea2befd5309b6056dc28f50b1 Description: Tunnel IP over ICMP ICMPTX is a program that allows a user with root privledges to create a virtual network link between two computers, encapsulating data inside of ICMP packets. Package: icmpush Version: 2.2-6 Architecture: armhf Maintainer: Ola Lundqvist Installed-Size: 88 Depends: libc6 (>= 2.4) Priority: extra Section: net Filename: pool/main/i/icmpush/icmpush_2.2-6_armhf.deb Size: 30742 SHA256: 5b2e3e8a10f09e47d404230006c26a4daa63d48adc45d42e7f4e8692e232e33d SHA1: 9f83811755cf9b4f66c1e6582e98ee8c159d7893 MD5sum: d7bf9a0e9e98b8f45015926fc7c70c0d Description: ICMP packet builder icmpush is a tool that builds ICMP packets fully customized from command line. . It supports the following ICMP error types: Redirect, Source Quench, Time Exceeded, Destination Unreach and Parameter Problem. . And the following ICMP information types: Address Mask Request, Timestamp, Information Request, Echo Request, Router Solicitation and Router Advertisement. Package: icnsutils Source: libicns Version: 0.8.1-1 Architecture: armhf Maintainer: Mathew Eis Installed-Size: 83 Depends: libc6 (>= 2.13-28), libicns1 (>= 0.7.0), libpng12-0 (>= 1.2.13-4) Multi-Arch: foreign Homepage: http://icns.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/libi/libicns/icnsutils_0.8.1-1_armhf.deb Size: 27170 SHA256: 406ff497e98df03c1c809dcfe2924fb6b99bce156fb6168cfc339f33c23957b8 SHA1: ee1ad134de0f89f2806008ce6879b1c30ec5304a MD5sum: 72226df8e0831947e6952b893e7fd7b8 Description: utilities for manipulating Mac OS icns files icnsutils includes icns2png and png2icns, two utilies used to extract PNG images from icns files, and create icns files from PNG images. Package: icom Version: 20040912-1.1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 275 Depends: libc6 (>= 2.7) Priority: extra Section: hamradio Filename: pool/main/i/icom/icom_20040912-1.1_armhf.deb Size: 123358 SHA256: f9ee900f464843106cd47e668ba3efa3dabcab40f0b86a9ef64d642bdd44a21f SHA1: 106eb96f636985830626c95d7fda8cee27b52b94 MD5sum: 94a4cffec0ee81a66faade4d4135fe0f Description: Software control for ICOM radios with CI-V interface This program allows you to control many types of ICOM radio (transceivers and receivers) from the serial port on your computer. You need a CI-V interface circuit to connect the radio to the computer (to convert between RS-232 and TTL), which can be easily found on the web. Package: icomlib-bin Source: icomlib Version: 1.0.1-8.1 Architecture: armhf Maintainer: A. Maitland Bottoms Installed-Size: 59 Depends: icomlib1 (>= 1.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Priority: optional Section: hamradio Filename: pool/main/i/icomlib/icomlib-bin_1.0.1-8.1_armhf.deb Size: 14038 SHA256: d20206f92c652e630a16083689f01e160bd005ea7c17ace40b9bb1789c7a2af8 SHA1: 077e90c7ebfcd0efcafbc540f9c4f8f89a6b95fd MD5sum: 2d7b8908c5c6d5f2f66c898b687a49d9 Description: Icom PCR-1000 command line control icomlib is the ghetto.org PCR-1000 control suite. It consists of a library, command line programs, and a Qt widget GUI application. . This software controls an ICOM PCR-1000 receiver via a serial interface. Package: icomlib-doc Source: icomlib Version: 1.0.1-8.1 Installed-Size: 604 Maintainer: A. Maitland Bottoms Architecture: all Size: 168072 SHA256: 5cb5ef5de336bb80c487d35a02caf1e8b0c2a55cc4f74a65e3746eccc24ae9bf SHA1: 294a0e01172a460ee591070b926d5ab4e0470a9d MD5sum: 20694ce3ec8efbbb1dd7762388c146e4 Description: Documentation for icomlib icomlib is the ghetto.org PCR-1000 control suite. It consists of a library, command line programs, and a Qt widget GUI application. . This software controls an ICOM PCR-1000 receiver via a serial interface. Tag: hardware::hamradio, role::documentation Section: doc Priority: optional Filename: pool/main/i/icomlib/icomlib-doc_1.0.1-8.1_all.deb Package: icomlib1 Source: icomlib Version: 1.0.1-8.1 Architecture: armhf Maintainer: A. Maitland Bottoms Installed-Size: 59 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Suggests: icomlib-bin, icomlib-doc, qpcr1k Priority: optional Section: hamradio Filename: pool/main/i/icomlib/icomlib1_1.0.1-8.1_armhf.deb Size: 14748 SHA256: 56290ad27857f25ff86487378aef61f9b1590c56c9bd292021de5e42b11ee200 SHA1: ec1bca9bd010d28f10ddcd1a9a63388fc8d0a89d MD5sum: a9dee48181d8792d75c6001bb3712929 Description: Icom PCR-1000 control shared libraries icomlib is the ghetto.org PCR-1000 control suite. It consists of a library, command line programs, and a Qt widget GUI application. . This software controls an ICOM PCR-1000 receiver via a serial interface. Package: icomlib1-dev Source: icomlib Version: 1.0.1-8.1 Architecture: armhf Maintainer: A. Maitland Bottoms Installed-Size: 71 Depends: icomlib1, libc6-dev Priority: optional Section: hamradio Filename: pool/main/i/icomlib/icomlib1-dev_1.0.1-8.1_armhf.deb Size: 24044 SHA256: f5c3dab9a7995a90e9a8b2b11d64fe823c316c537ad82bd5e1678050330c1e5c SHA1: 7092aac5744d74932c56c6035341c803f57bd0b4 MD5sum: 59a305e23619cbb3dc27e50e1259cb06 Description: Icom PCR-1000 development kit icomlib is the ghetto.org PCR-1000 control suite. It consists of a library, command line programs, and a Qt widget GUI application. . This software controls an ICOM PCR-1000 receiver via a serial interface. Package: icon-ipl Source: icon Version: 9.4.3-4.2 Installed-Size: 6619 Maintainer: Christian Hudon Architecture: all Recommends: icont Size: 1413340 SHA256: bba87df135a5147d79cb032015d24ad113140a64196ed124f9cf80459de4541a SHA1: 25bb4c2580065cd1a21514dc244e090ef823fce8 MD5sum: ac1cd260a732d797ff60ad09024e1beb Description: Libraries for Icon, a high-level programming language Ideal for both complex nonnumerical applications and for situations where users need quick solutions with a minimum of programming effort, Icon is a high-level, general purpose programming language with a syntax similar to Pascal and C. Its applications include: rapid prototyping, analyzing natural languages, generating computer programs, and artificial intelligence. (From the back cover of the book "The Icon Programming Language," by Griswold and Griswold.) . This package contains the Icon program library, a library of Icon procedures and programs contributed by Icon users. Tag: devel::compiler, devel::interpreter, interface::commandline, role::app-data, works-with::software:source Section: devel Priority: optional Filename: pool/main/i/icon/icon-ipl_9.4.3-4.2_all.deb Package: icon-naming-utils Version: 0.8.90-2 Installed-Size: 116 Maintainer: Philipp Kern Architecture: all Depends: perl, libxml-simple-perl Size: 17448 SHA256: 75fe9ed994bd5cba462eb450bad8b5435691390cfeb7af953c2f3e7e8c695f56 SHA1: b265e3fdf2d1d6c4fb79adbe0dd4513aea723f32 MD5sum: 8acdfd41500cd0d4d04b30a60b6b3c42 Description: script for maintaining backwards compatibility of Tango Project Tango is a project to create a new cross-desktop and cross-platform icon theme, using a standard style guide, and the new Icon Naming Specification. This package contains the perl script for maintaining backwards compatibility. Homepage: http://www.tango-project.org Section: x11 Priority: optional Filename: pool/main/i/icon-naming-utils/icon-naming-utils_0.8.90-2_all.deb Package: icon-slicer Version: 0.3-6 Architecture: armhf Maintainer: Debian OLPC Installed-Size: 165 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libpopt0 (>= 1.14), x11-apps Homepage: http://freedesktop.org/wiki/Software/icon-slicer Priority: optional Section: graphics Filename: pool/main/i/icon-slicer/icon-slicer_0.3-6_armhf.deb Size: 68698 SHA256: cb8a970688429cc975b4585edaddf3b8513953fe3d89e35cb5d94ba3953232b4 SHA1: ef701ecd292081b74a9d18b0dd85bc1a6ded6aaa MD5sum: e72452a78737895d22e984617d6991aa Description: utility for generating icon themes and libXcursor cursor themes The inputs to icon-slicer are conceptually: . - A set of multi-layer images, one for each size - Am XML theme description file . Each image contains all the cursors arranged in a grid; For cursors the layers are: . - A layer with a dot for the hotspot of each cursor - The main image or first animation frame for multi-frame animated cursors - The second animation frame for multi-frame animated cursors - ... . For icons, the layers are: . - A layer with the images - An optional layer with attachment points for emblems - An optional layer with boxes for embedding text into icons . In practice, since loading of multilayer images is not supported by standard image libraries, each layer is input as a separate image file. . The theme description file contains, among other things, information about the source images to read, the location of each named cursor or icon within the grid, and a set of aliases from names to other names. Package: iconc Source: icon Version: 9.4.3-4.2 Architecture: armhf Maintainer: Christian Hudon Installed-Size: 6046 Depends: libc6 (>= 2.13-28), gcc, libx11-dev, libxt-dev Suggests: icont, icon-ipl Priority: optional Section: devel Filename: pool/main/i/icon/iconc_9.4.3-4.2_armhf.deb Size: 1579090 SHA256: 2f818a227889fb2cdc27103d2ac6139afaffec4e9c953670e154605da0d0de62 SHA1: e1d8434c85eb35b65f1bf4859f808267af012928 MD5sum: fd20ab892528ead31079ec7ffd2730ef Description: Compiler for Icon, a high-level programming language Ideal for both complex nonnumerical applications and for situations where users need quick solutions with a minimum of programming effort, Icon is a high-level, general purpose programming language with a syntax similar to Pascal and C. Its applications include: rapid prototyping, analyzing natural languages, generating computer programs, and artificial intelligence. (From the back cover of the book "The Icon Programming Language," by Griswold and Griswold.) . This package contains the Icon compiler. It generates programs that generally run faster than those of the icont/iconx Icon interpreter. On the other hand, the Icon compiler takes much longer to compile programs and is more memory-hungry than the iconx/icont pair. As such, the compiler is probably only useful to speed up the execution of large Icon programs once they are fully developed and debugged. Package: icont Source: icon Version: 9.4.3-4.2 Architecture: armhf Maintainer: Christian Hudon Installed-Size: 129 Depends: libc6 (>= 2.13-28) Recommends: iconx Suggests: icon-ipl Priority: optional Section: devel Filename: pool/main/i/icon/icont_9.4.3-4.2_armhf.deb Size: 49854 SHA256: 642f1f241d4dfc7ba2220cc3a267c64a5129ceee0fdeed6086ea206c4bdc8b3a SHA1: a866d5386a9e2ecd6e70eeb2401dee68288ee988 MD5sum: 806faba7e8839edf973ae17b79225a08 Description: Interpreter for Icon, a high-level programming language Ideal for both complex nonnumerical applications and for situations where users need quick solutions with a minimum of programming effort, Icon is a high-level, general purpose programming language with a syntax similar to Pascal and C. Its applications include: rapid prototyping, analyzing natural languages, generating computer programs, and artificial intelligence. (From the back cover of the book "The Icon Programming Language," by Griswold and Griswold.) . This package contains the Icon translator, which converts Icon source code into `ucode` that can then be executed with the help of the iconx program. Package: iconx Source: icon Version: 9.4.3-4.2 Architecture: armhf Maintainer: Christian Hudon Installed-Size: 369 Depends: libc6 (>= 2.13-28), libx11-6 Priority: optional Section: devel Filename: pool/main/i/icon/iconx_9.4.3-4.2_armhf.deb Size: 173632 SHA256: b26005162012adf2029d294b42dca2b845645e9d3a2ed5fcc917c8b877ed6dd2 SHA1: b3d5fab901dd812998e98fca238fe15a47c83d17 MD5sum: a11034f5d5cb5e66b36d87392d29775b Description: Executor for Icon, a high-level programming language Ideal for both complex nonnumerical applications and for situations where users need quick solutions with a minimum of programming effort, Icon is a high-level, general purpose programming language with a syntax similar to Pascal and C. Its applications include: rapid prototyping, analyzing natural languages, generating computer programs, and artificial intelligence. (From the back cover of the book "The Icon Programming Language," by Griswold and Griswold.) . This package contains the Icon executor, iconx, which is needed to execute interpreted Icon programs. If you are starting from Icon source code (as opposed to precompiled `ucode' files), you will also need the icont package, which converts your Icon source into a program that iconx can execute. Package: icoutils Version: 0.29.1-5deb7u2 Architecture: armhf Maintainer: Colin Watson Installed-Size: 144 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4), perl, libwww-perl Suggests: libterm-readline-gnu-perl | libterm-readline-perl-perl Multi-Arch: foreign Homepage: http://www.nongnu.org/icoutils/ Priority: optional Section: graphics Filename: pool/main/i/icoutils/icoutils_0.29.1-5deb7u2_armhf.deb Size: 73490 SHA256: 17807f997326b450f190bddf275fa03d43407c35142947c8c392fa7006870bf7 SHA1: d47df58d965a1f291f9fefd294e4f36fc6fa39bf MD5sum: 6d070291543de22ab075695496e68cc3 Description: Create and extract MS Windows icons and cursors Icoutils is a set of programs that deal with MS Windows icons and cursors. Resources such as icons and cursors can be extracted from MS Windows executable and library files with "wrestool". Conversion of these files to and from PNG images is done with "icotool". "extresso" automates these tasks with the help of special resource scripts. . This package can be used to create "favicon.ico" files for web sites. Package: icu-doc Source: icu Version: 4.8.1.1-12+deb7u7 Installed-Size: 30349 Maintainer: Jay Berkenbilt Architecture: all Size: 1888834 SHA256: 27e06f7951a8b60c648bdd70841a60d75d5a168de8dcddee5e73f3c2f32d3824 SHA1: ed560fa7dbe954aceb0f3db0547705e683b362d3 MD5sum: 4002bc1bd76d7862c8723a460dd5a6da Description: API documentation for ICU classes and functions ICU is a C++ and C library that provides robust and full-featured Unicode and locale support. This package contains HTML files documenting the ICU APIs. Homepage: http://www.icu-project.org Section: doc Priority: optional Filename: pool/main/i/icu/icu-doc_4.8.1.1-12+deb7u7_all.deb Package: iczech Source: ispell-czech Version: 20040229-5.1 Architecture: armhf Maintainer: Petr Čech Installed-Size: 9725 Depends: dictionaries-common (>= 0.20), ispell (>= 3.3.02), debconf (>= 0.5) | debconf-2.0 Provides: ispell-dictionary Priority: optional Section: text Filename: pool/main/i/ispell-czech/iczech_20040229-5.1_armhf.deb Size: 2846366 SHA256: 69939f1c606c8c33daef82f426892a1d9570d10088381dd96775b89f50c284a9 SHA1: 78fb39ba8e39312241a890b9646446b7fc27a710 MD5sum: ac83c345a7f82e5aed2a91c52533b234 Description: The Czech dictionary for ispell This is the Czech dictionary for ispell. Author: Petr Kolář Package: id-utils Version: 4.5+dfsg-0.1 Architecture: armhf Maintainer: Bradley A. Bosch Installed-Size: 1073 Depends: libc6 (>= 2.13-28), dpkg (>= 1.15.4) | install-info Priority: optional Section: devel Filename: pool/main/i/id-utils/id-utils_4.5+dfsg-0.1_armhf.deb Size: 331788 SHA256: ead6f7bd2c277c990f83e13fa457a2c5cd2d6a8b65224942076d13bc703b56da SHA1: 54fbb38b8587733a0427e73025c5780b57c71fcd MD5sum: b02879eb3637e458d385608ed6edb6af Description: Fast, high-capacity, identifier database tool Actually, the term `identifier' is too limiting--`mkid' stores tokens, be they program identifiers of any form, literal numbers, or words of human-readable text. Database queries can be issued from the command-line, or from within emacs, serving as an augmented tags facility. Package: id3 Version: 0.15-3 Architecture: armhf Maintainer: Stefan Ott Installed-Size: 52 Depends: libc6 (>= 2.4) Homepage: http://id3.googlecode.com/ Priority: optional Section: sound Filename: pool/main/i/id3/id3_0.15-3_armhf.deb Size: 10964 SHA256: 16369372aa77700979da6cce79578b02a6f2050e6b4c6a72f09d0ea19712ad2c SHA1: e4a88385981a0844c379cbff5d67e9e36981bdd4 MD5sum: fd6fb17c94d0b841e09e2a1ce085d802 Description: An ID3 Tag Editor A command-line based program that can list, modify, or delete ID3 tags from a file. ID3 tags are a way of identifying streaming music files. You can store Artist, Album, Title, Track, Year, and Genre in a tag, as well as a 28-character comment. Package: id3ren Version: 1.1b0-6 Architecture: armhf Maintainer: Matthew Johnson Installed-Size: 80 Depends: libc6 (>= 2.4) Priority: optional Section: sound Filename: pool/main/i/id3ren/id3ren_1.1b0-6_armhf.deb Size: 25422 SHA256: 97d5aded52769994ec00a877fa338fff677bb419b1a2831ed137ff7cce8000ca SHA1: 490bc284bcd4fab142a6de3ca056fde211e91a87 MD5sum: c977f31966b6b4ba5ffcc1c4edaad247 Description: id3 tagger and renamer Tool used to rename batches of mpeg3 files by reading the ID3 tag at the end of the file which contains the song name, artist, album, year, and a comment. . The secondary function of id3ren is a tagger, which can create, modify, or remove ID3 tags. The id3 fields can be set on the command line, entered interactively, or "guessed" from the path and the filename. Package: id3tool Version: 1.2a-4 Architecture: armhf Maintainer: Paul Cager Installed-Size: 57 Depends: libc6 (>= 2.4) Priority: optional Section: sound Filename: pool/main/i/id3tool/id3tool_1.2a-4_armhf.deb Size: 13462 SHA256: 285865237a4a7716e18255ede01dad79fb789229a2f3823028ea426e1911468d SHA1: 74f2f15db962c81db3d3d445c98c03531f259d0d MD5sum: cd02dcb8f0bdc49d572b4181a2cfc1c1 Description: Command line editor for id3 tags A simple and complete editor for ID3 tags in MP3 files. ID3 tags are a way of identifying mp3 music files - you can store Artist, Album, Title, Track, Year, and Genre in a tag, as well as a 28-character comment. . Highly recommended for scripting and bulk operations where you need to edit id3 tags from scripts. . Can also be used to read id3 tags. . Homepage: http://nekohako.xware.cx/id3tool/ Package: id3v2 Version: 0.1.12-2 Architecture: armhf Maintainer: Stefan Ott Installed-Size: 91 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.1.1), libid3-3.8.3c2a, libstdc++6 (>= 4.4.0) Homepage: http://id3v2.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/i/id3v2/id3v2_0.1.12-2_armhf.deb Size: 24502 SHA256: 6557f36394b0fcbba114f52bb66082b98d321d01bd1e3810bc25494d3b345196 SHA1: 170c8afc0f67e6290d15855df6b5389eda887027 MD5sum: b873e7fb4cc4a03fd0a0fb8f44c5558d Description: A command line id3v2 tag editor A command-line tool to add, modify, remove, or view ID3v2 tags, as well as convert or list ID3v1 tags. ID3 tags are commonly embedded in compressed music files such as MP3 and are the standard way to more fully describe the work than would normally be allowed by putting the information in the filename. Package: idanish Source: dsdo Version: 1.6.25-1.1 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 2935 Depends: debconf (>= 0.5) | debconf-2.0, ispell (>= 3.3.02), dictionaries-common Suggests: wdanish Provides: ispell-dictionary Homepage: http://da.speling.org/ Priority: optional Section: text Filename: pool/main/d/dsdo/idanish_1.6.25-1.1_armhf.deb Size: 968800 SHA256: fdab136eb3ecf826070996409a2b3f2b88ea830cb3ac02a82c89d3e93af95d54 SHA1: 00392f8a1590acda7a404bfda5c3800fbaab718d MD5sum: ecc80724e7dff77abb03f5a16a6e332b Description: The Comprehensive Danish Dictionary (DSDO) - ispell The Comprehensive Danish Dictionary (DSDO) is a free spell-checking dictionary for Danish published by Skaane Sjaelland Linux User Group (SSLUG). One thing which makes this dictionary different from most other dictionaries is that it basically is the result of a vote among the proof-readers. The editorial group has _not_ proof-read all the words in the dictionary, but guides the proof-readers and keeps track of the overall status of the dictionary. . This is the Danish dictionary, to be used with ispell to check and correct spelling in Danish texts. Package: idecrypt Source: pidentd Version: 3.0.19.ds1-7 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 59 Depends: libc6 (>= 2.4), libssl1.0.0 (>= 1.0.0) Replaces: pidentd-des (<< 3.0.14) Homepage: http://www.lysator.liu.se/~pen/pidentd/ Priority: optional Section: utils Filename: pool/main/p/pidentd/idecrypt_3.0.19.ds1-7_armhf.deb Size: 20104 SHA256: 6f04bc715030a94b85cdd29ddd10a232d457df754ed7beeb837aa6dc57e3cd14 SHA1: 0576aa5406660bbbb2d6806c7e93297a3aae9d2b MD5sum: 4578c8fdc3f3cf1c7b35144fa22a311d Description: decrypt an encrypted response from pidentd This package contains the idecrypt(8) utility which is used to decrypt encrypted responses from a pidentd server with DES encryption turned on. Package: ident2 Version: 1.07-1.1 Architecture: armhf Maintainer: Chuan-kai Lin Installed-Size: 69 Depends: netbase, adduser, update-inetd, libc6 (>= 2.7) Conflicts: ident-server Provides: ident-server Homepage: http://www.panix.com/~mbac/source.html Priority: extra Section: net Filename: pool/main/i/ident2/ident2_1.07-1.1_armhf.deb Size: 20158 SHA256: 5643f972f8b8b082586d10eddb369a30b9f3261f0889e796599444ae68dfee15 SHA1: 88005ec6b4293f58ad9d11d379f9f1258fac65ee MD5sum: 6e5cbd41dbeaca3a2fdceddbe2193cbf Description: An advanced ident daemon ident2 is an advanced, configurable ident daemon. You can set it to lie, not lie, or not return any response at all, and it is per-user configurable (e.g. if user daniel was IRCing, it'd use ~daniel/.ident for its config, if user kim was IRCing, it'd use ~kim/.ident). The admin can specify whether users can configure the type of return they want or not. Package: identicurse Version: 0.9+dfsg0-1 Installed-Size: 344 Maintainer: Daniel Martí Architecture: all Depends: python-oauth, python-pkg-resources, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 60674 SHA256: 9d35805d567a410c011f7e0ade1197e678bc6924605583e24d2d1ff86544fc3f SHA1: e9d3dc18c47e65a1ad225a9ebbee3e3a85dd7119 MD5sum: 4a9e253d10d731579b536e959f8c5722 Description: simple Identi.ca client with a curses-based UI IdentiCurse is a Python written commandline client for Identi.ca and other status.net based microblogging sites. It offers tabs for different microblogging feeds (personal timeline, mentions, direct messages and public timeline by default) and use IRC like slash-prefixed commands. Homepage: http://identicurse.net/ Tag: implemented-in::python, interface::commandline, role::program, web::microblog Section: net Priority: optional Filename: pool/main/i/identicurse/identicurse_0.9+dfsg0-1_all.deb Package: idesk Version: 0.7.5-4.2 Architecture: armhf Maintainer: Anibal Avelar Installed-Size: 277 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libimlib2, libsm6, libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxft2 (>> 2.1.1), zlib1g (>= 1:1.1.4) Homepage: http://idesk.sourceforge.net/ Priority: optional Section: x11 Filename: pool/main/i/idesk/idesk_0.7.5-4.2_armhf.deb Size: 116284 SHA256: 98eb16eb938f5b1dce63183daa79dc9eeadc38adf9aacf8066d93617492ce9ef SHA1: 83683a4ff273f5afb644f6a3a388c58951ea96f1 MD5sum: 3b68637fbfcdfaf7aeeeb156da8438e9 Description: Program to show icons on the desktop Idesk displays icons with a short description on the desktop and gives shortcuts to launch any X app. The icons can be resized, use tooltip and has transparency with other nice image efects. It can use many images types formats how PNG, JPG, GIF, XPM, etc. Idesk also allows the binding of different actions to every mouse button. Package: ideviceinstaller Version: 1.0.0-1.2 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 63 Depends: libc6 (>= 2.13-28), libimobiledevice2 (>= 0.9.7), libplist1 (>= 0.16), libzip2 (>= 0.10), zlib1g (>= 1:1.1.4) Homepage: http://libimobiledevice.org/ Priority: optional Section: utils Filename: pool/main/i/ideviceinstaller/ideviceinstaller_1.0.0-1.2_armhf.deb Size: 14960 SHA256: e7ca83a07f08f076ec530d9658f9831218fbfcdada332ee1bf395c2deb922a33 SHA1: 8ee7c65dadfae8bb06d3679875e98ee9ad67ec51 MD5sum: f5a10539f2b2cd381ef2fbfa964f0183 Description: Utility to manage installed applications on an iDevice ideviceinstaller is a tool to interact with the installation_proxy of an iDevice allowing to install, upgrade, uninstall, archive, restore, and enumerate installed or archived applications. . It makes use of the libimobiledevice library that allows communication with the devices. Package: ideviceinstaller-dbg Source: ideviceinstaller Version: 1.0.0-1.2 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 70 Depends: ideviceinstaller (= 1.0.0-1.2) Homepage: http://libimobiledevice.org/ Priority: extra Section: debug Filename: pool/main/i/ideviceinstaller/ideviceinstaller-dbg_1.0.0-1.2_armhf.deb Size: 14684 SHA256: 3a64bc0d24788fbe207f91ffe24eb630011cd483fa9867462547e97135765003 SHA1: 12ff407ef2fe0e8029e89b0e6172d6050e12624e MD5sum: b3f8715904108cd0024a4d023dd41522 Description: Utility to manage installed applications on an iDevice - debug ideviceinstaller is a tool to interact with the installation_proxy of an iDevice allowing to install, upgrade, uninstall, archive, restore, and enumerate installed or archived applications. . It makes use of the libimobiledevice library that allows communication with the devices. . This package contains the debugging symbols. Package: idjc Version: 0.8.7-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 3155 Depends: jackd, python (>= 2.6.6-7~), python-dbus, python-gobject, python-gtk2, python-mutagen, python2.7, python (<< 2.8), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libmad0 (>= 0.15.1b-3), libmp3lame0, libogg0 (>= 1.1.0), libsamplerate0 (>= 0.1.7), libshout3, libsndfile1 (>= 1.0.20), libspeex1 (>= 1.2~beta3-1), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2) Recommends: python-eyed3 Suggests: ffmpeg Homepage: http://idjc.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/i/idjc/idjc_0.8.7-2_armhf.deb Size: 1533754 SHA256: b05258b84540fef7ead414dad43f8637a10b9306a794950d87fa83b46f5ff1d5 SHA1: 491a968f3c86f100daf3196c8262ab45ead3decf MD5sum: 5bcbb8f33ca06ddc73fe0c40230e8dad Description: graphical shoutcast/icecast client Internet DJ Console is an Internet radio application for making a live radio show or podcast. Features include two main media players with a crossfader, a jingle player, microphone signal processing (compressor and noise gate), IRC track announcements with X-Chat, an automatic stream shut-off timer, MP3 or Ogg streaming and recording at various bit rates, aux input for connecting external JACK aware applications, and audio level meters. Package: idl-font-lock-el Version: 1.5-6 Installed-Size: 116 Maintainer: Roland Mas Architecture: all Depends: emacs22 | emacsen Size: 7608 SHA256: 2ddd28e549efae7d2e017b292ab8a8fd9e4577fd2e8569198f9508db926b8920 SHA1: 525a77a316e4ba0c097a027d34813cc16e31ddeb MD5sum: a6178301377bd82240d6e3ac8cff6c6a Description: OMG IDL font-locking for Emacs This module adds font-lock highlighting to the Emacs IDL-mode. This will be useful for people doing CORBA stuff, but it is not related to the Interactive Data Language. Tag: implemented-in::lisp, role::plugin, suite::emacs, use::editing Section: lisp Priority: optional Filename: pool/main/i/idl-font-lock-el/idl-font-lock-el_1.5-6_all.deb Package: idle Source: python-defaults Version: 2.7.3-4+deb7u1 Installed-Size: 46 Maintainer: Matthias Klose Architecture: all Replaces: python (<< 2.7.3-1~) Depends: python (= 2.7.3-4+deb7u1), python-tk (>= 2.4.3-3), idle-python2.7 Enhances: python Breaks: python (<< 2.7.3-1~) Size: 3044 SHA256: fc3b4996ceb500ca176fdbaeb584e0295aaa62d55018a9ba58e313a23764a78c SHA1: b18ce70cacf9d65211a9b005b5a10a063dc34c6a MD5sum: c2ee57a6ee1b30f21513e402963a6178 Description: IDE for Python using Tkinter (default version) IDLE is an Integrated Development Environment for Python. IDLE is written using Tkinter and therefore quite platform-independent. . This package is a dependency package, which depends on Debian's default Python version (currently v2.7) of IDLE. Homepage: http://www.python.org/ Tag: devel::ide, devel::lang:python, interface::x11, role::dummy, role::metapackage, uitoolkit::tk, use::editing, x11::application Section: python Priority: optional Filename: pool/main/p/python-defaults/idle_2.7.3-4+deb7u1_all.deb Package: idle-python2.6 Source: python2.6 Version: 2.6.8-1.1+deb7u1 Installed-Size: 939 Maintainer: Matthias Klose Architecture: all Replaces: python2.6 (<< 2.6.1-2) Depends: python2.6, python-tk (>= 2.6~a3), python2.6-tk Size: 300018 SHA256: a3dd6336f7abd01b5fac16940e4de632e0b0af7602ec709023cb3144d5b8354f SHA1: b01d87c05070dbc5f75e572dbf34f3576bcba9c1 MD5sum: dae770148c13b9b73ba900bb1c697a0d Description: IDE for Python (v2.6) using Tkinter IDLE is an Integrated Development Environment for Python (v2.6). IDLE is written using Tkinter and therefore quite platform-independent. Enhances: python2.6 Section: python Priority: optional Filename: pool/main/p/python2.6/idle-python2.6_2.6.8-1.1+deb7u1_all.deb Package: idle-python2.7 Source: python2.7 Version: 2.7.3-6+deb7u4 Installed-Size: 954 Maintainer: Matthias Klose Architecture: all Replaces: python2.7 (<< 2.6.1-2) Depends: python2.7, python-tk (>= 2.6~a3), python2.7-tk Size: 304298 SHA256: d7605de69817e4696ac0d0f54cbe87a12188fe8f8ed12ebb0a3b75f62572d7e2 SHA1: c70a265bdda200f28fb15b43596e7f0b9a815bdf MD5sum: 8341dbf4742822ec1dd5c7ed707488fc Description: IDE for Python (v2.7) using Tkinter IDLE is an Integrated Development Environment for Python (v2.7). IDLE is written using Tkinter and therefore quite platform-independent. Enhances: python2.7 Section: python Priority: optional Filename: pool/main/p/python2.7/idle-python2.7_2.7.3-6+deb7u4_all.deb Package: idle-python3.2 Source: python3.2 Version: 3.2.3-7+deb7u1 Installed-Size: 182 Maintainer: Matthias Klose Architecture: all Depends: python3.2, python3-tk, python3.2-tk Size: 80626 SHA256: b6aa83f4fcf80cb135abf60d2d9a878542aaf64c73c9bc41b0656f157be8150c SHA1: 4150cdec2c4d3ad502061a9863a004ab4af7036b MD5sum: 21c316578ae9d25f71a21d123a40c22f Description: IDE for Python (v3.2) using Tkinter IDLE is an Integrated Development Environment for Python (v3.2). IDLE is written using Tkinter and therefore quite platform-independent. Enhances: python3.2 Section: python Priority: optional Filename: pool/main/p/python3.2/idle-python3.2_3.2.3-7+deb7u1_all.deb Package: idle3 Source: python3-defaults Version: 3.2.3-6 Installed-Size: 54 Maintainer: Matthias Klose Architecture: all Replaces: python3 (<< 3.2.3-1~) Depends: python3 (= 3.2.3-6), python3-tk, idle-python3.2 Enhances: python3 Breaks: python3 (<< 3.2.3-1~) Size: 3152 SHA256: 056cd90178b8fc07c6f100cf1f13467e258ed8671bd809500531e88279f614c6 SHA1: 25299c18e57a7c551993452fe12af8560325163a MD5sum: 4ed213ae07af26798928b4aadc177fb7 Description: IDE for Python using Tkinter (default version) IDLE is an Integrated Development Environment for Python. IDLE is written using Tkinter and therefore quite platform-independent. . This package is a dependency package, which depends on Debian's default Python 3 version (currently v3.2). Homepage: http://www.python.org/ Section: python Priority: optional Filename: pool/main/p/python3-defaults/idle3_3.2.3-6_all.deb Package: idle3-tools Version: 0.9.1-1 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 53 Depends: libc6 (>= 2.13-28) Homepage: http://idle3-tools.sourceforge.net/ Priority: optional Section: admin Filename: pool/main/i/idle3-tools/idle3-tools_0.9.1-1_armhf.deb Size: 11930 SHA256: d366396267136b3701e6f339d6d5789b58603f9fdd839415ad58e98d364e577e SHA1: 9ec7afa843e486c3d049a36d67d42a996768fa5e MD5sum: afe5a427c466812747c3204c6c98b1de Description: change the idle3 timer of recent Western Digital Hard Disk Drives Idle3-tools provides a linux/unix utility that can disable, get and set the value of the infamous idle3 timer found on recent Western Digital Hard Disk Drives. . It can be used as an alternative to the official wdidle3.exe proprietary utility, without the need to reboot in a DOS environment. . A power off/on cycle of the drive will still be mandatory for new settings to be taken into account. . Modern Western Digital "Green" Drives include the Intellipark feature that stops the disk when not in use. . Unfortunately, the default timer setting is not perfect on linux/unix systems, including many NAS, and leads to a dramatic increase of the Load Cycle Count value (SMART attribute #193). With the default timer setting, the drive will spin down *every eight seconds* if idle and this may get the spindle motor to burn out in a few months or so. . If you have a Western Digital EADS or EARS drive, please check you SMART information before it's too late by running the following command: . sudo smartctl -A /dev/sda | grep "^193" . If the Load cycle count (which is in the last column) exceeds 1000, you're probably affected by the idle3 timer problem. Package: idn Source: libidn Version: 1.25-2+deb7u3 Architecture: armhf Maintainer: Debian Libidn Team Installed-Size: 216 Depends: libc6 (>= 2.13-28), libidn11 (>= 1.13) Homepage: https://www.gnu.org/software/libidn/ Priority: optional Section: misc Filename: pool/main/libi/libidn/idn_1.25-2+deb7u3_armhf.deb Size: 132060 SHA256: 68ce8f83d13a418e761b5aeca9f55d355b25683592b614aa8eb2a1aaca3a567e SHA1: edeff6dfb7085b772cf17d4d3b548d98490ed83a MD5sum: 75ae224e8ba85b5cd71ab3c976ebfe50 Description: Command line and Emacs interface to GNU Libidn GNU Libidn is a fully documented implementation of the Stringprep, Punycode and IDNA specifications. Libidn's purpose is to encode and decode internationalized domain names. The Nameprep, XMPP, SASLprep, and iSCSI profiles are supported. . This package contains the idn command-line tool and its Emacs lisp interface. Package: idn2 Source: libidn2-0 Version: 0.8-2+deb7u1 Architecture: armhf Maintainer: Debian Libidn team Installed-Size: 109 Depends: libc6 (>= 2.13-28), libidn2-0 (>= 0.6) Homepage: https://www.gnu.org/software/libidn/#libidn2 Priority: extra Section: devel Filename: pool/main/libi/libidn2-0/idn2_0.8-2+deb7u1_armhf.deb Size: 40354 SHA256: 28f8dab494311df424b0c0f55852a195f732681fdf971739f47b525101dc7f69 SHA1: fb7da23a97819f899d722c0bea6c3307768f5858 MD5sum: 045050582c9f888699e6ddef6fce9444 Description: Internationalized domain names (IDNA2008) command line tool Libidn2 implements the revised algorithm for internationalized domain names called IDNA2008. . This package contains the idn2 command-line tool. Package: idutch Source: dutch Version: 1:2.10-1 Installed-Size: 953 Maintainer: Thijs Kinkhorst Architecture: all Provides: ispell-dictionary Depends: dictionaries-common, ispell, debconf (>= 0.5) | debconf-2.0 Suggests: wdutch Size: 901290 SHA256: 1a4e1e95dfff680fa1da36dd7848a671d5d86d44c13e98c3c6483c8be7cc5f19 SHA1: 5d21f4fed3f72e47d1c3cba88bb78f53074d9311 MD5sum: d7d386d97dce39c68105798369080a8b Description: Dutch dictionary for Ispell A Dutch spelling dictionary for the spelling checker Ispell. . This dictionary, from the OpenTaal project, uses the official spelling of 2005 and has been officially approved by the TaalUnie. . For a simple word list, see the wdutch package instead. Homepage: http://www.opentaal.org/ Tag: culture::dutch, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/d/dutch/idutch_2.10-1_all.deb Package: idzebra-2.0 Source: idzebra Version: 2.0.44-3 Architecture: armhf Maintainer: Vincent Danjean Installed-Size: 245 Depends: idzebra-2.0-common, libidzebra-2.0-dev, idzebra-2.0-utils (= 2.0.44-3), libidzebra-2.0-modules, idzebra-2.0-doc Homepage: http://www.indexdata.dk/zebra/ Priority: optional Section: text Filename: pool/main/i/idzebra/idzebra-2.0_2.0.44-3_armhf.deb Size: 226492 SHA256: e4bb22c1417705d5a5280189095733f27e12916b7cfd0320e1cc03f52e1b8713 SHA1: acc81704c5d1bf25a00e7068243941a0d368c784 MD5sum: f0a3f8fc6c1d48ef1dbe43f33c807c3e Description: IDZebra metapackage (the works) This metapackage installs all the necessary packages to start working with IDZebra - including utility programs, development libraries, documentation and modules. . IDZebra is a high-performance, general-purpose structured text indexing and retrieval engine. It reads structured records in a variety of input formats (eg. email, XML, MARC) and allows access to them through exact boolean search expressions and relevance-ranked free-text queries. Package: idzebra-2.0-common Source: idzebra Version: 2.0.44-3 Installed-Size: 524 Maintainer: Vincent Danjean Architecture: all Size: 251910 SHA256: 6dfbec8acd6b02856f080e23b1b4df05a75f561539475ff79ba3d1ef8d1a80b8 SHA1: ccc45480a076abe4c9a10d798ce092fe8e13f59c MD5sum: 972fbebfdc6e52b926d97a4feb119db9 Description: IDZebra common files This package includes common essential IDZebra configuration files. . IDZebra is a high-performance, general-purpose structured text indexing and retrieval engine. It reads structured records in a variety of input formats (eg. email, XML, MARC) and allows access to them through exact boolean search expressions and relevance-ranked free-text queries. Homepage: http://www.indexdata.dk/zebra/ Section: text Priority: optional Filename: pool/main/i/idzebra/idzebra-2.0-common_2.0.44-3_all.deb Package: idzebra-2.0-doc Source: idzebra Version: 2.0.44-3 Installed-Size: 1140 Maintainer: Vincent Danjean Architecture: all Size: 442554 SHA256: 011c2bdaa60fff4d66c258a2f506fd42a49fedcaa9c29a0c435db3267b82a7f8 SHA1: 60eb353b3996d74396a188862bee44fab13c663b MD5sum: 916237bd62382f930c3ff57864fa03e4 Description: IDZebra documentation This package includes documentation for IDZebra in PDF and HTML. . IDZebra is a high-performance, general-purpose structured text indexing and retrieval engine. It reads structured records in a variety of input formats (eg. email, XML, MARC) and allows access to them through exact boolean search expressions and relevance-ranked free-text queries. Homepage: http://www.indexdata.dk/zebra/ Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/i/idzebra/idzebra-2.0-doc_2.0.44-3_all.deb Package: idzebra-2.0-examples Source: idzebra Version: 2.0.44-3 Installed-Size: 1392 Maintainer: Vincent Danjean Architecture: all Size: 369994 SHA256: a617151a49cc11fbb8048027dbcb1226822572817b2b79fa676261d63882592f SHA1: 3c6b8c22da0f896e184acd1e6085b3ebceb2e654 MD5sum: c8fd0319478434168e14f78692c79b50 Description: IDZebra example configurations This package includes examples for indexing of various data formats like OAI-PMH, Marc21, and MarcXML. . IDZebra is a high-performance, general-purpose structured text indexing and retrieval engine. It reads structured records in a variety of input formats (eg. email, XML, MARC) and allows access to them through exact boolean search expressions and relevance-ranked free-text queries. Homepage: http://www.indexdata.dk/zebra/ Section: text Priority: optional Filename: pool/main/i/idzebra/idzebra-2.0-examples_2.0.44-3_all.deb Package: idzebra-2.0-utils Source: idzebra Version: 2.0.44-3 Architecture: armhf Maintainer: Vincent Danjean Installed-Size: 296 Depends: libc6 (>= 2.13-28), libidzebra-2.0-0 (= 2.0.44-3), libyaz4 (>= 4.0.1) Recommends: idzebra-2.0-common Suggests: libidzebra-2.0-modules Conflicts: idzebra (<= 1.3.36-2) Homepage: http://www.indexdata.dk/zebra/ Priority: optional Section: text Filename: pool/main/i/idzebra/idzebra-2.0-utils_2.0.44-3_armhf.deb Size: 249762 SHA256: 4a09f43b86c756b0be76deadef25965674609335fa8bb1fe46aec2a37c1de39a SHA1: 3cd888f15c02dfe752fbc3f56d90ce2d9348eadb MD5sum: 946492ce155309931a1f887d04059bac Description: IDZebra utility programs This package contains IDZebra utilities such as the zebraidx indexer utility and the zebrasrv server. . IDZebra is a high-performance, general-purpose structured text indexing and retrieval engine. It reads structured records in a variety of input formats (eg. email, XML, MARC) and allows access to them through exact boolean search expressions and relevance-ranked free-text queries. Package: iec16022 Version: 0.2.4-1 Architecture: armhf Maintainer: Jan Lübbe Installed-Size: 56 Depends: libc6 (>= 2.4), libiec16022-0, libpopt0 (>= 1.14) Homepage: http://www.datenfreihafen.org/projects/iec16022.html Priority: optional Section: graphics Filename: pool/main/i/iec16022/iec16022_0.2.4-1_armhf.deb Size: 13722 SHA256: ce805333aedfbbd49a603ab4a9e8861823128312d1bedd830278b7437a031041 SHA1: ddc008bfcb478584d44703c804d721159811f5a3 MD5sum: 101270348583a67f94dfe1e55e82149c Description: Generates 2d ISO/IEC 16022 barcodes (data matrix/semacode) The program generates a 2d datamatrix/semacode barcode from a parameter or from a file and produces output in various formats (png, eps, ascii-art). . http://www.semapedia.org/ for example uses semacode tags to create real-world "links" to wikipedia articles. Package: ienglish-common Source: ispell Version: 3.3.02-6 Installed-Size: 86 Maintainer: Robert Luberda Architecture: all Depends: dictionaries-common (>= 1.10.6~), ispell (>= 3.3.02) Recommends: iamerican | iamerican-small | iamerican-large | iamerican-huge | iamerican-insane | ibritish | ibritish-small | ibritish-large | ibritish-huge | ibritish-insane Size: 32022 SHA256: 112d1ab88b886abb646f68970fe68eb8c23f7de6cd4ee48fbd479bbb99e66062 SHA1: 1bd932ea424651ad788bd2325037aaef2003f54b MD5sum: fb06b21c32fb594da100087d2efc53f7 Description: Common files for British and American ispell dictionaries This package provides common files and dependencies for all American and British ispell dictionary packages. The package is useless if none of the dictionaries is also installed. Homepage: http://www.lasr.cs.ucla.edu/geoff/ispell.html Tag: role::app-data Section: text Priority: optional Filename: pool/main/i/ispell/ienglish-common_3.3.02-6_all.deb Package: iesperanto Source: eo-spell Version: 2.1.2000.02.25-45 Installed-Size: 185 Maintainer: Agustin Martin Domingo Architecture: all Provides: ispell-dictionary Depends: debconf (>= 0.5) | debconf-2.0, dictionaries-common (>= 1.1), ispell Size: 103418 SHA256: a9f9244abdd25d08270b525ae32b5f89fa9014c4e98aad9516a61c6f054b0bea SHA1: 4baa6ebc42c6f82988e6154057d18df0e04122ce MD5sum: 7d70110c03629a32cf7906c3f8788475 Description: Esperanto dictionary for ispell This is the Esperanto dictionary for use with the ispell spellchecker, version 3.1.04 and following. The dictionary is based on the words from Plena Ilustrita Vortaro, with additional country/language names. It accepts Latin-3, 'cx' and '^c' forms. Tag: culture::esperanto, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/e/eo-spell/iesperanto_2.1.2000.02.25-45_all.deb Package: iestonian Source: ispell-et Version: 1:20030606-20 Installed-Size: 1100 Maintainer: Agustin Martin Domingo Architecture: all Provides: ispell-dictionary Depends: dictionaries-common (>= 0.49.2), ispell, debconf (>= 0.5) | debconf-2.0 Size: 822016 SHA256: 2715627944ebbfe5c2db4b440713fdd044ec28281f7b841bf8c354086e498ab2 SHA1: edc47f9d10f108d963bfedf4c48b65be4b5a49f0 MD5sum: 2f97335e158fb636181d9d80209f8512 Description: Estonian dictionary for Ispell This dictionary provides Estonian wordlists for the Ispell spellchecker. . The wordlists are based on work by the Institute of the Estonian Language and subsequently improved by Jaak Pruulmann who also created the affix file. Homepage: http://www.meso.ee/~jjpp/speller/ Tag: culture::estonian, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/ispell-et/iestonian_20030606-20_all.deb Package: ifaroese Source: ispell-fo Version: 0.4.1-1 Installed-Size: 1175 Maintainer: Agustin Martin Domingo Architecture: all Provides: ispell-dictionary Depends: debconf (>= 0.5) | debconf-2.0, ispell, dictionaries-common (>= 0.20) Suggests: wfaroese Size: 1094518 SHA256: 036e143b542ef907af3f40e9dd19192741e5380d36756d3f917107baee0b92ff SHA1: 57c6421eca83507b59dcf5694129849153be2a08 MD5sum: 1c1eec72b396fa7832533858ebf9fece Description: Faroese dictionary for ispell This is the Faroese dictionaries, to be used with ispell to check and correct spelling in Faroese texts. Homepage: http://fo.speling.org Tag: culture::faroese, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/ispell-fo/ifaroese_0.4.1-1_all.deb Package: ifcico Source: ifmail Version: 2.14tx8.10-21 Architecture: armhf Maintainer: Marco d'Itri Installed-Size: 675 Depends: libc6 (>= 2.13-28), libgdbm3 (>= 1.8.3), ifmail, openbsd-inetd | inet-superserver Conflicts: suidmanager (<< 0.50) Priority: extra Section: comm Filename: pool/main/i/ifmail/ifcico_2.14tx8.10-21_armhf.deb Size: 322736 SHA256: d87650347be1356309ba1cabf49a0fb958f374f581ed204524f48e4e371b9f91 SHA1: 8204880cb2bd1451ee3fba3d3e9f599318149b4c MD5sum: 9c24186d88c53a49b08764646acbc8ad Description: Fidonet Technology transport package Ifcico is a FidoTech mailer for connecting to other nodes via the phone or the Internet. This version includes the "tx" patches and other misc patches. Package: ifenslave-2.6 Version: 1.1.0-20 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 96 Depends: libc6 (>= 2.13-28), iproute Recommends: net-tools Conflicts: ifenslave (<< 2), ifenslave-2.4 (<= 0.07+2.5.15-6) Provides: ifenslave Priority: optional Section: net Filename: pool/main/i/ifenslave-2.6/ifenslave-2.6_1.1.0-20_armhf.deb Size: 20904 SHA256: bd1f2a9e1bf91c609edd79237ad08cbe8655cfaa398111eb3e437a74d760d9b5 SHA1: 45aeab2c73c14fa2653c6191e3fc6588341919dc MD5sum: 21d9a272db32e5a6527b34921c013a32 Description: Attach and detach slave interfaces to a bonding device This is a tool to attach and detach slave network interfaces to a bonding device. A bonding device will act like a normal Ethernet network device to the kernel, but will send out the packets via the slave devices using a simple round-robin scheduler. This allows for simple load-balancing, identical to "channel bonding" or "trunking" techniques used in switches. . The kernel must have support for bonding devices for ifenslave to be useful. This package supports 2.6.x kernels and the most recent 2.4.x kernels. Package: ifetch-tools Version: 0.15.23b-1 Installed-Size: 209 Maintainer: Richard Nelson Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, adduser, ruby, librmagick-ruby Size: 72846 SHA256: 831ca7353a0a3fa25d9f4102e74ad2190a762c1e384d1578930658706f95b768 SHA1: 5a71d0f7c2e1aaad33b8ca88907b9a79fe74d471 MD5sum: 326101f13b9536a8acde213dd0e91e71 Description: Collect, monitor, and view images from ip cameras A set of tools that can collect images from IP based cameras, monitor the collection process, and provide an interface to view the collected history. Homepage: http://ifetch-tools.rubyforge.org/ Tag: hardware::camera, implemented-in::ruby, role::program, scope::utility, use::downloading, works-with::image Section: web Priority: optional Filename: pool/main/i/ifetch-tools/ifetch-tools_0.15.23b-1_all.deb Package: ifgate Source: ifmail Version: 2.14tx8.10-21 Architecture: armhf Maintainer: Marco d'Itri Installed-Size: 562 Depends: libc6 (>= 2.13-28), libgdbm3 (>= 1.8.3), ifmail, default-mta | mail-transport-agent, inn | inn2 | news-transport-system Priority: extra Section: comm Filename: pool/main/i/ifmail/ifgate_2.14tx8.10-21_armhf.deb Size: 247874 SHA256: af0ebba1e9467cd8cbac862a4190eec0a1dfceb42fe912e5f640ecc132bdb48e SHA1: c22f230d164f76061a4a6159832a08b15e520f8a MD5sum: 16276e893e3d007944d9c4f36434c7fd Description: Internet to Fidonet gateway The program can act as a gateway between email and netmail and Usenet newsgroups and echomail. This version includes the "tx" patches and other misc patches. Package: ifhp Version: 3.5.20-12.1 Architecture: armhf Maintainer: Thomas Bushnell, BSG Installed-Size: 1432 Depends: file, a2ps, ghostscript, debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Recommends: lpr Suggests: libnet-snmp-perl, foomatic-filters Priority: extra Section: net Filename: pool/main/i/ifhp/ifhp_3.5.20-12.1_armhf.deb Size: 739404 SHA256: a7bcf65229f4042806fe1abc8d19f00e38f876238529db5b209eceb28ec50294 SHA1: d603bd4a70b1e719df129d79f82b12d79dd88828 MD5sum: e72d9c8372a4354ada9d5dd117c95594 Description: Printer filter for HP LaserJet printers ifhp is a highly versatile print filter for BSD based print spoolers. It can be configured to handle text, PostScript, PJL, PCL, and raster printers, supports conversion from one format to another, and can be used as a stand-alone print utility. It is the primary supported print filter for the LPRng print spooler. . It provides access to printer features like duplex printing, paper tray selection and strong accounting using the printer's internal page counter. Package: ifile Version: 1.3.9-6 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 154 Depends: libc6 (>= 2.7) Homepage: http://people.csail.mit.edu/jrennie/ifile Priority: optional Section: mail Filename: pool/main/i/ifile/ifile_1.3.9-6_armhf.deb Size: 57150 SHA256: 0808dfaa1019b24d4c54acb38edca4bb775a75e4bac3f69ec6cf3bd4a43e8a39 SHA1: 53eca18c2ed27dcb415d460145b18513d122110b MD5sum: 202beb3967f955b09899c27e7563a4fa Description: spam filter capable of learning A text filter that uses machine learning to classify texts into groups. ifile works great as a spam filter. The text is classified by a simple statistical method called Naive Bayes, which basically considers each text an unordered collection of words and classifies by matching the distribution with the most closely matching group distribution. Alternatives to ifile are annoyance-filter, bogofilter, spamoracle, and spamprobe. Package: ifinnish Source: ispell-fi Version: 0.7-18 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 9565 Depends: dictionaries-common (>= 0.25), ispell (>= 3.3.02), debconf | debconf-2.0 Suggests: wfinnish Provides: ispell-dictionary Priority: optional Section: text Filename: pool/main/i/ispell-fi/ifinnish_0.7-18_armhf.deb Size: 889034 SHA256: 72b4206cfaf4c5804b3fa548d37c2ef02c835269559afe16ebc786eee38fae87 SHA1: 7e7dace1eb48f42d553d8ff695e5dbf544872bf8 MD5sum: d33417ed25f50c4363973a0dccbebb54 Description: A medium-size Finnish dictionary for Ispell This is a medium-size version of the Finnish dictionary, to be used with ispell to check and correct spelling in Finnish texts. . Since Ispell is not really good at spell checking the kind of languages such as Finnish, this dictionary may not be as useful as the dictionaries for other languages. . This dictionary recognizes roughly 1.9 million words and word forms. Ispell requires about 10 megabytes of memory when using this dictionary. For most uses, this version of the Finnish dictionary is recommended. Package: ifinnish-large Source: ispell-fi Version: 0.7-18 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 17554 Depends: dictionaries-common (>= 0.25), ispell (>= 3.3.02), debconf | debconf-2.0 Suggests: wfinnish Provides: ispell-dictionary Priority: optional Section: text Filename: pool/main/i/ispell-fi/ifinnish-large_0.7-18_armhf.deb Size: 1055582 SHA256: 0f59fd20dfa0064d6286dd35e851b5466385960503deb11bb4bbfd37bf5f9a10 SHA1: 5fa5ec6e5f8a1cd81db7666ddc78dd8b529353f5 MD5sum: a00645f313af5e6543019cd75580494e Description: A large Finnish dictionary for Ispell This is a large version of the Finnish dictionary, to be used with ispell to check and correct spelling in Finnish texts. . Since Ispell is not really good at spell checking the kind of languages such as Finnish, this dictionary may not be as useful as the dictionaries for other languages. . This dictionary recognizes over 6 million words and word forms. Ispell requires about 19 megabytes of memory when using this dictionary. Most users may find it more worthwhile to use either the ifinnish package or the ifinnish-small package. However, there are cases where the size of this dictionary is definitely a good thing. Package: ifinnish-small Source: ispell-fi Version: 0.7-18 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 4193 Depends: dictionaries-common (>= 0.25), ispell (>= 3.3.02), debconf | debconf-2.0 Suggests: wfinnish Provides: ispell-dictionary Priority: optional Section: text Filename: pool/main/i/ispell-fi/ifinnish-small_0.7-18_armhf.deb Size: 772296 SHA256: 1ecd72622b866551260a98ff14c754a11ba0f64a052774a0eb441bb34ed1de33 SHA1: 82c9a9c59044a24b4bee64ec05eb5b796c283569 MD5sum: af4b4cababff73a794f9bf4519f6c67a Description: A small Finnish dictionary for Ispell This is a small version of the Finnish dictionary, to be used with ispell to check and correct spelling in Finnish texts. . Since Ispell is not really good at spell checking the kind of languages such as Finnish, this dictionary may not be as useful as the dictionaries for other languages. . This dictionary recognizes roughly 0.8 million words and word forms. Ispell requires about 5 megabytes of memory when using this dictionary. This version of the Finnish dictionary is recommended for both slow and memory-poor machines. Package: ifmail Version: 2.14tx8.10-21 Installed-Size: 205 Maintainer: Marco d'Itri Architecture: all Depends: perl, adduser Suggests: ifcico, ifgate Size: 51456 SHA256: d7e73fccb17b7f34f800e86d871dc6bc13fe41113380409eb66f70dd46d5556a SHA1: 04c249055f0a6a072cacc6f5964fca4e7372aaea MD5sum: 2655001f5f02e86403c23b07ce06444e Description: Internet to Fidonet gateway The package contains common files needed by ifcico and ifgate packages. This version includes the "tx" patches and other misc patches. Tag: protocol::fidonet, role::app-data Section: comm Priority: extra Filename: pool/main/i/ifmail/ifmail_2.14tx8.10-21_all.deb Package: ifmetric Version: 0.3-2+deb7u1 Architecture: armhf Maintainer: Chris Hanson Installed-Size: 61 Depends: libc6 (>= 2.13-28) Priority: extra Section: net Filename: pool/main/i/ifmetric/ifmetric_0.3-2+deb7u1_armhf.deb Size: 9918 SHA256: 23aa7bc53f423e96af5086eed44398770709ceaaddded101bfde968ecdce0cb1 SHA1: ff9252a71cfbaca5a68791028327d3f0fd2f1bc0 MD5sum: b4b3633eb23908ec6ff05d3b367e4adf Description: Set routing metrics for a network interface ifmetric is a Linux tool for setting the metrics of all IPv4 routes attached to a given network interface at once. This may be used to change the priority of routing IPv4 traffic over the interface. Lower metrics correlate with higher priorities. Package: ifp-line-libifp Source: libifp Version: 1.0.0.2-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 64 Depends: libc6 (>= 2.4), libifp4, libusb-0.1-4 (>= 2:0.1.12) Conflicts: ifp-line Replaces: ifp-line Provides: ifp-line Homepage: http://ifp-driver.sourceforge.net/libifp/ Priority: extra Section: sound Filename: pool/main/libi/libifp/ifp-line-libifp_1.0.0.2-5_armhf.deb Size: 18858 SHA256: c22291db790ef4af8b12cb7406fba1ec067139015055d6070b637cf388203d28 SHA1: 8575102a8a3696e1e9bcb18d1b354e122731e6ce MD5sum: 2db42cf9223296f8dc6c09d7de758532 Description: command line tool to access iRiver iFP audio players This package contains a tool compatible with the `ifp' program (in the ifp-line package) that uses libifp. . ifp-line tool manages music on iRiver iFP audio devices, including the 1XX, 3XX, 5XX, 7XX, 8XX, and N10 models. It lets you upload or download music or other files to or from the device, manage directories on it, or update your firmware version. Package: ifpgui Version: 1.0.0-3 Architecture: armhf Maintainer: Jacob Luna Lundberg Installed-Size: 707 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libusb-1.0-0 (>= 2:1.0.8), libifp4 (>= 1.0.0.2) Recommends: udev Replaces: ifp-gui Homepage: http://ifpgui.sourceforge.net/ Priority: extra Section: sound Filename: pool/main/i/ifpgui/ifpgui_1.0.0-3_armhf.deb Size: 420664 SHA256: 34722620eaa3a73b19ff7792f399f0bb49e6f9c040d7d3d07d7fe0e4146d05aa SHA1: ed312b670d5f4cfa07c526b009b5e31759b722fd MD5sum: 2ccb8c4bce2d9885416d6a9c8d0d3805 Description: QT based manager for iRiver iFP audio players This project is an open-source graphical user interface (GUI) for iRiver's iFP flash audio players. It uses libifp to access the player over the management interface. . This program does not work with the H, T or other recent models. These models are known to work: iFP-1xx,3xx,5xx,7xx,8xx,9xx and N10. You can only use this software if your player has the "Management" version of iRiver's firmware installed. Package: ifplugd Version: 0.28-19 Architecture: armhf Maintainer: Walter Franzini Installed-Size: 258 Depends: libc6 (>= 2.13-28), libdaemon0 (>= 0.10), debconf (>= 0.5) | debconf-2.0, lsb-base (>= 3.0-6) Recommends: ifupdown (>= 0.6.4-4.2) Suggests: wpasupplicant Homepage: http://0pointer.de/lennart/projects/ifplugd/ Priority: optional Section: net Filename: pool/main/i/ifplugd/ifplugd_0.28-19_armhf.deb Size: 70034 SHA256: 4864f1c95415d5eee4ae5369e79477cdc22c2f5413587faed01a2607de8c121b SHA1: ec7f1aeb2367268113731f4ff7d2def8bedd7e27 MD5sum: d018f22218c335424ad0f85f1fdf5f91 Description: configuration daemon for ethernet devices ifplugd is a daemon which will automatically configure your ethernet device when a cable is plugged in and automatically de-configure it if the cable is pulled out. This is useful on laptops with onboard network adapters, since it will only configure the interface when a cable is really connected. Features include: . * syslog support * Multiple ethernet interface support * Uses Debian's native ifup/ifdown programs * Small executable size and memory footprint * Option to beep when the cable is unplugged or plugged * Option to beep when the interface configuration succeeds or fails * Can be configured to ignore short unplugged or plugged periods * Configure WLAN devices (on detecting a successful association to an AP) * Supports SIOCETHTOOL, SIOCGMIIREG and SIOCDEVPRIVATE for getting link status * Compatibility mode for network devices which do not support cable detection Package: ifrename Source: wireless-tools Version: 30~pre9-8 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 187 Depends: libc6 (>= 2.13-28), libiw30 (>= 30~pre1) Conflicts: wireless-tools (<< 27+28pre9) Multi-Arch: foreign Homepage: http://www.hpl.hp.com/personal/Jean_Tourrilhes/Linux/Tools.html Priority: extra Section: net Filename: pool/main/w/wireless-tools/ifrename_30~pre9-8_armhf.deb Size: 70808 SHA256: d4e79cde2bffd05374aeea69c3f4d9a77345db026e8a8109e03fc72e8f7c2fef SHA1: 0cdfead41a2474d34e16a5845d5ef045a4a4ebfa MD5sum: ff84538c451916c85feadb2e8d0f54f4 Description: Rename network interfaces based on various static criteria Ifrename allow the user to decide what name a network interface will have. Ifrename can use a variety of selectors to specify how interface names match the network interfaces on the system, the most common selector is the interface MAC address. Package: ifrench Version: 1.4-26 Installed-Size: 352 Maintainer: Debian QA Group Architecture: all Provides: ispell-dictionary Depends: dictionaries-common (>= 1.10.6~), ispell, debconf (>= 0.5) | debconf-2.0 Suggests: wfrench Conflicts: ifrench-gut Size: 217724 SHA256: 928d4437e474884687fe1f0b57873f013b63638bab798fb48122042221d5a9a7 SHA1: a0f7c90c8742d4ae2de115d29c627852b6af2cc4 MD5sum: 2e85b3f147f3689fdcfe5de2c7a97fa6 Description: French dictionary for ispell (Hydro-Quebec version) This is a French dictionary, to be used with the ispell program, version 3.1.04 and following. The dictionary contains roughly 50,000 roots, which expand to about 220,000 words. . This is the Martin Boyer and Hydro-Quebec version. You may prefer to use the GUTenberg version installed by the ifrench-gut package. Tag: culture::french, made-of::dictionary, role::app-data, use::checking Section: text Priority: extra Filename: pool/main/i/ifrench/ifrench_1.4-26_all.deb Package: ifrench-gut Version: 1:1.0-30 Architecture: armhf Maintainer: Lionel Elie Mamane Installed-Size: 6627 Depends: ispell (>= 3.3.02), dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0 Suggests: wfrench Conflicts: ifrench Provides: ispell-dictionary Priority: optional Section: text Filename: pool/main/i/ifrench-gut/ifrench-gut_1.0-30_armhf.deb Size: 2053120 SHA256: 276748b89909e8cba707c68832e369a7b5c9e727c194233b9e01e32352b9c75f SHA1: 2325c50f01af9fcca9ece44ef4e1dd31d5fb48b4 MD5sum: 46ca9f9603aa3be5f3cd4304751f6d0e Description: French dictionary for ispell (GUTenberg version) This is a French dictionary, to be used with the ispell program, version 3.1.20 and following. . This is the GUTenberg version. Package: ifrit Version: 3.3.4-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 9049 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libvtk5.8, tcl-vtk Homepage: http://sites.google.com/site/ifrithome/ Priority: optional Section: science Filename: pool/main/i/ifrit/ifrit_3.3.4-3_armhf.deb Size: 2144328 SHA256: 5ce2072e65e2e98e01ce8a12f4279c6d168676f5681fa58d2030ae72b900c016 SHA1: 5edd0f8307b768c7f1dcc538c63ceee70d951e31 MD5sum: 1120971aa28df5d94a15eaf47566dea0 Description: powerful tool for visualizing 3-dimensional data sets IFRIT (the Ionization FRont Interactive Tool) has its origins (and hence name) in a specialized utility designed to visualize ionization fronts in cosmological numerical simulations. IFRIT, however, has outgrown its origins and now can visualize general data sets as well. . IFRIT is written in C++ and is based on two state-of-the-art toolkits: the Visualization ToolKit (VTK) and a GUI toolkit QT. Package: ifscheme Version: 1.7-3 Installed-Size: 132 Maintainer: Guus Sliepen Architecture: all Depends: ifupdown (>= 0.6.5) Size: 13890 SHA256: 68fb0499f614d2f709b7006baedd3e95f4d352267f9eaa722df9f6a537a79699 SHA1: 16ae572a85d88b4353586d33a4f79275adcde3bd MD5sum: 671bf3dcf692b6e732c1e786014cac58 Description: scheme control for network interfaces ifscheme allows you to change network configuration schemes or query the current scheme. It integrates with the ifup(8) command and interfaces(5). For example, you might use this program to configure a "home" scheme and a "work" scheme for a network device on a laptop. When you move between home and work, a simple command can reconfigure your networking. Tag: implemented-in::c, interface::commandline, network::configuration, role::program, scope::utility, use::configuring Section: net Priority: extra Filename: pool/main/i/ifscheme/ifscheme_1.7-3_all.deb Package: ifstat Version: 1.1-8 Architecture: armhf Maintainer: Goswin von Brederlow Installed-Size: 70 Depends: libc6 (>= 2.13-28), libsnmp15 (>= 5.4.3~dfsg) Priority: optional Section: net Filename: pool/main/i/ifstat/ifstat_1.1-8_armhf.deb Size: 22488 SHA256: fa0313389edea593410153a7be022ff97707e52a8c6b0d754d030e4941a45f13 SHA1: a9f224a832fb1c358e2a3d2c46d6f117d3b7fb70 MD5sum: 9028fcfd2092df978598fd5f6749d26a Description: InterFace STATistics Monitoring ifstat is a tool to report network interfaces bandwidth just like vmstat/iostat do for other system counters. It can monitor local interfaces by polling the kernel counters, or remote hosts interfaces using SNMP. Package: iftop Version: 1.0~pre2-4~deb7u2 Architecture: armhf Maintainer: Markus Koschany Installed-Size: 93 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libpcap0.8 (>= 0.9.8), libtinfo5 Homepage: http://www.ex-parrot.com/~pdw/iftop/ Priority: optional Section: net Filename: pool/main/i/iftop/iftop_1.0~pre2-4~deb7u2_armhf.deb Size: 37336 SHA256: 42a74541f23d4f897e0b63376a02678459b439c0e56fbd1f72e6c36e26a27027 SHA1: 3d72a6b3cbc115b5b0fdd7b1bd880dde752efa5b MD5sum: 64439745aa8496967120c6eea226b199 Description: displays bandwidth usage information on an network interface iftop does for network usage what top(1) does for CPU usage. It listens to network traffic on a named interface and displays a table of current bandwidth usage by pairs of hosts. Handy for answering the question "Why is my Internet link so slow?". Package: iftop-dbg Source: iftop Version: 1.0~pre2-4~deb7u2 Architecture: armhf Maintainer: Markus Koschany Installed-Size: 106 Depends: iftop (= 1.0~pre2-4~deb7u2) Homepage: http://www.ex-parrot.com/~pdw/iftop/ Priority: extra Section: debug Filename: pool/main/i/iftop/iftop-dbg_1.0~pre2-4~deb7u2_armhf.deb Size: 55598 SHA256: 60e8221238fd6b9089104706db1b0b65fade82f972a69fc74845ffa7ff613c18 SHA1: a17209452e715d9fd06f78cea001efb4e164c7c1 MD5sum: 18782be120cdb60326a9e011e7e0ed08 Description: displays bandwidth usage information on an network interface (debug) iftop does for network usage what top(1) does for CPU usage. It listens to network traffic on a named interface and displays a table of current bandwidth usage by pairs of hosts. Handy for answering the question "Why is my Internet link so slow?". . This package contains the debugging symbols. Package: ifupdown Version: 0.7.8 Architecture: armhf Maintainer: Andrew Shadura Installed-Size: 192 Pre-Depends: dpkg (>= 1.15.7.2) Depends: iproute (>= 20071016-1), libc6 (>= 2.13-28), lsb-base (>= 4.1+Debian3), initscripts (>= 2.88dsf-25) Suggests: isc-dhcp-client | dhcp-client, ppp, rdnssd, net-tools Breaks: dhcp-client (<< 3.0), netbase (<< 5.0) Replaces: netbase (<< 5.0) Multi-Arch: foreign Priority: important Section: admin Filename: pool/main/i/ifupdown/ifupdown_0.7.8_armhf.deb Size: 62938 SHA256: b0642aaed4aa85212c687c42d217c256c60a6e216c320f96c2d96aac085ab1be SHA1: 18dbdd729199cfb67642494283356bba0891059e MD5sum: 361ab603682ff4361deb518265945839 Description: high level tools to configure network interfaces This package provides the tools ifup and ifdown which may be used to configure (or, respectively, deconfigure) network interfaces based on interface definitions in the file /etc/network/interfaces. Package: ifupdown-extra Version: 0.22 Installed-Size: 119 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Depends: iproute, iputils-ping | ping, netcat, iputils-arping | arping, net-tools, host Pre-Depends: dpkg (>= 1.15.7.2) Recommends: ethtool Conflicts: debian-goodies (<< 0.39) Size: 24276 SHA256: 4af7518f2cb1114f79ce4ed6298a4561719ea23381dfb2c5316e3dbe1c4c64f7 SHA1: 417e758755fe80652ace6569a380a86be966e017 MD5sum: 94d5a82fe613e41d15416356c9a20a54 Description: Network scripts for ifupdown This package provides a set of network testing scripts to be used together with the ifupdown package. These scripts can: - check the network cable before an interface is configured. - test if an assigned IP address is already in use in the network. - test if default network gateways are reachable. - setup default static routes for interfaces. . Additionally network static routes can also be defined globally for the system when this is needed (e.g. for 'reject' rules) and will be added after network initialisation. . This package also provides 'network-test', a script to test the network configuration status by checking: - Status of available interface. - Availability of configured gateway routes. - If host resolution is working properly (DNS checks). - If network connectivity is working, including ICMP and web connections to remote web servers. Tag: admin::configuring, network::configuration, network::routing, role::program, scope::utility, use::checking, use::configuring, works-with::network-traffic Section: admin Priority: optional Filename: pool/main/i/ifupdown-extra/ifupdown-extra_0.22_all.deb Package: ifupdown-scripts-zg2 Version: 0.6-1 Installed-Size: 147 Maintainer: Marc Haber Architecture: all Provides: ifupdown-scripts Depends: ifupdown (>= 0.6.7), iproute, debianutils (>= 1.6) Suggests: vlan, ndisc6 Size: 27348 SHA256: 7b702575e85336fbc936d9cb431661dee85f57f773bb5e2b77d91ea6c682d952 SHA1: 511436dc00cb641e5b060106fcee7d97b40a56e2 MD5sum: 83b253875001741a8ea56eaf0c172a40 Description: Zugschlus' interface scripts for ifupdown's manual method This package contains a set of scripts that are called by ifupdown. They use ifupdown's manual method. Compared to ifupdown's static method, the scripts contained in this package have the following advantages: - CIDR support - support for 802.1q VLAN tagging - built-in handling of static routes Additionally, the code needed to bring down an interface is generated on the fly when the interface is brought up. That way, /etc/network/interfaces changes made while the interface is up don't prevent it from being taken down, allowing "ifdown foo; ifup foo" to activate the changes. . This package is work in progress. Although being in productive use, it is still missing some features, especially regarding source routing, metrics etc. Please feel free to provide patches. . Many of this package's features are implemented in other packages nowadays. However, some features (such as generation of shutdown code at interface init time) are still unique to this package. . This package has its upstream sources maintained in the Debian project, so there is no upstream URL. Homepage: http://ftp.debian.org/debian/pool/main/i/ifupdown-scripts-zg2/ Tag: implemented-in::shell, interface::commandline, network::configuration, suite::debian, use::configuring Section: net Priority: optional Filename: pool/main/i/ifupdown-scripts-zg2/ifupdown-scripts-zg2_0.6-1_all.deb Package: ifuse Version: 1.0.0-1 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 58 Depends: fuse-utils, libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.14.1), libimobiledevice2 (>= 0.9.7) Homepage: http://matt.colyer.name/projects/iphone-linux/ Priority: optional Section: utils Filename: pool/main/i/ifuse/ifuse_1.0.0-1_armhf.deb Size: 13274 SHA256: 04e5096083ae3a679cc0081e39c05cee9495a8cd9548d6aca3188694ab294baf SHA1: 94f9299685e3ab2e6cc53d4f8fb2eb8fdbfa1469 MD5sum: eed5a12c3c5155a4625139c48c276850 Description: FUSE module for iPhone and iPod Touch devices iFuse is a FUSE filesystem driver which uses libiphone to connect to iPhone and iPod Touch devices without needing to "jailbreak" them. iFuse uses the native Apple AFC protocol over a normal USB cable in order to access the device's media files. . Although iFuse is now in a working state it is still under heavy development and should be considered experimental. Package: ifuse-dbg Source: ifuse Version: 1.0.0-1 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 70 Depends: ifuse (= 1.0.0-1) Homepage: http://matt.colyer.name/projects/iphone-linux/ Priority: extra Section: debug Filename: pool/main/i/ifuse/ifuse-dbg_1.0.0-1_armhf.deb Size: 15220 SHA256: e387a90a2f4c9181a7fd2e14d4ae90ecf3c1572ffa94b2ce592c13cc32c2292c SHA1: 10619fa1cd62b040ae26e5f056231a5b057787ed MD5sum: ad415c571e3a312a9f174728b4579668 Description: FUSE module for iPhone and iPod Touch devices iFuse is a FUSE filesystem driver which uses libiphone to connect to iPhone and iPod Touch devices without needing to "jailbreak" them. iFuse uses the native Apple AFC protocol over a normal USB cable in order to access the device's media files. . Although iFuse is now in a working state it is still under heavy development and should be considered experimental. . This package contains the debugging symbols. Package: igaelic Version: 0.50-8 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 433 Depends: ispell, dictionaries-common, debconf (>= 0.5) | debconf-2.0 Provides: ispell-dictionary Priority: optional Section: text Filename: pool/main/i/igaelic/igaelic_0.50-8_armhf.deb Size: 104838 SHA256: fa69b422ba9f6dfbd9dd0862ebec7de1781cb2ccfc8951063f3819d83e53f8dc SHA1: 54981c28ace352389afe107f0310d5a48cc1e44b MD5sum: 62105dbfcfa5a04c8c5a8694276c8854 Description: A Scots Gaelic dictionary for ispell This is a Scots Gaelic dictionary for the ispell spell checker program. There are about 1400 words in this alpha-quality dictionary; please help by using this program and submitting extra words. Package: igal2 Version: 2.1-1 Installed-Size: 116 Maintainer: Alexander Zangerl Architecture: all Replaces: igal Provides: igal Depends: libimage-size-perl, libimage-imlib2-perl, libimage-exiftool-perl, perl Conflicts: igal Size: 24628 SHA256: a085df0211eff88960b9a1b3fc5c634476656fbde4506352dae8462fc5c8ab0d SHA1: aafc8b3e6fb35bd75596610bf9dfaae84993eb99 MD5sum: 612be7461bfcae6a45286a77cd27c67f Description: online image gallery generator iGal2 is a Perl-based program that can generate an entire online picture show (HTML slides, thumbnails and index page included) with just one command line invocation. . iGal2 is a major rewrite of iGal (which is no longer maintained) and introduces various new features and capabilities. Homepage: http://igal.trexler.at/ Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, use::organizing, works-with-format::html, works-with::image, works-with::image:raster Section: web Priority: optional Filename: pool/main/i/igal2/igal2_2.1-1_all.deb Package: igalician-minimos Source: ispell-gl Version: 0.5-35 Installed-Size: 292 Maintainer: Agustin Martin Domingo Architecture: all Provides: ispell-dictionary Depends: dictionaries-common (>= 1.1), ispell, debconf (>= 0.5) | debconf-2.0 Suggests: wgalician-minimos Size: 130338 SHA256: a6a90c818ad5a7130502127b403e21481e2eb5640d106fd9703282c95cf247dc SHA1: b648b5301d2736c2a45483eb7fba5b4a6c802fc6 MD5sum: 5799197de942be81d24b2a67b9434b07 Description: Ispell dictionary for Galician (minimos) This a ispell dictionary for Galician, using the "minimos" standard, as put together by Andre Ventas and Ramon Flores. . There are at least three orthographic conventions for Galician: ILG (official), reintegrationist and minimos. ILG uses orthographic conventions more similar to Spanish; reintegrationists are weighed towards Portuguese. Minimos tries to reach a middle consensus point. Homepage: http://ispell-gl.sourceforge.net Tag: made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/ispell-gl/igalician-minimos_0.5-35_all.deb Package: igstk-doc Source: igstk Version: 4.4.0-2 Installed-Size: 50144 Maintainer: Debian Med Packaging Team Architecture: all Size: 35650626 SHA256: 1e64ad3ade0e22fe2f51cf8d349818f0d79e198889034cd2488b9319c50e7086 SHA1: 102dffa39559242be61adf415e5b2cacd270e640 MD5sum: ea4a267b602b80fecbd42bbafd0f4b71 Description: Toolkit for image-guided surgery applications - documentation The Image-Guided Surgery Toolkit (IGstk: pronounced IGStick) is a high-level component-based framework providing common functionality for image-guided surgery applications. . This software framework consists of a set of high-level components integrated with other low-level open source software libraries and application programming interfaces (API) from hardware vendors. . The cornerstone of IGstk is robustness. IGstk provides the following high-level functionality: Ability to read and display medical images including CT and MRI in DICOM format. . An interface to common tracking hardware (e.g. AURORA from Northern Digital Inc.). A graphical user interface and visualization capability including a four-quadrant view (axial, sagittal, coronal, and 3D) as well as a multi-slice axial view (from 1 by 1 to many by many such as 10 by 10). . Registration: point based registration and a means for selecting these points. Robust common internal software services for logging, exception-handling and problem resolution. . This package contains documentation files for IGstk. Homepage: http://www.igstk.org Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/i/igstk/igstk-doc_4.4.0-2_all.deb Package: igstk-examples Source: igstk Version: 4.4.0-2 Installed-Size: 928 Maintainer: Debian Med Packaging Team Architecture: all Suggests: libigstk4-dev Size: 286696 SHA256: 2f71a59f524cba02f0cdae319073465d97f8b7c89e53c6061589617ca8d6ec1f SHA1: d6635272795c4a2948d55cd75a11d07623d4cb27 MD5sum: a7575f57d0969abe53a62551e66c15fe Description: Toolkit for image-guided surgery applications - examples The Image-Guided Surgery Toolkit (IGstk: pronounced IGStick) is a high-level component-based framework providing common functionality for image-guided surgery applications. . This software framework consists of a set of high-level components integrated with other low-level open source software libraries and application programming interfaces (API) from hardware vendors. . The cornerstone of IGstk is robustness. IGstk provides the following high-level functionality: Ability to read and display medical images including CT and MRI in DICOM format. An interface to common tracking hardware (e.g. AURORA from Northern Digital Inc.). A graphical user interface and visualization capability including a four-quadrant view (axial, sagittal, coronal, and 3D) as well as a multi-slice axial view (from 1 by 1 to many by many such as 10 by 10). . Registration: point based registration and a means for selecting these points. Robust common internal software services for logging, exception-handling and problem resolution. . This package contains examples from the IGstk source. Homepage: http://www.igstk.org Tag: field::medicine, field::medicine:imaging Section: graphics Priority: optional Filename: pool/main/i/igstk/igstk-examples_4.4.0-2_all.deb Package: ihu Version: 0.6.0-3 Architecture: armhf Maintainer: Andrea Capriotti Installed-Size: 451 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libgmpxx4ldbl, libice6 (>= 1:1.0.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libogg0 (>= 1.0rc3), libqt3-mt (>= 3:3.3.8b), libsm6, libsoundtouch0, libspeex1 (>= 1.2~beta3-1), libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxi6, libxmu6, libxt6 Homepage: http://ihu.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/i/ihu/ihu_0.6.0-3_armhf.deb Size: 184284 SHA256: bd6a38c545739128bbfeb45af0b7f5995fe8952ca6a2c4f324a7dbbdef91ca6b SHA1: b0e8c50b70794d7d3bea4dc334623069e4085d59 MD5sum: e065eb9594d5aa0e52eb938c4fc6e5cf Description: Qt VoIP softphone with an own, encrypted protocol IHU creates an audio stream between two computers easily and with the minimal traffic on the network. . The main features are: . - Peer-to-Peer: the communication takes place directly between the computers, without need of session protocols (such as SIP and H323) or other servers in the middle. . - UDP/TCP support: IHU supports both UDP and TCP, with the possibility to choose UDP, for fast and easy connections, or TCP, if it's the only solution when your computer is behind firewall or NAT. . - Good audio performance: IHU was born to give the best audio performance, low latency above all. For this purpose IHU is compatible with ALSA, now the default Linux sound architecture, but also with JACK, a low latency sound server. For the audio compression, IHU uses Speex, a codec optimized for speech (and completely free and open source). . - Crypted stream: you have also the possibility to Encrypt/Decrypt the stream using a fast hybrid cryptographic system (RSA + Blowfish) . - Command-line support: the GUI is not strictly necessary, you can run also a textual IHU from command-line (for example if you need to run the program on remote computers). Package: ihungarian Source: magyarispell Version: 1.2+repack-2 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 20777 Depends: ispell, debconf, dictionaries-common, debconf (>= 0.5) | debconf-2.0 Provides: ispell-dictionary Homepage: http://magyarispell.sourceforge.net Priority: optional Section: text Filename: pool/main/m/magyarispell/ihungarian_1.2+repack-2_armhf.deb Size: 1210922 SHA256: 0018b7a67df329c25bc9b4593abbe728cb787a49cfb9c9f6256f172cc47219b7 SHA1: 101a157852579a9a89c2533f9dd58363328dfe3f MD5sum: 2225875d4e321c3d12274babc9a19bf3 Description: Hungarian dictionary for ispell This is the Hungarian dictionary for ispell made by NEMETH Laszlo. Package: ii Version: 1.6-1 Architecture: armhf Maintainer: Nico Golde Installed-Size: 62 Depends: libc6 (>= 2.4) Homepage: http://www.suckless.org/programs/ii.html Priority: optional Section: net Filename: pool/main/i/ii/ii_1.6-1_armhf.deb Size: 15716 SHA256: 844779b415f07a8e240fbb8b652687e78a4f0f4932bf310b875764d2da8f47c5 SHA1: 221e6ad4f3e26f9c8d1698a16fd1b1a88a4daa6e MD5sum: 7799ced4b7c83167233339cad63e5c8e Description: minimalist FIFO and filesystem-based IRC client ii (irc it) is a minimalist FIFO and filesystem-based IRC client. It creates an irc directory tree with server, channel and nick name directories. In every directory a FIFO in file and a normal out file is created. . The in file is used to communicate with the servers and the out files contain the server messages. For every channel and every nick name there are related in and out files created. This allows IRC communication from command line and adheres to the Unix philosophy. . It consists of <= 500 lines of code and is the big brother of sic. Package: ii-esu Version: 1.0a.dfsg1-4 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 377 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4) Homepage: http://hizuoka.web.fc2.com/game/ES/index_en.html Priority: extra Section: games Filename: pool/main/i/ii-esu/ii-esu_1.0a.dfsg1-4_armhf.deb Size: 157076 SHA256: a9ad6f422ba58fad8e775bcd5d7745a220ce3c39b73f55fe128d4b2b77e32b3d SHA1: b644d239c8fe4e2141cb8d67a7af1f2c7d74b51b MD5sum: 82057b08d82c83559640236a950fda8a Description: shooter game Your ship is surrounded by two circles. You shoot in the direction of your mouse points, and you move if the mouse pointer is outside the inner circle. . The original name of the game is ES, which is pronounced ii-esu in Japanese. Package: iipimage-doc Source: iipimage Version: 0.9.9-2 Installed-Size: 1545 Maintainer: Mathieu Malaterre Architecture: all Depends: doc-base Size: 229888 SHA256: 9cbd67e419251e848e4445be768cc166dda3e2818580742e60135cdfe70be0e2 SHA1: 2aa710779b22803ecb8dbc98b3cc7888a8317c73 MD5sum: 81cab8be87711027e69347ec09653598 Description: Web-based streamed viewing and zooming of ultra high-resolution images - doc IIPImage is an advanced high-performance feature-rich imaging server system for web-based streamed viewing and zooming of ultra high-resolution images. It is designed to be fast and bandwidth-efficient with low processor and memory requirements. The system can comfortably handle gigapixel size images as well as advanced image features such as both 8 and 16 bit depths, CIELAB colorimetric images and scientific imagery such as multispectral images. . Streaming is tile-based, making it possible to view, navigate and zoom in real-time around gigapixel size images that would be impossible to download and manipulate on the local machine. It also makes the system very scalable as the number of image tile downloads will remain the same regardless of the size of the source image. . Source images can be in either TIFF or JPEG2000 format. Whole images or regions within images can also be rapidly and dynamically resized and exported by the server from a single source image without the need to store multiple files in various sizes. . This is the documentation for iipimage-server Homepage: http://iipimage.sourceforge.net/ Section: doc Priority: optional Filename: pool/main/i/iipimage/iipimage-doc_0.9.9-2_all.deb Package: iipimage-server Source: iipimage Version: 0.9.9-2 Architecture: armhf Maintainer: Mathieu Malaterre Installed-Size: 258 Depends: libc6 (>= 2.13-28), libfcgi0ldbl, libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libmemcached10, libstdc++6 (>= 4.6), libtiff5 (>> 4.0.0-1~), libapache2-mod-fcgid | lighttpd Recommends: imagemagick (>= 6.4.7~), libvips-tools Suggests: jiipview, iipimage-doc, memcached Homepage: http://iipimage.sourceforge.net/ Priority: optional Section: web Filename: pool/main/i/iipimage/iipimage-server_0.9.9-2_armhf.deb Size: 106078 SHA256: ad5c6ad17c02defd051efa1719fe92e7b8fcfa4f1446b76c2d7e6baa9c853a26 SHA1: 1c1273e25878b7e8777f517a7ea637244d8a0f18 MD5sum: d2da5cb6c13cd8d35833a389c40e2d87 Description: Web-based streamed viewing and zooming of ultra high-resolution images IIPImage is an advanced high-performance feature-rich imaging server system for web-based streamed viewing and zooming of ultra high-resolution images. It is designed to be fast and bandwidth-efficient with low processor and memory requirements. The system can comfortably handle gigapixel size images as well as advanced image features such as both 8 and 16 bit depths, CIELAB colorimetric images and scientific imagery such as multispectral images. . Streaming is tile-based, making it possible to view, navigate and zoom in real-time around gigapixel size images that would be impossible to download and manipulate on the local machine. It also makes the system very scalable as the number of image tile downloads will remain the same regardless of the size of the source image. . Source images can be in either TIFF or JPEG2000 format. Whole images or regions within images can also be rapidly and dynamically resized and exported by the server from a single source image without the need to store multiple files in various sizes. Package: iirish Version: 2.0-21 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 2300 Depends: ispell, dictionaries-common, debconf (>= 0.5) | debconf-2.0 Conflicts: spell-ga Replaces: spell-ga Provides: ispell-dictionary Priority: optional Section: text Filename: pool/main/i/iirish/iirish_2.0-21_armhf.deb Size: 642056 SHA256: dc934d24eefdb33b11b8de144c44273e73f9ed0981cb7868a2f80c5d7a133e51 SHA1: 1cb30cc6c6c35b5f967ed84c6f9f4a0426e17392 MD5sum: 8aa1eb3bdc2963cf7305e4eee81ebaab Description: An Irish (Gaeilge) dictionary for ispell This is an Irish dictionary for the ispell spell-checker program. The dictionary has about 26,000 words, conforming strictly to standardised Irish spelling. Package: iisemulator Version: 0.95-3 Installed-Size: 536 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Depends: perl Recommends: honeyd Size: 40528 SHA256: 7be640a13f53dd9eebf1b75015684a49005efbc548d5048d215acd0b2aaaa5af SHA1: 68b29716c451bea81d27f3d1a2207f1591f6b9ab MD5sum: c0545840f5c6adcb4444490b05511570 Description: Emulation for the IIS web server This package provides an emulation for the Internet Information Services (IIS) web server which can be used in conjunction with honeypot-deployment software (such as honeyd) to create a virtual server that emulates it. . More information at http://sourceforge.net/projects/iisemul8/ Tag: hardware::emulation, implemented-in::perl, interface::daemon, network::server, network::service, role::program Section: admin Priority: extra Filename: pool/main/i/iisemulator/iisemulator_0.95-3_all.deb Package: iitalian Version: 1:2.3-3 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 1306 Depends: ispell (>= 3.3.02), debconf (>= 0.5) | debconf-2.0, dictionaries-common (>= 0.20) Suggests: witalian Provides: ispell-dictionary Priority: optional Section: text Filename: pool/main/i/iitalian/iitalian_2.3-3_armhf.deb Size: 326672 SHA256: 9b8f867bc9db337d3190a82030a72c46b91a0184eb7191c4249852fd36cd5519 SHA1: 19d2420fe219791faa0a9b821e07a5d982ea155a MD5sum: a165a65c8aab8f7976e56a5689149e67 Description: Italian dictionary for ispell This is an italian dictionary to be used with ispell. It contains more than 185,000 italian words. Package: ijsgutenprint Source: gutenprint Version: 5.2.9-1 Architecture: armhf Maintainer: Debian Printing Group Installed-Size: 116 Depends: libc6 (>= 2.13-28), libgutenprint2 (>= 5.2.9), libijs-0.35 (>= 0.35), ghostscript (>= 8.61) Recommends: foomatic-db-gutenprint Priority: optional Section: text Filename: pool/main/g/gutenprint/ijsgutenprint_5.2.9-1_armhf.deb Size: 58818 SHA256: 9ccf61033c5761de7ebc71e1017241bc4af73e38eaa914ed04af84f277a2cb6d SHA1: 5bdd99a81a73f4b411ae756229f21269a168739b MD5sum: 1f75e45df87230ad230b586601ec5998 Description: inkjet server - Ghostscript driver for Gutenprint This package contains the ijsgutenprint binary which provides Ghostscript with a Gutenprint driver, including all printers supported by Gutenprint. ijsgutenprint is an IJS server (driver), which communicates with Ghostscript (an IJS client) using the IJS (InkJet Server) communications protocol. IJS is a method for separating ghostscript drivers from ghostscript, to allow ghostscript to be more extensible. . If you wish to print using Ghostscript and the Gutenprint drivers, install this package. This is typical for LPRng setups. If you are using CUPS, printer-driver-gutenprint is a better choice, but this package will still work with the appropriate foomatic setup if you wish to make use of foomatic. . Gutenprint is the print facility for the GIMP, and in addition a suite of drivers that may be used with common UNIX spooling systems using GhostScript or CUPS. These drivers provide printing quality for UNIX/Linux on a par with proprietary vendor-supplied drivers in many cases, and can be used for many of the most demanding printing tasks. Gutenprint was formerly known as Gimp-Print. Package: ike Version: 2.1.7+dfsg-1.1 Architecture: armhf Maintainer: Philipp Matthias Hahn Installed-Size: 711 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0) Recommends: ike-qtgui Provides: ike-server Homepage: http://www.shrew.net/ Priority: extra Section: net Filename: pool/main/i/ike/ike_2.1.7+dfsg-1.1_armhf.deb Size: 233986 SHA256: c79f57326561deeccf9418edbba05735ce580ca0d18e505edb9fc457968981ce SHA1: f9e8203d0d6940d4391248eaf552b1a37d609c55 MD5sum: d9149312d33f8f403d336cf83ed02e06 Description: Shrew Soft VPN client - Daemon and libraries The Shrew Soft VPN client is a free IPsec VPN Client for FreeBSD, NetBSD, Linux and Windows operating systems. This product can be used to communicate with Open Source VPN servers (e.g. ipsec-tools) as well as some commercial VPN servers. . This package provides a IKEv1 (ipsec key exchange) daemon and librairies used to maintain a IPSec VPN connection. It provides similar functionality as racoon, OpenSwan, FreeSwan, StrongSwan, IsaKmpD but is more user-interactive. . Note: You should install the ike-qtgui package to have a graphical user interface to configure and use VPN connections. Package: ike-qtgui Source: ike Version: 2.1.7+dfsg-1.1 Architecture: armhf Maintainer: Philipp Matthias Hahn Installed-Size: 505 Depends: ike, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libqt3-mt (>= 3:3.3.8b), libsm6, libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libx11-6, libxext6 Homepage: http://www.shrew.net/ Priority: extra Section: net Filename: pool/main/i/ike/ike-qtgui_2.1.7+dfsg-1.1_armhf.deb Size: 193582 SHA256: fe2171d3ce285cc177b6db312bb0ecf3ae99dee62bef7708ab03de323e02b4f5 SHA1: f6c884ec5da842cf7306c35d189f04854aa66fd0 MD5sum: 60c937c1867f8541693a7f4a7ec94758 Description: Shrew Soft VPN client - Connection manager The Shrew Soft VPN Client is a free IPsec VPN Client for FreeBSD, NetBSD, Linux and Windows operating systems. This product can be used to communicate with Open Source VPN servers (e.g. ipsec-tools) as well as some commercial VPN servers. . This package provides the connection manager program, which allows one to create, manage and use VPN connections. Package: ike-scan Version: 1.9-4 Architecture: armhf Maintainer: Jan Christoph Nordholz Installed-Size: 4231 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0) Priority: optional Section: net Filename: pool/main/i/ike-scan/ike-scan_1.9-4_armhf.deb Size: 1282624 SHA256: ceb5f0d13784c586c5c34dfeb460a234670e8054ea338522eae4d3059b251051 SHA1: acb4d2ac18db96208b6f172a90516a40bdad60b8 MD5sum: 5211f04efea0b301d7d728a2a363bcac Description: discover and fingerprint IKE hosts (IPsec VPN Servers) ike-scan discovers IKE hosts and can also fingerprint them using the retransmission backoff pattern. . ike-scan does two things: . a) Discovery: Determine which hosts are running IKE. This is done by displaying those hosts which respond to the IKE requests sent by ike-scan. . b) Fingerprinting: Determine which IKE implementation the hosts are using. This is done by recording the times of the IKE response packets from the target hosts and comparing the observed retransmission backoff pattern against known patterns. . The retransmission backoff fingerprinting concept is discussed in more detail in the UDP backoff fingerprinting paper which should be included in the ike-scan kit as udp-backoff-fingerprinting-paper.txt. Package: ikiwiki Version: 3.20120629.2+deb7u2 Installed-Size: 5961 Maintainer: Simon McVittie Architecture: all Replaces: ikiwiki-plugin-table Provides: ikiwiki-plugin-table Depends: perl, libtext-markdown-discount-perl, libhtml-scrubber-perl, libhtml-template-perl, libhtml-parser-perl, liburi-perl (>= 1.36), libyaml-libyaml-perl, libjson-perl Suggests: viewvc | gitweb | viewcvs, libsearch-xapian-perl, xapian-omega (>= 1.0.5), libtext-wikiformat-perl, python, python-docutils, polygen, tidy, libhtml-tree-perl, libxml-feed-perl, libmailtools-perl, perlmagick, libfile-mimeinfo-perl, file, liblocale-gettext-perl (>= 1.05-1), libtext-typography-perl, libtext-csv-perl, graphviz, libnet-amazon-s3-perl, libsparkline-php, texlive, dvipng, libtext-wikicreole-perl, libsort-naturally-perl, libtext-textile-perl, libhighlight-perl, po4a (>= 0.35-1), gettext, libnet-inet6glue-perl, libtext-multimarkdown-perl, libxml-writer-perl Conflicts: ikiwiki-plugin-table Size: 1802612 SHA256: b845aa8800e70774bca7423f37e1618ef62756979322b67e8f98ffee9d6b501a SHA1: 27f858b57736b3658fb5595dc2ce12129dc6ede8 MD5sum: 625f5aa8475f0031da89840788f9a6c4 Description: a wiki compiler Ikiwiki converts a directory full of wiki pages into HTML pages suitable for publishing on a website. Unlike many wikis, ikiwiki does not have its own ad-hoc means of storing page history, and instead uses a revision control system such as Subversion or Git. . Ikiwiki implements all of the other standard features of a wiki, including web-based page editing, user registration and logins, a RecentChanges page, BackLinks, search, Discussion pages, tags, smart merging and conflict resolution, and page locking. . Ikiwiki also supports generating news feeds (RSS and Atom) and blogging. Ikiwiki provides a plugin system which allows many other features to be added. Some of the plugins have additional dependencies, found among the Recommends and Suggests of this package. Homepage: http://ikiwiki.info/ Recommends: gcc | c-compiler, libc6-dev | libc-dev, git (>= 1:1.7) | git-core (>= 1:1.5.0) | subversion | tla | bzr (>= 0.91) | mercurial | monotone (>= 0.38) | darcs, libxml-simple-perl, libnet-openid-consumer-perl, libcrypt-ssleay-perl, liblwpx-paranoidagent-perl, libtimedate-perl, libcgi-formbuilder-perl (>= 3.05), libcgi-session-perl (>= 4.14-1), libmail-sendmail-perl, libauthen-passphrase-perl, libterm-readline-gnu-perl, libgravatar-url-perl, librpc-xml-perl Section: web Priority: optional Filename: pool/main/i/ikiwiki/ikiwiki_3.20120629.2+deb7u2_all.deb Package: ikiwiki-hosting-common Source: ikiwiki-hosting Version: 0.20120527 Installed-Size: 397 Maintainer: Joey Hess Architecture: all Depends: perl Size: 95142 SHA256: eb42e3e99be7f873346f25858b5251ee114e718006c6fce39bde770ab050b07a SHA1: bbddfd2abbc9a8c7b8a98465dbd7b1fe1fcc17b7 MD5sum: dce0f57606d1be5b3fe89168968edfc1 Description: ikiwiki hosting: common files A hosting interface for ikiwiki. Facilitates management of many separate ikiwiki sites, with capabilities including web-based signup to create new sites, easy support for branching sites, deleting sites, and transferring sites between servers. Ikiwiki-hosting was developed for Branchable.com. . This package contains common files for all ikiwiki hosting servers, and documentation. Homepage: http://ikiwiki-hosting.branchable.com/ Section: admin Priority: optional Filename: pool/main/i/ikiwiki-hosting/ikiwiki-hosting-common_0.20120527_all.deb Package: ikiwiki-hosting-dns Source: ikiwiki-hosting Version: 0.20120527 Installed-Size: 78 Maintainer: Joey Hess Architecture: all Depends: perl, ikiwiki-hosting-common, bind9 Size: 18492 SHA256: 1891d13e536cd8a044e95fffaa1158b812a94a22d84ea3b761e9b6b424cfc545 SHA1: 764f3e5ad19756a929da2b76ab35f7bf0f71e31f MD5sum: f3837f3b157811ecbfb3e122f4462152 Description: ikiwiki hosting: dns server A hosting interface for ikiwiki. Facilitates management of many separate ikiwiki sites, with capabilities including web-based signup to create new sites, easy support for branching sites, deleting sites, and transferring sites between servers. Ikiwiki-hosting was developed for Branchable.com. . This package should be installed on the master DNS server, only if you will be allowing ikiwiki-hosting to automatically manage DNS for sites. It contains the ikidns program. Homepage: http://ikiwiki-hosting.branchable.com/ Section: admin Priority: optional Filename: pool/main/i/ikiwiki-hosting/ikiwiki-hosting-dns_0.20120527_all.deb Package: ikiwiki-hosting-web Source: ikiwiki-hosting Version: 0.20120527 Architecture: armhf Maintainer: Joey Hess Installed-Size: 246 Depends: perl, libc6 (>= 2.13-28), ikiwiki-hosting-common, ikiwiki (>= 3.20100723), gcc | c-compiler, libc6-dev | libc-dev, git (>= 1:1.7.0), libxml-simple-perl, libnet-openid-consumer-perl (>= 1.03), liblwpx-paranoidagent-perl, libtimedate-perl, libdatetime-perl, libcgi-formbuilder-perl (>= 3.05), libcgi-session-perl (>= 4.14-1), libmail-sendmail-perl, libauthen-passphrase-perl, librpc-xml-perl, libtext-wikiformat-perl, python-docutils, polygen, libhtml-tree-perl, libxml-feed-perl, libmailtools-perl, perlmagick, libfile-mimeinfo-perl, libcrypt-ssleay-perl, liblocale-gettext-perl (>= 1.05-1), libtext-typography-perl, libtext-csv-perl, libtext-wikicreole-perl, libtext-textile-perl, libhighlight-perl, apache2, apache2-suexec, dnsutils, libyaml-perl, libyaml-syck-perl, uuid, gitweb (>= 1:1.7.0), libtext-markdown-perl (>= 1.0.26-1~bpo50+1), libtext-multimarkdown-perl, moreutils (>= 0.43), libdata-compare-perl, libnet-inet6glue-perl, libgravatar-url-perl, acl, adduser Conflicts: parallel Homepage: http://ikiwiki-hosting.branchable.com/ Priority: optional Section: admin Filename: pool/main/i/ikiwiki-hosting/ikiwiki-hosting-web_0.20120527_armhf.deb Size: 51696 SHA256: 50d920869a41af02ec12b61864ee7fa1cd0413ca3d7b5c41277ed29daa2a9779 SHA1: 0c803dea9e4434f5783a6a6405cb7bf0d44267e9 MD5sum: 932b63c3b60df111d7b906e14c14b349 Description: ikiwiki hosting: web server A hosting interface for ikiwiki. Facilitates management of many separate ikiwiki sites, with capabilities including web-based signup to create new sites, easy support for branching sites, deleting sites, and transferring sites between servers. Ikiwiki-hosting was developed for Branchable.com. . This package contains the ikisite program, and related things to install on each web server. Package: ikvm Version: 7.0.4335.0+ds-1 Installed-Size: 40972 Maintainer: Debian CLI Libraries Team Architecture: all Depends: mono-runtime (>= 2.10.1), cli-common (>= 0.5.1), libikvm-native (= 7.0.4335.0+ds-1), libmono-corlib4.0-cil (>= 2.10.1), libmono-sharpzip4.84-cil (>= 1.0), libmono-system-configuration4.0-cil (>= 1.0), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-data4.0-cil (>= 2.10.1), libmono-system-drawing4.0-cil (>= 1.0), libmono-system-windows-forms4.0-cil (>= 1.0), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), libmono2.0-cil (>= 2.6.3), java-common Size: 16124580 SHA256: 19dcf34c01507b46735824235375c3a55e7bdfc3926756c24cf28bcb60d7e13c SHA1: d0a0b51da3d6bc7370e549fed59b1fa6ecaa83a2 MD5sum: 470f73caf11edbfad95f75c49c9830e4 Description: Java virtual machine for the CLI IKVM.NET is a free implementation of Java for the Common Language Infrastructure (CLI) like Mono and the Microsoft .NET Framework. . There are two main ways of using IKVM.NET: - Dynamically: In this mode, Java classes and jars are used directly to execute Java applications on the CLI runtime. Java bytecode is translated on the fly into Common Intermediate Language (CIL) by running on the IKVM.NET runtime. The full Java class loader model is supported in this mode. - Statically: In order to allow Java code to be used by CLI applications, it must be compiled down to an assembly and used directly. The Java bytecode is translated to CIL and is stored in this form. The assemblies can be referenced and used directly by the CLI applications by only using the CLI runtime. While the static mode does not support the full Java class loader mechanism, it is possible for statically compiled code to create a class loader and load classes dynamically. Homepage: http://www.ikvm.net/ Tag: devel::compiler, devel::ecma-cli, devel::lang:java, implemented-in::c-sharp, implemented-in::java, interface::commandline, role::program, scope::application Section: java Priority: optional Filename: pool/main/i/ikvm/ikvm_7.0.4335.0+ds-1_all.deb Package: ilisp Version: 5.12.0+cvs.2004.12.26-17 Installed-Size: 916 Maintainer: Barak A. Pearlmutter Architecture: all Depends: emacsen-common, debconf (>= 0.5) | debconf-2.0, dpkg (>= 1.15.4) | install-info Pre-Depends: common-lisp-controller (>= 3.42) Recommends: ilisp-doc Suggests: hyperspec, cltl, emacs23 | emacs22 | emacs21 | emacs | xemacs Size: 272384 SHA256: ebe58ac9cc2b6b4aef6e5c3867d33931deb884778196aa7d8ff2d3936b921b8b SHA1: 7ec5170e3bc2ba015c4fccde715f09e267f6f721 MD5sum: 24e4ca5c83f7f5ad8ec9df8e87df836a Description: Emacs interface to LISP implementations ILISP is a powerful GNU Emacs interface to many dialects of Lisp, including Lucid, Allegro, Harlequin LispWorks, GCL, KCL, AKCL, ECL, IBCL, and CMUCL. Also some Scheme implementations are supported as well as a preliminary version of Xlisp/XlispStat. Homepage: https://sourceforge.net/projects/ilisp/ Tag: devel::lang:lisp, implemented-in::lisp, role::plugin, suite::emacs, use::editing Section: devel Priority: optional Filename: pool/main/i/ilisp/ilisp_5.12.0+cvs.2004.12.26-17_all.deb Package: ilisp-doc Source: ilisp Version: 5.12.0+cvs.2004.12.26-17 Installed-Size: 726 Maintainer: Barak A. Pearlmutter Architecture: all Recommends: ilisp Size: 361212 SHA256: 13f86d94031022800a30f6c8612518f8c88b77ad6ad47e5feace8d7dc1bca9a8 SHA1: 805c7b427fb82c9bf4da086e82a366e5f03c01bb MD5sum: 9c4a0a1ebc5a8731a19996fd724e2f01 Description: Documentation for ILISP package This package supplies PDF and HTML documentation for the ILISP package. ILISP is a powerful GNU Emacs interface to many dialects of Lisp. Homepage: https://sourceforge.net/projects/ilisp/ Tag: devel::doc, devel::lang:lisp, made-of::html, made-of::pdf, role::documentation, suite::emacs, use::editing Section: doc Priority: optional Filename: pool/main/i/ilisp/ilisp-doc_5.12.0+cvs.2004.12.26-17_all.deb Package: ilithuanian Source: ispell-lt Version: 1.2.1-3 Installed-Size: 588 Maintainer: Kęstutis Biliūnas Architecture: all Provides: ispell-dictionary Depends: debconf (>= 0.5) | debconf-2.0, ispell, dictionaries-common (>= 0.25) Conflicts: ispell (<< 3.1.18-2) Size: 383440 SHA256: 04be5197446e8b9275baba3674ba2896e94c9f9b99bfa3343520095f4b5ab5df SHA1: 186718103adfdf903708f94c6f887dc99bda83d7 MD5sum: af8b4f0291c5978604d52966117cb15a Description: ispell dictionary for Lithuanian (LT) This is the Lithuanian dictionary, to be used with ispell to check and correct spelling in Lithuanian texts. Tag: made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/ispell-lt/ilithuanian_1.2.1-3_all.deb Package: ilohamail Version: 0.8.14-0rc3sid6.2 Installed-Size: 1663 Maintainer: Joerg Jaspert Architecture: all Depends: apache | apache-ssl | apache-perl | httpd, php4 | libapache2-mod-php4 | php5 | libapache2-mod-php5, debconf (>= 0.5) | debconf-2.0, postfix | mail-transport-agent, wwwconfig-common Recommends: php4-mysql | php5-mysql, mysql-client, gnupg, aspell Size: 398242 SHA256: 452d7e5458426c0f4745a6dd7cdf89b3d1016efb039ba5b3bd04e2f2cead4107 SHA1: 030a9bf51b6cce8a3f20de449a9702accca38722 MD5sum: 81926104f96b7394cc5eea0d6bacac2b Description: Light weight yet full featured multilingual web-based IMAP/POP3 client IlohaMail (pronounced: e-lo-ha-mail) is a light weight yet full featured multilingual webmail program that is easy to use. . Admin Features: * Light weight and fast * Extensive multilingual capabilities * Modular - Easily modifiable to accommodate different backends. * Activity Logging * Spam Prevention * Multiple host/domain support * Auto-appended Tag-Lines * Optional MySQL backend for improved scalability and performance. * External SMTP server support * IMAP caching * Theme support . Client Features: * POP3 & IMAP Support * Send, receive, file, delete messages * GPG support * Multiple sender identities * Spell checker (with aspell) * Create, rename, delete folders * Send/receive attachments * View images inline * Full featured Contacts list * Calendar included * Bookmarks manager * Search messages * Customizable - Over 2 dozen user preferences * Support for over 20 languages . Upstream Homepage is http://www.ilohamail.org/ Tag: implemented-in::php, interface::web, mail::user-agent, network::client, role::program, use::editing, web::application, works-with::mail Section: web Priority: optional Filename: pool/main/i/ilohamail/ilohamail_0.8.14-0rc3sid6.2_all.deb Package: im Version: 1:151-2 Installed-Size: 904 Maintainer: Tatsuya Kinoshita Architecture: all Provides: imap-client, mail-reader, news-reader Depends: perl, debconf (>= 0.5) | debconf-2.0 Suggests: ssh, libsocket6-perl, namazu2-index-tools, bogofilter | spamoracle | bsfilter Size: 324704 SHA256: 0ecf9b02264c5d944102371af81a6b132ef6478d494db42e8109ab1c5fcd50e2 SHA1: dcb30ae1ae1819b48725a33f76edc186655d97e1 MD5sum: 42a643e3d57f4621814f6ac1fdb6221f Description: mail/news handling commands and Perl modules IM (Internet Message) provides a series of user interface commands (imput, imget, imls, ...) and backend Perl5 modules to integrate E-mail and NetNews user interface. They are designed to be used both from Mew version 1.x and on command line. . The folder style for IM is exactly the same as that of MH. So, you can replace MH with this package without any migration works. Moreover, you are able to operate your messages both by IM and MH with consistent manner. . Mail/news messages are dispatched by way of SMTP/NNTP and are retrieved from local mailbox (mbox file, Maildir, MMDF file, MH folder), POP3 server (plain password, APOP), IMAP server, or NNTP server. Homepage: http://tats.hauN.org/im/ Tag: implemented-in::perl, mail::imap, mail::user-agent, network::client, protocol::imap, protocol::ipv6, protocol::nntp, role::program, works-with::mail Section: mail Priority: optional Filename: pool/main/i/im/im_151-2_all.deb Package: im-config Version: 0.21 Installed-Size: 230 Maintainer: Osamu Aoki Architecture: all Provides: im-switch Depends: zenity | dialog, gettext-base Recommends: x11-common, dialog Conflicts: im-switch Size: 36576 SHA256: 1d63c4879c0ec7726c5fa3c393db68d12d4bbeba177f597f8bc1fd990f90879c SHA1: 7550aef251ee2a9a1ec4e76416e4b84a31013e71 MD5sum: 3accd7bda2797880bf20b3e189c7598e Description: Input method configuration framework im-config package provides the framework to configure and to switch the input method on X Window System. This input method is the essential mechanism for Japanese, Chinese and Korean (CJK) languages to enter their non-ASCII native characters. . Many modern input methods such as IBus support not only one of these CJK languages but support almost all languages simultaneously by dynamically switching keyboard modes with GUI helper program. . By installing this package, the most desirable input method and its backend conversion engine are automatically configured with both the X Window System Input Method (XIM), GTK+ input method module, Qt input method module, and clutrer input method module. . You can further customize your input method with 'im-config' command. Tag: accessibility::input, admin::configuring, implemented-in::shell, role::program Section: x11 Priority: optional Filename: pool/main/i/im-config/im-config_0.21_all.deb Package: im-switch Version: 1.23 Installed-Size: 112 Maintainer: Osamu Aoki Architecture: all Depends: zenity Recommends: x11-common | xfree86-common Size: 18628 SHA256: 10f1ea2291ed26c6520f8cb5654b38da03e3ca65a0c75fb89c9eeb3b5bc4ddd5 SHA1: b8014fba1669612f06409dd1eb979673c1d7bd9b MD5sum: 6b6a60b53404d1b654f964cfd6891b81 Description: Input method switch framework im-switch provides the framework to configure and to switch the input method on X Window System depending on the locale. This input method is the essential mechanism for Japanese, Chinese and Korean (CJK) language to enter their non-ASCII native characters. . Some input methods such as SCIM support not only one of these CJK languages but support almost all languages simultaneously by dynamically switching keyboard modes with GUI. . By installing this package, the most desirable input method and its backend conversion engine are automatically configured with both XIM or IMmodule mechanism. . You can further customize your input method with 'im-switch' command. Tag: accessibility::input, culture::chinese, culture::japanese, culture::korean, culture::taiwanese, interface::commandline, role::program Section: x11 Priority: optional Filename: pool/main/i/im-switch/im-switch_1.23_all.deb Package: imageindex Version: 1.1-2.1 Installed-Size: 171 Maintainer: Michael Ablassmeier Architecture: all Depends: perl-base (>= 5.8.4), perlmagick, imagemagick (>= 6:6.2.3.0-2), libimage-info-perl, libperl4-corelibs-perl | perl (<< 5.12.3-7) Suggests: mplayer Size: 47920 SHA256: 9b1d1a0e2d3c8e504245577c803ecf569833ce9ababa3d133fb8b394a4916cd9 SHA1: bfe778b95fe2bdef44e08dc34fb5dd2dda993b65 MD5sum: f10764b930974f5743cfd78d897993f2 Description: generate static HTML galleries from images Imageindex generates standards-compliant static HTML galleries of images (usually JPG photos, but can deal with images of just about any format). Some features: . * Only out-of-date thumbnail/medium images are generated * Captions come from comments embedded in images (never get lost!) * Output is customizable with CSS stylesheets * Can fetch EXIF header data from digicam photos * Can optionally recurse directory trees * Index, detail, slide, and frame views * Can use mplayer for dealing with video files. Homepage: http://www.edwinh.org/imageindex/ Tag: interface::commandline, role::program, scope::utility, works-with-format::html, works-with::image, works-with::image:raster, works-with::text Section: web Priority: optional Filename: pool/main/i/imageindex/imageindex_1.1-2.1_all.deb Package: imagej Version: 1.46a-1+deb7u1 Installed-Size: 1707 Maintainer: Debian Med Packaging Team Architecture: all Depends: default-jre | java6-runtime Suggests: java-virtual-machine Size: 1626262 SHA256: 97526f19969ba4b11b0d41db1d90ae37873f16f4eae26a22b997e744edb1d3a9 SHA1: 0f947dc3cf89500e76b6f33b18c84a4b638bcf05 MD5sum: a2f389596181bee370ac0e9205e258e2 Description: Image processing program inspired by NIH Image for the Macintosh It can display, edit, analyze, process, save and print 8-bit, 16-bit and 32-bit images. It can read many image formats including TIFF, GIF, JPEG, BMP, DICOM, FITS and "raw". It supports "stacks", a series of images that share a single window. . It can calculate area and pixel value statistics of user-defined selections. It can measure distances and angles. It can create density histograms and line profile plots. It supports standard image processing functions such as contrast manipulation, sharpening, smoothing, edge detection and median filtering. . Spatial calibration is available to provide real world dimensional measurements in units such as millimeters. Density or gray scale calibration is also available. . ImageJ is developed by Wayne Rasband (wayne@codon.nih.gov), is at the Research Services Branch, National Institute of Mental Health, Bethesda, Maryland, USA. Homepage: http://imagej.nih.gov/ij Section: science Priority: optional Filename: pool/main/i/imagej/imagej_1.46a-1+deb7u1_all.deb Package: imagemagick Version: 8:6.7.7.10-5+deb7u22 Architecture: armhf Maintainer: ImageMagick Packaging Team Installed-Size: 373 Depends: libbz2-1.0, libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libglib2.0-0 (>= 2.12.0), libgomp1 (>= 4.2.1), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), liblcms2-2, liblqr-1-0 (>= 0.1.0), libltdl7 (>= 2.4.2), liblzma5 (>= 5.1.1alpha+20110809), libmagickcore5 (>= 8:6.7.7.10), libmagickwand5 (>= 8:6.7.7.10), libsm6, libtiff4 (>> 3.9.5-3~), libx11-6, libxext6, libxt6, zlib1g (>= 1:1.1.4), hicolor-icon-theme Recommends: libmagickcore5-extra, ghostscript, netpbm, ufraw-batch Suggests: imagemagick-doc, autotrace, cups-bsd | lpr | lprng, curl, enscript, ffmpeg, gimp, gnuplot, grads, groff-base, hp2xx, html2ps, libwmf-bin, mplayer, povray, radiance, sane-utils, texlive-base-bin, transfig, xdg-utils Multi-Arch: foreign Homepage: http://www.imagemagick.org/ Priority: optional Section: graphics Filename: pool/main/i/imagemagick/imagemagick_6.7.7.10-5+deb7u22_armhf.deb Size: 291802 SHA256: 2e0323f5335d17e3d06f99f1661792fe1ea131cbd6e252faffba82791ce13f0a SHA1: bcf1ad1e204323941402873d3b48f81f4d079384 MD5sum: fa4e028e4bfb299608aa00f58d339ef4 Description: image manipulation programs ImageMagick is a software suite to create, edit, and compose bitmap images. It can read, convert and write images in a variety of formats (over 100) including DPX, EXR, GIF, JPEG, JPEG-2000, PDF, PhotoCD, PNG, Postscript, SVG, and TIFF. Use ImageMagick to translate, flip, mirror, rotate, scale, shear and transform images, adjust image colors, apply various special effects, or draw text, lines, polygons, ellipses and Bézier curves. All manipulations can be achieved through shell commands as well as through an X11 graphical interface (display). Package: imagemagick-common Source: imagemagick Version: 8:6.7.7.10-5+deb7u22 Installed-Size: 358 Maintainer: ImageMagick Packaging Team Architecture: all Size: 134762 SHA256: 839535fb36f311e1b7ee1395c9e910b7af1d00d450eb2a18c4b771d1518ff546 SHA1: 41478bea77cefe254a785719efe0f299f578d1dd MD5sum: ac4fb5eebe0b3c67f5f777d9c3519a99 Description: image manipulation programs -- infrastructure imagemagick-common contains the filesystem infrastructure required for further installation of imagemagick in any configuration; it does not provide a full installation of binaries, libraries, and utilities required to run imagemagick. Homepage: http://www.imagemagick.org/ Multi-Arch: foreign Pre-Depends: dpkg (>= 1.15.7.2) Section: graphics Priority: optional Filename: pool/main/i/imagemagick/imagemagick-common_6.7.7.10-5+deb7u22_all.deb Package: imagemagick-dbg Source: imagemagick Version: 8:6.7.7.10-5+deb7u22 Architecture: armhf Maintainer: ImageMagick Packaging Team Installed-Size: 5646 Depends: imagemagick (= 8:6.7.7.10-5+deb7u22), libmagick++5 (= 8:6.7.7.10-5+deb7u22), libmagickcore5-extra (= 8:6.7.7.10-5+deb7u22), perlmagick (= 8:6.7.7.10-5+deb7u22) Homepage: http://www.imagemagick.org/ Priority: extra Section: debug Filename: pool/main/i/imagemagick/imagemagick-dbg_6.7.7.10-5+deb7u22_armhf.deb Size: 4713638 SHA256: cf58fe201362427d23e2398cf09d9b5fd3c263d926dd4b86012f1a8c53c01159 SHA1: 664b08ac0cab4256f6e0ddc9508325f1b1083601 MD5sum: 60adb6615f638fba346aa2a7cb3bb4fc Description: debugging symbols for ImageMagick This package contains the debugging symbols for ImageMagick, a software suite to create, edit, and compose bitmap images. Package: imagemagick-doc Source: imagemagick Version: 8:6.7.7.10-5+deb7u22 Installed-Size: 21775 Maintainer: ImageMagick Packaging Team Architecture: all Suggests: imagemagick Conflicts: imagemagick (<= 7:6.4.0) Size: 5807290 SHA256: 070c263c317e3618ca55261034cf6b1c6d3dc72d3438baef2ed49c68917269ba SHA1: f3dd298c384ce27c7ab7622500c08981e9d2d0c5 MD5sum: a8c538ef3b3169065918a857d7130f19 Description: document files of ImageMagick This package contains the document files shipped with ImageMagick, a software suite to create, edit, and compose bitmap images. Homepage: http://www.imagemagick.org/ Recommends: www-browser Section: doc Priority: optional Filename: pool/main/i/imagemagick/imagemagick-doc_6.7.7.10-5+deb7u22_all.deb Package: imageshack-uploader Version: 2.2+hg20100408.d802dea89428-5.1 Architecture: armhf Maintainer: Luke Faraone Installed-Size: 667 Depends: libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libswscale2 (>= 5:0.8-2~), imageshack-uploader-common Homepage: http://code.google.com/p/imageshack-uploader/ Priority: optional Section: utils Filename: pool/main/i/imageshack-uploader/imageshack-uploader_2.2+hg20100408.d802dea89428-5.1_armhf.deb Size: 326072 SHA256: 5c92427bb7a0effd9088406b5e11f03e671b7ec5d43cbd03dde2a1b2a7f5a932 SHA1: 943d293de79b11a3a1c1748bee5a57a9c56298d7 MD5sum: dd3834e0966c2353be6dbbe517cff37d Description: a image and video upload utility for the ImageShack hosting service The ImageShack Bulk Uploader is a simple standalone application for uploading one or more image and video files to ImageShack. Users can upload to their account or anonymously. . To fully make use of this application, you may wish to create an account at . Package: imageshack-uploader-common Source: imageshack-uploader Version: 2.2+hg20100408.d802dea89428-5.1 Installed-Size: 1406 Maintainer: Luke Faraone Architecture: all Replaces: imageshack-uploader (<= 2.2.0) Size: 230024 SHA256: c1347f46a7fb5652f35be0f26ffc197d63d3e8ce85399f6a19826babb9a20bdc SHA1: 947fd58ad850e6201446ede5c4092231c8fbb274 MD5sum: 90d1a74c8e45a99dfd3453b02425dc6a Description: a image and video upload utility - common files The ImageShack Bulk Uploader is a simple standalone application for uploading one or more image and video files to ImageShack. Users can upload to their account or anonymously. . This package contains common files for the imageshack-uploader package. Homepage: http://code.google.com/p/imageshack-uploader/ Tag: role::app-data Section: utils Priority: optional Filename: pool/main/i/imageshack-uploader/imageshack-uploader-common_2.2+hg20100408.d802dea89428-5.1_all.deb Package: imagetooth Version: 2.0.1-1.1 Installed-Size: 13 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby | ruby-interpreter, ruby-rmagick (>= 2.13.1~) Size: 6054 SHA256: 6c12336531182266748965b5bec54c6dbf35ede50449d55d60a37a0b13139ab2 SHA1: f19e7ba76c082a86b0756b6adca732bc080c2bd2 MD5sum: e02c03412b3c359ba4645efbcc186d55 Description: This library generates images of teeth for odontograms. This library generates images of teeth for odontograms with tooth faces painted in a color (red, green, blue, white or black for example). This is very useful for dental applications. Homepage: https://github.com/gramos/imagetooth Ruby-Versions: ruby1.8 ruby1.9.1 Section: ruby Priority: optional Filename: pool/main/i/imagetooth/imagetooth_2.0.1-1.1_all.deb Package: imagevis3d Version: 2.0.1-5 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 5560 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libjpeg8 (>= 8c), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), libtiff5 (>> 4.0.0-1~), zlib1g (>= 1:1.2.2.3) Homepage: http://www.imagevis3d.com Priority: optional Section: science Filename: pool/main/i/imagevis3d/imagevis3d_2.0.1-5_armhf.deb Size: 2719720 SHA256: 38fdf80d80ae615b2798b78d7417d45d30642755c500ec838f3bf8dbe9b9f26e SHA1: e57253df85db9633dec8e9eaf89cf9b2c855c75b MD5sum: 3e783ec5744c7e337d4ba79c4bebc372 Description: desktop volume rendering application for large data ImageVis3D is a volume rendering application specifically designed to render large data. This is achieved by splitting the dataset into multiple levels of detail (LoD), with each level itself decomposed into multiple bricks (atomic rendering primitive). Interaction occurs at the coarsest LoD, which can be rendered instantaneously on almost all modern systems. After a configurable delay, ImageVis3D will successively render finer levels of detail, until the data are visible at their native resolution. . Development of ImageVis3D is sponsored by the NIH/NCRR Center for Integrative Biomedical Computing (CIBC), and the DOE Visualization And Analytics Center for Enabling Technologies (VACET). Package: imagination Version: 3.0-2 Architecture: armhf Maintainer: Andrew Starr-Bochicchio Installed-Size: 435 Depends: imagination-common (= 3.0-2), sox, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0), libsox2 (>= 14.4.0) Recommends: ffmpeg, libsox-fmt-all Suggests: libavcodec-extra-52, libavformat-extra-52, libavutil-extra-50 Homepage: http://imagination.sourceforge.net/ Priority: optional Section: video Filename: pool/main/i/imagination/imagination_3.0-2_armhf.deb Size: 120758 SHA256: a2a5f19c16262149ef2846bd09f1194956042b629d9a6219ac1e1162faf5cda8 SHA1: ef57725de958338f5f201c5fffebd85a5305a4f2 MD5sum: c0310c7cf434f93ffed41a8caa782c02 Description: DVD slide show maker Imagination is a lightweight and user-friendly DVD slide show maker with a clean interface and few dependencies. It only requires the ffmpeg encoder to produce a movie to be burned with another application. . It currently features over 50 transition effects. Exporting of the slideshow in FLV format is supported as well. Package: imagination-common Source: imagination Version: 3.0-2 Installed-Size: 8893 Maintainer: Andrew Starr-Bochicchio Architecture: all Replaces: imagination (<= 2.0-1) Conflicts: imagination (<= 2.0-1) Size: 2852024 SHA256: 6a941b3a0d651897988a909772ec78e3d796712396bc4a6db621441a09ef1e79 SHA1: 706b8bd171f3ee6a1d58fb66038ff5448e28516d MD5sum: 9f5489058f4a3e12603d10e386a9ebab Description: DVD slide show maker - common files Imagination is a lightweight and user-friendly DVD slide show maker with a clean interface and few dependencies. It only requires the ffmpeg encoder to produce a movie to be burned with another application. . This package contains architecture independent files such as icons, pixmaps, documentation, and translations. Homepage: http://imagination.sourceforge.net/ Tag: role::app-data Section: video Priority: optional Filename: pool/main/i/imagination/imagination-common_3.0-2_all.deb Package: imanx Version: 0.50-9.1 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 817 Depends: ispell, dictionaries-common, debconf (>= 0.5) | debconf-2.0 Provides: ispell-dictionary Priority: optional Section: text Filename: pool/main/i/imanx/imanx_0.50-9.1_armhf.deb Size: 203246 SHA256: 832d95cf1118353283a920abb2b76b9abf4eb55c6e0779f09a4bc33fb875f50e SHA1: e44fd0a4e79bd4143ded58367bb6565d6aed0706 MD5sum: fb06706433fba9522372c6fcd5e4b968 Description: A Manx Gaelic dictionary for ispell This is a Manx Gaelic dictionary for ispell spelling checker. The dictionary has about 30,000 words, but is of Alpha quality. Help in checking its quality and word submissions gratefully accepted. Package: imapcopy Version: 1.04-1 Architecture: armhf Maintainer: RISKO Gergely Installed-Size: 303 Depends: libc6 (>= 2.13-28) Priority: optional Section: mail Filename: pool/main/i/imapcopy/imapcopy_1.04-1_armhf.deb Size: 104994 SHA256: 659f0add485004e0166d0ff5265421639e8404d11bd9f9dc42314efccf3b78f1 SHA1: cd9c8945bd2dcf025bc0d0cb2f64ff08323c0450 MD5sum: d1af8cf35b21abe1ced14d9b65514a9d Description: IMAP backup, copy and migration tool IMAPCopy is a small command line tool to copy messages for multiple users from one imap server to another. You can use it with any IMAP implementation, for example you can migrate from Cyrus to MS Exchange or from MS Exchange to Courier IMAP. . The package imapsync serves a similar purpose. Package: imapfilter Version: 1:2.5.2-2 Architecture: armhf Maintainer: Francesco Paolo Lovergine Installed-Size: 210 Depends: libc6 (>= 2.13-28), liblua5.2-0, libpcre3 (>= 8.10), libssl1.0.0 (>= 1.0.0) Homepage: https://github.com/lefcha/imapfilter Priority: optional Section: mail Filename: pool/main/i/imapfilter/imapfilter_2.5.2-2_armhf.deb Size: 59308 SHA256: 5ee4457ce7e871d953c7a771c20f54546c11da7391d38d50f24bd8f76747722b SHA1: 5f32eaea1006016906f2a71cd2e1202ba3b1bb80 MD5sum: 5a6e5c360b7af12d65cfb8e3a7ea4f4a Description: filter mail in your IMAP account Imapfilter is a client application that allows you to filter mail in your imap mail account. When used in conjunction with mutt, as your precommand, it allows you to have your mail sorted into imap folders. Package: imapproxy Source: up-imapproxy Version: 1.2.7-1.1 Architecture: armhf Maintainer: Jose Luis Tallon Installed-Size: 191 Depends: lsb-base, libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libssl1.0.0 (>= 1.0.0), libtinfo5, debconf (>= 0.5) | debconf-2.0, ucf (>= 0.28) Homepage: http://www.imapproxy.org Priority: optional Section: mail Filename: pool/main/u/up-imapproxy/imapproxy_1.2.7-1.1_armhf.deb Size: 61698 SHA256: ec627cddcecaf6972f3e03c97dd7f98d2d1e00ec0b22df1e6903f60802f24f5e SHA1: 03c3d68a7e6ec8a711c70db9847cbb9fac19799a MD5sum: 5f1fe519b6b1c6fd7ac799cdaae4b036 Description: IMAP protocol proxy UP-ImapProxy proxies IMAP transactions between an IMAP client and an IMAP server. The general idea is that the client should never know that it is not talking to the real IMAP server, but ImapProxy caches server connections. . ImapProxy was written to compensate for webmail clients that are unable to maintain persistent connections to an IMAP server. Most webmail clients need to log in to an IMAP server for nearly every single transaction; This behaviour can cause tragic performance problems on the IMAP server. ImapProxy tries to deal with this problem by leaving server connections open for a short time after a webmail client logs out. When the webmail client connects again, ImapProxy will determine if there is a cached connection available and reuse it if possible. Package: imaprowl Version: 1.2.1-1 Installed-Size: 100 Maintainer: Takuo KITAME Architecture: all Depends: ruby1.9.1, libopenssl-ruby1.9.1 Size: 14760 SHA256: 483ee711fce75cdd2c3b48a996d3d677a3534291e2ee07b39474257a61a21521 SHA1: 8a3c093d186729c277e2c12b7bd0a0422cd261e8 MD5sum: 4acc474306f3f3200e00f2cc1fe4b78d Description: IMAP new mail notification utility for iPhone using Prowl Public API Prowl is a service and an App for iPhone's Push Notification service.(APNs) see http://prowl.weks.net/ for more about Prowl. . IMAProwl is an utility script to notify new mail of IMAP server with Prowl service. It's very useful to push notification of GMail or any other IMAP mail service to your iPhone. . This program uses IMAP/IDLE(RFC2177) or IMAP/NOOP to check the new mail on IMAP server and uses Prowl Public API via HTTPS. Homepage: http://github.com/takuo/IMAProwl Section: mail Priority: optional Filename: pool/main/i/imaprowl/imaprowl_1.2.1-1_all.deb Package: imaptool Version: 0.9-12 Architecture: armhf Maintainer: Colin Watson Installed-Size: 70 Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), libx11-6, libxaw7, libxext6, libxmu6, libxt6 Priority: optional Section: web Filename: pool/main/i/imaptool/imaptool_0.9-12_armhf.deb Size: 19556 SHA256: 06875f4a8f9d0a8b009e162a84c378ba75ddf295f95787e36fabeff88c983501 SHA1: 098dd9b035ae914e03a81794586a0c15e52e771f MD5sum: 09a34bf4fcd1425d0f07717545f70b8a Description: tool for creating client-side image maps A simple (yet useful and free) tool for creating client-side image maps, supporting GIF and JPEG images. Package: imdb-tools Version: 0.9-1 Installed-Size: 76 Maintainer: Matthew Johnson Architecture: all Depends: curl, realpath, tidy Size: 14786 SHA256: 186e236d0b187e819f6bb9e235633e1892b5f9e1d3ea62b555e5e0adc188c510 SHA1: ae255c0ab7d199408e787ce27b4b67709d6dd1d6 MD5sum: fcf0d9d61110dd120e522384c78e6977 Description: Lookup film details on IMDB The IMDB tools lookup film details on the Internet Movie Database: www.imdb.com, cache them and associate the details with filenames. Tag: implemented-in::shell, interface::commandline, role::program, use::organizing, works-with::video Section: utils Priority: optional Filename: pool/main/i/imdb-tools/imdb-tools_0.9-1_all.deb Package: imediff2 Version: 1.1.2-1 Installed-Size: 76 Maintainer: Kevin Coyner Architecture: all Depends: python Size: 10610 SHA256: ffffcb0eda94e4e3e537e5860aba29560f7557964e3ed9ac19360a9f5db50b7f SHA1: a0793ff736ecaf9d4b60db2d757ea4e89177b3ba MD5sum: 4c7eb650b2b1e53f81843f6086b1b47a Description: interactive full screen 2-way merge tool Imediff2 lets you merge two (slightly different) files interactively with a user friendly full screen interface on a text terminal. In other words, it is an ncurses based replacement for sdiff. . The program shows the differences of given files (in color if the terminal supports them), lets you scroll up and down and toggle changes between the old and new versions of the differing hunks one by one. . Unlike split screen based merge tools, it shows only one, partially merged, version of the file at a time, making it more "WYSIWYG", perhaps more intuitive for beginners and most importantly, suitable for narrow terminals. . Homepage: http://alioth.debian.org/projects/imediff2/ Python-Version: current Tag: implemented-in::python, interface::text-mode, role::program, scope::utility, uitoolkit::ncurses, use::synchronizing, works-with::text Section: text Priority: optional Filename: pool/main/i/imediff2/imediff2_1.1.2-1_all.deb Package: imgsizer Version: 2.7-3 Installed-Size: 64 Maintainer: Peter S Galbraith Architecture: all Depends: imagemagick | file, imagemagick | libjpeg-progs, python (>= 2.4) Size: 10712 SHA256: d1c3803e892fa0860c0bd7ef440fd55f91fdb3ae523abfd7ff4753e478fcfe4d SHA1: 10adc69c265948154e4ee61bd4292469d4dbfb0d MD5sum: 0611b9960390e95b6b271866ed6bea77 Description: Adds WIDTH and HEIGHT attributes to IMG tags in HTML files The imgsizer script automates away the tedious task of creating and updating the extension HEIGHT and WIDTH parameters in HTML IMG tags. These parameters help browsers to multi-thread image loading, instead of having to load images in strict sequence in order to have each one's dimensions available so the next can be placed. This generally allows text on the remainder of the page to load much faster. . The package needs either the imagemagick package or both the file and libjpeg-progs packages. Tag: implemented-in::python, interface::commandline, role::program, scope::utility, use::editing, works-with-format::html, works-with::image, works-with::image:raster, works-with::text Section: web Priority: optional Filename: pool/main/i/imgsizer/imgsizer_2.7-3_all.deb Package: imgvtopgm Version: 2.0-9 Architecture: armhf Maintainer: Erik Schanze Installed-Size: 303 Depends: netpbm, libc6 (>= 2.13-28), libnetpbm9, xli Recommends: pilot-link | jpilot Homepage: https://sourceforge.net/projects/imgvtopgm/ Priority: optional Section: otherosfs Filename: pool/main/i/imgvtopgm/imgvtopgm_2.0-9_armhf.deb Size: 219576 SHA256: a040ed888fa4e3530b1a9e8e62b88bfd5dca585514e7261b351c87382c0cf2ba SHA1: 24b8d122b16997bbf5ad03df11f986385c46753e MD5sum: fcb75e9f96462064f7bc7a50fa961917 Description: PalmPilot/III Image Conversion utility This program can convert, compress, and decompress up to 4-bit grayscale images for displaying on the PalmPilot. It can take any pbm, pnm, pgm file generated by the netpbm package and convert it into a suitable image for the Pilot. . Together with netpbm many image formats, including JPEG, PNG, GIF, TIFF and BMP, could be converted into PDB format. This can be displayed on PalmPilot/III by viewers like "ImageViewer", "TinyViewer" or "Spec". Package: imhangul-common Version: 1+nmu1 Installed-Size: 42 Maintainer: Debian Korean L10N Architecture: all Replaces: imhangul (<= 0.9.16-1) Recommends: im-config (>= 0.6) | im-switch Conflicts: imhangul (<= 0.9.16-1) Size: 2282 SHA256: b8f9afa476f81f0881b90c617ffca7859f0d6ce4e6ff76e727144299619c5f94 SHA1: 60e92f3b2887bbbc044a86cb55e49b54d43206ea MD5sum: 327c291d69cb25c769f0722f43aa6239 Description: Common files for imhangul This package includes configuration files for the Debian imhangul packages, which are common for all GTK+ versions. Section: utils Priority: optional Filename: pool/main/i/imhangul-common/imhangul-common_1+nmu1_all.deb Package: imhangul-gtk2 Source: imhangul Version: 2.1.0-2 Architecture: armhf Maintainer: Debian Korean L10N Installed-Size: 110 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libhangul1 (>= 0.1.0), libpango1.0-0 (>= 1.14.0), imhangul-common (>= 1) Suggests: imhangul-status-applet Conflicts: imhangul (<= 0.9.16-1) Replaces: imhangul (<= 0.9.16-1) Multi-Arch: same Homepage: http://kldp.net/projects/imhangul Priority: optional Section: utils Filename: pool/main/i/imhangul/imhangul-gtk2_2.1.0-2_armhf.deb Size: 24650 SHA256: e30601f890d841f2ba3141721003410a9d7e034cc61ec3bc194c3e83825bbc85 SHA1: c18e4f25c761f6356027cebf9db6d21846457c66 MD5sum: 3e367d87c5f500ed6e27655803457c8a Description: Hangul (Korean) input module for GTK+ Imhangul is a Hangul input module for GTK+. This supports Korean Hangul input with several types of keyboards widely used in Korea. . This package has been built for GTK+ 2. Package: imhangul-gtk3 Source: imhangul3 Version: 3.1.0-2 Architecture: armhf Maintainer: Debian Korean L10N Installed-Size: 110 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libhangul1 (>= 0.1.0), libpango1.0-0 (>= 1.14.0), imhangul-common (>= 1) Suggests: imhangul-status-applet Multi-Arch: same Homepage: http://kldp.net/projects/imhangul Priority: optional Section: utils Filename: pool/main/i/imhangul3/imhangul-gtk3_3.1.0-2_armhf.deb Size: 24854 SHA256: 38bc7c34630c0c3974a7759a0155134f566ce87aeb4d6f1119212ed413a40f78 SHA1: 5ae09ef31055bde09bbf643f853f48d9188e4966 MD5sum: 0fd66ad62dad7278cef633585534708d Description: Hangul (Korean) input module for GTK+ Imhangul is a Hangul input module for GTK+. This supports Korean Hangul input with several types of keyboards widely used in Korea. . This package has been built for GTK+ 3.x. Package: imms-audacious Source: imms Version: 3.1.0~svn301-2 Architecture: armhf Maintainer: Artur R. Czechowski Installed-Size: 93 Depends: libatk1.0-0 (>= 1.12.4), libaudcore1 (>= 3.2), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libpcre3 (>= 8.10), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.6), libtag1c2a (>= 1.5), libtorch3c2, libx11-6, libxext6, libxss1, zlib1g (>= 1:1.1.4), imms-common (= 3.1.0~svn301-2) Provides: imms-plugin Homepage: http://imms.luminal.org/ Priority: optional Section: utils Filename: pool/main/i/imms/imms-audacious_3.1.0~svn301-2_armhf.deb Size: 22954 SHA256: bfbfa05c2ada611b30d8153c4c1e9af6c2ef388f9388feb02fd6afdee0aa0ee2 SHA1: 933269264a68c4bbe0a5abaa965492e71129a52e MD5sum: e24332db11a73515f4eb4dccd83817fb Description: Unobtrusive, automatic, and learning audacious playlist manager IMMS is an intelligent playlist plug-in that tracks your listening patterns and dynamically adapts to your taste. . Major features include: . - Rating and playlist adjustment are done completely transparently to the user. IMMS is super easy to use! - Though IMMS will mostly play "good" songs, occasionally less popular ones are given a chance to earn your favor. - IMMS does a better job of shuffling than player It is able to recognize different versions of the same song (eg. remixes) and not play them too often. - IMMS uses smart file identification that allows files to keep their ratings even if they are moved and/or their tags change. . This package contains audacious plugin Package: imms-common Source: imms Version: 3.1.0~svn301-2 Architecture: armhf Maintainer: Artur R. Czechowski Installed-Size: 1539 Depends: libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libpcre3 (>= 8.10), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.6), libtag1c2a (>= 1.5), libtorch3c2, libx11-6, libxext6, libxss1, zlib1g (>= 1:1.1.4), sox (>= 14.1.0), libsox-fmt-base, libsox-fmt-mp3 Recommends: sqlite, sqlite3, imms-plugin Homepage: http://imms.luminal.org/ Priority: optional Section: utils Filename: pool/main/i/imms/imms-common_3.1.0~svn301-2_armhf.deb Size: 577640 SHA256: f83b23fd29851c457c0aec4c883eb9a5cb62a706356900154ea26f75ad80a107 SHA1: 5411117ffb82c70741e3106b4f4ff022f01d18fa MD5sum: 1207d5b433de6e69708f57eda4760142 Description: Unobtrusive, automatic, and learning playlist manager IMMS is an intelligent playlist plug-in that tracks your listening patterns and dynamically adapts to your taste. . This package contains internal tools used by imms plugins for players. It is useless without any imms-plugin Package: imp4 Version: 4.3.10+debian0-1.1 Installed-Size: 14177 Maintainer: Horde Maintainers Architecture: all Depends: horde3 (>= 3.1.1), php4-imap | php5-imap, php-mail-mime Suggests: ingo1, kronolith2, turba2, aspell, imapproxy Size: 5447454 SHA256: b890bcc02dcf6fcc1f53721b23f8f4010aa225b1331f2868eb23c3a23636a784 SHA1: 2cd7a6a006650521644c4eb9e27b9e68dca1c44f MD5sum: 1cba30da1bff9014e4dfd6d79dd39e50 Description: webmail component for horde framework IMP is the Internet Messaging Program (formerly, among other things, the IMAP webMail Program), a PHP-based webmail system and a component of the Horde project. IMP, once installed, accesses mail over IMAP thus requiring little to no special preparations on the server on which mail is stored. . IMP offers most of the features users have come to expect from their conventional mail programs, including attachments, spell-check, address books, multiple folders, and multiple-language support. Homepage: http://www.horde.org/imp/ Tag: devel::lang:php, implemented-in::php, interface::web, mail::imap, mail::user-agent, network::client, protocol::imap, role::program, web::application, works-with::mail Section: web Priority: optional Filename: pool/main/i/imp4/imp4_4.3.10+debian0-1.1_all.deb Package: impose+ Version: 0.2-12 Installed-Size: 180 Maintainer: Yaroslav Halchenko Architecture: all Depends: psutils, perl Suggests: ghostscript Size: 81330 SHA256: 5fdf2d70e601c79ef47ff47fd96fcbb921b2471de0f10e254aa7dd466c3fe720 SHA1: 5292296cd08da46bf19c985b2940f440f8c7f53d MD5sum: 79ae10470e505e68590a2977e90cb3cd Description: Postscript utilities for two-up printing, bbox, etc A set of utilities for manipulating DSC compliant postscript. The following programs are included: . impose: A preprocessor to pstops for creating 2-up printouts. It tries to remove white space from the printout by probing the original postscript for the printed area's bounding box. This makes the output more esthetic than a simplistic layout of non-cropped original pages. . bboxx: Extracts the bounding boxes of a postscript file, with the option of entering the bounding box into the file. This program uses the ghostscript bbox device. . fixtd: Sets options in a Postscript file asking the printer to turn on tumbling or duplex printing. . psbl: Rearranges pages in a file to create booklets. Homepage: http://freshmeat.net/projects/impose/ Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, use::printing, works-with-format::postscript, works-with::text Section: text Priority: optional Filename: pool/main/i/impose+/impose+_0.2-12_all.deb Package: imposm Version: 2.4.0+dfsg-0.1 Installed-Size: 4 Maintainer: Debian OpenStreetMap Team Architecture: all Depends: python, python-imposm (>= 2.4.0+dfsg-0.1), python-pkg-resources Size: 3642 SHA256: c9fa4278281bb4060a9bae951edbef267c47ec252908d6a8549eedf200a10f33 SHA1: 260346504dd4aa72f1a414f55155c3a847a50512 MD5sum: 88aa9422fb019d51e82fc4884788ffc7 Description: importer for OpenStreetMap data Imposm is an importer for OpenStreetMap data. It reads XML and PBF files and can import the data into PostgreSQL/PostGIS databases. . It is designed to create databases that are optimized for rendering/WMS services. . This package contains the importer. Homepage: http://imposm.org/ Section: web Priority: extra Filename: pool/main/i/imposm/imposm_2.4.0+dfsg-0.1_all.deb Package: impressive Version: 0.10.3-2 Installed-Size: 385 Maintainer: Yaroslav Halchenko Architecture: all Replaces: keyjnote (<< 0.10.2r-0) Provides: keyjnote Depends: python, python-support (>= 0.90.0), python-opengl, python-pygame, python-imaging, poppler-utils | xpdf-reader (<< 3.02-2) | xpdf-utils (>= 3.02-2), perl Recommends: pdftk Suggests: ghostscript, latex-beamer Conflicts: keyjnote (<< 0.10.2r-0) Size: 157590 SHA256: 1b18b6a1de530201fce6aca18d1b23cf90bcd07ff17e7f0272ce97f19f991e1e SHA1: 8fa48ba04a83afe1c213035836fee547964a3fd5 MD5sum: 990bf235c70d0c9f3c03b59f17efa287 Description: PDF presentation tool with eye candies Impressive is a program that displays presentation slides using OpenGL. Smooth alpha-blended slide transitions are provided for the sake of eye candy, but in addition to this, Impressive offers some unique tools that are really useful for presentations. Some of them are: * Overview screen * Highlight boxes * Spotlight effect * Presentation scripting and customization Homepage: http://impressive.sourceforge.net/ Tag: implemented-in::python, interface::x11, office::presentation, role::program, uitoolkit::glut, use::viewing, works-with-format::pdf, x11::application Section: x11 Priority: optional Filename: pool/main/i/impressive/impressive_0.10.3-2_all.deb Package: imsniff Version: 0.04-6 Architecture: armhf Maintainer: Juan Angulo Moreno Installed-Size: 91 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libpcap0.8 (>= 0.9.8) Homepage: http://sourceforge.net/projects/im-snif/ Priority: extra Section: net Filename: pool/main/i/imsniff/imsniff_0.04-6_armhf.deb Size: 24034 SHA256: dbca0297f7e1471cdd3044d75e7d3b7900522c96e34a3997d69b2d6a54141018 SHA1: d4381f62347e6bd8dfb55b79f5f474268dd19be5 MD5sum: e2a6f277a8b8d600bc6d11f800aa873c Description: Simple program to log Instant Messaging activity on the network The imsniff program can be used to log IM activity on the network. It uses libpcap to capture packets and analyzes them, logging conversation, contact lists, etc. . Users connecting after imsniff is started can get pretty good results, including complete contact lists and events (displaying a name change, for example). Users already connected will be able to get the conversations, but will miss the other information. . The only required parameter is the interface name to listen to. This can be any interface that libpcap supports. A sample imsniff.conf.sample file is included. . imsniff is beta software, for now, only MSN is supported. Others could follow. . Author: Carlos Fernandez Package: imspector Version: 0.9-1 Architecture: armhf Maintainer: Tim Retout Installed-Size: 434 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), adduser, lsb-base (>= 3.0-6) Homepage: http://www.imspector.org/ Priority: optional Section: net Filename: pool/main/i/imspector/imspector_0.9-1_armhf.deb Size: 140696 SHA256: 5e5caddb6fb43e7551c6e55c58ae28c911419fe95ccbb6021c57672f53ee4d50 SHA1: 061caf8bb99867bb8da22ddfcf1a6a6d94152b11 MD5sum: 1f8bf4f002966f8c4fbb55dfe1e400d5 Description: instant messenger proxy IMSpector is an IM proxy with monitoring, blocking and content-filtering capabilities. . Currently it supports MSN, Jabber/XMPP, AIM, ICQ, Yahoo, IRC and Gadu-Gadu to different degrees. Package: imview Version: 1.1.9c-9 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1173 Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libjpeg8 (>= 8c), libmagickcore5 (>= 8:6.7.7.10), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libx11-6, libxext6, libxft2 (>> 2.1.1), libxinerama1, libxpm4, zlib1g (>= 1:1.1.4) Suggests: imview-doc Homepage: http://www.cmis.csiro.au/Hugues.Talbot/imview/ Priority: optional Section: science Filename: pool/main/i/imview/imview_1.1.9c-9_armhf.deb Size: 576094 SHA256: cf53e169ed942a197d4220b8aa503a0e28a62f395b6da36448cd01639470ed30 SHA1: de0657267172a2e0d00d3c73a6eb478a1cb64c7c MD5sum: 589ec1c6fa6e4684be45a18dc8cbbaab Description: Image viewing and analysis application Imview is an application which . * Displays a large number of image formats. * Displays 2D or 3D (as slices) images with a very good zoom and pan feature. * Works with multi-spectral, time series or multi-page documents (e.g.: Satellite images, TIFF stacks, animated GIFs and heterogeneous multi-component files). * Displays all pixel types (1-bit to 64-bit data, integer or floating point). * Arbitrary 1-D profile of 2-D images (or of 2-D slices of 3-D images) can be displayed. * Has support for arbitrary colourmaps for all pixel types (i.e.: false colour display). * Has standard image manipulation facilities (brightness/contrast, gamma, zoom, crop, rotation, etc). * Can be controlled remotely via sockets and text commands (for easy integration into various image analysis systems). * Images can be uploaded into Imview via sockets or shared memory. * And much more! Package: imview-doc Version: 1.0.1-3 Installed-Size: 5412 Maintainer: Debian Science Maintainers Architecture: all Recommends: imview Size: 4559254 SHA256: c0def5bff6674f6b14e93ec6453402ab243f50f5b26444d2a24d7c7ff5fd44b8 SHA1: 15b889699d09970699cddb0ff3b569aa169d2b74 MD5sum: 9d015e2d4443ce62854cfc85769e97ea Description: The manual for Imview This is the complete user manual for Imview, an image viewing and analysis application found in the Debian package imview. Tag: role::documentation, works-with::image Section: doc Priority: optional Filename: pool/main/i/imview-doc/imview-doc_1.0.1-3_all.deb Package: imvirt Version: 0.9.4-4 Installed-Size: 46 Maintainer: Patrick Matthäi Architecture: all Depends: perl, libimvirt-perl (= 0.9.4-4) Size: 9276 SHA256: 2f2e5427cf8b93b2592bfe194b728ef5a0fba2ec43db109124b62e7e7f860d1c SHA1: 1add60f9ac90b3b1b86ba7473620c6c862e3296a MD5sum: 7acdac79f533b2b56d5a3b0718423d1e Description: detects several virtualizations This Perl script tries to detect if it is run in a virtualization container. . In this version it is able to detect the following virtualization technologies: ARAnyM KVM lguest LXC OpenVZ/Virtuozzo QEMU UML VMware GSX, ESX, Workstation Virtual PC/Virtual Server VirtualBox Xen (para and non-para virtualized) . And much more. Homepage: http://micky.ibh.net/~liske/imvirt.html Tag: admin::virtualization, implemented-in::perl, interface::commandline, role::program, scope::utility, use::checking, use::configuring Section: admin Priority: optional Filename: pool/main/i/imvirt/imvirt_0.9.4-4_all.deb Package: imvirt-helper Source: imvirt Version: 0.9.4-4 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 65 Depends: libmime-lite-perl Suggests: imvirt Breaks: imvirt (<< 0.9.3-3) Replaces: imvirt (<< 0.9.3-3) Homepage: http://micky.ibh.net/~liske/imvirt.html Priority: optional Section: admin Filename: pool/main/i/imvirt/imvirt-helper_0.9.4-4_armhf.deb Size: 10084 SHA256: 76cf6d31ade939e6f9a4569666b5a9c93756f555e1d9e5e7ac3825248dfa4a97 SHA1: ca9021c2bea057d00c8f1da0b407e0ccef0b559b MD5sum: ff8bc20714ab4d88a72ce06a583a8d7c Description: helper programs to detect several virtualizations This package includes several helper programs from imvirt to test for several virtualizations. . In this version it is able to detect the following virtualization technologies: ARAnyM KVM lguest LXC OpenVZ/Virtuozzo QEMU UML VMware GSX, ESX, Workstation Virtual PC/Virtual Server VirtualBox Xen (para and non-para virtualized) . And much more. Package: imwheel Version: 1.0.0pre12-9 Architecture: armhf Maintainer: Christopher Martin Installed-Size: 183 Depends: libc6 (>= 2.13-28), libx11-6, libxmu6, libxtst6 Homepage: http://imwheel.sourceforge.net Priority: optional Section: x11 Filename: pool/main/i/imwheel/imwheel_1.0.0pre12-9_armhf.deb Size: 70440 SHA256: 4dfad6340ed0f1f80999d26d7afe3c4427302922e4f0a233c403b49cec896930 SHA1: 2fcd910d88ef85bd52ef244526e0602f320b4bc1 MD5sum: cf65b89b63a90126150908fed9b23b09 Description: program to support non-standard buttons on mice in Linux Many mice have side or "thumb" buttons that see limited use in Linux, as well as a wheel that is not used by many older applications. . IMWheel supports these non-standard buttons and/or wheel operations by allowing the user to map their input to specific key combinations depending on the application in use. Package: inadyn Version: 1.96.2-1 Architecture: armhf Maintainer: Timur Birsh Installed-Size: 91 Depends: libc6 (>= 2.7) Homepage: http://www.inatech.eu/inadyn/ Priority: optional Section: net Filename: pool/main/i/inadyn/inadyn_1.96.2-1_armhf.deb Size: 25458 SHA256: 23cfe6fa41ce32a2f1df2d8fb4d85df30c0054864652fdb13b5b2d880e3c03cc SHA1: 42912521b0d6d5d7c8f14781098887876560f41c MD5sum: baa4555629531510ca09d8a133606073 Description: client to alleviate the requirements for an Internet name With this package the user can have an Internet name for his host even though he might not have a name server or a static IP. It works by being a client of a supposedly open name server and updating the server's records when the need arise. A partial list of the servers that are supported is http://{www.dyndns.org,freedns.afraid.org, www.zoneedit.com,www.no-ip.com}. Some of the services of these servers are free of charge. This is a command line tool that is written in portable ANSI C with a little OS abstraction layer. It can maintain multiple host names with the same IP address, and has a web based IP detection which runs well behind a NAT router. Package: incron Version: 0.5.10-1 Architecture: armhf Maintainer: Emmanuel Bouthenot Installed-Size: 276 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), lsb-base (>= 3.2-14), adduser Homepage: http://inotify.aiken.cz/ Priority: extra Section: admin Filename: pool/main/i/incron/incron_0.5.10-1_armhf.deb Size: 97442 SHA256: ec4bbea7074d614f62efa169a136143cca1dda898b5b213aabab7296a7e97387 SHA1: 87f644b72dde01e002d2cc74399fdfffeb2350cb MD5sum: 90ffd56ba0a487a44152a109fd0a968c Description: cron-like daemon which handles filesystem events incron is an "inotify cron" system. It works like the regular cron but is driven by filesystem events instead of time events. This package provides two programs, a daemon called "incrond" (analogous to crond) and a table manipulator "incrontab" (like "crontab"). . incron uses the Linux Kernel inotify syscalls. . like cron, each user can edit its own incron tables. . incron can be used to : - notifying programs (e.g. server daemons) about changes in configuration - guarding changes in critical files (with their eventual recovery) - file usage monitoring, statistics - automatic on-crash cleanup - automatic on-change backup or versioning - new mail notification (for maildir) - server upload notification - installation management (outside packaging systems) - ... and many others Package: indent Version: 2.2.11-2 Architecture: armhf Maintainer: Santiago Vila Installed-Size: 407 Depends: libc6 (>= 2.13-28), dpkg (>= 1.15.4) | install-info Homepage: http://indent.isidore-it.eu/beautify.html Priority: optional Section: devel Filename: pool/main/i/indent/indent_2.2.11-2_armhf.deb Size: 108436 SHA256: 11128545fa9ff4789ececb47f844572ae1d01aed03eda51ca4ea4ac318d73901 SHA1: 7dcb37c001e44132ef27b9ffa6f25584a37a0a9d MD5sum: b3485f6decc7c4c2a8f4abbb9989ef92 Description: C language source code formatting program The `indent' program changes the appearance of a C program by inserting or deleting whitespace. . `indent' also provides options for controlling the alignment of braces and declarations, program indenting, and other stylistic parameters, including formatting of both C and C++ comments. Package: indent-doc Source: indent Version: 2.2.11-2 Installed-Size: 187 Maintainer: Santiago Vila Architecture: all Size: 32956 SHA256: 2cbe4aa7df0fcdf9c73b83c95367f16c6ea9c31bc2e7bd30dd22a54bf0fe4906 SHA1: 5dc1e5074fdb6b7a62f620b8349a3277266fb0f8 MD5sum: 9c5b8a157207ac1c509eca10d97ffcc2 Description: Documentation for GNU indent This package contains the HTML documentation for GNU indent. Homepage: http://indent.isidore-it.eu/beautify.html Tag: devel::lang:c, devel::lang:c++, devel::prettyprint, interface::commandline, made-of::html, role::documentation, suite::gnu, use::converting, works-with::software:source Section: doc Priority: optional Filename: pool/main/i/indent/indent-doc_2.2.11-2_all.deb Package: indi-bin Source: libindi Version: 0.9.1-2 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 1851 Depends: libc6 (>= 2.13-28), libcfitsio3 (>= 3.060), libgcc1 (>= 1:4.4.0), libnova-0.14-0, libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), libindi-data (>= 0.9.1-2) Homepage: http://www.indilib.org/ Priority: optional Section: misc Filename: pool/main/libi/libindi/indi-bin_0.9.1-2_armhf.deb Size: 870488 SHA256: 6c7bb7bf6b6f87465e9df82b8ff535e5897b6ed7b00e399e7adc9acf1e636c95 SHA1: 2a3a77ccc75adfabdc8cbe9ec112b59a600dacdf MD5sum: bb83df92305b811a54f25eb8ed8bce3c Description: INDI server, drivers and tools INDI (Instrument-Neutral Device Interface) is a distributed XML-based control protocol designed to operate astronomical instrumentation. INDI is small, flexible, easy to parse, scalable, and stateless. It supports common DCS functions such as remote control, data acquisition, monitoring, and a lot more. . This package contains the INDI server, the drivers for the supported astronomical instrumentation, and other INDI tools. Package: indi-dbg Source: libindi Version: 0.9.1-2 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 3927 Pre-Depends: multiarch-support Depends: libindi0b (= 0.9.1-2) Suggests: indi-bin (= 0.9.1-2) Homepage: http://www.indilib.org/ Priority: extra Section: debug Filename: pool/main/libi/libindi/indi-dbg_0.9.1-2_armhf.deb Size: 1628214 SHA256: 8e10e5c71ab8f4700a7c70725786a052a24a4c705043c87071084afdbe16d0b8 SHA1: 15e827aebe043f00f8e5be6f4f16abcdebe446d6 MD5sum: 8ea174dbffd2fbcd876bce67cbaa8997 Description: Instrument-Neutral Device Interface library -- debug symbols INDI (Instrument-Neutral Device Interface) is a distributed XML-based control protocol designed to operate astronomical instrumentation. INDI is small, flexible, easy to parse, scalable, and stateless. It supports common DCS functions such as remote control, data acquisition, monitoring, and a lot more. . This package contains the debug symbols for the INDI library and all the utilities, useful to investigate problems in INDI. Package: indicator-applet Version: 0.5.0-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 1182 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libindicator3-7, libpanel-applet-4-0 (>= 3.2.1), libx11-6 Recommends: indicator-messages, indicator-sound, indicator-application Provides: indicator-renderer Homepage: https://launchpad.net/indicator-applet Priority: optional Section: gnome Filename: pool/main/i/indicator-applet/indicator-applet_0.5.0-1_armhf.deb Size: 125174 SHA256: 25e8b1ae6c65e33693d1ca87e228bb2994c20aa342b8fcb5bcb7ca3ebf4f976a SHA1: 8d0bf0a587ece5c7654f88889ad5d8a826d6e4e2 MD5sum: 4f84e0bae00e8c4acf14a7827740e423 Description: GNOME panel indicator applet indicator-applet is an applet to display information from various applications consistently in the GNOME panel. . Currently this includes support for messaging applications in the indicator-messages package. Package: indicator-applet-appmenu Source: indicator-applet Version: 0.5.0-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 118 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libindicator3-7, libpanel-applet-4-0 (>= 3.2.1), libx11-6 Recommends: indicator-appmenu Provides: indicator-renderer Homepage: https://launchpad.net/indicator-applet Priority: optional Section: gnome Filename: pool/main/i/indicator-applet/indicator-applet-appmenu_0.5.0-1_armhf.deb Size: 45770 SHA256: 46572ecb6613bc5f5dc8d3b64de079e273cee213eaba0e17f8c375d24475739e SHA1: d5128b25bd1145ea08f269a98ae09d7d2e95ec1c MD5sum: d192a8d37ae1195519bbba06f86ac91c Description: GNOME panel indicator applet with integrated application menu Indicator-applet is an applet to display information from various applications consistently in the GNOME panel. . This instance will load the appmenu indicator. Package: indicator-applet-complete Source: indicator-applet Version: 0.5.0-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 120 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libindicator3-7, libpanel-applet-4-0 (>= 3.2.1), libx11-6 Recommends: indicator-session, indicator-messages, indicator-sound, indicator-application Provides: indicator-renderer Homepage: https://launchpad.net/indicator-applet Priority: optional Section: gnome Filename: pool/main/i/indicator-applet/indicator-applet-complete_0.5.0-1_armhf.deb Size: 46796 SHA256: f7d72ddf941d21bcab02cad192c0143614d6b63245ec024f6e0697c08a0e3685 SHA1: 4a9473585f94b4d4a0ad7fffc2a3a12bba16c911 MD5sum: 9f73d12f1979aa99cfee99c992b7c969 Description: GNOME panel indicator applet with all indicators Indicator-applet is an applet to display information from various applications consistently in the GNOME panel. . This instance will load all indicators in a single panel applet. Package: indicator-applet-session Source: indicator-applet Version: 0.5.0-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 123 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libindicator3-7, libpanel-applet-4-0 (>= 3.2.1), libx11-6, indicator-applet (= 0.5.0-1) Recommends: indicator-session Conflicts: gdm (<< 2.27.90-0ubuntu1), indicator-applet-sus Replaces: gdm (<< 2.27.90-0ubuntu1), indicator-applet-sus Homepage: https://launchpad.net/indicator-applet Priority: optional Section: gnome Filename: pool/main/i/indicator-applet/indicator-applet-session_0.5.0-1_armhf.deb Size: 49080 SHA256: f01c35d80153a8d558c14d2bf720b76eae61eaaad7d004d4c4fe48510ab620c0 SHA1: f0f6b997698897f2a034cd7bbc264cf4976598b9 MD5sum: 528abdda0bc7759f115da7f2297b4eed Description: GNOME panel indicator applet with session related indicators Indicator-applet is an applet to display information from various applications consistently in the GNOME panel. . This instance will only load the session menu which provides status changing, user choosing and session management. Package: indicator-application Version: 0.5.0-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 144 Depends: libappindicator3-1 (>= 0.2.92), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libdbusmenu-glib4 (>= 0.4.2), libdbusmenu-gtk3-4 (>= 0.4.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libindicator3-7, libjson-glib-1.0-0 (>= 0.12.0), libpango1.0-0 (>= 1.14.0) Homepage: https://launchpad.net/indicator-application Priority: optional Section: gnome Filename: pool/main/i/indicator-application/indicator-application_0.5.0-1_armhf.deb Size: 57548 SHA256: 563ac1e8e1562747caa855d4e74da90f5bb196f784b75455932d2f31dd43d25b SHA1: afd097eccd01bc82a532c9a9722db146c55b0656 MD5sum: 569999b49bf20f955061fd1529e73d8e Description: application menu indicator An indicator that will show application menus exported with libappindicator in the panel. Package: indicator-application-gtk2 Source: indicator-application Version: 0.5.0-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 90 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdbusmenu-glib4 (>= 0.4.2), libdbusmenu-gtk4 (>= 0.4.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.12.0), libindicator7 (>= 0.4.90), libjson-glib-1.0-0 (>= 0.12.0), libpango1.0-0 (>= 1.14.0), indicator-application (= 0.5.0-1) Replaces: indicator-application (<< 0.3.90) Homepage: https://launchpad.net/indicator-application Priority: optional Section: gnome Filename: pool/main/i/indicator-application/indicator-application-gtk2_0.5.0-1_armhf.deb Size: 42308 SHA256: e7cfaefc2ad2a28f353ac83916f96f80d1debdf6465add3b595a09f8c9062236 SHA1: 92dd440ea6337d780e956376a10e3fd309f5f69f MD5sum: ab9e0249b8bc407bfc9110f40e395d39 Description: application menu indicator - GTK2 version An indicator that will show application menus exported with libappindicator in the panel. . This package contains the GTK2 version of the indicator. Package: indicator-messages Version: 0.6.0-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 329 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbusmenu-glib4 (>= 0.5.90), libdbusmenu-gtk3-4 (>= 0.4.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libindicate5 (>= 0.4.90), libindicator-messages-status-provider1 (>= 0.4.92), libindicator3-7, libpango1.0-0 (>= 1.18.0) Recommends: indicator-applet | indicator-renderer, indicator-status-provider-mc5 Conflicts: indicator-me Breaks: indicator-applet (<< 0.3.0) Replaces: indicator-me Homepage: https://launchpad.net/indicator-messages Priority: optional Section: gnome Filename: pool/main/i/indicator-messages/indicator-messages_0.6.0-1_armhf.deb Size: 101210 SHA256: 75ab638b08c45ff58caa210ecd95906ca6e872539bf69d988c476a305320d807 SHA1: d5eafb946c2b30feea7d7352c778ab619ee0ffbc MD5sum: f44976710e9ce0aab46da20a5cb4f605 Description: indicator that collects messages that need a response A place on the user's desktop that collects messages that need a response. This menu provides a condensed and collected view of all of those messages for quick access, but without making them annoying in times that you want to ignore them. Package: indicator-messages-gtk2 Source: indicator-messages Version: 0.6.0-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 84 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbusmenu-glib4 (>= 0.4.2), libdbusmenu-gtk4 (>= 0.4.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk2.0-0 (>= 2.18.0), libindicate5 (>= 0.4.90), libindicator7 (>= 0.4.90), libpango1.0-0 (>= 1.18.0), indicator-messages (= 0.6.0-1) Recommends: indicator-applet | indicator-renderer Breaks: indicator-applet (<< 0.3.0) Replaces: indicator-messages (<< 0.4.90) Homepage: https://launchpad.net/indicator-messages Priority: optional Section: gnome Filename: pool/main/i/indicator-messages/indicator-messages-gtk2_0.6.0-1_armhf.deb Size: 36928 SHA256: 7bc5c185e486948816ee19ae29974a5dd1163b8fd6d7a30be400060a11e8b405 SHA1: 013ae0058abac0ac09a2f9800e8599888197c0d2 MD5sum: b9393a2f5c2d0ee1b57d6ce61baf7101 Description: indicator that collects messages that need a response A place on the user's desktop that collects messages that need a response. This menu provides a condensed and collected view of all of those messages for quick access, but without making them annoying in times that you want to ignore them. Package: indicator-session Version: 0.3.96-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 1536 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-glib-1-2 (>= 0.78), libdbusmenu-glib4 (>= 0.4.2), libdbusmenu-gtk3-4 (>= 0.4.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libgudev-1.0-0 (>= 146), libindicator3-7, libpackagekit-glib2-14, libpango1.0-0 (>= 1.14.0), dconf-gsettings-backend | gsettings-backend, upower, gnome-settings-daemon Recommends: indicator-applet (>= 0.2) | indicator-renderer, python-aptdaemon.pkcompat | packagekit Suggests: lightdm Conflicts: gdm (<= 2.27.4-0ubuntu9), indicator-sus Breaks: indicator-me Replaces: indicator-me, indicator-sus Homepage: https://launchpad.net/indicator-session Priority: optional Section: gnome Filename: pool/main/i/indicator-session/indicator-session_0.3.96-1_armhf.deb Size: 187672 SHA256: fa882ac16acce38c204ac3eabca2a6aed0cb95c6f6805f5ca8d33ced1778a323 SHA1: a6989a23c2e5bf58720bc92fddd53cca7876d603 MD5sum: fc4590ce9fe847094d2b791bd65ae145 Description: indicator showing session management, status and user switching This indicator is designed to be placed on the right side of a panel and give the user easy control for changing their instant message status. Switching to another user. Starting a guest session. Or controlling the status of their own session. . It requires some way to be hosted into a panel. For the GNOME Panel the appropriate package is indicator-applet-session. Package: indicator-session-gtk2 Source: indicator-session Version: 0.3.96-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 96 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbusmenu-glib4 (>= 0.4.2), libdbusmenu-gtk4 (>= 0.4.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk2.0-0 (>= 2.18.0), libindicator7 (>= 0.4.90), libpango1.0-0 (>= 1.14.0), upower, indicator-session (= 0.3.96-1) Recommends: indicator-applet (>= 0.2) | indicator-renderer Suggests: lightdm Conflicts: gdm (<= 2.27.4-0ubuntu9), indicator-sus Replaces: indicator-session (<< 0.2.90) Homepage: https://launchpad.net/indicator-session Priority: optional Section: gnome Filename: pool/main/i/indicator-session/indicator-session-gtk2_0.3.96-1_armhf.deb Size: 46666 SHA256: 1a8b13c78a4517ec663fb5c57735daac476e06dd5e3bca9609f2bc0820365ea4 SHA1: 09dc428b573e5e0e899478adb966179e51aa7443 MD5sum: 1a45f173348618392b9e2221d00d6a35 Description: indicator showing session management, status and user switching This indicator is designed to be placed on the right side of a panel and give the user easy control for changing their instant message status, switching to another user, starting a guest session or controlling the status of their own session. . It requires some way to be hosted into a panel. For the GNOME Panel the appropriate package is indicator-applet-session. Package: indicator-status-provider-emesene Source: indicator-messages Version: 0.6.0-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 76 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdbusmenu-glib4 (>= 0.4.2), libdbusmenu-gtk3-4 (>= 0.4.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libindicate5 (>= 0.4.90), libindicator-messages-status-provider1 (>= 0.4.92), libindicator3-7, libpango1.0-0 (>= 1.14.0), indicator-messages (>= 0.4.92) Homepage: https://launchpad.net/indicator-messages Priority: optional Section: gnome Filename: pool/main/i/indicator-messages/indicator-status-provider-emesene_0.6.0-1_armhf.deb Size: 32130 SHA256: 08104cb46af18bd231ed2af9c40ad841408859feeff8fdd4bf31f4d971cd1c02 SHA1: c5af4c7333ec8cf28243863e2b1daaf2f70c1c94 MD5sum: af8b9dd51b60c0589d5cf7e4bea17be9 Description: indicator-messages status provider for emesene A status provider for indicator-messages to update your desktop presence from emesene. Package: indicator-status-provider-mc5 Source: indicator-messages Version: 0.6.0-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 76 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdbusmenu-glib4 (>= 0.4.2), libdbusmenu-gtk3-4 (>= 0.4.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libindicate5 (>= 0.4.90), libindicator-messages-status-provider1 (>= 0.4.92), libindicator3-7, libpango1.0-0 (>= 1.14.0), libtelepathy-glib0 (>= 0.9.0), indicator-messages (>= 0.4.92) Homepage: https://launchpad.net/indicator-messages Priority: optional Section: gnome Filename: pool/main/i/indicator-messages/indicator-status-provider-mc5_0.6.0-1_armhf.deb Size: 32192 SHA256: 10ea25c0dfb032cade4a093573109bf6d4405fd5985970867045d806c300ab12 SHA1: d31edbd820f8c4de0221169245fcfa107cfc1361 MD5sum: 36f0172e78d7864c6e2a4668b1cadcdb Description: indicator-messages status provider for telepathy mission-control-5 A status provider for indicator-messages to update your desktop presence from telepathy mission-control-5. Package: indicator-status-provider-pidgin Source: indicator-messages Version: 0.6.0-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 80 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdbusmenu-glib4 (>= 0.4.2), libdbusmenu-gtk3-4 (>= 0.4.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libindicate5 (>= 0.4.90), libindicator-messages-status-provider1 (>= 0.4.92), libindicator3-7, libpango1.0-0 (>= 1.14.0), indicator-messages (>= 0.4.92) Homepage: https://launchpad.net/indicator-messages Priority: optional Section: gnome Filename: pool/main/i/indicator-messages/indicator-status-provider-pidgin_0.6.0-1_armhf.deb Size: 32846 SHA256: 24883b1b417a4bdeb896d3dca66e4cd665b88564e1834a83941ade19bf1a823d SHA1: 88752ba814ca3bcedc4ceb565fddae098469e04b MD5sum: bcbec08f11aa0a83a6c90afdbce624fe Description: indicator-messages status provider for pidgin A status provider for indicator-messages to update your desktop presence from pidgin. Package: indicator-status-provider-telepathy Source: indicator-messages Version: 0.6.0-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 76 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdbusmenu-glib4 (>= 0.4.2), libdbusmenu-gtk3-4 (>= 0.4.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libindicate5 (>= 0.4.90), libindicator-messages-status-provider1 (>= 0.4.92), libindicator3-7, libpango1.0-0 (>= 1.14.0), indicator-messages (>= 0.4.92) Homepage: https://launchpad.net/indicator-messages Priority: optional Section: gnome Filename: pool/main/i/indicator-messages/indicator-status-provider-telepathy_0.6.0-1_armhf.deb Size: 32378 SHA256: c0b39dd46cf84fd1b5b76c2b444642a34e2dc3f18357f7eba048fbba0898b899 SHA1: 67b8c1be31d89e485e7f3c05c88005673ad418b0 MD5sum: 6f5b23b9b3f4994ddced22d776476dbf Description: indicator-messages status provider for telepathy (pre-mc5) A status provider for indicator-messages to update your desktop presence from telepathy (pre-mc5). Package: indigo-utils Source: indigo Version: 1.0.0-2 Architecture: armhf Maintainer: Debichem Team Installed-Size: 111 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libindigo0d, libstdc++6 (>= 4.1.1), libtinyxml2.6.2, zlib1g (>= 1:1.1.4) Homepage: http://ggasoftware.com/opensource/indigo Priority: extra Section: science Filename: pool/main/i/indigo/indigo-utils_1.0.0-2_armhf.deb Size: 32376 SHA256: cb8a2133efa96800328e3487ebf789617b2f2ac149fc224a017b81998245c042 SHA1: c19575960dfbee7bc90e50b62e33128837b2cf8a MD5sum: d0f7fcaf5b3e7a71640d08116e80ed13 Description: Organic Chemistry Toolkit Utilities Indigo is a C++ based organic chemistry and cheminformatics software environment. Features Include: . * Molecule and reaction rendering including SVG support * Automatic layout for SMILES-represented molecules and reactions * Canonical (isomeric) SMILES computation * Exact matching, substructure matching, SMARTS matching * Matching of tautomers and resonance structures * Molecule fingerprinting, molecule similarity computation * Fast enumeration of SSSR rings, subtrees, and edge sugraphs * Molecular weight, molecular formula computation * R-Group deconvolution and scaffold detection * Computation of the exact maximum common substructure for an arbitrary amount of input structures * Combinatorial chemistry * Plugin support in the API . File formats Indigo support include MDL Mol, SDF, RDF, CML, SMILES and SMARTS. . This package contains the following utilities: . * indigo-depict * indigo-cano * indigo-deco * chemdiff Package: inetutils-ftp Source: inetutils Version: 2:1.9-2 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 252 Depends: libc6 (>= 2.13-28), libreadline6 (>= 6.0), netbase Homepage: http://www.gnu.org/software/inetutils/ Priority: extra Section: net Filename: pool/main/i/inetutils/inetutils-ftp_1.9-2_armhf.deb Size: 168070 SHA256: a45ef3eb220a761beefcd05835066b35ff4a3b6c14205e6c538b708150a24d83 SHA1: 323fb2d79c62534fd3bbaeb4d6a6a506cb836e74 MD5sum: 0868d2c4d109ca3db9bd37ebef994318 Description: File Transfer Protocol client The ftp command is used to transfer files between hosts using the FTP protocol. Package: inetutils-ftpd Source: inetutils Version: 2:1.9-2 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 260 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpam0g (>= 0.99.7.1), libwrap0 (>= 7.6-4~), netbase, inetutils-syslogd | system-log-daemon Conflicts: ftp-server Provides: ftp-server Homepage: http://www.gnu.org/software/inetutils/ Priority: extra Section: net Filename: pool/main/i/inetutils/inetutils-ftpd_1.9-2_armhf.deb Size: 166676 SHA256: e3b10fe311a0ee907c41a2403014b5f7343bebed7ecfc84064e79dbd31636ce9 SHA1: 5bf064a4628dc10b97fc40848453c4bd697122fd MD5sum: f00e9f1a373c1fcfa8b560cabafae7d1 Description: File Transfer Protocol server Ftpd is the server that allows another host to connect with the ftp command to transfer files using the FTP protocol. Package: inetutils-inetd Source: inetutils Version: 2:1.9-2 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 224 Depends: libc6 (>= 2.13-28), update-inetd, tcpd, lsb-base, inetutils-syslogd | system-log-daemon Conflicts: inet-superserver, netkit-inetd Provides: inet-superserver, netkit-inetd Homepage: http://www.gnu.org/software/inetutils/ Priority: extra Section: net Filename: pool/main/i/inetutils/inetutils-inetd_1.9-2_armhf.deb Size: 142648 SHA256: 177214352e51d36406086f978ff4b8abe5e4b7d582bb77483382c4013e0b9593 SHA1: 9c56d9d707a6c0163371ae87fd6083ab04cb7501 MD5sum: edb277143bdc69abc2154098ffdd8d39 Description: internet super server Inetd is the daemon that listens on various TCP and UDP ports and spawns programs that can't or won't do it for themselves. . This is the portable GNU implementation of inetd. The package supports IPv6, tcpmux services and unlimited server arguments. Package: inetutils-ping Source: inetutils Version: 2:1.9-2 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 255 Depends: libc6 (>= 2.13-28), netbase Conflicts: hurd (<= 20010718-1), ping Provides: ping Homepage: http://www.gnu.org/software/inetutils/ Priority: extra Section: net Filename: pool/main/i/inetutils/inetutils-ping_1.9-2_armhf.deb Size: 163414 SHA256: 08d1263b5dd1371cd58cedebe58165982b30e6fe5b043f8afc16d5f87915cdc3 SHA1: b328403fab7633736e0370d474e15159d854aaf9 MD5sum: d7fb2bf96c703a52bdc14da48b4d16ca Description: ICMP echo tool Ping uses ICMP to send out echo requests, and uses the reply packets to calculate latency between the sending and the destination hosts. . This is the portable GNU implementation of ping. Package: inetutils-syslogd Source: inetutils Version: 2:1.9-2 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 252 Depends: libc6 (>= 2.13-28), netbase, lsb-base Conflicts: linux-kernel-log-daemon, system-log-daemon Replaces: syslogd Provides: linux-kernel-log-daemon, system-log-daemon Homepage: http://www.gnu.org/software/inetutils/ Priority: extra Section: net Filename: pool/main/i/inetutils/inetutils-syslogd_1.9-2_armhf.deb Size: 146004 SHA256: 95b7523f8aa6122a30e7530396bbdcee5d25a2e49fb64c43138340e160ab6253 SHA1: 13bb87be536d2a708c1f02ebf4c7b61bacf8683a MD5sum: 3e852723bf0f8e1a76e28308633cdd71 Description: system logging daemon The syslog daemon is responsible for providing logging of messages received from programs and facilities on the local host as well as from remote hosts. Package: inetutils-talk Source: inetutils Version: 2:1.9-2 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 193 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5, netbase Replaces: talk-hurd Provides: talk Homepage: http://www.gnu.org/software/inetutils/ Priority: extra Section: net Filename: pool/main/i/inetutils/inetutils-talk_1.9-2_armhf.deb Size: 132460 SHA256: e217a15bb8475895a0237b64f6478fb508afdadf8132600dfec9b109beabec20 SHA1: 84101404f9af95a1994387830d11e8375dcd6dea MD5sum: 4884fc01c68ee79f769cb81b63c28e7a Description: talk to another user Talk is a visual communication program which copies lines from your terminal to that of another user. Package: inetutils-talkd Source: inetutils Version: 2:1.9-2 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 192 Depends: libc6 (>= 2.13-28), netbase, inetutils-syslogd | system-log-daemon, inetutils-inetd | inet-superserver Conflicts: talkd Replaces: talkd-hurd Provides: talkd Homepage: http://www.gnu.org/software/inetutils/ Priority: extra Section: net Filename: pool/main/i/inetutils/inetutils-talkd_1.9-2_armhf.deb Size: 132692 SHA256: 141e3eba617e01fac3e490ec4c2e3b63d61bfef02ea3a4a3862c0f65475cd128 SHA1: 529901e7758d89ea69d343738ec8c932a6ba0d7d MD5sum: f725f1b80aabd1abd56a2a1452ad85a6 Description: remote user communication server Talkd is the server that notifies a user that someone else wants to initiate a conversation. It acts a repository of invitations, responding to requests by clients wishing to rendezvous to hold a conversation. Package: inetutils-telnet Source: inetutils Version: 2:1.9-2 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 280 Depends: libc6 (>= 2.13-28), libshishi0 (>= 0.0.30), libtinfo5, netbase Replaces: telnet-hurd Provides: telnet-client Homepage: http://www.gnu.org/software/inetutils/ Priority: extra Section: net Filename: pool/main/i/inetutils/inetutils-telnet_1.9-2_armhf.deb Size: 177764 SHA256: a8c970430b3c65415a611ce779e15426282b48a5b11bbcfa182b9925e591ff09 SHA1: 868595e0c42b477379a80c6cd64eaf75949c7ba0 MD5sum: b852f3316c083fdfc52fec066e811694 Description: telnet client The telnet command is used for interactive communication with another host using the TELNET protocol. Package: inetutils-telnetd Source: inetutils Version: 2:1.9-2 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 248 Depends: libc6 (>= 2.13-28), libshishi0 (>= 0.0.30), libtinfo5, netbase, inetutils-syslogd | system-log-daemon, inetutils-inetd | inet-superserver Conflicts: telnet-server Replaces: telnetd-hurd Provides: telnet-server Homepage: http://www.gnu.org/software/inetutils/ Priority: extra Section: net Filename: pool/main/i/inetutils/inetutils-telnetd_1.9-2_armhf.deb Size: 162356 SHA256: 2d48d9b63c19dbfb114a066ba5e8eccc939f3e6eaa23882ff445c0ebe5281f34 SHA1: 854356d81f74145fdd02df9e3b8cefaee4ea96ff MD5sum: e4cc8fff7b21754b8e96c9d163919dcc Description: telnet server The in.telnetd program is a server which supports the DARPA telnet interactive communication protocol. Package: inetutils-tools Source: inetutils Version: 2:1.9-2 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 210 Depends: libc6 (>= 2.13-28) Homepage: http://www.gnu.org/software/inetutils/ Priority: extra Section: net Filename: pool/main/i/inetutils/inetutils-tools_1.9-2_armhf.deb Size: 139600 SHA256: f1251be5956ce833b0188a915107b68d490c01baffd7d4573784a90730015c79 SHA1: 4269960d984c09b1ba5a3e375d96b4121b6147b1 MD5sum: 4f82da637cac16c383124f0dccaf8757 Description: base networking utilities (experimental package) Base utilities for network administration (ifconfig, etc). . WARNING: The current ifconfig implementation provided is not fully compatible with the net-tool's ifconfig version. Package: inetutils-traceroute Source: inetutils Version: 2:1.9-2 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 192 Depends: libc6 (>= 2.13-28), netbase Provides: traceroute Homepage: http://www.gnu.org/software/inetutils/ Priority: extra Section: net Filename: pool/main/i/inetutils/inetutils-traceroute_1.9-2_armhf.deb Size: 127896 SHA256: b40412c2d0da43c2e16f8b5338fc714bc6774b1c88e78380d02d8954b66f08c5 SHA1: 558c63c58036dc956899521143a13fce5a494773 MD5sum: 8b6aea78617ab1ae0f25b3a21fc87cfa Description: trace the IPv4 route to another host The traceroute utility displays the route taken by IP packets on their way to another host or another network. . Install this package if you need a tool to examine network connectivity or to diagnose network problems. Package: infernal Version: 1.0.2-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 8252 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://infernal.janelia.org/ Priority: optional Section: science Filename: pool/main/i/infernal/infernal_1.0.2-2_armhf.deb Size: 4217168 SHA256: 4727fbd4ec2e2dec0d6f17df054f0b575a81d0f12e28ec5b311dbd16d62939c7 SHA1: 6dae65ae3a0903cc3120efd64bebd0e711fcb766 MD5sum: 86d96951de5645fae06fe77a5ff1a15a Description: inference of RNA secondary structural alignments Infernal ("INFERence of RNA ALignment") is for searching DNA sequence databases for RNA structure and sequence similarities. It is an implementation of a special case of profile stochastic context-free grammars called covariance models (CMs). A CM is like a sequence profile, but it scores a combination of sequence consensus and RNA secondary structure consensus, so in many cases, it is more capable of identifying RNA homologs that conserve their secondary structure more than their primary sequence. . The tool is an integral component of the Rfam database. Package: infernal-dbg Source: infernal Version: 1.0.2-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 710 Depends: infernal (= 1.0.2-2) Homepage: http://infernal.janelia.org/ Priority: optional Section: debug Filename: pool/main/i/infernal/infernal-dbg_1.0.2-2_armhf.deb Size: 230460 SHA256: fc5be17043e2cc211da4e731fae67cdf71f4e638651f0738984b0c1cc1f9ae77 SHA1: c29ea111c7261c7206f5c67a518f0c36111d9586 MD5sum: 09a62f27018aa403d40c10745d3b755e Description: debug information for infernal This package contains extra information to help the debugging of the infernal suite. The package is auto-generated. You may be asked by the upstream developer to install it to help the identification of a technical problem. Package: infernal-doc Source: infernal Version: 1.0.2-2 Installed-Size: 1571 Maintainer: Debian Med Packaging Team Architecture: all Size: 1310428 SHA256: 51f8678e7ade9b23cef23efdbc8343a572d3508104d32b2c18e62ceb6d40525e SHA1: 572e4e2f3bb68e36d163f01ca559d0fb7e3144b0 MD5sum: d5efd2ed7c48ca9f045907dc86d0d6f7 Description: inference of RNA secondary structural alignments – documentation Infernal ("INFERence of RNA ALignment") is for searching DNA sequence databases for RNA structure and sequence similarities. It is an implementation of a special case of profile stochastic context-free grammars called covariance models (CMs). A CM is like a sequence profile, but it scores a combination of sequence consensus and RNA secondary structure consensus, so in many cases, it is more capable of identifying RNA homologs that conserve their secondary structure more than their primary sequence. . This package provides the documentation which is shipped with the code of infernal. Homepage: http://infernal.janelia.org/ Tag: field::biology, field::biology:bioinformatics, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/i/infernal/infernal-doc_1.0.2-2_all.deb Package: infinoted Source: libinfinity Version: 0.5.2-6.1 Architecture: armhf Maintainer: Philipp Kern Installed-Size: 179 Pre-Depends: dpkg (>= 1.15.6) Depends: libc6 (>= 2.13-28), libdaemon0 (>= 0.12), libglib2.0-0 (>= 2.16.0), libgnutls26 (>= 2.12.17-0), libgsasl7 (>= 1.1), libinfinity-0.5-0 (>= 0.5.0), libpam0g (>= 0.99.7.1), libxml2 (>= 2.7.4) Breaks: infinoted-0.3, infinoted-0.4, infinoted-0.5 (<< 0.5.2-3~) Replaces: infinoted-0.5 (<< 0.5.2-3~) Homepage: http://gobby.0x539.de Priority: optional Section: net Filename: pool/main/libi/libinfinity/infinoted_0.5.2-6.1_armhf.deb Size: 85294 SHA256: 018da2f7fe777ed610e6e16d87d726974946e6659f6386d4e2946737294538c6 SHA1: 2f5ec390e4a31a7275b62ec130b830f31c4f3ac2 MD5sum: 16134d4c46ea8a6df5062a62d4111a00 Description: dedicated server for infinote-based collaborative editing infinoted is a dedicated server which allows clients to edit plain text documents and source files collaboratively over a network. Changes to the documents are synchronised instantly to the other clients. . It serves the documents using the same protocol as gobby-0.5. Package: infinoted-0.5 Source: libinfinity Version: 0.5.2-6.1 Installed-Size: 63 Maintainer: Philipp Kern Architecture: all Depends: infinoted Pre-Depends: dpkg (>= 1.15.6) Size: 64378 SHA256: 5b2be9c3c60bc39312deed4c6b32ccfbb3d7d366deca5cc95d4c47061a96a406 SHA1: 41fa7cd6c81d701235699a174e5936e82e023660 MD5sum: 9f6fd2ffb613139bc9d31f051901e985 Description: dedicated server for infinote-based collaborative editing (transitional) infinoted is a dedicated server which allows clients to edit plain text documents and source files collaboratively over a network. Changes to the documents are synchronised instantly to the other clients. . It serves the documents using the same protocol as gobby-0.5. . This is a transitional package. It can be safely removed. Homepage: http://gobby.0x539.de Section: oldlibs Priority: extra Filename: pool/main/libi/libinfinity/infinoted-0.5_0.5.2-6.1_all.deb Package: info Source: texinfo Version: 4.13a.dfsg.1-10 Architecture: armhf Maintainer: Debian TeX maintainers Installed-Size: 325 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5, install-info Suggests: texinfo-doc-nonfree Replaces: texinfo (<< 4.7-2) Provides: info-browser Multi-Arch: foreign Priority: important Section: doc Filename: pool/main/t/texinfo/info_4.13a.dfsg.1-10_armhf.deb Size: 210860 SHA256: 24c2199b223fcc22d06f4bbfdf0f5c4b7f859513f2329dc26d6e34d8da17ba87 SHA1: 244ae3709c496d7b18f2b6da879440896d7f7ad5 MD5sum: 82f1e640a343e6af274e00c665b7812d Description: Standalone GNU Info documentation browser The Info file format is an easily-parsable representation for online documents. This program allows you to view Info documents, like the ones stored in /usr/share/info. . Much of the software in Debian comes with its online documentation in the form of Info files, so it is most likely you will want to install it. Package: info2man Version: 1.1-6 Installed-Size: 161 Maintainer: Colin Watson Architecture: all Depends: perl Recommends: man-db Size: 72888 SHA256: 053811e96c58f62a08ebea474d3d843c9772628e048fd4dd800ce11f0f94d3e1 SHA1: a670ba2d4f0e0d330d2369f1d75db9f3d8247980 MD5sum: 0aace6e51660d15baf2a5946287fe523 Description: Convert GNU info files to POD or man pages This package provides the info2pod tool to convert GNU info documents into Perl's POD (Plain Old Documentation) format. From there, they can be converted to manual pages to be viewed by people who prefer conventional pagers to the standard info viewer or Emacs. . The info2man tool uses info2pod and pod2man to perform the conversion in one step. Homepage: http://www.cskk.ezoshosting.com/cs/css/info2pod.html Tag: implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, works-with-format::info, works-with-format::man, works-with::text Section: doc Priority: optional Filename: pool/main/i/info2man/info2man_1.1-6_all.deb Package: info2www Version: 1.2.2.9-24 Installed-Size: 156 Maintainer: Uwe Hermann Architecture: all Depends: perl, apache2 | httpd-cgi Size: 22900 SHA256: e415b58d0cc40bb428173cee1b800bb71620966b5545536d97dd61f233eaff58 SHA1: 6febf645eb76b5b5a0e3eccd197ed23dde791742 MD5sum: 184531d57430a9a8928fb6a6215c229d Description: Read info files with a WWW browser info2www lets you read info files with a WWW browser. It requires an HTTP server with CGI support. Homepage: http://www.w3.org/Tools/info2www.html Tag: implemented-in::perl, interface::commandline, interface::web, protocol::http, role::program, scope::utility, use::converting, web::cgi, works-with-format::html, works-with-format::info, works-with::text Section: doc Priority: optional Filename: pool/main/i/info2www/info2www_1.2.2.9-24_all.deb Package: infon-devel Version: 0~r218-1 Installed-Size: 112 Maintainer: Joachim Breitner Architecture: all Depends: python, python-central (>= 0.6.11), python-gtksourceview2 Recommends: infon-viewer Suggests: infon-server Size: 11000 SHA256: 405c9a0f717bbfcb28931d2c18b222491eeb67c4028390a097ebf5884fa5af69 SHA1: e1ee6cbaf606a954a6188231da54bc5aec78ed7b MD5sum: 9c29e707a2c415e2fd94516847905766 Description: Develop bots for the infon game Infon is a game which simulates the live of simple bugs who eat, propagate, eat each other and evolve. The players can not control the bugs directly but write their “intelligence” in the simple script language lua and upload it to the game using a plain telnet connection. The code can then be modified even while the game is running. . This package contains a graphical lua editor with integration into the infon server and allows easy uploading of the code. It also marks the currently executed line of code. Homepage: http://infon.dividuum.de/ Python-Version: all Tag: devel::editor, network::client, role::program, use::editing, use::gameplaying Section: games Priority: extra Filename: pool/main/i/infon-devel/infon-devel_0~r218-1_all.deb Package: infon-server Source: infon Version: 0~r198-8 Architecture: armhf Maintainer: Joachim Breitner Installed-Size: 495 Depends: libc6 (>= 2.13-28), libevent-2.0-5 (>= 2.0.10-stable), zlib1g (>= 1:1.1.4), metainit Homepage: http://infon.dividuum.de/ Priority: extra Section: games Filename: pool/main/i/infon/infon-server_0~r198-8_armhf.deb Size: 133080 SHA256: 44afedb761371b7daaab2a27e0b1c55a86f6d8c0482f287d3282773487035a52 SHA1: dc576f28e067dbe9d8b8978c2a48d5138f240a76 MD5sum: 5e0e511d34ce0f3305df10d3113a93a6 Description: Program bugs to compete for food and survival - Server Infon is a game which simulates the live of simple bugs who eat, propagate, eat each other and evolve. The players can not control the bugs directly but write their “intelligence” in the simple script language lua and upload it to the game using a plain telnet connection. The code can then be modified even while the game is running. . This package contains the infon server which hosts the game. To upload code to it, you only need a telnet client. To be able to view the game, see the infon-viewer package. Package: infon-viewer Source: infon Version: 0~r198-8 Architecture: armhf Maintainer: Joachim Breitner Installed-Size: 194 Depends: libc6 (>= 2.13-28), libevent-2.0-5 (>= 2.0.10-stable), libsdl-gfx1.2-4 (>= 2.0.22), libsdl-image1.2 (>= 1.2.10), libsdl-sge (>= 030809-1), libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4), ssft, zenity | kdebase-bin Recommends: infon-devel Suggests: infon-server Homepage: http://infon.dividuum.de/ Priority: extra Section: games Filename: pool/main/i/infon/infon-viewer_0~r198-8_armhf.deb Size: 113082 SHA256: d4c98276935184f4c940872526b668f027640893ec9f7de35d395d46e03a090b SHA1: ccf721f9d0dcaaee3bd505a348633f1fea904b7c MD5sum: d46fe99c75e0c65c246f5de31812048a Description: Program bugs to compete for food and survival - GUI Infon is a game which simulates the live of simple bugs who eat, propagate, eat each other and evolve. The players can not control the bugs directly but write their “intelligence” in the simple script language lua and upload it to the game using a plain telnet connection. The code can then be modified even while the game is running. . This package contains the graphical client to view the game in progress. To host a game, see the infon-server package. Package: inform-mode Version: 1.5.8-3 Installed-Size: 164 Maintainer: Jan Christoph Nordholz Architecture: all Depends: emacsen-common Size: 20068 SHA256: 32410887c9c6858dfff46e9ef135d329938ebaec40cc00c927114c1b67ed3ef6 SHA1: 152e614117d64aa35bf6e602979d80b21f086cee MD5sum: 9b3cb972a0636e0468e25d0942e5b014 Description: Emacs mode for editing Inform files This is an (X)Emacs mode for editing Inform source code. Inform source is used when writing games for the Z-Code virtual machine (aka Infocom). This package will add an editing mode to your favorite Emacsen for editing these files. Tag: game::adventure, implemented-in::lisp, role::plugin, suite::emacs, use::editing Section: editors Priority: extra Filename: pool/main/i/inform-mode/inform-mode_1.5.8-3_all.deb Package: ingerman Source: igerman98 Version: 20120607-1 Installed-Size: 459 Maintainer: Roland Rosenfeld Architecture: all Provides: ispell-dictionary Depends: debconf (>= 0.5) | debconf-2.0, ispell, dictionaries-common (>= 0.20.0) Suggests: wngerman Size: 444166 SHA256: 25e43c1ebef41706663d7c1dc9992a5b8c3c7f3342de866ce2096e36ea97fbbd SHA1: 2239829ddabceb70ad6fa2cef3e37f9389963b05 MD5sum: 3bc2e7c00d5ea47ce4175fc610cfb1fa Description: New German orthography dictionary for ispell This is a dictionary for ispell for the new German orthography (de-DE-1996), which is used since 1998-08-01 and which is obligatory as of 2005-08-01. . It is based on the famous hkgerman dictionary (using the old German orthography (de-DE-1901), which is available as package iogerman), with many corrections and additions. Homepage: http://j3e.de/ispell/igerman98/ Tag: culture::german, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/igerman98/ingerman_20120607-1_all.deb Package: ingo1 Version: 1.2.6+debian0-1 Installed-Size: 5592 Maintainer: Horde Maintainers Architecture: all Depends: horde3 Recommends: php5-imap Suggests: imp4, php-net-sieve Size: 2085036 SHA256: bca415ffbca260871ea964dded97b7045643c5eb6fc2189fe3141bc39051bd65 SHA1: 6600525e5c0a75b3f7ceec5fb445034f2060fa12 MD5sum: 87a27236c22759ec24d5889d86daca09 Description: email filter component for Horde Framework Ingo is an email filter rules manager. It can generate Sieve and procmail scripts and upload them to the server (using a timsieved or VFS FTP driver, respectively). It can also create and execute IMAP commands to run filter rules. Homepage: http://www.horde.org/ingo/ Tag: devel::lang:php, implemented-in::php, interface::web, mail::filters, role::program, scope::utility, web::application, works-with::mail Section: web Priority: optional Filename: pool/main/i/ingo1/ingo1_1.2.6+debian0-1_all.deb Package: inguma Version: 0.0.7.2-2 Installed-Size: 5644 Maintainer: Pierre Chifflier Architecture: all Depends: python Recommends: python-scapy, tcpdump, python-qt3 Suggests: python-gnuplot Size: 2878272 SHA256: 8cd00f017da26d2466427ca96bcab645231fa53b85ff9c8aabf8fb0eb0328a45 SHA1: 9820bb28385834270450fd7bb29aac16e3d0093a MD5sum: ebf604cd20044f578946a484772dc301 Description: Open source penetration testing toolkit Inguma is a free penetration testing and vulnerability discovery toolkit entirely written in Python. Framework includes modules to discover hosts, gather information about, fuzz targets, brute force usernames and passwords, exploits, and a disassembler. Tag: implemented-in::python, role::program Section: net Priority: extra Filename: pool/main/i/inguma/inguma_0.0.7.2-2_all.deb Package: initramfs-tools Version: 0.115~bpo70+1 Architecture: all Maintainer: Debian kernel team Installed-Size: 326 Depends: klibc-utils (>= 2.0-1~), cpio, kmod | module-init-tools, udev Recommends: busybox (>= 1:1.01-3) | busybox-initramfs | busybox-static Suggests: bash-completion Conflicts: usplash (<< 0.5.50) Breaks: console-setup (<< 1.72), cryptsetup (<< 2:1.1.0-2.1), elilo (<< 3.12-3.1~), lilo (<< 22.8-8.2~), s390-tools (<< 1.8.3-2~) Provides: linux-initramfs-tool Multi-Arch: foreign Priority: optional Section: utils Filename: pool/main/i/initramfs-tools/initramfs-tools_0.115~bpo70+1_all.deb Size: 92556 SHA256: 100f792560dfec538695ed823562370f46c81b1b15ff6ad3411c0851953b3e17 SHA1: 2e46cd6ec331114cd4bb7bd640e0ffdad62254ef MD5sum: effb14aef2e75fafb7a5c80b1a69cff9 Description: generic modular initramfs generator This package contains tools to create a bootable initramfs for Linux kernel packages. The initramfs is a compressed cpio archive. At boot time, the kernel unpacks that archive into RAM, mounts and uses it as initial root file system. The mounting of the real root file system occurs in early user space. klibc provides utilities to setup root. Having the root on MD, LVM2, LUKS or NFS is also supported. Any boot loader with initrd support is able to load an initramfs archive. Package: initramfs-tools-tcos Source: tcos Version: 0.89.90 Installed-Size: 570 Maintainer: Mario Izquierdo (mariodebian) Architecture: all Depends: tcos-core, initramfs-tools (>= 0.40), console-setup | console-data, squashfs-tools, pciutils, ltspfsd-core Recommends: mbr, syslinux, mtools, acpid, pulseaudio, dropbear, fgetty, p910nd, genisoimage, mknbi, twm, binutils, alsa-base, cdparanoia, xfonts-100dpi, debootstrap Size: 179288 SHA256: 197196b3d1864e9265d6e16ddcd4cd035462f78e6e176a5148b4058686c4904a SHA1: 355bbd97d889c03bb75fd46019e171eef8e911f2 MD5sum: e1e37e7a90f2bf639fb383084c211b31 Description: Tools for generating a TCOS thin client bootable image This package contains tools to create a bootable initramfs for prepackaged 2.6 Linux kernel. The initramfs is an cpio archive. At boot time, the kernel unpacks that archive into ram, mounts and uses it as initial root file system. Next thin client configure some hardware, download extra tools ( /usr compressed dir ) and connect to remote server using XMDCP, ssh -X, FreeNX, rDesktop... Homepage: http://www.tcosproject.org Section: net Priority: optional Filename: pool/main/t/tcos/initramfs-tools-tcos_0.89.90_all.deb Package: initscripts Source: sysvinit Version: 2.88dsf-41+deb7u1 Architecture: armhf Maintainer: Debian sysvinit maintainers Installed-Size: 287 Depends: libc6 (>= 2.13-28), debianutils (>= 4), lsb-base (>= 3.2-14), sysvinit-utils (>= 2.86.ds1-64), sysv-rc | file-rc, coreutils (>= 5.93) Recommends: psmisc, e2fsprogs Conflicts: libdevmapper1.02.1 (<< 2:1.02.24-1) Breaks: aide (<< 0.15.1-5), atm-tools (<< 1:2.5.1-1.3), autofs (<< 5.0.0), bootchart (<< 0.10~svn407-4), console-common (<< 0.7.86), console-setup (<< 1.74), cruft (<< 0.9.16), eepc-acpi-scripts (<< 1.1.12), fcheck (<< 2.7.59-16), hostapd (<< 1:0.7.3-3), ifupdown (<< 0.7~rc3), initramfs-tools (<< 0.104), libpam-mount (<< 2.13-1), live-build (<< 3.0~a26-1), ltsp-client-core (<< 5.2.16-1), mdadm (<< 3.2.2-1), nbd-client (<< 1:2.9.23-1), nfs-common (<< 1:1.2.5-3), portmap (<< 6.0.0-2), readahead-fedora (<< 2:1.5.6-3), resolvconf (<< 1.49), rpcbind (<< 0.2.0-7), rsyslog (<< 5.8.2-2), selinux-policy-default (<= 2:0.2.20100524-9), splashy (<< 0.3.13-5.1+b1), sysklogd (<< 1.5-6.2), util-linux (<< 2.20.1-5), wpasupplicant (<< 0.7.3-4), xymon (<< 4.3.0~beta2.dfsg-9) Replaces: libc0.1, libc0.3, libc6, libc6.1 Homepage: http://savannah.nongnu.org/projects/sysvinit Priority: required Section: admin Filename: pool/main/s/sysvinit/initscripts_2.88dsf-41+deb7u1_armhf.deb Size: 92064 SHA256: 78dfac298563571c2372c89eea094b645d14f1e684cf5e5514cc399fcd2af669 SHA1: e5667f9f81e9326405f5a2b7741c485db628b24b MD5sum: 4c11d1d99d26b2598d871102b176e09a Description: scripts for initializing and shutting down the system The scripts in this package initialize a standard Debian system at boot time and shut it down at halt or reboot time. Package: initz Version: 0.0.11+20030603cvs-17.1 Installed-Size: 143 Maintainer: OHASHI Akira Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, emacs | emacsen, apel Size: 28134 SHA256: 4ae5f9d34a96cf84487491d2512040e2e580425f7348aaf7f70e838336c0506f SHA1: b4147f0c61f979acd118ab5a2e48f5884bba0ba2 MD5sum: a00aa8a5b9b8565691d46a7792eb803a Description: Handles the switching of various initialization files of emacsen Initz handles the switching of various startup initialization files of emacsen provided for various environment. According to the environment (maybe emacs version, OS type or OS versions) Initz reads appropriate init files provided by the user in several directories, one for one environment. Tag: implemented-in::lisp, role::plugin, suite::emacs, use::configuring, use::editing Section: editors Priority: optional Filename: pool/main/i/initz/initz_0.0.11+20030603cvs-17.1_all.deb Package: ink Version: 0.5.1-1 Architecture: armhf Maintainer: Adam Cécile (Le_Vert) Installed-Size: 49 Depends: libc6 (>= 2.4), libinklevel5 Homepage: http://ink.sourceforge.net/ Priority: optional Section: admin Filename: pool/main/i/ink/ink_0.5.1-1_armhf.deb Size: 8436 SHA256: 9f11068b0381984a2ddbd9770c2fc6d9add44412006f61ba95ea0f00cad4b912 SHA1: 2b4e93414ac025d12258f971fa6459fdb6fab4ca MD5sum: ff56cbb6768432716a755667290caf97 Description: tool for checking the ink level of your local printer Ink is a command line tool based on libinklevel5. It provides a simple way to check ink level of supported printers. Package: ink-generator Version: 0.4-2 Installed-Size: 52 Maintainer: Aurélio A. Heckert Architecture: all Depends: inkscape, imagemagick, zenity Size: 5166 SHA256: 2980b4b50ec3d2c195ae691a7938ea4b2dad5844f2aecf48bfd7536e36c47f3c SHA1: 89a5fb8ef0e17c5a4e0b83fb17997ef5f58a3c65 MD5sum: fa7a85ca9da3eca7c0a5a3c90061f4d5 Description: Inkscape extension to automatically generate files from a template ink-generator is an extension to replace text and data to automatically generate files (like PDF, PS, JPG, etc...), based on a SVG template and a CSV data file. Homepage: http://www.colivre.coop.br/Aurium/InkscapeGenerator Section: graphics Priority: optional Filename: pool/main/i/ink-generator/ink-generator_0.4-2_all.deb Package: inkscape Version: 0.48.3.1-1.3 Architecture: armhf Maintainer: Wolfram Quester Installed-Size: 74981 Depends: gconf-service, libaspell15 (>= 0.60.7~20110707), libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgc1c2 (>= 1:7.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnomevfs2-0 (>= 1:2.17.90), libgomp1 (>= 4.2.1), libgsl0ldbl (>= 1.9), libgtk2.0-0 (>= 2.24.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libgtkspell0 (>= 2.0.10), liblcms1 (>= 1.15-1), libmagick++5 (>= 8:6.7.7.10), libmagickcore5 (>= 8:6.7.7.10), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libpng12-0 (>= 1.2.13-4), libpoppler-glib8 (>= 0.18), libpoppler19 (>= 0.18.4), libpopt0 (>= 1.14), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libwpd-0.9-9, libwpg-0.2-2, libx11-6, libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), zlib1g (>= 1:1.1.4) Recommends: aspell, libwmf-bin, pstoedit, imagemagick, perlmagick Suggests: dia | dia-gnome, ruby, libgnomevfs2-extra, libsvg-perl, libxml-xql-perl, python, python-numpy, python-lxml, python-uniconvertor, skencil Homepage: http://www.inkscape.org/ Priority: optional Section: graphics Filename: pool/main/i/inkscape/inkscape_0.48.3.1-1.3_armhf.deb Size: 23872676 SHA256: 49b2786b9384a7867c841e2bfd69fda61643c6d0a24b7e4c1d3de5ea225a2074 SHA1: 87ef01f328daa7113661300fd15a447d07d7d90c MD5sum: ba7af8d1ba5526b57929800578b41f06 Description: vector-based drawing program Inkscape loads and saves a subset of the SVG (Scalable Vector Graphics) format, a standard maintained by the WWW consortium. . Inkscape user interface should be familiar from CorelDraw and similar drawing programs. There are rectangles, ellipses, text items, bitmap images and freehand curves. As an added bonus, both vector and bitmap objects can have alpha transparency and can be arbitrarily transformed. . Inkscape supports multiple opened files and multiple views per file. Graphics can be printed and exported to png bitmaps. . Some of the import and export features are provided using the packages dia, libwmf-bin, pstoedit, skencil, imagemagick, and perlmagick. . Other extensions use ruby, libxml-xql-perl, python-numpy, and python-lxml. You must have these packages to make full use of all extensions and effects. . If you want to use the spellchecker, you have to install aspell and the respective language-pack, e.g. aspell-en or aspell-de. Package: inn Version: 1:1.7.2q-41 Architecture: armhf Maintainer: Marco d'Itri Installed-Size: 1890 Depends: libc6 (>= 2.13-28), libperl5.14 (>= 5.14.2), cron, default-mta | mail-transport-agent, bsd-mailx | mailx, time, perl, perlapi-5.14.2 Suggests: gnupg (>= 1.0.5) Conflicts: inewsinn, inn2, inn2-dev, inn2-inews, news-transport-system Replaces: inewsinn Provides: inews, news-transport-system Priority: extra Section: news Filename: pool/main/i/inn/inn_1.7.2q-41_armhf.deb Size: 873370 SHA256: 42b2f580ddb892cb4c1b748f135ae27aceab59be7b17af6e15ae1ba6283127f8 SHA1: 5fa993379cde8ef54b54daf1df38812b5dd68fb5 MD5sum: 00919e50b4b94b04c1f64b39c3106295 Description: News transport system `InterNetNews' by the ISC and Rich Salz This is INN version 1.x, provided for smaller sites which do not need the complexity of INN 2.x. Large sites should use Debian's inn2 package instead. . The news transport is the part of the system that stores the articles and the lists of which groups are available and so on, and provides those articles on request to users. It receives news (either posted locally or from a newsfeed site), files it, and passes it on to any downstream sites. Each article is kept for a period of time and then deleted (this is known as `expiry'). . By default Debian's INN will install in a fairly simple `local-only' configuration. . In order to make use of the services provided by INN you'll have to use a user-level newsreader program such as trn. The newsreader is the program that fetches articles from the server and shows them to the user, remembering which the user has seen so that they don't get shown again. It also provides the posting interface for the user. Package: inn2 Version: 2.5.3-3 Architecture: armhf Maintainer: Marco d'Itri Installed-Size: 7997 Pre-Depends: inn2-inews (>= 2.3.999+20030227-1) Depends: libc6 (>= 2.13-28), libdb5.1, libgcc1 (>= 1:4.4.0), libpam0g (>= 0.99.7.1), libperl5.14 (>= 5.14.2), libsasl2-2 (>= 2.1.24), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), cron, default-mta | mail-transport-agent, time, procps, perl, perlapi-5.14.2, libmime-tools-perl Suggests: gnupg, wget, libgd-gd2-noxpm-perl | libgd-gd2-perl, libcomerr2 (>= 1.01), libkrb5-3 (>= 1.6.dfsg.2) Conflicts: cnews, inewsinn, inn, inn2-lfs, innfeed, ninpaths Replaces: inewsinn, inn, inn2-dev, innfeed, ninpaths Provides: news-transport-system Homepage: https://www.isc.org/software/inn Priority: extra Section: news Filename: pool/main/i/inn2/inn2_2.5.3-3_armhf.deb Size: 4049590 SHA256: 2480f1b73aa78b21790833616de206164c7c0bf522fb631b8a430a07c711192a SHA1: 1202c9df847b65076d20fd64d60b297f08194a9d MD5sum: 3f6e7da9df0b87afcb28718842612a9f Description: 'InterNetNews' news server This package provides INN 2.x, which is a very complex news server daemon useful for big sites. The 'inn' package still exists for smaller sites which do not need the complexity of INN 2.x. . The news transport is the part of the system that stores the articles and the lists of which groups are available and so on, and provides those articles on request to users. It receives news (either posted locally or from a newsfeed site), files it, and passes it on to any downstream sites. Each article is kept for a period of time and then deleted (this is known as 'expiry'). . By default Debian's INN will install in a fairly simple 'local-only' configuration. . In order to make use of the services provided by INN you'll have to use a user-level newsreader program such as trn. The newsreader is the program that fetches articles from the server and shows them to the user, remembering which the user has seen so that they don't get shown again. It also provides the posting interface for the user. Package: inn2-dev Source: inn2 Version: 2.5.3-3 Architecture: armhf Maintainer: Marco d'Itri Installed-Size: 719 Conflicts: inn, inn-dev Priority: extra Section: devel Filename: pool/main/i/inn2/inn2-dev_2.5.3-3_armhf.deb Size: 307162 SHA256: a80edd08a8942f339941162ec4bdc691ae53e1b13c367ae5c3013b1d9e1fe555 SHA1: 54951102d0c8e2123065b9fb5aaa817f8c75f59e MD5sum: 45ccea1d2612b197a2f7a0d138715b5c Description: libinn.a library, headers and man pages You will only need this if you are going to compile programs that require the functions in libinn.a. Package: inn2-inews Source: inn2 Version: 2.5.3-3 Architecture: armhf Maintainer: Marco d'Itri Installed-Size: 307 Depends: libc6 (>= 2.13-28) Conflicts: cnews, inewsinn, inn2 (<< 2.3.1) Replaces: inewsinn, inn2 (<< 2.3.1) Provides: inews Priority: extra Section: news Filename: pool/main/i/inn2/inn2-inews_2.5.3-3_armhf.deb Size: 147480 SHA256: 0f533ef6e5264ca4f456dd3aaee93846b0586ae2f682af865365556e52a8f893 SHA1: d482e68393094b8e620e03f9afc94f12e25b1453 MD5sum: cc1eb051f809c1844a9a47a97418de8e Description: NNTP client news injector, from InterNetNews (INN) 'inews' is the program that newsreaders call when the user wishes to post an article; it does a few elementary checks and passes the article on to the news server for posting. . This version is the one from Rich Salz's InterNetNews news transport system (which is also available as a Debian package). Package: innfeed Version: 0.10.1.7-8 Architecture: armhf Maintainer: Marco d'Itri Installed-Size: 224 Depends: libc6 (>= 2.7), inn Conflicts: inn2, suidmanager (<< 0.50) Priority: optional Section: news Filename: pool/main/i/innfeed/innfeed_0.10.1.7-8_armhf.deb Size: 98524 SHA256: 62c6996d4ef66a455eaaea2e1b0d5ac33dab9839ff01f6d980a14faf166dc710 SHA1: fdf9db35adccda82ce7db52eab3aa0022ba34728 MD5sum: a947f0a4ac42883050ed67682a78c6fd Description: This is the INN feeder program `innfeed.' This is a program that sends a newsfeed to one or more remote hosts through NNTP. It can handle multiple connections to multiple remote hosts. It is an alternative to `nntplink' (of which there is no Debian package) or the inn-provided `send-nntp' (which is slow). Package: innoextract Version: 1.2+git20120504-1 Architecture: armhf Maintainer: Lennart Weller Installed-Size: 572 Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblzma5 (>= 5.1.1alpha+20120614), libstdc++6 (>= 4.6) Multi-Arch: foreign Homepage: https://github.com/dscharrer/InnoExtract Priority: extra Section: utils Filename: pool/main/i/innoextract/innoextract_1.2+git20120504-1_armhf.deb Size: 201252 SHA256: ae413e532d7e6acdf96888ef0382639dae27520cf89d74bc7f7f813d91e0cd07 SHA1: 45174ca12cea0c4ce4fa236ef1cd91c0af21e35a MD5sum: 5ed6eb4ffb96f75a127fd57d0d025c7c Description: Tool for extracting data from an Inno Setup installer Inno Setup is a tool to create installers for Microsoft Windows applications. Inno Extracts allows one to extract such installers under non-windows systems without running the actual installer using wine. Inno Extract currently supports installers created by Inno Setup 1.2.10 to 5.4.3. Package: inorwegian Source: norwegian Version: 2.0.10-5.1 Architecture: armhf Maintainer: Tollef Fog Heen Installed-Size: 14780 Depends: debconf (>= 0.5) | debconf-2.0, ispell (>= 3.3.02), dictionaries-common Provides: ispell-dictionary Priority: optional Section: text Filename: pool/main/n/norwegian/inorwegian_2.0.10-5.1_armhf.deb Size: 3713568 SHA256: 4132559bd6353ae2e756c00f22e646bd725472a24c193f13d4b59b02b8578b59 SHA1: 150600809d3ea4ba84b02cc8da1ef8ba16fd96a0 MD5sum: 6f5dc706b53530fc5181fc1585afbd95 Description: Norwegian dictionary for ispell This package provides the Norwegian dictionary, to be used with ispell to check and correct spelling in Norwegian texts. Package: inosync Version: 0.2.1-1 Installed-Size: 68 Maintainer: Ryan Niebur Architecture: all Depends: python (>= 2.4), python-pyinotify (>= 0.8.0), rsync Size: 6964 SHA256: d9740c3fa3bc61453bd735bd68f0e0fc0dee6e187de3a1600d7868193c4d0f53 SHA1: db09bcf7466d8b7c0aba516d479a7628a48bf0da MD5sum: 6047a2cc7d69be30ac26d9a82370057b Description: notification-based directory synchronization daemon The inosync daemon uses the inotify service available in recent Linux kernels to monitor and synchronize changes within directories to remote nodes using rsync. . System administrators have relied on cron+rsync for years to constantly synchronize files and directories to remote machines. It is not feasible to let authors wait for their content to get synchronized every x hours with regard to the enormous pace of articles and podcasts nowadays. Homepage: http://bb.xnull.de/projects/inosync/ Tag: implemented-in::python, interface::daemon, role::program, use::synchronizing Section: utils Priority: optional Filename: pool/main/i/inosync/inosync_0.2.1-1_all.deb Package: inotail Version: 0.5-2 Architecture: armhf Maintainer: Tobias Klauser Installed-Size: 54 Depends: libc6 (>= 2.4) Homepage: http://distanz.ch/inotail Priority: optional Section: utils Filename: pool/main/i/inotail/inotail_0.5-2_armhf.deb Size: 10406 SHA256: 8ec0f7e9194c2766f4f10e03cd2d578305e4c43a0b33da8b73fb223dbeff8e2d SHA1: 8d3cc4858d79ef73523bd454eb0378a3148cb2a8 MD5sum: 1f9f3fa19990f6d26ace23386383598c Description: tail replacement using inotify inotail is a replacement for the 'tail' program which is used to output the last portions of a file. It makes use of the inotify infrastructure in recent versions of the Linux kernel to speed up tailing files in the follow mode (the '-f' option). Ancient versions of tail poll the file every second by default while inotail (and tail from the GNU coreutils as of version 7.5) listens to special events sent by the kernel through the inotify API to determine whether a file needs to be reread. Package: inoticoming Version: 0.2.3-1 Architecture: armhf Maintainer: Bernhard R. Link Installed-Size: 68 Depends: libc6 (>= 2.13-28) Suggests: reprepro (>= 2.2.2-1) Priority: extra Section: utils Filename: pool/main/i/inoticoming/inoticoming_0.2.3-1_armhf.deb Size: 15282 SHA256: ade19533bd4685bcb41705b32501ce4357d0a985829f0ac6c27f1debd8647067 SHA1: e30e0993b098fcb0bc6881b0113be37d3be7a964 MD5sum: d09018132ae78d0dbd4bcd694add8eed Description: trigger actions when files hit an incoming directory inoticoming is a daemon to watch a directory with Linux's inotify framework and trigger actions once files with specific names are placed in there. . For example it can be used to wait for .changes files uploaded into a directory and call reprepro to put them into your repository. Package: inotify-tools Version: 3.14-1 Architecture: armhf Maintainer: Ryan Niebur Installed-Size: 90 Depends: libc6 (>= 2.13-28), libinotifytools0 (>= 3.11) Homepage: https://github.com/rvoicilas/inotify-tools/wiki/ Priority: optional Section: misc Filename: pool/main/i/inotify-tools/inotify-tools_3.14-1_armhf.deb Size: 26836 SHA256: 714bd49fbadca0f8136a6c57e151cdc7e1f444a641f617be7a9467cd88b5f7c1 SHA1: b97e02b7a0ef37162bdbf467f7d2fdfcf46eee54 MD5sum: 9dda5c404db2d2da0bfec19313dd5f39 Description: command-line programs providing a simple interface to inotify inotify-tools is a set of command-line programs for Linux providing a simple interface to inotify. These programs can be used to monitor and act upon filesystem events. inotify-tools consists of two utilities: . inotifywait simply blocks for inotify events, making it appropriate for use in shell scripts. . inotifywatch collects filesystem usage statistics and outputs counts of each inotify event. Package: input-pad Version: 1.0.1-2 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 47 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libinput-pad1 (= 1.0.1-2), libpango1.0-0 (>= 1.14.0), libx11-6, libxkbfile1, libxklavier16 (>= 5.0), libxml2 (>= 2.6.27) Homepage: http://code.google.com/p/input-pad/ Priority: optional Section: utils Filename: pool/main/i/input-pad/input-pad_1.0.1-2_armhf.deb Size: 10146 SHA256: e1f996744cfc1c39f2d0802b28be8547cdd91851f21f5b027850270aa74bd288 SHA1: 09fcf04e55f909d5c3e8233f2a655613fa7afc51 MD5sum: fb093f4d5f244c9bb2ef62e6a9b73907 Description: On-screen Input Pad to Send Characters with Mouse The input pad is a tool to send a character to text applications when the corresponging button is pressed. It provides the GTK+ based GUI and can send characters when the GTK+ buttons are pressed. . This package contains a standalone application. Package: input-utils Version: 1.0-1 Architecture: armhf Maintainer: Marcus Better Installed-Size: 98 Depends: libc6 (>= 2.7) Priority: optional Section: utils Filename: pool/main/i/input-utils/input-utils_1.0-1_armhf.deb Size: 19048 SHA256: 0a7c8dc1df0294b3a399d6a5b2e50e47861997185baa3ecb1d3a5f08cf93d08d SHA1: 0cafe26252f428519b5e37ec16ba9d2f81bd07ed MD5sum: af40cba137957f073d99b70d6e7b3bb0 Description: utilities for the input layer of the Linux kernel This is a collection of utilities which are useful when working with the input layer of the Linux kernel (version 2.6 and later). Included are utilities to list the input devices known to the kernel, show the input events that are received by a device, and query or modify keyboard maps. Package: inputattach Source: joystick Version: 1:1.4.3-1 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 79 Depends: libc6 (>= 2.13-28) Breaks: joystick (<< 20051019-6) Homepage: https://sourceforge.net/projects/linuxconsole/ Priority: extra Section: utils Filename: pool/main/j/joystick/inputattach_1.4.3-1_armhf.deb Size: 22180 SHA256: f1fa7b4296196562b8823d2a76c540797655024c81381585957ee9476b0da700 SHA1: 89390895dcfca8589ce72b0b6df9a276b82f980d MD5sum: 00f13e1f17f676594acab2a6f69864ff Description: utility to connect serial-attached peripherals to the input subsystem inputattach connects legacy serial-attached input peripherals to the input subsystem: keyboards, mice, joysticks, touch-screens... . Amongst other things this allows legacy mice to be accessed via the /dev/input/mice multiplexer. . Supported devices include: * Serial-attached keyboards including the Apple Newton keyboard, DEC LK201 / LK401 keyboards, the Stowaway keyboard, Sun type 4 and 5 keyboards, standard PS/2 keyboards with a serial adapter * Serial mice using Genius, Logitech, Microsoft or Mouse Systems protocols * Serial-attached touchscreens including those manufactured by 3M, ELO, Fujitsu, Penmount, Touchright, Touchwindow * Serial-attached joysticks including I-Force, SpaceBall, SpaceOrb, Gravis Stinger, WingMan Warrior * The Handykey Twiddler used as a joystick or a chording keyboard Package: inputlirc Version: 19-1 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 77 Depends: libc6 (>= 2.4) Suggests: lirc, input-utils Priority: extra Section: utils Filename: pool/main/i/inputlirc/inputlirc_19-1_armhf.deb Size: 16478 SHA256: e29cabedd5981a37e08b068d3da255ede5d452b0c78d7c5af71e53149cf14f3d SHA1: f1015db41103187874b2881c893187b04e8a6a31 MD5sum: 4c4a994f68ed6bd091419a4572ab2325 Description: Zeroconf LIRC daemon using input event devices This is a small LIRC-compatible daemon that reads from /dev/input/eventX devices and sends the received keycodes to connecting LIRC clients. Inputlircd needs no configuration, it uses the standardised names for the keycodes as used by the kernel. Many USB remote controls that present HID devices, as well as multimedia keyboards should work out of the box. Package: insanity-tools Source: gst-qa-system Version: 0.0+git20110920.4750a8e8-2 Installed-Size: 147 Maintainer: Ying-Chun Liu (PaulLiu) Architecture: all Depends: python, python-insanity, python-gtk2, python-simplejson Size: 38050 SHA256: c8e59d38d228fedc477e52db019db043b204d15f706ab8fd36195658730b43da SHA1: 0d483b1e4a23de420c1e2f53b19c54d3dab4f581 MD5sum: 3549706ab46dd5bd075e592b4617dd16 Description: GStreamer QA system (Insanity) tools Insanity is a testing tool for GStreamer. It is able to perform a lot of test cases by this system. And then store the result to database. . This package provides tools to perform the test and the program to dump the results. It also provides the deprecated gst-media-test utility for people who are used to its interface. Homepage: http://cgit.freedesktop.org/gstreamer/gst-qa-system/ Section: utils Priority: optional Filename: pool/main/g/gst-qa-system/insanity-tools_0.0+git20110920.4750a8e8-2_all.deb Package: insanity-web Source: gst-qa-system Version: 0.0+git20110920.4750a8e8-2 Installed-Size: 141 Maintainer: Ying-Chun Liu (PaulLiu) Architecture: all Depends: python-insanity, python-django (>= 1.3), libjs-jquery Size: 22334 SHA256: c133c8c3d9de5103c3825faf58d9bef0d85ea5178f2acfcc86bb314863e1048a SHA1: 0601023c52b46f10937e32b138c3ab7056c7a4c2 MD5sum: b1ba4d16a4ae7c3708f9f8d5cbdbac0b Description: GStreamer QA system (Insanity) web frontend. Insanity is a testing tool for GStreamer. It is able to perform a lot of test cases by this system. And then store the result to database. . This package provides a django-based web interface for insanity, which lets the user run tests and view the results from the comfort of their browser. Homepage: http://cgit.freedesktop.org/gstreamer/gst-qa-system/ Section: utils Priority: optional Filename: pool/main/g/gst-qa-system/insanity-web_0.0+git20110920.4750a8e8-2_all.deb Package: insighttoolkit3-examples Source: insighttoolkit Version: 3.20.1+git20120521-3 Installed-Size: 2704 Maintainer: Debian Med Packaging Team Architecture: all Replaces: insighttoolkit-examples Suggests: libinsighttoolkit3-dev Conflicts: insighttoolkit-examples Size: 2454522 SHA256: 0b69ae6b9996b7c1d86691d4a06f87340c09cd08ccb2d7f1795b32c287c3e3a9 SHA1: 5ec8416b1dffb57e5eaf4810e73e977642c951b7 MD5sum: bc9cefecf1721406028f6bb514939c50 Description: Image processing toolkit for registration and segmentation - examples ITK is an open-source software toolkit for performing registration and segmentation. Segmentation is the process of identifying and classifying data found in a digitally sampled representation. Typically the sampled representation is an image acquired from such medical instrumentation as CT or MRI scanners. Registration is the task of aligning or developing correspondences between data. For example, in the medical environment, a CT scan may be aligned with a MRI scan in order to combine the information contained in both. . This package contains the source for example programs. Homepage: http://www.itk.org/ Tag: field::medicine, field::medicine:imaging, made-of::TODO, role::TODO Section: devel Priority: optional Filename: pool/main/i/insighttoolkit/insighttoolkit3-examples_3.20.1+git20120521-3_all.deb Package: inspircd Version: 2.0.5-1+deb7u2 Architecture: armhf Maintainer: Debian IRC Team Installed-Size: 6732 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgeoip1 (>= 1.4.8+dfsg), libgnutls26 (>= 2.12.17-0), libldap-2.4-2 (>= 2.4.7), libmysqlclient18 (>= 5.5.24+dfsg-1), libpcre3 (>= 8.10), libpq5, libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.6), libtre5, zlib1g (>= 1:1.1.4), lsb-base Suggests: sqlite3, mysql-server, ldap-server, postgresql, gnutls-bin Provides: ircd Homepage: http://www.inspircd.org/ Priority: optional Section: net Filename: pool/main/i/inspircd/inspircd_2.0.5-1+deb7u2_armhf.deb Size: 2156982 SHA256: a24e90fa869004e57d36b7eb2d801a21317c8cae0570b29738267ebd579daa65 SHA1: b37d71c60dc86fa8d0793e7234968928a4b2897d MD5sum: 825595b71e51da215cbe6acf0c73d17a Description: Modular IRCd written in C++ InspIRCd is a modular C++ IRC Daemon for several operating systems created to provide a stable, modern, lightweight irc server from scratch and provide a vast number of features in a modularised form using an advanced module API. By keeping the functionality of the main core to a minimum, the server is very stable, fast and customizable. . This package contains the daemon. Package: inspircd-dbg Source: inspircd Version: 2.0.5-1+deb7u2 Architecture: armhf Maintainer: Debian IRC Team Installed-Size: 6663 Depends: inspircd (= 2.0.5-1+deb7u2) Homepage: http://www.inspircd.org/ Priority: extra Section: debug Filename: pool/main/i/inspircd/inspircd-dbg_2.0.5-1+deb7u2_armhf.deb Size: 1358574 SHA256: d3c0c91ece1d71e13474dd3dfcc9152334948117755af6aa8414b06e06d3c3d7 SHA1: 8dbbbd3f0dc89d57df54f66ddbc5689ff6c6e480 MD5sum: 4ef522ade198549843685e9a8df1af56 Description: Modular IRCd written in C++ - debugging symbols InspIRCd is a modular C++ IRC Daemon for several operating systems created to provide a stable, modern, lightweight irc server from scratch and provide a vast number of features in a modularised form using an advanced module API. By keeping the functionality of the main core to a minimum, the server is very stable, fast and customizable. . This package contains the debugging symbols. Package: insserv Version: 1.14.0-5 Architecture: armhf Maintainer: Petter Reinholdtsen Installed-Size: 174 Depends: libc6 (>= 2.13-28) Suggests: bootchart2 Breaks: sysv-rc (<< 2.87dsf-3) Homepage: http://savannah.nongnu.org/projects/sysvinit Priority: optional Section: misc Filename: pool/main/i/insserv/insserv_1.14.0-5_armhf.deb Size: 63762 SHA256: 48bf3e0fdca8c9df5a9dba6bdcddda3fc599c76da1d1999d044a564aeecd0dfd SHA1: 652caac369d1805f3fa201c22d128531f52738e3 MD5sum: 1f8468bc7975cb6e76615f04cc2ad19a Description: boot sequence organizer using LSB init.d script dependency information The insserv program is used by the standard SysV-based init system. It updates the order of symlinks in /etc/rc?.d/ based on dependencies specified by LSB headers in the init.d scripts themselves. . These declared relations between scripts make it possible to optimize the boot sequence for the currently installed set of packages, while detecting and rejecting dependency loops. . Using insserv incorrectly can result in an unbootable system. Package: install-info Source: texinfo Version: 4.13a.dfsg.1-10 Architecture: armhf Maintainer: Debian TeX maintainers Installed-Size: 209 Depends: libc6 (>= 2.13-28) Breaks: texinfo (<< 4.13a.dfsg.1-2) Replaces: texinfo (<< 4.13a.dfsg.1-2) Multi-Arch: foreign Priority: important Section: doc Filename: pool/main/t/texinfo/install-info_4.13a.dfsg.1-10_armhf.deb Size: 146144 SHA256: c14ceca5a5e1c8acde5ae11e2a161ba54f9991da524e101060a1f342cac112bb SHA1: a2789aad90d2a5059a6a20c60ee2a21b6c627b48 MD5sum: 64263989a9ebf9d528066c6af06eda6a Description: Manage installed documentation in info format The install-info utility creates the index of all installed documentation in info format and makes it available to info readers. Package: installation-guide-amd64 Source: installation-guide Version: 20130503 Installed-Size: 23279 Maintainer: Debian Install System Team Architecture: all Conflicts: installation-guide Size: 14960126 SHA256: ba5228007dac2034f4a06df1d409083f55e4390c8f96d1db5ad6757643ecdebe SHA1: 37606fb9c72719154360bdccf898cb6f0a6de618 MD5sum: 90d79e5d7811df6e6dcaff7fb21b369f Description: Debian installation guide for amd64 This package contains the Debian installation guide for the AMD64 architecture, in a variety of languages. . A shorter reference, the installation HOWTO, is included in an appendix. Tag: admin::install, made-of::html, made-of::pdf, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/i/installation-guide/installation-guide-amd64_20130503_all.deb Package: installation-guide-armel Source: installation-guide Version: 20130503 Installed-Size: 20437 Maintainer: Debian Install System Team Architecture: all Conflicts: installation-guide Size: 13159758 SHA256: 6cdf420a78d873216f615612fc2ae24e35b54e4db4033f591cf8b27105408adf SHA1: a3806a19f326d73a7ce168fe5b5a6c29a04b7e75 MD5sum: 82a4ad8d4b31548a82b4e8f60ffb8143 Description: Debian installation guide for armel This package contains the Debian installation guide for the ARM architecture, in a variety of languages. . A shorter reference, the installation HOWTO, is included in an appendix. Tag: admin::install, made-of::html, made-of::pdf, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/i/installation-guide/installation-guide-armel_20130503_all.deb Package: installation-guide-armhf Source: installation-guide Version: 20130503 Installed-Size: 20435 Maintainer: Debian Install System Team Architecture: all Conflicts: installation-guide Size: 13157088 SHA256: 9ca070a9237d5ef0620ffdbc20875455aedf9fc3280515ee45d9ff15cd4c29d4 SHA1: e0eef5135e6172ed64b5d7c814bfce4b72f3db0f MD5sum: bb5dea0d6aef353b2a89a9e2a58f3fd4 Description: Debian installation guide for armhf This package contains the Debian installation guide for the ARM with Hardware FPU architecture, in a variety of languages. . A shorter reference, the installation HOWTO, is included in an appendix. Section: doc Priority: optional Filename: pool/main/i/installation-guide/installation-guide-armhf_20130503_all.deb Package: installation-guide-i386 Source: installation-guide Version: 20130503 Installed-Size: 23406 Maintainer: Debian Install System Team Architecture: all Conflicts: installation-guide Size: 15051020 SHA256: 7098b2274c55c67e496fc1a88bfc369d38f46dd56c422045fc0db786196b88b8 SHA1: bf620e8747cd767e8c8134ffab5adbfa2ef8021b MD5sum: fc404efbcf75e48d96e1536fad5ff2ec Description: Debian installation guide for i386 This package contains the Debian installation guide for the Intel x86 architecture, in a variety of languages. . A shorter reference, the installation HOWTO, is included in an appendix. Tag: admin::install, made-of::html, made-of::pdf, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/i/installation-guide/installation-guide-i386_20130503_all.deb Package: installation-guide-ia64 Source: installation-guide Version: 20130503 Installed-Size: 21125 Maintainer: Debian Install System Team Architecture: all Conflicts: installation-guide Size: 13613844 SHA256: a5f9b0098aa5bafd161f14265afe85a3a7653baea48fdef48c9767d83593f74c SHA1: df62dadb8ba94f9b25164028b7f251469f5578e9 MD5sum: 9ab63522e274b619111b50d58493023b Description: Debian installation guide for ia64 This package contains the Debian installation guide for the IA-64 architecture, in a variety of languages. . A shorter reference, the installation HOWTO, is included in an appendix. Tag: admin::install, made-of::html, made-of::pdf, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/i/installation-guide/installation-guide-ia64_20130503_all.deb Package: installation-guide-kfreebsd-amd64 Source: installation-guide Version: 20130503 Installed-Size: 20848 Maintainer: Debian Install System Team Architecture: all Conflicts: installation-guide Size: 13400674 SHA256: 829c4c45259bef5be904fe3d42469e10755ea40fe73fc434dfe7b3c769d71f4c SHA1: 6a6e5543937ac62efb1027b23be82ac345f63605 MD5sum: cf516ecd39ffb41f29534d8194464db5 Description: Debian installation guide for kFreeBSD amd64 This package contains the Debian installation guide for the kFreeBSD kernel running on the AMD64 architecture, in a variety of languages. . A shorter reference, the installation HOWTO, is included in an appendix. Section: doc Priority: optional Filename: pool/main/i/installation-guide/installation-guide-kfreebsd-amd64_20130503_all.deb Package: installation-guide-kfreebsd-i386 Source: installation-guide Version: 20130503 Installed-Size: 20804 Maintainer: Debian Install System Team Architecture: all Conflicts: installation-guide Size: 13368058 SHA256: 3a1865e3a466d44c844b8eca9a4989f1fd1733d92956e00874d57f0266695c8c SHA1: c5a3aacfe3d4facb72f070298d747c41fa1416a2 MD5sum: 801f9023af5c6c67f345f313d8165940 Description: Debian installation guide for kFreeBSD i386 This package contains the Debian installation guide for the kFreeBSD kernel running on the Intel x86 architecture, in a variety of languages. . A shorter reference, the installation HOWTO, is included in an appendix. Section: doc Priority: optional Filename: pool/main/i/installation-guide/installation-guide-kfreebsd-i386_20130503_all.deb Package: installation-guide-mips Source: installation-guide Version: 20130503 Installed-Size: 20046 Maintainer: Debian Install System Team Architecture: all Conflicts: installation-guide Size: 12887198 SHA256: ece152ce89e5f9c98d54c74ba5cbc615d07805bcb581ac0dd2c6028483603cff SHA1: ba1ea0fe23f4f88d5b8440be6e9bf5cf9c4f5619 MD5sum: 7cf21f4dec778fe8e74c5f04c0685813 Description: Debian installation guide for mips This package contains the Debian installation guide for the Mips architecture, in a variety of languages. . A shorter reference, the installation HOWTO, is included in an appendix. Tag: made-of::html Section: doc Priority: optional Filename: pool/main/i/installation-guide/installation-guide-mips_20130503_all.deb Package: installation-guide-mipsel Source: installation-guide Version: 20130503 Installed-Size: 19991 Maintainer: Debian Install System Team Architecture: all Conflicts: installation-guide Size: 12852646 SHA256: ff1e15e218174618b874ef0933a7bbe728a2adf6bf2b65af0411a25830a263ea SHA1: 24c8cee7d02f577932670f447387f2ea79f3bb0e MD5sum: 07dadb3b840b1e3b6645b200e3b0a019 Description: Debian installation guide for mipsel This package contains the Debian installation guide for the Mipsel architecture, in a variety of languages. . A shorter reference, the installation HOWTO, is included in an appendix. Section: doc Priority: optional Filename: pool/main/i/installation-guide/installation-guide-mipsel_20130503_all.deb Package: installation-guide-powerpc Source: installation-guide Version: 20130503 Installed-Size: 22546 Maintainer: Debian Install System Team Architecture: all Conflicts: installation-guide Size: 14533408 SHA256: bd88a01fdddd71a3066569ec09b5612b99b4cfd7ecf1523014f167b27a239c2e SHA1: 4177f5e8ca2cd71c765e5b70a7d92e0ad2c2b982 MD5sum: aff751392e26cfdcb7aed46c71dc3b6f Description: Debian installation guide for powerpc This package contains the Debian installation guide for the PowerPC architecture, in a variety of languages. . A shorter reference, the installation HOWTO, is included in an appendix. Tag: admin::install, made-of::html, made-of::pdf, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/i/installation-guide/installation-guide-powerpc_20130503_all.deb Package: installation-guide-s390 Source: installation-guide Version: 20130503 Installed-Size: 18397 Maintainer: Debian Install System Team Architecture: all Conflicts: installation-guide Size: 11859676 SHA256: ce4f22d27af319b07144eec88fdb026dc7651c206ab13ceaf19079d1e6ce067f SHA1: 4a155449722eaa5e0bc1acaa119a656c5f835fea MD5sum: 71f64c66baee1d0ac9ee5517bd4f8e0a Description: Debian installation guide for s390 This package contains the Debian installation guide for the S/390 architecture, in a variety of languages. . A shorter reference, the installation HOWTO, is included in an appendix. Section: doc Priority: optional Filename: pool/main/i/installation-guide/installation-guide-s390_20130503_all.deb Package: installation-guide-s390x Source: installation-guide Version: 20130503 Installed-Size: 18397 Maintainer: Debian Install System Team Architecture: all Conflicts: installation-guide Size: 11860062 SHA256: 6687270704276900753c78ee7cb8f46ca3d0319c0944981a12a93a12df5b408d SHA1: ebba15231385c7235938722681582537b9176fa0 MD5sum: cb46a6bcec6e2aacfe90e4dee344e586 Description: Debian installation guide for s390x This package contains the Debian installation guide for the 64bit S/390 architecture, in a variety of languages. . A shorter reference, the installation HOWTO, is included in an appendix. Section: doc Priority: optional Filename: pool/main/i/installation-guide/installation-guide-s390x_20130503_all.deb Package: installation-guide-sparc Source: installation-guide Version: 20130503 Installed-Size: 20858 Maintainer: Debian Install System Team Architecture: all Conflicts: installation-guide Size: 13449100 SHA256: 9feaf3073f960f069489efcd1b1f1c7c96175bb7947587196f5fdccebadc0cb3 SHA1: 78da999eed5102dd8be1de1d1639ef573bc66460 MD5sum: fef77c80519cf4d242a6fcda54a664fc Description: Debian installation guide for sparc This package contains the Debian installation guide for the Sparc architecture, in a variety of languages. . A shorter reference, the installation HOWTO, is included in an appendix. Tag: admin::install, made-of::html, made-of::pdf, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/i/installation-guide/installation-guide-sparc_20130503_all.deb Package: installation-report Version: 2.49 Installed-Size: 74 Maintainer: Debian Install System Team Architecture: all Recommends: reportbug, pciutils Size: 19114 SHA256: 6e16613c311b2b6e5947ef9f1a99f0621271ef12a9bde799233dbd15ba0988fd SHA1: e6d72f9ca39d9b5a5ba4529f0ad98d309bf6e99c MD5sum: 4cfd26ff4c263bb5a31c2e49ffd11cc5 Description: system installation report This package contains instructions and programs for reporting on the installation of a new system. It can help you fill out an installation report and send it to the Debian bug tracking system. . Purging this package will remove the logs of the system's installation. Tag: devel::bugtracker, hardware::detection, implemented-in::shell, interface::commandline, role::program, scope::utility, suite::debian Section: misc Priority: optional Filename: pool/main/i/installation-report/installation-report_2.49_all.deb Package: instead Version: 1.6.0-1 Architecture: armhf Maintainer: Sam Protsenko Installed-Size: 1139 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), liblua5.1-0, libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4), instead-data (= 1.6.0-1) Homepage: http://instead.googlecode.com Priority: optional Section: games Filename: pool/main/i/instead/instead_1.6.0-1_armhf.deb Size: 688840 SHA256: 3c243f58d58fbc26efe69e861d3b7806c01694da105a5e0d97bfa6078870d0c7 SHA1: a11c8b0e3f7934b5eaf97ce07730a7438fe765f1 MD5sum: 0dbbb504c35956c7a1be7cd2a7399972 Description: simple text adventures/visual novels engine INSTEAD -- INterpreter of Simple TExt ADventure. Using INSTEAD you can create and play games that could be classified as a mix of visual novel and text quest. . Features: * Simple story source code (Lua-based script) * GUI interface that supports music and pictures * Theme support * Portability (INSTEAD depends on SDL and Lua) . Game repository: http://code.google.com/p/instead-games/downloads/list Package: instead-data Source: instead Version: 1.6.0-1 Installed-Size: 3192 Maintainer: Sam Protsenko Architecture: all Recommends: instead Size: 2878822 SHA256: 6ff13c6545c54af66906382bc810549a0cd22f89d262d37b467109aa9a8ffe2b SHA1: 07d32060b8d20dbb06a7aa3d7cf157ae4e56944a MD5sum: 67b94ec0b73e247689fc8a3d0ac775f2 Description: data files for INSTEAD INSTEAD -- INterpreter of Simple TExt ADventure. Using INSTEAD you can create and play games that could be classified as a mix of visual novel and text quest. . Features: * Simple story source code (Lua-based script) * GUI interface that supports music and pictures * Theme support * Portability (INSTEAD depends on SDL and Lua) . This package contains language files, graphical themes, STEAD scripts and tutorial. Homepage: http://instead.googlecode.com Section: games Priority: optional Filename: pool/main/i/instead/instead-data_1.6.0-1_all.deb Package: integrit Version: 4.1-1 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 1697 Recommends: cron, mailx Priority: optional Section: admin Filename: pool/main/i/integrit/integrit_4.1-1_armhf.deb Size: 804136 SHA256: ad7f81e87f02f7cdd6d5977c7477227e396d0f91329a512ab273ff279753454b SHA1: 72edd0d2411d63a4d8b543d71e7a449780430566 MD5sum: 85acc34874d4886faab7f5c46054b964 Description: A file integrity verification program Integrit helps you determine whether an intruder has modified your system. Without the use of integrit, a sysadmin wouldn't know if the programs used for investigating the system are trojan horses or not. Integrit works by creating a database that is a snapshot of the most essential parts of the system. You put the database somewhere safe, and then later you can use it to make sure that no one has made any illicit modifications to your file system. . Integrit's key features are the small memory footprint, the design with unattended use in mind, intuitive cascading rulesets for the paths listed in the configuration file, the possibility of XML or human-readable output, and simultaneous checks and updates. . See http://integrit.sourceforge.net/ for more information. Package: intel2gas Version: 1.3.3-14 Architecture: armhf Maintainer: Alexander Zangerl Installed-Size: 107 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Homepage: http://www.niksula.cs.hut.fi/~mtiihone/intel2gas/ Priority: optional Section: devel Filename: pool/main/i/intel2gas/intel2gas_1.3.3-14_armhf.deb Size: 29226 SHA256: 01788c497301c57615341f4734f89033fc4c55fd7d2513e2a3db71f52cebe6b2 SHA1: d41d1e8d23f4a3645e3dd103e4a2f4ec058301be MD5sum: 1bd286e0ff046bd660402c18ffd8a77b Description: A converter from NASM assembly language to GAS Intel2GAS is a converter that will convert assembler source files written for NASM to files that can be assembled using the GNU Assembler (GAS), on the i386 platform. It provides support for basic MMX instructions as well. Package: intercal Version: 29:0.29-2 Architecture: armhf Maintainer: Mark Brown Installed-Size: 2816 Depends: libc6 (>= 2.13-28), dpkg (>= 1.15.4) | install-info, gcc | c-compiler Homepage: http://intercal.freeshell.org/ Priority: extra Section: interpreters Filename: pool/main/i/intercal/intercal_0.29-2_armhf.deb Size: 2178098 SHA256: a1b8dca0e1f4f6354c9fa32609c85f54f6e9f75298be46feab7dcbe9b813ce8e SHA1: 1900c1483bcc9179e48065ddfd96961509f58c34 MD5sum: 5a00b3011ef015f9b446e4218beb30c6 Description: an INTERCAL de-obfuscator This package is an implementation of the language INTERCAL, legendary for its perversity and horribleness, designed by Don Woods and James Lyon, who have since spent more than twenty years trying to live it down. This version adds COME FROM for extra flavor, and supports multithreading. Comes with language manual and examples including possibly the entire extant body of INTERCAL code. . This INTERCAL implementation works by compiling INTERCAL to C (thus de-obfuscating it), and then uses a C compiler to produce binaries (which are themselves possibly easier to read than the original intercal "source" code). Package: interchange Version: 5.7.7-2 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 3637 Pre-Depends: adduser Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), perl (>= 5.8.8), libdigest-md5-perl, libdigest-sha-perl, libhtml-parser-perl, libmime-base64-perl, libsafe-hole-perl (>= 0.06), libstorable-perl, liburi-perl, logrotate, libwww-perl, libset-crontab-perl, libtie-shadowhash-perl Recommends: interchange-ui Conflicts: libdbi-perl (<< 1.53) Homepage: http://www.icdevgroup.org/ Priority: optional Section: web Filename: pool/main/i/interchange/interchange_5.7.7-2_armhf.deb Size: 1199896 SHA256: e9ad3fc1ea6a89f67da18bafbc71af885d14f79bc0a4bc5bb82f3064f00d9cfe SHA1: 0b87ab453b6a51fcef9fe4eb953264df9b1a6952 MD5sum: a03cb08c2dc8dfbd9ed9b14c8fc5799d Description: e-commerce and general HTTP database display system Interchange is a database access and retrieval system focused on e-commerce. It allows customers to select items to buy from catalog pages. The program tracks user information in sessions and interacts with a HTTP server through sockets. Package: interchange-cat-standard Source: interchange Version: 5.7.7-2 Installed-Size: 2151 Maintainer: Stefan Hornburg (Racke) Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, libwww-perl, interchange (>= 5.3.0), interchange-ui (>= 5.3.0), libdbd-sqlite | libdbd-mysql-perl | libdbd-pg-perl, dbconfig-common Size: 911514 SHA256: 0ece29e76e67baef6a5c0d31dd9c34e08898c8705e2b4b265f8738715dfa8518 SHA1: fed68a16ae5eaa21e9c5bd430e46a0d257936340 MD5sum: 7ad3f3f69cf0d647461f0d0eb868c813 Description: Standard store, a sample Interchange catalog The Standard store is a demo catalog which you can use to build your Interchange applications from. While the Standard store is designed to be relatively easy to start with, it is still a full-featured demonstration of a number of Interchange capabilities. Once you understand the Standard store and how it works you are well on your way to understanding the Interchange software. Homepage: http://www.icdevgroup.org/ Section: web Priority: optional Filename: pool/main/i/interchange/interchange-cat-standard_5.7.7-2_all.deb Package: interchange-ui Source: interchange Version: 5.7.7-2 Installed-Size: 2112 Maintainer: Stefan Hornburg (Racke) Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, perl, interchange (>= 4.8.1) Suggests: libspreadsheet-parseexcel-perl, libspreadsheet-writeexcel-perl Size: 588298 SHA256: c63c6a9c639aa31c8158051edb803c400437d0b4d4cc6e77f82bd44ce4875b86 SHA1: 1c45dbff4afcd86ff2e2d2e092875c3ab66ab05c MD5sum: 976801c16f559b955711400b12ae21a1 Description: Interchange administration interface (UI) With the Interchange administration interface, called UI, you are able to perform several useful operations like page edit, user's administration and view reports on an Interchange catalog. Homepage: http://www.icdevgroup.org/ Tag: role::program, scope::utility Section: web Priority: optional Filename: pool/main/i/interchange/interchange-ui_5.7.7-2_all.deb Package: intltool Version: 0.50.2-2 Installed-Size: 239 Maintainer: Debian GNOME Maintainers Architecture: all Provides: xml-i18n-tools Depends: gettext (>= 0.10.36-1), patch, automake | automaken, perl (>= 5.8.1), libxml-parser-perl, file Size: 100996 SHA256: ee36abcc3379348c1a250a1f35c8d28721fcde2dc8099cb2cf5b0383ab032301 SHA1: 53c1e3a62372b271af3f0d90e85984741a67e610 MD5sum: 3e1cce51db2359718223c30bdbc4866e Description: Utility scripts for internationalizing XML Automatically extracts translatable strings from oaf, glade, bonobo ui, nautilus theme and other XML files into the po files. . Automatically merges translations from po files back into .oaf files (encoding to be 7-bit clean). The merging mechanism can also be extended to support other types of XML files. Multi-Arch: foreign Homepage: https://launchpad.net/intltool Tag: devel::i18n, implemented-in::perl, interface::commandline, role::program, scope::utility, use::converting, works-with-format::xml Section: devel Priority: optional Filename: pool/main/i/intltool/intltool_0.50.2-2_all.deb Package: intltool-debian Version: 0.35.0+20060710.1 Architecture: all Maintainer: Nicolas FRANCOIS (Nekral) Installed-Size: 125 Depends: perl, gettext Priority: optional Section: devel Filename: pool/main/i/intltool-debian/intltool-debian_0.35.0+20060710.1_all.deb Size: 29814 SHA256: 5fe92528c6a96c58f5b11163e959013df36d1fd1a6b3e43f6f24c17a775219cb SHA1: e049367b54bdbbf11f0c86753e116433428ec31c MD5sum: 7e5752236cf722e0cde9095ad3d04551 Description: Help i18n of RFC822 compliant config files Intltool is a bunch of scripts written by the GNOME project to internationalize many different file formats. This package is a slightly modified version which adds support for RFC822 compliant config files, e.g. Debconf templates files. Package: intone Version: 0.77-2 Architecture: armhf Maintainer: Debian freesmartphone.org Team Installed-Size: 266 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libecore-evas1 (>= 1.0.0), libecore1 (>= 1.0.0), libedbus1 (>= 1.0.0~), libeina1 (>= 1.0.0~beta), libelementary-svn-09, libevas1 (>= 1.0.0), libid3-3.8.3c2a, libogg0 (>= 1.0rc3), libsqlite3-0 (>= 3.5.9), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), mplayer Recommends: libevas1-engines-x Suggests: fso-frameworkd, bluez-alsa Homepage: http://intone.googlecode.com Priority: optional Section: sound Filename: pool/main/i/intone/intone_0.77-2_armhf.deb Size: 171764 SHA256: 96b545f683ef3cb3bc921a7c15c0428268aeb196c96b4694a507f305dadac247 SHA1: 18f93b38a3511438618091a42290baa43467fed0 MD5sum: 06f08e23468e4d4c87981fa467799d53 Description: Elementary based mplayer frontend for audio files Intone is an elementary based front end for mplayer written in C. . It has been written for Openmoko Freerunner, but will run on other systems, too. Apart from common things supported by most audio players intone supports A2DP headsets (audio via bluetooth) and interacts with FSO for call detection (pause music on call). Package: invada-studio-plugins-ladspa Source: invada-studio-plugins Version: 0.3.1-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 100 Depends: libc6 (>= 2.13-28) Suggests: ardour, hydrogen, jack-rack, muse, rosegarden Provides: ladspa-plugin Homepage: https://launchpad.net/invada-studio/+download Priority: optional Section: sound Filename: pool/main/i/invada-studio-plugins/invada-studio-plugins-ladspa_0.3.1-2_armhf.deb Size: 27474 SHA256: d7536b8763bd70b7c895046a72c48a2846372f038f68b5a2475623bb4956e246 SHA1: 3c882ead83cd17a1f0cee5fd22efa278e19bdb96 MD5sum: d6405ac19f91ec01bd2ecbe2b01813b6 Description: Invada Studio Plugins - a set of LADSPA audio plugins Utility Plugins Input Module (Stereo only) Alter gain, balance, width, phase on a stereo signal . Filter Plugins Low Pass (Mono and Stereo version) High Pass (Mono and Stereo version) Gentle high pass and low pass filters . Simulation Plugins Tube - (Mono and Stereo version) Valve warmth/distortion simulation . Dynamics Plugins Compressor - (Mono and Stereo version) Peak/RMS soft-clipping compressor . Reverb Plugins ER Reverb - (Mono and Stereo summing In) Early reflection based reverb. Package: invada-studio-plugins-lv2 Version: 1.2.0+repack0-4 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1824 Depends: libcairo2 (>= 1.2.4), libglade2-0, libglib2.0-0 (>= 2.16.0), libgnome2-0, libgtk2.0-0 (>= 2.12.0), libxml2, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libpango1.0-0 (>= 1.14.0) Suggests: ardour, zynjacku Provides: lv2-plugin Homepage: https://launchpad.net/invada-studio/+download Priority: optional Section: sound Filename: pool/main/i/invada-studio-plugins-lv2/invada-studio-plugins-lv2_1.2.0+repack0-4_armhf.deb Size: 643978 SHA256: ef2bc995c1a3b8e52fa3651d236671e00f2a2929fce2cebbff33b22758ca33ac SHA1: 6b2f21eada0aae9dc756fe664aad3dfe08854542 MD5sum: 9cfc561442007de9293b5471debf4e74 Description: Invada Studio Plugins - a set of LV2 audio plugins Distortion Plugins Tube - (Mono and Stereo version) Valve warmth/distortion simulation. . Delay Plugins Delay Munge - (Mono and Sum version) Delay with munged (non-linear) feedback & delay calculator. . Dynamics Plugins Compressor - (Mono and Stereo version) Peak/RMS soft-clipping compressor. . Filter Plugins Low Pass (Mono and Stereo version) High Pass (Mono and Stereo version) Gentle high pass and low pass filters. . Phaser Plugins Stereo Phaser (Mono, Stereo and Sum version) Long slow stereo phaser. . Reverb Plugins ER Reverb - (Mono and Sum version) Early reflection based reverb. . Utility Plugins Input Module (Stereo only) Alter gain, balance, width, phase on a stereo signal. Meters (Stereo only) Peak, VU, Phase and spectrograph meters. Test Tones (Mono only) Generate testtones at specific frequencies. Package: inventor-clients Source: inventor Version: 2.1.5-10-16 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 460 Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglw1-mesa | libglw1, libinventor0, libstdc++6 (>= 4.3.0), libx11-6, libxt6 Homepage: http://oss.sgi.com/projects/inventor/ Priority: optional Section: graphics Filename: pool/main/i/inventor/inventor-clients_2.1.5-10-16_armhf.deb Size: 229116 SHA256: 24cb97e285956325fd9f5cabe227ed183d32ca785fb35baa6785b27c93ba272f SHA1: 2aaa0cccfb2d33de2f9381983a2768f93d3631f6 MD5sum: 4066923ec651276809b00354475d0f86 Description: Open Inventor client programs This package contains Open Inventor file viewers and converters. . Open Inventor is an object-oriented 3D toolkit offering a comprehensive solution to interactive graphics programming problems. It presents a programming model based on a 3D scene database that simplifies graphics programming. It includes a large set of objects such as cubes, polygons, text, materials, cameras, lights, trackballs, handle boxes, 3D viewers, and editors can speed up your programming and extend your 3D program's capabilities. Package: inventor-data Source: inventor Version: 2.1.5-10-16 Installed-Size: 7487 Maintainer: Debian Science Team Architecture: all Size: 3144260 SHA256: f046c5b807537f16860059c2b78050a12397e2c8cfb8d6202ff06cea18b8df5e SHA1: af7ae550f1bf749a1f13ce941387940de9765ff0 MD5sum: e60507f56b7309b6fc26f9ad963ee6c3 Description: Open Inventor sample data files This package contains sample 3D model and scene files in inventor format. . Open Inventor is an object-oriented 3D toolkit offering a comprehensive solution to interactive graphics programming problems. It presents a programming model based on a 3D scene database that simplifies graphics programming. It includes a large set of objects such as cubes, polygons, text, materials, cameras, lights, trackballs, handle boxes, 3D viewers, and editors can speed up your programming and extend your 3D program's capabilities. Homepage: http://oss.sgi.com/projects/inventor/ Tag: devel::examples, devel::library, interface::3d, role::app-data, uitoolkit::motif, works-with::3dmodel Section: graphics Priority: optional Filename: pool/main/i/inventor/inventor-data_2.1.5-10-16_all.deb Package: inventor-demo Source: inventor Version: 2.1.5-10-16 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 2572 Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglw1-mesa | libglw1, libinventor0, libstdc++6 (>= 4.4.0), libx11-6, libxt6 Homepage: http://oss.sgi.com/projects/inventor/ Priority: optional Section: graphics Filename: pool/main/i/inventor/inventor-demo_2.1.5-10-16_armhf.deb Size: 1808614 SHA256: 055577f2fb3418320523ce82eb0972fbcae7e0f57ed30668bd683ec21b504988 SHA1: f267878277b197510ed969c8b08eb8e4152fd08f MD5sum: 23ded6dabc5a6790bec1598dbd16da03 Description: Open Inventor demonstration programs and example code This package includes several Open Inventor demos: a scene viewer (SceneViewer), a scene graph viewer and editor (gview), a maze game (maze), an extrusion editor (noodle), a 3D morphing tool (qmorf), a surface of revolution editor (revo), among others. . This package also contains example code, including the examples from the books "The Inventor Mentor", and "The Inventor Toolmaker". . Open Inventor is an object-oriented 3D toolkit offering a comprehensive solution to interactive graphics programming problems. It presents a programming model based on a 3D scene database that simplifies graphics programming. It includes a large set of objects such as cubes, polygons, text, materials, cameras, lights, trackballs, handle boxes, 3D viewers, and editors can speed up your programming and extend your 3D program's capabilities. Package: inventor-dev Source: inventor Version: 2.1.5-10-16 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 2558 Depends: libinventor0 (= 2.1.5-10-16), libc6-dev, xlibmesa-dev | libgl-dev, lesstif2-dev Conflicts: libcoin20-dev, libcoin20-doc Homepage: http://oss.sgi.com/projects/inventor/ Priority: optional Section: devel Filename: pool/main/i/inventor/inventor-dev_2.1.5-10-16_armhf.deb Size: 378024 SHA256: 70d11da612acc0d056518a19bc0681729a7dce31173d8295ee5a2d2284dd044d SHA1: ed7f7fcde1b2c72f32fb4b28b44394672ef09c8f MD5sum: db523814d71d48f59d38dbad48be9c7c Description: Open Inventor development files This package contains the files required to develop Open Inventor applications. . Open Inventor is an object-oriented 3D toolkit offering a comprehensive solution to interactive graphics programming problems. It presents a programming model based on a 3D scene database that simplifies graphics programming. It includes a large set of objects such as cubes, polygons, text, materials, cameras, lights, trackballs, handle boxes, 3D viewers, and editors can speed up your programming and extend your 3D program's capabilities. Package: inventor-doc Source: inventor Version: 2.1.5-10-16 Installed-Size: 651 Maintainer: Debian Science Team Architecture: all Conflicts: inventor-dev (<= 2.1.5-10-1), libcoin20-doc, libcoin40-doc Size: 632762 SHA256: 6d111a51e42e2c7927d196bb873b98cdf7261c3517a98c65cbdf8cc0717b6181 SHA1: 59d6dd24341a775b25c5fcf26027be7674eafc6b MD5sum: a804426f660287772a6593794796f93c Description: Open Inventor documentation This package contains the Open Inventor documentation files. . Open Inventor is an object-oriented 3D toolkit offering a comprehensive solution to interactive graphics programming problems. It presents a programming model based on a 3D scene database that simplifies graphics programming. It includes a large set of objects such as cubes, polygons, text, materials, cameras, lights, trackballs, handle boxes, 3D viewers, and editors can speed up your programming and extend your 3D program's capabilities. Homepage: http://oss.sgi.com/projects/inventor/ Tag: devel::doc, devel::library, interface::3d, made-of::man, role::documentation, uitoolkit::motif, works-with::3dmodel Section: doc Priority: optional Filename: pool/main/i/inventor/inventor-doc_2.1.5-10-16_all.deb Package: iodbc Source: libiodbc2 Version: 3.52.7-2+deb7u1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 621 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libiodbc2 (>= 3.52.7), libpango1.0-0 (>= 1.14.0) Homepage: http://www.iodbc.org/ Priority: optional Section: misc Filename: pool/main/libi/libiodbc2/iodbc_3.52.7-2+deb7u1_armhf.deb Size: 262624 SHA256: 482ed8b29fd334876ce44460b62fc9a19fc9cb2247af6a772f025df615aed7e3 SHA1: a3789f7c53559f597392cd3af615bd922a9e6b00 MD5sum: eb65bcb5a67ea56b1ca859c26b962536 Description: GTK+ config frontend for the iODBC Driver Manager The iODBC (intrinsic Open Database Connectivity) driver manager is compatible with the ODBC 2.x and 3.x specification and performs all the jobs of a ODBC driver manager (i.e. driver loading, parameters and function sequence checking, driver's function invoking, etc). Any ODBC driver working with ODBC 2.0 and 3.x driver manager will also work with iODBC driver manager and vice versa. . Applications (using ODBC function calls) linked with iODBC driver manager will be able to simultaneously access different types of data sources within one process through suitable iODBC drivers. . This package contains the configuration binaries. Package: iodine Version: 0.6.0~rc1-12+deb7u1 Architecture: armhf Maintainer: gregor herrmann Installed-Size: 233 Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, adduser, udev | makedev Suggests: dnsutils, fping, gawk, ipcalc, iproute Homepage: http://code.kryo.se/iodine Priority: extra Section: net Filename: pool/main/i/iodine/iodine_0.6.0~rc1-12+deb7u1_armhf.deb Size: 105412 SHA256: 35c42d418666bf74aed447df0821c94f7dc511485a490782231799e15fdb7b52 SHA1: fd7c8b54cdd8f89dbdeddb42765c6fb4e98f02ee MD5sum: f0284b26fbb3c395ec51afd14d52e8f0 Description: tool for tunneling IPv4 data through a DNS server This is a piece of software that lets you tunnel IPv4 data through a DNS server. This can be usable in different situations where internet access is firewalled, but DNS queries are allowed. . iodine is similar to nstx but has password authentication, brings up the tun interface automatically and lets the user specify the IP address as a command line option. Package: iog Version: 1.03-3.6 Installed-Size: 102 Maintainer: Mark Purcell Architecture: all Depends: libsnmp-session-perl, ucf, debconf, debconf (>= 0.5) | debconf-2.0 Recommends: snmpd Size: 23338 SHA256: 79221097a59fe83a4b5de80fd19f79204c53029a26c5d92b94d9925626eaae87 SHA1: 7b2efe9128c2754287ae9a22529e1150bec25410 MD5sum: 70549cfc00f7f8204e06f4cc35d6f9fc Description: network I/O grapher IOG is a network traffic grapher designed to record cumulative kB/MB/GB statistics per hour/day/month. It is intended to be simple, fast (supporting thousands of hosts), and well integrated with MRTG. Data for each host is updated hourly and HTML graphs are created. It uses a data consolidation algorithm which allows for a small, non-growing database file for each host. No external graphing libraries or executables are required. Homepage: http://www.dynw.com/iog/ Tag: admin::logging, interface::commandline, network::configuration, role::program, scope::utility, use::monitor, works-with::image, works-with::image:vector Section: net Priority: optional Filename: pool/main/i/iog/iog_1.03-3.6_all.deb Package: iogerman Source: hkgerman Version: 1:2-28 Architecture: armhf Maintainer: Roland Rosenfeld Installed-Size: 2586 Depends: debconf (>= 0.5.0.0) | debconf-2.0, ispell (>= 3.3.02), dictionaries-common (>= 0.20.0) Suggests: wogerman Conflicts: igerman Replaces: igerman Provides: ispell-dictionary Priority: optional Section: text Filename: pool/main/h/hkgerman/iogerman_2-28_armhf.deb Size: 796382 SHA256: 6e47fa651e5b17e9f8145d36dccd8124e82ad347bdd823c4ebfce9ae44050720 SHA1: a8f0f62658e47d4b4b7073fa945a286a2fbfdf16 MD5sum: 90afbad8aed15c2604494b73fd2a5295 Description: Old German orthography dictionary for ispell This is the old German dictionary for ispell as put together by heinz.knutzen@web.de. . This dictionary refers to the traditional German orthography (de-DE-1901). For the new orthography (de-DE-1996) see package ingerman. Package: iok Version: 2.1.2-1 Architecture: armhf Maintainer: Debian-IN Team Installed-Size: 794 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libunique-3.0-0 (>= 2.90.1), libx11-6, libxml2 (>= 2.7.4), libxtst6 Homepage: https://fedorahosted.org/iok/ Priority: extra Section: utils Filename: pool/main/i/iok/iok_2.1.2-1_armhf.deb Size: 110456 SHA256: d68b88aef9af20dec6277e0e2166afb06c55863f29c43f0ca53d04419c1566e7 SHA1: 36746463bb29d9ae18117014e58f5c33268dfdee MD5sum: ff40c744800cd563d4d0b31f640bddb4 Description: Indic Onscreen Keyboard It shows onscreen keyboard for 12 Indian languages. The languages are - Assamese, Bengali, Gujarati, Hindi, Kannada, Marathi, Malayalam, Punjabi, Oriya, Sindhi, Tamil, Telugu. . Using drop down menu you can select any of above supported Indian language. Drop down menu listing depends on available inscript keymaps at location /usr/share/m17n/. You can then start directly clicking on keys appearing in iok graphical interface. It will not only show you keyvalues (characters) for English keys on your QWERTY keyboard from selected inscript keymap but also allows you to send those characters to currently active window. Package: ion Version: 3.0.1~dfsg1-1 Architecture: armhf Maintainer: Leo Iannacone Installed-Size: 2225 Depends: libion0 (= 3.0.1~dfsg1-1), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0) Suggests: ion-doc Homepage: https://ion.ocp.ohiou.edu/ Priority: extra Section: net Filename: pool/main/i/ion/ion_3.0.1~dfsg1-1_armhf.deb Size: 1006228 SHA256: fc83517284e104fb211c853efeb46123a2a58161d08ccc9d1bccbf9e2fcef32e SHA1: eb1cf7d3f1c6c580125ed827727f79b486b80d26 MD5sum: e81b70916aa84eab8646a9550a52c96e Description: NASA implementation of Delay-Tolerant Networking (DTN) Interplanetary Overlay Network (ION) software distribution is an implementation of Delay-Tolerant Networking (DTN) architecture as described in Internet RFC 4838. . This is a suite of communication protocol implementations designed to support mission operation communications across an end-to-end interplanetary network, which might include on-board (flight) subnets, in-situ planetary or lunar networks, proximity links, deep space links, and terrestrial internets. . Included in the ION software distribution are the following packages: * ici (interplanetary communication infrastructure) a set of libraries that provide flight-software-compatible support for functions on which the other packages rely * bp (bundle protocol), an implementation of the Delay-Tolerant Networking (DTN) architecture's Bundle Protocol. * dgr (datagram retransmission), a UDP reliability system that implements congestion control and is designed for relatively high performance. * ltp (licklider transmission protocol), a DTN convergence layer for reliable transmission over links characterized by long or highly variable delay. * ams - an implementation of the CCSDS Asynchronous Message Service. * cfdp - a class-1 (Unacknowledged) implementation of the CCSDS File Delivery Protocol. . This package contains the binary files. Package: ion-doc Source: ion Version: 3.0.1~dfsg1-1 Installed-Size: 409 Maintainer: Leo Iannacone Architecture: all Recommends: ion Size: 186712 SHA256: da2ccf802fa40fcc501be5dad7caea47f5d3a23064119b9b04a897cc3abaaf15 SHA1: 9bb7365ced1ccf493518136226ce0d4ae9bf6e06 MD5sum: d716986d49b08bddbe7fd4c423aa306e Description: Interplanetary Overlay Network - examples and documentation Interplanetary Overlay Network (ION) software distribution is an implementation of Delay-Tolerant Networking (DTN) architecture as described in Internet RFC 4838. . This is a suite of communication protocol implementations designed to support mission operation communications across an end-to-end interplanetary network, which might include on-board (flight) subnets, in-situ planetary or lunar networks, proximity links, deep space links, and terrestrial internets. . Included in the ION software distribution are the following packages: * ici (interplanetary communication infrastructure) a set of libraries that provide flight-software-compatible support for functions on which the other packages rely * bp (bundle protocol), an implementation of the Delay-Tolerant Networking (DTN) architecture's Bundle Protocol. * dgr (datagram retransmission), a UDP reliability system that implements congestion control and is designed for relatively high performance. * ltp (licklider transmission protocol), a DTN convergence layer for reliable transmission over links characterized by long or highly variable delay. * ams - an implementation of the CCSDS Asynchronous Message Service. * cfdp - a class-1 (Unacknowledged) implementation of the CCSDS File Delivery Protocol. . This package contains the documentation and some configuration example. Homepage: https://ion.ocp.ohiou.edu/ Section: doc Priority: extra Filename: pool/main/i/ion/ion-doc_3.0.1~dfsg1-1_all.deb Package: ioping Version: 0.6-1 Architecture: armhf Maintainer: Apollon Oikonomopoulos Installed-Size: 59 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://code.google.com/p/ioping Priority: extra Section: admin Filename: pool/main/i/ioping/ioping_0.6-1_armhf.deb Size: 13342 SHA256: 435ab4328f4427b2c94cd9c4fa91152b5a34788e394d8714540d01d7b52819f1 SHA1: dbae080453984582cc3f9d920d09da19c78e546c MD5sum: dc1bf8174ebffe25c1f839c0beeadeb3 Description: Simple disk I/O latency measuring tool ioping monitors disk I/O latency in real time. The main idea behind ioping is to have a utility similar to ping, which will show disk I/O latency in the same way ping shows network latency. Package: ioquake3 Version: 1.36+svn2287-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 2949 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgl1-mesa-glx | libgl1, libjpeg8 (>= 8c), libogg0 (>= 1.0rc3), libopenal1, libsdl1.2debian (>= 1.2.11), libspeex1 (>= 1.2~beta3-1), libspeexdsp1 (>= 1.2~beta3.2-1), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), zlib1g (>= 1:1.1.4) Recommends: x11-utils | zenity | kdebase-bin Breaks: openarena (<< 0.8.5-10~) Homepage: http://www.ioquake3.org/ Priority: optional Section: games Filename: pool/main/i/ioquake3/ioquake3_1.36+svn2287-1_armhf.deb Size: 1647430 SHA256: a3c58beb71a683b59371b17a3eefba3e329430dec05056a9294ee5f9fba724ec SHA1: 11eb75f13130bbdf345c4f16b414b39799a9a0fc MD5sum: 0edfb022fe2aadd35ba6b8aba8eeb4c3 Description: Game engine for 3D first person shooter games This package installs a modified version of the ioQuake3 game engine, which can be used to play various games based on that engine, such as OpenArena, Quake III: Arena, World of Padman and Urban Terror. . This package alone isn't of any use; to get a playable game, install openarena or another suitable set of game data. Package: ioquake3-dbg Source: ioquake3 Version: 1.36+svn2287-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 4256 Depends: ioquake3 (= 1.36+svn2287-1) | ioquake3-server (= 1.36+svn2287-1) Homepage: http://www.ioquake3.org/ Priority: extra Section: debug Filename: pool/main/i/ioquake3/ioquake3-dbg_1.36+svn2287-1_armhf.deb Size: 3684136 SHA256: 2d52114e3dcde8ce1c8520e0a2079269e49eacc66295bcfbd33f493c2a7a9891 SHA1: b22705c979008cf384e17484b2f3bf21a63afb09 MD5sum: fe4525e9a9dc21caff79fd63f987dd9d Description: debug symbols for the ioquake3 game engine This package contains debug symbols for the ioQuake3 game engine, which can be used to analyze crashes in games that use Debian's shared ioquake engine. Package: ioquake3-server Source: ioquake3 Version: 1.36+svn2287-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1796 Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Homepage: http://www.ioquake3.org/ Priority: optional Section: games Filename: pool/main/i/ioquake3/ioquake3-server_1.36+svn2287-1_armhf.deb Size: 961866 SHA256: 575e9299dbf2cc72a1a9dd832203b8f578d00af99ce5478df34c4e832d926d16 SHA1: 15d53bccec258cbcbb7779c916a4793facef99b7 MD5sum: c71471d94785f812034b08e26173261e Description: Standalone server for ioQuake3 based games This package installs a modified version of the ioQuake3 dedicated server, which can be used to serve various games based on that engine, such as OpenArena, Quake III: Arena, World of Padman and Urban Terror. . This package alone isn't of any use; to get a usable server, install openarena-server or another suitable set of server data. Package: iotop Version: 0.4.4-4 Architecture: armhf Maintainer: Paul Wise Installed-Size: 139 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Homepage: http://guichaz.free.fr/iotop/ Priority: optional Section: admin Filename: pool/main/i/iotop/iotop_0.4.4-4_armhf.deb Size: 30030 SHA256: ce887ba79bfcec94b598f87e11299c4d8661ff34e45b24d3141988fd3cde063a SHA1: 9bfc65a9de985916b4c34289403dd4f61db65a3b MD5sum: 9d8c82fb292b8b3b30ad3046568fb19f Description: simple top-like I/O monitor iotop does for I/O usage what top(1) does for CPU usage. It watches I/O usage information output by the Linux kernel and displays a table of current I/O usage by processes on the system. It is handy for answering the question "Why is the disk churning so much?". . iotop can only run under a Linux 2.6.20 or later kernel built with the CONFIG_TASKSTATS, CONFIG_TASK_DELAY_ACCT, CONFIG_TASK_IO_ACCOUNTING and CONFIG_VM_EVENT_COUNTERS build config options on. Package: ip2host Version: 1.10-2 Installed-Size: 51 Maintainer: Gunnar Wolf Architecture: all Size: 9242 SHA256: d61a39a275b0fb7ee3e96e7765058527418d8bdb73dd73b9ea95198fbc6d56cc SHA1: b6ca0600638380ce52b49340ca1654965a425d19 MD5sum: bb2cb67f3081329a40298a874152457c Description: Resolve IPs to hostnames in web server logs This script is a drop-in replacement for the logresolve.pl script distributed with the Apache web server. . ip2host has the same basic design of forking children to handle the DNS resolution in parallel, but multiplexes the communication to minimize the impact of slow responses. This results in a significant speed improvement (approximately 10x faster), and the performance degrades more gracefully as the DNS timeout value is increased. Tag: implemented-in::perl, interface::commandline, protocol::dns, role::program, scope::utility, security::log-analyzer, works-with::logfile Section: web Priority: optional Filename: pool/main/i/ip2host/ip2host_1.10-2_all.deb Package: ipadic Version: 2.7.0+main-3 Architecture: armhf Maintainer: Osamu Aoki Installed-Size: 28943 Depends: ipadic-common (= 2.7.0+main-3) Recommends: chasen Homepage: http://chasen-legacy.sourceforge.jp/ Priority: optional Section: misc Filename: pool/main/i/ipadic/ipadic_2.7.0+main-3_armhf.deb Size: 8796718 SHA256: 23b9c926478038e1ca52dd221a8199af7985549a5f2b639c3e2dd383e174b2a6 SHA1: 0af28e22ec6656f3b1a85533dcbc84a23c2976ae MD5sum: 7355ec45e2be797fe26dded09afe7b1f Description: Dictionaries for ChaSen (Binary data) Dictionaries for ChaSen, a morphological analysis system to segment and tokenize Japanese text string. It provides many additional information (pronunciation, semantic information, and others). . It is based on ICOT dictionary. . This package contains architecture dependent binary data. Package: ipadic-common Source: ipadic Version: 2.7.0+main-3 Installed-Size: 31941 Maintainer: Osamu Aoki Architecture: all Recommends: chasen Size: 4739730 SHA256: 74b2a4aae2d25be1bd38bf8dce7033ba6a45c12dd48e76b21480bd32a4e92a19 SHA1: 2e624337eccc23cb32b712979ac7fecd5d9fe549 MD5sum: bb2cb12b74d99c283aa25e86dbe8b258 Description: Dictionaries for ChaSen (UTF-8 text) Dictionaries for ChaSen, a morphological analysis system to segment and tokenize Japanese text string. It provides many additional information (pronunciation, semantic information, and others). . It is based on ICOT dictionary. . This package contains a large dictionary data in UTF-8 text. Homepage: http://chasen-legacy.sourceforge.jp/ Section: misc Priority: optional Filename: pool/main/i/ipadic/ipadic-common_2.7.0+main-3_all.deb Package: ipband Version: 0.8.1-3 Architecture: armhf Maintainer: Mats Erik Andersson Installed-Size: 109 Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), exim4 | mail-transport-agent Homepage: http://ipband.sourceforge.net/ Priority: optional Section: net Filename: pool/main/i/ipband/ipband_0.8.1-3_armhf.deb Size: 31436 SHA256: a59710bfa30dc994ed78cdb0c6d4f356dbece37d0341caab50beeb94842d0e44 SHA1: 065317d97faa100ca12ba7ad25ceabd8f2a9a1ed MD5sum: 51aad1152c95cc0f5d5ff02e3b4a1d90 Description: daemon for subnet bandwidth monitoring with reporting via email This is a daemon which can monitor as many different subnets (or individual hosts, by specifying a "subnet" of /32) as you'd like. The reporting facility will only be triggered when a defined bandwidth level had been exceeded for a defined time. . Information reported includes the connections which are taking up the most bandwidth (ip address and port pairs). Reporting is done via email. Package: ipcalc Version: 0.41-2.1 Installed-Size: 52 Maintainer: Bartosz Fenski Architecture: all Depends: perl Size: 26672 SHA256: b90fb0454bf4b0b5daca651b6c3f06211f67dbdefe2028900b847dc188b12e3c SHA1: 648c6c25b45468817e3fd4109929a4f9df3a2dac MD5sum: 11af3275fa4461c1e662e004c560ea7d Description: parameter calculator for IPv4 addresses ipcalc takes an IP address and netmask and calculates the resulting broadcast, network, Cisco wildcard mask, and host range. By giving a second netmask, you can design sub- and supernetworks. It is also intended to be a teaching tool and presents the results as easy-to-understand binary values. . Originally, ipcalc was intended for use from the shell prompt, but a CGI wrapper is provided to enable colorful HTML display through a webserver. Homepage: http://jodies.de/ipcalc Tag: implemented-in::perl, interface::commandline, interface::web, role::program, scope::utility, use::converting, web::cgi Section: net Priority: optional Filename: pool/main/i/ipcalc/ipcalc_0.41-2.1_all.deb Package: ipcheck Version: 0.233-1.1 Installed-Size: 240 Maintainer: Mark Purcell Architecture: all Depends: python Size: 42584 SHA256: 76006f5a6b57305ed7aff0a1d0c6c0defebe0f32deac0dfc99efc439bb6b2613 SHA1: 5fc02b97c956cfc0bb694d6a283cb5c766e2a4e8 MD5sum: e05286399bbc2a310ad2fb403ee55701 Description: Dyndns.org client to register your dynamic IP address The Dynamic DNS service allows you to alias a dynamic IP address to a static hostname, allowing your computer to be more easily accessed from various locations on the Internet. . This is a simple Python script to register your dynamic IP address using the NIC V2.0 protocol. . The script is very easy to use and supports multiple methods for determining the external IP (parsing interfaces on the local machine, web based IP detection, direct support for devices from Linksys, Netgear, Draytek, Netopia, HawkingTech, Watchgard, Cayman, Nexland, ZyXEL, SMC, Compex, UgatePlus, DLink and Cisco). It also supports the dyndns offline mode. . Starting with version 0.141 ipcheck uses https by default and will fall back to http if a timeout occurs. Tag: implemented-in::python, interface::commandline, network::configuration, protocol::dns, protocol::http, protocol::ssl, role::program, scope::utility, use::configuring Section: net Priority: optional Filename: pool/main/i/ipcheck/ipcheck_0.233-1.1_all.deb Package: ipe Version: 7.1.2-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 4758 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libipe7.1.2, liblua5.1-0, libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), texlive-latex-base, gsfonts Recommends: lua5.1 Suggests: texlive-latex-recommended Homepage: http://tclab.kaist.ac.kr/ipe/ Priority: optional Section: graphics Filename: pool/main/i/ipe/ipe_7.1.2-1_armhf.deb Size: 1231840 SHA256: 05ec5208947a6685ad0109cbc763d878e132c3866652a1dbc3695dd0c834b550 SHA1: d7b895225fe9c71ab1e9b72537edaf97be1a61d3 MD5sum: e070ae6d64190c20aa2c84c4eb569667 Description: drawing editor for creating figures in PDF or PS formats Ipe supports making small figures for inclusion into LaTeX documents as well as making multi-page PDF presentations Ipe's main features are: * Entry of text as LaTeX source code. This makes it easy to enter mathematical expressions, and to reuse the LaTeX-macros of the main document. In the display text is displayed as it will appear in the figure. * Produces pure Postscript/PDF, including the text. Ipe converts the LaTeX-source to PDF or Postscript when the file is saved. * It is easy to align objects with respect to each other (for instance, to place a point on the intersection of two lines, or to draw a circle through three given points) using various snapping modes. * Users can provide ipelets (Ipe plug-ins) to add functionality to Ipe. This way, Ipe can be extended for each task at hand. * The text model is based on Unicode, and has been tested with Korean, Chinese, and Japanese. . Package lua5.1 is recommended if using Ipe with LaTeX. . Package texlive-latex-recommended enables unicode text entry (for accented characters). Package: ipe5toxml Version: 20051114-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 61 Depends: libc6 (>= 2.7) Recommends: ipe Homepage: http://ipe7.sourceforge.net/ Priority: optional Section: graphics Filename: pool/main/i/ipe5toxml/ipe5toxml_20051114-1_armhf.deb Size: 12466 SHA256: 3bd13f1853ec4d3f1d39c7cce21aa3fb01885303f4ccff378405df6d0d155839 SHA1: d9b806dd7c216c52e36ff2020f9a619f8d796afc MD5sum: 83473daec4dcd749ce6ec4874e9db3ad Description: converts Ipe5 figures to an XML file readable by Ipe Converts figures that were made with Ipe 5 to the format understood by Ipe 6. You can then run ipe6upgrade (from package ipe) to convert them to Ipe 7 format. Ipe is a drawing editor for creating figures, provided in package ipe. Package: iperf Version: 2.0.5-3 Architecture: armhf Maintainer: Roberto Lumbreras Installed-Size: 153 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: http://iperf.sourceforge.net/ Priority: optional Section: net Filename: pool/main/i/iperf/iperf_2.0.5-3_armhf.deb Size: 54332 SHA256: fb2fc4f61755dc9cac4a01c00a18b31a6dba3a6de288dc386a721f2b02da0106 SHA1: 495fdd034c75497dcfc6e5e823c69e22a350ed07 MD5sum: b3d557fa10a68ee312ddff38cf77dc64 Description: Internet Protocol bandwidth measuring tool Iperf is a modern alternative for measuring TCP and UDP bandwidth performance, allowing the tuning of various parameters and characteristics. . Features: * Measure bandwidth, packet loss, delay jitter * Report MSS/MTU size and observed read sizes. * Support for TCP window size via socket buffers. * Multi-threaded. Client and server can have multiple simultaneous connections. * Client can create UDP streams of specified bandwidth. * Multicast and IPv6 capable. * Options can be specified with K (kilo-) and M (mega-) suffices. * Can run for specified time, rather than a set amount of data to transfer. * Picks the best units for the size of data being reported. * Server handles multiple connections. * Print periodic, intermediate bandwidth, jitter, and loss reports at specified intervals. * Server can be run as a daemon. * Use representative streams to test out how link layer compression affects your achievable bandwidth. Package: ipfm Version: 0.11.5-4.1 Architecture: armhf Maintainer: Sam Hocevar (Debian packages) Installed-Size: 111 Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8) Priority: optional Section: net Filename: pool/main/i/ipfm/ipfm_0.11.5-4.1_armhf.deb Size: 28854 SHA256: e99e4dcc9ef0ce061f782562bb130c192285c684489e72c379d08cb1fd7abfa8 SHA1: 536c31ad745eea9c6d79c2961cf128a47db687a6 MD5sum: 7befa16122353e3632d71e25703c0547 Description: a bandwidth analysis tool IPFM counts how much data was sent and received by specified hosts through an Internet link. Package: ipgrab Version: 0.9.10-1 Architecture: armhf Maintainer: Dennis Krzyzaniak Installed-Size: 177 Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), dpkg (>= 1.15.4) | install-info Priority: optional Section: net Filename: pool/main/i/ipgrab/ipgrab_0.9.10-1_armhf.deb Size: 88132 SHA256: e511c40b8ea174d991111722bf91a65035d143506064b425a317b688456babdd SHA1: 4e50003f32b0c59d4ac127df40dfb8fddf04cde7 MD5sum: bf2043d5f967d7e62d5f54326c0bafd4 Description: tcpdump-like utility that prints detailed header information ipgrab is a network debugging utility not unlike tcpdump except that it prints out detailed header field information for data link, network and transport layers. Package: ipheth-utils Source: ipheth Version: 1.0-3 Architecture: armhf Maintainer: Paul McEnery Installed-Size: 47 Depends: libc6 (>= 2.13-28), libimobiledevice2 (>= 0.9.7) Homepage: http://giagio.com/wiki/moin.cgi/iPhoneEthernetDriver Priority: extra Section: net Filename: pool/main/i/ipheth/ipheth-utils_1.0-3_armhf.deb Size: 7230 SHA256: d2a86d84e4f6c55e9abdd47d75c3a46a223d68bc3e34c9578d5a4804091522f5 SHA1: 9dbaa8b813dabf4695878a63c88c9f6c6b5e5f00 MD5sum: 406a14409c83a17fd64003b4e4be6901 Description: USB tethering driver support utilities for the iPhone Internet tethering driver for the iPhone which allows Linux systems to make use of the phone's internet connection using a USB cable. Unlike other solutions out there, you don't need to jailbreak your phone or install third-party proxy applications. . This package provides the support utilities required to automatically set up the tethered connection. Package: ipip Version: 1.1.9 Architecture: armhf Maintainer: Bdale Garbee Installed-Size: 106 Depends: libc6 (>= 2.13-28) Priority: extra Section: net Filename: pool/main/i/ipip/ipip_1.1.9_armhf.deb Size: 21320 SHA256: 64283c56b02b4742736a233700a2ea8ca680682e144bbfbac93997f6fbce2f50 SHA1: 1754e588b77442eeb52f19682de31bc4094c4407 MD5sum: 5cac87d3d466a6f83bc34c0c5bf7fe2d Description: IP over IP Encapsulation Daemon This daemon provides an alternative to the kernel-resident support for IP encapsulation links. It is better suited for situations where there are many encapsulation connections to be managed, such as is the case for amateur radio interconnection of network 44. Also, because the daemon is outside the kernel, it provides an excellent environment for experimenting with alternate mechanisms for distributing encapsulation routing updates. . If you need one encapsulation link, use the kernel's built-in support. If you need a lot of encapsulation links, give this daemon a try. Package: ipkungfu Version: 0.6.1-6 Architecture: armhf Maintainer: Luis Uribe Installed-Size: 194 Depends: iptables (>= 1.2.7), iproute, module-init-tools, libc6 (>= 2.4) Priority: optional Section: net Filename: pool/main/i/ipkungfu/ipkungfu_0.6.1-6_armhf.deb Size: 52710 SHA256: add36c3483b017e4700852f61c229c7972f2ae2e53a4da4002ddd821cc39966e SHA1: fddcf3083e5f02d3646b85e7608cba2957016c59 MD5sum: 127ff1e2ee752c77d4948c78b69c472a Description: iptables-based Linux firewall ipkungfu is an advanced iptables script that can be also used by people who have only limited knowledge of proper security and IP filtering practices. Many advanced features are included in ipkungfu, although IPv6 support is still not included. . Homepage http://www.linuxkungfu.org Package: ipmitool Version: 1.8.11-5 Architecture: armhf Maintainer: Matthew Johnson Installed-Size: 882 Depends: libc6 (>= 2.7), libreadline6 (>= 6.0), libssl1.0.0 (>= 1.0.0), lsb-base Suggests: openipmi Priority: optional Section: utils Filename: pool/main/i/ipmitool/ipmitool_1.8.11-5_armhf.deb Size: 387206 SHA256: dfc6f6e6c313c9b1170ff48884a7043c8ac369bc83415dc541323f19cee81654 SHA1: 6486f91b88f8d9cfff927a27adcf4d67b9863b12 MD5sum: 9ee300cbb0b7e55b7f35cd5aad80dfee Description: utility for IPMI control with kernel driver or LAN interface A utility for managing and configuring devices that support the Intelligent Platform Management Interface. IPMI is an open standard for monitoring, logging, recovery, inventory, and control of hardware that is implemented independent of the main CPU, BIOS, and OS. The service processor (or Baseboard Management Controller, BMC) is the brain behind platform management and its primary purpose is to handle the autonomous sensor monitoring and event logging features. . The ipmitool program provides a simple command-line interface to this BMC. It features the ability to read the sensor data repository (SDR) and print sensor values, display the contents of the System Event Log (SEL), print Field Replaceable Unit (FRU) inventory information, read and set LAN configuration parameters, and perform remote chassis power control. Package: ipolish Version: 20120520-1 Installed-Size: 1368 Maintainer: Robert Luberda Architecture: all Provides: ispell-dictionary Depends: dictionaries-common (>= 1.10.6~), ispell, debconf (>= 0.5) | debconf-2.0, perl Suggests: wpolish Size: 1109896 SHA256: e39f5005ec077ec5ac5570c5e58633b60c8a45a9a23a68a011a1c3e9fa2cfc6b SHA1: 7d87561698f751dc0e527d5e5b3fd157d306754b MD5sum: bde5b368f1b3a642722b0c96a0e5b9be Description: Polish dictionary for ispell This is the Polish dictionary, to be used with the ispell program, version 3.1.04 and following. The dictionary contains roughly 200,000 roots, which expand to about 2,500,000 words. Homepage: http://www.sjp.pl/ Tag: culture::polish, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/ipolish/ipolish_20120520-1_all.deb Package: iportuguese Source: ispell.pt Version: 20120604-1 Installed-Size: 283 Maintainer: Agustin Martin Domingo Architecture: all Provides: ispell-dictionary Depends: ispell, debconf (>= 0.5) | debconf-2.0, dictionaries-common (>= 0.20) Size: 169548 SHA256: bb33e68f5832cabc4ab31d11de74edc3f9d6e8fd6830a11017598e55f72d123d SHA1: 940c48f9850819f786850da82808a7d64453f1e2 MD5sum: 15aeb01b15f97edb901a050fa4c23f6f Description: European Portuguese dictionary for ispell This is the Portuguese dictionary for ispell currently developed by the Informatics Department at Minho's University in Portugal. Homepage: http://natura.di.uminho.pt/wiki/doku.php?id=dicionarios:ispell Tag: culture::portuguese, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/ispell.pt/iportuguese_20120604-1_all.deb Package: ippl Version: 1.4.14-12.1 Architecture: armhf Maintainer: Marc Haber Installed-Size: 136 Depends: libc6 (>= 2.7), perl5-base, logrotate, adduser (>> 3.51), lsb-base (>= 3.0-6) Homepage: http://pltplp.net/ippl/ Priority: extra Section: net Filename: pool/main/i/ippl/ippl_1.4.14-12.1_armhf.deb Size: 42352 SHA256: f4a8e2a5936e878d14064e33e59650586682985aa0c33a45a8aef214362060cd SHA1: f3aba9431be7aa6c830442f7c98612ee9382d4ba MD5sum: 6685d1ae0fa869e16688e3af778cc6f7 Description: IP protocols logger writes information about incoming ICMP messages, TCP connections and UDP datagrams to syslog. . It is highly configurable and has a built-in DNS cache. . Please note that upstream is rather inactive lately (no release since 2001), and that there are some rather nasty bugs. . An incomplete list of the bugs includes: - random packets don't get logged sometimes - stops logging at all after some weeks - ipv6 never got implemented - documentation is out of sync. . Trying to fix these bugs is not easy. Please do not expect the Debian maintainer to do this, but patches are appreciated. . Please consider using a fully-grown intrusion detection system (like snort) instead of ippl. . Upstream URL: http://pltplp.net/ippl/ Package: ippl-dbg Source: ippl Version: 1.4.14-12.1 Architecture: armhf Maintainer: Marc Haber Installed-Size: 128 Depends: ippl (= 1.4.14-12.1) Homepage: http://pltplp.net/ippl/ Priority: extra Section: debug Filename: pool/main/i/ippl/ippl-dbg_1.4.14-12.1_armhf.deb Size: 41554 SHA256: d03085b734440b18f07ba6c1a56885181eddf398f1f580a76a2937ec64e3ca38 SHA1: dcf7f941d7a727d7921848c7680659ec7bca8a0d MD5sum: 9fd334a8b0c500e8cdf90702e93fceca Description: debugging systems for ippl writes information about incoming ICMP messages, TCP connections and UDP datagrams to syslog. . This package contains the debugging symbols for ippl. . Upstream URL: http://pltplp.net/ippl/ Package: ipppd Source: isdnutils Version: 1:3.25+dfsg1-3.3~deb7u1 Architecture: armhf Maintainer: Rolf Leggewie Installed-Size: 367 Depends: isdnutils-base (= 1:3.25+dfsg1-3.3~deb7u1), debconf (>= 1.2.9) | debconf-2.0, ppp, ucf, libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), libssl1.0.0 (>= 1.0.0) Suggests: pdnsd Homepage: http://www.isdn4linux.de Priority: extra Section: net Filename: pool/main/i/isdnutils/ipppd_3.25+dfsg1-3.3~deb7u1_armhf.deb Size: 183828 SHA256: 89ebb293712dc72301a95446ec18f8b322a6724e86dec0022f0ad4a2b78bcf0a SHA1: c87e69fa6bfaea99e75455823ecb5795bf40a436 MD5sum: d83ed53e6fe9af94bf2af341feff8e88 Description: ISDN utilities - PPP daemon This package provides the specially modified version of the Point to Point Protocol daemon needed to connect to the Internet through an internal ISDN card. It also supports dial-in connections from Windows clients. Package: iprelay Version: 0.71-4 Installed-Size: 64 Maintainer: martin f. krafft Architecture: all Depends: perl Size: 26564 SHA256: 310b8cb1a02bcc36f0d3c7bc6b8b05707e29ab0e6b0d4a1464115efce0fa402e SHA1: 786481d982d0c6028f5c0c6966b5881306dbfd47 MD5sum: e92605302c548127d5159c801b9fa0fe Description: User-space bandwidth shaping TCP proxy daemon iprelay can shape the TCP traffic forwarded through it to a specified bandwidth and allow this bandwidth to be changed on-the-fly. Multiple data streams to different sockets may be shaped to the same total bandwidth, much like a traffic shaping router would. However, this application runs in user space, and works by acting as a TCP proxy. . Here's what the author would like you to know: ip_relay sprang from the fact that I use a modem for home Internet connectivity, and once a large download has started, other Internet activities: telnet, surfing, VOIP, are largely useless. With ip_relay, you can suddenly decide to shape your downloads to 50% of your available bandwidth, and make use of the more interactive applications. . After using ip_relay for a while, it became obvious to me that it had another use: simulating bandwidth limits for other applications. Most notably was testing VNC over an ethernet connection, but at modem speeds. . The original software is called ip_relay (http://www.stewart.com.au/ip_relay/) but its name has been changed according to Debian policy. Tag: interface::daemon, network::configuration, network::server, role::program, use::configuring, use::proxying, works-with::network-traffic Section: net Priority: optional Filename: pool/main/i/iprelay/iprelay_0.71-4_all.deb Package: iprint Version: 1.3-9 Architecture: armhf Maintainer: Thijs Kinkhorst Installed-Size: 44 Depends: libc6 (>= 2.4) Priority: optional Section: utils Filename: pool/main/i/iprint/iprint_1.3-9_armhf.deb Size: 4824 SHA256: b867f1d0f43c7849756d71ee8ce1a2331028e341904221dce23c562fbd73b675 SHA1: e44a44db183627158e4a9269852ddf41e3ddf815 MD5sum: a421c5df2a3f752d4ae49dceb8e1bfa6 Description: Trivial command-line integer print utility iprint is a simple utility to print out the decimal, octal, hexadecimal, and binary or ascii values of the characters fed to it on the command line. Package: iproute Version: 20120521-3 Architecture: armhf Maintainer: Debian iproute maintainers Installed-Size: 865 Depends: libc6 (>= 2.13-28), libdb5.1, libgcc1 (>= 1:4.4.0) Recommends: libatm1 Suggests: iproute-doc Conflicts: arpd Provides: arpd Multi-Arch: foreign Homepage: http://www.linux-foundation.org/en/Net:Iproute2 Priority: important Section: net Filename: pool/main/i/iproute/iproute_20120521-3_armhf.deb Size: 435692 SHA256: 639aa03c78bd1ba158e5f75222cf09426a02bb1677e82daf18a9b56393029870 SHA1: 6a8cc3c7d16f22a5754b8c7120710115ed2ceedd MD5sum: f0ba1bf7a2dde2b26ee66cb79d7bd5d9 Description: networking and traffic control tools The iproute suite, also known as iproute2, is a collection of utilities for networking and traffic control. . These tools communicate with the Linux kernel via the (rt)netlink interface, providing advanced features not available through the legacy net-tools commands 'ifconfig' and 'route'. Package: iproute-dev Source: iproute Version: 20120521-3 Architecture: armhf Maintainer: Debian iproute maintainers Installed-Size: 65 Homepage: http://www.linux-foundation.org/en/Net:Iproute2 Priority: optional Section: libdevel Filename: pool/main/i/iproute/iproute-dev_20120521-3_armhf.deb Size: 22004 SHA256: f9ad8cfbb0677abebbc8ff48badb8365373d4e8e5a737b03c33b37e53be8f598 SHA1: 7729f30e4562ae573fa5f97d03505b39c4f10a94 MD5sum: 0ca13fa8f3cd1434c3905e3d76e2feb5 Description: networking and traffic control tools - development files The iproute suite, also known as iproute2, is a collection of utilities for networking and traffic control. . This package contains the header files and static libraries for compiling iproute extensions, and is needed only for development. Package: iproute-doc Source: iproute Version: 20120521-3 Installed-Size: 1003 Maintainer: Debian iproute maintainers Architecture: all Size: 935934 SHA256: b1d3cbe0c04ce08a8a916cf8a74d0c456f4f0380ee5a701b6ef58b5b26a52203 SHA1: 641c9ba41644108d389ff855fb85337f663f0f70 MD5sum: ead4bda92cf398873b935cc385cdb1b4 Description: networking and traffic control tools - documentation The iproute suite, also known as iproute2, is a collection of utilities for networking and traffic control. . This package contains the documentation for iproute. Homepage: http://www.linux-foundation.org/en/Net:Iproute2 Tag: made-of::html, made-of::postscript, made-of::tex, role::documentation Section: doc Priority: optional Filename: pool/main/i/iproute/iproute-doc_20120521-3_all.deb Package: ips Version: 4.0-1 Architecture: armhf Maintainer: Michael Meskes Installed-Size: 163 Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5, libx11-6 Priority: extra Section: admin Filename: pool/main/i/ips/ips_4.0-1_armhf.deb Size: 71224 SHA256: 0fec5ef14155a01ad886dd7f6ad8a8e2f72a4a011859e1c7193b5026c4897dbe SHA1: 4e9c7a64b1be911aba55eb398459bfed73b3e3fa MD5sum: 934e2ad8c5e2693aa034780cfa402006 Description: Intelligent process status Ips is an intelligent ps-like program which displays process status obtained from the /proc filesystem. It has features to make tracking of active, semi-active, and transient processes easy. It is extremely configurable, but is still efficient. Package: ipsec-tools Version: 1:0.8.0-14+deb7u3 Architecture: armhf Maintainer: pkg-ipsec-tools team Installed-Size: 164 Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgssapi-krb5-2 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libldap-2.4-2 (>= 2.4.7), libpam0g (>= 0.99.7.1), libssl1.0.0 (>= 1.0.0) Homepage: http://ipsec-tools.sourceforge.net/ Priority: extra Section: net Filename: pool/main/i/ipsec-tools/ipsec-tools_0.8.0-14+deb7u3_armhf.deb Size: 102638 SHA256: 458aeace49f8a17c00645b2f4ce1b6477dafe98db8ab40497288672a01094b88 SHA1: 8bb2e7747c1b5655b29bbd48b3d0b136a6f521f1 MD5sum: 5973a209b39b0674447a120e554d3fee Description: IPsec utilities IPsec (Internet Protocol security) offers end-to-end security for network traffic at the IP layer. . This package is a Linux port of the utilities from the KAME IPsec implementation on BSD. Package: ipset Version: 6.12.1-1 Architecture: armhf Maintainer: Neutron Soutmun Installed-Size: 74 Depends: iptables, libc6 (>= 2.13-28), libipset2 (>= 6.11-1~) Breaks: xtables-addons-common (<< 1.41~) Provides: ipset-6.12.1 Homepage: http://ipset.netfilter.org/ Priority: extra Section: net Filename: pool/main/i/ipset/ipset_6.12.1-1_armhf.deb Size: 27442 SHA256: 09260502b97dd648d309142bfdcfd2025946e3e101a60d21629578079e884686 SHA1: a1713224219762c88249b004f5c59414994d0a7b MD5sum: 7612a2e3d83e646b0064211f5f5fab2a Description: administration tool for kernel IP sets IP sets are a framework inside the Linux 2.4.x and 2.6.x kernel which can be administered by the ipset(8) utility. Depending on the type, currently an IP set may store IP addresses, (TCP/UDP) port numbers or IP addresses with MAC addresses in a way which ensures lightning speed when matching an entry against a set. . If you want to . * store multiple IP addresses or port numbers and match against the entire collection using a single iptables rule. * dynamically update iptables rules against IP addresses or ports without performance penalty. * express complex IP address and ports based rulesets with a single iptables rule and benefit from the speed of IP sets. . then IP sets may be the proper tool for you. Package: ipsvd Version: 1.0.0-2 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 323 Depends: libc6 (>= 2.4), libmatrixssl1.8 Suggests: runit Priority: optional Section: net Filename: pool/main/i/ipsvd/ipsvd_1.0.0-2_armhf.deb Size: 142534 SHA256: 188758c51ea46dedf9c0fe140da969e057d389efbfe4b7fb8cc4aff9ca83b320 SHA1: 8bc206424ca4b4c117720cd471381fc096510fc6 MD5sum: 70050358892e3a553eb04f15c6ca9933 Description: Internet protocol service daemons ipsvd is a set of Internet protocol service daemons for TCP/IP (optionally SSLv3) and UDP/IP. A daemon waits for incoming connections on a socket; for new connections, it conditionally runs an arbitrary program to handle the connection. The daemons can be told to read and follow pre-defined instructions on how to handle incoming connections; based on the client's IP address or hostname, they can run different programs, set a different environment, deny a connection, or set a per host concurrency limit. . ipsvd can be used to run services usually run by inetd or tcpserver. Normally the daemons are run by a supervisor process, such as runsv from the runit package. . See http://smarden.org/ipsvd/ for more information. Package: iptables Version: 1.4.14-3.1 Architecture: armhf Maintainer: Laurence J. Lane Installed-Size: 1072 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libnfnetlink0 (>= 1.0.0) Breaks: iproute (<< 20120521-3), xtables-addons-common (<< 1.42-2) Provides: libxtables7 Homepage: http://www.netfilter.org/ Priority: important Section: net Filename: pool/main/i/iptables/iptables_1.4.14-3.1_armhf.deb Size: 347088 SHA256: db84b657c083a6ce4846d010959df127123ec0dee3b37ad707c413ed543059e9 SHA1: de39af1925a305982c72d7be553f469c29110cc5 MD5sum: a5c01d35563d6a9eb9b6485a7c3ecfbd Description: administration tools for packet filtering and NAT These are the user-space administration tools for the Linux kernel's netfilter and iptables. netfilter and iptables provide a framework for stateful and stateless packet filtering, network and port address translation, and other IP packet manipulation. The framework is the successor to ipchains. . netfilter and iptables are used in applications such as Internet connection sharing, firewalls, IP accounting, transparent proxying, advanced routing and traffic control. Package: iptables-dev Source: iptables Version: 1.4.14-3.1 Architecture: armhf Maintainer: Laurence J. Lane Installed-Size: 135 Depends: iptables (= 1.4.14-3.1) Conflicts: iptables (<< 1.4.2-2) Homepage: http://www.netfilter.org/ Priority: optional Section: devel Filename: pool/main/i/iptables/iptables-dev_1.4.14-3.1_armhf.deb Size: 62758 SHA256: 30c3d3b5378cf3ce25fbae0998e88f19b1ceec65be7c66077cfc6c1531bdd662 SHA1: 1a488aafc870220e3cb9fc136abaccc287f0fe44 MD5sum: bedf4942b579ccc16ddf49a8048e16e9 Description: iptables development files iptables is used to setup, maintain, and inspect the tables of packet filter rules in the Linux kernel. This package contains the available library (libipq, libiptc, libxtables), header, documentation and related files for iptables development. Package: iptables-persistent Version: 0.5.7+deb7u1 Installed-Size: 61 Maintainer: Jonathan Wiltshire Architecture: all Depends: iptables, lsb-base, debconf (>= 0.5) | debconf-2.0 Size: 10388 SHA256: dd93852da9ed54caa12193470e3da9acfd25cf5b697eb7cf247d02d5e93fa1c7 SHA1: 2af6fd730ac51be5b70decf20f91f75cc6a5ede7 MD5sum: 85c8d060e5c502e80c625ab7ade3702e Description: boot-time loader for iptables rules This package provides a system startup script that restores iptables rules from a configuration file. . Since this is aimed at experienced administrators, there is no configuration wizard. Section: admin Priority: optional Filename: pool/main/i/iptables-persistent/iptables-persistent_0.5.7+deb7u1_all.deb Package: iptotal Version: 0.3.3-13 Architecture: armhf Maintainer: Ignace Mouzannar Installed-Size: 192 Depends: rrdtool, tcpdump, apache2 | httpd, libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8) Homepage: http://sourceforge.net/projects/iptotal Priority: extra Section: admin Filename: pool/main/i/iptotal/iptotal_0.3.3-13_armhf.deb Size: 60500 SHA256: 8b82e50b94ea1d6f377e712c6f45987a28119ae28730047d413d35ffd4f55e06 SHA1: 22d505f6e8a918d498a1a9d4c5c9f375697ed11a MD5sum: 492f153b2999aeae26b7ae7fddeee09f Description: monitor for IP traffic, not requiring SNMP iptotal is yet another IP traffic monitor. It listens to a network interface in non-promiscuous mode, and measures IP bandwidth usage. After the specified number of seconds, the average throughput is printed at total, input and output usage. . The utility can be used to measure bandwidth usage without the need for an SNMP daemon. In combination with a simple script and rrdtool it can be used to present the measured data in graphical format e.g. through a web interface. The package contains www + CGI sample files. Package: iptraf Version: 3.0.0-8.1 Architecture: armhf Maintainer: Frederic Peters Installed-Size: 600 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5 Priority: optional Section: net Filename: pool/main/i/iptraf/iptraf_3.0.0-8.1_armhf.deb Size: 174864 SHA256: 17ec850fbc471886b0e48873ef448bc7570a8537c83dc7ded320cb658f041000 SHA1: fa06bb24d6cfad30569e373332ec23a986384f87 MD5sum: 89b30eb15ec18c3028182384d857964d Description: Interactive Colorful IP LAN Monitor IPTraf is an ncurses-based IP LAN monitor that generates various network statistics including TCP info, UDP counts, ICMP and OSPF information, Ethernet load info, node stats, IP checksum errors, and others. Package: iptstate Version: 2.2.5-1 Architecture: armhf Maintainer: Chris Taylor Installed-Size: 126 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libnetfilter-conntrack3, libstdc++6 (>= 4.4.0), libtinfo5 Homepage: http://www.phildev.net/iptstate/ Priority: optional Section: admin Filename: pool/main/i/iptstate/iptstate_2.2.5-1_armhf.deb Size: 48938 SHA256: 3b81849e168ea3e67f86647b80bb2ce0463f69108fac2ecc8d972412924141ce SHA1: a6e138d5d821b5e0005c919186988e3b27494966 MD5sum: cb5ae7ee270021fed91d7fbfc7be1897 Description: top-like interface to your netfilter connection-tracking table IP Tables State (iptstate) was originally written to implement the "state top" feature of IP Filter (see "The Idea" below) in IP Tables. "State top" displays the states held by your stateful firewall in a top-like manner. . Features include: - Top-like realtime state table information - Sorting by any field - Reversible sorting - Single display of state table - Customizable refresh rate - Display filtering - Color-coding - Open Source (specifically I'm using the zlib license) - much more... . To use iptstate, CONNTRACK should be enabled in your kernel. Package: iptux Version: 0.5.3-1 Architecture: armhf Maintainer: Debian Chinese Team Installed-Size: 552 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgstreamer0.10-0 (>= 0.10.0), libgtk2.0-0 (>= 2.16.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0), libxml2 (>= 2.6.27) Homepage: http://code.google.com/p/iptux/ Priority: optional Section: net Filename: pool/main/i/iptux/iptux_0.5.3-1_armhf.deb Size: 223514 SHA256: b234b139c6b0d6bc891057c54964d66534a746521e87f5a7ea29965d644389c9 SHA1: 376a3a4f4db4bda4cb9bb18bb901db2061208978 MD5sum: 5ee43f26676199b11072c2a60bebf2c0 Description: intranet communication tool for Linux iptux is an IP Messenger client for Linux. . It support: - auto detect other clients in the intranet. - send message to other clients. - send file to other clients. Package: iputils-arping Source: iputils Version: 3:20101006-1 Architecture: armhf Maintainer: Noah Meyerhans Installed-Size: 66 Depends: libc6 (>= 2.4), libsysfs2 Conflicts: arping, iputils-ping (<< 20001110-6), suidmanager (<< 0.50) Replaces: netbase (<< 4.00) Priority: extra Section: net Filename: pool/main/i/iputils/iputils-arping_20101006-1_armhf.deb Size: 24712 SHA256: e51f673ec1f1b93318ff85967ab0878f71c82f21e3d6ae247f31bc6f8344f8ae SHA1: f42fd1f8d89b21c9cad951ee11988bc5adb555c8 MD5sum: 124a29403e3612cd0b1408ca453fb189 Description: Tool to send ICMP echo requests to an ARP address The arping command acts like the standard ping command except it pings a machine by its ARP address instead of its IP address. It is typically used to locate a machine if its hardware address is known but its IP address is unknown Package: iputils-clockdiff Source: iputils Version: 3:20101006-1 Architecture: armhf Maintainer: Noah Meyerhans Installed-Size: 63 Depends: libc6 (>= 2.4) Priority: extra Section: net Filename: pool/main/i/iputils/iputils-clockdiff_20101006-1_armhf.deb Size: 23126 SHA256: c5f50c8e8711eeb48bb673dfbffed2cd19af984214b3b869685bc031e28477e6 SHA1: 79f3bd3b730f3eaa64e8f57c54c593d78ac7de26 MD5sum: 1c29224675fe19ed213cd76627c502dd Description: Measure the time difference between networked computers Clockdiff computes the difference between the time of the machine on which it is called and the time of other network-accessible machines. The time differences measured by clockdiff are obtained using a sequence of ICMP TSTAMP messages which are returned to the sender by the IP module in the remote machine. Package: iputils-ping Source: iputils Version: 3:20101006-1 Architecture: armhf Maintainer: Noah Meyerhans Installed-Size: 133 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0) Conflicts: ping, suidmanager (<< 0.50) Replaces: netbase (<< 4.00) Provides: ping Priority: important Section: net Filename: pool/main/i/iputils/iputils-ping_20101006-1_armhf.deb Size: 60450 SHA256: dbe8e0b965aeb213ce9cc857cb84ac309da00e028ecb23dd12048751c63b4f9d SHA1: 0111ecac3446aaab2f8c6b154865c67a9971db78 MD5sum: 673611f2aaa14cb15617a0a5c2137cf5 Description: Tools to test the reachability of network hosts The ping command sends ICMP ECHO_REQUEST packets to a host in order to test if the host is reachable via the network. . This package includes a ping6 utility which supports IPv6 network connections. Package: iputils-tracepath Source: iputils Version: 3:20101006-1 Architecture: armhf Maintainer: Noah Meyerhans Installed-Size: 84 Depends: libc6 (>= 2.4) Suggests: traceroute Conflicts: suidmanager (<< 0.50) Replaces: netbase (<< 4.00) Priority: optional Section: net Filename: pool/main/i/iputils/iputils-tracepath_20101006-1_armhf.deb Size: 32352 SHA256: 63f175a7d65d42af05e7a6a2a9bdfb7c8d8d126fc93d7f848270727618bfa29d SHA1: f5f79d677576e826c8d1117684351b217bba4f87 MD5sum: 7b75ecd414d7165dbc8202af5885d49b Description: Tools to trace the network path to a remote host The tracepath utility is similar to the traceroute utility, but also attempts to discover the MTU of the path. . This package also includes tracepath6 and traceroute6 utilities, which may be used on IPv6 networks. Package: ipv6calc Version: 0.93.1-2 Architecture: armhf Maintainer: Luca Bruno Installed-Size: 2513 Depends: libc6 (>= 2.13-28), libgeoip1 (>= 1.4.8+dfsg) Suggests: bind9-host | host Homepage: http://www.deepspace6.net/projects/ipv6calc.html Priority: optional Section: net Filename: pool/main/i/ipv6calc/ipv6calc_0.93.1-2_armhf.deb Size: 1010582 SHA256: ca424c63dcd85743c6925089edbdd2174de825495b65043a8c486c1a562d4419 SHA1: 3b9125ac5667d2e06f4e4f1069216946be7b4d28 MD5sum: 33b71dfb1a0ef14b6260d81cbc98db42 Description: small utility for manipulating IPv6 addresses The ipv6calc utility can convert between different formats of IPv4 or IPv6 addresses. It can also show information about the addresses, including who they are assigned to on the Internet. Package: ipvsadm Version: 1:1.26-1 Architecture: armhf Maintainer: Alexander Wirt Installed-Size: 129 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libnl1 (>= 1.1), libpopt0 (>= 1.14), debconf (>= 0.5) | debconf-2.0, lsb-base (>= 3.0-6) Suggests: heartbeat, keepalived, ldirectord Conflicts: lvs Replaces: lvs Priority: extra Section: net Filename: pool/main/i/ipvsadm/ipvsadm_1.26-1_armhf.deb Size: 41624 SHA256: e88c37c169661a570a84c07d5e50b3df69604609d2d10fd10dd4eb08a8bd8c2c SHA1: 77cc63add69d659ee50055b904b5661b9b859101 MD5sum: 641cbe81a29a41d54b94019a8d9e5d04 Description: Linux Virtual Server support programs The Linux Virtual Server (lvs or IPVS) is a highly scalable and highly available server built on a cluster of real servers. The architecture of the cluster is transparent to end users, and the users see only a single virtual server. . This package provides some support programs necessary to implement a virtual server under Linux. With the addition of the mon and heartbeat packages it is possible to implement a complete high-availability solution for Linux. Package: ipwatchd Version: 1.2.1-1 Architecture: armhf Maintainer: Jaroslav Imrich Installed-Size: 81 Depends: libc6 (>= 2.13-28), libnet1 (>= 1.1.2.1), libpcap0.8 (>= 0.9.8) Suggests: ipwatchd-gnotify Homepage: http://ipwatchd.sf.net Priority: optional Section: net Filename: pool/main/i/ipwatchd/ipwatchd_1.2.1-1_armhf.deb Size: 17000 SHA256: 3f2df2230b353c54d8dee1e6536de2110bbafcd7e3649ae1ae395aa828fa1446 SHA1: 7ce5e6289ec7bf584a24fe8a770cb7f3529f2bf4 MD5sum: 32b0337d14c1c68090e79b6c8464a7f8 Description: IP conflict detection tool IPwatchD is a simple daemon that analyses all incoming ARP packets in order to detect IP conflicts on Linux. It can be configured to listen on one or more interfaces (alias interfaces are also supported) in active or passive mode. In active mode IPwatchD protects your host before IP takeover by answering Gratuitous ARP requests received from conflicting system. In passive mode it just records information about conflict through standard syslog interface. Package: ipwatchd-gnotify Version: 1.0.1-1 Architecture: armhf Maintainer: Jaroslav Imrich Installed-Size: 48 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libnotify4 (>= 0.7.0), ipwatchd Homepage: http://ipwatchd.sf.net Priority: optional Section: gnome Filename: pool/main/i/ipwatchd-gnotify/ipwatchd-gnotify_1.0.1-1_armhf.deb Size: 7868 SHA256: 2dfe304edaa6e47ed1180d886f05e79d3b7a7c9feae8b6489cd9b0f487f4a2ed SHA1: 5dc212c2af92031a1ebea20fdba1babf7f37dd38 MD5sum: e390e0f456761101fc42c28980de1b26 Description: Gnome notification tool for IPwatchD IPwatchD-G-Notify is notification tool for Gnome environment used by IPwatchD daemon to display notification "bubble" when IP conflict occurs. Package: ipx Source: ncpfs Version: 2.2.6-9 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 126 Depends: libc6 (>= 2.13-28) Homepage: ftp://platan.vc.cvut.cz/pub/linux/ncpfs/ Priority: optional Section: net Filename: pool/main/n/ncpfs/ipx_2.2.6-9_armhf.deb Size: 40480 SHA256: 6c8af8afab9b005978515f47ad9339c5e022270b15abd5b206805d0fce66a5be SHA1: 208288fdb3e32f25248b119a81295018f107c999 MD5sum: 2fd83e642658d64af4b48e49050bc2cf Description: utilities to configure the kernel ipx interface These are utilities to configure the kernel IPX interface. The kernel has to be compiled with IPX support. Package: ipxe Version: 1.0.0+git-20120202.f6840ba-3 Installed-Size: 1804 Maintainer: Bastian Blank Architecture: all Breaks: qemu-kvm (<= 1.0+dfsg-7), qemu-system (<= 1.0+dfsg-2) Size: 1149236 SHA256: 38628578301ee039d36656ef7fb4c4e2133647fcbe8f41f1d1b405977e750362 SHA1: 67e041449042e3dff0b36f8a2fcbe2bc4dc2c1cf MD5sum: 23fa39c404270229b767a92a67147fdb Description: PXE boot firmware iPXE is network boot firmware. It supports a variety of network cards, including some wireless cards, and variety of network protocols (traditional DHCP, BOOTP and TFTP and also HTTP, iSCSI, SAN via FCoE and Infiniband). It supports scripting. . It is possible to use iPXE as a PXE ROM in the network card or to chainload it from other boot methods. . This package provides boot code for all supported network cards in one binary and several bootable formats. Homepage: http://ipxe.org/ Tag: admin::boot, role::app-data Section: admin Priority: optional Filename: pool/main/i/ipxe/ipxe_1.0.0+git-20120202.f6840ba-3_all.deb Package: ipxe-qemu Source: ipxe Version: 1.0.0+git-20120202.f6840ba-3 Installed-Size: 394 Maintainer: Bastian Blank Architecture: all Replaces: ipxe (<< 1.0.0+git-20120202.f6840ba-2~) Breaks: ipxe (<< 1.0.0+git-20120202.f6840ba-2~) Size: 390872 SHA256: e99b959b565e3a4684161600d88fff50eae18c9ecaf9725dfeb71a5c9187e152 SHA1: bc80e87fa0bf0be322d32257f3df696f3fd6ad46 MD5sum: 8c031f4f209b70f11043ccdf74b8b03d Description: PXE boot firmware - ROM images for qemu iPXE is network boot firmware. It supports a variety of network cards, including some wireless cards, and variety of network protocols (traditional DHCP, BOOTP and TFTP and also HTTP, iSCSI, SAN via FCoE and Infiniband). It supports scripting. . It is possible to use iPXE as a PXE ROM in the network card or to chainload it from other boot methods. . This package provides boot code for the qemu emulated network cards in as boot ROMs. Homepage: http://ipxe.org/ Section: admin Priority: optional Filename: pool/main/i/ipxe/ipxe-qemu_1.0.0+git-20120202.f6840ba-3_all.deb Package: ipython Version: 0.13.1-2+deb7u1 Installed-Size: 3015 Maintainer: Debian Python Modules Team Architecture: all Depends: python-configobj, python-decorator, python-pexpect, python-simplegeneric, python (>= 2.6.6-7~), python (<< 2.8) Suggests: ipython-doc, ipython-notebook, ipython-qtconsole, python-argparse, python-matplotlib, python-numpy, python-profiler, python-zmq (>= 2.0.10.1) Size: 676422 SHA256: ad0661574692282bcefcf99f1e6957f753e308a28849d5421838e944043e1ded SHA1: 8024c2fba184546f7bbb3ded705adedeef77fe80 MD5sum: df1dae00e631e38a47d203917b78fa1c Description: enhanced interactive Python shell IPython can be used as a replacement for the standard Python shell, or it can be used as a complete working environment for scientific computing (like Matlab or Mathematica) when paired with the standard Python scientific and numerical tools. It supports dynamic object introspections, numbered input/output prompts, a macro system, session logging, session restoring, complete system shell access, verbose and colored traceback reports, auto-parentheses, auto-quoting, and is embeddable in other Python programs. . This package contains the basic terminal shell. For the qt console install ipython-qtconsole and for the html notebook install ipython-notebook. Homepage: http://ipython.org/ Tag: devel::interpreter, devel::lang:python, implemented-in::python, interface::shell, role::program, scope::utility Section: python Priority: optional Filename: pool/main/i/ipython/ipython_0.13.1-2+deb7u1_all.deb Package: ipython-doc Source: ipython Version: 0.13.1-2+deb7u1 Installed-Size: 15684 Maintainer: Debian Python Modules Team Architecture: all Replaces: ipython (<< 0.11-2), ipython-notebook (<< 0.12.1-1) Depends: ipython, libjs-sphinxdoc (>= 1.0) Breaks: ipython (<< 0.11-2), ipython-notebook (<< 0.12.1-1) Size: 5804936 SHA256: 9bc82c8aae4dc6e233bf3995a228734c13f7f23d7ed28264a68799f618b926e4 SHA1: 61cd83015903c7426f3680f1dfe14ed638f9349e MD5sum: 805bc28b5c9da3f4de7ea05d272cf5af Description: enhanced interactive Python shell - Documentation IPython can be used as a replacement for the standard Python shell, or it can be used as a complete working environment for scientific computing (like Matlab or Mathematica) when paired with the standard Python scientific and numerical tools. It supports dynamic object introspections, numbered input/output prompts, a macro system, session logging, session restoring, complete system shell access, verbose and colored traceback reports, auto-parentheses, auto-quoting, and is embeddable in other Python programs. . This package contains the documentation. Homepage: http://ipython.org/ Tag: devel::doc, devel::lang:python, role::documentation Section: doc Priority: optional Filename: pool/main/i/ipython/ipython-doc_0.13.1-2+deb7u1_all.deb Package: ipython-notebook Source: ipython Version: 0.13.1-2+deb7u1 Installed-Size: 223 Maintainer: Debian Python Modules Team Architecture: all Depends: ipython (= 0.13.1-2+deb7u1), ipython-notebook-common (= 0.13.1-2+deb7u1), python-tornado (>= 2.1.0), python-zmq (>= 2.1.4), python (>= 2.6.6-7~), python (<< 2.8) Size: 26602 SHA256: 27555121123c37cc97abac17eb0c130e93bdc32b597be68124ceac559a4204c5 SHA1: 258a2593459c66ee17c8332fe2aa2824cfcb5c07 MD5sum: 5a12338d79d08360a090122dbdd6e8bc Description: interactive Python html notebook IPython can be used as a replacement for the standard Python shell, or it can be used as a complete working environment for scientific computing (like Matlab or Mathematica) when paired with the standard Python scientific and numerical tools. It supports dynamic object introspections, numbered input/output prompts, a macro system, session logging, session restoring, complete system shell access, verbose and colored traceback reports, auto-parentheses, auto-quoting, and is embeddable in other Python programs. . This package contains the html notebook providing a Mathematica-like webinterface to Python. Homepage: http://ipython.org/ Section: python Priority: optional Filename: pool/main/i/ipython/ipython-notebook_0.13.1-2+deb7u1_all.deb Package: ipython-notebook-common Source: ipython Version: 0.13.1-2+deb7u1 Installed-Size: 1491 Maintainer: Debian Python Modules Team Architecture: all Replaces: ipython-notebook (<< 0.12.1-2) Depends: libjs-jquery (>= 1.7), libjs-mathjax Breaks: ipython-notebook (<< 0.12.1-2) Size: 370494 SHA256: 6d8d6980e42da4d8dfe4cb69ea7b92427e43f5171d009b9ab57de44744ca8780 SHA1: 0e3673752dc6002a41a08c9be6f788208a9d229b MD5sum: 8dd0da87403a180b7123d02b3c8ebd92 Description: interactive Python html notebook data package IPython can be used as a replacement for the standard Python shell, or it can be used as a complete working environment for scientific computing (like Matlab or Mathematica) when paired with the standard Python scientific and numerical tools. It supports dynamic object introspections, numbered input/output prompts, a macro system, session logging, session restoring, complete system shell access, verbose and colored traceback reports, auto-parentheses, auto-quoting, and is embeddable in other Python programs. . This package contains the data for html notebook. Homepage: http://ipython.org/ Section: python Priority: optional Filename: pool/main/i/ipython/ipython-notebook-common_0.13.1-2+deb7u1_all.deb Package: ipython-qtconsole Source: ipython Version: 0.13.1-2+deb7u1 Installed-Size: 484 Maintainer: Debian Python Modules Team Architecture: all Depends: ipython (= 0.13.1-2+deb7u1), python-pygments, python-qt4 | python-pyside.qtcore, python-qt4 | python-pyside.qtgui, python-qt4 | python-pyside.qtsvg, python-zmq (>= 2.0.10.1), python (>= 2.6.6-7~), python (<< 2.8) Size: 81878 SHA256: 01236142bb13cee30f3a187d0306ffd49bf6d34614bf5804884312dc3ad2ceb2 SHA1: 16634919f81f1e38ef687d98af05f8dca4fe5f3c MD5sum: 3aa00398031182533080ebaa03539b6d Description: enhanced interactive Python shell - Qt console IPython can be used as a replacement for the standard Python shell, or it can be used as a complete working environment for scientific computing (like Matlab or Mathematica) when paired with the standard Python scientific and numerical tools. It supports dynamic object introspections, numbered input/output prompts, a macro system, session logging, session restoring, complete system shell access, verbose and colored traceback reports, auto-parentheses, auto-quoting, and is embeddable in other Python programs. . This package contains the qt console. Homepage: http://ipython.org/ Section: python Priority: optional Filename: pool/main/i/ipython/ipython-qtconsole_0.13.1-2+deb7u1_all.deb Package: ipython3 Source: ipython Version: 0.13.1-2+deb7u1 Installed-Size: 2674 Maintainer: Debian Python Modules Team Architecture: all Depends: python3-decorator, python3-pkg-resources, python3-simplegeneric, python3 (>= 3.2.3-3~) Suggests: ipython3-notebook, ipython3-qtconsole, python3-zmq (>= 2.0.10.1) Size: 666728 SHA256: e7246c1fd62b55342230068ac44ab6e7dfd4b02fc4800258e58aff55a2ce0e41 SHA1: f83578007ed465d4f86af11f385ee96bfa3e9f6f MD5sum: 678d854367dd3d55fb6d8d935ec394bc Description: enhanced interactive Python 3 shell IPython can be used as a replacement for the standard Python shell, or it can be used as a complete working environment for scientific computing (like Matlab or Mathematica) when paired with the standard Python scientific and numerical tools. It supports dynamic object introspections, numbered input/output prompts, a macro system, session logging, session restoring, complete system shell access, verbose and colored traceback reports, auto-parentheses, auto-quoting, and is embeddable in other Python programs. . This package contains the Python 3 variant of ipython terminal shell. For the qt console install ipython3-qtconsole and for the html notebook install ipython3-notebook. Homepage: http://ipython.org/ Section: python Priority: optional Filename: pool/main/i/ipython/ipython3_0.13.1-2+deb7u1_all.deb Package: ipython3-notebook Source: ipython Version: 0.13.1-2+deb7u1 Installed-Size: 160 Maintainer: Debian Python Modules Team Architecture: all Depends: ipython-notebook-common (= 0.13.1-2+deb7u1), ipython3 (= 0.13.1-2+deb7u1), python3-tornado (>= 2.1.0), python3-zmq (>= 2.1.4), python3 (>= 3.2.3-3~) Size: 25618 SHA256: f6619bdebcf944442029c6166024bf68ceb8911288ebb3fd6cb3a75c3438db3c SHA1: 2479af0eaa523ce616496d633ba0f919ba506a93 MD5sum: 1c26324aefe3562496a1ef82433cf6d6 Description: interactive Python 3 html notebook IPython can be used as a replacement for the standard Python shell, or it can be used as a complete working environment for scientific computing (like Matlab or Mathematica) when paired with the standard Python scientific and numerical tools. It supports dynamic object introspections, numbered input/output prompts, a macro system, session logging, session restoring, complete system shell access, verbose and colored traceback reports, auto-parentheses, auto-quoting, and is embeddable in other Python programs. . This package contains the html notebook providing a Mathematica-like webinterface to Python 3. Homepage: http://ipython.org/ Section: python Priority: optional Filename: pool/main/i/ipython/ipython3-notebook_0.13.1-2+deb7u1_all.deb Package: ipython3-qtconsole Source: ipython Version: 0.13.1-2+deb7u1 Installed-Size: 398 Maintainer: Debian Python Modules Team Architecture: all Depends: ipython3 (= 0.13.1-2+deb7u1), python3-pkg-resources, python3-pygments, python3-pyqt4 | python3-pyside.qtcore, python3-pyqt4 | python3-pyside.qtgui, python3-pyqt4 | python3-pyside.qtsvg, python3-zmq (>= 2.0.10.1), python3 (>= 3.2.3-3~) Size: 79880 SHA256: b5cd3efc582444d7531375d8cc293eab4340bb0aaab172435bdc4b41f190d690 SHA1: 8c26c0328c57ed7be2849af48d1bc1c66d9e2370 MD5sum: a0aef6486cba0e9c106a55f04040c029 Description: enhanced interactive Python 3 shell - Qt console IPython can be used as a replacement for the standard Python shell, or it can be used as a complete working environment for scientific computing (like Matlab or Mathematica) when paired with the standard Python scientific and numerical tools. It supports dynamic object introspections, numbered input/output prompts, a macro system, session logging, session restoring, complete system shell access, verbose and colored traceback reports, auto-parentheses, auto-quoting, and is embeddable in other Python programs. . This package contains the Python3 variant of the qt console. Homepage: http://ipython.org/ Section: python Priority: optional Filename: pool/main/i/ipython/ipython3-qtconsole_0.13.1-2+deb7u1_all.deb Package: ir-keytable Source: v4l-utils Version: 0.8.8-3 Architecture: armhf Maintainer: Gregor Jasny Installed-Size: 206 Depends: libc6 (>= 2.13-28) Recommends: udev Homepage: http://linuxtv.org/downloads/v4l-utils/ Priority: optional Section: utils Filename: pool/main/v/v4l-utils/ir-keytable_0.8.8-3_armhf.deb Size: 64676 SHA256: 9db275a89f63a7a47b16621168644b6afe63c0c040917d9c4a4a39e233a83a3c SHA1: 6ba29bf09f92eef06a1ae1c3e1f5eefbc60744ab MD5sum: 9e0f04c47179ee4a9d0ee83be3f68769 Description: Alter keymaps of Remote Controller devices This package allows one to change the keymap of controller receivers. Those receivers are found as infrared receivers on DVB sticks or on framegrabber cards. Via ir-keytable the mapping from a scancode to the generated event can be customized and made persistent. Package: ir.lv2 Version: 1.3.1~dfsg0-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 141 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.20.0), libpango1.0-0 (>= 1.14.0), libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.3.0), libzita-convolver3 (>= 3.0.2) Provides: lv2-plugin Homepage: http://factorial.hu/plugins/lv2/ir Priority: optional Section: sound Filename: pool/main/i/ir.lv2/ir.lv2_1.3.1~dfsg0-3_armhf.deb Size: 47560 SHA256: fcb3f3a11c2e6ea28b701dc52b6e2b58117239165f1950885be20136ef492e3d SHA1: 9d45d1c1f97f21b2e5ba84b32d9e84bedb93c01d MD5sum: 2aa929b6b76d24266f90d6547c01c9af Description: LV2 IR reverb IR is a zero-latency, realtime, high performance signal convolver especially for creating reverb effects. Supports impulse responses with 1, 2 or 4 channels, in any soundfile format supported by libsndfile. . IR files can be for example here: - http://rhythminmind.net/1313/?cat=182 - http://www.echochamber.ch/responses/index.html - http://noisevault.com/index.php?page=ir-library - http://www.samplicity.com/bricasti-m7-impulse-responses/ . For converting 2ch impupuses to 4ch *True stereo* format use 'convert4chan' utility. See man pages. Package: ircd-hybrid Version: 1:7.2.2.dfsg.2-10+deb7u1 Architecture: armhf Maintainer: Dominic Hargreaves Installed-Size: 1455 Pre-Depends: debconf (>= 0.5) | debconf-2.0 Depends: libc6 (>= 2.13-28), libpcre3 (>= 8.10), zlib1g (>= 1:1.1.4) Recommends: whois Suggests: hybserv Conflicts: dancer-ircd, ircd, ircd-irc2, ircd-ircu, oftc-hybrid Provides: ircd Homepage: http://ircd-hybrid.com/ Priority: optional Section: net Filename: pool/main/i/ircd-hybrid/ircd-hybrid_7.2.2.dfsg.2-10+deb7u1_armhf.deb Size: 547954 SHA256: adf7326b4b24816ff693632da4cd8e214c4e726add692ff6ba411c3f9f3ee4cf SHA1: a5ed11ccab0922474216721f30121481a0e60e84 MD5sum: 72e3bc8692a7aaa39db2b1616e0442ff Description: high-performance secure IRC server ircd-hybrid is a stable, high-performance IRC server that features: . * If enabled, SSL client support and server-to-server RSA encryption. * Alternative connection methods like ziplinks (compressed) and lazylinks (efficient protocol where not all channels are synced in the initial burst). * Channel exceptions (+e) and invitation exceptions (+I). * New configuration file format. * Halfops (+h) and anti-spam user mode +g. * Dynamically loadable modules. * Channel and nickname RESV's (reservations). . It is also the IRC server of choice on the venerable EFnet, although EFnet also uses the Ratbox, ComStud, and the Hybrid 6 IRCDs. Package: ircd-irc2 Version: 2.11.2p2+dfsg-2 Architecture: armhf Maintainer: Kurt Roeckx Installed-Size: 696 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4), dpkg (>= 1.15.4) | install-info Conflicts: dancer-ircd, ircd, ircd-dalnet Priority: optional Section: net Filename: pool/main/i/ircd-irc2/ircd-irc2_2.11.2p2+dfsg-2_armhf.deb Size: 426152 SHA256: 1169f19969573cd4959f7ebcb761c313884cc42a3cdb2e88fdab7740ca5170d7 SHA1: 0499ec5b8818ac1a3a9f961bede3ac2ac2e710a8 MD5sum: 92d0b7d9a337674a9ea737aee8a51cb9 Description: The original IRC server daemon This is the original Internet Relay Chat (IRC) daemon, allowing interactive character based communication between people connected to this server with IRC clients. . This version of ircd is mostly used on the IRCNet irc network. Package: ircd-ircu Version: 2.10.12.10.dfsg1-1.1 Architecture: armhf Maintainer: Martin Loschwitz Installed-Size: 1011 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Conflicts: ircd-irc2 Replaces: ircd (>= 2.10.10.pl18-4), ircd-dalnet Provides: ircd Priority: optional Section: net Filename: pool/main/i/ircd-ircu/ircd-ircu_2.10.12.10.dfsg1-1.1_armhf.deb Size: 643418 SHA256: 0421aa862014ed4310d306768e21f19532e899d38fe95da229836b49a305f2b2 SHA1: 9f5e7144f11627639ddd6207ed6d71a6a4e8ec1a MD5sum: e2fbeb6e57f9784c3ee6109d14aeeaea Description: Undernet IRC Server daemon This is ircu, the Undernet Internet Relay Chat daemon, which allows interactive character based communication between people connected to this server with IRC clients. It is based on the latest stable version which can be downloaded from http://coder-com.undernet.org/. . This version is compiled for network usage but it can also run standalone. You can modify its behaviour with reconfiguring/rebuilding. Package: ircd-ratbox Version: 3.0.7.dfsg-3 Architecture: armhf Maintainer: Marc Dequènes (Duck) Installed-Size: 1522 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0), libltdl7 (>= 2.4.2), libsqlite3-0 (>= 3.5.9) Suggests: ntp | openntpd | time-daemon Provides: ircd Homepage: http://www.ratbox.org/ Priority: optional Section: net Filename: pool/main/i/ircd-ratbox/ircd-ratbox_3.0.7.dfsg-3_armhf.deb Size: 599106 SHA256: db8b29ef0bb6a179d10200561ff46bce16a97e8b344c78d7d72455e5432d70f0 SHA1: 8ff36ef836eaf528f9a39fed776be71020b2021b MD5sum: e481ab4e73c5d9e3c506897ba9e1e13a Description: advanced, stable and fast ircd ircd-ratbox is a full featured ircd. It is the primary ircd used on EFNet, combining the stability of an ircd required for a large production network together with a rich set of features making it suitable for use on smaller networks. . ircd-ratbox also has a twin services package with compatibility code in ircd-ratbox allowing for enhanced features and better support for networks with channel registration. Package: ircd-ratbox-dbg Source: ircd-ratbox Version: 3.0.7.dfsg-3 Architecture: armhf Maintainer: Marc Dequènes (Duck) Installed-Size: 1839 Depends: ircd-ratbox (= 3.0.7.dfsg-3) Homepage: http://www.ratbox.org/ Priority: extra Section: debug Filename: pool/main/i/ircd-ratbox/ircd-ratbox-dbg_3.0.7.dfsg-3_armhf.deb Size: 1066504 SHA256: d33cc02be979e0e76cb1de86dcdec31c558023a4f4a851cc1b7938f6571843d9 SHA1: 3febaa1fe5594051882fa93bebae527bdaba8dae MD5sum: 672114531a5148adf9c64cc5020697ee Description: debugging symbols for ircd-ratbox ircd-ratbox is a full featured ircd. It is the primary ircd used on EFNet, combining the stability of an ircd required for a large production network together with a rich set of features making it suitable for use on smaller networks. . ircd-ratbox also has a twin services package with compatibility code in ircd-ratbox allowing for enhanced features and better support for networks with channel registration. . This package contains the debugging symbols to debug ircd-ratbox. Package: ircii Version: 20060725-1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 1179 Depends: libc6 (>= 2.8), libtinfo5 Provides: irc Homepage: http://www.eterna.com.au/ircii/ Priority: optional Section: net Filename: pool/main/i/ircii/ircii_20060725-1_armhf.deb Size: 501706 SHA256: a2cf01d8b946d0a61a7ff9e833ec8543cc1dcd8dc51d9d3ff2fdf5cd68c50c58 SHA1: 3acdd7406bb682e6a2ff1fec5a86f188302ab9c6 MD5sum: 7cb20c1561b13215f53a7197722a7c24 Description: Internet Relay Chat client The ircII program is a full screen, termcap based interface to Internet Relay Chat. It gives full access to all of the normal IRC functions, plus a variety of additional options. This Version is able to display mIRC colors. It supports "/encrypt -cast" Package: ircmarkers Version: 0.14-2 Architecture: armhf Maintainer: Christoph Berg Installed-Size: 155 Depends: libgd-gd2-perl, libc6 (>= 2.7) Suggests: gnupg, ttf-dejavu-core Homepage: http://www.df7cb.de/projects/ircmarkers/ Priority: extra Section: graphics Filename: pool/main/i/ircmarkers/ircmarkers_0.14-2_armhf.deb Size: 41506 SHA256: eb0225a79396f1fa1d15b5fe06e4f0d77a2be4d2901702b717aaf3e6da0aaaac SHA1: 9e3fd48e92a844a4be7a23db58660458cbea4efc MD5sum: 185ba2959a917f77ac99f842716e5e86 Description: place markers on maps at given coordinates IrcMarkers takes a map in .png or .jpg format and a list of coordinates and labels in xplanet format and places markers on the map. It was written to generate user maps of IRC channels. GnuPG/PGP key ids can be associated with each marker, to create "maps of trust". Tag: field::geography, implemented-in::perl, interface::commandline, role::program, scope::utility, use::TODO, use::entertaining, works-with-format::jpg, works-with-format::png, works-with::image:raster Package: ircp-tray Version: 0.7.6-1.1 Architecture: armhf Maintainer: Devid Antonio Filoni Installed-Size: 312 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.18.0), libnotify4 (>= 0.7.0), libopenobex1, libpango1.0-0 (>= 1.14.0), irda-utils Homepage: https://launchpad.net/ircp-tray Priority: extra Section: comm Filename: pool/main/i/ircp-tray/ircp-tray_0.7.6-1.1_armhf.deb Size: 54440 SHA256: 113818cda87eb749d300941a6501d9bf277403c6f35b6f1a61654db992a6174b SHA1: 2efb0d18da495e27ea4195e7ebf710b97bfa8493 MD5sum: 696758dbd62fa2739b5809748421267b Description: IrDA and OBEX wireless file transfer Ircp Tray is a IrDA file transfer program for Linux. It stays inside your system tray, listening for incoming IrOBEX file transfer request, as well as sending file out to remote devices via IrDA. . Ircp Tray is compliant with OBEX Push protocol. Package: irda-utils Version: 0.9.18-12 Architecture: armhf Maintainer: Alberto Gonzalez Iniesta Installed-Size: 229 Pre-Depends: debconf | debconf-2.0 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), debconf (>= 0.5) | debconf-2.0, udev | makedev, kmod Recommends: openobex-apps, setserial Suggests: libgsmme1c102, liblinc1, obexftp Conflicts: irda-common, irda-tools Replaces: irda-common, irda-tools Provides: irda-tools Homepage: http://irda.sourceforge.net Priority: optional Section: utils Filename: pool/main/i/irda-utils/irda-utils_0.9.18-12_armhf.deb Size: 86192 SHA256: 9b2f59e37e3fb58e774064c5009dc499175eb47588b5854b323f8cd83da6875e SHA1: 332c2c130fc2a13c4443c2138ab4336991cd1dec MD5sum: 314e3996f28c05886e6cba8d412dc2d7 Description: IrDA management and handling utilities This package contains userspace utilities to manage and handle infrared devices. It includes irattach, findchip, irdadump, irdaping and irpsion5. OBEX tools are removed since 0.9.5. If you need to use IrOBEX, use openobex-apps package. Package: iripdb Version: 0.1.3b-1.1 Architecture: armhf Maintainer: Christoph Siess (CHS) Installed-Size: 61 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libtag1c2a (>= 1.5), zlib1g (>= 1:1.1.4) Priority: optional Section: sound Filename: pool/main/i/iripdb/iripdb_0.1.3b-1.1_armhf.deb Size: 13910 SHA256: 5ab0c771fc18db0ef9af505b407a97cd9e201e47563b86c59d96dcfe08d2a0cc SHA1: 6e85d69475c2b727cc3c5261c9d962d04953c084 MD5sum: 3f5d5d488fc83a412b2684bb2fd4e408 Description: Generates the DB files for the iRiver iHP-1xx iRipDB allows generating the DB files necessary for the iRiver iHP-1xx series of MP3/Ogg HD Player on Linux and Windows. . That will allow you to navigate your files through the artist/album/genre menus. It supports adding MP3 and Ogg files at this point. Package: iroffer Version: 1.4.b03-3 Architecture: armhf Maintainer: Aurélien GÉRÔME Installed-Size: 263 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://iroffer.org/ Priority: extra Section: net Filename: pool/main/i/iroffer/iroffer_1.4.b03-3_armhf.deb Size: 127882 SHA256: 78ee2ef35f69596ec27f3ea9de6272d5e9274c3b8817ee16b9b7561d771f0d63 SHA1: 5c5a462b266aeb0b317458cc35d9ea06e17918e9 MD5sum: 540680bcb475e59fa6c4581e31511a85 Description: IRC file distribution bot iroffer is a fileserver for IRC (commonly referred to as a DCC bot). It uses the DCC feature of IRC to send files to other users. iroffer will connect to an IRC server and let people request files from it. Unlike similar programs, iroffer is not a script; it is a standalone executable written entirely in C, from scratch, with high transfer speed and efficiency in mind. iroffer has been known to reach 2MByte/sec or higher bandwidth usage when multiple transfers are occurring at the same time. Package: irqbalance Version: 1.0.3-3 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 101 Depends: libc6 (>= 2.13-28), libcap-ng0, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.28.0), debconf (>= 0.5) | debconf-2.0, lsb-base (>= 3.1) Homepage: http://code.google.com/p/irqbalance/ Priority: extra Section: utils Filename: pool/main/i/irqbalance/irqbalance_1.0.3-3_armhf.deb Size: 29234 SHA256: bbccdf07ddefaa40d80488a8e6827016cf19f225e89c5d29079722601caf681a SHA1: d8bcdf3294f775c8dc32bc8c49fcf8341d228b1d MD5sum: d179b24496e12bfaf26a789c08c561f5 Description: Daemon to balance interrupts for SMP systems Daemon to balance interrupts across multiple CPUs, which can lead to better performance and IO balance on SMP systems. This package is especially useful on systems with multi-core processors, as interrupts will typically only be serviced by the first core. . Note: irqbalance is not useful if you don't have more than one core or socket. Package: irsim Version: 9.7.75-1 Architecture: armhf Maintainer: Roland Stigge Installed-Size: 754 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libx11-6, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0) Homepage: http://opencircuitdesign.com/irsim/ Priority: extra Section: electronics Filename: pool/main/i/irsim/irsim_9.7.75-1_armhf.deb Size: 246442 SHA256: 7c085c421482a964d9f38ac59498af7e70551f81c9c2b2dff18cfcf65d232608 SHA1: 81216df2aa1fa79d03e8beae5783d5b43d47ff7d MD5sum: a35213925bbc03901947812cc5d23b00 Description: switch-level simulator IRSIM is a tool for simulating digital circuits. It is a "switch-level" simulator; that is, it treats transistors as ideal switches. Extracted capacitance and lumped resistance values are used to make the switch a little bit more realistic than the ideal, using the RC time constants to predict the relative timing of events. Package: irssi Version: 0.8.15-5+deb7u6 Architecture: armhf Maintainer: Gerfried Fuchs Installed-Size: 2043 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libncurses5 (>= 5.5-5~), libperl5.14 (>= 5.14.2), libssl1.0.0 (>= 1.0.0), libtinfo5, perl (>= 5.14.2-21+rpi2+deb7u2), perlapi-5.14.2, perl-base (>= 5.8.1) Suggests: irssi-scripts Homepage: http://irssi.org/ Priority: optional Section: net Filename: pool/main/i/irssi/irssi_0.8.15-5+deb7u6_armhf.deb Size: 1056024 SHA256: 8c49e9394d4d04fd57be7c86b4be9493ccd8a7156de4b185ca4f09a855d1af66 SHA1: 629de6a1de0c8f5c48d375d87202c510c43359bb MD5sum: 94e0a1b8f3b1241b5cb33f314f39f963 Description: terminal based IRC client Irssi is a terminal based IRC client for UNIX systems. It also supports SILC and ICB protocols via plugins. . Features include: * Autologging * Formats and themes * Configurable keybindings * Paste detection * Perl scripting * Irssi-proxy * Transparent upgrading * Recode support Package: irssi-dev Source: irssi Version: 0.8.15-5+deb7u6 Architecture: armhf Maintainer: Gerfried Fuchs Installed-Size: 471 Depends: irssi Homepage: http://irssi.org/ Priority: optional Section: net Filename: pool/main/i/irssi/irssi-dev_0.8.15-5+deb7u6_armhf.deb Size: 296696 SHA256: c5d88a18f4674b1d6f1a764f4d0029e0a570bacd900aab579e7c65d1695f5ba0 SHA1: 042f93d7631fde90a1bcbd6aa7fb314ef62a6f3a MD5sum: 96d16a0e460e62e0d1eb0bb567c85549 Description: terminal based IRC client - development files Irssi is a terminal based IRC client for UNIX systems. It also supports SILC and ICB protocols via plugins. . Features include: * Autologging * Formats and themes * Configurable keybindings * Paste detection * Perl scripting * Irssi-proxy * Transparent upgrading * Recode support . This package includes the development files for the irssi client. Package: irssi-plugin-otr Version: 0.3-2 Architecture: armhf Maintainer: David Spreen Installed-Size: 91 Depends: irssi (>= 0.8.14), libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.14.0), libotr2 (>= 3.2.0) Homepage: http://irssi-otr.tuxfamily.org/ Priority: optional Section: net Filename: pool/main/i/irssi-plugin-otr/irssi-plugin-otr_0.3-2_armhf.deb Size: 23644 SHA256: e087bef773afb7ebb4486b5a76ab8cd6fe3b35e438fb0cfe90505698d9009970 SHA1: 390e7459e98ddef5843c5fcae755b5e6a8b192f1 MD5sum: d08feb5d4a78f8b1234396628cd9bb81 Description: Off-the-Record Messaging Plugin for Irssi Off-the-Record (OTR) Messaging Plugin for the Irssi Chat Client . This plugin adds Off-the-Record messaging support for the irssi IRC client. Although primarily designed for use with the bitlbee IRC2IM gateway, it works within any query window, provided that the conversation partner's IRC client supports OTR. . OTR allows you to have private conversations over IM by providing: - Encryption - No one else can read your instant messages. - Authentication - You are assured the correspondent is who you think it is. - Deniability - The messages you send do _not_ have digital signatures that are checkable by a third party. Anyone can forge messages after a conversation to make them look like they came from you. However, _during_ a conversation, your correspondent is assured the messages he sees are authentic and unmodified. - Perfect forward secrecy - If you lose control of your private keys, no previous conversation is compromised. Package: irssi-plugin-xmpp Version: 0.52-1 Architecture: armhf Maintainer: Florian Schlichting Installed-Size: 243 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libidn11 (>= 1.13), libloudmouth1-0 (>= 1.3.3), irssi (>= 0.8.13) Enhances: irssi Homepage: http://cybione.org/~irssi-xmpp/ Priority: optional Section: net Filename: pool/main/i/irssi-plugin-xmpp/irssi-plugin-xmpp_0.52-1_armhf.deb Size: 74526 SHA256: 05245e0ed590e93aba71107847ee63f9a7d7dd18f5cb2391de47295930379b86 SHA1: 39d0dd7c4cc5b21154831ffcf3d9971cb35b3bb1 MD5sum: 55dc940cb4e66ac6ae9f6f1664813d46 Description: XMPP plugin for irssi An irssi plugin to connect to the Jabber network, using the XMPP protocol. . Its main features are: - Sending and receiving messages in irssi's query windows - A roster with contact & resource tracking (contact list) - Contact management (add, remove, manage subscriptions) - Tab completion of commands, JIDs and resources - Support for multiple accounts - Unicode support (UTF-8) - SSL support Package: irssi-plugin-xmpp-dbg Source: irssi-plugin-xmpp Version: 0.52-1 Architecture: armhf Maintainer: Florian Schlichting Installed-Size: 99 Depends: irssi (>= 0.8.13), irssi-plugin-xmpp (= 0.52-1) Enhances: irssi Homepage: http://cybione.org/~irssi-xmpp/ Priority: extra Section: debug Filename: pool/main/i/irssi-plugin-xmpp/irssi-plugin-xmpp-dbg_0.52-1_armhf.deb Size: 18970 SHA256: aa0bdf0b3ed0e1236ebb1ba5e31a0fa50751ef68d812d42da8631f700c2d6a1c SHA1: a40478367f212edb2f61f9145c76db50d49d42b9 MD5sum: bc6944b0f4d306dd9aa522535582f360 Description: XMPP plugin for irssi - Debugging symbols An irssi plugin to connect to the Jabber network, using the XMPP protocol. . Its main features are: - Sending and receiving messages in irssi's query windows - A roster with contact & resource tracking (contact list) - Contact management (add, remove, manage subscriptions) - Tab completion of commands, JIDs and resources - Support for multiple accounts - Unicode support (UTF-8) - SSL support . This package features debugging symbols for the plugin. Package: irssi-scripts Version: 20120326 Installed-Size: 2564 Maintainer: Ryan Niebur Architecture: all Depends: perl, irssi Recommends: libwww-perl Suggests: libdbi-perl, net-tools, perl-modules, w3m | www-browser Size: 733336 SHA256: d097e1f8d15600ddf6a042a47cb6f6ebfa77182bcd6b648585fd5a69a9b31e00 SHA1: 17e1334cfcc67fe2fc848f49d7b200731746c0b8 MD5sum: 8c16890ab58b6e6306092683d3311862 Description: collection of scripts for irssi This is a collection of scripts for the irssi IRC-client. . Some individual scripts need other packages in order to work properly. Please see the list of Suggests as well as the contained README.Debian for additional information. . Almost all scripts can also be downloaded from http://scripts.irssi.org/. Homepage: http://scripts.irssi.org/ Tag: implemented-in::perl, interface::text-mode, protocol::dcc, protocol::irc, role::app-data, use::chatting Section: net Priority: optional Filename: pool/main/i/irssi-scripts/irssi-scripts_20120326_all.deb Package: irussian Source: rus-ispell Version: 0.99g5-18 Installed-Size: 651 Maintainer: Mikhail Gusarov Architecture: all Provides: ispell-dictionary Depends: dictionaries-common (>= 0.49.2), ispell, debconf (>= 0.5) | debconf-2.0 Size: 544112 SHA256: 0f7e2be469e4176bc75eef99e0cf03b2eba2fef8ebb93f2c928062e12e810aa4 SHA1: 789080d3fb077226feaf9f7aba5df9d1e49e648d MD5sum: 0afc5c7dd9abf13e3416ca5460f796d1 Description: Russian dictionary for Ispell This dictionary contains Russian wordlists for the Ispell spellchecker. . The dictionary contains over 122,200 stem words and produces over 1,168,000 derivate words, including support for the :E (yo) letter. Tag: culture::russian, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/r/rus-ispell/irussian_0.99g5-18_all.deb Package: isag Source: sysstat Version: 10.0.5-1 Installed-Size: 126 Maintainer: Robert Luberda Architecture: all Depends: gnuplot-x11, sysstat (>= 10.0.5-1), tk8.5 | wish Suggests: rcs Size: 51440 SHA256: de0a4e5152ee7786b240ce490d74eea67d6bbe69b6941fa10be051f6705abfb0 SHA1: df9083ceebc7e436ff7cbbed5601f4ff29eb8edc MD5sum: b58c2f9276c62b9258b3b6aae24d954b Description: Interactive System Activity Grapher for sysstat This package provides the command isag, which graphically displays the system activity data stored in the binary logs produced by sar (in the package sysstat). Homepage: http://pagesperso-orange.fr/sebastien.godard/ Tag: admin::accounting, admin::logging, implemented-in::tcl, interface::x11, role::program, uitoolkit::tk, use::monitor, works-with::image, works-with::image:vector, x11::application Section: admin Priority: optional Filename: pool/main/s/sysstat/isag_10.0.5-1_all.deb Package: isakmpd Version: 20041012-7.2 Architecture: armhf Maintainer: Jochen Friedrich Installed-Size: 433 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libssl1.0.0 (>= 1.0.0), lsb-base (>= 3.0-6) Provides: ike-server Priority: optional Section: net Filename: pool/main/i/isakmpd/isakmpd_20041012-7.2_armhf.deb Size: 188916 SHA256: a0711e77e9062d764ebade20b94189269cde40ea1140a46d02775f6721e0c3f2 SHA1: 849539ece615cfa41f85902fb28e63870237ce4a MD5sum: 0ea46b8d2e4c2957e49dd2e8ed9ad364 Description: The Internet Key Exchange protocol openbsd implementation IKE is a protocol which allow to exchange security information between to peers. This implementation requires the native Linux ipsec support. Package: isatapd Version: 0.9.6-2 Architecture: armhf Maintainer: Bernhard Schmidt Installed-Size: 104 Depends: libc6 (>= 2.7) Homepage: http://saschahlusiak.de/linux/isatap.htm Priority: extra Section: net Filename: pool/main/i/isatapd/isatapd_0.9.6-2_armhf.deb Size: 18756 SHA256: 795980a74a94c78278046795b2072aa455c7c5d41c02a1de3f772e4a9122397d SHA1: 662b5163b61f807a1b0587aa0b85ca97ab3ee9a8 MD5sum: 6add60837c5468c9d66f2eb379e5ea01 Description: creates and maintains an ISATAP client tunnel (RFC 5214) isatapd creates and maintains an ISATAP client tunnel (RFC 5214) in Linux. . It uses the in-kernel ISATAP support first introduced in linux-2.6.25. It does NOT operate the tunnel or handle any IPv6 traffic, it only sets up the tunnel parameters, the Potential Router List, sends periodic router solicitations and tries to detect link changes. Package: isc-dhcp-client Source: isc-dhcp Version: 4.2.2.dfsg.1-5+deb70u9 Architecture: armhf Maintainer: Debian ISC DHCP maintainers Installed-Size: 1500 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), debianutils (>= 2.8.2), isc-dhcp-common (= 4.2.2.dfsg.1-5+deb70u9), iproute Suggests: resolvconf, avahi-autoipd Conflicts: dhcp-client (<< 3.0), ifupdown (<< 0.6.8+nmu3), resolvconf (<= 1.45), samba-common (<< 3.0.0beta1-2) Replaces: dhcp3-client Provides: dhcp-client, dhcp3-client Priority: important Section: net Filename: pool/main/i/isc-dhcp/isc-dhcp-client_4.2.2.dfsg.1-5+deb70u9_armhf.deb Size: 743356 SHA256: 28b8063b1451f05d2a6b401e1092e68191a24de0c5c4cd5d6d26305851594c0f SHA1: 373b8233c4ef157c6250c1a8d06f97243f660abd MD5sum: 759c13e80a8d1d63eb29aed050cf9ee1 Description: ISC DHCP client This is the client from the Internet Software Consortium's implementation of DHCP. For more information visit http://www.isc.org. . Dynamic Host Configuration Protocol (DHCP) is a protocol like BOOTP (actually dhcpd includes much of the functionality of bootpd). It gives client machines "leases" for IP addresses and can automatically set their network configuration. If your machine depends on DHCP (especially likely if it's a workstation on a large network, or a laptop, or attached to a cable modem), keep this or another DHCP client installed. . Extra documentation can be found in the package isc-dhcp-common. Package: isc-dhcp-client-dbg Source: isc-dhcp Version: 4.2.2.dfsg.1-5+deb70u9 Architecture: armhf Maintainer: Debian ISC DHCP maintainers Installed-Size: 3692 Depends: debianutils (>= 2.8.2), isc-dhcp-common (= 4.2.2.dfsg.1-5+deb70u9) Suggests: resolvconf, avahi-autoipd Conflicts: dhcp-client (<< 3.0), samba-common (<< 3.0.0beta1-2) Priority: extra Section: debug Filename: pool/main/i/isc-dhcp/isc-dhcp-client-dbg_4.2.2.dfsg.1-5+deb70u9_armhf.deb Size: 1535076 SHA256: 9eecf0c17492b14f4bde868de46a130a05351b23cab50746be9a83eb7ae53ef2 SHA1: 62803a8dd2b195e0e4765031a344239327e6bcb9 MD5sum: 64b77df145e5ea5fa1cceeb4f76948d9 Description: ISC DHCP client (debugging symbols) This is the client from the Internet Software Consortium's implementation of DHCP. For more information visit http://www.isc.org. . This package contains the debugging symbols for isc-dhcp-client . Dynamic Host Configuration Protocol (DHCP) is a protocol like BOOTP (actually dhcpd includes much of the functionality of bootpd). It gives client machines "leases" for IP addresses and can automatically set their network configuration. If your machine depends on DHCP (especially likely if it's a workstation on a large network, or a laptop, or attached to a cable modem), keep this or another DHCP client installed. . Extra documentation can be found in the package isc-dhcp-common. Package: isc-dhcp-common Source: isc-dhcp Version: 4.2.2.dfsg.1-5+deb70u9 Architecture: armhf Maintainer: Debian ISC DHCP maintainers Installed-Size: 1503 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), debianutils (>= 2.8.2) Replaces: dhcp3-common Provides: dhcp3-common Priority: important Section: net Filename: pool/main/i/isc-dhcp/isc-dhcp-common_4.2.2.dfsg.1-5+deb70u9_armhf.deb Size: 803630 SHA256: 8f39c8c691bd3127870b6c85270853c8132df589e5fab0d8b8f60337f87f97b6 SHA1: 7e598bc983e4266b6db063549c2d0320c84e3836 MD5sum: 581fa7e98573aded980baefd7ba489be Description: common files used by all the isc-dhcp* packages This package contains the files used by all the packages from ISC DHCP. Package: isc-dhcp-dev Source: isc-dhcp Version: 4.2.2.dfsg.1-5+deb70u9 Architecture: armhf Maintainer: Debian ISC DHCP maintainers Installed-Size: 1492 Depends: libc6-dev Replaces: dhcp3-dev Provides: dhcp3-dev Priority: optional Section: devel Filename: pool/main/i/isc-dhcp/isc-dhcp-dev_4.2.2.dfsg.1-5+deb70u9_armhf.deb Size: 673518 SHA256: b887ad7a880983baadcfe390e7025364c6e9281a8610b0c4a027064c4a5533eb SHA1: aebe1eb213a2fe4ab46525be59f0eccddd4780b6 MD5sum: fbd820f25a3541da763a0b9db34ae607 Description: API for accessing and modifying the DHCP server and client state OMAPI is an API for accessing and modifying the DHCP server and client state. Package: isc-dhcp-relay Source: isc-dhcp Version: 4.2.2.dfsg.1-5+deb70u9 Architecture: armhf Maintainer: Debian ISC DHCP maintainers Installed-Size: 1408 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), debianutils (>= 2.8.2), isc-dhcp-common (= 4.2.2.dfsg.1-5+deb70u9) Conflicts: dhcp-relay Replaces: dhcp3-relay Provides: dhcp3-relay Priority: optional Section: net Filename: pool/main/i/isc-dhcp/isc-dhcp-relay_4.2.2.dfsg.1-5+deb70u9_armhf.deb Size: 685554 SHA256: 1eb4410d9b5fa15c0047bdc60be1b6fa3082ec12fd34a8210f1471beb6765588 SHA1: 0697dae665affe8160ac752c0fe11d7785e89205 MD5sum: 3f357a114eea6429cbbc832585939cc9 Description: ISC DHCP relay daemon This is the relay from the Internet Software Consortium's implementation of DHCP. For more information visit http://www.isc.org. . Installing this package will make the machine a DHCP relay, which requires a reachable DHCP or BOOTP server in order to function. . Extra documentation can be found in the package isc-dhcp-common. Package: isc-dhcp-relay-dbg Source: isc-dhcp Version: 4.2.2.dfsg.1-5+deb70u9 Architecture: armhf Maintainer: Debian ISC DHCP maintainers Installed-Size: 3571 Depends: debianutils (>= 2.8.2), isc-dhcp-common (= 4.2.2.dfsg.1-5+deb70u9) Conflicts: dhcp-relay Priority: extra Section: debug Filename: pool/main/i/isc-dhcp/isc-dhcp-relay-dbg_4.2.2.dfsg.1-5+deb70u9_armhf.deb Size: 1494072 SHA256: 6d4bc5502b98e633b4a731ced46aa9cb8e0ab3cf0f91cd6dcb55e917d5ed998e SHA1: 1750a23118a7ac79b3509410b281c8b202f4d9a5 MD5sum: e77e41b8d5287ad140a37137306b18b4 Description: DHCP relay daemon (debugging symbols) This is the relay from the Internet Software Consortium's implementation of DHCP. For more information visit http://www.isc.org. . This package contains the debugging symbols for isc-dhcp-relay . Installing this package will make the machine a DHCP relay, which requires a reachable DHCP or BOOTP server in order to function. . Extra documentation can be found in the package isc-dhcp-common. Package: isc-dhcp-server Source: isc-dhcp Version: 4.2.2.dfsg.1-5+deb70u9 Architecture: armhf Maintainer: Debian ISC DHCP maintainers Installed-Size: 1752 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), debianutils (>= 2.8.2), isc-dhcp-common (= 4.2.2.dfsg.1-5+deb70u9), lsb-base Suggests: isc-dhcp-server-ldap Conflicts: dhcp Replaces: dhcp3-server Provides: dhcp3-server Priority: optional Section: net Filename: pool/main/i/isc-dhcp/isc-dhcp-server_4.2.2.dfsg.1-5+deb70u9_armhf.deb Size: 886276 SHA256: d79b4445353b7a91a540fc1e08b9a4468fd6f7621ee384d8fc158c9575f2f430 SHA1: 7f227ff888764eadf4d21cba9e31b1ec15f6005d MD5sum: 138c92a6a23770b2fcf29ed402813bb5 Description: ISC DHCP server for automatic IP address assignment This is the server from the Internet Software Consortium's implementation of DHCP. For more information, visit http://www.isc.org. . Dynamic Host Configuration Protocol (DHCP) is a protocol like BOOTP (actually dhcpd includes much of the functionality of bootpd). It gives client machines "leases" for IP addresses and can automatically set their network configuration. . This server can handle multiple ethernet interfaces. Package: isc-dhcp-server-dbg Source: isc-dhcp Version: 4.2.2.dfsg.1-5+deb70u9 Architecture: armhf Maintainer: Debian ISC DHCP maintainers Installed-Size: 4214 Depends: debianutils (>= 2.8.2), isc-dhcp-common (= 4.2.2.dfsg.1-5+deb70u9), lsb-base Suggests: isc-dhcp-server-ldap Conflicts: dhcp Priority: extra Section: debug Filename: pool/main/i/isc-dhcp/isc-dhcp-server-dbg_4.2.2.dfsg.1-5+deb70u9_armhf.deb Size: 1751124 SHA256: 8790912ee7289a939d429df0cf855dc52cbea93fb39c0641b60d1b00e97b9b85 SHA1: cf9770bc2089a7a22ec903abbcebb457f4714435 MD5sum: ebee04f411582279b652de069176c465 Description: ISC DHCP server for automatic IP address assignment (debug) This is the server from the Internet Software Consortium's implementation of DHCP. For more information, visit http://www.isc.org. . This package contains the debugging symbols for isc-dhcp-server . Dynamic Host Configuration Protocol (DHCP) is a protocol like BOOTP (actually dhcpd includes much of the functionality of bootpd). It gives client machines "leases" for IP addresses and can automatically set their network configuration. . This server can handle multiple ethernet interfaces. Package: isc-dhcp-server-ldap Source: isc-dhcp Version: 4.2.2.dfsg.1-5+deb70u9 Architecture: armhf Maintainer: Debian ISC DHCP maintainers Installed-Size: 1706 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), debianutils (>= 2.8.2), isc-dhcp-common (= 4.2.2.dfsg.1-5+deb70u9), isc-dhcp-server (= 4.2.2.dfsg.1-5+deb70u9) Enhances: isc-dhcp-server Conflicts: dhcp Replaces: dhcp3-server-ldap Provides: dhcp3-server-ldap Priority: optional Section: net Filename: pool/main/i/isc-dhcp/isc-dhcp-server-ldap_4.2.2.dfsg.1-5+deb70u9_armhf.deb Size: 844448 SHA256: 71678929ae259db8867b2f1091cd25db3a6713c20d6934ffddbc0956712bcb31 SHA1: f8c1370d34d0f8d6953b7a8918e4393e18af040d MD5sum: ea465236c3b9e2a74fdaab5b5c2f720b Description: DHCP server able to use LDAP as backend This is the server from the Internet Software Consortium's implementation of DHCP. For more information, visit http://www.isc.org. . Dynamic Host Configuration Protocol (DHCP) is a protocol like BOOTP (actually dhcpd includes much of the functionality of bootpd). It gives client machines "leases" for IP addresses and can automatically set their network configuration. . This is the DHCP server with LDAP patches applied to it. Package: iscsitarget Version: 1.4.20.2-10.1 Architecture: armhf Maintainer: Debian iSCSI Maintainers Installed-Size: 182 Depends: libc6 (>= 2.13-28), procps, lsb-base (>= 3.2-14) Recommends: iscsitarget-module Suggests: iscsitarget-dkms Conflicts: iscsitarget-source Homepage: http://iscsitarget.sourceforge.net/ Priority: optional Section: net Filename: pool/main/i/iscsitarget/iscsitarget_1.4.20.2-10.1_armhf.deb Size: 72348 SHA256: fe022ff44836fb61d870d44246f12457daa8bbc3d1b1679db487a035694b8657 SHA1: 6f7f69c32252b4820f601d3d9de2ba737da79be9 MD5sum: 90864979b87734d9a7ae761179f49d88 Description: iSCSI Enterprise Target userland tools iSCSI Enterprise Target is for building an iSCSI storage system on Linux. It is aimed at developing an iSCSI target satisfying enterprise requirements. . This package contains the userland part; you require the kernel module for proper operation. Package: iscsitarget-dkms Source: iscsitarget Version: 1.4.20.2-10.1 Installed-Size: 299 Maintainer: Debian iSCSI Maintainers Architecture: all Depends: dkms (>= 1.95), make Recommends: linux-headers Conflicts: iscsitarget-source Size: 75466 SHA256: 41406222a5f2c67091a55329abff4454305605f06268a12958b677c849be75c1 SHA1: 06942d71e2ff82f523eaa36c6d657a016fcdc7c2 MD5sum: 0edc3ecd814f742e4051f0f93b5c0ff8 Description: iSCSI Enterprise Target kernel module source - dkms version iSCSI Enterprise Target is for building an iSCSI storage system on Linux. It is aimed at developing an iSCSI target satisfying enterprise requirements. . This package provides the source code for the iscsitarget kernel module. The iscsitarget package is also required in order to make use of this module. Kernel source or headers are required to compile this module. . This package contains the source to be built with dkms. Homepage: http://iscsitarget.sourceforge.net/ Section: net Priority: optional Filename: pool/main/i/iscsitarget/iscsitarget-dkms_1.4.20.2-10.1_all.deb Package: isdnlog Source: isdnutils Version: 1:3.25+dfsg1-3.3~deb7u1 Architecture: armhf Maintainer: Rolf Leggewie Installed-Size: 1459 Depends: isdnutils-base (= 1:3.25+dfsg1-3.3~deb7u1), isdnlog-data (>= 1:3.25+dfsg1-3.3~deb7u1), debconf (>= 1.2.9) | debconf-2.0, ucf, libc6 (>= 2.13-28) Homepage: http://www.isdn4linux.de Priority: extra Section: utils Filename: pool/main/i/isdnutils/isdnlog_3.25+dfsg1-3.3~deb7u1_armhf.deb Size: 588314 SHA256: 8f6f50f848711492a5f98bd5ea6f8da350164911d6f0bb1c4df45a78d8ed9a2f SHA1: 418249fc9e15e58826a2e200a60f95302611d8e4 MD5sum: 14f4b918180536290728aa419c97c9bc Description: ISDN utilities - connection logger This package provides a set of utilities that can log ISDN connections and calculate the cost of calls. If sufficient data is available, it can even recommend which alternate carrier would have been cheaper for a given call. For countries where calls are charged per discrete unit, it can disconnect the line just before the next unit starts. Package: isdnlog-data Source: isdnutils Version: 1:3.25+dfsg1-3.3~deb7u1 Installed-Size: 2126 Maintainer: Rolf Leggewie Architecture: all Recommends: isdnlog (>= 1:3.25+dfsg1-3.3~deb7u1) Suggests: isdnutils-doc Size: 841074 SHA256: b4ab43f9b5b511269b797f97c3210cd60d089b1b107942ed492c6ab20bc16bf5 SHA1: 89739c8b76f9f4a50ed82aec0fe1e5453d5fbed9 MD5sum: 11459c64f9316ef098fbed2768c2db0f Description: ISDN utilities - connection logger data This package provides data related to call pricing, area codes, etcetera, in various countries, and is only useful with isdnlog. Homepage: http://www.isdn4linux.de Tag: admin::logging, hardware::modem, role::app-data Section: utils Priority: extra Filename: pool/main/i/isdnutils/isdnlog-data_3.25+dfsg1-3.3~deb7u1_all.deb Package: isdnutils-base Source: isdnutils Version: 1:3.25+dfsg1-3.3~deb7u1 Architecture: armhf Maintainer: Rolf Leggewie Installed-Size: 360 Pre-Depends: debconf (>= 1.2.9) | debconf-2.0 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5, lsb-base (>= 1.3-9ubuntu3), makedev Suggests: ipppd, isdnlog, isdnutils-doc, isdnutils-xtools, isdnvboxserver, isdnvboxclient Homepage: http://www.isdn4linux.de Priority: optional Section: utils Filename: pool/main/i/isdnutils/isdnutils-base_3.25+dfsg1-3.3~deb7u1_armhf.deb Size: 151896 SHA256: d79a9ac5b8b4c1684900ab29a27474b59099360817f314673c79ad4e8637c3e6 SHA1: e35fe3e655e9cc639b8916d4304b9af526f9ff49 MD5sum: 7b642eb5d32915e9f5f2614094a97d9d Description: ISDN utilities - minimal set This package provides the basic set of ISDN utilities needed to make use of an ISDN card. Package: isdnutils-doc Source: isdnutils Version: 1:3.25+dfsg1-3.3~deb7u1 Installed-Size: 1201 Maintainer: Rolf Leggewie Architecture: all Suggests: isdnutils-base (= 1:3.25+dfsg1-3.3~deb7u1) Size: 673720 SHA256: 2af68f89df9f3dfdfc2f2714603cfbbafdf62e23d6182a2b497dc2ea35446992 SHA1: 952ba3654718e2530ae8f6e9447f6ee113f2742d MD5sum: 78752c757b0a6c93cca7b57ed2e63171 Description: ISDN utilities - documentation This package includes the FAQ and other miscellaneous documentation for the ISDN utilities suite, in English and German. Homepage: http://www.isdn4linux.de Tag: culture::german, hardware::modem, role::documentation, use::dialing Section: doc Priority: extra Filename: pool/main/i/isdnutils/isdnutils-doc_3.25+dfsg1-3.3~deb7u1_all.deb Package: isdnutils-xtools Source: isdnutils Version: 1:3.25+dfsg1-3.3~deb7u1 Architecture: armhf Maintainer: Rolf Leggewie Installed-Size: 150 Depends: isdnutils-base (= 1:3.25+dfsg1-3.3~deb7u1), libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6 Homepage: http://www.isdn4linux.de Priority: extra Section: x11 Filename: pool/main/i/isdnutils/isdnutils-xtools_3.25+dfsg1-3.3~deb7u1_armhf.deb Size: 56574 SHA256: 0585557250eae964af7990db6bf7f5784beba7c328f8d1b105444708be7b1194 SHA1: fbea232a943d10a67d91cbb271d2428042cfb6fb MD5sum: a2c74e333ffc2c88b62981a26b51b98d Description: ISDN utilities - graphical tools This package provides xmonisdn and xisdnload, which are graphical tools for ISDN. Each in its own way gives a visual indication of the status of the ISDN lines, so that (for example) it is obvious when there is a connection. . They have been split out into a separate package to allow the others in the suite to avoid dependencies on the X Window System. Package: isdnvbox Source: isdnutils Version: 1:3.25+dfsg1-3.3~deb7u1 Architecture: armhf Maintainer: Rolf Leggewie Installed-Size: 69 Depends: isdnvboxclient, isdnvboxserver Recommends: sox Homepage: http://www.isdn4linux.de Priority: extra Section: utils Filename: pool/main/i/isdnutils/isdnvbox_3.25+dfsg1-3.3~deb7u1_armhf.deb Size: 36372 SHA256: bfd7a2bbe175b116cb102f43f06bfdb700898e250474e84d62dc1e001e00e026 SHA1: c655648aad956b5ca5f251f039d8c1bab348d27a MD5sum: a802677ea4ec73af6787c8fd2e03f5a2 Description: ISDN utilities - answering machine dependency package Let your Debian system be your answering machine! Messages can be accessed remotely, automatically emailed, etc. . This package depends for convenience on both the vbox server (with which the messages are recorded) and the client (with which you listen to them). Package: isdnvboxclient Source: isdnutils Version: 1:3.25+dfsg1-3.3~deb7u1 Architecture: armhf Maintainer: Rolf Leggewie Installed-Size: 185 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5, sox | nas-bin | alsa-utils Suggests: isdnvboxserver, isdnutils-doc Homepage: http://www.isdn4linux.de Priority: extra Section: utils Filename: pool/main/i/isdnutils/isdnvboxclient_3.25+dfsg1-3.3~deb7u1_armhf.deb Size: 80314 SHA256: 515208c90afe86d6ea06c105859a3ece29079fa29aa7487bf18bec146f0a2610 SHA1: 6b611296a0f5ca033c3f01682c6936b8b75f90f9 MD5sum: 7f089ce1c497150714a194f5ad6c24a9 Description: ISDN utilities - answering machine client Let your Debian system be your answering machine! Messages can be accessed remotely, automatically emailed, etc. . This package provides the vbox client, with which you can listen to the messages recorded by a vbox server (either running on the same machine or accessible over the network). Package: isdnvboxserver Source: isdnutils Version: 1:3.25+dfsg1-3.3~deb7u1 Architecture: armhf Maintainer: Rolf Leggewie Installed-Size: 321 Depends: isdnutils-base (= 1:3.25+dfsg1-3.3~deb7u1), debconf (>= 1.2.9) | debconf-2.0, libc6 (>= 2.13-28), tcl8.5 (>= 8.5.0), openbsd-inetd | inet-superserver Suggests: isdnvboxclient Homepage: http://www.isdn4linux.de Priority: extra Section: utils Filename: pool/main/i/isdnutils/isdnvboxserver_3.25+dfsg1-3.3~deb7u1_armhf.deb Size: 121098 SHA256: 81de185e4d4ca58c09cebc90001a8070a4a4b210fb34ad2bae0f8b50f1306ac1 SHA1: 2cadc65ad3eab970488d84e6a9622a29b2842fab MD5sum: c5bea7321eeefa5cc9b222bd16b44bc8 Description: ISDN utilities - answering machine server Let your Debian system be your answering machine! Messages can be accessed remotely, automatically emailed, etc. . This package provides the vbox server, which must be installed on the system with the ISDN card. To actually listen to the recorded messages you need the client package (isdnvboxclient) somewhere, not necessarily on the same system. Package: iselect Version: 1.4.0-1 Architecture: armhf Maintainer: Axel Beckert Installed-Size: 118 Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5 Suggests: libtimedate-perl, screen Enhances: screen Homepage: http://www.ossp.org/pkg/tool/iselect/ Priority: optional Section: utils Filename: pool/main/i/iselect/iselect_1.4.0-1_armhf.deb Size: 32702 SHA256: 4d2eccbdcbed49d3445e2e93fce0e995c4ac8a1570168b943215cae7596c040f SHA1: e7da824efc0ce0ed1f3afad17da5b88b5ef0bb78 MD5sum: 6518701c81942e33d64c96f71cca5472 Description: ncurses-based interactive line selection tool iSelect is an interactive line selection tool, operating via a full-screen Curses-based terminal session. It can be used either as an user interface frontend controlled by a Bourne-Shell/Perl/Tcl backend as its control script or in batch mode as a pipeline filter (usually between grep and the final executing command). . This package also includes screen-ir, a script to interactively reattach to one of several screen sessions. Package: islamic-menus Version: 1.0.5-1 Installed-Size: 148 Maintainer: Debian Islamic Maintainers Architecture: all Breaks: sabily (<< 1.1~ppa14) Size: 37054 SHA256: cfbd367b9bb50b88c8a8e3eaf956dd3560d1b3526c09d06e3eaa55e079d01b3f SHA1: b47eee113a99d79e86bf2a14a968bb3eba36f42c MD5sum: 4f771a8ba96ba6eef4aebec72b5dc174 Description: Islamic menu categories for applications under GNOME and KDE This package installs desktop menus for grouping Islamic applications on GNOME, KDE and other XDG menu-spec compliant desktop environments. Homepage: https://launchpad.net/islamic-menu Tag: role::data Section: misc Priority: optional Filename: pool/main/i/islamic-menus/islamic-menus_1.0.5-1_all.deb Package: isns Version: 2.1-01+dfsg-3 Architecture: armhf Maintainer: Martin Zobel-Helas Installed-Size: 204 Depends: libc6 (>= 2.4), libgdbm3 (>= 1.8.3) Priority: extra Section: net Filename: pool/main/i/isns/isns_2.1-01+dfsg-3_armhf.deb Size: 76876 SHA256: 66f4aca6d1831fc5e7282d2985207633e6775a801c855e5e65f9d505cefed7bb SHA1: 0f6ec12c242ec7a16ec6b9182e4811d22c14ffea MD5sum: 505429b8f41cfaa3cb7057e56d75219b Description: Internet Storage Naming Service The internet storage name service (iSNS) is used to register iSCSI and iFCP storage devices in the network. The iSNS allows dynamic discovery of available storage targets by storage initiators. Package: isns-client Source: isns Version: 2.1-01+dfsg-3 Architecture: armhf Maintainer: Martin Zobel-Helas Installed-Size: 166 Depends: libc6 (>= 2.7) Priority: extra Section: net Filename: pool/main/i/isns/isns-client_2.1-01+dfsg-3_armhf.deb Size: 64662 SHA256: e1aad28c6fe79f8b00fd84ea2e8bee73a3e18f3b53605fa09f013d377fdb186f SHA1: ea8cd823525da753c05f0f52a67c7a3d0d07e1cf MD5sum: 815e19d615efadd5b17fbbd65ab08dfb Description: Internet Storage Naming Service - cli-client The internet storage name service (iSNS) is used to register iSCSI and iFCP storage devices in the network. The iSNS allows dynamic discovery of available storage targets by storage initiators. . This package provides a cli-client Package: iso-codes Version: 3.41-1 Installed-Size: 15019 Maintainer: Tobias Quathamer Architecture: all Suggests: isoquery Size: 2067670 SHA256: aa25a4e07024fe6b62be2682f9e6b1f9536ecf171ba363e1552168033b489443 SHA1: f10fabb1eb245268332c9c6f7ac9644e40c70cce MD5sum: ab5b93bde13549079948aca7224eb494 Description: ISO language, territory, currency, script codes and their translations This package provides the ISO 639 and ISO 639-3 language code lists, the ISO 4217 currency code list, the ISO 3166 territory code list, the ISO 3166-2 sub-territory list, and the ISO 15924 script code list as XML files. . More importantly, it also provides their translations to be used by other programs. Multi-Arch: foreign Homepage: http://pkg-isocodes.alioth.debian.org/ Tag: devel::i18n, role::data Section: misc Priority: optional Filename: pool/main/i/iso-codes/iso-codes_3.41-1_all.deb Package: isomaster Version: 1.3.9-1 Architecture: armhf Maintainer: David Johnson Installed-Size: 1118 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Suggests: mousepad Homepage: http://www.littlesvr.ca/isomaster/ Priority: optional Section: otherosfs Filename: pool/main/i/isomaster/isomaster_1.3.9-1_armhf.deb Size: 215258 SHA256: a68ea0eb1bcdc1c1c677b072f1e52a5d71dab21d5f782afa8c4209b0c2fe1bbc SHA1: 4abfe3b1831d91308ba632d8d5fd6d8d21cc5e69 MD5sum: c6063ed3c1477737ff7ab6fd1fe72d39 Description: Graphical CD image editor You can use isomaster to: - add files to an image - extract files from an image - delete files from an image Package: isomd5sum Version: 1:1.0.7+git.20110618.6c9cd2f-1 Architecture: armhf Maintainer: Ryan Finnie Installed-Size: 72 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libpopt0 (>= 1.14) Homepage: http://fedorahosted.org/releases/i/s/isomd5sum Priority: optional Section: misc Filename: pool/main/i/isomd5sum/isomd5sum_1.0.7+git.20110618.6c9cd2f-1_armhf.deb Size: 18276 SHA256: 65dc2e953351dba6769337b5d80fd143884e2f661c3c8d9e110b1b0b617e4656 SHA1: 5ad2dcb52f1b50e979f8b5127e0906b5e001ab2c MD5sum: 410def5ab6754be5431e56676b1f618d Description: ISO9660 checksum utilities isomd5sum is a set of utilities for implanting a MD5 checksum in an ISO (or any block device), then verifying the checksum later. isomd5sum is not simply an MD5 of the entire ISO; it checksums the data inside a standard ISO9660 image and write block checksum information to an ISO9660 header, that will carry over to burning the CD. . This package contains the utilities implantisomd5 and checkisomd5. Package: isoqlog Version: 2.2.1-8 Architecture: armhf Maintainer: Sergiusz Pawlowicz Installed-Size: 196 Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0 Homepage: http://www.enderunix.org/isoqlog/ Priority: optional Section: mail Filename: pool/main/i/isoqlog/isoqlog_2.2.1-8_armhf.deb Size: 60264 SHA256: ea4ee137f2daa5a406e61ed272a367eccb8c2d78fe9026a634b10139c3bf12a5 SHA1: b5aad9988fec9de0831d88ab4ddfe3ab9fdecc1b MD5sum: fa06c7c7def0f74df8ccc67a90de7af9 Description: Mail Transport Agent log analysis program Isoqlog is an MTA log analysis program written in C. It designed to scan qmail, postfix, sendmail logfile and produce usage statistics in HTML format for viewing through a browser. It produces Top domains output according to Sender, Receiver, Total mails and bytes; it keeps your main domain mail statistics with regard to Days Top Domain, Top Users values for per day, per month and years. Package: isoquery Version: 1.7-1 Installed-Size: 257 Maintainer: Tobias Quathamer Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-lxml Recommends: iso-codes Size: 31718 SHA256: 3c181ea3dace9b5ece12e073e5f546df881acda2e196bf32e72984e2ac8fcb69 SHA1: 4c96c5011e69d922e121cfa9919589ac19727eea MD5sum: 72542a54138bfdb314b1dab5a0c830ef Description: Search and display various ISO codes (country, language, ...) This program can be used to generate a tabular output of the ISO standard codes provided by the package iso-codes. . It parses the XML files and shows all included ISO codes or just matching entries, if specified on the command line. . Moreover, it's possible to get all available translations for the ISO standard. Tag: devel::i18n, implemented-in::python, interface::commandline, role::program, scope::utility, works-with-format::xml, works-with::text Section: misc Priority: optional Filename: pool/main/i/isoquery/isoquery_1.7-1_all.deb Package: ispanish Source: espa-nol Version: 1.11-4 Installed-Size: 588 Maintainer: Agustin Martin Domingo Architecture: all Provides: ispell-dictionary Depends: debconf (>= 0.5) | debconf-2.0, dictionaries-common (>= 1.1), ispell Suggests: wspanish Size: 278598 SHA256: 0163571e20793716fb281e0641471376963503bbaa16a9cce01537d5c792630d SHA1: a78640d1ace9950a48ee0618fe2a82b53554dff0 MD5sum: c92df4d842f87f5c8f2b23c565575617 Description: Spanish dictionary for ispell This is the Spanish dictionary for use with the ispell spellchecker. Put together by Santiago Rodriguez and Jesus Carretero. Homepage: http://www.datsi.fi.upm.es/~coes Tag: culture::spanish, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/e/espa-nol/ispanish_1.11-4_all.deb Package: ispell Version: 3.3.02-6 Architecture: armhf Maintainer: Robert Luberda Installed-Size: 322 Depends: dictionaries-common, libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Recommends: iamerican | ispell-dictionary, wamerican | wordlist Suggests: spell Breaks: iamerican (<= 3.1.20.0-9), ibritish (<= 3.1.20.0-9), ibulgarian (<= 3.0-12), iczech (<= 20040229-5), idanish (<= 1.6.25-1), iestonian (<= 1:20030606-12.1), ifinnish (<= 0.7-17.3), ifinnish-large (<= 0.7-17.3), ifrench (<= 1.4-25), ifrench-gut (<= 1:1.0-27), igaelic (<= 0.50-7), ihungarian (<= 0.99.4-2), iirish (<= 2.0-20), iitalian (<= 1:2.3-2), imanx (<= 0.50-8), inorwegian (<= 2.0.10-3.2), iogerman (<= 1:2-26), ipolish (<= 20100612-1), irussian (<= 0.99g5-8.1), iswedish (<= 1.4.5-2), iukrainian (<= 1.6.0-1) Multi-Arch: foreign Homepage: http://www.lasr.cs.ucla.edu/geoff/ispell.html Priority: optional Section: text Filename: pool/main/i/ispell/ispell_3.3.02-6_armhf.deb Size: 166646 SHA256: 22cabee2b1fccfec62f6979cbdc8b1dbbfec7786233e9bd50374c2b6e915287c SHA1: b88b4007eba5783171f0e76b51d7e9293ed5a217 MD5sum: 053d5ecd100c0303edec71994f1d6ad7 Description: International Ispell (an interactive spelling corrector) Ispell corrects spelling in plain text, LaTeX, sgml/html/xml, and nroff files. [x]Emacs and jed have nice interfaces to ispell, and ispell works from many other tools and from the command line as well. . No ispell dictionaries are included in this package; you must install at least one of them ("iamerican" is recommended by default for no good reason); install the "ispell-dictionary" package(s) for the language(s) you and your users will want to spell-check. . It's a good idea to install "word list" package(s) for the same language(s), because they'll be used by ispell's (L)ookup command. Package: istanbul Version: 0.2.2-9 Architecture: armhf Maintainer: Luca Bruno Installed-Size: 485 Depends: gconf2 (>= 2.28.1-2), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.14), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxml2 (>= 2.6.27), python (>= 2.3), python-support (>= 0.90.0), python-gst0.10 (>= 0.10), python-glade2 (>= 2.8.2-3.1), gettext, gstreamer0.10-plugins-good (>= 0.10.3), gstreamer0.10-plugins-base (>= 0.10.8), gstreamer0.10-x, gstreamer0.10-alsa, python-gnome2, python-xlib, gstreamer0.10-gconf Suggests: fbpanel | perlpanel Homepage: http://live.gnome.org/Istanbul Priority: extra Section: gnome Filename: pool/main/i/istanbul/istanbul_0.2.2-9_armhf.deb Size: 74128 SHA256: b60bd8a7b877b9177b76e26989e171a985c161837eb28c5d5b43696ee1dd7613 SHA1: 272ec33a25cf74f53584f1be03078ff4d09b145e MD5sum: ae99fcd04a9d9ab14f18ad9cd68c1c31 Description: Desktop session recorder producing Ogg Theora video Istanbul is a desktop session recorder for the Free Desktop. It records your session into an Ogg Theora video file. To start the recording, you click on its icon in the notification area. To stop you click its icon again. It can make a screencast of the full screen or just of an area of the screen. It is even capable of recording audio from the default input channel. . It works on GNOME, KDE, Xfce and others. Python-Version: 2.6, 2.7 Package: istgt Version: 0.4~20111008-3 Architecture: armhf Maintainer: GNU/kFreeBSD Maintainers Installed-Size: 380 Depends: lsb-base (>= 3.0-6), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0) Homepage: http://www.peach.ne.jp/archives/istgt/ Priority: extra Section: admin Filename: pool/main/i/istgt/istgt_0.4~20111008-3_armhf.deb Size: 181008 SHA256: de589e9eef154dabba39b9d31d87fa98bddb3994c11f3a6f6b1c73f6400685f7 SHA1: 6c5956c580b51925c315a197d03f50a415b2c0a2 MD5sum: 54e29f3724b5483fb901f472af08d889 Description: iSCSI userspace target daemon for Unix-like operating systems istgt is a iSCSI target for Unix-like operating systems (including those with Linux and kFreeBSD kernels) running as daemon process in user space. . It supports: . * Multipath I/O * 64bit LBA for volumes over 2 TiB size * Header/Data digest by CRC32C * Mutual authentication with CHAP * Multiple LUNs and ACLs for portals * IPv6/IPv4 support Package: iswedish Source: swedish Version: 1.4.5-2.1 Architecture: armhf Maintainer: Jeremiah C. Foster Installed-Size: 1015 Depends: ispell (>= 3.3.02), debconf (>= 0.5) | debconf-2.0, dictionaries-common (>= 0.20) Suggests: wswedish Provides: ispell-dictionary Priority: optional Section: text Filename: pool/main/s/swedish/iswedish_1.4.5-2.1_armhf.deb Size: 262856 SHA256: 889c269ae6874d9fcbd54f6840ac272996dda848a87b657f1708f28721ec63e6 SHA1: 5b444a61e217a65526ffc3b056be9b261c811543 MD5sum: b2446d7c52150aafb2564626d50f39bb Description: Swedish dictionary for ispell This is the Swedish dictionary, to be used with ispell to check and correct spelling in Swedish texts. The dictionary contains approximately 120,000 words. Package: iswiss Source: igerman98 Version: 20120607-1 Installed-Size: 459 Maintainer: Roland Rosenfeld Architecture: all Provides: ispell-dictionary Depends: debconf (>= 0.5) | debconf-2.0, ispell, dictionaries-common (>= 0.20.0) Suggests: wswiss Size: 444178 SHA256: be3ca3fa0c5a5df5aceeac48561fa4c45bf0a3e0b38111e0e9e7ea643230d9c7 SHA1: 4af1e41c489fe065b69f74bc88b316fdaa9c1d39 MD5sum: a479550fc05f1cde03935f4fffe12543 Description: Swiss (German) orthography dictionary for ispell This is a dictionary for ispell for the new German orthography (de-CH-1996), which is used since 1998-08-01 and which is obligatory as of 2005-08-01. . It is based on the famous hkgerman dictionary (using the old German orthography, which is available as iogerman), with many corrections and additions. . This particular rendering supports swiss spelling. This includes some Swiss words, as well as ss instead of German sz ligature. Homepage: http://j3e.de/ispell/igerman98/ Tag: culture::german, made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/igerman98/iswiss_20120607-1_all.deb Package: isync Version: 1.0.4-2.2 Architecture: armhf Maintainer: Nicolas Boullis Installed-Size: 193 Depends: libc6 (>= 2.13-28), libdb5.1, libssl1.0.0 (>= 1.0.0) Suggests: mutt Priority: optional Section: mail Filename: pool/main/i/isync/isync_1.0.4-2.2_armhf.deb Size: 91212 SHA256: dc56fbafc260de8f0d75911896040a09f521d475c8141b4c2954398eada5add3 SHA1: d61b31b297b39341059e61a2c296b5cd5631f485 MD5sum: e2a5d625a260490fa66776f3e62ab901 Description: Synchronize a local maildir with a remote IMAP4 mailbox Command line applications which synchronize a local maildir-style mailbox with a remote IMAP4 mailbox, suitable for use in disconnected mode. Multiple copies of the remote IMAP4 mailbox can be maintained, and all flags and messages are synchronized. . The main application was much improved in version 1.0. Those improvements lead to interface changes and the application being renamed to mbsync. The application isync is now only a wrapper to keep compatibility with earlier versions. . Features: * Fast mode for fetching new mail only * Supports imaps: (port 993) TLS/SSL connections * Supports STARTTLS (RFC2595) for confidentiality * Supports NAMESPACE (RFC2342) * Supports CRAM-MD5 (RFC2095) for authentication Package: itagalog Source: ispell-tl Version: 0.3.1-3 Installed-Size: 148 Maintainer: Agustin Martin Domingo Architecture: all Provides: ispell-dictionary Depends: dictionaries-common (>= 0.10), ispell, debconf (>= 0.5) | debconf-2.0 Size: 60398 SHA256: 2b6136cd7860860a757edf38c9fc928d1dfac9db7de5f6dbe2e832a32df11428 SHA1: 2b30677ede46792f4a4aef604ac7d9550806bcbf MD5sum: 2d6c0b32cefae9fe6359ea28f66c5dec Description: Tagalog dictionary for Ispell This is the Tagalog dictionary to be used with Ispell. It contains more than 18000 words. Homepage: http://code.google.com/p/tagalog-wordlist/ Tag: made-of::dictionary, role::app-data, use::checking Section: text Priority: optional Filename: pool/main/i/ispell-tl/itagalog_0.3.1-3_all.deb Package: italc-client Source: italc Version: 1:1.0.13-1.4 Architecture: armhf Maintainer: Patrick Winnertz Installed-Size: 1420 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.6.1), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxtst6, zlib1g (>= 1:1.1.4), libitalc (= 1:1.0.13-1.4) Homepage: http://italc.sourceforge.net/home.php Priority: optional Section: x11 Filename: pool/main/i/italc/italc-client_1.0.13-1.4_armhf.deb Size: 694498 SHA256: f4477e69143492198d9c4f412f3b6bf2c20059b86b0a3b94d12448f2ec491610 SHA1: 74e48fcabcaa4303a820d1f5425a08e800bf3037 MD5sum: 3a2dcd5ba67307b4d3b702cbc22ae43f Description: Intelligent Teaching and Learning with Computers - Client iTALC makes it possible, to access and influence the pupils activities just from the computer of the teacher. With the help of iTALC, for example the teacher is able to see the content of the pupils screens on his screen. If a pupil needs help, the teacher can access the pupils desktop and give support from his computer. The pupil can watch all activities, the teacher is doing on his desktop. So the pupil can learn new processes. For teaching something to all pupils, you can switch into demo-mode where all screens of the pupils show the teacher-screen. Furthermore things like locking pupil's screens, killing games, power on/off clients and much more can be done with iTALC. . This package contains the client software for iTALC that can be controlled using italc-master. Package: italc-master Source: italc Version: 1:1.0.13-1.4 Architecture: armhf Maintainer: Patrick Winnertz Installed-Size: 1050 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), python, italc-client, libitalc (= 1:1.0.13-1.4) Homepage: http://italc.sourceforge.net/home.php Priority: optional Section: x11 Filename: pool/main/i/italc/italc-master_1.0.13-1.4_armhf.deb Size: 777568 SHA256: 10dd592053951f19165d8f0a644bdec9acede0b84355b8b0e98753e3607fc7d7 SHA1: 134d2ae0d9a325e6dff496cd7adf7e47e4bac033 MD5sum: 63fffd594f471114b842bd0424a67a96 Description: Intelligent Teaching and Learning with Computers - Master iTALC makes it possible, to access and influence the pupils activities just from the computer of the teacher. With the help of iTALC, for example the teacher is able to see the content of the pupils screens on his screen. If a pupil needs help, the teacher can access the pupils desktop and give support from his computer. The pupil can watch all activities, the teacher is doing on his desktop. So the pupil can learn new processes. For teaching something to all pupils, you can switch into demo-mode where all screens of the pupils show the teacher-screen. Furthermore things like locking pupil's screens, killing games, power on/off clients and much more can be done with iTALC. . This package contains the software necessary to observe and control iTALC clients provided by the italc-client package. Package: itcl3 Version: 3.4.1-1 Architecture: armhf Maintainer: Debian Tcl/Tk Packagers Installed-Size: 152 Depends: libc6 (>= 2.13-28), tcl8.5 Suggests: itcl3-doc Conflicts: insight (<= 5.3+cvs.2003.08.30-1) Homepage: http://incrtcl.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/i/itcl3/itcl3_3.4.1-1_armhf.deb Size: 50700 SHA256: d419533130975269a361da5f4026a15952e2626b15f3c61f0b5f05b0ef26977d SHA1: dc505ab2d6fd6d0008b9f4b143ce3d6251bd193a MD5sum: 17c96e930d2cf80d96b2d695c6bb5138 Description: [incr Tcl] OOP extension for Tcl - run-time files [incr Tcl] (or itcl) is a popular object-oriented extension for the embeddable Tcl scripting language. The name is a play on C++, and itcl has a similar object model, including multiple inheritance and public and private classes and variables. Unlike most OOP extensions to Tcl, itcl is written in C for speed. . This package contains everything you need to run itcl scripts and itcl-enabled apps. Package: itcl3-dev Source: itcl3 Version: 3.4.1-1 Architecture: armhf Maintainer: Debian Tcl/Tk Packagers Installed-Size: 222 Depends: tcl8.5-dev, itcl3 (= 3.4.1-1) Suggests: itcl3-doc Conflicts: itcl-dev Homepage: http://incrtcl.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/i/itcl3/itcl3-dev_3.4.1-1_armhf.deb Size: 25906 SHA256: 6617a6d7c8e37b422ba2ecc8df8b0ba9c3167837be4a2c6faee33c7afac3e4e4 SHA1: 398bd10b02275950a2c46c4924c39cd320a08109 MD5sum: ff8582b4cb8681dde4825bec7f7cb4bc Description: [incr Tcl] OOP extension for Tcl - development files [incr Tcl] (or itcl) is a popular object-oriented extension for the embeddable Tcl scripting language. The name is a play on C++, and itcl has a similar object model, including multiple inheritance and public and private classes and variables. Unlike most OOP extensions to Tcl, itcl is written in C for speed. . This package contains the headers and libraries needed to embed or add C extensions to itcl. Package: itcl3-doc Source: itcl3 Version: 3.4.1-1 Installed-Size: 28 Maintainer: Debian Tcl/Tk Packagers Architecture: all Provides: itcl-doc Suggests: itcl3 Conflicts: itcl-dev, itcl-doc Size: 28232 SHA256: 5ed9f0b9094345aa0e28ecaa7eab4942e997e947ce42dd3a191ad88d361f250a SHA1: eb8754f6d1c3bc9bd445b4525f184a78105d1c28 MD5sum: 3c02303077621b4ace798953809b57c5 Description: [incr Tcl] OOP extension for Tcl - manual pages [incr Tcl] (or itcl) is a popular object-oriented extension for the embeddable Tcl scripting language. The name is a play on C++, and itcl has a similar object model, including multiple inheritance and public and private classes and variables. Unlike most OOP extensions to Tcl, itcl is written in C for speed. . This package contains the man pages for the new commands that itcl adds to Tcl. Homepage: http://incrtcl.sourceforge.net/ Tag: devel::doc, devel::lang:tcl, made-of::man, role::documentation Section: doc Priority: optional Filename: pool/main/i/itcl3/itcl3-doc_3.4.1-1_all.deb Package: itk3 Version: 3.3-4 Architecture: armhf Maintainer: Debian Tcl/Tk Packagers Installed-Size: 156 Depends: libc6 (>= 2.13-28), tk8.5, itcl3 Suggests: itk3-doc Conflicts: insight (<= 5.3+cvs.2003.08.30-1) Homepage: http://incrtcl.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/i/itk3/itk3_3.3-4_armhf.deb Size: 77092 SHA256: 24a8f1807ad27b394e277e1d6011d941d50978a18cca0989cc3b1019ff01d757 SHA1: 12e068d180fc72d09bbf861089d9a23bff3b6e94 MD5sum: c5336b724e38306412744896cc02ada5 Description: [incr Tk] OOP extension for Tk - run-time files [incr Tk] (or itk) combines the object-oriented power of [incr Tcl] with the popular Tk graphical toolkit to create a framework for creating mega-widgets. One such set of mega-widgets is provided by the iwidgets package. . This package contains everything you need to run itk scripts and itk-enabled apps. Package: itk3-dev Source: itk3 Version: 3.3-4 Architecture: armhf Maintainer: Debian Tcl/Tk Packagers Installed-Size: 76 Depends: itcl3-dev, tk8.5-dev, itk3 (= 3.3-4) Suggests: itk3-doc Conflicts: itcl3.0-dev (<< 3.0.1), itk-dev Homepage: http://incrtcl.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/i/itk3/itk3-dev_3.3-4_armhf.deb Size: 5982 SHA256: aefa1dfc01ec89258bc708f3a1dff4ea6861518a2c2ad4b61da3b123d96af7f4 SHA1: aa239f7fd2954d3f9438b4a084655c4cac1013c9 MD5sum: 8385b90801059b3aa51983847d5ff6bd Description: [incr Tk] OOP extension for Tk - development files [incr Tk] (or itk) combines the object-oriented power of [incr Tcl] with the popular Tk graphical toolkit to create a framework for creating mega-widgets. One such set of mega-widgets is provided by the iwidgets package. . This package contains the headers and libraries needed to embed or add C extensions to itk. Package: itk3-doc Source: itk3 Version: 3.3-4 Installed-Size: 64 Maintainer: Debian Tcl/Tk Packagers Architecture: all Provides: itk-doc Suggests: itk3 Conflicts: itk-dev, itk-doc Size: 64004 SHA256: b29360e19f832ed3044494efd6cbcc72225088400bff14e7a1bace65a7db0d3a SHA1: ae4363a63d51380db271c5351552f9c49167dd72 MD5sum: 49b31bab834f7d56e43611cbf5bf4342 Description: [incr Tk] OOP extension for Tk - manual pages [incr Tk] (or itk) combines the object-oriented power of [incr Tcl] with the popular Tk graphical toolkit to create a framework for creating mega-widgets. One such set of mega-widgets is provided by the iwidgets package. . This package contains the man pages for the classes provided by itk. Homepage: http://incrtcl.sourceforge.net/ Tag: devel::doc, devel::lang:tcl, made-of::man, role::documentation, uitoolkit::tk Section: doc Priority: optional Filename: pool/main/i/itk3/itk3-doc_3.3-4_all.deb Package: itksnap Version: 2.2.0-1.1 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 12375 Depends: libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.8~rc1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libinsighttoolkit3.20, libstdc++6 (>= 4.6), libvtk5.8 Homepage: http://www.itksnap.org Priority: extra Section: science Filename: pool/main/i/itksnap/itksnap_2.2.0-1.1_armhf.deb Size: 4438986 SHA256: ec292d26c65b8a11587f528e93bde0054b313d1aa56a3caa1685de98daaacb1a SHA1: b09a8e339d71d97a6fa998d2ab29f9742f6e1b3f MD5sum: eba7a64d682989ed9375084dc8c40d3b Description: semi-automatic segmentation of structures in 3D images SNAP provides semi-automatic segmentation of structures in medical images (e.g. magnetic resonance images of the brain) using active contour methods, as well as manual delineation and image navigation. Noteworthy features are: . * Linked cursor for seamless 3D navigation * Manual segmentation in three orthogonal planes at once * Support for many different 3D image formats, including NIfTI * Support for concurrent, linked viewing and segmentation of multiple images * Limited support for color images (e.g., diffusion tensor maps) * 3D cut-plane tool for fast post-processing of segmentation results Package: itools Version: 1.0-3 Architecture: armhf Maintainer: Debian Islamic Maintainers Installed-Size: 97 Depends: libc6 (>= 2.7), libitl0, perl Homepage: http://www.arabeyes.org/project.php?proj=ITL Priority: optional Section: misc Filename: pool/main/i/itools/itools_1.0-3_armhf.deb Size: 35652 SHA256: 5175a14c77e85ee22628a398f9c78ce8f990c15ba1530886f6b20bb5c11c6a1f SHA1: 6bac6fb20a8e39d4521fbe1e8054657f50b9621f MD5sum: d4418cd284bba4603c44d7ee39e90d1a Description: Islamic hijri date and prayer time utilities The itools is a collection of command line tools that mimics the development of the underlying ITL library (libitl) and is meant to always give the end-user simple means to access its functions. The available tools are: . * ical: Display a Hijri calendar. * idate: Multi method Hijri/Gregorian date converter. * ipraytime: Prayer times and Qibla calculator and schedule table generator. * ireminder: Prayer time reminder Perl script. . This package is part of the Islamic Tools and Libraries project. Package: itop Version: 0.1-4 Architecture: armhf Maintainer: Jose Parrella Installed-Size: 46 Depends: libc6 (>= 2.4) Homepage: http://www.hunz.org/ Priority: extra Section: admin Filename: pool/main/i/itop/itop_0.1-4_armhf.deb Size: 6754 SHA256: bc716caab4c16762644b0801ab9c9544332d5aebe0798ca8d885f8eb3e1e0272 SHA1: 080479a25b46494d5eac4ab3503beca913330cc0 MD5sum: b868b42c10dcbda3c26f80cccb035a24 Description: simple top-like interrupt load monitor itop is a simple interrupt load monitor which opens and monitors /proc/interrupts in a top-like way. It can be set to monitor the file a specific number of times and monitor all interrupts, not only the ones currently in use. Package: itrans Version: 5.3-10 Architecture: armhf Maintainer: Debian-IN Team Installed-Size: 706 Depends: libc6 (>= 2.13-28), dpkg (>= 1.14.18), tex-common (>= 2.00), texlive-common, texlive-lang-indic Recommends: itrans-fonts (>= 5.3-6) Homepage: http://www.aczoom.com/itrans/ Priority: optional Section: text Filename: pool/main/i/itrans/itrans_5.3-10_armhf.deb Size: 156598 SHA256: c92469ec592b8c36bf76e278b0b5d7709d4d871c481a3154bdd8926d95a40e84 SHA1: 45dd83a9e556349fdd0df4f56d75882926e42add MD5sum: db3b1060bb5c41f2c6fa6e910b907d79 Description: Converts romanized Indic texts to LaTeX, HTML & Postscript ITRANS is a system for printing text in Indian language scripts. . It accepts a roman transliteration of the Indian languages Bengali, Gujarati, Hindi, Kannada, Marathi, Punjabi. Tamil, Telugu, or Sanskrit and outputs LaTeX, HTML, or Postscript. Package: itrans-fonts Source: itrans Version: 5.3-10 Installed-Size: 460 Maintainer: Debian-IN Team Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 2.00), texlive-base-bin Breaks: itrans (<< 5.3-5) Size: 142920 SHA256: a2e9185548a4b2949c6f2d5bcb318a99f533f3264738cf5b667d0c6b2dc4f196 SHA1: df6a5fb00636fb1ca24c4a08bf68932d5da9a058 MD5sum: b7f13d3a946700e3ae93aa2551c5ddeb Description: Extra fonts used in the ITRANS Indic text processing system ITRANS is a system for printing text in Indian language scripts. . It accepts a roman transliteration of the Indian languages Bengali, Gujarati, Hindi, Kannada, Marathi, Punjabi. Tamil, Telugu, or Sanskrit and outputs LaTeX, HTML, or Postscript. . This package contains some extra fonts not found in texlive-lang-indic in Metafont, Postscript Type 1 formats. Homepage: http://www.aczoom.com/itrans/ Tag: culture::bengali, culture::hindi, culture::punjabi, culture::tamil, made-of::font, role::data Section: fonts Priority: optional Filename: pool/main/i/itrans/itrans-fonts_5.3-10_all.deb Package: itstool Version: 1.1.3-1 Installed-Size: 111 Maintainer: Python Applications Packaging Team Architecture: all Depends: python, python-libxml2 Size: 23954 SHA256: 09f22a700d80dfad5e0fb1f9b652d7555edd0861182fc1a7c7815e0c84b9cd1c SHA1: 1e809520e32b70a4b1e302fb1f013d558130e4ea MD5sum: ac973dc1aa2d45a6bbfa983d12fb6bcb Description: tool for translating XML documents with PO files ITS Tool allows you to translate your XML documents with PO files, using rules from the W3C Internationalization Tag Set (ITS) to determine what to translate and how to separate it into PO file messages. . ITS Tool is designed to make XML documents translatable through PO files by applying standard ITS rules, as well as extension rules specific to ITS Tool. ITS also provides an industry standard way for authors to override translation information in their documents, such as whether a particular element should be translated. Homepage: http://itstool.org/ Tag: devel::doc, devel::i18n, implemented-in::python, interface::commandline, role::program, scope::utility, works-with-format::docbook, works-with-format::po, works-with-format::xml, works-with::text Section: text Priority: extra Filename: pool/main/i/itstool/itstool_1.1.3-1_all.deb Package: iukrainian Source: ispell-uk Version: 1.6.5-2 Architecture: armhf Maintainer: Євгеній Мещеряков Installed-Size: 6753 Depends: dictionaries-common (>= 1.11.2~), ispell (>= 3.3.02~), debconf (>= 0.5) | debconf-2.0 Suggests: wukrainian Provides: ispell-dictionary Homepage: http://ispell-uk.sourceforge.net Priority: optional Section: text Filename: pool/main/i/ispell-uk/iukrainian_1.6.5-2_armhf.deb Size: 1222864 SHA256: 5cddef2537de7ba2438cea6756757d4635c4102fe72dcaa18c5e593c60a5519a SHA1: e7e9732eccdc85514ddcae3dae2952c65ac81215 MD5sum: 907ff1770888ec2603a96e7fe7302e4c Description: Ukrainian dictionary for ispell This is a Ukrainian dictionary, to be used with the ispell program. Package: iverilog Version: 0.9.5-1 Architecture: armhf Maintainer: Debian Electronics Team Installed-Size: 3104 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Suggests: gtkwave Replaces: verilog (<= 0.9.1-1) Homepage: http://iverilog.icarus.com Priority: optional Section: electronics Filename: pool/main/i/iverilog/iverilog_0.9.5-1_armhf.deb Size: 1323406 SHA256: 2fb1506bde1f2985ec23df5aa46a296b31e967e70afbe8b22d4f7900ed528a42 SHA1: 9f599ebbfb2a18505acd9d1307f4833f28864865 MD5sum: 71dac62770dc0435fef2d4a32eb23ac4 Description: Icarus verilog compiler Icarus Verilog is intended to compile all of the Verilog HDL as described in the IEEE-1364 standard. It is not quite there yet. It does currently handle a mix of structural and behavioral constructs. . The compiler can target either simulation, or netlist (EDIF). Package: ivtools-bin Source: ivtools Version: 1.2.10a1-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 490 Depends: xterm | x-terminal-emulator, libace-6.0.3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libiv-unidraw1, libiv1, libstdc++6 (>= 4.4.0) Suggests: netpbm, libjpeg-progs, pstoedit Conflicts: ivtools-dev (<< 1.2.8a1) Homepage: http://www.ivtools.org Priority: optional Section: graphics Filename: pool/main/i/ivtools/ivtools-bin_1.2.10a1-1_armhf.deb Size: 225954 SHA256: f13ce07f31fb5803ee61880182a4f41a161f52896b31685a12f82efa8af992b1 SHA1: bb283f0add3d45bf5202f0ebedc15eab34846821 MD5sum: 3b2406e6ffeadf0134d0937b03f1028e Description: Drawing Editors evolved from idraw InterViews is written in C++ and portable to several different Unix platforms. Applications written with the InterViews library can be configured to provide a special "Look and Feel", such as SGI-Motif and normal Motif. This package contains mainly drawing programs written using the InterViews library: idraw, a basic vector graphics editor, graphdraw, flipbook, a vector graphics animation tool, a vector graphics server, and some small sample programs like dclock. Package: ivtools-dev Source: ivtools Version: 1.2.10a1-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 12295 Depends: libiv1 (= 1.2.10a1-1), libiv-unidraw1 (= 1.2.10a1-1), libc6-dev, libtiff4-dev, zlib1g-dev, libace-dev Conflicts: ivtools-bin (<< 0.7.2) Homepage: http://www.ivtools.org Priority: optional Section: devel Filename: pool/main/i/ivtools/ivtools-dev_1.2.10a1-1_armhf.deb Size: 3516848 SHA256: 020ed527fcebf1c1cfc330f92f0143fb287761591105c324422c7557a65ecec9 SHA1: 0a266f0390ddafb7ff778dc5f9c5b9d4d061a23c MD5sum: 7d0cd258252dff08a754c301a06c80a0 Description: Development files for the InterViews library InterViews is written in C++ and portable to several different Unix platforms. Applications written with the InterViews library can be configured to provide a special "Look and Feel", such as SGI-Motif and normal Motif. This package contains the include files needed to develop applications with the ivtools library, along with appropriate dynamic library links and static libraries. Package: ivy Version: 2.2.0-2 Installed-Size: 1032 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java2-runtime-headless Suggests: libjsch-java, libbcprov-java, libbcpg-java, ivy-doc, default-jre | java2-runtime Size: 892558 SHA256: a3d9d5eb0e580b30d3e6f79b0a65d07a887e8c09e356abc83c33f19d39fe1f3d SHA1: ce0c6e9497916c9f696c20e8d660be1a2fe1935e MD5sum: b575c329ff711c0b30a651b27d071498 Description: The agile dependency manager Ivy is a very powerful dependency manager oriented toward Java dependency management, even though it could be used to manage dependencies of any kind. Homepage: http://ant.apache.org/ivy/ Tag: devel::lang:java, implemented-in::java, role::program Section: java Priority: optional Filename: pool/main/i/ivy/ivy_2.2.0-2_all.deb Package: ivy-doc Source: ivy Version: 2.2.0-2 Installed-Size: 12084 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Size: 753766 SHA256: 79d7ab84e1cd3aed988c23818bda418b010c7ce45c36c07f4286fb98630ae6e4 SHA1: e8a93b9ffa7edb0c7ada990281272a1e39741761 MD5sum: 1b1b8a7fd3c8c9ccfd583c2b2194e535 Description: The agile dependency manager (documentation) Ivy is a very powerful dependency manager oriented toward Java dependency management, even though it could be used to manage dependencies of any kind. . This package contains the documentation. Homepage: http://ant.apache.org/ivy/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/i/ivy/ivy-doc_2.2.0-2_all.deb Package: iw Version: 3.4-1 Architecture: armhf Maintainer: Debian/Ubuntu wpasupplicant Maintainers Installed-Size: 134 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libnl-3-200 (>= 3.2.7), libnl-genl-3-200 (>= 3.2.7) Recommends: crda Breaks: aircrack-ng (<< 1:1.0~rc2-1) Replaces: aircrack-ng (<< 1:1.0~rc2-1) Multi-Arch: foreign Homepage: http://wireless.kernel.org/en/users/Documentation/iw Priority: optional Section: net Filename: pool/main/i/iw/iw_3.4-1_armhf.deb Size: 49088 SHA256: 04aad034d795e6e4223d1587c947349eb8ccc685162b7de30235cda0f094d380 SHA1: 6e42d49e37e71176cbb0a9f9c30c2951c11d2415 MD5sum: 86595db8438c0fcd5c1b8e951bac91df Description: tool for configuring Linux wireless devices This package contains the `iw' tool which allows you to configure and show information about wireless networking. . In the future iw will become the canonical command line tool for wireless configuration and iwconfig/wireless-tools will no longer be required. See /usr/share/doc/iw/README.Debian for a more detailed overview of iw. Package: iwatch Version: 0.2.2-2 Installed-Size: 99 Maintainer: Michael Prokop Architecture: all Depends: perl-modules, libevent-perl, liblinux-inotify2-perl, libmail-sendmail-perl, libxml-simpleobject-libxml-perl, postfix | mail-transport-agent Size: 20066 SHA256: 7eff104aed23543998444c45d943b70e088ebdcfe7833cf87d0a0ac7c69dbe1e SHA1: 0d011d4a1683794f49965427fcf8b55f34874baf MD5sum: b00b20441793a509af5acb1f179a84f6 Description: realtime filesystem monitoring program using inotify iWatch is a realtime filesystem monitoring program. It's a simple perl script to monitor changes in specific directories/files and send email notification immediately. It reads the dir/file list from xml config file and needs inotify support in kernel (Linux Kernel >= 2.6.13). Homepage: http://iwatch.sourceforge.net/ Tag: admin::monitoring, implemented-in::perl, role::program, scope::utility, use::monitor Section: admin Priority: optional Filename: pool/main/i/iwatch/iwatch_0.2.2-2_all.deb Package: iwidgets4 Version: 4.0.1-6 Installed-Size: 1385 Maintainer: Debian Tcl/Tk Packagers Architecture: all Depends: itk3 Suggests: iwidgets4-doc Conflicts: itcl3.0 (<< 3.0.1) Size: 278598 SHA256: 6b9514fd8324e150d683f267cb1f677e5ed5a2a120e2df062354fe1c971ac2b2 SHA1: 96635ea0c29d1a0fa14bbded68510f2aea834562 MD5sum: 70b7743017dff01486cb7a1c2d52bd46 Description: [incr Widgets] Tk-based widget collection - run-time files [incr Widgets] (or iwidgets) is an object-oriented "mega-widget" set, or collection of high-level user-interface components, based on the popular Tk toolkit and the itcl/itk OO framework. Iwidgets contains over 50 ready-to-use mega-widgets including calendar, canvasprintdialog, combobox, fileselectiondialog, hyperhelp, notebook, radiobox, spinner, and many more. Homepage: http://incrtcl.sourceforge.net/iwidgets/ Tag: devel::lang:tcl, devel::library, implemented-in::tcl, interface::x11, role::app-data, uitoolkit::tk, x11::application Section: libs Priority: optional Filename: pool/main/i/iwidgets4/iwidgets4_4.0.1-6_all.deb Package: iwidgets4-doc Source: iwidgets4 Version: 4.0.1-6 Installed-Size: 832 Maintainer: Debian Tcl/Tk Packagers Architecture: all Suggests: iwidgets4 Conflicts: itcl3.0-dev (<< 3.0.1), iwidgets-dev, iwidgets-doc Size: 361722 SHA256: d9aaab60dab0615f88aa7aa7e7425c4a3d4730b8a4d32060eeb3131d154d1a15 SHA1: c346616922f9861c7b9a7dd4b8a387498bc6be8d MD5sum: 655927f583d5606d1a304c4d4648d17a Description: [incr Widgets] Tk-based widget collection - man pages [incr Widgets] (or iwidgets) is an object-oriented "mega-widget" set, or collection of high-level user-interface components, based on the popular Tk toolkit and the itcl/itk OO framework. This package contains man pages documenting the widget classes. Homepage: http://incrtcl.sourceforge.net/iwidgets/ Tag: devel::doc, devel::lang:tcl, interface::x11, role::documentation, uitoolkit::tk, x11::application Section: doc Priority: optional Filename: pool/main/i/iwidgets4/iwidgets4-doc_4.0.1-6_all.deb Package: jaaa Version: 0.6.0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 140 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libclalsadrv2, libclthreads2, libclxclient3, libfftw3-3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libstdc++6 (>= 4.3.0), libx11-6 Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/index.html#jaaa Priority: optional Section: sound Filename: pool/main/j/jaaa/jaaa_0.6.0-2_armhf.deb Size: 38314 SHA256: 264b7abb592c4021a15c6745333b3602879ab08478f4d83eddb7b4873cb0f22d SHA1: 0b7762f85bb0aaa96305645fca36d36e79aeb377 MD5sum: e8c47fb656f03c717817c2510284e962 Description: audio signal generator and spectrum analyser Jaaa (JACK and ALSA Audio Analyser) is an audio signal generator and spectrum analyser designed to make accurate measurements. Package: jabber-irc Version: 0.4cvs20080505-1.1 Installed-Size: 324 Maintainer: Alexey Nezhdanov Architecture: all Depends: python-irclib, python-xmpp (>= 0.4.1), lsb-base, python, adduser Suggests: jabber Size: 42574 SHA256: 7c3ecc782fa771ab6f6687dd0030f835eb5fc1465ffea1a470056a1a33a14754 SHA1: 9c59de3e3a77cbb91c654e166462f6612d32744c MD5sum: b5ae0603504748cce624c2c492ede06e Description: IRC transport for jabber Provides jabber server with gateway to IRC messaging system. Written completely in Python language. . You will need jabber server to use this gateway. If you have no deployed server or just want to chat via IRC you may be better look for IRC client or already deployed jabber IRC gateway. Tag: interface::daemon, network::server, protocol::irc, protocol::jabber, role::program, use::chatting Section: net Priority: optional Filename: pool/main/j/jabber-irc/jabber-irc_0.4cvs20080505-1.1_all.deb Package: jabber-muc Version: 0.8-3 Architecture: armhf Maintainer: Debian XMPP Maintainers Installed-Size: 249 Depends: libc6 (>= 2.4), libexpat1 (>= 2.0.1), libglib2.0-0 (>= 2.31.8), libidn11 (>= 1.13), adduser Recommends: jabberd14 | jabberd2 Homepage: https://gna.org/projects/mu-conference/ Priority: optional Section: net Filename: pool/main/j/jabber-muc/jabber-muc_0.8-3_armhf.deb Size: 86660 SHA256: 085c419f484336b4fed748de486b65644341d88d31950924277deb64a793297f SHA1: c4b1693ee7806e84b732d1c62d7a2e4a522b9e9e MD5sum: c950416d405d2a7a05708150ded3b206 Description: Multi User Conference component for the Jabber IM server Multi User Conference component provides an implementation of XEP-0045 which allow the creation of multi-users chat. Package: jabber-querybot Version: 0.1.0-1 Installed-Size: 126 Maintainer: Marco Balmer Architecture: all Depends: libnet-jabber-perl, libxml-parser-perl, libsys-cpuload-perl, libxml-smart-perl Size: 36584 SHA256: 0e93566c1c27f70536a4739562f6d4b7e6bd941f1516a6d29b5eac98398f0222 SHA1: ff5a38e9225b92f16a45b466ac120ebf5d72697c MD5sum: adef90bfbf0eda8cb38101b94d612ecd Description: Modular xmpp/jabber bot You can easy write bots and use it over the jabber network. . jabber-querybot connects a jabber account and wait for messages. If a message comes in, it forward it to your self programmend modul. The return string of your module, jabber-querybot send it back to the jabber sender. . It is designed to be re-usable and to make it easy to write small Jabber bots that do one thing and do it well. A simple concept with a lot of examples and experiences are implemented. Homepage: http://github.com/micressor/jabber-querybot Section: net Priority: extra Filename: pool/main/j/jabber-querybot/jabber-querybot_0.1.0-1_all.deb Package: jablicator Version: 1.0.1 Installed-Size: 60 Maintainer: Jeff Breidenbach Architecture: all Depends: grep-dctrl, devscripts, debhelper (>> 3.0.0), dpkg-dev, fakeroot, lintian, apt, gawk Size: 6978 SHA256: 1f999a92f18bc6ce0b9b54f0ddbc1feced7e06171fc8a4ada87089c30ee6ad8c SHA1: f28dfb9134e106f069f7a3054b75332633583437 MD5sum: dd03f741f39ef8a94a73b467f82beb15 Description: Share a set of package choices Allows others to easily replicate your package choices via Debian's apt-get utility. Generating an apt-gettable, custom Debian package, with the appropriate dependencies. Tag: admin::cluster, admin::configuring, admin::package-management, devel::packaging, interface::commandline, role::program, scope::utility, suite::debian, use::configuring, use::synchronizing, works-with::software:package Section: admin Priority: optional Filename: pool/main/j/jablicator/jablicator_1.0.1_all.deb Package: jabref Version: 2.7~beta1+ds-6+deb7u1 Installed-Size: 3455 Maintainer: gregor herrmann Architecture: all Depends: antlr, antlr3 (>= 3.2), java-wrappers, libcommons-logging-java, libglazedlists-java, libjempbox-java (>= 1:1.5.0), libjgoodies-common-java, libjgoodies-forms-java, libjgoodies-looks-java (>= 2.4.0), libjpf-java, libjpfcodegen-java, libmicroba-java, libmysql-java, libpdfbox-java (>= 1:1.5.0), libspin-java, default-jre | java6-runtime, velocity (>= 1.5) Suggests: gv | postscript-viewer, jabref-plugin-oo, xpdf-reader | pdf-viewer Size: 3209596 SHA256: 19b43d25c31356f1e21557456564c91c342a744c9e143d8ef1f59d64540eb1bf SHA1: 10a28472deb0a0d952a9dceab277294b80d9463e MD5sum: 3caadf6f13d0cc33a73db821ae9269bc Description: graphical frontend to manage BibTeX databases JabRef is a graphical Java application for editing BibTeX (.bib) databases. JabRef lets you organize your entries into overlapping logical groups, and with a single click limit your view to a single group or an intersection or union of several groups. You can customize the entry information shown in the main window, and sort by any of the standard BibTeX fields. JabRef can autogenerate BibTeX keys for your entries. JabRef also lets you easily link to PDF or web sources for your reference entries. . JabRef can import from and export to several formats, and you can customize export filters. JabRef can be run as a command line application to convert from any import format to any export format. Homepage: http://jabref.sourceforge.net/ Recommends: xdg-utils Section: tex Priority: optional Filename: pool/main/j/jabref/jabref_2.7~beta1+ds-6+deb7u1_all.deb Package: jabref-plugin-oo Version: 0.9+ds-2 Installed-Size: 176 Maintainer: gregor herrmann Architecture: all Depends: jabref (>= 2.6~beta3), libreoffice-java-common | openoffice.org-java-common, libreoffice-writer | openoffice.org-writer Enhances: jabref Size: 129262 SHA256: 160c943b6e52eb8d9c4f12e7b310a5aec62224af4e3c26b6fce6497c177ee74d SHA1: 0770a864ac8e9786c8470456963d5288aab406b6 MD5sum: 9ed8a9a79629b94d4ab3dca1834b7a8f Description: LibreOffice plugin for JabRef This plugin offers an interface for inserting citations and formatting a Bibliography in an OpenDocument text document from JabRef. Homepage: http://jabref.sourceforge.net/OOPlugin-jabref.php Tag: implemented-in::java, role::plugin, science::bibliography, suite::openoffice, works-with-format::bib Section: java Priority: extra Filename: pool/main/j/jabref-plugin-oo/jabref-plugin-oo_0.9+ds-2_all.deb Package: jacal Version: 1b9-2.1 Installed-Size: 640 Maintainer: Thomas Bushnell, BSG Architecture: all Depends: scm | guile-1.6, slib (>= 3a5-1) Size: 161828 SHA256: b4cde59aafb7977f367482139b73e67fe78855d646df29dec6d8a2ddb628d086 SHA1: 356666f08a18d82354b58c949a4b516d0c452338 MD5sum: 83504f568bb7554ae18b748b30b4450f Description: Interactive symbolic math system JACAL is an interactive symbolic math system which can manipulate and simplify equations, scalars, vectors, and matrices of single and multiple valued algebraic expressions containing numbers, variables, radicals, and algebraic differential, and holonomic functions. Section: math Priority: optional Filename: pool/main/j/jacal/jacal_1b9-2.1_all.deb Package: jack Version: 3.1.1+cvs20050801-29 Architecture: armhf Maintainer: Michael Banck Installed-Size: 503 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.4), libncursesw5 (>= 5.6+20070908), libtinfo5, python-cddb, python-eyed3, python-pyvorbis (>= 0.5) | python-mutagen, cdparanoia | cdda2wav, vorbis-tools | flac | lame Priority: optional Section: sound Filename: pool/main/j/jack/jack_3.1.1+cvs20050801-29_armhf.deb Size: 147060 SHA256: 6d2bc66021ddc223f39ce97aa1db0901eb891dabb05d34c1135b761df50b52f5 SHA1: 9c67babd504915ebef63866d0a2cfe91195694fe MD5sum: 230d2bf092d7283f9d8008dd0be039eb Description: Rip and encode CDs with one command Jack has been developed with one main goal: making OGGs (or MP3s) without having to worry. There is nearly no way that an incomplete rip goes unnoticed, e.g. jack compares WAV and OGG file sizes when continuing from a previous run. Jack also checks your HD space before doing anything (even keeps some MB free). . Jack is different from other such tools in a number of ways: - it supports different rippers and encoders - it is very configurable - it doesn't need X - it can "rip" virtual CD images like the ones created by cdrdao - when using cdparanoia, cdparanoia's status information is displayed and archived for all tracks, so you can see if something went wrong - it uses sophisticated disk space management, i.e. it schedules its ripping/encoding processes depending on available space. - freedb query, file renaming and id3/ogg-tagging - it can resume work after it has been interrupted. If all tracks have been ripped, it doesn't even need the CD anymore, even if you want to do a freedb query. - it can do a freedb query based on OGGs alone, like if you don't remember from which CD those OGGs came from. - freedb submissions Package: jack-capture Version: 0.9.67-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 107 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblo7 (>= 0.26~repack), libmp3lame0, libsndfile1 (>= 1.0.20) Recommends: meterbridge Suggests: zenity Homepage: https://github.com/kmatheussen/jack_capture Priority: optional Section: sound Filename: pool/main/j/jack-capture/jack-capture_0.9.67-1_armhf.deb Size: 46066 SHA256: a06ebcafc7738cd106863ed21d247ca4907c9548cba773d2f2eba2893a92843c SHA1: 3ca9973d0ca34186acc463d1e1aa1c123385f1c5 MD5sum: 8dfa939f5ae1492c805fe79c40b26c8c Description: program for recording soundfiles with jack With this program you can record any sound which is played via jack. . jack_capture allow you record to all formats supported by sndfile. (wav, aiff, ogg, flac, wavex, au, etc.) Package: jack-keyboard Version: 2.7.1-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 113 Depends: jackd, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxext6 Recommends: a2jmidid Suggests: ghostess, qjackctl, whysynth Homepage: http://jack-keyboard.sourceforge.net Priority: optional Section: sound Filename: pool/main/j/jack-keyboard/jack-keyboard_2.7.1-1_armhf.deb Size: 40544 SHA256: 5ac8f7af1c8ae14cc1acecaa7797265432e4a047f2f9649b4eee283e314a9440 SHA1: 1dd2c320f1fd0b3cdff0f3809cfabd403ccc94ba MD5sum: 87ea0dd333d484eb42325a8d22401640 Description: Virtual MIDI keyboard for JACK MIDI JACK keyboard is a program that allows you to send JACK MIDI events (play ;-) using your PC keyboard. It's somewhat similar to vkeybd, except it uses JACK MIDI instead of ALSA, and the default keyboard mapping is much better - it uses the same layout as trackers (like Impulse Tracker) did, so you have two and half octaves under your fingers. Package: jack-mixer Version: 9-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 359 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, gconf2 (>= 2.28.1-2), jackd, python-gtk2, python-gobject, python-fpconst, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: qjackctl, patchage Homepage: http://home.gna.org/jackmixer/ Priority: optional Section: sound Filename: pool/main/j/jack-mixer/jack-mixer_9-3_armhf.deb Size: 67270 SHA256: 19f72c87e2c0545ecf85ea6bd2b5049ef4ef2673b754e030d784b6b137461379 SHA1: f2a32b00da97fe17fc6f13c839c5066e321dc31d MD5sum: 5599c6fc4f769c83e76838f72ebc09b7 Description: JACK Audio Mixer jack_mixer is an audio mixer for JACK with a look similar to its hardware counterparts. Many features are available, here is a short list: . - Mix any number of input channels (mono or stereo). - Control balance and faders with MIDI commands. - Handle session management with LASH. - Create as many outputs as necessary. - Quickly monitor inputs (PFL) and outputs. Package: jack-rack Version: 1.4.8~rc1-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 235 Depends: jackd, python, libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblrdf0, libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4) Recommends: blop, cmt, swh-plugins Homepage: http://jack-rack.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/j/jack-rack/jack-rack_1.4.8~rc1-1_armhf.deb Size: 78062 SHA256: 6b30ce3a2fbb3b1cfd8916117e6a33a59f34e7a852c4b273ca64b42aca2b2793 SHA1: f290d155b5d494a729b9a154dd54aafd97444deb MD5sum: e0d39f4e8172eb5afbe2030c6836758e Description: LADSPA effects "rack" for JACK JACK Rack is an effects "rack" for the JACK low latency audio API. The rack can be filled with LADSPA effects plugins and can be controlled using the ALSA sequencer. It's phat; it turns your computer into an effects box. Package: jack-stdio Version: 1.4-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 66 Depends: libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, jackd Suggests: sox Homepage: http://rg42.org/oss/jackstdout/ Priority: optional Section: sound Filename: pool/main/j/jack-stdio/jack-stdio_1.4-1_armhf.deb Size: 16284 SHA256: 344d9274dbd5db117ad3f07700df12b2ed148d27529af3a6538ec524540d27a6 SHA1: 65cd55f7bd39f0bef7bc8369a45cdfd4ee3acd4d MD5sum: 2d645d33b54a2f50e7fc5b7372753110 Description: program to pipe audio-data from and to JACK jack-stdout is a small tool that writes JACK audio-sample data to buffered standard output. jack-stdin reads raw audio data from standard input and writes it to a JACK audio port. . By default jack-stdout writes 16 bit signed integer raw audio data (much like mpg123 -s at JACK's samplerate, but it can output signed/unsigned 8/16/24/32 bit integer and 32bit floating-point data, both big/little endian. Package: jack-tools Version: 20101210-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 230 Depends: libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblo7 (>= 0.26~repack), libncurses5 (>= 5.5-5~), libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20), libtinfo5, libx11-6, libxext6, jackd Homepage: http://slavepianos.org/rd/?t=rju Priority: optional Section: sound Filename: pool/main/j/jack-tools/jack-tools_20101210-2_armhf.deb Size: 84516 SHA256: 548fa0838238de4244c9fc4c78d7eddb3b933813e185bc0f5fcba1d861d5ec44 SHA1: 374a2ea3a844e32d7017911e8cb3eddb84502fbc MD5sum: b9a5d31a0566defdd0eb45bfdf1f28c2 Description: various JACK tools: dl, record, scope, osc, plumbing, udp, play, transport jack.* is a collection of small tools for the JACK Audio Connection Kit. . JACK allows the connection of multiple applications to an audio device, as well as allowing them to share audio between themselves. . jack.dl loads dsp algorithms from shared libraries. Commands are sent as OSC packets over a UDP connection. . jack.record is a light-weight JACK capture client to write an arbitrary number of channels to disk. . jack.scope draws either a time domain signal trace or a self correlation trace. Multiple input channels are superimposed, each channel is drawn in a different color. jack.scope accepts OSC packets for interactive control of drawing parameters. . jack.osc publishes the transport state of the local JACK server as OSC packets over a UDP connection. jack.osc allows any OSC enabled application to act as a JACK transport client, receiving sample accurate pulse stream timing data, and monitoring and initiating transport state change. . jack.plumbing maintains a set of port connection rules and manages these as clients register ports with JACK. Port names are implicitly bounded regular expressions and support sub-expression patterns. . jack.udp is a UDP audio transport mechanism for JACK. jack.udp is obsolete: use net driver instead. . jack.play is a light-weight JACK sound file player. It creates as many output ports as there are channels in the input file. . jack.transport is a JACK session manager. It reads configuration information from a system wide and a user specific configuration file and manages sessions involving the JACK daemon proper and optionally a set of secondary jack daemons. Package: jackd Source: jackd-defaults Version: 5 Installed-Size: 32 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: jackd2 | jackd1 Size: 2020 SHA256: 37b718647f67f8593940e2d54b5a1985cf9b509e6fe6f7eacd73e9e65534947d SHA1: 1eb83c0ec007d03830906d8b02500e698626cbcf MD5sum: 5e240cd087958ad55d4d21e8b5b9b5da Description: JACK Audio Connection Kit (default server package) JACK is a low-latency sound server, allowing multiple applications to connect to one audio device, and to share audio between themselves. . This dummy package depends on the current default JACK implementation. Tag: interface::commandline, interface::daemon, network::client, network::server, role::program, sound::player, use::playing, works-with::audio Section: sound Priority: optional Filename: pool/main/j/jackd-defaults/jackd_5_all.deb Package: jackd1 Source: jack-audio-connection-kit Version: 1:0.121.3+20120418git75e3e20b-2.1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 797 Pre-Depends: multiarch-support Depends: coreutils (>= 4.0), debconf (>= 0.5) | debconf-2.0, libjack0 (= 1:0.121.3+20120418git75e3e20b-2.1), libasound2 (>= 1.0.18), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0), libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20) Recommends: libpam-modules, qjackctl Suggests: jack-tools, meterbridge Conflicts: jack-daemon, jackd (<< 3) Replaces: jack-daemon, jackd (<< 3), libjack0 (<< 1:0.118+svn3796-4) Provides: jack-daemon Homepage: http://jackaudio.org/ Priority: optional Section: sound Filename: pool/main/j/jack-audio-connection-kit/jackd1_0.121.3+20120418git75e3e20b-2.1_armhf.deb Size: 305498 SHA256: 457719288948d9fbe46bc992b6d1471f1df2fd97c36820e4c9f5adbac65f2fd8 SHA1: 56a40b5af54ef6d0ca285ad0a915cf25a0828391 MD5sum: 39f16e3c781f822acc55c8550ccd9d96 Description: JACK Audio Connection Kit (server and example clients) JACK is a low-latency sound server, allowing multiple applications to connect to one audio device, and to share audio between themselves. . This package contains the daemon jackd as well as some example clients. Package: jackd2 Version: 1.9.8~dfsg.4+20120529git007cdc37-5 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 2003 Pre-Depends: multiarch-support Depends: libasound2 (>= 1.0.18), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (= 1.9.8~dfsg.4+20120529git007cdc37-5), libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.6), debconf (>= 0.5) | debconf-2.0, python, coreutils (>= 4.0), python-dbus Recommends: qjackctl, libpam-modules Suggests: jack-tools, meterbridge Conflicts: jack-daemon, jackd (<< 3) Replaces: jack-daemon, jackd (<< 3), libjack0 (<= 1.9.5~dfsg-13) Provides: jack-daemon Homepage: http://jackaudio.org/ Priority: optional Section: sound Filename: pool/main/j/jackd2/jackd2_1.9.8~dfsg.4+20120529git007cdc37-5_armhf.deb Size: 649230 SHA256: fe1695776ca17a06384ab7332e648ac646f04cbd73c0bc83a3dd4ccbb2de4e8c SHA1: 40ceefa9fb76ebbfbbda994254d86296c8fc9c87 MD5sum: 175be0beb833760b5f641fae6e638726 Description: JACK Audio Connection Kit (server and example clients) JACK is a low-latency sound server, allowing multiple applications to connect to one audio device, and to share audio between themselves. . This package contains the daemon jackd as well as some example clients. Package: jackeq Version: 0.5.9-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 323 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27), swh-plugins (>= 0.4.3) Recommends: jackd Homepage: http://djcj.org/jackeq/ Priority: optional Section: sound Filename: pool/main/j/jackeq/jackeq_0.5.9-2_armhf.deb Size: 195644 SHA256: 2168dec33baf072ce1c22c929878a3d6563d8fa1584cde03f07b3d41b95a2462 SHA1: 85f909fe3d20eb881dc3b79023b11577976f9637 MD5sum: 08395171e4eda5861f65cdebc65c0532 Description: routes and manipulates audio from/to multiple sources jackEQ is a tool for routing and manipulating audio from/to multiple input/output sources. It runs in the JACK Audio Connection Kit, and uses LADSPA for its backend DSP work, specifically the DJ EQ swh plugin created by Steve Harris, one of jackEQ's main authors. . jackEQ is intended to provide an accessible method for tweaking the treble, mid and bass of any JACK aware applications output. Designed specifically for live performance, it is modelled on various DJ mixing consoles which the main author has used. . jackEQ wll allow sound as rich and powerful as Jackie O, as street smart as Jackie Brown. jackEQ may be the first female entity in JACKs realm. Is jackEQ more than you can handle? Package: jackmeter Version: 0.4-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 49 Depends: libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116 Homepage: http://www.aelius.com/njh/jackmeter/ Priority: extra Section: sound Filename: pool/main/j/jackmeter/jackmeter_0.4-1_armhf.deb Size: 8948 SHA256: a9c1aaed4dc8190c42e36c092b6fc2c96f2e420b9e7b7c79c0a94bfabbf7b268 SHA1: 4ae1fe2e8a4bf13e8afdb1f4e1cefd0fb8153fb8 MD5sum: d0a74729013fa817a18a49055b21aa57 Description: a basic command line meter for the JACK audio system Jack Meter is a command line audio meter for the JACK audio system. This allows the user to check signal levels on remote computers without the need for graphical systems. Package: jacksum Version: 1.7.0-2 Installed-Size: 304 Maintainer: Anuradha Weeraman (anu) Architecture: all Depends: java-gcj-compat | java2-runtime Suggests: java-virtual-machine Size: 217420 SHA256: 449730b0a129118c7e7d068ae62567acb0b374ce569f3e82cfaa28fd0d647d0c SHA1: 2100e3d1e89b1c65b933e352f2173786b4b43d58 MD5sum: 37e93ba4e3979c0de658279b34a1d182 Description: computes checksums, CRCs and message digests Jacksum is a free and platform independent software for computing and verifying checksums, CRCs and message digests. Jacksum features both a commandline interface and an open API. . Jacksum supports 58 popular algorithms (Adler32, BSD sum, Bzip2's CRC-32, POSIX cksum, CRC-8, CRC-16, CRC-24, CRC-32 (FCS-32), CRC-64, ELF-32, eMule/eDonkey, FCS-16, GOST R 34.11-94, HAS-160, HAVAL (3/4/5 passes, 128/160/192/224/256 bits), MD2, MD4, MD5, MPEG-2's CRC-32, RIPEMD-128, RIPEMD-160, RIPEMD-256, RIPEMD-320, SHA-0, SHA-1, SHA-224, SHA-256, SHA-384, SHA-512, Tiger-128, Tiger-160, Tiger, Tiger2, Tiger Tree Hash, Tiger2 Tree Hash, Unix System V sum, sum8, sum16, sum24, sum32, Whirlpool-0, Whirlpool-1, Whirlpool and xor8). . Some of the additional features include: . - Fully customizable output - Customized CRC algorithms and support for combination of multiple algorithms - By default, output is 100% compatible with Unix-standard tools such as sum, cksum, md5sum and sha1sum - Supports verification of both the content and timestamp of files - Large file aware - Recursive file processing Homepage: http://www.jonelo.de/java/jacksum/ Tag: implemented-in::java, role::program, scope::utility, use::checking Section: utils Priority: extra Filename: pool/main/j/jacksum/jacksum_1.7.0-2_all.deb Package: jacktrip Version: 1.0.5.patch2-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 2138 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0) Homepage: http://code.google.com/p/jacktrip/ Priority: optional Section: sound Filename: pool/main/j/jacktrip/jacktrip_1.0.5.patch2-1_armhf.deb Size: 535552 SHA256: 56be34980eba0ea0992b44d8f5b52c466d8dd38283354c5b65154e1d97710258 SHA1: 50d9ee8138a8d1b235cca6ca852364d4e7dc3a75 MD5sum: b26e41e8bd9dd2cdcbab174d0bca0607 Description: A System for High-Quality Audio Network Performance JackTrip is a Linux and Mac OS X-based system used for multi-machine network performance over the Internet. It supports any number of channels (as many as the computer/network can handle) of bidirectional, high quality, uncompressed audio signal steaming. . You can use it between any combination of Linux and Mac OS X (i.e., one end using Linux can connect to the other using Mac OS X). . It is currently being developed and actively tested at CCRMA by the SoundWIRE group. Package: jade Version: 1.2.1-47.1 Architecture: armhf Maintainer: Neil Roeth Installed-Size: 664 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libsp1c2 (>= 1.3.4-1.2.1-44), libstdc++6 (>= 4.4.0), sgml-base (>= 1.17) Suggests: doc-base, sgml-data, sp Priority: optional Section: text Filename: pool/main/j/jade/jade_1.2.1-47.1_armhf.deb Size: 256984 SHA256: 405911ed1bad6e1b7433c13f36c0aa1c604bc3198df32ec7980daeb7eb8e67b5 SHA1: bb86af28531a2f1a7b9775b09922c0e7b7f1e639 MD5sum: 0a2691f7eb21bc4b3c4c446a1018eb8f Description: James Clark's DSSSL Engine Jade is an implementation of the DSSSL style language. . The jade engine is a useful tool (in conjunction with a DSSSL style sheet) for translating SGML documents into other formats. Jade can currently generate SGML, RTF, and TeX. In conjunction with the "jadetex" TeX style, it can generate quite nice output. . Author: James Clark Homepage: http://www.jclark.com/jade/ Package: jadetex Version: 3.13-14 Installed-Size: 683 Maintainer: Debian TeX Task Force Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 3), debianutils (>= 1.7), texlive-latex-base, texlive-fonts-recommended, texlive-latex-recommended, texlive-generic-recommended, tipa Recommends: openjade1.3 | openjade | jade (>= 1.2-1) Suggests: docbook-dsssl Conflicts: cslatex, csplain, tetex-base (<= 2.0.2a-2) Size: 251158 SHA256: b55742307edcc1716e9d127e694ef33fdeedfdc5e65532e30299acfb5edf383b SHA1: 2cc80d44bfa479cbd6ce6a6fcb9993416ff8ca61 MD5sum: 7b847315f9ab48aeea403acf0690d3c3 Description: generator of printable output from SGML or XML using Jade JadeTeX, in conjunction with Jade or OpenJade, can be used to produce printable output from SGML or XML files. This package includes 'jadetex', which produces DVI output, viewable with 'xdvi'; and 'pdfjadetex', which produces PDF output. . JadeTeX is a set of LaTeX macros. JadeTeX process the output from the TeX backend to Jade or OpenJade. . Note that Jade and JadeTeX uses DSSSL to produce formatted output from SGML or XML source files. Debian also provides SGML/XML document formatting using XSL stylesheets instead of DSSSL; that is provided by other packages, such as passivetex, xsltproc, and fop. Homepage: http://jadetex.sourceforge.net/ Tag: implemented-in::TODO, role::program, use::converting, use::text-formatting, works-with-format::pdf, works-with-format::sgml, works-with-format::tex, works-with-format::xml, works-with::text Section: tex Priority: optional Filename: pool/main/j/jadetex/jadetex_3.13-14_all.deb Package: jags Version: 3.2.0-1 Architecture: armhf Maintainer: Dirk Eddelbuettel Installed-Size: 2504 Depends: libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libltdl7 (>= 2.4.2), libstdc++6 (>= 4.6) Homepage: http://www-fis.iarc.fr/~martyn/software/jags/ Priority: optional Section: math Filename: pool/main/j/jags/jags_3.2.0-1_armhf.deb Size: 1373654 SHA256: 2f2f0a7365f4b2c4af925542985e95a85b10b641c9f2850e5198c0afee87d06f SHA1: 96797c138dfbec55a723bcfdac2be6bd9bd10276 MD5sum: f1d716c766e3e8f9ee7fb91931299fe5 Description: Just Another Gibbs Sampler for Bayesian MCMC simulation JAGS is Just Another Gibbs Sampler. It is a program for analysis of Bayesian hierarchical models using Markov Chain Monte Carlo (MCMC) simulation not wholly unlike BUGS. . JAGS was written with three aims in mind: * To have an engine for the BUGS language that runs on Unix * To be extensible, allowing users to write their own functions, distributions and samplers. * To be a plaftorm for experimentation with ideas in Bayesian modelling Package: jailer Version: 0.4-17 Installed-Size: 108 Maintainer: Javier Fernandez-Sanguino Pen~a Architecture: all Depends: perl5, cpio Size: 12778 SHA256: c0c7a04c2677c56bf0f3a34f8b8068c5459b4a9fb5f6f4dc3d8432ee864147a4 SHA1: 7f92f93f95312d4cd72bc8b7213fc84c5b82de05 MD5sum: 75d5ebbb65f199a9c1a4ee3f41bd0c9a Description: Builds and maintains chrooted environments Jailer is a simple script to help system administrators to create and maintain chrooted (that is, jailed) environments. It uses a simple configuration file which can be used to describe the location of configuration files, Debian packages and files or directories used to build the chroot environment. Tag: admin::configuring, implemented-in::perl, interface::commandline, role::program, scope::utility Section: admin Priority: optional Filename: pool/main/j/jailer/jailer_0.4-17_all.deb Package: jailtool Version: 1.1-5 Installed-Size: 76 Maintainer: Alexandre De Dommelin Architecture: all Depends: rsync, perl Size: 10794 SHA256: 4d96d3f6ebf6e8b25bf1b6585a32ad0cab649c89b406dc1d739da71fea80ff1a SHA1: 559717b69b03d5586992a327e208f490e5cad8b9 MD5sum: 1543a5827b13c4d76c88c885a6f4715c Description: tool to build chroot-jails for daemons Jailtool provides an easy way to build chroot-jails for daemons. It can make use Debian package information. The jail is copied from the base system, the amount of copied data can be configured. . New init.d-scripts for daemons can be generated to automagically start in the jail. Homepage: http://www.westfalen.de/~gb/ Tag: admin::configuring, implemented-in::perl, interface::commandline, role::program, scope::utility Section: admin Priority: optional Filename: pool/main/j/jailtool/jailtool_1.1-5_all.deb Package: jajuk Version: 1:1.9.6-1 Installed-Size: 2158 Maintainer: Debian Java Maintainers Architecture: all Depends: entagged, java-wrappers, libbasicplayer-java, libcobra-java, libcommons-codec-java, libcommons-collections3-java, libcommons-httpclient-java, libcommons-io-java, libcommons-lang-java, libcommons-logging-java, libdbus-java, libguava-java (>= 11), libjaudiotagger-java (>= 2.0.0), libjcommon-java, libjfreechart-java, libjgoodies-animation-java, libjhlabs-filters-java, libjlayer-java, libjmac-java, libjna-java, libjorbis-java, libjspeex-java, liblaf-plugin-java, liblaf-widget-java, liblastfm-java (>= 1:0.1.0), liblog4j1.2-java, libmiglayout-java, libmp3spi-java, librhino-java, libsimple-validation-java, libswingx-java (>= 1:1.6), libtritonus-java, libvldocking-java, libvorbisspi-java, libxstream-java, mplayer, openjdk-6-jre | java6-runtime | java7-runtime, substance (>= 5.2) Size: 1999920 SHA256: 58a583f25ae537a4ea85cf4a966b5abec0d7ac2e382176a40fe027a24d133bc4 SHA1: 6a6ece447118bb663b8ab3e92da81d40f70f99d3 MD5sum: 20847318088432947475e61ca45257d5 Description: advanced jukebox and music organizer Jajuk is software that organizes and plays music. Jajuk is designed to be intuitive, fast and provide multiple ways to perform the same operation. It is a fully-featured application geared towards advanced users with very large or scattered music collections. Homepage: http://jajuk.info/ Tag: implemented-in::java, interface::x11, role::program, scope::application, sound::player, use::organizing, use::playing, works-with-format::mp3, works-with-format::mpc, works-with-format::oggvorbis, works-with-format::wav, works-with::audio, x11::application Section: sound Priority: optional Filename: pool/main/j/jajuk/jajuk_1.9.6-1_all.deb Package: jalv Version: 1.0.0~dfsg0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 176 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.22.0), libgtk2.0-0 (>= 2.14.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblilv-0-0 (>= 0.14.2~dfsg0), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libserd-0-0 (>= 0~svn155), libsratom-0-0, libstdc++6 (>= 4.3.0), libsuil-0-0 (>= 0.4.2) Homepage: http://drobilla.net/software/jalv/ Priority: optional Section: sound Filename: pool/main/j/jalv/jalv_1.0.0~dfsg0-2_armhf.deb Size: 58872 SHA256: 82b361ee64e85583f4228a18d67daaee8f3a56b1b44546fa67cd331c04a025d6 SHA1: 337c6cd2694b41489745e3472af0606688b3d0f7 MD5sum: b43baa5bb1cc49e93809f99b10eefbf3 Description: tool to run LV2 plugins as stand-alone applications jalv is a stand-alone version of lv2jack that supports plugin UIs via libsuil. It allows one to run an LV2 plugin as a JACK application. . This package contains a replacement for the lv2jack utility, which was previously provided by the lilv-utils package. Package: jalview Version: 2.7.dfsg-2+deb7u1 Installed-Size: 3524 Maintainer: Vincent Fourmond Architecture: all Depends: default-jre | java6-runtime, java-wrappers (>= 0.1.22), libjmol-java (>= 12.1.42), ant, icedtea-netx-common, javahelp2, jmol-applet, libapache-mime4j-java, libaxis-java, libcastor-core-java, libcastor-xml-java, libcommons-discovery-java, libcommons-logging-java, libhttpclient-java, libhttpcore-java, libhttpmime-java, libjaba-client-java, libjswingreader-java, liblog4j1.2-java, libmiglayout-java, libvamsas-client-java, openjdk-6-jdk Suggests: kalign, t-coffee, clustalw Size: 3334870 SHA256: 3ec078e6da1bc9cc9bb37e5e3497571e638bb4e3e60c37621848e1952a2a7b14 SHA1: c1c30ff329f374f32724aee7c09669851de4fa4d MD5sum: fde47c5f5495401257ca2fa89a9c7a84 Description: multiple alignment editor JalView is a Java alignement editor that can work with sequence alignement produced by programs implementing alignment algorithms such as clustalw, kalign and t-coffee. . It has lots of features, is actively developed, and will compare advantageously to BioEdit, while being free as in free speech ! Homepage: http://www.jalview.org/ Section: science Priority: optional Filename: pool/main/j/jalview/jalview_2.7.dfsg-2+deb7u1_all.deb Package: jam Version: 2.5rel-1 Architecture: armhf Maintainer: Yann Dirson Installed-Size: 279 Depends: libc6 (>= 2.7) Priority: optional Section: devel Filename: pool/main/j/jam/jam_2.5rel-1_armhf.deb Size: 112296 SHA256: b3f656871c8191915772cdf95f1470565df0cf17199a6526ab1a3d2514be87c4 SHA1: db1ec8e89f8e34a620a7966c8b560797c0085803 MD5sum: 3e96f0614e126e81dc550dbab4a95d67 Description: Software-build tool, replacement for make Perforce's Jam (formerly called Jam/MR) is a powerful and highly customizable utility to build programs and other things, that can run on Un*x, Nt, VMS, OS/2 and Macintosh MPW, using portable Jamfiles. It can build large projects spread across many directories in one pass, and can run jobs in parallel where make would not. . It takes some time to fully apprehend, especially when one's already accustomed to make(1), but there's no comparison in power when comparing these two tools. . Standard rules: - can automatically extract header dependencies for C/C++ (you can customize for you own language) - provide for automatic "clean", "install", "uninstall" rules, so that an automake-like tool is not needed Package: jamin Version: 0.97.14~cvs~81203-4 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 987 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblo7 (>= 0.26~repack), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), swh-plugins, jackd Homepage: http://jamin.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/j/jamin/jamin_0.97.14~cvs~81203-4_armhf.deb Size: 613038 SHA256: 2a86ee98dcb484935ebb079715c1a4705062eb44d7502188e3039fa00301a372 SHA1: 3af0b4f48404d742f7076ec910c4e4e7551ca4d2 MD5sum: bd38bd2af40a29f13ffdd0e7177a4671 Description: Audio mastering from a mixed down multitrack source with JACK JAM is a tool for producing audio masters from a mixed down multitrack source. It runs in the JACK Audio Connection Kit, and uses LADSPA for its backend DSP work, specifically the swh plugins created by Steve Harris, JAM's main author. . Features: * Linear filters * JACK I/O * 30 band graphic EQ * 1023 band hand drawn EQ with parametric controls * Spectrum analyser * 3 band peak compressor * Lookahead brickwall limiter * Multiband stereo processing * Presets and scenes * Loudness maximiser Package: jaminid Version: 0.99a-1.1 Installed-Size: 589 Maintainer: Rail Aliev Architecture: all Size: 76058 SHA256: 8341b5cf9f4ed5139b1a07efe187ed7859fc04fd60885d05ff1ed412ef96ff33 SHA1: ec8d6bb44dc867e1f9feada499ff7a6c1940dc3c MD5sum: 8cca85b6b17489300bcd4116aa0b0baa Description: Small and fast daemon for Java applications jaminid is a very small (and fast) daemon meant to embed in Java applications as an add-on HTTP interface. . There are many advantages to using jaminid in your programs: * Couples the server as closely as possible to the application. * Can easily provide an elegant interface, in a style of programming that is not at all harder than traditional console I/O. * May be used to attach a thin client interface to pre-existing applications with ease. * Enables HTTP deployment without developing an HTTP daemon or knowledge of the protocols. * The server can bundle with the application removing the need of a third party server. This makes the application easier to distribute. * Does not require knowledge of any other scripting language. Homepage: http://jaminid.sourceforge.net/ Tag: implemented-in::java, role::program Section: java Priority: optional Filename: pool/main/j/jaminid/jaminid_0.99a-1.1_all.deb Package: janino Version: 2.5.15-1 Installed-Size: 552 Maintainer: Philipp Hagemeister Architecture: all Depends: java-gcj-compat | java1-runtime | java2-runtime Size: 423002 SHA256: e2546866b36f5ee0e1cd9455001c3c981210b0cba4a490f5fe620d09a5ae0efa SHA1: 172ccf0a05f519820db05d94b7238f5b2b2443f2 MD5sum: f5159b58b1b9738555c5030cbb16afed Description: Runtime Java compiler Janino is a compiler that reads a Java expression, block, class body, source file or a set of source files, and generates Java bytecode that is loaded and executed directly. Janino is not intended to be a development tool, but an embedded compiler for run-time compilation purposes, e.g. expression evaluators or embedded code as with JSP. Homepage: http://janino.net Tag: devel::lang:java, devel::runtime, role::program Section: libs Priority: optional Filename: pool/main/j/janino/janino_2.5.15-1_all.deb Package: japa Version: 0.6.0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 112 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libclalsadrv2, libclthreads2, libclxclient3, libfftw3-3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libstdc++6 (>= 4.3.0), libx11-6, libxft2 (>> 2.1.1) Homepage: http://www.kokkinizita.net/linuxaudio/ Priority: extra Section: sound Filename: pool/main/j/japa/japa_0.6.0-2_armhf.deb Size: 36526 SHA256: 9e0699dcc9df911324ecf5c3207e70316147dc79fde9195c5714cc1369d66389 SHA1: f200a60ce9e6a8db157a3be839ee4c86bf9b33d9 MD5sum: 2905b39415e64e859ca1fce41eea38e0 Description: JACK and ALSA Perceptual Analyser Japa, is a 'perceptual' or 'psychoacoustic' audio spectrum analyser. Possible uses include spectrum monitoring while mixing or mastering, evaluation of ambient noise, and (using pink noise) equalisation of PA systems. . It supports up to four audio inputs of which two can graphically be compared. Additionally a pink noise and white noise generator is running after starting japa. Package: japi-compliance-checker Version: 1.1.2-1 Installed-Size: 312 Maintainer: Mathieu Malaterre Architecture: all Depends: perl, default-jdk Size: 57862 SHA256: c21d5bad807ac42db3ed0bb11d6073c0cf73154196c95adfb16a665537524074 SHA1: 3218a09250abd5c2f2a61cb2f8a21d9319ec08c5 MD5sum: 1094f82093d11e24165fea8ac8a9a3d3 Description: tool to compare compatibility of Java library API Java API Compliance Checker (Java ACC) is a tool for checking backward binary and source-level compatibility of a Java library API. The tool checks classes declarations of old and new versions and analyzes changes that may break compatibility: removed methods, removed class fields, added abstract methods, etc. Binary incompatibility may result in crashing or incorrect behavior of existing clients built with an old version of a library when they are running with a new one. Source incompatibility may result in recompilation errors with a new library version. The tool is intended for library developers and operating system maintainers who are interested in ensuring backward compatibility, i.e. allow old clients to run or to be recompiled with newer library versions. Homepage: http://ispras.linuxbase.org/index.php/Java_API_Compliance_Checker Section: devel Priority: optional Filename: pool/main/j/japi-compliance-checker/japi-compliance-checker_1.1.2-1_all.deb Package: japitools Version: 0.9.7-1 Installed-Size: 216 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java2-runtime-headless, perl Size: 112754 SHA256: 684c9c7872135bf29f619c025832f39b3a7053a1ef016dd26db64b5005e1875e SHA1: edc8baaf805beffb496e5299bf0c0f44f9dbf613 MD5sum: 6651ed97d183cd01198206e1fda2faff Description: Java API compatibility testing tools It consists of two simple tools designed to test for compatibility between Java APIs. They were originally designed for testing free implementations of Java itself for compatibility with Sun's JDK, but they can also be used for testing backward compatibility between versions of any API. . The tools are japize and japicompat. Japize is a Java program which emits a listing of an API in a machine-readable format. Japicompat then takes two such listings and compares them for binary compatibility, as defined by Sun in the Java Language Specification. Homepage: http://savannah.nongnu.org/projects/japitools/ Section: devel Priority: optional Filename: pool/main/j/japitools/japitools_0.9.7-1_all.deb Package: jaranalyzer Version: 1.2-5 Installed-Size: 104 Maintainer: Debian QA Group Architecture: all Depends: default-jre | java2-runtime | java5-runtime | java6-runtime, libbcel-java, libregexp-java Suggests: graphviz, xsltproc Size: 28828 SHA256: e2b620a40eb32832beed16b1840741e7e6ef6f88baa38a85812b541359d12eca SHA1: 3cb126c989b2986c4e2b8e650cbdd7b8b7d8dfbe MD5sum: 6952c04f77ccfa30aebb4095762daf03 Description: Dependency management utility for jar files JarAnalyzer's primary purpose is to traverse through a directory, parse each of the jar files in that directory, and identify the dependencies between the jar files. The output is an xml or graphviz file representing the dependencies between the jar files. Homepage: http://www.kirkk.com/main/Main/JarAnalyzer Section: java Priority: optional Filename: pool/main/j/jaranalyzer/jaranalyzer_1.2-5_all.deb Package: jardiff Version: 0.2-3 Installed-Size: 68 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java2-runtime-headless, libasm3-java, libcommons-cli-java, java-wrappers Size: 52584 SHA256: 472dd36d83c19bcc0dfba80a964c685545ddc8f5cec3af6f8627268ac17703e1 SHA1: dc19c2ce55faedc882217119a045424271706644 MD5sum: 7fbe17b7954f52093b08623cf80d286c Description: tool to visualise API differences between two JAR files Jardiff is a tool to help visualise API differences between two different versions of a project. . Jardiff takes two jar files and outputs all the public API changes as xml, html or plain text. . It can be used from command line or via an Apache Ant task. . It requires Java >= 1.4 to run. Homepage: http://www.osjava.org/jardiff/ Tag: devel::lang:java, implemented-in::java, interface::commandline, role::program, scope::utility Section: utils Priority: extra Filename: pool/main/j/jardiff/jardiff_0.2-3_all.deb Package: jargon Version: 4.0.0-5 Installed-Size: 664 Maintainer: Steve Greenland Architecture: all Size: 605384 SHA256: dad83e086e2bef40792e076bcfd98175ebd2ab7858ea6aa65b51c27496359185 SHA1: f045827a201bfb939fc0baa43c861ffbfc10c4dc MD5sum: 1524908fa5831b9ff41dfa7c76124ba0 Description: the definitive compendium of hacker slang This is the Jargon File, a comprehensive compendium of hacker slang illuminating many aspects of hackish tradition, folklore, and humor. The file is in info format, but includes an HTML page with links to the home site. . Note that this package is now out-of-date, because the upstream author is no longer maintaining an info version of the file. Tag: made-of::info, role::data Section: doc Priority: extra Filename: pool/main/j/jargon/jargon_4.0.0-5_all.deb Package: jargon-text Version: 4.4.7-4 Installed-Size: 651 Maintainer: Paul Martin Architecture: all Size: 664904 SHA256: e6996129ab42ee020e0ded25dfe853e9fb28e4195e537d23fa1bf5a15a3ba6ac SHA1: 0ce2f0b0c96fcf6d6dc5e00646dfe19d109719e2 MD5sum: 17198fda88c87346fec5220654430b5d Description: definitive compendium of hacker slang This is the Jargon File, a comprehensive compendium of hacker slang illuminating many aspects of hackish tradition, folklore, and humor. This version is in the original text format. Tag: role::data Section: doc Priority: optional Filename: pool/main/j/jargon-text/jargon-text_4.4.7-4_all.deb Package: jarwrapper Source: javatools Version: 0.43 Installed-Size: 20 Maintainer: Debian Java Maintainers Architecture: all Depends: binfmt-support, fastjar Size: 16044 SHA256: 2f6ecc778ee7eebba58799bbfaff3e72682f9ecf8385ec7ca2ea34c64f05389c SHA1: bc9e5b436a8a8c3af79c69aa7e596a07937bc6ea MD5sum: 358fd9f386fffa1c3ec4a6ac9b82b24a Description: Run executable Java .jar files Jarwrapper sets up binfmt-misc to run executable jar files using the installed java runtime. . It also includes a /usr/share/jarwrapper/java-arch.sh script to convert Debian architecture names into java names to locate libjvm.so Tag: devel::lang:java, devel::packaging, devel::runtime, implemented-in::shell, role::plugin, works-with::software:running Section: java Priority: optional Filename: pool/main/j/javatools/jarwrapper_0.43_all.deb Package: jasmin-sable Version: 2.4.0-1 Installed-Size: 320 Maintainer: Chris Lamb Architecture: all Depends: java-gcj-compat | java2-runtime, cup (>= 0.11a+20060608) Size: 182730 SHA256: a0df2628e3c5979575f3d8d368d383b5e72bf829ff573538f25e3d850e81b589 SHA1: c61361a75becaea8ea414268e2f3c57b589b9e29 MD5sum: 80cc6fe6ae0006c0c2a29b4c9f0bb9f6 Description: Java class (.class) file assembler Jasmin is a Java ASseMbler INterface. It takes ASCII descriptions of Java classes, written in the Java Virtual Machine instruction set in an assembler-like syntax. . Jasmin converts these input files into binary Java class files (.class) suitable for executing on an Java Virtual Machine. Homepage: http://jasmin.sourceforge.net/ Tag: devel::interpreter, devel::lang:java, interface::commandline, role::program, scope::utility Section: devel Priority: optional Filename: pool/main/j/jasmin-sable/jasmin-sable_2.4.0-1_all.deb Package: java-common Version: 0.47+deb7u2 Installed-Size: 314 Maintainer: Debian Java Mailing List Architecture: all Suggests: default-jre, equivs Size: 138622 SHA256: 86294d904db138c76412e3056b1e2755ec0452ce4b3f462170718a9f32f25cfa SHA1: c51eb82c03323aa2896cd5fb7a300c82269c1479 MD5sum: fa7a74e896021480a6ee5089fb9dc1fd Description: Base of all Java packages This package must be installed in the system if a Java environment is desired. It covers useful information for Java users in Debian GNU/Linux, including: * The Java policy document which describes the layout of Java support in Debian and how Java packages should behave. * The Debian-Java-FAQ which provides information on the status of Java support in Debian, available compilers, virtual machines, Java programs and libraries as well as on legal issues. * Information on how to create dummy packages to fulfill java2 requirements. Multi-Arch: foreign Section: misc Priority: optional Filename: pool/main/j/java-common/java-common_0.47+deb7u2_all.deb Package: java-propose-classpath Source: javatools Version: 0.43 Installed-Size: 17 Maintainer: Debian Java Maintainers Architecture: all Depends: gcj-jdk, javahelper (= 0.43), fastjar Size: 13236 SHA256: 6c35245db05aa13927a04be36ce56f73353821c3df2348c061fb0063df6cbde4 SHA1: d1cab18468267e3abbc92a8e7dc4f25dbe5fa527 MD5sum: e046f274eabbd9dad68e8c5b3f533069 Description: Helper script to suggest a classpath for jar files java-propose-classpath analyzes a set of jar files and the installed jars on the system to suggest a correct classpath for use with jh_classpath/jh_manifest . This package should not be in any build-depends since java-propose-classpath cannot be run automatically. Tag: devel::lang:java, devel::packaging, implemented-in::shell, interface::commandline, role::program, scope::utility, use::analysing Section: java Priority: optional Filename: pool/main/j/javatools/java-propose-classpath_0.43_all.deb Package: java-wrappers Version: 0.1.25 Installed-Size: 19 Maintainer: Debian Java Maintainers Architecture: all Depends: unzip Size: 10772 SHA256: 5e32334594d41f6960630c8a7ad787a2fc52c0c377de1bdeb60a694472b7825d SHA1: 502a7437a503bb3132ce281d698ded1585b3caed MD5sum: 44e9bd404fde2b45661d68863e31fe2b Description: wrappers for java executables Wrapper script facilities for java executables. . This package can be used by packagers of java programs to provide java runtime detection, jar lookup and a consistent user interface (debugging, environment variables). Tag: devel::debian, devel::lang:java, devel::packaging, devel::runtime, interface::commandline, role::program, scope::utility Section: java Priority: optional Filename: pool/main/j/java-wrappers/java-wrappers_0.1.25_all.deb Package: java2html Version: 0.9.2-4 Architecture: armhf Maintainer: Paul Cager Installed-Size: 319 Depends: libc6 (>= 2.7) Priority: optional Section: web Filename: pool/main/j/java2html/java2html_0.9.2-4_armhf.deb Size: 99238 SHA256: de635672cc5f6b7f745c6e90d95e8c919973d67dfebf41ac6c3f7eee35d7c9b7 SHA1: fbf8ecd954d31cd0fd8152477e804f9b27809a38 MD5sum: bf644b497936992b2bcaff20b5960257 Description: Highlight Java and C++ sources for WWW presentation java2html can highlight your source for presentation in the WWW. It can also be used as a CGI script and can detect whether the client browser supports compressed data to save bandwidth. Package: java3ds-fileloader Version: 1.2+dfsg-1 Installed-Size: 116 Maintainer: Debian Java Maintainers Architecture: all Depends: libjava3d-java Size: 42162 SHA256: 8a0840932393c32b7f49572a2760d2e1cb4d64b4687ed02280e4e5f38ec4c80a SHA1: 607c59584d0863d272bc8def29d806b14fee1c4b MD5sum: efbfe070ceaaa983ce255ea960267877 Description: Java3D 3DS File Loader File loaders perform the job of taking a file or stream and turning that into a Java 3D scene graph that you can use in your application. It's a multi-platform, highly functional 3DS file loader. It works on platforms supporting JDK1.4 and Java 3D. Main supported features are: * Hierarchical Animation * Cameras * Point Lights * Directional Lights * Textures * Smooth Groups 3DS file format is generated by 3D-Studio by Autodesk Ltd. Homepage: http://www.microcrowd.com/ Section: java Priority: optional Filename: pool/main/j/java3ds-fileloader/java3ds-fileloader_1.2+dfsg-1_all.deb Package: javacc Version: 5.0-4 Installed-Size: 408 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java1-runtime-headless | java2-runtime-headless Suggests: javacc-doc Size: 284554 SHA256: 479c8d56f11fb761b88a0d6acfdd6842c0a32f6375e52cbdc657e71848c0432c SHA1: 79e92ac65b5e94264cdf3568ba351af417ea082b MD5sum: 3c7b5f3b9b0eeb998f2ea5b445c09951 Description: A parser generator for use with Java Java Compiler-Compiler (JavaCC) is (according to sun) "the most popular parser generator" for use with Java [tm] applications. . A parser generator is a tool that reads a grammar specification and converts it to a Java program that can recognize matches to the grammar. In addition to the parser generator itself, JavaCC provides other standard capabilities related to parser generation such as tree building (via a tool called JJTree included with JavaCC), actions, debugging, etc. Homepage: http://javacc.dev.java.net/ Tag: devel::code-generator, devel::lang:java, implemented-in::java, interface::commandline, role::program, scope::utility Section: java Priority: optional Filename: pool/main/j/javacc/javacc_5.0-4_all.deb Package: javacc-doc Source: javacc Version: 5.0-4 Installed-Size: 1092 Maintainer: Debian Java Maintainers Architecture: all Depends: classpath-doc Suggests: javacc Size: 248694 SHA256: 87a957367865a868aaaaa6b74a008bf82dd111707d6b92100ad5b28814253b20 SHA1: d57f00b908567f72441b1b92eaa8e82885b71b7e MD5sum: a217fa90d87d1cefe2bc92fe04b293ab Description: Documentation for the JavaCC Parser Generator Documentation and examples for JavaCC parser generator. . Java Compiler-Compiler (JavaCC) is (according to sun) "the most popular parser generator" for use with Java [tm] applications. . A parser generator is a tool that reads a grammar specification and converts it to a Java program that can recognize matches to the grammar. In addition to the parser generator itself, JavaCC provides other standard capabilities related to parser generation such as tree building (via a tool called JJTree included with JavaCC), actions, debugging, etc. Homepage: http://javacc.dev.java.net/ Tag: devel::doc, devel::examples, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/j/javacc/javacc-doc_5.0-4_all.deb Package: javahelp2 Version: 2.0.05.ds1-6 Installed-Size: 3011 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre | java2-runtime Suggests: javahelp2-doc Size: 2114636 SHA256: b5c51a569dc54390e84bc564588cbad4e86bdb87e641ba0146f776b637ea55d6 SHA1: 4341ccfd2d250a78211db1463b60db64f1422720 MD5sum: d7f8ded588dd583861d16db55e2ac632 Description: Java based help system The JavaHelp system is an online help system that developers can use to add online help to their Java platform applications. The JavaHelp system provides developers and authors with a standard, fully featured, easy to use system for presenting online information to Java application users. The JavaHelp system consists of a fully featured, extensible specification and API, and a reference implementation of that specification and API that is written entirely in the Java programming language. The JavaHelp system reference implementation, based on the Java Foundation Classes (JFC, also known as Swing), provides a standard interface that enables both application developers and authors to add online help to their applications. Homepage: http://javahelp.dev.java.net Tag: devel::lang:java, implemented-in::java, role::program Section: java Priority: optional Filename: pool/main/j/javahelp2/javahelp2_2.0.05.ds1-6_all.deb Package: javahelp2-doc Source: javahelp2 Version: 2.0.05.ds1-6 Installed-Size: 5867 Maintainer: Debian Java Maintainers Architecture: all Size: 420164 SHA256: 9990648386225474559d00b7a37a3affc4a8bfca791fa253aa5b144de0ea4059 SHA1: 2839e8780f9f2207f93bb8ff90006b666bd0d27a MD5sum: 3f0c35ba1d3a722062b0726ae6c29636 Description: Java based help system - contains Javadoc API documentation The JavaHelp system is an online help system that developers can use to add online help to their Java platform applications. The JavaHelp system provides developers and authors with a standard, fully featured, easy to use system for presenting online information to Java application users. The JavaHelp system consists of a fully featured, extensible specification and API, and a reference implementation of that specification and API that is written entirely in the Java programming language. The JavaHelp system reference implementation, based on the Java Foundation Classes (JFC, also known as Swing), provides a standard interface that enables both application developers and authors to add online help to their applications. . This package contains Javadoc API documentation. Homepage: http://javahelp.dev.java.net Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/j/javahelp2/javahelp2-doc_2.0.05.ds1-6_all.deb Package: javahelper Source: javatools Version: 0.43 Installed-Size: 185 Maintainer: Debian Java Maintainers Architecture: all Depends: debhelper, devscripts, bsdmainutils, dpkg-dev, dctrl-tools, libarchive-zip-perl (>= 1.30-6~) Suggests: cvs, gawk, tofrodos Size: 87776 SHA256: f41eed416e81a3b953f1a2e59d0c4a0509d723a5187932dfa6bacfa3fe7d2bb2 SHA1: 2dc4323ae7bba0a9e9457f3126fc19f0c4bc34d1 MD5sum: 4c5b31d13478618fe99cf07c5156999f Description: Helper scripts for packaging Java programs Javahelper contains several scripts which help in packaging Java programs. . jh_depends searches jars to find which packages they depend on, similar to dh_shlibdeps. It will also add depends on jarwrapper for packages with executable jars. . jh_classpath will register the classpath dependencies for each jar so that they can be automatically loaded when that jar is used by other programs. . jh_manifest updates the manifest in jar files according to a provided spec so that the resultant jar files will work with jarwrapper-enabled packages. . jh_exec looks for symlinks to jars which should be executable and makes them executable . jh_build builds java files to jars for you. . jh_installlibs installs library jars into the correct locations . jh_makepkg generates a template for a Debian Java package for you . jh_installeclipse installs features/plugins built by pde-build. . jh_setupenvironment prepares an out of source built for eclipse builds. . jh_generateorbitdir creates an populates an orbit dir for eclipse builds. . jh_compilefeatures compiles eclipse features based on feature ids. . /usr/share/javahelper/java-vars.mk provides a selection of useful variables such as various JVM paths and the JVM name for the architecture . /usr/share/javahelper/java-arch.sh converts Debian architecture names into java names to locate libjvm.so in non-make build systems . javahelper provides CDBS and dh macros so that it can be used with those package build systems. . Note that some of the eclipse helpers depends on packages not pulled by default (like with devscripts). You can find these scripts in Suggests. Tag: devel::buildtools, devel::lang:java, devel::packaging, implemented-in::shell, interface::commandline, role::program, scope::utility Section: java Priority: optional Filename: pool/main/j/javatools/javahelper_0.43_all.deb Package: javamorph Version: 0.0.20100201-1.3 Installed-Size: 1005 Maintainer: Claus Wimmer Architecture: all Depends: default-jre, doc-base Recommends: evince | okular Size: 968850 SHA256: fe06dbf628eeeb6ed44b8a7da3d3c19cebe8e0f414435d370d5f2e07384a9c53 SHA1: 0049a1323d112095915064eadc06bec0e0cf2ef5 MD5sum: 0730a5dd4f1473b7bf64d996a3f91819 Description: Java morphing film-make program for pixel picture-input Give two human-face pictures as inputs into the program. Then mark important locations whithin both faces by pairs of corresponding points. The program will create a short enumerated picture series in which the left face moves (morphs) into the right one. You can then create a MPEG (see mencoder) from the picture series. An animated GIF (see GIMP) is also possible. Homepage: http://code.google.com/p/javamorph/ Section: graphics Priority: optional Filename: pool/main/j/javamorph/javamorph_0.0.20100201-1.3_all.deb Package: javascript-common Version: 7 Installed-Size: 76 Maintainer: Debian Javascript Maintainers Architecture: all Depends: wwwconfig-common Suggests: apache2 | httpd Size: 3810 SHA256: 2ec6f784aced62c2d6448c42701022bd4d9db2eeb21ec78d6e138249980f1a26 SHA1: 88869439bf75469936207f9ff1a4a1a92366706e MD5sum: a4811fd4c33605af77a11f77038be627 Description: Base support for javascript library packages Web applications that use JavaScript need to distribute it through HTTP. Using a common path for every script avoids the need to enable this path in the HTTP server for every package. . This is a helper package that creates /usr/share/javascript and enables it in the Apache webserver. Section: web Priority: optional Filename: pool/main/j/javascript-common/javascript-common_7_all.deb Package: jaxe Version: 3.5-2+deb7u2 Installed-Size: 251 Maintainer: Samuel Thibault Architecture: all Depends: default-jre | java6-runtime, libjaxe-java Size: 165148 SHA256: 2334075a6e448df4568de7b2e61d8b750ea495b2db67df7f3074f9d2a6b4358b SHA1: 2fd1b16e0744b06f63edd41ecc2d7a95f1e31087 MD5sum: 25c7682204087a6a19e1eebb6346f4a3 Description: JAva Xml Editor Jaxe is an XML editor adaptable to XML languages. It uses an XML schema and a configuration file for the graphical user interface. Homepage: http://jaxe.sourceforge.net/ Section: editors Priority: extra Filename: pool/main/j/jaxe/jaxe_3.5-2+deb7u2_all.deb Package: jazip Version: 0.34-15.1 Architecture: armhf Maintainer: Peter S Galbraith Installed-Size: 278 Depends: libc6 (>= 2.13-28), libforms2, libx11-6, debconf (>= 0.5) | debconf-2.0 Priority: extra Section: utils Filename: pool/main/j/jazip/jazip_0.34-15.1_armhf.deb Size: 97714 SHA256: f758f0d1edce3db500cebd524ffd4115ca32eedee5c97f610a211862009f8c93 SHA1: 78efa3850c3be3867c8098fbdd10332a113d5a0e MD5sum: 6dba1cbe803dd5cd3bad0308ff4ff21f Description: Mount and unmount Iomega Zip and/or Jaz drives It combines Grant Guenther's original command line utility, ziptool, with Jaz drive support, a nice X interface and additional utilities to allow users to easily mount and unmount disks formatted in either ext2 or fat. . It supports the Iomega Zip drive with USB, parallel, SCSI or ATAPI interfaces, but ATAPI Zip drives are supported only when using kernel SCSI emulation. I don't know about the Zip-plus version (someone please tell me). The SCSI Jaz drive is supported in both the 1G and 2G capacities. It does not support the much older IDE (non-ATAPI) interface drives, nor Syquest drives. . The package also includes jazipconfig, a configuration tool. Package: jbibtex-base Source: ptex-base Version: 1:2.5-2.1 Installed-Size: 361 Maintainer: Masayuki Hatta (mhatta) Architecture: all Depends: tetex-base | texlive-base, tetex-bin | texlive-base-bin, dpkg (>= 1.14.18), tex-common (>= 3) Suggests: jbibtex-bin Size: 98826 SHA256: e8cc08a7b8367446bdf3e02706d425c416f079f9e1f332634d8cd58307264920 SHA1: b5eca4648c27a589c7ef30dffe9e46fafb11d949 MD5sum: a32991063d3bd7f033390a7f1275f13c Description: make a bibliography for ASCII p(La)TeX / NTT j(La)TeX This is JBibTeX, a Japanized BibTeX based on the original BibTeX 0.99c. JBibTeX can handle Kanji characters (EUC-JP). . This package contains library files for JBibTeX. You need to install jbibtex-bin to use JBibTeX. . This is a part of ASCII pTeX distribution, but you can use this program not only with ASCII p(La)TeX but also with NTT j(La)TeX. Tag: culture::japanese, interface::commandline, role::app-data, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/p/ptex-base/jbibtex-base_2.5-2.1_all.deb Package: jbig2dec Version: 0.13-4~deb7u2 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 63 Depends: libc6 (>= 2.13-28), libjbig2dec0 (>= 0.11), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4) Homepage: http://git.ghostscript.com/?p=jbig2dec.git Priority: optional Section: graphics Filename: pool/main/j/jbig2dec/jbig2dec_0.13-4~deb7u2_armhf.deb Size: 33098 SHA256: 78143074f648024468284b6d3a3c69cbebbe06f19e11cf23c728de6041122726 SHA1: ac1e941cb63d1b8802d4ea57fecdb55905f0c3b6 MD5sum: 6dc845fd31a47da7c89c8bfe2557b6e1 Description: JBIG2 decoder library - tools jbig2dec is a decoder library and example utility implementing the JBIG2 bi-level image compression spec. Also known as ITU T.88 and ISO IEC 14492, and included by reference in Adobe's PDF version 1.4 and later. . This package contains the command-line utility jbig2dec. Package: jbigkit-bin Source: jbigkit Version: 2.0-2+deb7u1 Architecture: armhf Maintainer: Michael van der Kolff Installed-Size: 89 Depends: libc6 (>= 2.13-28), libjbig0 Suggests: netpbm Homepage: http://www.cl.cam.ac.uk/~mgk25/jbigkit/ Priority: extra Section: graphics Filename: pool/main/j/jbigkit/jbigkit-bin_2.0-2+deb7u1_armhf.deb Size: 29938 SHA256: 61993bed8efa8fe71ff5415ff709cc811d1188a1e514b40ac81f4db5359647d9 SHA1: a8f5a54df363fa07e9d1869852b7566ab6661df3 MD5sum: 46b2a0d10e1f2a3a9c4da703f8c68d6b Description: JBIGkit binaries JBIG-KIT provides a portable library of compression and decompression functions with a documented interface that you can include very easily into your image or document processing software. . This package contains the binaries from JBIG-KIT. Package: jblas Version: 1.2.0-4 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 253 Depends: libatlas3-base, libc6 (>= 2.13-28) Suggests: jblas-doc Homepage: http://jblas.org Priority: optional Section: science Filename: pool/main/j/jblas/jblas_1.2.0-4_armhf.deb Size: 139906 SHA256: c1a76c781f63082300e107f311e9fa81b60dd8d9a437cbf9bb2fbab91e2a52e4 SHA1: b57a09fbe09fce0ad1f21075130444c72a4dd933 MD5sum: 9e5e9ba1aeae7c60416dc0322018f762 Description: fast linear algebra library for Java jblas is a fast linear algebra library for Java. jblas is essentially a light-weight wrapper around BLAS and LAPACK routines, the de-facto industry standard for matrix computations. It uses state-of-the-art implementations like ATLAS for all its computational routines, making it very fast. . This package contains the binaries and examples. Package: jblas-doc Source: jblas Version: 1.2.0-4 Installed-Size: 7688 Maintainer: Debian Java Maintainers Architecture: all Recommends: jblas Size: 677664 SHA256: 509f5d771a59af20ff9676bb77432d765778ece44ce6e42c51431f9715d7393a SHA1: f98432a6f685bae1ee4bc99c12e88323612bb685 MD5sum: 6dbfa10bdcb7bf17cd706bc089a4ccc3 Description: fast linear algebra library for Java jblas is a fast linear algebra library for Java. jblas is essentially a light-weight wrapper around BLAS and LAPACK routines, the de-facto industry standard for matrix computations. It uses state-of-the-art implementations like ATLAS for all its computational routines, making it very fast. . This package contains the documentation. Homepage: http://jblas.org Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/j/jblas/jblas-doc_1.2.0-4_all.deb Package: jbofihe Version: 0.38-5.1 Architecture: armhf Maintainer: Theodore Reed Installed-Size: 1724 Depends: libc6 (>= 2.4) Priority: optional Section: misc Filename: pool/main/j/jbofihe/jbofihe_0.38-5.1_armhf.deb Size: 595136 SHA256: 1b9fd6b8ab37c29a2bfbb6c0829b4d8492fe119d4abb4bd650b32409f7e6956e SHA1: 37591c3b0f126ff28814ffdcb1023eaecb145864 MD5sum: 9654fe3aaf71cb4cb32df93dbc5efdc3 Description: A parser for the lojban language jbofihe is a command-line driven program with the following functions: . * Checking grammatical correctness of Lojban text * Displaying successfully analysed text with nesting of grammatical constructs shown (either inline or as a tree) * Displaying approximate word-for-word English translations of the Lojban words, with some limited 'part-of-speech' adjustment of the English forms. * Showing which sumti fill each of the places of each selbri Package: jbossas4 Version: 4.2.3.GA-7 Installed-Size: 75 Maintainer: Debian Java Maintainers Architecture: all Depends: java-gcj-compat | java1-runtime | java2-runtime Size: 39854 SHA256: 20f7bb34ff557c0a3bb2c111c7c8575434ff02f2c08845518d7d9470960a469b SHA1: 329e2069227088dcdab2af26e5e2a15cf912cf0f MD5sum: b202f9a75a095b181b33ec1ca2b69153 Description: JBoss Application Server A J2EE certified platform for developing and deploying enterprise Java applications, Web applications, and Portals, JBoss Application Server provides the full range of J2EE 1.4 features as well as extended enterprise services including clustering, caching, and persistence. . JBoss Application Server includes support for Enterprise Java Beans (EJB) 3.0 which is designed to dramatically simplify the enterprise Java programming model. . This package contains jars used to run, shutdown or alter JBoss instance. Homepage: http://labs.jboss.com/jbossas/ Section: java Priority: optional Filename: pool/main/j/jbossas4/jbossas4_4.2.3.GA-7_all.deb Package: jcadencii Source: cadencii Version: 3.3.9+svn20110818.r1732-2 Installed-Size: 7735 Maintainer: Ying-Chun Liu (PaulLiu) Architecture: all Depends: default-jre | java-runtime | java2-runtime Suggests: wine Size: 6105430 SHA256: 85f4ee80e462a69bc559f4719e231789a70df5ed8d421b93a792ee5f4986b037 SHA1: dc49736a7e562b8aaf1e5a762f423ac01dc94b78 MD5sum: 386075fe75d72cba15e716d5c417e83b Description: Piano roll editor for singing synthesis Cadencii is a multi-track piano roll editor for MacOS and Windows. It is designed for editing lyrics for singing and supports VOCALOID, VOCALOID2, UTAU, WORLD, AquesTone engines for synthesis. Homepage: http://sourceforge.jp/projects/cadencii/ Tag: interface::x11, role::program, x11::application Section: sound Priority: optional Filename: pool/main/c/cadencii/jcadencii_3.3.9+svn20110818.r1732-2_all.deb Package: jcal Version: 0.4.0-1.1 Architecture: armhf Maintainer: Debian Arabic Packaging Team Installed-Size: 62 Depends: libc6 (>= 2.4), libjalali0 Homepage: http://savannah.nongnu.org/projects/jcal/ Priority: extra Section: utils Filename: pool/main/j/jcal/jcal_0.4.0-1.1_armhf.deb Size: 20520 SHA256: f5f00ebd29bfa601fdc9c445144232345af4604440acfc9b44bf3f6fed093c52 SHA1: 5e2fd753ae249fbcf017571238e31d8cc3b36424 MD5sum: 25f7e9845dfa88d842d262cba12f7297 Description: UNIX-cal-like tool to display Jalali calendar JCal is a UNIX-cal-like tool to display Jalali (Persian) calendar. Package: jcc Version: 2.13-1+deb7u1 Architecture: armhf Maintainer: Ludovico Cavedon Installed-Size: 1149 Depends: default-jdk | java6-sdk, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), python (>= 2.6.6-7~), python (<< 2.8) Homepage: http://lucene.apache.org/pylucene/jcc/ Priority: extra Section: python Filename: pool/main/j/jcc/jcc_2.13-1+deb7u1_armhf.deb Size: 306820 SHA256: bf3e23ce917ae0b0a7e8ec2a725ef5a0bced764a2649796075d975a27f2971a9 SHA1: 2889b59038f2a466a2a830052d4bbeccfd7bc3cc MD5sum: 76bfd17e203fb6a3935856cf0dcce260 Description: code generator producing a Python extension from Java classes JCC is a code generator for producing a Python extension providing access to a set of Java classes. For every Java class, JCC generates a C++ wrapper class that hides the gory details necessary for accessing methods and fields from C++ via Java's Native Invocation Interface. JCC can also generate C++ wrappers that make it possible to access these classes from Python. When generating Python wrappers, JCC produces a complete Python extension via the distutils package that makes it readily available to the Python interpreter. JCC is a project maintained by the Open Source Applications Foundation. Package: jclassinfo Version: 0.19.1-6 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 242 Depends: libc6 (>= 2.4), zlib1g (>= 1:1.1.4) Homepage: http://jclassinfo.sourceforge.net/ Priority: optional Section: java Filename: pool/main/j/jclassinfo/jclassinfo_0.19.1-6_armhf.deb Size: 65346 SHA256: 5914e191a59ff44c700e84d410d773db7f7293bd2523898e812a499bf0a0f4d8 SHA1: dc726e3a0d83fdef7e7a76c71890847127702ad7 MD5sum: 2278588a0588382a9aad35e167b07e82 Description: extracts information from Java class files jclassinfo reads Java class files and extract useful information from them, such as: * the classes/methods/constants/fields provided * their dependencies * the version of the virtual machine necessary to run them * a full disassembly of the bytecode * other attributes . Its main advantage over other similar programs is that it is written in C, which makes it much faster and more suitable for scripting. Package: jclic Version: 0.2.1.0-1 Installed-Size: 2456 Maintainer: José L. Redrejo Rodríguez Architecture: all Depends: openjdk-6-jre | java6-runtime Recommends: libmysql-java, iceweasel Suggests: ttf-liberation | ttf-mscorefonts-installer Size: 2175016 SHA256: 415e30709b352430878cebe01f71b1a3882fa4d7982e37492c74c5a288adbb9b SHA1: 8392e29e62c2b6cf63f0aff724848148fea67fbb MD5sum: ec59e7bc652496b4958af193273fd80b Description: Tool for the development & use of multimedia educational activities JClic is formed by a set of computer applications that are used for carrying out different types of educational activities: puzzles, associations, text exercises, crosswords... . The activities are not usually used alone, but packed in projects. A project is formed by a set of activities and one or more sequences, which indicate the order in which they have to be shown. . Its community (http://clic.xtec.net/db/listact_en.jsp) has developed a web site with more than 1.000 activities available in several languages. . This package contains JClic-author to create and modify activity projects, JClic standalone player to play the activities and JClic-reports that allows the management of a database to track students work and results. Homepage: http://clic.xtec.net/en/jclic/index.htm Tag: role::program Section: misc Priority: optional Filename: pool/main/j/jclic/jclic_0.2.1.0-1_all.deb Package: jclicmoodle Version: 0.1.0.11-1 Installed-Size: 676 Maintainer: Innocent De Marchi Architecture: all Depends: libjs-prototype (>= 1.6.1) Enhances: moodle Size: 97740 SHA256: cbb18efd2fbe872a76de1c809b73351ec5d514734419f69e7c7a7b91519bcf33 SHA1: c885df71c09a6b00b71e6b601d801dc594ce610d MD5sum: 1fe95429ada364a96a7c89de7c992ef9 Description: JClic module for Moodle Activity module for Moodle that allows the use JClic applets as a new type of resource in courses. The module collects and shows the results of the activities done by the students. . Moodle is a free, open-source PHP web application for producing modular internet-based courses that support a modern social constructionist pedagogy. Available http://moodle.org/. . JClic is an environment for the creation, implementation and evaluation of educational activities developed in the Java platform. Available http://clic.xtec.cat/es/jclic/download.htm. Homepage: http://projectes.lafarga.cat/projects/jclicmoodle Tag: implemented-in::php, interface::web, role::plugin, use::learning Section: web Priority: extra Filename: pool/main/j/jclicmoodle/jclicmoodle_0.1.0.11-1_all.deb Package: jconvolver Version: 0.9.2-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 107 Depends: jconvolver-config-files, libc6 (>= 2.13-28), libclthreads2, libfftw3-3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.3.0), libzita-convolver3 (>= 3.0.2) Suggests: jcgui Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/ Priority: optional Section: sound Filename: pool/main/j/jconvolver/jconvolver_0.9.2-1_armhf.deb Size: 29148 SHA256: 5289c5f0eae43295993877edd16a86512aa0504a72d2a301013e7ef71ab042aa SHA1: a79d4a05abcb5a1bcd3dd3a7ec73d6b6607a47e8 MD5sum: 0c47e4ce57eaf9babdc41b018f1aa57c Description: Convolution reverb Engine for JACK Jconvonlver is a Convolution Engine for JACK using FFT-based partitioned convolution with multiple partition sizes. It can execute up to a 64 by 64 convolution matrix (i.e. 4096 simultaneous convolutions) as long as your CPU(s) can handle the load. Package: jconvolver-config-files Source: jconvolver Version: 0.9.2-1 Installed-Size: 2412 Maintainer: Debian Multimedia Maintainers Architecture: all Suggests: jconvolver Size: 2100076 SHA256: da5f67db23fbe999b4a779e742ccb0848575614eb3f41d6787051c2801b88f7b SHA1: bbb6b18d0f9998da15b25fd6fcc7d578b4464786 MD5sum: 7dbaeb59ae508b33c2a0fb95f24e5c92 Description: Demo config files for jconvolver Package include example files for easy start using jconvolver. These files will be installed in /usr/share/convolver/config-files dir. More info in /usr/share/doc/jconvolver/README.CONFIG. . You can also download additional reverb file jconvolver-reverbs.tar.bz2 from http://kokkinizita.linuxaudio.org/linuxaudio/downloads/index.html Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/ Section: sound Priority: optional Filename: pool/main/j/jconvolver/jconvolver-config-files_0.9.2-1_all.deb Package: jd Version: 1:2.8.5~beta120206-3 Architecture: armhf Maintainer: Hideki Yamane Installed-Size: 5587 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnutls26 (>= 2.12.17-0), libgtk2.0-0 (>= 2.24.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libmigemo1, libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libx11-6, zlib1g (>= 1:1.1.4) Recommends: cmigemo-common Suggests: fonts-konatu | fonts-monapo | fonts-mona Homepage: http://jd4linux.sourceforge.jp/ Priority: optional Section: net Filename: pool/main/j/jd/jd_2.8.5~beta120206-3_armhf.deb Size: 1984016 SHA256: 3e0fba1995f6284108734fd03a38eea503901921ec26a9af396869f216c38db3 SHA1: e72714db533b3f5ed98d984189074e0783a0b701 MD5sum: 79781bcda92682670ebe85b715fbec9b Description: simple browser for "2ch-style" web forum sites JD offers a comfortable browsing experience on 2ch-style bulletin board systems. Its features include: . - automated login, - message viewing/posting, - image viewing, - mouse gestures, - ignore lists, - "play-by-play" mode. . It can also significantly reduce the load on servers by bypassing CGI programs and directly retrieving thread data files to your local hard drives. . "2ch-style" web forum sites have their origins in 2channel, which is a very popular Internet forum in Japan. Such sites are often available only in the Japanese language. For example: . - 2channel http://www.2ch.net - Shitaraba http://rentalbbs.livedoor.com/jbbs/ - Machi-BBS http://www.machi.to/ Package: jdresolve Version: 0.6.1-4 Installed-Size: 112 Maintainer: Frederic Peters Architecture: all Depends: perl, libnet-dns-perl Size: 25466 SHA256: 113d63b06191d91d9036b14d72d13f549b17c6f7b09e1bdf56ceb2190412fe60 SHA1: fae827efad559f395e5fdec9bdceaf49f48a4676 MD5sum: 6e6c69bee495dfb638e89116043279ea Description: fast alternative to apache logresolve The jdresolve application resolves IP addresses into hostnames. To reduce the time necessary to resolve large batches of addresses, jdresolve opens many concurrent connections to the DNS servers, and keeps a large number of text lines in memory. These lines can have any content, as long as the IP addresses are the first field to the left. This is usually the case with most formats of HTTP and FTP log files. Tag: implemented-in::perl, protocol::dns, role::program, scope::utility, security::log-analyzer, works-with::logfile Section: utils Priority: extra Filename: pool/main/j/jdresolve/jdresolve_0.6.1-4_all.deb Package: jed Version: 1:0.99.19-2.1 Architecture: armhf Maintainer: Debian JED Group Installed-Size: 314 Depends: libc6 (>= 2.13-28), libgpm2 (>= 1.20.4), libslang2 (>= 2.0.7-1), jed-common (= 1:0.99.19-2.1) Suggests: gpm Provides: editor, info-browser, mail-reader Homepage: http://www.jedsoft.org/jed/ Priority: optional Section: editors Filename: pool/main/j/jed/jed_0.99.19-2.1_armhf.deb Size: 136780 SHA256: dc434ea41fb6a353275cf8dfc44c55e27746b8f6bfb673c287a9f1e31304e1be SHA1: 4edecc24edd39a23fa8e5d54d44796f9e19e7a64 MD5sum: 1701fd68fb6762c0819f91365850791b Description: editor for programmers (textmode version) Jed is a small, fast (faster startup than bash) and powerful text editor. . Completely customizable with prepared emulation modes for Emacs, CUA (similar to KDE/Gnome/Openoffice), Borland-IDE, Brief, and EDT. Extensible in the S-Lang scripting language (with a syntax resembling C). . Editing functions: folding support; rectangular cut/paste; regular expression search/replace; incremental searches; search/replace across multiple files; multiple windows; multiple buffers; ... . Tools: directory editor (dired); info (browse GNU info files); mail; rmail; ispell; shell mode; ... . Special modes (syntax highlight, indention, compile, ...) for Basic, C, C++, DCL, FORTRAN, IDL, Java, NROFF, Pascal, Perl, PHP, PostScript, Python, SH. Modes for markup languages include HTML and (La)TeX (with AUC-TeX style editing and BibTeX) . Additional tools and modes can be found in the jed-extra package. Package: jed-common Source: jed Version: 1:0.99.19-2.1 Installed-Size: 1731 Maintainer: Debian JED Group Architecture: all Depends: dpkg (>= 1.15.4) | install-info, slsh Recommends: jed | xjed Suggests: info | info-browser Size: 557110 SHA256: 406e0941e10ea60157848bba779743920d87b26572662a65124e1689dfe797a0 SHA1: 817017d583a7ab49e9c1ca2500b5b7773cf746bf MD5sum: 50c4b6df58e13f03c71a57b21beb53ca Description: S-Lang runtime files for jed and xjed Jed is a small, fast and powerful text editor. . This package provides the S-Lang runtime files that are needed by both jed and xjed. Homepage: http://www.jedsoft.org/jed/ Tag: devel::editor, role::app-data, use::editing, works-with::text Section: editors Priority: optional Filename: pool/main/j/jed/jed-common_0.99.19-2.1_all.deb Package: jed-extra Version: 2.5.6-2 Installed-Size: 1923 Maintainer: Debian JED Group Architecture: all Provides: dict-client, info-browser, man-browser Recommends: jed (>= 0.99.18) | xjed (>= 0.99.18), slsh Suggests: slang-gdbm, dict, slang-curl, www-browser, a2ps, slang-sqlite, slang-expat, slang-wildcard, install-info Enhances: jed, xjed Size: 590346 SHA256: c396aa5a9b40a7dd12a60203954b4759228df87698b41dd743fb5b8f15573528 SHA1: eff93b67c53cfd7f1db3237e5ce76ab0f70febbc MD5sum: 355494f4c7c34c3485f1a886b9e8b2d6 Description: collection of useful Jed modes and utilities The Jed text editor can be easily extended using the S-Lang scripting language. . This package contains add-on packages for the Jed editor, mainly from the Jedmodes repository at SourceForge (http://jedmodes.sourceforge.net/) . Enhancements include: * new or enhanced modes for: Jed help, file management, GNU info, man pages, calculator, calendar, dict dictionary lookup (including thesaurus), spellchecking, "Game of Life" and snake, version control (CVS/SVN interface) * additional language modes: CSS, email, gnuplot, make, man, mupad, po_mode, reStructuredText, ruby, SQL, yodl * new or enhanced editor emulation modes for: vi, CUA, Brief * extensible URI-scheme handler http:, ftp:, man:, floppy:, locate:, grep: * buffer history and fast switching between (auto-)numbered buffers * S-Lang utility functions and extended set of Key_* variables for xjed * framework for installation of local or private extensions. Homepage: http://jedmodes.sourceforge.net/ Tag: devel::editor, devel::library, role::devel-lib, use::editing, works-with::text Section: editors Priority: optional Filename: pool/main/j/jed-extra/jed-extra_2.5.6-2_all.deb Package: jedit Version: 4.5.2+dfsg-1+deb7u1 Installed-Size: 9183 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre | java6-runtime, java-wrappers (>= 0.1.14) Size: 2029064 SHA256: 5c3aacc44ab88dc12b94f4733963b3d5cd5832e8159cb3a2a9ba677c1fae0b9e SHA1: 1623d21bbfe041d4b7b4fc556285531a4ac346bd MD5sum: cfba2fba0f1de0679b2d810c9dcbc519 Description: Plugin-based editor for programmers As one of the most feature rich editors available, jEdit boasts support for syntax highlighting in more than 140 languages. jEdit combines the power of Emacs, the user-friendliness of Kate, and the advanced editing features (such as vertical paste) of Ultraedit, to bring you an open-source plugin-based programmer's editor of professional quality. . It is possible to define complex macros in BeanShell or Jython, or other languages that fit into the BSF. jEdit offers a powerful and user-friendly keyboard mapping system (including 2-keystroke shortcuts), making it possible to give jEdit a very Emacs-like feel, if you so desire. . Its functionality is easily extended by the use of 'plugins' which can be downloaded, updated, and installed, all without exiting the editor. These include a built-in Console shell integration, which lets you execute interactive external commands inside your editor, as well as bind them to keyboard shortcuts. The FTP plugin lets you browse and edit files on remote systems over FTP or SFTP. Other plugins provide shells, object oriented structure/code browsers, or completion popups for Java, XML, HTML, Ant, LaTeX, Python, Ruby, Perl, C, C++, bash, Scheme, Prolog, and many other languages. Homepage: http://www.jedit.org/ Pre-Depends: dpkg (>= 1.15.6~) Section: editors Priority: optional Filename: pool/main/j/jedit/jedit_4.5.2+dfsg-1+deb7u1_all.deb Package: jeex Version: 12.0.4-1 Architecture: armhf Maintainer: David Paleino Installed-Size: 434 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.14.0), libmagic1, libpango1.0-0 (>= 1.14.0) Homepage: http://www.hds619.net/Jeex.php Priority: optional Section: editors Filename: pool/main/j/jeex/jeex_12.0.4-1_armhf.deb Size: 131458 SHA256: 09aa4d6ecae7e925c8e1f878a837e3efff6582a07d180c3123d16771498c0993 SHA1: d482206e073ac59039d491690a2da0de0aa13fba MD5sum: 4ec1fd8de3c9b1732296830603ad92ba Description: visual editor to view and edit files in hexadecimal Jeex is a simple hexadecimal editor which allows user to create, open and edit files in hexadecimal, binary, octal and ASCII. The features include insert, delete, copy-and-paste, search and many others. . It also shows several information about the opened file, like file mode bits, ownership, last access and modification timestamps. Package: jekyll Version: 0.11.2-1 Installed-Size: 194 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby | ruby-interpreter, ruby-liquid, ruby-maruku, ruby-albino, ruby-kramdown, ruby-classifier, ruby-directory-watcher Recommends: ruby-sequel, ruby-sequel-pg, ruby-mysql Size: 35392 SHA256: 47ef155eafa8bf580d8e4357c177d40784e1ec698facd589321bc95ae7f28c57 SHA1: 7dacd237cb4e865ed1b5c7290b537280e462fcd7 MD5sum: 036af5c95114dfe138a12339eb6cd3fb Description: Simple, blog aware, static site generator Jekyll is a simple, blog aware, static site generator. It takes a template directory (representing the raw form of a website), runs it through Textile or Markdown and Liquid converters, and spits out a complete, static website suitable for serving with Apache or your favorite web server. . This is also the engine behind GitHub Pages(http://pages.github.com), which you can use to host your project's page or blog right here from GitHub. Homepage: http://github.com/mojombo/jekyll Ruby-Versions: ruby1.8 ruby1.9.1 Section: ruby Priority: optional Filename: pool/main/j/jekyll/jekyll_0.11.2-1_all.deb Package: jemboss Source: emboss Version: 6.4.0-2 Installed-Size: 4544 Maintainer: Debian Med Packaging Team Architecture: all Depends: emboss, default-jre, tcsh | csh | c-shell Size: 4113870 SHA256: a59e67f829dfc7ebb609d712e602161a1ffef2fafbfc81c3a779fdf6d36c157a SHA1: bf748f8f196e2b67e531c668745c345dd532cbba MD5sum: 9976c3e6ed0d2649fade8ba4ef1fe8c4 Description: graphical user interface to EMBOSS Jemboss is a Graphical User Interface (GUI) to EMBOSS, the European Molecular Biology Open Software Suite. It is part of the EMBOSS distribution. Homepage: http://emboss.sourceforge.net Section: science Priority: optional Filename: pool/main/e/emboss/jemboss_6.4.0-2_all.deb Package: jenkins Version: 1.447.2+dfsg-3 Installed-Size: 99 Maintainer: Debian Java Maintainers Architecture: all Depends: adduser, default-jre-headless | java6-runtime-headless, jenkins-common, daemon, psmisc Conflicts: jenkins-tomcat, tomcat6 Size: 19020 SHA256: 8a04a3558a6c9f1a0cd7fe1c745f18a7bf1d98f4e4da9fe727ca72808965b92c SHA1: d5245dcbbdc9cfb803cd0bca3cf8ce429a54fcb8 MD5sum: 23fc82bfab611810ef92bcd4fe61aea8 Description: Continuous Integration and Job Scheduling Server Jenkins monitors executions of repeated jobs, such as building a software project or jobs run by cron. Among those things, current Jenkins focuses on the following two jobs: . * Building/testing software projects continuously, just like CruiseControl or DamageControl. In a nutshell, Jenkins provides an easy-to-use so-called continuous integration system, making it easier for developers to integrate changes to the project, and making it easier for users to obtain a fresh build. The automated, continuous build increases productivity. * Monitoring executions of externally-run jobs, such as cron jobs and procmail jobs, even those that are run on a remote machine. For example, with cron, all you receive is regular e-mails that capture the output, and it is up to you to look at them diligently and notice when it broke. Jenkins keeps those outputs and makes it easy for you to notice when something is wrong. . This package supports using Jenkins in standalone mode utilising the embedded winstone servlet container. Homepage: http://jenkins-ci.org/ Section: java Priority: optional Filename: pool/main/j/jenkins/jenkins_1.447.2+dfsg-3_all.deb Package: jenkins-cli Source: jenkins Version: 1.447.2+dfsg-3 Installed-Size: 818 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java6-runtime-headless, libjffi-java, libjnr-posix-java Size: 667240 SHA256: ee97e8668a019ed5831693c8982ee164896a07e61cdb8b1b0fd2441b53abf5d4 SHA1: 7b1d8e91a2f88beeffb4ffed12093219d4d50ac7 MD5sum: 41eeaee296a5f3709737d3f97115f62c Description: Jenkins CI Command Line Interface Jenkins monitors executions of repeated jobs, such as building a software project or jobs run by cron. Among those things, current Jenkins focuses on the following two jobs: . * Building/testing software projects continuously, just like CruiseControl or DamageControl. In a nutshell, Jenkins provides an easy-to-use so-called continuous integration system, making it easier for developers to integrate changes to the project, and making it easier for users to obtain a fresh build. The automated, continuous build increases productivity. * Monitoring executions of externally-run jobs, such as cron jobs and procmail jobs, even those that are run on a remote machine. For example, with cron, all you receive is regular e-mails that capture the output, and it is up to you to look at them diligently and notice when it broke. Jenkins keeps those outputs and makes it easy for you to notice when something is wrong. . This package provies the Jenkins Command Line Interface that supports a wide range of remote interaction with a Jenkins instance. Homepage: http://jenkins-ci.org/ Section: java Priority: optional Filename: pool/main/j/jenkins/jenkins-cli_1.447.2+dfsg-3_all.deb Package: jenkins-common Source: jenkins Version: 1.447.2+dfsg-3 Installed-Size: 32521 Maintainer: Debian Java Maintainers Architecture: all Depends: libjenkins-remoting-java, libjffi-java, libjna-java, libjnr-posix-java Recommends: jenkins-cli Size: 33063274 SHA256: ef124c9521e11d428466ecdb032b00c0f91c3313b823ed8d39f4510ca6c1b616 SHA1: 37061a2475eb0f1022a867675d2653b5658db426 MD5sum: 7cfab88e41805f0c990e8be8388724c3 Description: Jenkins common Java components and web application Jenkins monitors executions of repeated jobs, such as building a software project or jobs run by cron. Among those things, current Jenkins focuses on the following two jobs: . * Building/testing software projects continuously, just like CruiseControl or DamageControl. In a nutshell, Jenkins provides an easy-to-use so-called continuous integration system, making it easier for developers to integrate changes to the project, and making it easier for users to obtain a fresh build. The automated, continuous build increases productivity. * Monitoring executions of externally-run jobs, such as cron jobs and procmail jobs, even those that are run on a remote machine. For example, with cron, all you receive is regular e-mails that capture the output, and it is up to you to look at them diligently and notice when it broke. Jenkins keeps those outputs and makes it easy for you to notice when something is wrong. . This package contains the main Jenkin Web Application and supporting Java libraries. Homepage: http://jenkins-ci.org/ Section: java Priority: optional Filename: pool/main/j/jenkins/jenkins-common_1.447.2+dfsg-3_all.deb Package: jenkins-crypto-util Version: 1.1-2 Installed-Size: 66 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-io-java Size: 7104 SHA256: 3606f00e0e611740ecbdced516fa047782e936af291026d8650cd3031a822c9d SHA1: a70ce9e1391395f801d820358e53fbae708a589a MD5sum: df39a1587f9e31a32c36e77a4c120186 Description: Jenkins Utility around Java Crypto API Utility wrapper around Java Crypto API used by the Jenkins CI toolset to ease use including: . * Retrieve list of root CA's know to the JVM. * Validate certificate paths to root CA's. Homepage: https://github.com/jenkinsci/lib-crypto-util Section: java Priority: optional Filename: pool/main/j/jenkins-crypto-util/jenkins-crypto-util_1.1-2_all.deb Package: jenkins-crypto-util-doc Source: jenkins-crypto-util Version: 1.1-2 Installed-Size: 203 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: jenkins-crypto-util Size: 36378 SHA256: 48317dbc63b422f4d28f9f514cd8fe202b4ceaa41dfd2c289d0e2da5c81fba6a SHA1: 8ad5f82abc08a1b397bb003f0af67538711868cd MD5sum: 975a64291120bea188b99ce4088a22f5 Description: Documentation for Utility around Java Crypto API Utility wrapper around Java Crypto API used by the Jenkins CI toolset to ease use including: . * Retrieve list of root CA's know to the JVM. * Validate certificate paths to root CA's. . This package provides the API documentation for jenkins-crypto-util. Homepage: https://github.com/jenkinsci/lib-crypto-util Tag: devel::doc, devel::lang:java, made-of::html, role::documentation, security::cryptography Section: doc Priority: optional Filename: pool/main/j/jenkins-crypto-util/jenkins-crypto-util-doc_1.1-2_all.deb Package: jenkins-executable-war Version: 1.27-1 Installed-Size: 72 Maintainer: Debian Java Maintainers Architecture: all Suggests: jenkins-executable-war-doc Size: 21712 SHA256: b9d65b1e7818daf7282f8c376e20a2746415e0a1926d0b6032aea8132905b9d5 SHA1: 0a9ad0994a4cb125a52808b3312efbf50c0ebbba MD5sum: 3cc4b7727163d284d09ecf469d1b63f2 Description: Library for building executable .war files Executable war wrapper for building Java war's (web archives) that can be executed in a similar fashion to Java jar files, for example: . java -jar jenkins.war Homepage: http://jenkinsci.org/ Section: java Priority: optional Filename: pool/main/j/jenkins-executable-war/jenkins-executable-war_1.27-1_all.deb Package: jenkins-executable-war-doc Source: jenkins-executable-war Version: 1.27-1 Installed-Size: 257 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: jenkins-executable-war Size: 51466 SHA256: 1caa6d2d62742b70228a41bd9f22b0c59a938ad73a8ec0edd1ec546bbc140d23 SHA1: 9f1235abca0f13ef273035035353d285a37a4ca2 MD5sum: 7478d96219284464d8b99d87ac74f7ef Description: Documentation for jenkins-executable-war-java Executable war wrapper for building Java war (web archives) that can be executed in a similar fashion to Java jar files, for example: . java -jar jenkins.war . This package contains the API documentation of jenkins-executable-war. Homepage: http://jenkinsci.org/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation, works-with::archive Section: doc Priority: optional Filename: pool/main/j/jenkins-executable-war/jenkins-executable-war-doc_1.27-1_all.deb Package: jenkins-external-job-monitor Source: jenkins Version: 1.447.2+dfsg-3 Installed-Size: 7766 Maintainer: Debian Java Maintainers Architecture: all Depends: ant, default-jre-headless | java6-runtime-headless, libcommons-io-java, libcommons-lang-java, libjenkins-remoting-java, libjenkins-xstream-java, libjffi-java, libjnr-posix-java Size: 6626398 SHA256: 945de4b3f3c2e1258672a97420ec02eb16e9de0607b33e629510f6282a61e16b SHA1: 413ec38b0e056dae3556ebced99bef678e8edfb1 MD5sum: b4cc7f614c013a88a6087c82be120cef Description: Jenkins CI external job monitoring Jenkins monitors executions of repeated jobs, such as building a software project or jobs run by cron. Among those things, current Jenkins focuses on the following two jobs: . * Building/testing software projects continuously, just like CruiseControl or DamageControl. In a nutshell, Jenkins provides an easy-to-use so-called continuous integration system, making it easier for developers to integrate changes to the project, and making it easier for users to obtain a fresh build. The automated, continuous build increases productivity. * Monitoring executions of externally-run jobs, such as cron jobs and procmail jobs, even those that are run on a remote machine. For example, with cron, all you receive is regular e-mails that capture the output, and it is up to you to look at them diligently and notice when it broke. Jenkins keeps those outputs and makes it easy for you to notice when something is wrong. . This package provides the Java dependencies and a helper script for running external jobs which are monitored by Jenkins. Homepage: http://jenkins-ci.org/ Section: java Priority: optional Filename: pool/main/j/jenkins/jenkins-external-job-monitor_1.447.2+dfsg-3_all.deb Package: jenkins-instance-identity Version: 1.2-1 Installed-Size: 64 Maintainer: Debian Java Maintainers Architecture: all Depends: libbcprov-java Size: 7704 SHA256: 7c0e30378106a998a6fd871241b7eb9510009255971d9c4c58b1f4798dd936ff SHA1: 7fe48a9c1929e36da49a2482e33f8e8a35839a4d MD5sum: 821ca4386fc30f2a1774ad2817e56eca Description: Instance identity management module for Jenkins CI This Jenkins module maintains an RSA key pair that can serve as a foundation for authentication when communicating with a Jenkins CI instances. Homepage: https://github.com/jenkinsci/instance-identity-module Section: java Priority: optional Filename: pool/main/j/jenkins-instance-identity/jenkins-instance-identity_1.2-1_all.deb Package: jenkins-memory-monitor Version: 1.7-2 Installed-Size: 76 Maintainer: Debian Java Maintainers Architecture: all Depends: libjna-java Size: 16784 SHA256: 02575e70d2e935ecf51fee1370525fcdedd2f3de5dc0f55abea1cd9fdf5b75a8 SHA1: fdf1039bae805a967da4628219f364fdfb88f23e MD5sum: 508a15949f1e48204ccb9421a2d5abe8 Description: Jenkins native integration for monitoring memory usage. This library provides native integration for monitoring memory and swap usage from within Java code. It is used by the Jenkins CI application to monitor these statistics on Jenkins master and slave nodes. Homepage: https://github.com/jenkinsci/extras-memory-monitor Section: java Priority: optional Filename: pool/main/j/jenkins-memory-monitor/jenkins-memory-monitor_1.7-2_all.deb Package: jenkins-memory-monitor-doc Source: jenkins-memory-monitor Version: 1.7-2 Installed-Size: 316 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: jenkins-memory-monitor Size: 61978 SHA256: 35cca19b5e0b42150f91edf232c5056a0fb36cc8c0f5b6cecc3c70c6f7ee037e SHA1: 2f0f47b5d81d54e28ac8ceca06e5de0d1f4da694 MD5sum: 1c7ff49e234a3101bbb15aaef7bc37b9 Description: Documentation for jenkins-memory-monitor. This library provides native integration for monitoring memory and swap usage from within Java code. It is used by the Jenkins CI application to monitor these statistics on Jenkins master and slave nodes. . This package provides the API documentation for jenkins-memory-monitor. Homepage: https://github.com/jenkinsci/extras-memory-monitor Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/j/jenkins-memory-monitor/jenkins-memory-monitor-doc_1.7-2_all.deb Package: jenkins-slave Source: jenkins Version: 1.447.2+dfsg-3 Installed-Size: 104 Maintainer: Debian Java Maintainers Architecture: all Depends: adduser, default-jre-headless | java6-runtime-headless, daemon, psmisc Recommends: jenkins-cli, ssh-server | openssh-server Size: 18074 SHA256: 614c585ee5cbbcb3a2364a6c19617032de2a12748cac355120bb34a094694fdd SHA1: 749c52c3ac1b8d622c51507d001061ace186defa MD5sum: dee141778396d855688962a5a57f395a Description: Jenkins slave node helper Jenkins monitors executions of repeated jobs, such as building a software project or jobs run by cron. Among those things, current Jenkins focuses on the following two jobs: . * Building/testing software projects continuously, just like CruiseControl or DamageControl. In a nutshell, Jenkins provides an easy-to-use so-called continuous integration system, making it easier for developers to integrate changes to the project, and making it easier for users to obtain a fresh build. The automated, continuous build increases productivity. * Monitoring executions of externally-run jobs, such as cron jobs and procmail jobs, even those that are run on a remote machine. For example, with cron, all you receive is regular e-mails that capture the output, and it is up to you to look at them diligently and notice when it broke. Jenkins keeps those outputs and makes it easy for you to notice when something is wrong. . This package provides a metapackage to install the dependencies required to support running jenkins slave nodes. . It also includes an upstart configuration and helper script to start a Jenkins Slave locally (where direct network access to the slave might not be possible). Homepage: http://jenkins-ci.org/ Section: java Priority: optional Filename: pool/main/j/jenkins/jenkins-slave_1.447.2+dfsg-3_all.deb Package: jenkins-ssh-cli-auth Version: 1.2-1 Installed-Size: 67 Maintainer: Debian Java Maintainers Architecture: all Depends: jenkins-instance-identity Size: 10290 SHA256: b1db1acbfa2701074ee61d2da1d2ff9aefc18d360542b508c8600df32e072e3d SHA1: a0557c722a5c6c601ae0fe844063993af94e4274 MD5sum: c84ed5a827b7fe036d54ef1cb54fb59b Description: SSH CLI client authentication module for Jenkins CI. This Jenkins module allows the use the SSH private key on the client to authenticate the CLI clients to a Jenkins server. Homepage: https://github.com/jenkinsci/ssh-cli-auth-module Section: java Priority: optional Filename: pool/main/j/jenkins-ssh-cli-auth/jenkins-ssh-cli-auth_1.2-1_all.deb Package: jenkins-task-reactor Version: 1.3-1 Installed-Size: 84 Maintainer: Debian Java Maintainers Architecture: all Size: 19298 SHA256: c4716a09f699a93dcf577460d855596c0a8ed0d36cbf40bfb013c5cb586f60e2 SHA1: 1b7320148e065c82097e759bfbde9d77eaf5a83b MD5sum: d141718c1a412fb7f70a4e16bdced27b Description: Task execution and control framework used in Jenkins This library provide a generic task execution and control framework which is used extensively within the Jenkins CI toolset. Homepage: https://github.com/jenkinsci/lib-task-reactor Section: java Priority: optional Filename: pool/main/j/jenkins-task-reactor/jenkins-task-reactor_1.3-1_all.deb Package: jenkins-task-reactor-doc Source: jenkins-task-reactor Version: 1.3-1 Installed-Size: 560 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: jenkins-task-reactor Size: 43834 SHA256: fb2c917d9fe37d86ec9d4010ba451049302acdc875b46bc99050341b6d954bfd SHA1: 046b9e977d91c05110447e7f466ee26d57da168f MD5sum: ad1fb17c7261fdf0dadff903f52749f9 Description: Documentation for jenkins-task-reactor This library provide a generic task execution and control framework which is used extensively within the Jenkins CI toolset. . This package provides the API documentation for jenkins-task-reactor. Homepage: https://github.com/jenkinsci/lib-task-reactor Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/j/jenkins-task-reactor/jenkins-task-reactor-doc_1.3-1_all.deb Package: jenkins-test-annotations Version: 1.0-1 Installed-Size: 72 Maintainer: Debian Java Maintainers Architecture: all Suggests: jenkins-test-annotations-doc Size: 5008 SHA256: 206647621420dc13cf13d0220cd0a32f9f8a05d6898f9923f5b8559045a648ed SHA1: 29cb929c49a9b7bd29cff0bae3cc4d9f2ced74c6 MD5sum: 2ebee93309f52c65c531e3e02cd9ffd1 Description: Annotation library for tracking testing in Jenkins This library is used by the Jenkins project to track test cases with other things such as bug or issue information through the use of Java annotations. Homepage: http://github.com/jenkinsci/lib-test-annotations Section: java Priority: optional Filename: pool/main/j/jenkins-test-annotations/jenkins-test-annotations_1.0-1_all.deb Package: jenkins-test-annotations-doc Source: jenkins-test-annotations Version: 1.0-1 Installed-Size: 264 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: jenkins-test-annotations Size: 13832 SHA256: a3336630a140a4736ef1525b0229e3f0d4a9fab7de2c62776daae7dc71d84223 SHA1: b38d704e0ec4e25d7da2e1646e3b44f2451c972d MD5sum: 5336f8024582ca2de465ad8e91919ab9 Description: Documentation for jenkins-test-annotations This library is used by the Jenkins project to track test cases with other things such as bug or issue information through the use of Java annotations. . This package contains the API documentation of jenkins-test-annotations. Homepage: http://github.com/jenkinsci/lib-test-annotations Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/j/jenkins-test-annotations/jenkins-test-annotations-doc_1.0-1_all.deb Package: jenkins-tomcat Source: jenkins Version: 1.447.2+dfsg-3 Installed-Size: 90 Maintainer: Debian Java Maintainers Architecture: all Provides: jenkins Depends: jenkins-common, tomcat6 Conflicts: jenkins Size: 15170 SHA256: 2f38e96b5f0311ae0e682e6be99a6476c1c87e4739c966760c87bce91af9e687 SHA1: bb00384db5e82f81f192cee6d5f3f444b931b7a2 MD5sum: b7658fc8d8cff31471c3806678183345 Description: Jenkins CI on Tomcat 6 Jenkins monitors executions of repeated jobs, such as building a software project or jobs run by cron. Among those things, current Jenkins focuses on the following two jobs: . * Building/testing software projects continuously, just like CruiseControl or DamageControl. In a nutshell, Jenkins provides an easy-to-use so-called continuous integration system, making it easier for developers to integrate changes to the project, and making it easier for users to obtain a fresh build. The automated, continuous build increases productivity. * Monitoring executions of externally-run jobs, such as cron jobs and procmail jobs, even those that are run on a remote machine. For example, with cron, all you receive is regular e-mails that capture the output, and it is up to you to look at them diligently and notice when it broke. Jenkins keeps those outputs and makes it easy for you to notice when something is wrong. . This package provides a Jenkins CI instance deployed on Apache Tomcat 6. Homepage: http://jenkins-ci.org/ Section: java Priority: optional Filename: pool/main/j/jenkins/jenkins-tomcat_1.447.2+dfsg-3_all.deb Package: jesred Version: 1.2pl1-17 Architecture: armhf Maintainer: Alexander Zangerl Installed-Size: 69 Depends: libc6 (>= 2.7), squid | squid3 Recommends: dhttpd | httpd Priority: optional Section: web Filename: pool/main/j/jesred/jesred_1.2pl1-17_armhf.deb Size: 16538 SHA256: 9c8f1206652e38ea620fc29709bb5c24a59672ab4e3c0001684a00c354e4980f SHA1: f5c32bf2313d22199113bbdfefeab812f0475ea9 MD5sum: 3f51d5ffa385f8c8f065f58e4730aba7 Description: A redirector for the Squid proxy Jesred is a very fast and highly configurable redirector for the Squid Internet Object Cache. Jesred needs little memory, can rewrite GET and ICP_QUERY requests and offers extensive logging. . Homepage: http://www.linofee.org/~elkner/webtools/jesred/ Package: jester Version: 1.0-9 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 62 Depends: libc6 (>= 2.4), libx11-6 Priority: optional Section: games Filename: pool/main/j/jester/jester_1.0-9_armhf.deb Size: 13652 SHA256: 84ccf045199f8452384575136d454445dcc6a7a1ac21406b5edffc5441b8f7ae SHA1: 6031f8e0c20f9062a1b9d3d23dfebb6b9c65b3ca MD5sum: f6e88a476bb8b6d79d6b954a709570b5 Description: board game similar to Othello Simple two-player turn-based strategy game played on an 8x8 grid. Convert the opponent's pieces to your color by bracketing them between your pieces. The game can be played head-to-head on a single screen or by a single player against the computer. Jester allows you to waste valuable time that could otherwise be spent playing Solitaire. Package: jetring Version: 0.20 Installed-Size: 360 Maintainer: Joey Hess Architecture: all Depends: gnupg Size: 189056 SHA256: 61813571317d1066eaf2e0774a7fece2dcee97a38fa902a34996def6fc1c0d38 SHA1: 01b6a03dd29cd1ec725d203f61dfc15bba2755f8 MD5sum: 3cc6615dcd3f6eacb004083ac6960d5b Description: gpg keyring maintenance using changesets jetring is a collection of tools that allow for gpg keyrings to be maintained using changesets. It was developed with the Debian keyring in mind, and aims to solve the problem that a gpg keyring is a binary blob that's hard for multiple people to collaboratively edit. . With jetring, changesets can be submitted, reviewed to see exactly what they will do, applied, and used to build a keyring. The origin of every change made to the keyring is available for auditing, and gpg signatures can be used to further secure things. Homepage: http://kitenet.net/~joey/code/jetring/ Tag: implemented-in::perl, implemented-in::shell, role::program, security::authentication, use::checking Section: utils Priority: optional Filename: pool/main/j/jetring/jetring_0.20_all.deb Package: jets3t Version: 0.8.1+dfsg-1 Installed-Size: 3032 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre | java5-runtime, libcommons-codec-java, libcommons-httpclient-java, libcommons-logging-java, liblog4j1.2-java, libjets3t-java (= 0.8.1+dfsg-1) Recommends: libbcprov-java Size: 1608368 SHA256: e57e01e29568de5f9cefe2b433335751b1a3862e5d77d4e13a295d32550ec215 SHA1: 2c8612a99f1026b49c45d99b1cab85242c72823f MD5sum: 0c66497a72f6a9362a9e9e9f095bd5c1 Description: graphical and command-line tools for Amazon S3 and CloudFront The JetS3t toolkit provides several applications for interacting and managing data stored in Amazon Simple Storage Service and Amazon CloudFront content delivery network. Features: - jets3t-cockpitlite: A graphical application that Service Providers with S3 accounts may provide to clients or customers without S3 accounts. jets3t-cockpitlite allows users to manage the content of an S3 account to upload files, download files, delete S3 objects and make objects publicly accessible. All these operations are mediated by a Gatekeeper service. - jets3t-cockpit: graphical application for transferring files, viewing and managing the contents of an Amazon S3 account. - jets3t-synchronize: A command-line application for synchronizing directories on your computer with an Amazon S3 account. Ideal for performing back-ups or synchronizing files between different computers. - jets3t-uploader: A graphical application that Service Providers with S3 accounts may provide to clients or customers without S3 accounts. jets3t-uploader allows users to upload files to S3 using a simple wizard-based workflow, but all uploads must first be authorized by a Gatekeeper service - gatekeeper: A servlet that acts as an authorization service running on a Service Provider's server to mediate access to S3 accounts. It processes requests from client applications such as JetS3t Uploader & CockpitLite, and authorizes the client application to perform operations such as uploads, downloads and deletes. Homepage: http://jets3t.s3.amazonaws.com/index.html Section: net Priority: optional Filename: pool/main/j/jets3t/jets3t_0.8.1+dfsg-1_all.deb Package: jetty Version: 6.1.26-1 Installed-Size: 931 Maintainer: Debian Java Maintainers Architecture: all Depends: libjetty-java (>= 6.1.26-1), adduser, jsvc, apache2-utils, default-jre-headless | java5-runtime-headless Suggests: libjetty-extra-java (>= 6.1.26-1), libjetty-extra (>= 6.1.26-1), libjetty-java-doc (>= 6.1.26-1) Size: 857640 SHA256: 12f20607a3b620f17bbae2e3a21d78841e9adc7b992389600e78e271d5a3a08f SHA1: 9ca4ce07175bf8447cdaf5e07f97d80fb9bc5a6d MD5sum: 770b4b25dbe185398e74e2133f229425 Description: Java servlet engine and webserver Jetty is an Open Source HTTP Servlet Server written in 100% Java. It is designed to be light weight, high performance, embeddable, extensible and flexible, thus making it an ideal platform for serving dynamic HTTP requests from any Java application. Homepage: http://jetty.mortbay.com/ Tag: implemented-in::java, interface::daemon, network::server, protocol::http, role::program, web::appserver, web::cgi, web::server Section: java Priority: optional Filename: pool/main/j/jetty/jetty_6.1.26-1_all.deb Package: jetty8 Version: 8.1.3-4+deb7u1 Installed-Size: 749 Maintainer: Debian Java Maintainers Architecture: all Depends: libjetty8-java (>= 8.1.3-4+deb7u1), adduser, apache2-utils, default-jre-headless | java5-runtime-headless | java6-runtime-headless Suggests: libjetty8-extra-java (>= 8.1.3-4+deb7u1), libjetty8-java-doc (>= 8.1.3-4+deb7u1) Size: 544778 SHA256: c441d40953416754d8ee8e011b551b1ae646138a8f3e7388b688b6c514fc0a3e SHA1: c29dfc8f413676a9cfecaeecd10c7aa2a20e197a MD5sum: fa224f1d7fbb858ef9ee6fda83c977e6 Description: Java servlet engine and webserver Jetty is an Open Source HTTP Servlet Server written in 100% Java. It is designed to be light weight, high performance, embeddable, extensible and flexible, thus making it an ideal platform for serving dynamic HTTP requests from any Java application. Homepage: http://jetty.mortbay.com/ Section: java Priority: optional Filename: pool/main/j/jetty8/jetty8_8.1.3-4+deb7u1_all.deb Package: jeuclid-cli Source: jeuclid Version: 3.1.9-2 Installed-Size: 55 Maintainer: Debian Science Team Architecture: all Depends: libjeuclid-core-java, libcommons-cli-java, libcommons-lang-java, java-wrappers Size: 13116 SHA256: 6aa7864c5485ca4fed6e1e16644d53e27433c15e5ac82c5dc6cd78b9d6c73a6e SHA1: 7a227e24c3b0b7b20a6ec9c053cf89a66b0e4ac2 MD5sum: 4e3912786d2436f0dc23858cf1b4d89b Description: Complete MathML rendering solution (command line tools) JEuclid is a complete MathML rendering solution, consisting of: * A MathViewer application * Command line converters from MathML to other formats * An ant task for automated conversion * Display components for AWT and Swing * A component for Apache Cocoon . This package contains the command line tools. Homepage: http://jeuclid.sourceforge.net Section: libs Priority: optional Filename: pool/main/j/jeuclid/jeuclid-cli_3.1.9-2_all.deb Package: jeuclid-mathviewer Source: jeuclid Version: 3.1.9-2 Installed-Size: 99 Maintainer: Debian Science Team Architecture: all Depends: libjeuclid-core-java, java-wrappers, libcommons-io-java, jeuclid-cli Size: 59158 SHA256: 666124d872de3c8560e04f7335c9cf3e058ae3552aa29b24bb5934c2c9b26379 SHA1: 890d5a31dffd430a88909629dd31d8b969c0e69e MD5sum: ced925ad71145706528d9f4b3c4f5615 Description: Complete MathML rendering solution (Swing MathViewer) JEuclid is a complete MathML rendering solution, consisting of: * A MathViewer application * Command line converters from MathML to other formats * An ant task for automated conversion * Display components for AWT and Swing * A component for Apache Cocoon . This package contains the Swing MathViewer application. Homepage: http://jeuclid.sourceforge.net Section: libs Priority: optional Filename: pool/main/j/jeuclid/jeuclid-mathviewer_3.1.9-2_all.deb Package: jfbterm Version: 0.4.7-9 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 153 Depends: libc6 (>= 2.7), unifont Suggests: xfonts-base Homepage: http://jfbterm.sourceforge.jp/ Priority: optional Section: utils Filename: pool/main/j/jfbterm/jfbterm_0.4.7-9_armhf.deb Size: 53898 SHA256: 3708ec46a9671684cc81cbf51fd5f85334564f53e5b204bfe419fd41747e93bc SHA1: 90f9b1194a0d75aa35c05d202015aef8f6c6d413 MD5sum: 1cad0f18fe5505084903c7905f0895a4 Description: multilingual terminal on Linux framebuffer Jfbterm (J Framebuffer Terminal/Multilingual Extension) can display multilingual text on Linux framebuffer. It can display ISO-2022 text such as ISO-8859-{1-11,13-16}, ISO-2022-{JP,CN,KR}, EUC-JP, EUC-KR (aka Wangsung), EUC-CN (aka GB2312 or CN-GB). It also supports UTF-8, and other coding system such as SHIFT_JIS by using iconv(3). . It supports 8bpp PACKED-PIXELS PSEUDOCOLOR and (15|16|24|32) bpp PACKED-PIXELS TRUECOLOR framebuffers. It also supports 1bpp, 2bpp, (and vga16fb on arch i386). Package: jffnms Version: 0.9.3-3 Installed-Size: 2581 Maintainer: Craig Small Architecture: all Depends: adduser, cron, rrdtool, apache2 | httpd, dbconfig-common, libapache2-mod-php5 | php5-cgi | php5-fpm, php5-cli, php5-mysql | php5-pgsql, php5-snmp, php5-gd, mysql-client | virtual-mysql-client | postgresql-client, debconf (>= 0.5) | debconf-2.0 Pre-Depends: debconf | debconf-2.0 Recommends: ntp, nmap, fping Suggests: tac-plus, snmpd, syslog-ng, tftpd, smsclient Size: 612492 SHA256: a577ea0b64c220fbdf200873a16459ebad5a074f289cdd635196c617c6245c49 SHA1: 383b05187c6325cbb96fe36ac7936fc7d5787800 MD5sum: fe0eddc7ad5da44beed5a1b3769271e0 Description: PHP Network Management System JFFNMS is a Network Management System designed to help maintain a network running SNMP, syslog, and/or TACACS+. It can monitor any standards-compliant SNMP device, server, TCP port or custom poller, and also has some Cisco-oriented features. . Its features include: * written in PHP; * PHP/cron scripts for polling, analyzing, and consolidating data; * MySQL or PostgreSQL database back-end; * configurable event types and severity levels; * modular and extensible; * advanced event filter; * interface, host, and network autodiscovery. Homepage: http://jffnms.sf.net/ Tag: admin::monitoring, implemented-in::php, interface::web, network::configuration, network::server, protocol::snmp, role::program, use::monitor Section: web Priority: optional Filename: pool/main/j/jffnms/jffnms_0.9.3-3_all.deb Package: jflex Version: 1.4.3-2 Installed-Size: 1644 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java1-runtime | java2-runtime Enhances: ant-optional Size: 1074010 SHA256: 4dc464130a3e16a7fda5fefd34d6a30f98509b3bec447f5bcd9d9774fcb21e45 SHA1: a6afcff5cd707234a7a81ba5aea44fad66bbe4cb MD5sum: 93772c70e9d72776a6f6d15793913f2c Description: lexical analyzer generator for Java It is also a rewrite of the very useful tool JLex which was developed by Elliot Berk at Princeton University. As Vern Paxon states for his C/C++ tool flex : They do not share any code though. . JFlex is designed to work together with the LALR parser generator CUP by Scott Hudson, and the Java modification of Berkeley Yacc BYacc/J by Bob Jamison. It can also be used together with other parser generators like ANTLR or as a standalone tool. Homepage: http://www.jflex.de/ Tag: devel::code-generator, devel::lang:java, implemented-in::java, interface::commandline, role::program, scope::utility Section: java Priority: optional Filename: pool/main/j/jflex/jflex_1.4.3-2_all.deb Package: jfractionlab Version: 0.91-2 Installed-Size: 4478 Maintainer: José L. Redrejo Rodríguez Architecture: all Depends: openjdk-6-jre | java6-runtime, libtablelayout-java Recommends: libreoffice.org-calc | openoffice.org-calc, libreoffice.org-writer | openoffice.org-writer Size: 4366132 SHA256: 4b0ba586fab90a81c991b5c53e58422bbd865dd1e3d3a09739ff11be3eea7109 SHA1: 945b224b39a1ae9b610444f194f4b98572307372 MD5sum: 1f3a0b7d2580dbbd5496038143cdd3b0 Description: Educative program to practice fractions JFractionLab is a nice tool for math students that are learning the use and operation of fractions. . It provides a collection of nice exercices with a numeric and visual representation of the fractions. . JFractionLab shows the user every step of the calculation and therefore helps him to understand them. . It gives the user an answer after each input, making impossible to have a false answer. Homepage: http://jfractionlab.sourceforge.net/ Tag: field::mathematics, role::program, use::learning Section: math Priority: extra Filename: pool/main/j/jfractionlab/jfractionlab_0.91-2_all.deb Package: jfsutils Version: 1.1.15-2 Architecture: armhf Maintainer: Stefan Hornburg (Racke) Installed-Size: 1034 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libuuid1 (>= 2.16) Homepage: http://jfs.sourceforge.net/ Priority: optional Section: admin Filename: pool/main/j/jfsutils/jfsutils_1.1.15-2_armhf.deb Size: 293878 SHA256: c4e0923ab8e0ad17269f3d2329883f370d21f110360bdcdb2ea1dbaf46e8b896 SHA1: f00a45a309c7286d7bc5e6606f17843a392618d6 MD5sum: 2aa0ea89ded2d5b977dedffcdee8c728 Description: utilities for managing the JFS filesystem Utilities for managing IBM's Journaled File System (JFS) under Linux. . IBM's journaled file system technology, currently used in IBM enterprise servers, is designed for high-throughput server environments, key to running intranet and other high-performance e-business file servers. . The following utilities are available: fsck.jfs - initiate replay of the JFS transaction log, and check and repair a JFS formatted device. logdump - dump a JFS formatted device's journal log. logredo - "replay" a JFS formatted device's journal log. mkfs.jfs - create a JFS formatted partition. xchkdmp - dump the contents of a JFS fsck log file created with xchklog. xchklog - extract a log from the JFS fsck workspace into a file. xpeek - shell-type JFS file system editor. Package: jftp Version: 1.52+dfsg-2+deb7u1 Installed-Size: 561 Maintainer: Debian Java maintainers Architecture: all Depends: default-jre | java6-runtime, libjcifs-java, libcommons-logging-java, libcommons-httpclient-java, liblog4j1.2-java, kunststoff, libyanfs-java, libj2ssh-java, libjsch-java, libjlayer-java, java-wrappers Size: 468424 SHA256: e16a45f97abab4927d1f713c4deb28329f44cfb952f1fdc4ed6bdac740cabc42 SHA1: b0a742129ef69a7d432aa88ada4da55bfc165fa8 MD5sum: 33597dd6f5037fe95f5f2fa62f6252e8 Description: Java GUI client for FTP, SMB, SFTP and NFS JFtp is a graphical Java network and file transfer client. It supports FTP using its own FTP API and various other protocols like SMB, SFTP, NFS, HTTP, and file I/O using third party APIs. It includes many advanced features such as recursive directory up/download, browsing FTP servers while transferring files, FTP resuming and queueing, browsing the LAN for Windows shares, and more. Multiple connections can open at a time in a Mozilla-style tabbed browsing environment. Homepage: http://j-ftp.sourceforge.net Section: net Priority: optional Filename: pool/main/j/jftp/jftp_1.52+dfsg-2+deb7u1_all.deb Package: jfugue Version: 4.0.3-3 Installed-Size: 27 Maintainer: Debian Java Maintainers Architecture: all Depends: libjfugue-java Size: 2280 SHA256: e1a5b4ac762f71c559d1d84834e6c60b794d02645dec7c4dbd2c64d80cdced63 SHA1: bb5f28b786c85134a03c9be6302ba112717f2c6b MD5sum: 09ed2bc9d3f4c95c29f5db3c0bf9e393 Description: Java API for music programming - transitional package JFugue is a Java API for music programming that does not require the programmer to be aware of all the complexities of MIDI. The music can be synthetized from strings that describe its notes, chords, instruments, etc., or can be generated in real-time in many different ways. . JFugue supports playing music in real time as well as saving it to MIDI files or send it to MIDI devices. . This is a transitional package and can safely removed after having installed its dependencies. Homepage: http://www.jfugue.org/ Section: java Priority: extra Filename: pool/main/j/jfugue/jfugue_4.0.3-3_all.deb Package: jgit-cli Source: jgit Version: 2.0.0-2 Installed-Size: 274 Maintainer: Debian Java Maintainers Architecture: all Depends: libjgit-java (= 2.0.0-2), libargs4j-java, libjsch-java Size: 226752 SHA256: 164b683516d9859a909d0b012cce42b8f8655cf1d0a718e46e2e9d389dba8154 SHA1: f07c419f48d29dffd64942d9f2adab69e820f957 MD5sum: 7a36accb5f30644f90c4eb5edae5ca72 Description: Java implementation of GIT version control (command line interface) JGit is a lightweight, pure Java library implementing the Git version control system. . This package provides command line interface for user interaction with jgit. Homepage: http://www.eclipse.org/jgit/ Section: devel Priority: optional Filename: pool/main/j/jgit/jgit-cli_2.0.0-2_all.deb Package: jgraph Version: 83-22 Architecture: armhf Maintainer: Pedro Zorzenon Neto Installed-Size: 211 Depends: libc6 (>= 2.7) Priority: optional Section: math Filename: pool/main/j/jgraph/jgraph_83-22_armhf.deb Size: 110038 SHA256: 97aed68d301e300e592b9e19db4c2e2a9c08b0add7333f5d160bb826835fb46c SHA1: 4c18916cbac789b1b2880d901c2532568095cf08 MD5sum: a5e8f8fa8a6bf164561655d9219b0254 Description: Jim Plank's program for producing PostScript graphs Jgraph takes a description of a graph or graphs and produces a PostScript file on the standard output. . Jgraph is ideal for plotting any mixture of scatter point graphs, line graphs, and/or bar graphs, and embedding the output into LaTeX, or any other text processing system which can read PostScript. . The graph description language is simple enough to get nice looking graphs with a minimum of effort, yet powerful enough to give the user the flexibility to tailor the appearance of the graph to his or her individual preferences. This includes plotting multiple graphs and laying them out separately on the page (or pages). Package: jhbuild Version: 3.4.0-1 Architecture: armhf Maintainer: Loic Minier Installed-Size: 2623 Depends: libc6 (>= 2.13-28), python (>= 2.6.6-7~) Recommends: bzr, cvs, git-core, mercurial, subversion, patch, wget | curl, autoconf, automake, gettext, pkg-config Suggests: tla, darcs, python-pygraphviz Homepage: https://live.gnome.org/Jhbuild Priority: optional Section: devel Filename: pool/main/j/jhbuild/jhbuild_3.4.0-1_armhf.deb Size: 670652 SHA256: 9f953fcb971cfce2b29d25f85d17a4b3efbbaa7834267f7ace5948426cbed70e SHA1: 2405ab54997024f50b8d5a1217502056c76d6d17 MD5sum: 0a3f3d70821b2450986f8706b349157e Description: flexible build script for package collections Jhbuild is a program that can be used to pull a number of modules from Git, CVS, Subversion, Bazaar and other types of repositories or from tarballs and build them in the correct order. Unlike some build scripts, jhbuild lets you specify what modules you want built and it will then go and build those modules plus dependencies. . Although jhbuild was originally developed to build GNOME, it has since been extended to work with other projects as well. Extending it to handle new modules is usually trivial assuming the build infrastructure matches the other modules it handles. Package: jhead Version: 1:2.95-1+deb7u1 Architecture: armhf Maintainer: Ludovic Rousseau Installed-Size: 83 Depends: libc6 (>= 2.13-28), libjpeg-progs Suggests: imagemagick Homepage: http://www.sentex.net/~mwandel/jhead/ Priority: optional Section: graphics Filename: pool/main/j/jhead/jhead_2.95-1+deb7u1_armhf.deb Size: 51370 SHA256: 0380124b27203d1acea7ca6fe4cd52c6d3af8f633f10699485be6645729889be SHA1: 0d86c324c2abef39de2fb87a2845326804dcdac3 MD5sum: c2203a5d1e016096099e8e7422fb91dc Description: manipulate the non-image part of Exif compliant JPEG files jhead is a command line driven utility for extracting digital camera settings from the Exif format files used by many digital cameras. It handles the various confusing ways these can be expressed, and displays them as F-stop, shutter speed, etc. It is also able to reduce the size of digital camera JPEGs without loss of information, by deleting integral thumbnails that digital cameras put into the Exif header. Package: jifty Version: 1.10518+dfsg-2 Installed-Size: 595 Maintainer: Debian Perl Group Architecture: all Depends: libjifty-perl Size: 127870 SHA256: 61f9aad5d87edfb6c730be0033b55f2068486dc23512a0e0b8b15850b8eb9924 SHA1: 834816b9cbb098297a6ae9c81edcbafccf99f3ad MD5sum: b54109a5fe6c485edf63afd209e4229c Description: perl web MVC framework Perl based web framekwork. Jifty offers the following features: . DRY (Don't Repeat Yourself) Jifty tries not to make you say things more than once. . Full-stack Out of the proverbial box, Jifty comes with one way to do everything you should need to do: One database mapper, one templating system, one web services layer, one AJAX toolkit, one set of handlers for standalone or FastCGI servers. Jifty developers work hard to make all the bits play well together, so you don't have to. . Continuations With Jifty, it's easy to let the user go off and do something else, like fill out a wizard, look something up in the help system or go twiddle their preferences and come right back to where they were. . Form-based dispatch This is one of the things that Jifty does that we've not seen anywhere else. Jifty owns your form rendering and processing. This means you never need to write form handling logic. All you say is "I want an input for this argument here" and Jifty takes care of the rest. (Even autocomplete and validation) . This metapackage provides examples to help you writing application on your desktop. You can use libjifty-perl package alone for running your jifty application on servers. Homepage: http://search.cpan.org/dist/Jifty/ Section: perl Priority: optional Filename: pool/main/j/jifty/jifty_1.10518+dfsg-2_all.deb Package: jigdo-file Source: jigdo Version: 0.7.3-3 Architecture: armhf Maintainer: Richard Atterer Installed-Size: 487 Depends: wget, libbz2-1.0, libc6 (>= 2.4), libdb5.1, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Conflicts: jigdo (<< 0.6.9) Homepage: http://atterer.net/jigdo/ Priority: extra Section: utils Filename: pool/main/j/jigdo/jigdo-file_0.7.3-3_armhf.deb Size: 200296 SHA256: 733b3dbc477a3059a64ebc6556910f2c182c6bdf7e5b1849ea329cbfecd047d1 SHA1: 08843f11367b44c90ebcf4bc0c1f0533a0ad9901 MD5sum: 7e36b09784ecdb74cc9923b1eef47bef Description: Download Debian CD images from any Debian mirror Using the jigdo-lite script contained in this package, you can use your nearest "regular" Debian mirror to download Debian CD images, instead of having to use one of the few, slow, overloaded mirrors that offer the images as direct HTTP or FTP downloads. See for details. . Jigsaw Download, or short jigdo, is a scheme developed primarily to make it easy to distribute huge filesystem images (e.g. CD (ISO9660) or DVD (UDF) images) over the internet, but it could also be used for other data which is awkward to handle due to its size, like audio/video files or large software packages. . jigdo tries to ensure that the large file is downloaded in small parts which can be stored on different servers. People who want to download the image do so by telling the jigdo download tool to process one ".jigdo" file; using it, jigdo downloads the parts and reassembles the image. jigdo-file is used to prepare the files for download. Package: jigit Version: 1.19-1 Architecture: armhf Maintainer: Steve McIntyre <93sam@debian.org> Installed-Size: 132 Depends: libbz2-1.0, libc6 (>= 2.4), zlib1g (>= 1:1.2.3.3), libio-compress-perl Recommends: wget Homepage: http://www.einval.com/~steve/software/JTE/ Priority: extra Section: utils Filename: pool/main/j/jigit/jigit_1.19-1_armhf.deb Size: 38726 SHA256: 837d0935ac096565a568039eb4034d1d790abe075eb472542601931c0cc25255 SHA1: f7673049d791e69fade1fe51ccb82e1671f77548 MD5sum: 69128d935fff289dac756102bab3e159 Description: tools for working with jigdo files Utilities written to make jigdo files easier to work with. . jigit-mkimage: program to create images from jigdo files iso-image.pl: example CGI wrapper for mkimage - make images on the fly! jigdump: list the contents of a template file jigsum: Output MD5 sums in the base64-style jigdo way mkjigsnap: helper script to be run on the upstream server Package: jigl Version: 2.0.1+20060126-4 Installed-Size: 189 Maintainer: Nicholas Breen Architecture: all Depends: perl, imagemagick, jhead Recommends: csh | c-shell Size: 56858 SHA256: 8320862cd89bbb1bce54fd443eb8ed453808ef8236b45d4ffe006c2f07e03689 SHA1: 9336915d54874079229ab4b1a6befcaed25665ee MD5sum: 384c6f8e3481cc160fdff1a6294949b2 Description: Generates a static html photo gallery from one or more directories of images Perl script that generates a static html photo gallery from one or more directories of gif/jpg/png images. It supports themes and is very customizable. It includes the ability to display comments and EXIF info for each image in a simple clean layout. Homepage: http://xome.net/projects/jigl/ Tag: implemented-in::perl, interface::commandline, interface::web, role::program, use::organizing, use::viewing, works-with-format::jpg, works-with::image, works-with::image:raster Section: web Priority: optional Filename: pool/main/j/jigl/jigl_2.0.1+20060126-4_all.deb Package: jigzo Version: 0.6.1-6 Architecture: armhf Maintainer: Elías Alejandro Año Mendoza Installed-Size: 161 Depends: jigzo-data (= 0.6.1-6), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6) Conflicts: glpuzzle Replaces: glpuzzle Provides: glpuzzle Homepage: http://www.resorama.com/jigzo/ Priority: optional Section: games Filename: pool/main/j/jigzo/jigzo_0.6.1-6_armhf.deb Size: 43056 SHA256: 9eb73272b23be1b74ce056c3f7517c69b1027ee257a23bcad616f7e59343b928 SHA1: 45c011a928b4e38e7970b01ea1aed80f9ac69539 MD5sum: 0a64f6fa6bb1873fcd1d063faae73e93 Description: Photo puzzle game for children jigzo (formerly glpuzzle) is a jigsaw puzzle game. Choose from 12 puzzles of increasing difficult. The difficulty ranges from 4 to 25 puzzle pieces. This game requires a OpenGl hardware acceleration. Package: jigzo-data Source: jigzo Version: 0.6.1-6 Installed-Size: 4139 Maintainer: Elías Alejandro Año Mendoza Architecture: all Replaces: jigzo (<= 0.6.1-2) Conflicts: jigzo (<= 0.6.1-2) Size: 4036480 SHA256: 3a6a36d1f8bbe995af9085dd9f7f2f50cb76956b999168c6521b85b8c3e8e464 SHA1: 535d21cf3de97f58846b0381b14e051b230b0e44 MD5sum: 6850535c9fb24c69a07ee17d8d7e8fdc Description: data of Photo puzzle game for children jigzo (formerly glpuzzle) is a jigsaw puzzle game. Choose from 12 puzzles of increasing difficult. The difficulty ranges from 4 to 25 puzzle pieces. This game requires a OpenGl hardware acceleration. . This package includes the data of the game: images, sound, icon, font. Homepage: http://www.resorama.com/jigzo/ Tag: made-of::audio, made-of::font, made-of::icons, role::app-data Section: games Priority: optional Filename: pool/main/j/jigzo/jigzo-data_0.6.1-6_all.deb Package: jiipview Version: 2.05-1 Installed-Size: 114 Maintainer: Mathieu Malaterre Architecture: all Depends: default-jre | java6-runtime, jarwrapper (>= 0.5) Size: 69364 SHA256: c26e9159601e2b38b395ad6e6c11abb10c6d774e72d034e8c351f5dd0b6f73c3 SHA1: 17c53b54f63f2fcfd10a08a209981873918530b7 MD5sum: 9e789b8ae9efe59d847351a04ea55a1c Description: Java Internet Imaging Protocol (IIP v1.05) client Java client which communicates directly with the IIPImage server to request portions of the image at the desired resolution. It is able to efficiently cache image tiles and provides a very fast interface to the high resolution image. . The client can either be used as an applet embedded within a web page or as a standalone application. Homepage: http://iipimage.sourceforge.net/ Section: graphics Priority: optional Filename: pool/main/j/jiipview/jiipview_2.05-1_all.deb Package: jimsh Source: jimtcl Version: 0.73-3 Architecture: armhf Maintainer: Didier Raboud Installed-Size: 436 Depends: libc6 (>= 2.13-28), libjim0debian2 (>= 0.73) Homepage: http://jim.berlios.de/ Priority: extra Section: devel Filename: pool/main/j/jimtcl/jimsh_0.73-3_armhf.deb Size: 152804 SHA256: 4ec3291aa5744dfc6e7a55ebb95b341bed2e9e79b9c2720afb6d1f2a2b6caceb SHA1: 1565274fe7b1317cc7ddbfbb3f84d4f835bf227f MD5sum: 28d86f23a2692b3897b2e1d7bddb912c Description: small-footprint implementation of Tcl named Jim Jim is an opensource small-footprint implementation of the Tcl programming language. It implements a large subset of Tcl and adds new features like references with garbage collection, closures, built-in Object Oriented Programming system, Functional Programming commands, first-class arrays and UTF-8 support. All this with a binary size of about 100-200kB (depending upon selected options). . This package provides the Jim interactive shell. Package: jing Source: jing-trang Version: 20091111-5 Installed-Size: 45 Maintainer: Debian XML/SGML Group Architecture: all Depends: default-jre | java2-runtime, libjing-java Size: 6010 SHA256: bdb239a71af712262cc91c32a2ec1a956b34e2f5630baf9d23e6e283ae4cd60a SHA1: a0ec18759fd9e4e6bfccab99b507308d95987570 MD5sum: c9b7f706d46c41433fe9373a6e275de2 Description: RELAX NG validator This provides a validator for - RELAX NG 1.0 Specification, - RELAX NG Compact Syntax, and - parts of RELAX NG DTD Compatibility, specifically checking of ID/IDREF/IDREFS. Homepage: http://code.google.com/p/jing-trang/ Tag: implemented-in::java, interface::commandline, role::program, works-with-format::xml Section: text Priority: extra Filename: pool/main/j/jing-trang/jing_20091111-5_all.deb Package: jing-trang-doc Source: jing-trang Version: 20091111-5 Installed-Size: 313 Maintainer: Debian XML/SGML Group Architecture: all Size: 96120 SHA256: 8c790c7f4f68f0fa479ccba9d2b1a88e697936243677518296d9d0f55e3a768e SHA1: cb76901d2113508124265d00d7360968017f38e5 MD5sum: 763ab48bd575f8c15ea82c9903a27b26 Description: Jing Trang and dtdinst documentation This package provides documentation for libjing-java, libtrang-java, and libdtdinst-java Homepage: http://code.google.com/p/jing-trang/ Tag: role::documentation Section: doc Priority: extra Filename: pool/main/j/jing-trang/jing-trang-doc_20091111-5_all.deb Package: jirc Version: 1.0-1 Installed-Size: 96 Maintainer: Kees Cook Architecture: all Depends: perl, libconfig-simple-perl, libxml-stream-perl, libpoe-component-irc-perl, libfilter-template-perl, libpoe-component-jabber-perl (>= 3.00-1), libpoe-filter-xml-perl, libnet-jabber-perl Recommends: libnet-ssleay-perl Size: 19132 SHA256: 36a0c13a6940a397f9519d5b89f5cea3a686079e3c7a0e27ddc15f6f5a261687 SHA1: 2b97127ce7776caa341712fb2de0ce5e7b850893 MD5sum: 23d4f7d72fbac3b6ba6d90c733d79c91 Description: an IRC to Jabber bridge bot The jirc bot logs into an IRC channel and a Jabber conference room. It will relay conversations between the two rooms, identifying each of the speakers in braces. Actions are forwarded as well. Homepage: http://outflux.net/software/pkgs/jirc-bridge/ Tag: implemented-in::perl, interface::daemon, protocol::irc, role::program Section: perl Priority: optional Filename: pool/main/j/jirc/jirc_1.0-1_all.deb Package: jkmeter Version: 0.6.1-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 104 Depends: libc6 (>= 2.13-28), libclthreads2, libclxclient3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.3.0), libx11-6, libxft2 (>> 2.1.1) Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/downloads/index.html Priority: optional Section: sound Filename: pool/main/j/jkmeter/jkmeter_0.6.1-2_armhf.deb Size: 35570 SHA256: feb09b0ea30d91ceedcfd98e30b1a9d1efcde19839d0dfa022a0bf42ac4b0fd8 SHA1: f07d46467f5e889e22f75148c2bd9b148bde228f MD5sum: 9328d18462219d88538db734ba8ba0dc Description: horizontal or vertical bargraph audio level meter for Jack Audio Connection Kit A jkmeter displays both the true RMS level and the digital peak level. But can display stereo correlation too. . Jkmeter is based on the ideas of mastering guru Bob Katz. See and follow the links on 'level practices'. This is the type of meter you want for live recording, mixing and mastering Package: jlatex209-base Source: jtex-base Version: 2.1-1.1 Installed-Size: 416 Maintainer: TSUCHIYA Masatoshi Architecture: all Depends: texlive-binaries | texlive-base-bin Recommends: jlatex209-bin Conflicts: jtex-base (<= 1.9.1-2) Size: 44646 SHA256: dbeeadd8fc968275e6af7a17faa135910a5b82ebf7ecf207f059a109b6d53e19 SHA1: f8b1c6586699f3a2d192fe4d9f3c4b5cfeeefc96 MD5sum: 71214750505eb63da29d0ee61084b21c Description: basic NTT JLaTeX 2.09 macro files NTT JLaTeX 2.09 is a Japanized version of LaTeX 2.09. It is obsolete and superseded by JLaTeX 2e which is included in jtex-bin package. Tag: culture::japanese, role::plugin, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/j/jtex-base/jlatex209-base_2.1-1.1_all.deb Package: jless Version: 382-iso262-3 Architecture: armhf Maintainer: Tatsuki Sugiura Installed-Size: 243 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5, debianutils (>= 1.8) Priority: extra Section: text Filename: pool/main/j/jless/jless_382-iso262-3_armhf.deb Size: 127718 SHA256: 52a741ebd95cd19963298eefa049edab9b5999f05eae4e9534e3d73c8192e1dc SHA1: 9d82a6d984463c0b6924c2b1863165527eb71924 MD5sum: 22dfc7e6abb6a5a00b7b6b06fb9ded23 Description: A file pager program, similar to more(1) supporting ISO2022 Jless is a program similar to more (1), but which allows backward movement in the file as well as forward movement. Also, jless does not have to read the entire input file before starting, so with large input files it starts up faster than text editors like vi (1). Jless uses terminfo, so it can run on a variety of terminals. There is even limited support for hardcopy terminals. . Jless supported ISO 2022 code extension techniques and Japanese codes. Package: jlex Version: 1.2.6-6 Installed-Size: 155 Maintainer: Colin Watson Architecture: all Replaces: javalex Depends: default-jre | java6-runtime Conflicts: javalex Size: 74218 SHA256: f12cd9999b02c8319b853d9d0fd9809103bc5c70208bb1427d8d4fa0034856b0 SHA1: ad3d7245efe7ba71cfb0cdf8d1a08a189705b9cc MD5sum: a2280a1979c3c78caa4f169a4d10be75 Description: A Lex-style lexical analyser generator for Java The JLex utility is a lexical analyser generator, modelled after the popular UNIX "lex" utility. . JLex takes a specification file similar to that accepted by Lex, then creates a Java source file for the corresponding lexical analyzer. Multi-Arch: foreign Homepage: http://www.cs.princeton.edu/~appel/modern/java/JLex/ Tag: devel::code-generator, devel::lang:java, implemented-in::java, interface::commandline, role::program, scope::utility, works-with::software:source Section: java Priority: optional Filename: pool/main/j/jlex/jlex_1.2.6-6_all.deb Package: jlha-utils Version: 0.1.6-3 Installed-Size: 74 Maintainer: Ying-Chun Liu (PaulLiu) Architecture: all Provides: lzh-archiver Depends: default-jre-headless | java-runtime-headless | java2-runtime-headless, libjlha-java Conflicts: lha (<< 1.14i-10.4) Size: 32886 SHA256: 7a44d594af648e2c9706c1a43baa953bf769f55cd53301d08814331c0b47ad14 SHA1: 3f0abcd9e3618d4b72f9859d8d91d3c28d131d34 MD5sum: 7d586dbf70f055998111a95331fe9a0f Description: command-line lzh archiver written in Java lzh is an archiving format generated by lha utility. jlha-utils is a command-line program for compressing or decompressing lzh files. It has a compatible interface to the lha program. . Note: Some of the functionality is missing currently, please read README.Debian for detailed information. Homepage: http://sourceforge.net/projects/jlhafrontend/ Tag: implemented-in::java, interface::commandline, role::program, scope::utility, use::compressing, works-with::archive Section: utils Priority: optional Filename: pool/main/j/jlha-utils/jlha-utils_0.1.6-3_all.deb Package: jlint Version: 3.0-4.5 Architecture: armhf Maintainer: Ganesan Rajagopal Installed-Size: 136 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Priority: optional Section: devel Filename: pool/main/j/jlint/jlint_3.0-4.5_armhf.deb Size: 58554 SHA256: 340aafe71a04ce636fb61451b5bfa0b656ab944571f09f8cd1aa9448e2b7823a SHA1: d3ac5e2659946baeb0227d70100e589160e8c4b4 MD5sum: 899da5091ef8265da7eb369cfae091fe Description: A Java Program Checker Jlint will check your Java code and find bugs, inconsistencies and synchronization problems by doing data flow analysis and building the lock graph. Package: jlint-doc Source: jlint Version: 3.0-4.5 Installed-Size: 360 Maintainer: Ganesan Rajagopal Architecture: all Size: 188152 SHA256: eb12f8c1e721936cb10ef0a4836e23413ca75b6f9e7b3d8573df80a02b3b746a SHA1: b3093b2d87f1887ee87ffb02633de63638ec103b MD5sum: e6189035deea262d2575b1ede6914b54 Description: Manual for jlint - a Java Program Checker Jlint will check your Java code and find bugs, inconsistencies and synchronization problems by doing data flow analysis and building the lock graph. This package contains the manual for jlint in info, html and pdf formats. Tag: devel::doc, devel::lang:java, devel::testing-qa, interface::commandline, made-of::html, made-of::info, made-of::pdf, role::documentation, use::checking, works-with::software:source Section: doc Priority: optional Filename: pool/main/j/jlint/jlint-doc_3.0-4.5_all.deb Package: jmagick6-docs Source: jmagick Version: 6.2.6-0-8 Installed-Size: 1332 Maintainer: Debian Java Maintainers Architecture: all Provides: jmagick-docs Size: 97870 SHA256: 435c55402f9aa85515053371096315303a512d67f6666cd490dfbe89368a91ca SHA1: c10723697796af48c0f13773efa40903cf209d34 MD5sum: 1992062046fb80d5fe32ee16c8ff2b63 Description: java interface to ImageMagick - api documentation JMagick is an open source Java interface of ImageMagick. It is implemented in the form of Java Native Interface (JNI) into the ImageMagick API. . JMagick does not attempt to make the ImageMagick API object-oriented. It is merely a thin interface layer into the ImageMagick API. . JMagick currently only implements a subset of ImageMagick APIs. Should you require unimplemented features in JMagick, please join the mailing list and make a request. . This package contains javadoc generated documentation. Homepage: http://www.yeo.id.au/jmagick/ Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/j/jmagick/jmagick6-docs_6.2.6-0-8_all.deb Package: jmdlx Source: jugglemaster Version: 0.4-6 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 277 Depends: libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1) Homepage: http://icculus.org/jugglemaster/ Priority: extra Section: games Filename: pool/main/j/jugglemaster/jmdlx_0.4-6_armhf.deb Size: 83228 SHA256: 38bdb9c2f72a835ab5bc9dc11ef8e7e140902a27eee628645831e778171e757b SHA1: 5012590baa21028501a3fcf6d81a9da636ce095d MD5sum: bd52664c3346ca24438a6144555e8046 Description: jugglemaster deluxe using wxWidgets JuggleMaster is a siteswap animator. A siteswap is a textual representation for patterns one can juggle (using balls, clubs, etc.). JuggleMaster is mainly useful for understanding specific siteswaps by animating them (including multiplexing). Other uses may include watching patterns without understanding the notation behind them. . This package contains the wxWidgets interface and features a lot of builtin patterns available via a menu. . Siteswap FAQ: http://www.juggling.org/help/siteswap/faq.html Package: jmeter Source: jakarta-jmeter Version: 2.5.1-1 Installed-Size: 1720 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre | java2-runtime, java-wrappers (>= 0.1.14), libavalon-framework-java, libbcmail-java, libbcprov-java, libbsf-java, libcommons-collections3-java, libcommons-httpclient-java, libcommons-io-java, libcommons-jexl-java, libcommons-lang-java, libexcalibur-logger-java, libexcalibur-logkit-java, libgeronimo-javamail-1.4-spec-java, libjcharts-java, libjdom1-java, libjtidy-java, liboro-java, librhino-java, libxalan2-java, libxmlgraphics-commons-java, libxstream-java Recommends: jmeter-http (= 2.5.1-1), jmeter-help (= 2.5.1-1) Size: 1516400 SHA256: 8ec8e690c05b500fd0bffc0da7666637e5611ed229c9ef1db2416b67f1040c2b SHA1: 8936609c1af9337b27b887c15358f8ff1d3ec3ae MD5sum: 419dad47d2778be2fd492fd621049f87 Description: Load testing and performance measurement application (main application) Apache JMeter is a 100% pure Java desktop application designed to load test functional behavior and measure performance. It was originally designed for testing Web Applications but has since expanded to other test functions. . This package contains the main application. Homepage: http://jmeter.apache.org/ Tag: devel::testing-qa, devel::web, role::program, use::analysing, use::measuring Section: net Priority: optional Filename: pool/main/j/jakarta-jmeter/jmeter_2.5.1-1_all.deb Package: jmeter-apidoc Source: jakarta-jmeter Version: 2.5.1-1 Installed-Size: 40512 Maintainer: Debian Java Maintainers Architecture: all Suggests: jmeter (= 2.5.1-1) Size: 3080888 SHA256: 6ee1a70aa90f7387e5d274e7d8edeb293059b5ff3d9fb5df6ccf3642bfd2a88a SHA1: eaff77a9de6952880b3dac517f1b23d3cd9a17cd MD5sum: 7a92e562b15376991270435dcab6ca57 Description: Load testing and performance measurement application (API doc) Apache JMeter is a 100% pure Java desktop application designed to load test functional behavior and measure performance. It was originally designed for testing Web Applications but has since expanded to other test functions. . This package contains the API documentation. Homepage: http://jmeter.apache.org/ Tag: devel::doc, devel::lang:java, devel::testing-qa, devel::web, role::documentation Section: doc Priority: optional Filename: pool/main/j/jakarta-jmeter/jmeter-apidoc_2.5.1-1_all.deb Package: jmeter-ftp Source: jakarta-jmeter Version: 2.5.1-1 Installed-Size: 55 Maintainer: Debian Java Maintainers Architecture: all Depends: jmeter (= 2.5.1-1), libcommons-io-java, libcommons-lang-java, libcommons-net1-java, libexcalibur-logkit-java Size: 18596 SHA256: 5900bfc780d15c6cbac7243b5da5da54d6ad53d3375a3b35a8a8569a17f570fb SHA1: e0a2096d3bae50144dfd6362b101d37eec382296 MD5sum: c417e3094e0b7dac39ce9007a39e0848 Description: Load testing and performance measurement application (ftp module) Apache JMeter is a 100% pure Java desktop application designed to load test functional behavior and measure performance. It was originally designed for testing Web Applications but has since expanded to other test functions. . This package contains the ftp testing module. Homepage: http://jmeter.apache.org/ Tag: role::plugin Section: net Priority: optional Filename: pool/main/j/jakarta-jmeter/jmeter-ftp_2.5.1-1_all.deb Package: jmeter-help Source: jakarta-jmeter Version: 2.5.1-1 Installed-Size: 5235 Maintainer: Debian Java Maintainers Architecture: all Suggests: jmeter (= 2.5.1-1) Size: 3567128 SHA256: f4804f5e588fb825a8c486d8b9d20e7227a07a6f7caf00ea3beea97d2bfd12f7 SHA1: f9ebceac97edcbf08d4fc8c1d9a72dee76f62f89 MD5sum: 2a6e1937ef03730e340b83490e761b29 Description: Load testing and performance measurement application (user manual) Apache JMeter is a 100% pure Java desktop application designed to load test functional behavior and measure performance. It was originally designed for testing Web Applications but has since expanded to other test functions. . This package contains the user manual. Homepage: http://jmeter.apache.org/ Tag: devel::testing-qa, role::documentation Section: doc Priority: optional Filename: pool/main/j/jakarta-jmeter/jmeter-help_2.5.1-1_all.deb Package: jmeter-http Source: jakarta-jmeter Version: 2.5.1-1 Installed-Size: 372 Maintainer: Debian Java Maintainers Architecture: all Depends: jmeter (= 2.5.1-1), libcommons-httpclient-java, libcommons-io-java, libcommons-lang-java, libcommons-logging-java, libexcalibur-logkit-java, libhtmlparser-java, libhttpclient-java, libhttpcore-java, libhttpmime-java, libjtidy-java, liboro-java, libxstream-java Size: 321212 SHA256: 1fc23f3f107a8a48faa06f963574b0f9f1fb07da43cf3b03f6b3312bcf4075cd SHA1: 0e54aae6b105ee903ebda3575e07dfc18263630a MD5sum: fe2fc9b9fad175c97b360fce9a6de781 Description: Load testing and performance measurement application (http module) Apache JMeter is a 100% pure Java desktop application designed to load test functional behavior and measure performance. It was originally designed for testing Web Applications but has since expanded to other test functions. . This package contains the http testing module. Homepage: http://jmeter.apache.org/ Tag: role::plugin Section: net Priority: optional Filename: pool/main/j/jakarta-jmeter/jmeter-http_2.5.1-1_all.deb Package: jmeter-java Source: jakarta-jmeter Version: 2.5.1-1 Installed-Size: 79 Maintainer: Debian Java Maintainers Architecture: all Depends: jmeter (= 2.5.1-1), libbsf-java, libcommons-io-java, libexcalibur-logkit-java Size: 40404 SHA256: c06e6a473ef43156577abc3294410ca8d33986c581ee19d8294b8dfbedecd864 SHA1: e0a67cb9a8b4f87eaa640d59530056def1b79641 MD5sum: a98ba428aaa3130b905b42a51763171b Description: Load testing and performance measurement application (java module) Apache JMeter is a 100% pure Java desktop application designed to load test functional behavior and measure performance. It was originally designed for testing Web Applications but has since expanded to other test functions. . This package contains the java testing module. Homepage: http://jmeter.apache.org/ Tag: role::plugin Section: net Priority: optional Filename: pool/main/j/jakarta-jmeter/jmeter-java_2.5.1-1_all.deb Package: jmeter-jms Source: jakarta-jmeter Version: 2.5.1-1 Installed-Size: 94 Maintainer: Debian Java Maintainers Architecture: all Depends: jmeter (= 2.5.1-1), libexcalibur-logkit-java, libgeronimo-jms-1.1-spec-java Size: 56550 SHA256: 4f09a4b9f7ee4db3bdaa42ecb7f5daecd6aab11b0a0b200924b5e1feb6a06be9 SHA1: 9b28e61b0b172bbd5bbd4d917a094ad1f98c9e87 MD5sum: ae4a41fc47b7876579c1537b567a2b87 Description: Load testing and performance measurement application (jms module) Apache JMeter is a 100% pure Java desktop application designed to load test functional behavior and measure performance. It was originally designed for testing Web Applications but has since expanded to other test functions. . This package contains the jms testing module. Homepage: http://jmeter.apache.org/ Tag: role::plugin Section: net Priority: optional Filename: pool/main/j/jakarta-jmeter/jmeter-jms_2.5.1-1_all.deb Package: jmeter-junit Source: jakarta-jmeter Version: 2.5.1-1 Installed-Size: 62 Maintainer: Debian Java Maintainers Architecture: all Depends: jmeter (= 2.5.1-1), junit4, libexcalibur-logkit-java Size: 25520 SHA256: a182b3fc7a3b70ab8b186380ad4474c7dfcc1598e5d6a66279d38ae53196397a SHA1: 8fbb42f22c96b53d9c0708f421d37a7e95cdd6b0 MD5sum: aee413de226abd05450ed627b63b5362 Description: Load testing and performance measurement application (junit module) Apache JMeter is a 100% pure Java desktop application designed to load test functional behavior and measure performance. It was originally designed for testing Web Applications but has since expanded to other test functions. . This package contains the junit testing module. Homepage: http://jmeter.apache.org/ Tag: role::plugin Section: net Priority: optional Filename: pool/main/j/jakarta-jmeter/jmeter-junit_2.5.1-1_all.deb Package: jmeter-ldap Source: jakarta-jmeter Version: 2.5.1-1 Installed-Size: 88 Maintainer: Debian Java Maintainers Architecture: all Depends: jmeter (= 2.5.1-1), libcommons-lang-java, libexcalibur-logkit-java Size: 50944 SHA256: a830c35aa814adfb5d121abd37a4e19f951611c43975e111f9d68b1c4f43bfd5 SHA1: 0e66da17af9f7df4d2f8e5478936e0b90a5066f5 MD5sum: 8f345404f525f520f6640d1ea2c30354 Description: Load testing and performance measurement application (ldap module) Apache JMeter is a 100% pure Java desktop application designed to load test functional behavior and measure performance. It was originally designed for testing Web Applications but has since expanded to other test functions. . This package contains the ldap testing module. Homepage: http://jmeter.apache.org/ Tag: role::plugin Section: net Priority: optional Filename: pool/main/j/jakarta-jmeter/jmeter-ldap_2.5.1-1_all.deb Package: jmeter-mail Source: jakarta-jmeter Version: 2.5.1-1 Installed-Size: 106 Maintainer: Debian Java Maintainers Architecture: all Depends: jmeter (= 2.5.1-1), libexcalibur-logkit-java, libgeronimo-activation-1.1-spec-java, libgeronimo-javamail-1.4-spec-java Size: 64832 SHA256: ea381af9a2198e6ad3e0a38c3edcaf14b54df1aadcee3a784342e9b9ba852414 SHA1: 50c14603d095d8ad85a80bdfd532af2e0b7980b0 MD5sum: 8e8ee954f08cb054bfa8a31934c65979 Description: Load testing and performance measurement application (mail module) Apache JMeter is a 100% pure Java desktop application designed to load test functional behavior and measure performance. It was originally designed for testing Web Applications but has since expanded to other test functions. . This package contains the mail testing module. Homepage: http://jmeter.apache.org/ Tag: role::plugin Section: net Priority: optional Filename: pool/main/j/jakarta-jmeter/jmeter-mail_2.5.1-1_all.deb Package: jmeter-tcp Source: jakarta-jmeter Version: 2.5.1-1 Installed-Size: 65 Maintainer: Debian Java Maintainers Architecture: all Depends: jmeter (= 2.5.1-1), libcommons-io-java, libexcalibur-logkit-java Size: 27710 SHA256: 8c3777b574f383c96d880ef7a2c405f9e20a8e12a8bc7518d0051b8ad55735fb SHA1: 5202b10f2b6550a4fb66663221dc021ef2b240e6 MD5sum: c9f34e064a5b8b408e07026f043e09c3 Description: Load testing and performance measurement application (tcp module) Apache JMeter is a 100% pure Java desktop application designed to load test functional behavior and measure performance. It was originally designed for testing Web Applications but has since expanded to other test functions. . This package contains the tcp testing module. Homepage: http://jmeter.apache.org/ Tag: role::plugin Section: net Priority: optional Filename: pool/main/j/jakarta-jmeter/jmeter-tcp_2.5.1-1_all.deb Package: jmeters Version: 0.2.1-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 170 Depends: jackd, libc6 (>= 2.13-28), libclthreads2, libclxclient3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpng12-0 (>= 1.2.13-4), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.3.0), libx11-6, libxft2 (>> 2.1.1) Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/downloads/index.html Priority: optional Section: sound Filename: pool/main/j/jmeters/jmeters_0.2.1-2_armhf.deb Size: 104954 SHA256: 1ce47af84be8e2645e936e600412df120816f999534e6e8314acebcdddae54a2 SHA1: 2ba020269b0c684855591198cf0eaccd690ab195 MD5sum: e20da6ca5d0cbfea93576a4c063d7c1f Description: multichannel audio level meter A jmeters is multichannel audio level meter for Jack Audio Connection Kit. It uses the same pixmaps as meterbridge. The main difference to meterbridge is that jmeters has the correct ballistics for both the VU and the PPM. Package: jmol Version: 12.2.32+dfsg2-1 Installed-Size: 702 Maintainer: Debichem Team Architecture: all Depends: libjmol-java Size: 258708 SHA256: 789df91ce5cea45eb81a80385aa885dc57ceb024a2f933566787c71755ab334b SHA1: 5701b40e452589c25e64514d4c7e706753553be1 MD5sum: bb48589a995b85218bc1fb17ec815342 Description: Molecular Viewer Jmol is a Java molecular viewer for three-dimensional chemical structures. Features include reading a variety of file types and output from quantum chemistry programs, and animation of multi-frame files and computed normal modes from quantum programs. It includes with features for chemicals, crystals, materials and biomolecules. Jmol might be useful for students, educators, and researchers in chemistry and biochemistry. . File formats read by Jmol include PDB, XYZ, CIF, CML, MDL Molfile, Gaussian, GAMESS, MOPAC, ABINIT, ACES-II, Dalton and VASP. Homepage: http://jmol.sourceforge.net/ Tag: field::chemistry, role::program, scope::utility, use::viewing Section: science Priority: optional Filename: pool/main/j/jmol/jmol_12.2.32+dfsg2-1_all.deb Package: jmol-applet Source: jmol Version: 12.2.32+dfsg2-1 Installed-Size: 5030 Maintainer: Debichem Team Architecture: all Depends: default-jre | java2-runtime, libjmol-java, libwww-perl, perl Size: 4792722 SHA256: d76d0eb88363fc61e0a0328457ad91a2c56c01039dffe9a629367fe3937f8382 SHA1: 48064f5b8b2994677f82cd705931cc2692031f3a MD5sum: 51e505e1699d0cba56223cac29b2bb82 Description: Jmol Java applet Jmol is a Java molecular viewer for three-dimensional chemical structures. Features include reading a variety of file types and output from quantum chemistry programs, and animation of multi-frame files and computed normal modes from quantum programs. It includes with features for chemicals, crystals, materials and biomolecules. Jmol might be useful for students, educators, and researchers in chemistry and biochemistry. . File formats read by Jmol include PDB, XYZ, CIF, CML, MDL Molfile, Gaussian, GAMESS, MOPAC, ABINIT, ACES-II, Dalton and VASP. . This package contains the Jmol Java applet Homepage: http://jmol.sourceforge.net/ Section: java Priority: optional Filename: pool/main/j/jmol/jmol-applet_12.2.32+dfsg2-1_all.deb Package: jnettop Version: 0.13.0-1 Architecture: armhf Maintainer: Ari Pollak Installed-Size: 129 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libncurses5 (>= 5.5-5~), libpcap0.8 (>= 0.9.8), libtinfo5 Priority: extra Section: net Filename: pool/main/j/jnettop/jnettop_0.13.0-1_armhf.deb Size: 41006 SHA256: 25fc6ac1afed3129e4981bdd286c5aea8511823fd295566ca934f7e2f04f3440 SHA1: fa87f63a8600f8b819bfb30e97322dd66aaa5829 MD5sum: d8a023fdf637830a2c362e5297452be7 Description: View hosts/ports taking up the most network traffic jNettop captures traffic coming across the host it is running on and displays streams sorted by bandwidth they use. Result is a nice listing of communication on network by host and port, how many bytes went through this transport and the bandwidth it is consuming. Package: jnoise Version: 0.6.0-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 47 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libstdc++6 (>= 4.4.0) Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/index.html#jnoise Priority: optional Section: sound Filename: pool/main/j/jnoise/jnoise_0.6.0-3_armhf.deb Size: 7970 SHA256: 05f0d6c254b6ffeebc4c7abb4878c926bc2b9ce139fb72deb4f26c72c54ccb3e SHA1: b06fc4ffed476d113b6b9916d0102925df46b218 MD5sum: c68c200bea3e91da080e0aa25196696e Description: white and pink noise generator Jnoise is a small command line JACK app generating both white and pink noise. Both noise sources produce have a Gaussion amplitude distribution, and output a signal at -20dB RMS ref. a full scale sine wave. Package: jnoisemeter Version: 0.1.0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 82 Depends: libc6 (>= 2.13-28), libclthreads2, libclxclient3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.3.0), libx11-6, libxft2 (>> 2.1.1) Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/downloads/index.html Priority: optional Section: sound Filename: pool/main/j/jnoisemeter/jnoisemeter_0.1.0-2_armhf.deb Size: 21594 SHA256: a4d8f34efe04578e7980e457a3027ee9cd66e94d85bca64ed4002cf5839fc5f6 SHA1: f92816c75b6d373c713d39a5ecc1afa4072ea60a MD5sum: 62c6c8fa0b32f2ad0de50b8dd5ffec68 Description: audio test signals meter A jnoisemeter is a small app designed to measure audio test signals and in particular noise signals. . The simplest use is to measure the S/N ratio of your sound card. If you can calibrate the input levels of your soundcard it can also be used (with some external hardware) to measure noise levels of any type of audio equipment, including preamps and microphones. Package: jocaml Version: 3.12.1-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 5913 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), jocaml-base-3.12.1, ocaml-nox-3.12.1 Provides: jocaml-3.12.1 Homepage: http://jocaml.inria.fr/ Priority: optional Section: ocaml Filename: pool/main/j/jocaml/jocaml_3.12.1-1_armhf.deb Size: 1425314 SHA256: 852539840bcb72731168e079baac32187f2f1faed89e75a7dc6cedb90933d84d SHA1: 5b6fb7627fd9af91d730703773071dd3b2ba20fc MD5sum: 49a82587ce553bc9eae87d9c3a84ed45 Description: OCaml extended for concurrent and distributed programming The JOCaml programming language is an extension of the OCaml language with high-level primitives for communication and synchronization between processes. This enables programmers to rapidly develop distributed large-scale applications using the expressivity of OCaml. Package: jocaml-base Source: jocaml Version: 3.12.1-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 808 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), ocaml-base-nox-3.12.1 Provides: jocaml-base-3.12.1 Homepage: http://jocaml.inria.fr/ Priority: optional Section: ocaml Filename: pool/main/j/jocaml/jocaml-base_3.12.1-1_armhf.deb Size: 315640 SHA256: 8ef86ca8697f7f3a3ed7e6202005dde86d8be611d755a989db9e56e55edc7ede SHA1: ebdab1434ff7147e43cd3963848993a333c89779 MD5sum: b90ae8007045b76062d6d1c1e96dbe43 Description: Runtime system for JOCaml bytecode executables The JOCaml programming language is an extension of the OCaml language with high-level primitives for communication and synchronization between processes. This enables programmers to rapidly develop distributed large-scale applications using the expressivity of OCaml. . This package contains only the runtime system needed to run bytecode executables. The 'jocaml' package contains the full development suite of JOCaml. Package: jodconverter Source: jodconverter-cli Version: 2.2.2-8 Installed-Size: 47 Maintainer: Samuel Thibault Architecture: all Depends: default-jre-headless | java2-runtime-headless, libcommons-cli-java, libcommons-io-java, libjodconverter-java (>= 2.2.2-5), libreoffice-java-common (>= 1:3.5.0~) Size: 6772 SHA256: c02c8a89ef2c4a6ada42c7ab2a95cda1b12436e73e03df7111e3b2a77685e5e4 SHA1: 252e66356da16889f9801178a903321f98dda5b3 MD5sum: 74351278206aace9466b524d6c9a125c Description: Office formats converter JODConverter, the Java OpenDocument Converter, leverages OpenOffice.org to provide import/export filters for various office formats including OpenDocument and Microsoft Office. . This package provides a command-line frontend. Homepage: http://www.artofsolving.com/opensource/jodconverter Tag: interface::commandline, role::program, use::converting Section: utils Priority: extra Filename: pool/main/j/jodconverter-cli/jodconverter_2.2.2-8_all.deb Package: jodreports-cli Source: jodreports Version: 2.4.0-3 Installed-Size: 52 Maintainer: Sascha Girrulat Architecture: all Depends: ure, default-jre, libjodreports-java Recommends: libslf4j-java Size: 9890 SHA256: 96d666e1becabe16a358b9084f300de4ebde3b2987667ac4d677f7e98333d8df SHA1: 81074ae23973c6b0fb5d2458c10553e908fd4500 MD5sum: 0dbef999a2d7060390b55a452e9b5602 Description: Merge OpenDocument text with data - command line tool JODReports, Java OpenDocument Reports, is a solution for creating office documents and reports in OpenDocument Text format from templates that can be visually composed using the OpenOffice.org Writer word processor. . This package provides a command line tool to execute the jar file directly Homepage: http://www.artofsolving.com/opensource/jodreports Section: java Priority: extra Filename: pool/main/j/jodreports/jodreports-cli_2.4.0-3_all.deb Package: joe Version: 3.7-2.3 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 1239 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libtinfo5 Breaks: jupp (<< 3.1.18-2~) Homepage: http://joe-editor.sourceforge.net/ Priority: optional Section: editors Filename: pool/main/j/joe/joe_3.7-2.3_armhf.deb Size: 474492 SHA256: 22de0e1ffab251cce8cd3f8d9abbd62a78ff1c5c8d5f795acd8819fa1279ce4e SHA1: 526a0df6259b0dcb3a459140372eda44e24a8f7a MD5sum: 67f031d74850f25705e47884aa7c5d45 Description: user friendly full screen text editor Joe, the Joe's Own Editor, has the feel of most PC text editors: the key sequences are reminiscent of WordStar and Turbo C editors, but the feature set is much larger than of those. Joe has all of the features a Unix user should expect: full use of termcap/terminfo, complete VI-style Unix integration, a powerful configuration file, and regular expression search system. It also has six help reference cards which are always available, and an intuitive, simple, and well thought-out user interface. . Joe has a great screen update optimization algorithm, multiple windows (through/between which you can scroll) and lacks the confusing notion of named buffers. It has command history, TAB expansion in file selection menus, undo and redo functions, (un)indenting and paragraph formatting, filtering highlighted blocks through any external Unix command, editing a pipe into or out of a command, and block move, copy, delete or filter. . Through simple QEdit-style configuration files, Joe can be set up to emulate editors such as Pico and Emacs, along with a complete imitation of WordStar, and a restricted mode version (lets you edit only the files specified on the command line). Joe also has a deferred screen update to handle typeahead, and it ensures that deferral is not bypassed by tty buffering. It's usable even at 2400 baud, and it will work on any kind of sane terminal. Package: joe-jupp Source: jupp Version: 3.1.21-1 Installed-Size: 170 Maintainer: Thorsten Glaser Architecture: all Replaces: joe Provides: joe Depends: jupp (>= 3.1.18-2~) Conflicts: joe Size: 20730 SHA256: 7a49031edd5cbe6fe6f90b7bba2e430d325fd6569866bfb282e5562fa2e54628 SHA1: 7a616ed399984b7aba2f28e942a741172249dc9c MD5sum: 458d6ae396857f78a6e96e58cbadd903 Description: reimplement the joe Debian package using jupp This package contains symbolic links to replace the Debian joe package using the jupp package and implementation (both binaries and manpages). It also contains the appropriate conffiles and, in contrast to Debian's joe flavour, supports SELinux context copying (on Debian systems with the Linux kernel), and has many bugs fixed. Homepage: https://www.mirbsd.org/jupp.htm Section: editors Priority: extra Filename: pool/main/j/jupp/joe-jupp_3.1.21-1_all.deb Package: john Version: 1.7.8-1 Architecture: armhf Maintainer: Ruben Molina Installed-Size: 320 Depends: libc6 (>= 2.7), john-data (= 1.7.8-1) Suggests: wordlist Homepage: http://www.openwall.com/john/ Priority: optional Section: admin Filename: pool/main/j/john/john_1.7.8-1_armhf.deb Size: 164756 SHA256: bad9fd80818bccecb1468b0b33dca761157703329844479cd9bb601652f20c9e SHA1: 2596b5cf7cfe9b1519b3fffc41cb3fd0bbe89b65 MD5sum: 73ffae17849311f505112c14193f1f19 Description: active password cracking tool John the Ripper is a tool designed to help systems administrators to find weak (easy to guess or crack through brute force) passwords, and even automatically mail users warning them about it, if it is desired. . Besides several crypt(3) password hash types most commonly found on various Unix flavors, supported out of the box are Kerberos AFS and Windows NT/2000/XP/2003 LM hashes, plus several more with contributed patches. Package: john-data Source: john Version: 1.7.8-1 Installed-Size: 1044 Maintainer: Ruben Molina Architecture: all Enhances: john Breaks: john (<= 1.7.2-1) Size: 653040 SHA256: 835615daee0992d0a3bee5fce9560670778ca76a3819e18c8db3b212b7e415b0 SHA1: 2a1b921802e80975ff086da2693ab98a34834ed4 MD5sum: 5936e9edf3f6859ee6254979f1924103 Description: active password cracking tool - character sets John the Ripper is a tool designed to help systems administrators to find weak (easy to guess or crack through brute force) passwords, and even automatically mail users warning them about it, if it is desired. . This package contains architecture-independent character sets usable by john. Homepage: http://www.openwall.com/john/ Tag: made-of::dictionary, role::app-data, security::cryptography, use::checking Section: admin Priority: optional Filename: pool/main/j/john/john-data_1.7.8-1_all.deb Package: jokosher Version: 0.11.5-5 Installed-Size: 4056 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: python (>= 2.6.6-3+squeeze3~), python-cairo, python-dbus, python-glade2, python-gtk2, python-gobject, python-gst0.10, gstreamer0.10-gnonlin (>= 0.10.8), gstreamer0.10-plugins-good (>= 0.10.9), gstreamer0.10-plugins-base (>= 0.10.12), python-pkg-resources, python-xdg Size: 1214858 SHA256: 15d426abc56845301fb4f8827bc303b6616b6f6f92e73eb5b3345c1e2f663cfc SHA1: f44c8e6054ca42aa72b5ee877422017b8a49c0a0 MD5sum: a339c903dbe40a4f7aa38c0cd67b4f4b Description: simple and easy to use audio multi-tracker Jokosher is a simple and powerful multi-track studio. Jokosher provides a complete application for recording, editing, mixing and exporting audio, and has been specifically designed with usability in mind. The developers behind Jokosher have re-thought audio production at every level, and created something devilishly simple to use. . Jokosher offers a strong feature set: * Easy to use interface, designed from the ground up. Jokosher uses concepts and language familiar to musicians, and is a breeze to use. * Simple editing with splitting, trimming and moving tools. * Multi-track volume mixing with VU sliders. * Import audio (Ogg Vorbis, MP3, FLAC, WAV and anything else supported by GStreamer) into your projects. * A range of instruments can be added to a project, and instruments can be renamed. Instruments can also be muted and soloed easily. * Export to MP3, Ogg Vorbis, FLAC, WAV and anything else GStreamer supports. Homepage: http://www.jokosher.org Tag: implemented-in::python, interface::x11, role::program, scope::application, sound::mixer, sound::recorder, uitoolkit::gtk, use::editing, works-with-format::mp3, works-with-format::oggvorbis, works-with::audio, x11::application Section: sound Priority: optional Filename: pool/main/j/jokosher/jokosher_0.11.5-5_all.deb Package: josm Version: 0.0.svn5267+dfsg1-2 Installed-Size: 6621 Maintainer: Debian OpenStreetMap Team Architecture: all Depends: openjdk-6-jre | sun-java6-jre | openjdk-7-jre, libcommons-codec-java, libgettext-commons-java (>= 0.9.6), libmetadata-extractor-java, liboauth-signpost-java (>= 1.2), libsvgsalamander-java, openstreetmap-map-icons-classic, ant Recommends: josm-plugins, webkit-image-gtk | webkit-image-qt Size: 6151104 SHA256: f20777fd605953256d289025efc9fe0baf0bfa2a1a275f3d9b4c52637fccea19 SHA1: b1480954cac2ad97b130123053e42fc5363c6e1c MD5sum: 5d579e338303f143c1b219c813920899 Description: Editor for OpenStreetMap JOSM is an editor for OpenStreetMap (OSM) written in Java. The current version supports stand alone GPX tracks, GPX track data from OSM database and existing nodes, line segments and metadata tags from the OSM database. . OpenStreetMap is a project aimed squarely at creating and providing free geographic data such as street maps to anyone who wants them. The project was started because most maps you think of as free actually have legal or technical restrictions on their use, holding back people from using them in creative, productive or unexpected ways. Homepage: http://josm.openstreetmap.de Tag: field::geography, implemented-in::java, interface::x11, network::client, protocol::http, role::program, scope::application, uitoolkit::TODO, use::downloading, use::editing, use::viewing, works-with-format::xml, works-with-format::xml:gpx, works-with::image, works-with::image:vector, x11::application Section: utils Priority: extra Filename: pool/main/j/josm/josm_0.0.svn5267+dfsg1-2_all.deb Package: josm-plugins Version: 0.0.svn28420+ds2-1 Installed-Size: 407 Maintainer: Debian OpenStreetMap Team Architecture: all Depends: josm (>= 0.0.svn5267), libmetadata-extractor-java, liblog4j1.2-java, libjgrapht0.8-java Breaks: josm (>= 0.0.svn5268) Size: 347320 SHA256: dd8b4dbec135ead54eac7b1114c7e5d71334c40c301850829a8c2c9599ae488c SHA1: ba39ec12882ce3ece872aa1dfe88da1af932e200 MD5sum: 379655c42d9009512d6d1acb240d7dca Description: Plugins for JOSM JOSM (Java OpenStreetMap) plugin collection. . This package contains the following plugins: * colorscheme - Allows creating different color schemes. * measurement - Adds a dialog and a layer to measure length and angle of segments and create measurement paths. * openvisible - Allows opening gpx and osm files that intersect the currently visible screen area. * cadastre-fr - A special handler for the French land registry WMS server. * DirectUpload - Directly uploads GPS Traces from current active layer in JOSM to openstreetmap.org. * editgpx - Anonymizes timestamps and deletes parts of huge GPX tracks very fast. * lakewalker - Helps vectorizing WMS images. * routing - Provides routing capabilities. . JOSM is an editor for OpenStreetMap (OSM) written in Java. The current version supports stand alone GPX tracks, GPX track data from OSM database and existing nodes, line segments and metadata tags from the OSM database. . OpenStreetMap is a project aimed squarely at creating and providing free geographic data such as street maps to anyone who wants them. The project was started because most maps you think of as free actually have legal or technical restrictions on their use, holding back people from using them in creative, productive or unexpected ways. Homepage: http://josm.openstreetmap.de/wiki/Plugins Tag: field::geography, hardware::gps, role::plugin, use::analysing, use::calculating, use::checking, works-with-format::xml, works-with-format::xml:gpx, works-with::image, works-with::image:vector Section: utils Priority: extra Filename: pool/main/j/josm-plugins/josm-plugins_0.0.svn28420+ds2-1_all.deb Package: jove Version: 4.16.0.73-1 Architecture: armhf Maintainer: Cord Beermann Installed-Size: 559 Depends: libc6 (>= 2.13-28), libtinfo5, debconf (>= 0.5) | debconf-2.0 Recommends: sendmail | mail-transport-agent Provides: editor Priority: optional Section: editors Filename: pool/main/j/jove/jove_4.16.0.73-1_armhf.deb Size: 220700 SHA256: 0b386fd75c36245bc739927a113e73b48b679ca8f9c46513c4e3672fccecdf6c SHA1: 471755046700788ca02d45b43b6a4d3a928b9f01 MD5sum: dced9379a2eeb1d66d29603ccf6f76ce Description: Jonathan's Own Version of Emacs - a compact, powerful editor Jove is a compact, powerful Emacs-style text-editor. It provides the common emacs keyboard bindings, together with a reasonable assortment of the most popular advanced features (e.g. interactive shell windows, compile-it, language specific modes) while weighing in with CPU, memory, and disk requirements comparable to vi(1). Package: jovie Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1045 Depends: kde-runtime, libc6 (>= 2.13-28), libkde3support4 (>= 4:4.7), libkdecore5 (>= 4:4.7), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7), libqt4-dbus (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libspeechd2, libstdc++6 (>= 4.4.0), speech-dispatcher Recommends: speech-dispatcher-festival | espeak | flite, kmouth Breaks: kttsd (<< 4:4.6) Replaces: kmouth (<< 4:4.8), kttsd (<< 4:4.6) Provides: kttsd Homepage: http://accessibility.kde.org/developer/kttsd Priority: optional Section: utils Filename: pool/main/j/jovie/jovie_4.8.4-2_armhf.deb Size: 471562 SHA256: cecc7d921a4fd9b3c0d34eceadf3aaf57b166502706ac9c442e0344138716e12 SHA1: 95bdb7cacf4bf1714c278f9329788e82da5b93c4 MD5sum: 38dfebb0fcde7fe983255c23f191af70 Description: text-to-speech system The Jovie text-to-speech system is a plugin based service that allows any KDE (or non-KDE) application to speak using the D-Bus interface. . It uses the speech-dispatcher daemon for the actual speech job; kmouth is an useful front-end for it. . This package is part of the KDE accessibility module. Package: jovie-dbg Source: jovie Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 5015 Depends: jovie (= 4:4.8.4-2) Breaks: kdeaccessibility-dbg (<< 4:4.8) Replaces: kdeaccessibility-dbg (<< 4:4.8) Homepage: http://accessibility.kde.org/developer/kttsd Priority: extra Section: debug Filename: pool/main/j/jovie/jovie-dbg_4.8.4-2_armhf.deb Size: 2025418 SHA256: 18a828559205bc72b24c820ca0627ee833bee7535f4beaa6158f952e16a0ddab SHA1: bfa296f390275fed4b1c607a4b77efafffe85dcf MD5sum: 3209971ed5b6c58e0a560f7fa7ac9282 Description: debugging symbols for jovie This package contains the debugging symbols for jovie. It is to be used in order to be able provide proper back traces for possible crashes or for running jovie in gdb or valgrind for meaningful output . This package is part of the KDE accessibility module Package: joy2key Version: 1.6.3-1 Architecture: armhf Maintainer: Jonathan Niehof Installed-Size: 74 Depends: libc6 (>= 2.7), libx11-6 Homepage: http://joy2key.sourceforge.net Priority: optional Section: x11 Filename: pool/main/j/joy2key/joy2key_1.6.3-1_armhf.deb Size: 23186 SHA256: 4bd00e9b23dc5d1d40718135b9ba2908c4f142f45a6a0cbff0820dc07f562383 SHA1: a7505e5829673f7272712724e478716c06a8e507 MD5sum: e48be055fcd4b003584c1b292f2d1f6f Description: Translate joystick movements into equivalent keystrokes joy2key allows one to choose keyboard events for joystick axes and buttons, so that a joystick or gamepad can be used with an application that doesn't have native joystick support. Package: joystick Version: 1:1.4.3-1 Architecture: armhf Maintainer: Stephen Kitt Installed-Size: 148 Depends: libc6 (>= 2.13-28), libsdl1.2debian (>= 1.2.11) Recommends: evtest, inputattach Homepage: https://sourceforge.net/projects/linuxconsole/ Priority: extra Section: utils Filename: pool/main/j/joystick/joystick_1.4.3-1_armhf.deb Size: 43382 SHA256: d42982c1f454b7220bc7a7be6ed8b055cedf74b0b705bd87e7d72b1c36113d92 SHA1: 44fe8b0db1c3e221d4a365832bef59c6728fc744 MD5sum: c2583f903005396b95a5852811d202bb Description: set of testing and calibration tools for joysticks Some useful tools for using joysticks: ffcfstress(1) - force-feedback stress test ffmvforce(1) - force-feedback orientation test ffset(1) - force-feedback configuration tool fftest(1) - general force-feedback test jstest(1) - joystick test jscal(1) - joystick calibration tool . evtest and inputattach, which used to be part of this package, are now available separately. Package: jp2a Version: 1.0.6-3.2 Architecture: armhf Maintainer: Joao Eriberto Mota Filho Installed-Size: 67 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libjpeg8 (>= 8c), libtinfo5 Homepage: http://csl.sublevel3.org/jp2a Priority: optional Section: graphics Filename: pool/main/j/jp2a/jp2a_1.0.6-3.2_armhf.deb Size: 21302 SHA256: f5024d48510299fe428b538b979349262ff8d51e8ed3cd74f3b7462fd29cc760 SHA1: e171e2b8e46d53fa6b2f943d8104b8f04518c4d2 MD5sum: b55d3773cbddffee48779dec485baf2b Description: converts jpg images to ascii Small utility that converts JPG images to ASCII using libjpeg. jp2a is very flexible. It can use ANSI colors and html in output. . Screenshot: http://www.eriberto.pro.br/debian/screenshots/jp2a.jpg Package: jparse Source: jaula Version: 1.4.0-3 Architecture: armhf Maintainer: Loic Dachary (OuoU) Installed-Size: 50 Depends: libc6 (>= 2.4), libjaula1 (>= 1.3.0), libstdc++6 (>= 4.4.0) Priority: extra Section: utils Filename: pool/main/j/jaula/jparse_1.4.0-3_armhf.deb Size: 8924 SHA256: 9d19e6e58f765aa0b66cb673339df6d5c71953eb5b550e9fa711082d4fd683b5 SHA1: 0585078dac62a157905ea476d2617a839e968183 MD5sum: 564ed4b3931468536f1a5b77d396403c Description: JSON parser utility Jparse is a small utility based on jaula library that checks JSON formatted files, detects errors and sends a "compacted to a single line" form of the file contents on standard output. Package: jpeginfo Version: 1.6.0-5 Architecture: armhf Maintainer: Ola Lundqvist Installed-Size: 55 Depends: libc6 (>= 2.4), libjpeg8 (>= 8c) Priority: optional Section: graphics Filename: pool/main/j/jpeginfo/jpeginfo_1.6.0-5_armhf.deb Size: 13866 SHA256: 8c59faf59ec009f7e18e298e3b0c983ccd231c2bca1026a661aec7fe22c1474b SHA1: 247eb9cbd9a51b84ff44025e283e337db783593f MD5sum: 6a7c1452a045a5fb50a104d0fdbc39f0 Description: Prints information and tests integrity of JPEG/JFIF files jpeginfo can be used to generate informative listings of jpeg files, and also to check jpeg files for errors. It can also detect broken jpeg and delete them automatically. Package: jpegjudge Version: 0.0.2-2 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 62 Depends: libc6 (>= 2.4), libjpeg8 (>= 8c) Homepage: http://oldhome.schmorp.de/marc/judge.html Priority: optional Section: graphics Filename: pool/main/j/jpegjudge/jpegjudge_0.0.2-2_armhf.deb Size: 19442 SHA256: bbd69dcf8789e672718198bfd11118879c996d2a8f489d8b166fee16008fc08d SHA1: c4dfa918af5944f4f508cf088a350cad8b1cd21d MD5sum: a06c6f983bee930d7336239760b18c0f Description: determine which of two given jpegs (same size) is the original Try to determine which same picture was saved at a higher quality, even if the picture was saved at low quality and later at a higher quality. . By a statistical analysis over the quantization table stored in a jpeg one could probably deduce the quality which the picture was saved (i.e. this particular save), but it in no way says anything about the picture quality itself (i.e. whether it has ever been saved at lower quality before since its creation). For example, one might have saved a jpeg picture at low quality, and later saved at a higher quality, yet the information lost during the first save can't be restored. Package: jpegoptim Version: 1.2.3-2 Architecture: armhf Maintainer: Sven Mueller Installed-Size: 57 Depends: libc6 (>= 2.7), libjpeg8 (>= 8c) Homepage: http://www.kokkonen.net/tjko/projects.html Priority: optional Section: graphics Filename: pool/main/j/jpegoptim/jpegoptim_1.2.3-2_armhf.deb Size: 13042 SHA256: bbbf07e99bc63011f7dcb167e85c09d2894edb414734dd0a271ccfa5ca5a60c0 SHA1: 51ac9652c4077bb75655805ce19be01e852136d2 MD5sum: 87a3b015df4988c58d8a1b55ac0f5d6b Description: utility to optimize jpeg files Jpegoptim can optimize/compress jpeg files. Program support lossless optimization, which is based on optimizing the Huffman tables. So called, "lossy" optimization (compression) is done by re-encoding the image using user specified image quality factor. Package: jpegpixi Version: 1.1.1-4.1 Architecture: armhf Maintainer: Kevin Coyner Installed-Size: 204 Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c) Homepage: http://www.zero-based.org/software/jpegpixi/ Priority: optional Section: graphics Filename: pool/main/j/jpegpixi/jpegpixi_1.1.1-4.1_armhf.deb Size: 68408 SHA256: 6c4e7ef21e194e35f9777c373efaa09ec34288315edad3c1e6bae50251237d2d SHA1: 1ef5c396856977117e054bb9dc7ed5653a9ce8e0 MD5sum: 754c868b55f763779db47de1f553b957 Description: Remove hot spots from JPEG images with minimal quality loss jpegpixi is short for "JPEG pixel interpolator". It is a command-line utility which interpolates pixels in JFIF images (commonly referred to as "JPEG images"). This is useful to correct images from a digital camera with CCD defects. . jpegpixi tries to preserve the quality of the JFIF image as much as possible. Most graphics programs decode JFIF images when they are loaded, and re-encode them when they are saved, which results in an overall loss of quality. jpegpixi, on the other hand, does not decode and re-encode the image, but manipulates the encoded image data. In doing so, it also preserves EXIF metadata. Package: jpilot Version: 1.8.1.2-1 Architecture: armhf Maintainer: Ludovic Rousseau Installed-Size: 1674 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpisock9 (>= 0.12.5~) Recommends: jpilot-plugins Suggests: jpilot-backup Homepage: http://jpilot.org/ Priority: extra Section: otherosfs Filename: pool/main/j/jpilot/jpilot_1.8.1.2-1_armhf.deb Size: 672484 SHA256: 49f2120f615044591b530f68575a496a79d9493c81798d00d5dacc5679064093 SHA1: 190eae3d1b676b903b53e96e0f67835df60e195d MD5sum: 685adcd4bead4e7612665c1c74c4a79f Description: graphical app. to modify the contents of your Palm Pilot's DBs J-Pilot is a desktop organizer application for PalmOS devices. It is meant to be an alternative to the Palm Desktop provided by Palm. . You have access to the following applications: o Datebook o Address book o ToDo list o Memos . Plug-ins are available in the jpilot-plugins package. Package: jpilot-backup Version: 0.60-3 Architecture: armhf Maintainer: Ludovic Rousseau Installed-Size: 75 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdbm3 (>= 1.8.3), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpisock9, jpilot (>= 0.99.4-6) Conflicts: jpilot (<< 0.99.7-6) Homepage: http://www.jlogday.com/code/jpilot-backup/index.html Priority: extra Section: otherosfs Filename: pool/main/j/jpilot-backup/jpilot-backup_0.60-3_armhf.deb Size: 23110 SHA256: a7d1419745c13cf626ae99f14d8c5574264cb0d3d479f19aa41cb71f5ffbafab SHA1: 955e495363fdb57069b2249930911d7eb44a13c5 MD5sum: a433ae9a1002aa60bb1ebe0386b8f3cf Description: Backup plugin for J-Pilot This program enhances jpilot's own backup, making it much more flexible, handling automatic backups, configuring which applications/databases to back up and which to ignore, etc. Package: jpilot-plugins Source: jpilot Version: 1.8.1.2-1 Architecture: armhf Maintainer: Ludovic Rousseau Installed-Size: 139 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), jpilot (= 1.8.1.2-1) Homepage: http://jpilot.org/ Priority: extra Section: otherosfs Filename: pool/main/j/jpilot/jpilot-plugins_1.8.1.2-1_armhf.deb Size: 58542 SHA256: 378cb9265c272e787feb15879bf0ad337be5bcdb3cdfb4b42928e2b86c16f738 SHA1: 5bd1bbab30536f3ae461ac6db272ecad05a694b1 MD5sum: 1cf09408b256cda28cb26ffc4573b42b Description: plugins for jpilot (Palm Pilot desktop) J-Pilot is a desktop organizer application for PalmOS devices. It is meant to be an alternative to the Palm Desktop provided by Palm. . This package includes three plugins for jpilot: o Expenses o SyncTime o KeyRing Package: jpnevulator Version: 1.3.1-1 Architecture: armhf Maintainer: Freddy Spierenburg Installed-Size: 67 Depends: libc6 (>= 2.4) Homepage: http://jpnevulator.snarl.nl/ Priority: optional Section: comm Filename: pool/main/j/jpnevulator/jpnevulator_1.3.1-1_armhf.deb Size: 19266 SHA256: eb6fe746049fe2993a4049d7422bd66ac19e2703f4fe43e9f0349b8259259d7e SHA1: fd30874b6789fd26cdd30c1781fb6e4803d8c754 MD5sum: 61fb064effdf71877c92854ae51c39e5 Description: Serial sniffer jpnevulator is a handy serial sniffer. You can use it to send data on a serial device too. You can read or write from/to one or more serial devices at the same time. . In write mode data to be sent on the serial device(s) is read from a file or stdin in hexadecimal notation. Data is sent on the serial device(s) line by line. . In read mode data to be read from the serial device(s) is written to a file or stdout in hexadecimal notation. It's even possible to pass the data in between the serial device(s). Several options enhance the way the data is displayed. Package: jpoker Version: 1.0.16-2.1 Installed-Size: 9477 Maintainer: Loic Dachary (OuoU) Architecture: all Depends: apache2 Suggests: python-poker-network Size: 2742844 SHA256: 06681dbcb2e3366a5952a6571e031bd2e04990fcc85b318c22333956617cb507 SHA1: 963c94d20840c38214db8e4c48cfedc026f6bff2 MD5sum: 56a52ac0f239af835f138b093258a0f7 Description: javascript online poker client http://jspoker.pokersource.info/jpoker/ is a javascript client for playing online poker. It displays the list of poker tables and when the user choses one, it is displayed in the browser. The user can join the game and play hands. It supports multi table tournaments. The poker server to which jpoker connects must implement a protocol compatible with http://pokersource.info/poker-network/. jpoker user interface is based on http://tiddlywiki.com/. However the underlying http://jquery.com/ based library is independent and can be used to implement alternate look and feel. Tag: game::card, implemented-in::ecmascript, role::program Section: web Priority: optional Filename: pool/main/j/jpoker/jpoker_1.0.16-2.1_all.deb Package: jppy Version: 0.0.59-1 Installed-Size: 1648 Maintainer: jppy development team Architecture: all Depends: python-jppy (>= 0.0.59-1), python (>= 2.5), python-vobject, jpilot Recommends: txt2pdbdoc Suggests: mutt, jppy-jpilot-plugins Size: 271222 SHA256: 80916fcf1999fd5b555de6dbb3a11648006bf3d58d5ab6bd1531134ce6149077 SHA1: 7f2e7cb8bb191282c6d45277f8c026dac5e355f4 MD5sum: e85f6528a525cd3e3ff1e3c51ead9200 Description: Personal Information Manager using J-Pilot/Palm PDA databases jppy is a GUI for manipulating J-Pilot contacts, tasks and memo databases. As opposed to the jpilot package, it provides access to the extended fields of newer PalmOS contact databases (PalmOS 5 and up). Homepage: http://jppy.alioth.debian.org/ Tag: interface::x11, role::program, scope::utility, use::editing, works-with::pim, x11::application Section: x11 Priority: optional Filename: pool/main/j/jppy/jppy_0.0.59-1_all.deb Package: jppy-doc Source: jppy Version: 0.0.59-1 Installed-Size: 408 Maintainer: jppy development team Architecture: all Suggests: jppy Size: 374960 SHA256: 9022cf128bfaa29f9d16c9c99f2dc418fb2b7304afb80ca2213513b9faa5af71 SHA1: 9d85d205e50018aeae29806f5c8038dedb04fb35 MD5sum: 81b86126d859cd427e2e2e186fa1b326 Description: Documentation for jppy This package installs the documentation for jppy. Homepage: http://jppy.alioth.debian.org/ Tag: role::documentation Section: doc Priority: optional Filename: pool/main/j/jppy/jppy-doc_0.0.59-1_all.deb Package: jppy-jpilot-plugins Source: jppy Version: 0.0.59-1 Architecture: armhf Maintainer: jppy development team Installed-Size: 80 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpython2.7 (>= 2.7), jppy (= 0.0.59-1) Recommends: jpilot Homepage: http://jppy.alioth.debian.org/ Priority: optional Section: otherosfs Filename: pool/main/j/jppy/jppy-jpilot-plugins_0.0.59-1_armhf.deb Size: 25428 SHA256: c927617b84790d895a57c89227c978ca25c20a869d4510b6679308dbb30cf819 SHA1: fd3943fa719a9df7050eb4a2cf2dfa80585345e5 MD5sum: c0e28330bfa649dfe31344a9432e9719 Description: J-Pilot plugins to integrate jppy This package installs plugins that integrate jppy with J-Pilot and handles synchronisation of the extended contacts database used by newer PalmOS (version 5 and up). Package: jppy-lbdb-module Source: jppy Version: 0.0.59-1 Installed-Size: 32 Maintainer: jppy development team Architecture: all Depends: python-jppy (>= 0.0.59-1), python (>= 2.5) Recommends: lbdb Size: 18906 SHA256: b4dfa445f83b58a739b4ae569f63ebfb029027b13f9b879b4a7a6fa31b1666ff SHA1: 7f8f7fdc2da46af5786e968c1428a174a1de042c MD5sum: cb442353205714f0aba494239e9d15de Description: lbdb module to interface with jppy This package provides m_palm_db6, a module to let lbdb query the Contacts-PAdd database used by jppy. Homepage: http://jppy.alioth.debian.org/ Tag: role::shared-lib Section: mail Priority: optional Filename: pool/main/j/jppy/jppy-lbdb-module_0.0.59-1_all.deb Package: jquery-alternative-doc Source: jqapi Version: 1.7+dfsg-1 Installed-Size: 3737 Maintainer: Debian Javascript Maintainers Architecture: all Depends: libjs-jquery (>= 1.7) Size: 1208578 SHA256: d74cbe140fb7c2e9772ae6218dff3956b80554f832f9681485e3854e6487b9ac SHA1: d8bfaada51b9aded9b1df7d1e73ae9dd1cfa111c MD5sum: 840b784dadc926b77c71a35f64b4c0e3 Description: Alternative jQuery Documentation JQAPI is inspired by the Rails API. The content is the same as in the official documentation and all credits belong to the jQuery team. Homepage: http://www.jqapi.com/ Section: doc Priority: optional Filename: pool/main/j/jqapi/jquery-alternative-doc_1.7+dfsg-1_all.deb Package: jquery-jplayer-bluemonday Version: 2.1.0-1 Installed-Size: 98 Maintainer: Pau Garcia i Quiles Architecture: all Depends: libjs-jquery-jplayer Size: 44732 SHA256: 166b2e4087eb59295ae5ae96b8ca947745a1a20a9b04c14043880a3d216724de SHA1: fac8dc95780a0a502ffd028ca64cd3e36dc9f779 MD5sum: 8e5c0192efdac7ec5a727a2924a4b385 Description: Blue Monday skin for jPlayer jPlayer is the completely free and open source (GPL/MIT) media library written in JavaScript. A jQuery plugin, jPlayer allows you to rapidly weave cross platform audio and video into your web pages. . This package contains the Blue Monday skin for jPlayer. Homepage: http://www.jplayer.org/ Section: web Priority: extra Filename: pool/main/j/jquery-jplayer-bluemonday/jquery-jplayer-bluemonday_2.1.0-1_all.deb Package: jquery-jplayer-pinkflag Version: 2.1.0-1 Installed-Size: 95 Maintainer: Pau Garcia i Quiles Architecture: all Depends: libjs-jquery-jplayer Size: 38846 SHA256: d7fed1eefb1607af1ed739b902987c55074651eb0a19cd9c5c46c01999308c62 SHA1: aa68c7eae2787160fd289547102e12ac9b9b8f02 MD5sum: 2e6c73e7b8e3e4bc3c20a32d494e981f Description: Pink Flag skin for jPlayer jPlayer is the completely free and open source (GPL/MIT) media library written in JavaScript. A jQuery plugin, jPlayer allows you to rapidly weave cross platform audio and video into your web pages. . This package contains the Pink Flag skin for jPlayer. Homepage: http://www.jplayer.org/ Section: web Priority: extra Filename: pool/main/j/jquery-jplayer-pinkflag/jquery-jplayer-pinkflag_2.1.0-1_all.deb Package: jruby Version: 1.5.6-5+deb7u2 Installed-Size: 16390 Maintainer: Debian Java Maintainers Architecture: all Replaces: jruby1.0, jruby1.1, jruby1.2 Depends: openjdk-6-jre | java6-runtime, libjffi-jni Size: 8918996 SHA256: 5e1da14cf18ba241c1c4e0e92a0b2c1075932d717e21a647cfcd79337e042110 SHA1: c9f31c0fab1a2b23b2237e8821d60aa9c6e3b112 MD5sum: 2731873078d5e5f18279d8e355ee473a Description: 100% pure-Java implementation of Ruby JRuby is a 100% pure-Java implementation of the Ruby programming language. . JRuby provides a complete set of core "builtin" classes and syntax for the Ruby language, as well as most of the Ruby Standard Libraries. The standard libraries are mostly Ruby's own complement of ".rb" files, but a few that depend on C language-based extensions have been reimplemented. Some are still missing, but JRuby hopes to implement as many as is feasible. Homepage: http://jruby.org Recommends: ri1.8 Section: ruby Priority: optional Filename: pool/main/j/jruby/jruby_1.5.6-5+deb7u2_all.deb Package: js-of-ocaml Version: 1.2-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 449 Depends: libjs-of-ocaml-dev, libfindlib-ocaml-h0ll5, ocaml-base-nox-3.12.1 Recommends: ocaml-findlib Homepage: http://ocsigen.org/js_of_ocaml Priority: optional Section: ocaml Filename: pool/main/j/js-of-ocaml/js-of-ocaml_1.2-2_armhf.deb Size: 91018 SHA256: 35ba54996fdac7bf2f6824924728f614d88adab81a80ebd3e99f863e370a2cd5 SHA1: 715b74fa1273a8ec552f9e7b0e574047ff4e4858 MD5sum: 133026957948fef8e0fcdcc38c307ea0 Description: OCaml bytecode to JavaScript compiler (compiler) Js_of_ocaml is a compiler of OCaml bytecode to JavaScript. It makes it possible to run OCaml programs in a web browser. Its key features are the following: * the whole language, and most of the standard library are supported; * the generated code can be used with any web server and browser; * you can use a standard installation of OCaml to compile your programs. In particular, you do not have to recompile a library to use it with Js_of_ocaml. You just have to link your program with a specific library to interface with the browser APIs. . This package contains the compiler itself. Package: js2-mode Version: 0~20090723b-2 Installed-Size: 513 Maintainer: Vincent Bernat Architecture: all Depends: emacs23 | emacsen, emacsen-common (>= 1.4.14) Size: 109262 SHA256: 59ca6d2f7bd27393a139e90e2e0bd958208053be77dfdd34b79b110dbb7a49fd SHA1: c0681eaf90976fc57fa8a0e1ed72cbed335a6865 MD5sum: d15e7bbd6c6cd118b6818a265719d54d Description: Emacs mode for editing Javascript programs This JavaScript editing mode supports: . - the full JavaScript language through version 1.7 - support for most Rhino and SpiderMonkey extensions from 1.5 to 1.7 - accurate syntax highlighting using a recursive-descent parser - syntax-error and strict-mode warning reporting - "bouncing" line indentation to choose among alternate indentation points - smart line-wrapping within comments and strings - code folding: - show some or all function bodies as {...} - show some or all block comments as /*...*/ - context-sensitive menu bar and popup menus - code browsing using the imenu' package - typing helpers (e.g. inserting matching braces/parens) - many customization options Homepage: http://code.google.com/p/js2-mode/ Tag: devel::editor, devel::lang:ecmascript, implemented-in::lisp, role::plugin, suite::emacs, use::editing, works-with::software:source Section: editors Priority: optional Filename: pool/main/j/js2-mode/js2-mode_0~20090723b-2_all.deb Package: jscribble Version: 1.7.7-1.2 Installed-Size: 230 Maintainer: Martin Ueding Architecture: all Depends: default-jre (>= 1:1.6) Size: 169754 SHA256: 43b6bf2887ee5cc2bb580f98145b58011fe8069649f4a5391c3eda43de364470 SHA1: 48b7935890caf1e793a2a9e8da5320242ae7a501 MD5sum: d8dc8594c8add94f32322fca1e072e86 Description: graphical notepad for use with a pen tablet `jscribble` is a graphical notepad with as many pages as you want. You can organize your notes within notepads. Best used with a graphics tablet or touchscreen. . Taking notes on paper allow you to draw and write whatever you want. Some people are fast enough in LaTeX to set complicated formulas while in a lecture. Some prefer to draw these by hand but do not like carrying lots of paper with me. . `jscribble` offers screen based note taking with as many pages as you want. `Xournal` offers more features but is paper size oriented which does not make sense on a 10" netbook screen where one wants to use the whole screen for taking notes. . Another focus was set to avoid any mouse navigation. All you can do with your mouse (or pen) is draw, therefore you cannot click anything accidentially. Navigation is done with the keyboard. Homepage: http://martin-ueding.de/jscribble/ Tag: interface::x11, role::program, uitoolkit::xlib, works-with::text, x11::application Section: utils Priority: extra Filename: pool/main/j/jscribble/jscribble_1.7.7-1.2_all.deb Package: jsdoc-toolkit Version: 2.4.0+dfsg-3 Installed-Size: 692 Maintainer: Georges Khaznadar Architecture: all Depends: rhino Size: 81910 SHA256: 1bfd5043be0e30aec83b6aefb03b8173e42f360fc000ee80e8d5a1114be30c84 SHA1: 23fe5a28fbe9e312df9e0f5f49fe61cc0b3fe272 MD5sum: 8554f53d00b8d6153cbbb194c12f9973 Description: automatic generator for HTML documentation of Javascript sources JsDoc Toolkit is an application, written in JavaScript, for automatically generating template-formatted, multi-page HTML (or XML, JSON, or any other text-based) documentation from commented JavaScript source code. . Based on the JSDoc.pm project, this was renamed "Jsdoc Toolkit" during development as it grew into more than a simple version upgrade. Homepage: http://code.google.com/p/jsdoc-toolkit/ Tag: devel::doc, devel::docsystem, devel::lang:java, devel::library, implemented-in::java, interface::commandline, role::devel-lib, role::documentation, role::program, scope::utility Section: devel Priority: extra Filename: pool/main/j/jsdoc-toolkit/jsdoc-toolkit_2.4.0+dfsg-3_all.deb Package: jsmath Version: 3.6c-1.1 Installed-Size: 1384 Maintainer: Yaroslav Halchenko Architecture: all Depends: debconf (>= 0.5) | debconf-2.0 Recommends: apache | apache-ssl | apache-perl | apache2 | httpd, jsmath-fonts Suggests: jsmath-fonts-sprite Size: 274456 SHA256: 4276d656249c6a6a458918d6edb3f38b8c58e6d057103797cac7ee86b760b22a SHA1: 10e081b1d2851a1f9036cad6fa1e6a8a3155358e MD5sum: b7ee35c3f23c778f24e42d9946885933 Description: TeX equations in HTML documents Provides a method of including mathematics in HTML pages that works across multiple browsers under Windows, Macintosh OS X, Linux and other flavors of Unix. It overcomes a number of the shortcomings of the traditional method of using images to represent mathematics: jsMath uses native fonts, so they resize when you change the size of the text in your browser, they print at the full resolution of your printer, and you don't have to wait for dozens of images to be downloaded in order to see the mathematics in a web page. Homepage: http://www.math.union.edu/~dpvc/jsMath Tag: field::chemistry, field::mathematics, field::physics, implemented-in::ecmascript, interface::web, role::program, scope::utility, suite::apache, use::converting, use::text-formatting, use::viewing, web::browser, web::scripting, works-with-format::tex, works-with::font, works-with::text Section: web Priority: optional Filename: pool/main/j/jsmath/jsmath_3.6c-1.1_all.deb Package: jsmath-fonts Version: 1.3-2 Installed-Size: 81424 Maintainer: Yaroslav Halchenko Architecture: all Depends: jsmath Size: 4025650 SHA256: 1a2976e8c23ff291e6aace9566de245530b7a889cfcd708b9f29b95d8cb51fb8 SHA1: 645f413777ca41efe46ff95bdd568b34659cd436 MD5sum: 3dac185582abea6315c839d6e4632b8d Description: raster fonts for jsMath Rasterized fonts saved in image files to enable viewing of jsMath pages by a web-browser which is missing access to TeX fonts. . Homepage: http://www.math.union.edu/~dpvc/jsMath Tag: role::app-data Section: fonts Priority: optional Filename: pool/main/j/jsmath-fonts/jsmath-fonts_1.3-2_all.deb Package: jsmath-fonts-sprite Version: 1.0-2 Installed-Size: 3984 Maintainer: Yaroslav Halchenko Architecture: all Depends: jsmath Size: 3209616 SHA256: e28debdc38fd64f3e90215a52e21327d60943e60a44b1a345c4da433c1b0e318 SHA1: b91d4cf6b639700dbcc88a34f351a5858fdd5eeb MD5sum: afa4c7b80cddf76e761cab9d688f4a52 Description: raster fonts for jsMath plugin spriteImageFonts Rasterized fonts saved in separate image files -- 1 image per font to enable viewing of jsMath pages by a web-browser which is missing access to TeX fonts. Sprite organization of raster fonts elivates the problem of jsmath-fonts, which is a tremendous number of images since each character has a separate image file. But such advantage comes at speed and compatibility cost. . Homepage: http://www.math.union.edu/~dpvc/jsMath Tag: role::app-data Section: web Priority: optional Filename: pool/main/j/jsmath-fonts-sprite/jsmath-fonts-sprite_1.0-2_all.deb Package: jsonbot Version: 0.84.4-1 Installed-Size: 3600 Maintainer: Jeremy Malcolm Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-pkg-resources (>= 0.6b3), python-simplejson (>= 2.0), python-oauth (>= 1.0), python-feedparser (>= 4.0), adduser Recommends: python-tornado (>= 2.2), python-sleekxmpp Size: 854602 SHA256: 4e6d9725a1141e416efbd92af28d084623538f33ab1925959a2d67eab17f8920 SHA1: 58f01d592614227e284ef512895241f3600ee3b6 MD5sum: dbef35f2b1ac99864c0804b54905f8d2 Description: Framework for building bots for IRC, XMPP and the Web JSONBOT is a remote event-driven framework for building bots that talk JSON to each other over XMPP. This distribution provides bots built on the JSONBOT framework for console, IRC, XMPP for the shell and WWW, and XMPP for the Google App Engine. A plugin infrastructure can be used to write your own functionality. Python-Version: 2.6, 2.7 Section: net Priority: optional Filename: pool/main/j/jsonbot/jsonbot_0.84.4-1_all.deb Package: jstest-gtk Version: 0.1.1~git20090722-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 413 Depends: libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairomm-1.0-1 (>= 1.6.4), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtkmm-2.4-1c2a (>= 1:2.24.0), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libx11-6 Recommends: joystick Suggests: jstest-gtk-dbg (= 0.1.1~git20090722-2) Homepage: http://pingus.seul.org/~grumbel/jstest-gtk/ Priority: optional Section: utils Filename: pool/main/j/jstest-gtk/jstest-gtk_0.1.1~git20090722-2_armhf.deb Size: 177344 SHA256: 78ed2700dfc34a228dafdca727448a24c822d61519c56adf6557a67d82ad2487 SHA1: 60469593832a4811af37208cefc23ae2823519c0 MD5sum: a3e9f9357b58c68701d100d11a7c337a Description: joystick testing and configuration tool jstest-gtk is a simple graphical joystick tester. It provides a list of attached joysticks, and for each one can display which buttons and axes are pressed, remap axes and buttons, and calibrate the device. . Even when your joystick is working mostly fine, you might want to give it a try, as the calibration lets you get rid of overlarge default deadzones that many joysticks use and which are a noticeable problem in some games. . Installing the joystick package in addition to this one will allow you to store your calibration settings and mappings and have the automatically restored. Package: jstest-gtk-dbg Source: jstest-gtk Version: 0.1.1~git20090722-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 2796 Depends: jstest-gtk (= 0.1.1~git20090722-2) Homepage: http://pingus.seul.org/~grumbel/jstest-gtk/ Priority: extra Section: debug Filename: pool/main/j/jstest-gtk/jstest-gtk-dbg_0.1.1~git20090722-2_armhf.deb Size: 930476 SHA256: 5d8b6b3ff4830522d696a90f64f5066eec4572cfaf748e1cc2e8480a6f4a8c35 SHA1: 813669cfeccecc91cd03b3de670a0cc66e5676ad MD5sum: 9ed5295a34066bbcfd31c2851bcafee7 Description: joystick testing and configuration tool - debug jstest-gtk is a simple graphical joystick tester. It provides a list of attached joysticks, and for each one can display which buttons and axes are pressed, remap axes and buttons, and calibrate the device. . Even when your joystick is working mostly fine, you might want to give it a try, as the calibration lets you get rid of overlarge default deadzones that many joysticks use and which are a noticeable problem in some games. . This package contains the debugging symbols. Package: jsvc Source: commons-daemon Version: 1.0.10-3 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 85 Depends: libcommons-daemon-java, libc6 (>= 2.13-28) Recommends: default-jre-headless | java2-runtime-headless Homepage: http://commons.apache.org/daemon/ Priority: optional Section: utils Filename: pool/main/c/commons-daemon/jsvc_1.0.10-3_armhf.deb Size: 26856 SHA256: 4cff43deb758f49be965ad2fb741112063d1944c5b809f01d18e3674376b8a93 SHA1: 07dd4844e1f53d3813cdce2e0f58938a35ae3239 MD5sum: 0d264922b04478e277b8fa52d3aa4199 Description: wrapper to launch Java applications as daemons This is the native application for launching Java applications implementing certain interfaces from the libcommons-daemon-java package as daemons. Package: jsxgraph Version: 0.83+svn1872~dfsg1-1 Installed-Size: 18019 Maintainer: Georges Khaznadar Architecture: all Depends: libjs-prototype, libjs-jquery Size: 2949326 SHA256: 61bec7fb2195a4d28aeef0f5a468c6746aa919b4e5c6ee2aa09876dac7e316e8 SHA1: e9c71880303dd30a7cdf83c23768c1e7da0e154e MD5sum: 1f6fd8be41324c39382735f5e6827da0 Description: Interactive Geometry with JavaScript JSXGraph is a cross-browser library to display interactive geometry in a web browser. It is implemented in JavaScript and uses SVG and VML. At the moment the following browsers are supported: Mozilla Firefox, Opera, Safari, Google Chrome, Microsoft Internet Explorer. . There are still some issues with JSXGraph in the Internet Explorer, so we recommend to use Firefox, Opera, Safari or Chrome. . JSXGraph is easy to embed and has a small footprint: only 50 kB if embedded in a web page. No plugins are required! Homepage: http://jsxgraph.uni-bayreuth.de/cms/index.php Tag: implemented-in::ecmascript, interface::web, role::shared-lib, role::source, use::learning, use::simulating, works-with-format::html Section: devel Priority: extra Filename: pool/main/j/jsxgraph/jsxgraph_0.83+svn1872~dfsg1-1_all.deb Package: jsymphonic Version: 0.3.0.Ode.To.Freedom+svn387-7 Installed-Size: 1903 Maintainer: Debian Java Maintainers Architecture: all Depends: openjdk-6-jre | java6-runtime, java-wrappers (>= 0.1.22), libswing-layout-java Recommends: ffmpeg Suggests: pmount Size: 1675686 SHA256: 6b211bfdb226506d3a320035adfb254e82c6e2e3ca122a8628e6fc3863dcdf35 SHA1: 8f5bfb111c069515f23863bb147767875df2182d MD5sum: 2065db8107aaa659dddd6dcf08f8cbba Description: File manager for Sony's MP3 players Symphonic is a file manager for Sony's flash players (such as the NW-E00x series), where songs are stored in a proprietary format not very Unix-friendly. . This program provides functionalities similar to the proprietary Windows-only SonicStage software given by Sony to interact with the players. Homepage: http://sourceforge.net/projects/symphonic/ Tag: implemented-in::java, role::program Section: sound Priority: optional Filename: pool/main/j/jsymphonic/jsymphonic_0.3.0.Ode.To.Freedom+svn387-7_all.deb Package: jta Version: 2.6+dfsg-5 Installed-Size: 281 Maintainer: Debian Java Maintainers Architecture: all Depends: libgnu-regexp-java, libcrimson-java, libjdom1-java Suggests: jta-doc Size: 238974 SHA256: be8695dbbc65ce1e05348566168883c5ba1e131c21c3ed832bf1f3558ef55ee0 SHA1: 2ebb08cadf4f666d5ba5e3c64c4cc06e21ebd8c9 MD5sum: 06b794a67cf9f635bb68e449651afcdc Description: Java telnet/ssh applet JTA is a Java implementation of Telnet and ssh. . JTA may be run as a standalone application (using class de.mud.jta.Main), or as a Java Applet (class de.mud.jta.Applet). . It's modular structure allows one to configure the software to act either as a sophisticated terminal emulation and/or, adding the network backend, as telnet implementation. Additional modules provide features like scripting or an improved graphical user interface. Homepage: http://www.javassh.org/ Tag: implemented-in::java, protocol::ip, protocol::ssh, protocol::telnet, role::program, scope::utility, use::login, x11::applet Section: net Priority: optional Filename: pool/main/j/jta/jta_2.6+dfsg-5_all.deb Package: jta-doc Source: jta Version: 2.6+dfsg-5 Installed-Size: 2528 Maintainer: Debian Java Maintainers Architecture: all Suggests: jta, default-jdk-doc Size: 176122 SHA256: cadd5bd5d4e46d92fab0e080059ff4d1ece2a821b5af262ecad2fdc84d2f33d3 SHA1: 775a84974bf08b9170bea87534e70a5219b62b44 MD5sum: 9b9d9d861b0718696a218ad85cb2dace Description: Java telnet/ssh applet - documentation JTA is a Java implementation of Telnet and ssh. . JTA may be run as a standalone application (using class de.mud.jta.Main), or as a Java Applet (class de.mud.jta.Applet). . It's modular structure allows one to configure the software to act either as a sophisticated terminal emulation and/or, adding the network backend, as telnet implementation. Additional modules provide features like scripting or an improved graphical user interface. . This package provides the API documentation for JTA. Homepage: http://www.javassh.org/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/j/jta/jta-doc_2.6+dfsg-5_all.deb Package: jtb Version: 1.4.4-2 Installed-Size: 626 Maintainer: Ludovico Cavedon Architecture: all Depends: default-jre | java5-runtime | java6-runtime, jarwrapper (>= 0.5) Size: 516178 SHA256: 76f73d3a8755ea69fa03ae8d924b5203ec8dc92c7b796654c8d03771ecfa4f64 SHA1: 721af33eb24d88eee3f3e16e5b4f94f670229991 MD5sum: cdfa040583f95ac45109242552223fae Description: syntax tree builder and visitors generator for JavaCC JTB (Java Tree Builder) is a syntax tree builder and visitors generator to be used in front of JavaCC (Java Compiler Compiler). It takes a JavaCC grammar file as input (usually a ".jtb" file) and automatically generates the following: * a set of syntax tree classes based on the productions in the grammar, utilizing the Visitor design pattern; * four interfaces: IVoidVisitor, IVoidArguVisitor, IRetVisitor, IRetArguVisitor; * four depth-first visitors: DepthFirstVoidVisitor, DepthFirstVoidArguVisitor, DepthFirstRetVisitor, DepthFirstREtArguVisitor, whose default methods simply visit the children of the current node; * a JavaCC grammar ".jj" file (jtb.out.jj by default), with the proper annotations to build the syntax tree during parsing (which then must be compiled with JavaCC). . New visitors, which subclass any generated one, can then override the default methods and perform various operations on and manipulate the generated syntax tree. Homepage: http://eclipse-javacc.sourceforge.net/jtb_doc.html Section: devel Priority: optional Filename: pool/main/j/jtb/jtb_1.4.4-2_all.deb Package: jtex-base Version: 2.1-1.1 Installed-Size: 3020 Maintainer: TSUCHIYA Masatoshi Architecture: all Depends: texlive-binaries | texlive-base-bin Recommends: jtex-bin Conflicts: jtex-bin (<= 1.9.1) Size: 113310 SHA256: cbfdaec4219c030fc03d2d7826147bbd8d303bbc8b0cb4bafe3cbe360cd1175a SHA1: ed462104487ed0e18030fd9fdfb415711b61e3e0 MD5sum: 9ce149edf8143261ede096409dee11d9 Description: basic NTT JTeX library files NTT JTeX is a localized TeX implementation for Japanese text processing. Tag: culture::japanese, role::shared-lib, use::editing, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/j/jtex-base/jtex-base_2.1-1.1_all.deb Package: jtreg Version: 4.1-2 Installed-Size: 624 Maintainer: Debian Java Maintainers Architecture: all Depends: javahelp2, libjtharness-java, libxalan2-java Size: 435542 SHA256: b560826c3f7df5f10614a8a62cee4fa6c7ec2d1e7dcf0aa9360867ee2b5d461e SHA1: 3c514dd935d71b3f83c09fcfe76eca3039f9acd3 MD5sum: 7257c720910e5380a8783aee35bc2d11 Description: Regression Test Harness for the OpenJDK platform jtreg is the test harness used by the OpenJDK test framework. This framework is intended primarily for regression tests. It can also be used for unit tests, functional tests, and even simple product tests -- in other words, just about any type of test except a conformance test, which belong in a TCK. Homepage: http://openjdk.java.net/jtreg/index.html Section: java Priority: optional Filename: pool/main/j/jtreg/jtreg_4.1-2_all.deb Package: juffed Version: 0.8.1-1 Architecture: armhf Maintainer: Maia Kozheva Installed-Size: 1350 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqscintilla2-8, libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Homepage: http://juffed.sourceforge.net Priority: optional Section: editors Filename: pool/main/j/juffed/juffed_0.8.1-1_armhf.deb Size: 481620 SHA256: 6a02c2b50296ab30d65a181deb1aea3024046c40268e90abc8d06e93b0a1fc5f SHA1: 6e8bdf3a165eb35b79c8d4ce702e1edac4ca55a8 MD5sum: cfd0b0fdd2eaedfc3d92a8d0d2121be3 Description: Lightweight Qt 4 text editor JuffEd is a simple, cross-platform text editor written using the Qt 4 toolkit and the QScintilla library. It supports most features found in modern text editors, including syntax highlighting, bracket matching, switching encodings, sessions, and a plugin API. Package: juju Version: 0.5.1-2 Installed-Size: 3112 Maintainer: Clint Byrum Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-twisted, python-txzookeeper (>= 0.9.5~), python-txaws, python-yaml, openssh-client, tmux, python-oauth Recommends: python-pydot, byobu Suggests: apt-cacher-ng, lxc, libvirt-bin, zookeeper Size: 526774 SHA256: f0fccc4366bd99b51cc9d30670430d79f147aecf2b1ec47b5b6075a0f1fdb3c8 SHA1: f62aa1ca1e7df98ac05d3b0b47c58368c18090ce MD5sum: 9b65dc0ea4565d921d896d344c3848ef Description: next generation service orchestration system Juju is a next generation service orchestration framework. It has been likened to APT for the cloud. With Juju, different authors are able to create service formulas, called charms, independently, and make those services coordinate their communication and configuration through a simple protocol. Homepage: https://launchpad.net/juju Python-Version: 2.6, 2.7 Section: net Priority: optional Filename: pool/main/j/juju/juju_0.5.1-2_all.deb Package: juk Source: kdemultimedia Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1421 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkde3support4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libphonon4 (>= 4:4.6.0really4.3.80), libqt4-dbus (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6), libtag1c2a (>= 1.6-2~), phonon Suggests: k3b Homepage: http://www.kde.org/ Priority: optional Section: sound Filename: pool/main/k/kdemultimedia/juk_4.8.4-2_armhf.deb Size: 693832 SHA256: 147276c0dbac38ac3e98d0f7d21af88ecaaaf14750178a76c117c91ac9ab0d2b SHA1: e253dc973c0e2ce41526c99e20312b19bbce79ff MD5sum: 256e41826fb9a684864053f4d0aff18f Description: music jukebox / music player JuK is a powerful music player capable of managing a large music collection. . Some of JuK's features include: * Music collection, playlists, and smart playlists * Tag editing support, including the ability to edit multiple files at once * Tag-based music file organization and renaming * CD burning support using k3b * Album art using Google Image Search . This package is part of the KDE multimedia module. Package: juke Version: 0.7-4 Architecture: armhf Maintainer: Andrea Tacchetti Installed-Size: 75 Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5 Recommends: mpg321, sox, vorbis-tools Suggests: xmp Priority: extra Section: sound Filename: pool/main/j/juke/juke_0.7-4_armhf.deb Size: 17924 SHA256: 2bd8f970b57bcf88ef4b25ff94558e49b9a2d4ba7725a791411d2b4e0fb0a8a9 SHA1: da1eafc95dcef1d6c29110da270db22696997e90 MD5sum: 2196596e94dded3ee6bb4dece5be6451 Description: A curses-based jukebox program Juke is a simple curses/ ncurses based juke box program for Unix computers. It uses command line based players to play all kinds of music format. Package: juman Version: 5.1-2.1 Architecture: armhf Maintainer: TSUCHIYA Masatoshi Installed-Size: 5279 Depends: libc6 (>= 2.13-28), libjuman4 Suggests: juman-dic Priority: optional Section: misc Filename: pool/main/j/juman/juman_5.1-2.1_armhf.deb Size: 1723262 SHA256: 2048cf65cdcb3d78e0604fa36e370b357e3719c9f83a715a45ed29811b4094e0 SHA1: 35bd2f4ff813db0d1e1f4fe771219c2d1c7f910f MD5sum: 889fb1c1e1142416875de5e706aecfe2 Description: Japanese morphological analysis system Juman is a morphological analysis system. It reads Japanese sentences from the standard input, segments them into morpheme sequences, and outputs them to the standard output with many additional pieces of information (pronunciation, semantic information, etc). Package: juman-dic Source: juman Version: 5.1-2.1 Installed-Size: 4732 Maintainer: TSUCHIYA Masatoshi Architecture: all Size: 771162 SHA256: 1912e436c83d19e8a1e97502d161f2ea8a5a8f8a22660427081c57707d2371f1 SHA1: 7a8b504bae87ba319156fbd572643ffc4bc26258 MD5sum: 03fc34f7fe5785bb37bb8dc48c2ef8f9 Description: Juman dictionary in text format This package provides Juman dictionary written in text format. Tag: culture::japanese, field::linguistics, made-of::dictionary, role::app-data Section: misc Priority: optional Filename: pool/main/j/juman/juman-dic_5.1-2.1_all.deb Package: jumpapplet Source: autojump Version: 20-2 Installed-Size: 86 Maintainer: Tanguy Ortolo Architecture: all Depends: python, python-gtk2, autojump Size: 9906 SHA256: e84d5a923a9ee5dff40578f46951801163dae4d80a6b7902ed871ddd95ca5cb0 SHA1: 1fb2c995fd1e556b522b84be3199f83ec744768e MD5sum: ed7bb5b5acc06f56373110491276e788 Description: autojump notification icon, to jump to frequently used directories jumpapplet is an applet that provides a notification icon based on autojump. It allows you to open a shell or a file manager window for frequently used directories. Homepage: http://wiki.github.com/joelthelion/autojump/ Tag: implemented-in::python, interface::x11, role::program, scope::utility, uitoolkit::gtk Section: x11 Priority: optional Filename: pool/main/a/autojump/jumpapplet_20-2_all.deb Package: jumpnbump Version: 1.50+dfsg1-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 617 Depends: libc6 (>= 2.13-28), libsdl-mixer1.2, libsdl-net1.2, libsdl1.2debian (>= 1.2.11), imagemagick, python, python-glade2, python-gtk2 Suggests: jumpnbump-levels Homepage: http://jumpbump.mine.nu/ Priority: optional Section: games Filename: pool/main/j/jumpnbump/jumpnbump_1.50+dfsg1-3_armhf.deb Size: 310762 SHA256: f72e1cddf1bf7e0fc34cd8cc8f893943339cef4cc435c55aeb6937e4db5306c8 SHA1: ce7e8c0a55c02a638a68bf34001bda3bfa1c087a MD5sum: 4e9b01a6ddb0fe4b9faef1414e55aab0 Description: cute multiplayer platform game with bunnies You, as a bunny, have to jump on your opponents to make them explode. It's a true multiplayer game, you can't play this alone. It has network support. . This program is a unix port of the old DOS game by brainchilddesign. Package: jumpnbump-levels Version: 20091107 Installed-Size: 5472 Maintainer: Debian Games Team Architecture: all Depends: jumpnbump Size: 1626378 SHA256: bd221d5a6834ea75be1c4e045933de781d213ac9898371079da5937310da4b83 SHA1: 59dbbfe73f8f8ed70eefe860b58640c866abc095 MD5sum: 735ce94d84f21f8d6e9b4c93b35117a5 Description: cute multiplayer platform game with bunnies (extra levels) You, as a bunny, have to jump on your opponents to make them explode. This package contains fifteen extra levels. Homepage: http://jumpbump.mine.nu/ Tag: game::arcade, game::platform, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/j/jumpnbump-levels/jumpnbump-levels_20091107_all.deb Package: junior-arcade Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: bugsquish, bumprace, circuslinux, cuyo, funnyboat, heroes-ggi | heroes-sdl, holotz-castle, jumpnbump, koules, lbreakout2, madbomber, supertux, tuxmath, vodovod, xsoldier Suggests: anagramarama Size: 5392 SHA256: f2050bc1991a6eb1d5ada257046e3b69d5febe2cdb1d639d8e3957d6ba3bdd90 SHA1: 028aa486af081903612f34e5d266616c92ddaf71 MD5sum: 5b6d8b6a92e5caf6bbe3c861009a9640 Description: Debian Jr. arcade games This metapackage will install arcade games suitable, in the opinion of the contributors to the Debian Jr. project, for children of all ages. Mastering most of these games requires dexterity and cognitive skills usually developed only in the older children (around ages 5 to 8). But the youngest children may enjoy watching older players, or just poking randomly at the controls, depending on the game. Tag: game::arcade, junior::meta, role::dummy, role::metapackage, use::gameplaying Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-arcade_1.20_all.deb Package: junior-art Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: gimp, tuxpaint, xpaint Size: 5368 SHA256: 24ec0416be1b06a1aed44275c6f66c83a2f6fc09dc74e2fa84016983e1730787 SHA1: 4a8cfc822cc5bb1a5bfcf5bbf35f13efd633fea4 MD5sum: 500072c57f10879ff44d2b0ecd132899 Description: Debian Jr. Art Tools for children to produce artwork. The simplest of these is tuxpaint, which is designed for small children. It features sounds and easy to use controls. For older children, there are gimp and xpaint. While gimp is more complex, and is aimed at the graphic artist, it is not necessarily much harder to use than the older, less full-featured xpaint. Children starting out with just a small subset of the functions of these tools eventually pick up quite a number of new things as they explore, either with adults or other children with whom they share their computers, or on their own. Tag: interface::x11, junior::meta, role::metapackage, use::editing, works-with::image, works-with::image:raster, x11::application Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-art_1.20_all.deb Package: junior-config Source: debian-junior Version: 1.20 Installed-Size: 88 Maintainer: Debian Junior Architecture: all Depends: adduser, debconf (>= 0.5) | debconf-2.0, menu, blends-common (>= 0.6.15) Size: 5736 SHA256: 0a488c981257d74c811e9bc9e8f444ec5a0acd73350afcf384aff0e41ab12986 SHA1: ba149fcd2e7257c3dd118ad6b219df26d1570e3d MD5sum: ea3a02758df64c20de7a871dfcc51e06 Description: Debian Jr. Project common package This package builds the basic infra structure of all junior-* packages. . This package provides some files which are common to all junior-* packages. Moreover it introduces a method to handle system users in a group junior using debconf. Tag: suite::debian Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-config_1.20_all.deb Package: junior-doc Version: 1.16.1 Installed-Size: 164 Maintainer: Ben Armstrong Architecture: all Suggests: www-browser, postscript-viewer Size: 38962 SHA256: 04299406214f939cacfb8b12c8234e430b3091997a94976ba5b57496f49d1713 SHA1: a8be04d1f4e7009d25e89d66e1ae81c97685ac14 MD5sum: 6ad3cbc15b6c0ba394421bcda2fa2a0a Description: Debian Jr. Documentation Includes, for the moment, just the "Quick Guide" which helps a new user of Debian Jr. get started. The intention of the Debian Jr. working group is to provide a variety of additional documents to help children and sys admins alike with the installation, configuration, and use of a Debian Jr. system. Tag: junior::meta, made-of::html, made-of::postscript, role::documentation Section: doc Priority: extra Filename: pool/main/j/junior-doc/junior-doc_1.16.1_all.deb Package: junior-games-card Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: ace-of-penguins, kpat, sgt-puzzles, xsol Suggests: spider Size: 5236 SHA256: fe33da28301b1776a5279f27570907c6bd6628a3ec0951a9ec74ca3d46a02004 SHA1: 91b24a7b934d7226b60fab78ace17ceecaf415bf MD5sum: 7e9a5c3cc7faf954d4a3a9c6badb224c Description: Debian Jr. Card Games A collection of card games, for the time being just solitaire, which are probably more suited to older children than young, although the "memory" type games in pysol may appeal to children just entering grade school. Tag: game::card, interface::x11, junior::meta, role::dummy, role::metapackage, use::gameplaying, x11::application Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-games-card_1.20_all.deb Package: junior-games-gl Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: armagetronad, bzflag, extremetuxracer, gltron, neverball, supertuxkart, torcs, trackballs Suggests: platinumarts-sandbox Size: 5400 SHA256: fcd14dd32fe56879008d63bfb21416aac1b7c3be5c8f348da5f7ef0839414300 SHA1: 32c9d1797acc467542b77f0649c0daa501af7413 MD5sum: 82958ee6f7bca60a3ddec7ad4d5aa09c Description: Debian Jr. 3D Games (hardware acceleration required) These games all use OpenGL libraries. They will not work without decent 3D graphics cards providing hardware-accelerated OpenGL. . While armagetron and gltron are different interpretations of the game depicted in the classic 3D-animated movie "Tron", Tux Racer is an all-original made-for-Linux 3D racing game. Unlike the standard blood-and-guts fare in the 3D games universe, all of these games are suitable for children. Tag: game::arcade, hardware::opengl, interface::3d, junior::meta, role::dummy, role::metapackage, use::gameplaying, x11::application Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-games-gl_1.20_all.deb Package: junior-games-net Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: xblast-tnt, xblast-tnt-levels, xblast-tnt-musics, xblast-tnt-sounds, xpilot-ng Size: 5260 SHA256: 581b528537c4e08cecdc41cf0cc07c4b73640347654591902d92d25b30c027eb SHA1: a6b8f2ae09fe2a838207401ba93b66f25e6fc8b7 MD5sum: 0cde1ec6d2a819603e370d6f919f1248 Description: Debian Jr. Network Games While XPilot has a broad international community of players (mostly on high-bandwidth connections) it can be enjoyed on a home network as well. XBlast, on the other hand, achieves networking using the X protocol, so it is best suited to a local network. Tag: interface::x11, junior::meta, network::service, role::dummy, role::metapackage, use::gameplaying, x11::application Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-games-net_1.20_all.deb Package: junior-games-sim Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: freeciv-client-gtk | freeciv-client-sdl, freecol, lincity-ng | lincity Size: 5172 SHA256: 4325b57cc9496053d9d083b3525625993efa44daaa9863d973d2032ea3e39afc SHA1: a4d329768fe7f51437e05840b1ea9ed2559141d9 MD5sum: 9d71d9d0a12cf16d95cc17c84ec9f587 Description: Debian Jr. Simulation Games Simulation games tend to be rather complex, so they are recommended for older children, and even then some help from someone older may be needed. Tag: interface::x11, junior::meta, role::dummy, role::metapackage, use::gameplaying, x11::application Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-games-sim_1.20_all.deb Package: junior-games-text Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: animals, bsdgames, moon-buggy | moon-buggy-esd, nettoe, zivot Size: 5220 SHA256: 41d37259f2c1bf858df8758dbb9b4519d063723a854ffbb958c5668c1764f913 SHA1: 86c4e6939c0d8aaf6b549678b9d6c0ef9ef765de MD5sum: b44832a0333720943e85261afcf90053 Description: Debian Jr. Text Games The principal virtue of these games is their simplicity. They will run on any hardware, and some of them are simple enough to control so that very young children can enjoy them (e.g. snake) Tag: interface::text-mode, junior::meta, role::dummy, role::metapackage, use::gameplaying Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-games-text_1.20_all.deb Package: junior-gnome Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: gcompris, gworldclock, mathwar Size: 5342 SHA256: 7488d33129ac1373608f79895e25edcb72ac9e84ff0361f2dc20b00f090afe35 SHA1: 35db8b1673bc190cd8dfe583032e90598d6e0688 MD5sum: 32bc64966324c1d56e7a4ac2cbbc1afa Description: Debian Jr. for GNOME This package includes a collection of programs for children which are built for GNOME. A GNOME desktop is not required in order to run these. They are kept separate merely so that installing a Debian Jr. system won't drag in all kinds of GNOME dependencies. . As such, this package is by no means a complete collection of programs suitable for children in GNOME. The Debian Jr. project will be adding to this list in future release, and likely refining the package into categories the way the rest of Debian Jr. packages are now organized. Tag: interface::x11, junior::meta, role::metapackage, suite::gnome, uitoolkit::gtk, x11::application Section: gnome Priority: extra Filename: pool/main/d/debian-junior/junior-gnome_1.20_all.deb Package: junior-internet Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: iceweasel Size: 5228 SHA256: 7e185ff0cc6fd760f67083baeed31a4642d05864b2ffb122a03900b55cc4bf0c SHA1: 44e40bb92288148acc2a9a6fb05b4b2d221dbb13 MD5sum: 39438e3da21fe1e8bcd6cb757be0132a Description: Debian Jr. Internet tools For children, a wide variety of Internet tools are not necessary to get started. Most users will find the Iceweasel web browser covers their needs. As children's familiarity and sophistication of use of the Internet develops, you will probably want to add more Internet clients to the child's system. Tag: interface::x11, junior::meta, protocol::http, role::metapackage, use::browsing, web::browser, x11::application Section: net Priority: extra Filename: pool/main/d/debian-junior/junior-internet_1.20_all.deb Package: junior-kde Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: kig, kstars, ktuberling Size: 5334 SHA256: 20932663d78d96636425294fc7940909864d9e81b0f76d8fa70539ad7033656e SHA1: 1b36d221fbfed5e5998cd4b6ba8292b5a57d1615 MD5sum: ea06c0c2f47729710c261f2ec8363ea0 Description: Debian Jr. for KDE This package includes a collection of programs for children which are built for KDE. A KDE desktop is not required in order to run these. They are kept separate merely so that installing a Debian Jr. system won't drag in all kinds of KDE dependencies. . As such, this package is by no means a complete collection of programs suitable for children in KDE. The Debian Jr. project will be adding to this list in future releases, and likely refining the package into categories the way the rest of Debian Jr. packages are now organized. Tag: interface::x11, junior::meta, role::metapackage, suite::kde, uitoolkit::qt, x11::application Section: kde Priority: extra Filename: pool/main/d/debian-junior/junior-kde_1.20_all.deb Package: junior-math Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: bc, bsdgames, x11-apps, xaos Size: 5382 SHA256: a142baca3f049e15c05f15c9f58706b968848854bcbcc9635e2a45c8132470fe SHA1: 51cbe7571dbcb9cc951be283103bbafddd8bbbb7 MD5sum: 65978157ec24f2466d765fd3610ab0e9 Description: Debian Jr. educational math This metapackage will install educational math programs suitable for children. Some of the packages use mathematics that is well beyond the abilities of young children (e.g. fractals and cryptography), but hopefully using these let them gain an appreciation of the beauty of math from an early age. Other packages allow children to explore and learn math concepts in an engaging, interactive way. Some packages are more general, providing math activities as only one part of the package, e.g. bsdgames includes "arithmetic" in addition to other non-math games, and x11-apps provides xcalc. Tag: field::mathematics, junior::meta, role::metapackage, use::learning Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-math_1.20_all.deb Package: junior-programming Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: gvrng, kturtle, littlewizard Size: 5358 SHA256: c3d306f7cb8fe139ab6266ef6c6f6f434d2de7a8716b520266c568e5e042f3d4 SHA1: 05530e6503a86d19b81347862a8edb2cbc320ce6 MD5sum: 315631214a889329e35885d11ecf3eb9 Description: Debian Jr. programming The emphasis for this sampling of programming packages for children is first on simple interpreted languages. Also important for using languages with children are good documentation, and some ability to produce visual programs without too much effort. It is by no means a complete list, and you are encouraged to explore other languages with children as well, even those children. . The Logo language is specifically designed for children, with a strong emphasis on the visual and concrete. Littlewizard is an icon-based graphical programming language also designed for children. Tag: devel::lang:python, junior::meta, role::metapackage Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-programming_1.20_all.deb Package: junior-puzzle Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: frozen-bubble, gtans, hex-a-hop, lmemory, xjig Suggests: kartofel, stegavorto Size: 5242 SHA256: 0d716e4d8cdf184ea73c1c96ee4904c609ee195bfc73544c2bf123fdf776fb92 SHA1: d1eeb7a04bacc6bb2b3934a3dac1755d591cb807 MD5sum: 5fe7ac16b4b416244bbad72a0cc11f85 Description: Debian Jr. Puzzles Some puzzle-type games, from the more arcade-like and frozen-bubble to xjig (a jigsaw puzzle program), to lmemory (based on the classic "memory" card game). This sampling of packages was done in the hope that it will appeal to child and adult alike. Tag: game::puzzle, interface::x11, junior::meta, role::dummy, role::metapackage, use::gameplaying, x11::application Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-puzzle_1.20_all.deb Package: junior-sound Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: audacious, bplay, cdtool, vorbis-tools Size: 5210 SHA256: 7b8d081e3ad09c18d97f89efd780183fe76fb8cf32dd1bb9a0f13319e65dcbc2 SHA1: 249afd35ad130051b4e17ac5d086afcaad30702b MD5sum: ef3ffa84817e4b2a3373c8a6748ca6bc Description: Debian Jr. sound This metapackage will install a sampling of sound packages for a system that is used by children. While not all of these will be things that children will use directly, a child's system administrator can make use of them to ensure that the child gets the most out of their system. Tag: junior::meta, role::metapackage, use::playing, works-with::audio Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-sound_1.20_all.deb Package: junior-system Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: hello, lavaps, mc Size: 5514 SHA256: c34c8e3bebeb3a6496d62750d81b5f36b51580bb59b0706f4079c873d847411b SHA1: 8ba463fe2bb6e87be5dac1317256cc15be7e26c5 MD5sum: 49acc5f09bc54d60b34f5141056c6d2b Description: Debian Jr. System tools This package includes a few tools for helping children learn about and use their system. . Midnight Commander is more than just a file manager. It is shell with which children can explore and manage their own accounts. It can be a great help for the very young, as it saves them some typing (as compared with using a command-line shell directly). It is also packed with power and flexibility which can be tapped by older children and adults alike. . The 'hello' sample program can be used as an instructional aid, as an example of how to invoke programs from the shell, specify switches, use the man page, and so on. . Finally, lavaps not only provides a very pleasing way to visualize running processes and the resources they use, but can also make discovering and killing errant processes an easy thing to do for children who lack the ability yet to easily manage "ps" and "kill" from the command line. Tag: junior::meta, role::metapackage, use::browsing, works-with::software:running Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-system_1.20_all.deb Package: junior-tasks Source: debian-junior Version: 1.20 Installed-Size: 48 Maintainer: Debian Junior Architecture: all Depends: tasksel Size: 6768 SHA256: f1c6b59ec5416b33c35ef76fb80c929f00bfab863da5e6dce296d8e8ccaa4440 SHA1: 38de4a73435f8e73f29070bee2e156009d84266c MD5sum: d453839e26209d604244fb764fbf73c5 Description: Debian Jr. tasks for tasksel This package provides Debian Jr. tasks in tasksel. Tasksel is an alternate way to the metapackages approach to install packages which are intersting for the target group of Debian Jr. Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-tasks_1.20_all.deb Package: junior-toys Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: lletters, oneko, xfireworks, xfishtank, xpenguins, xplanet, xplanet-images, xteddy Size: 5184 SHA256: 6b26ce1b769042587e62c00f1e29f3a13d61bd200b7fdef8c7d40a7f1bf4156a SHA1: 9743a9da393be46c64f049edd2651e607ae25a16 MD5sum: b2e52460fa029deeae8d63acb148bc81 Description: Debian Jr. desktop toys This metapackage will install desktop toys suitable for children. The collection contains some packages which might enjoy children and make them love their computer. Tag: game::toys, interface::x11, junior::meta, role::dummy, role::metapackage, use::entertaining, x11::application Section: games Priority: extra Filename: pool/main/d/debian-junior/junior-toys_1.20_all.deb Package: junior-typing Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: gtypist, tuxtype Size: 5184 SHA256: 56b1092df9c6688efcddd4eecffc0f9f4f7a89c1874abc3d789f103c9765bc42 SHA1: 5f19e90551ff4fc31fe8115495e30b55aee5e9af MD5sum: 2d1c3a7e7932d677b9b1186b19376002 Description: Debian Jr. typing This metapackage will install typing tutors and typing games for various skill levels. This collection of packages was assembled in the hope that children become comfortable with the keyboard quickly while having fun learning it. Tag: game::typing, junior::meta, role::dummy, role::metapackage, use::gameplaying, use::learning Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-typing_1.20_all.deb Package: junior-writing Source: debian-junior Version: 1.20 Installed-Size: 68 Maintainer: Debian Junior Architecture: all Depends: junior-tasks (= 1.20), junior-config (= 1.20) Recommends: abiword, aspell, dict, nano Size: 5146 SHA256: f621c68fd59b5585d08982c3b5130fad34c9535934836fda0978f05b661648b9 SHA1: d08820a8f3ccab5c010af204f3bade9e0c950fb7 MD5sum: 261d419536dab1f752940d07379eb5ba Description: Debian Jr. writing This metapackage will install tools for your budding young writer. A text editor, spell-checker, dictionary client and word processor are provided. Tag: junior::meta, role::metapackage, use::checking, use::editing, works-with::dtp, works-with::text Section: misc Priority: extra Filename: pool/main/d/debian-junior/junior-writing_1.20_all.deb Package: junit Version: 3.8.2-8 Installed-Size: 216 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jre (>= 1.4) | default-jre-headless (>= 1.4) | java2-runtime | java2-runtime-headless Suggests: junit-doc Enhances: ant-optional Size: 107422 SHA256: dea247ff683848df8ed8f6dae5f230dffc4f20ddf2117c44e1c580349aad7c54 SHA1: 14e0e7e72a12a2d675ffadcbe73c3e18e8650b05 MD5sum: 70d519d4dfe2e6e42f0768f6d399530b Description: Automated testing framework for Java JUnit is a simple framework for writing and running automated tests. As a political gesture, it celebrates programmers testing their own software. Homepage: http://www.junit.org Tag: devel::lang:java, devel::library, devel::testing-qa, implemented-in::java, interface::commandline, role::devel-lib, role::program, role::shared-lib, scope::utility Section: java Priority: optional Filename: pool/main/j/junit/junit_3.8.2-8_all.deb Package: junit-doc Source: junit Version: 3.8.2-8 Installed-Size: 1340 Maintainer: Debian Java Maintainers Architecture: all Suggests: junit Size: 99748 SHA256: dd3c9efcdf048687f8aa59022fe1b866a3581b1a715677335a427cb050057b7c SHA1: e9341caaa9654ccadd345ed70ceaeffe3d71c236 MD5sum: b596ef23a8eb03affde047562353a05e Description: Document for JUnit This package provide documents and examples for JUnit which is a simple framework for writing and running automated tests. Homepage: http://www.junit.org Tag: devel::doc, devel::examples, devel::lang:java, interface::commandline, role::documentation Section: doc Priority: optional Filename: pool/main/j/junit/junit-doc_3.8.2-8_all.deb Package: junit4 Version: 4.10-3 Installed-Size: 251 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java5-runtime-headless, libhamcrest-java Size: 217310 SHA256: 2b34ddc708b7d7df30a80dce19eeb56aa22bf4ed077546ba76a6d0f958a7b454 SHA1: b5202c2d107be7acae8fa780128ab34ee3dd3632 MD5sum: 2e24aa73b2e0b1721fbd7df6c44541f4 Description: JUnit regression test framework for Java JUnit is a simple framework to write repeatable tests. It is an instance of the xUnit architecture for unit testing frameworks. . JUnit 4 uses Java 5 features such as generics and annotations. If you need compatibility with previous Java versions, you should use the junit package (version 3) instead. Homepage: http://www.junit.org/ Tag: devel::lang:java, devel::library, devel::testing-qa, implemented-in::java, interface::commandline, role::devel-lib, role::shared-lib, scope::utility Section: java Priority: optional Filename: pool/main/j/junit4/junit4_4.10-3_all.deb Package: junit4-doc Source: junit4 Version: 4.10-3 Installed-Size: 3014 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc, libhamcrest-java-doc Suggests: junit4 Size: 343928 SHA256: 35039d4414a322293c0a137e6c0f7014958690047ae26b4a1a3351d1b746502a SHA1: bd4600a340ee873efb6d14d20a56766b5d5bda5c MD5sum: cbc685e10506884de01b085c03bbd011 Description: JUnit regression test framework for Java - documentation JUnit is a simple framework to write repeatable tests. It is an instance of the xUnit architecture for unit testing frameworks. . JUnit 4 uses Java 5 features such as generics and annotations. If you need compatibility with previous Java versions, you should use the junit package (version 3) instead. . This package contains Javadoc API documentation of JUnit 4. Homepage: http://www.junit.org/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/j/junit4/junit4-doc_4.10-3_all.deb Package: junkfilter Version: 20030115-4 Installed-Size: 212 Maintainer: Santiago Vila Architecture: all Depends: procmail Size: 44938 SHA256: cefb5cdf6edb86748514a315a0b582ffc9fad7ed4a9895b482c00dfed59e50a8 SHA1: f54fb826b8b17092a9c718709e3c4e99947e5e29 MD5sum: 456e03aca007d58582b41beca9bdc335 Description: A junk-email filtering program for procmail This package uses procmail filtering algorithms to detect junk email. This results in internal procmail variables being assigned to indicate the reason why the email has been classified as junk. The user can then use those variables within their .procmailrc file to deal with the junk in any way they see fit. There are a number of filters available in the package, and can be selected from a simple config file on a per-user basis. Homepage: http://junkfilter.zer0.org/ Tag: mail::filters, role::program, use::filtering, works-with::mail Section: mail Priority: optional Filename: pool/main/j/junkfilter/junkfilter_20030115-4_all.deb Package: jupp Version: 3.1.21-1 Architecture: armhf Maintainer: Thorsten Glaser Installed-Size: 559 Depends: libc6 (>= 2.13-28), libselinux1 (>= 1.32), libtinfo5 Breaks: joe (<< 3.7-2.3~) Provides: editor Multi-Arch: foreign Homepage: https://www.mirbsd.org/jupp.htm Priority: optional Section: editors Filename: pool/main/j/jupp/jupp_3.1.21-1_armhf.deb Size: 229410 SHA256: f05f827dca05f0d85d6ace6dcb89051306073f20e6562a74f52864b2246ef21a SHA1: 984ca2bae4eb5b7dcf6c87d995d8c29606cd0df3 MD5sum: 39892781132a6564b208f260c9eb256f Description: user friendly full screen text editor Joe, the Joe's Own Editor, has the feel of most PC text editors: the key sequences are reminiscent of WordStar and Turbo C editors, but the feature set is much larger than of those. Joe has all of the features a Unix user should expect: full use of termcap/terminfo, complete VI-style Unix integration, a powerful configuration file, and regular expression search system. It also has eight help reference cards which are always available, and an intuitive, simple, and well thought-out user interface. . Joe has a great screen update optimisation algorithm, multiple windows (through/between which you can scroll) and lacks the confusing notion of named buffers. It has command history, TAB expansion in file selection menus, undo and redo functions, (un)indenting and paragraph formatting, filtering highlighted blocks through any external Unix command, editing a pipe into or out of a command, and block move, copy, delete or filter. . Through simple QEdit-style configuration files, Joe can be set up to emulate editors such as Pico and Emacs, along with a complete imitation of WordStar, and a restricted mode version (lets you edit only the files specified on the command line). Joe also has a deferred screen update to handle typeahead, and it ensures that deferral is not bypassed by tty buffering. It's usable even at 2400 baud, and it will work on any kind of sane terminal. Furthermore, it supports SELinux context copying on Debian systems with the Linux kernel. . This version of JOE only comes with the Jupp flavour, to not conflict with the Debian joe package, activated. The resource files and executable links and menu entries for the other flavours are still available, though, in the joe-jupp package. Package: jvim-canna Source: jvim Version: 3.0-2.1b-3 Architecture: armhf Maintainer: Teruyuki Morimura Installed-Size: 522 Depends: libc6 (>= 2.13-28), libcanna1g, libncurses5 (>= 5.5-5~), libtinfo5, libx11-6 Homepage: http://hp.vector.co.jp/authors/VA003457/vim/ Priority: optional Section: editors Filename: pool/main/j/jvim/jvim-canna_3.0-2.1b-3_armhf.deb Size: 209832 SHA256: 0af7705fd8e5330e4f2a313c46afa1f0db1fc0572196865f6331659de3daa88a SHA1: 889fc25ce2cd636927e31f2b096efb713381a295 MD5sum: df08f6f094f45ccfe1aabc7f7b7f7f2a Description: Japanized VIM (Canna version) JVIM 3.0 is Japanized VIM (VI clone editor) by K.Tsuchida on Dec 24, 2002 (patch version 2.1b). This editor can utilize the canna-server in "canna" package directly without other extra libraries (i.e. libonew) in order to enter "Kanji" from kon (Kanji Linux cONsole) in kon2 package. Package: jvim-doc Source: jvim Version: 3.0-2.1b-3 Installed-Size: 456 Maintainer: Teruyuki Morimura Architecture: all Size: 235880 SHA256: 305a01e17b1d9ea693afe654a222b756878419e9d594e4940ea4a18fc484d25e SHA1: 57ab00de44af5caaab54d0944f5a4a927db5cd77 MD5sum: fb8110a6bbffb3a90bab742d7f7161d1 Description: Documentation for jvim (Japanized VIM) JVIM 3.0 is Japanized VIM (VI clone editor) by K.Tsuchida on Dec 24, 2002 (patch version 2.1b). Homepage: http://hp.vector.co.jp/authors/VA003457/vim/ Tag: culture::japanese, role::documentation Section: doc Priority: optional Filename: pool/main/j/jvim/jvim-doc_3.0-2.1b-3_all.deb Package: jvm-7-avian-jre Source: avian Version: 0.6+20121011-1 Architecture: armhf Maintainer: OpenJDK Team Installed-Size: 702 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4), openjdk-7-jre-headless Homepage: http://oss.readytalk.com/avian/ Priority: extra Section: java Filename: pool/main/a/avian/jvm-7-avian-jre_0.6+20121011-1_armhf.deb Size: 251408 SHA256: 3611a36422b8f74c313eda1e59ec2e397fbc94595f1488df5d6acf327abbd79f SHA1: 30fdd2683d559a298bba66941bca82cc24d963ca MD5sum: 83e037197bc1840e556b631998aecf54 Description: lightweight virtual machine using the OpenJDK class library Avian is a lightweight virtual machine and class library designed to provide a useful subset of Java's features, suitable for building self-contained applications. . The VM is implemented from scratch and designed to be both fast and small. . - Just-In-Time (JIT) compilation for fast method execution. - Generational, copying garbage collection ensures short pause times and good spatial locality. - Thread-local heaps provide O(1) memory allocation with no synchronization overhead. - Null pointer dereferences are handled via OS signals to avoid unnecessary branches. Package: jwchat Version: 1.0+dfsg-1.1 Installed-Size: 4094 Maintainer: Debian XMPP Maintainers Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, apache2 | httpd, ucf, libjs-jac, libjs-xmlextras, libjs-debugger Recommends: ejabberd Size: 1007854 SHA256: 0b64b2bbcb82d93d546ca836fa253b624a7bcb67358f37e869d90601d605cae7 SHA1: c2e318c9f830466bbb0f588c4ea8d764b0b3795f MD5sum: c6b8cbe1d92bdbbd92367a64098ed312 Description: a full featured, web-based Jabber chat client JWChat is a full featured, web-based Jabber client. Written using AJAX technology it relies on JavaScript and HTML at the client-side only. It supports basic jabber instant messaging, roster management and groupchats based on the MUC protocol . JWChat is an advanced instant messenger (IM) just like AIM, MSN Messenger, Yahoo! Messenger or ICQ. This means you can manage your contacts, communicate with other users in 1:1 chat sessions or join a groupchat room for collaborative communication. Unlike other IMs you can use this with your web browser without having to install any additional software at all. . All of your settings, bookmarks and your contact list are stored on the server side. This means that you have access to your personalized client from almost any computer as long as it's got access to the internet. Homepage: http://jwchat.sourceforge.net/ Tag: protocol::jabber, use::chatting Section: web Priority: optional Filename: pool/main/j/jwchat/jwchat_1.0+dfsg-1.1_all.deb Package: jwhois Version: 4.0-2.1 Architecture: armhf Maintainer: Paul Dwerryhouse Installed-Size: 412 Depends: libc6 (>= 2.13-28), libgdbm3 (>= 1.8.3), dpkg (>= 1.15.4) | install-info, adduser, dpkg (>= 1.9.0) Recommends: lynx Conflicts: suidmanager (<< 0.50) Homepage: http://www.gnu.org/software/jwhois/jwhois.html Priority: optional Section: net Filename: pool/main/j/jwhois/jwhois_4.0-2.1_armhf.deb Size: 92512 SHA256: 5495aa52ce6c85649bf4211ee792d13787b0f5de965b291ce12abafaa6c4be42 SHA1: e35355efc9e66785ddbe424e26a8d370a689c40d MD5sum: 1ae025c0a1519363d202ab0b1184df1b Description: Improved Caching Whois client GNU jwhois is an Internet Whois client that queries hosts for information according to RFC 954 - NICNAME/WHOIS. JWHOIS is configured via a configuration file that contains information about all known Whois servers. Upon execution, the host to query is selected based on the information in the configuration file. . The configuration file is highly customizable and makes heavy use of regular expressions. Package: jwm Version: 2.1.0-3 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 262 Depends: libc6 (>= 2.13-28), libfribidi0 (>= 0.19.2), libpng12-0 (>= 1.2.13-4), libx11-6, libxext6, libxft2 (>> 2.1.1), libxinerama1, libxpm4, libxrender1, rxvt-unicode-256color | rxvt-unicode | rxvt-unicode-lite | gnome-terminal | konsole | x-terminal-emulator, iceweasel | www-browser Suggests: menu, x11-apps Provides: x-window-manager Homepage: http://www.joewing.net/programs/jwm Priority: optional Section: x11 Filename: pool/main/j/jwm/jwm_2.1.0-3_armhf.deb Size: 119326 SHA256: 6a873ab2bfd6403617711c73d74911612d9620a3d1cc4ebf51365d5f53bb0802 SHA1: bb3530fefb2316b7d759a580d7411c690512bddc MD5sum: b5207ec59bbc0661ae21e989e76ba553 Description: very small lightweight pure X11 window manager with tray and menus Low resource Window manager ideal for older PCs. It uses a minimum of external libraries, thus very little memory, includes virtual screens, menubar and root-menu popup. . JWM is a window manager for the X11 Window System. JWM is written in C and uses only Xlib and (optionally) the shape extension and libXpm. It can support some MWM, GNOME, and WM Spec hints. The menu configuration files are managed in XML format. . Compared to other light WM, like Fvwm95, the Jwm consumes considerably less memory while providing the same functionality. Package: jxplorer Version: 3.2.2~rc1+dfsg-3+deb7u1 Installed-Size: 1999 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre | java6-runtime, javahelp2, junit, java-wrappers (>= 0.1.14) Size: 1411908 SHA256: 843178af4338b12b04c87d33f454be6b655742f6f91c62951b657d2bf13085b3 SHA1: 4ca7b974f80c7d8e57604ff6e9d245a03a3a97a8 MD5sum: 496ad57f834477d1a720a1441fe01862 Description: Java LDAP Browser JXplorer is an open source LDAP browser originally developed by Computer Associates' eTrust Directory development lab. It is a standards compliant general purpose LDAP browser that can be used to read and search any LDAP directory, or any X500 directory with an LDAP interface. JXplorer is a fully functional piece of software with advanced security integration and support for the more difficult and obscure parts of the LDAP protocol. It should run on any java supporting operating system. Main features are: . * Standard LDAP operations: add/delete/copy/modify * Complex operations: tree copy and tree delete * Optional GUI based search filter construction * SSL and SASL authentication * pluggable editors/viewers * pluggable security providers * HTML templates/forms for data display * Full i18n support * LDIF file format support * highly user configurable * drag-n-drop browsing operation * DSML Support * handles complex LDAP cases: o multi valued rdns o binary attributes o Certificates and Passwords o Unicode characters o Special characters / UTF8 in distinguished names. * Extensible architecture with object class based Java plugins Homepage: http://jxplorer.org/ Section: admin Priority: optional Filename: pool/main/j/jxplorer/jxplorer_3.2.2~rc1+dfsg-3+deb7u1_all.deb Package: jython Version: 2.5.2-1+deb7u1 Installed-Size: 23548 Maintainer: Nicolas Duboc Architecture: all Depends: perl, default-jre-headless | java5-runtime-headless, libasm3-java, antlr3 (>= 3.1), libconstantine-java, libjnr-posix-java, libjffi-java, libjnr-x86asm-java, liblivetribe-jsr223-java, libguava-java, libjnr-netdb-java, libreadline-java (>= 0.8), libjline-java Suggests: jython-doc, libmysql-java, libpostgresql-jdbc-java, libservlet2.5-java Size: 9935548 SHA256: 93f2cd7f7ca47994c6b3cb736a7a46c3e5a1ab1630c66b4364a098f0b54d3dc5 SHA1: 979c2a4582e25c9e69abaa70e7c2c957dcb18ab8 MD5sum: bd893a67540a7f469c4a2af101e2162d Description: Python seamlessly integrated with Java Jython is an implementation of the high-level, dynamic, object-oriented language Python seamlessly integrated with the Java platform. The predecessor to Jython, JPython, is certified as 100% Pure Java. . Jython is complementary to Java and allows the two languages to be freely mixed. It is especially suited for embedded scripting, interactive experimentation and rapid application development, and it can interact with Java packages or with running Java applications. Homepage: http://www.jython.org/ Recommends: default-jdk | java-compiler Section: python Priority: optional Filename: pool/main/j/jython/jython_2.5.2-1+deb7u1_all.deb Package: jython-doc Source: jython Version: 2.5.2-1+deb7u1 Installed-Size: 19588 Maintainer: Nicolas Duboc Architecture: all Suggests: jython Size: 1566582 SHA256: 374c43888ce462d925092229e32bd1303ba1caa9c044276a994ef5e5f82bd9f1 SHA1: 62540615db969cc7e1fc56a2dec8a7f68c23beaf MD5sum: 378548698fa1f61dcf12e8b4b0c18bcd Description: Jython documentation including API docs Official documentation for Jython, an implementation of Python seamlessly integrated with Java. This includes detailed Jython usage information as well as the API documentation for the Jython classes. . This package only contains documentation specific to Jython; for general Python documentation including a Python language reference and tutorial, see Python web site : http://www.python.org/doc/2.2.3/ . Homepage: http://www.jython.org/ Recommends: w3m | www-browser Section: doc Priority: optional Filename: pool/main/j/jython/jython-doc_2.5.2-1+deb7u1_all.deb Package: jzip Version: 210r20001005d-2 Architecture: armhf Maintainer: Niko Tyni Installed-Size: 132 Depends: libc6 (>= 2.7), libtinfo5, zlib1g (>= 1:1.2.6) Suggests: zcode-game Provides: zcode-interpreter Homepage: http://jzip.sourceforge.net/ Priority: optional Section: games Filename: pool/main/j/jzip/jzip_210r20001005d-2_armhf.deb Size: 54498 SHA256: 4d24482d07d569167835c4516586ce19e94e8b68c086f25dbc9ed02e6f953073 SHA1: 6ee8b57f9c16c4247c74e0f320773bda6a4fcadf MD5sum: 42cc31b99169bb8c668aef3e03246ae0 Description: Text mode interpreter for Z-Code adventures This package provides the jzip Z-Code interpreter required to run Infocom or Inform-generated text adventures, although the format can be used for more than games. It is based on the zip interpreter sources and adapted by John D. Holder . . The ckifzs program, for checking the basic structure of save files against the QUETZAL standard, is also included, as is the jzexe program to create a standalone executable by gluing a Z-code file to jzip. Package: k3b Version: 2.0.2-6 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1654 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libk3b6 (= 2.0.2-6), libkcddb4 (>= 4:4.3.4), libkcmutils4 (>= 4:4.4.95), libkde3support4 (>= 4:4.4.4), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.5.85), libkfile4 (>= 4:4.7.0), libkio5 (>= 4:4.4.4), libknotifyconfig4 (>= 4:4.4.4), libqt4-qt3support (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libsolid4 (>= 4:4.4.4), libstdc++6 (>= 4.4.0), k3b-data (= 2.0.2-6), wodim | cdrskin, cdparanoia, cdrdao, genisoimage Recommends: libk3b6-extracodecs, dvd+rw-tools, vcdimager Suggests: k3b-extrathemes, k3b-i18n, normalize-audio, sox, movixmaker-2 Replaces: k3b-data (<< 1.66.0~alpha2-1) Homepage: http://www.k3b.org Priority: optional Section: utils Filename: pool/main/k/k3b/k3b_2.0.2-6_armhf.deb Size: 671630 SHA256: 4d3472a965220793057360a27aeadb7c7e775a24e9e399fcc1440c2f71f7fc22 SHA1: c9c5dbb88189cd98da1053388d04635e000a7c67 MD5sum: 3f2eb06536a0ae5a6dfdb566f879fbad Description: Sophisticated CD/DVD burning application K3b provides a comfortable user interface to perform most CD/DVD burning tasks. While the experienced user can take influence in all steps of the burning process the beginner may find comfort in the automatic settings and the reasonable k3b defaults which allow a quick start. Package: k3b-data Source: k3b Version: 2.0.2-6 Installed-Size: 10638 Maintainer: Debian KDE Extras Team Architecture: all Size: 4881822 SHA256: cfbd326644d6076a5278404ec3c8d925919e3cdc0b416d88b0d3f9e92e3678ed SHA1: 7f31c9c756ec177c7237f0e383bf0ec88e16cbcc MD5sum: a6a9304cbeb579233fdb257bf1081a37 Description: Sophisticated CD/DVD burning application - data files K3b provides a comfortable user interface to perform most CD/DVD burning tasks. While the experienced user can take influence in all steps of the burning process the beginner may find comfort in the automatic settings and the reasonable k3b defaults which allow a quick start. . This package contains the required data files common to all architectures. Homepage: http://www.k3b.org Tag: role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/k3b/k3b-data_2.0.2-6_all.deb Package: k3b-dbg Source: k3b Version: 2.0.2-6 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 22310 Depends: k3b (= 2.0.2-6) Homepage: http://www.k3b.org Priority: extra Section: debug Filename: pool/main/k/k3b/k3b-dbg_2.0.2-6_armhf.deb Size: 21773044 SHA256: 6e4a0d67e6dda87ab4af3c905070a16c7f26a333d88114e1bd29562d00ed403b SHA1: d6f391de5111733dd8bf0876319241b80c343d8d MD5sum: 04a2c2bf02e3bc9705f19e33f1f1a21a Description: Sophisticated CD/DVD burning application - debugging symbols K3b provides a comfortable user interface to perform most CD/DVD burning tasks. While the experienced user can take influence in all steps of the burning process the beginner may find comfort in the automatic settings and the reasonable k3b defaults which allow a quick start. . This package contains the debugging symbols associated with k3b. They will automatically be used by gdb for debugging k3b-related issues. Package: k3b-extrathemes Source: k3b Version: 2.0.2-6 Installed-Size: 854 Maintainer: Debian KDE Extras Team Architecture: all Replaces: k3b-data (<< 1.70.0~beta1) Size: 820044 SHA256: be2691f373732a1d5d4c2519b6dc184b7fad27e76153104eaee2f0342d6676d8 SHA1: e320e4729d39c5dcfa08b06549a9c15ea6530f8c MD5sum: cf811e77ba074cc010cebba3d4c96332 Description: Sophisticated CD/DVD burning application - extra themes K3b provides a comfortable user interface to perform most CD/DVD burning tasks. While the experienced user can take influence in all steps of the burning process the beginner may find comfort in the automatic settings and the reasonable k3b defaults which allow a quick start. . This package contains additional themes for K3b. Homepage: http://www.k3b.org Tag: role::app-data, suite::kde Section: utils Priority: optional Filename: pool/main/k/k3b/k3b-extrathemes_2.0.2-6_all.deb Package: k3b-i18n Source: k3b Version: 2.0.2-6 Installed-Size: 14623 Maintainer: Debian KDE Extras Team Architecture: all Depends: k3b Size: 1947896 SHA256: cfb73e657207952a48f1e8c26caabca70ffa49cd2a096cbc0d4dca922f806b78 SHA1: f41917dc71eab27cbe89cef9bfd047f97459dbfa MD5sum: 5987d623d287c906df496f99ae96ba2e Description: Sophisticated CD/DVD burning application - localizations files K3b provides a comfortable user interface to perform most CD/DVD burning tasks. While the experienced user can take influence in all steps of the burning process the beginner may find comfort in the automatic settings and the reasonable k3b defaults which allow a quick start. . This package contains translations files. Homepage: http://www.k3b.org Tag: role::app-data, suite::kde, uitoolkit::qt Section: localization Priority: optional Filename: pool/main/k/k3b/k3b-i18n_2.0.2-6_all.deb Package: k3d Version: 0.8.0.2-18 Architecture: armhf Maintainer: David Martínez Moreno Installed-Size: 54290 Depends: lib3ds-1-3, libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-python1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-test1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcairomm-1.0-1 (>= 1.6.4), libdbus-glib-1-2 (>= 0.78), libexpat1 (>= 2.0.1), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libglu1-mesa | libglu1, libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.24.0), libgtkglext1, libgtkmm-2.4-1c2a (>= 1:2.24.0), libgtksourceview2.0-0 (>= 2.10.0), libgts-0.7-5 (>= 0.7.6), libjpeg8 (>= 8c), libmagick++5 (>= 8:6.7.7.10), libode1, libopenexr6 (>= 1.6.1), libpangomm-1.4-1 (>= 2.27.1), libpng12-0 (>= 1.2.13-4), libpython2.7 (>= 2.7), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libuuid1 (>= 2.16), libx11-6, zlib1g (>= 1:1.1.4), k3d-data (= 0.8.0.2-18) Breaks: k3d-dev (<< 0.8.0.1) Replaces: k3d-dev (<< 0.8.0.1) Homepage: http://www.k-3d.org/ Priority: extra Section: graphics Filename: pool/main/k/k3d/k3d_0.8.0.2-18_armhf.deb Size: 14602748 SHA256: 389a5022319655d22a582b3eacbbc0da612787b8163cf089ea1df558567d50c5 SHA1: 25c2bf6d0c73adfb199121d539fb640b6925ac25 MD5sum: baa24de6aed55d4febb339ce332c8363 Description: 3D modeling and animation system, binary files K-3D is free-as-in-freedom 3D modeling and animation software. It combines flexible plugins with a visualization pipeline architecture, making K-3D a versatile and powerful tool for artists. . This package contains binaries and libraries. Package: k3d-data Source: k3d Version: 0.8.0.2-18 Installed-Size: 6219 Maintainer: David Martínez Moreno Architecture: all Replaces: k3d (<< 0.8.0.2-2) Depends: ttf-bitstream-vera (>= 1.10) Breaks: k3d (<< 0.8.0.2-2) Size: 2214522 SHA256: d0042acd538eb277575b95266cb6570dd44e6aca80f44eb7ced9d7561ec30feb SHA1: b8261fa8ae74adfd4cf82664432f466f86ff1ccc MD5sum: cc9432f04d2857d7d663d30e586717d0 Description: 3D modeling and animation system, data files K-3D is free-as-in-freedom 3D modeling and animation software. It combines flexible plugins with a visualization pipeline architecture, making K-3D a versatile and powerful tool for artists. . This package contains data needed by the binaries (shaders, GUI elements, ...). Homepage: http://www.k-3d.org/ Tag: role::app-data Section: graphics Priority: extra Filename: pool/main/k/k3d/k3d-data_0.8.0.2-18_all.deb Package: k3dsurf Version: 0.6.2.4-1 Architecture: armhf Maintainer: Cyril Brulebois Installed-Size: 3258 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.4.0) Homepage: http://k3dsurf.sourceforge.net/ Priority: optional Section: math Filename: pool/main/k/k3dsurf/k3dsurf_0.6.2.4-1_armhf.deb Size: 1407324 SHA256: 127b36cd8f787602fb6f41dadf266bba7a21208c6e91b7e2f89ce966b1bdfd73 SHA1: 650819a6ba0e29e9b133c22218da8b57be71b193 MD5sum: e2e834a8556fdb92a811a8e21fe1a835 Description: tool for mathematical surfaces K3DSurf is a program to visualize and manipulate multidimensional surfaces by using mathematical equations. It's also a modeler for POV-Ray in the area of parametric surfaces. Package: k4dirstat Version: 2.7.3-1 Architecture: armhf Maintainer: Jerome Robert Installed-Size: 685 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkde3support4 (>= 4:4.3.4), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkonq5abi1 (>= 4:4.6.1), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.2.3.3) Homepage: http://bitbucket.org/jeromerobert/k4dirstat Priority: optional Section: misc Filename: pool/main/k/k4dirstat/k4dirstat_2.7.3-1_armhf.deb Size: 248044 SHA256: e0e0086436e3aebc3001d56e5d970d697a39364156a1075be5bb909313b74f0d SHA1: aa6fcec42af35f4b9df0aeca3f7173f7c748c46d MD5sum: efc2a066a0ad39b1bb361cef6ccb13a9 Description: graphical disk usage display with cleanup facilities K4DirStat (KDE 4 Directory Statistics) is a small utility program that sums up disk usage for directory trees, very much like the Unix 'du' command. It displays the disk space used up by a directory tree, both numerically and graphically. It is network transparent (i.e., you can use it to sum up FTP servers), and comes with predefined and user configurable cleanup actions. You can directly open a directory branch in Konqueror or the shell of your choice, compress it to a .tar.bz2 archive, or define your own cleanup actions. Package: k9copy Version: 2.3.8-3 Architecture: armhf Maintainer: Eshat Cakar Installed-Size: 3401 Depends: kde-runtime, libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libdvdnav4, libdvdread4, libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libkdesu5 (>= 4:4.3.4), libkdeui5 (>= 4:4.4.0), libkio5 (>= 4:4.3.4), libmpeg2-4, libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libsolid4 (>= 4:4.3.4), libstdc++6 (>= 4.4.0), libswscale2 (>= 5:0.8-2~), libx11-6, libxine1 (>= 1.1.8), phonon, dvd+rw-tools, dvdauthor, mencoder, ffmpeg Recommends: genisoimage, wodim Homepage: http://k9copy.sourceforge.net/ Priority: optional Section: video Filename: pool/main/k/k9copy/k9copy_2.3.8-3_armhf.deb Size: 1703176 SHA256: 77e9f833b6e6751585a42abc9013e5d6068a120368c4041f43b5705e819238e9 SHA1: 68e7bd889b982f0cb2b56f8bc14e86eefd36ded3 MD5sum: 8e6b6e2bd873892bbdc0d5d01eb27290 Description: KDE tool to backup DVDs k9copy provides the following features: - The video stream can be compressed to make the video fit on any configurable target size - DVD Burning - Transcode DVD using mencoder or ffmpeg with configurable presets - Creation of ISO images - The possibility of choosing which audio and subtitle tracks to copy - Title preview (video only) - The ability to preserve the original menus Package: k9copy-dbg Source: k9copy Version: 2.3.8-3 Architecture: armhf Maintainer: Eshat Cakar Installed-Size: 10542 Depends: k9copy (= 2.3.8-3), libxine1-x Homepage: http://k9copy.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/k/k9copy/k9copy-dbg_2.3.8-3_armhf.deb Size: 10361048 SHA256: 4efa89d7faeb551b016733a972a0f30ad4e204ec55308c3326b36aa98dc1b415 SHA1: 1905026b548b7b8448edc3d2fd4b82f25eeab98e MD5sum: 4d263092dc543eed1a13af779e8ca229 Description: KDE tool to backup DVDs (debugging symbols) This package contains the debugging symbols for k9copy Package: kabikaboo Version: 1.7-1 Installed-Size: 592 Maintainer: Jeremy Bicha Architecture: all Depends: python (<< 2.8), python (>= 2.5), python-support (>= 0.90.0), python-gtk2 (>= 2.14), python-gtksourceview2 (>= 2.2), python-gtkspell | python-gnome2-extras (<< 2.25), python-gnome2 Size: 104482 SHA256: fcafa812ea97f76162684585adf2caa2fff07509fb902f3a812b729d16982aff SHA1: 805ee3c82c8594fc5580f8fd84956d74588ec1be MD5sum: e666a66f17287b1c993f418438a52d46 Description: Writing Assistant Kabikaboo is a tree-based note pad, designed to help you plan a book or complex project. Kabikaboo aims to make the author's life easier by providing a way to edit and organize a collection of related text files. The program can be used to plan a series of books, technical manuals, software projects, or anything that would benefit from tree-based text organization. Homepage: https://launchpad.net/kabikaboo Tag: implemented-in::python, interface::x11, role::program, uitoolkit::gtk, use::editing, works-with::text, x11::application Section: editors Priority: extra Filename: pool/main/k/kabikaboo/kabikaboo_1.7-1_all.deb Package: kaccessible Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 141 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://www.kde.org Priority: optional Section: utils Filename: pool/main/k/kaccessible/kaccessible_4.8.4-3_armhf.deb Size: 38936 SHA256: 69adfa8496a9eeb57bb28101e583843fe4daddc7df6f591d2db925cfb9a019d3 SHA1: 8f745b714c63bedd2330590c37cae3ed86da868c MD5sum: ad94601e83bb796cb452dc623019c1e3 Description: accessibility services for Qt applications kaccessible implements a QAccessibleBridgePlugin to provide accessibility services like focus tracking and a screen reader. . Components: * kaccessibleapp: a D-Bus activation service that acts as proxy. * kaccessiblebridge: a Qt plugin which will be loaded by the QAccessible framework in each Qt and KDE application. . This package is part of the KDE accessibility module. Package: kaccessible-dbg Source: kaccessible Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 891 Depends: kaccessible (= 4:4.8.4-3) Breaks: kdeaccessibility-dbg (<< 4:4.8) Replaces: kdeaccessibility-dbg (<< 4:4.8) Homepage: http://www.kde.org Priority: extra Section: debug Filename: pool/main/k/kaccessible/kaccessible-dbg_4.8.4-3_armhf.deb Size: 326488 SHA256: 441289ccf66512951c0ccba4339aef6ef18d417eee0aee145ba1226e555f299e SHA1: 30bcc8620806591a401900b05451d52304b6b634 MD5sum: b5997f7e8b578189c56265117f1d97dd Description: debugging symbols for kaccessible This package contains the debugging symbols for kaccessible. It is to be used in order to be able provide proper back traces for possible crashes or for running kaccessible in gdb or valgrind for meaningful output . This package is part of the KDE accessibility module. Package: kacpimon Source: acpid Version: 1:2.0.16-1+deb7u1 Architecture: armhf Maintainer: Debian Acpi Team Installed-Size: 75 Depends: libc6 (>= 2.13-28) Homepage: http://www.tedfelix.com/linux/acpid-netlink.html Priority: extra Section: utils Filename: pool/main/a/acpid/kacpimon_2.0.16-1+deb7u1_armhf.deb Size: 31084 SHA256: 76a77b800fb0cbf8df529a526cdb24cdd407ecf672befb663342a6b994ee01d4 SHA1: 1d96567153183786aa36ff532784aad46494e8c4 MD5sum: d67f28d60635ce44b19bdaefffe87182 Description: Kernel ACPI Event Monitor Modern computers support the Advanced Configuration and Power Interface (ACPI) to allow intelligent power management on your system and to query battery and configuration status. . kacpimon is a small tool that monitors kernel ACPI and keyboard events from multiple interfaces and reports them to the console. Package: kaddressbook Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 3421 Depends: kde-runtime, kdepim-runtime, libakonadi-contact4 (>= 4:4.6), libakonadi-kde4 (>= 4:4.6), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgpgme++2 (>= 4:4.6), libkabc4 (>= 4:4.6), libkcmutils4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdepim4 (= 4:4.4.11.1+l10n-3), libkdeui5 (>= 4:4.6), libkio5 (>= 4:4.6), libkldap4 (>= 4:4.6), libkleo4 (= 4:4.4.11.1+l10n-3), libkontactinterface4 (>= 4:4.6), libkparts4 (>= 4:4.6), libkpimidentities4 (>= 4:4.6), libqgpgme1 (>= 4:4.6), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Suggests: kdepim-kresources Conflicts: kde-l10n Breaks: kontact (<< 4:4.1.80), korganizer (<< 4:4.1.80) Replaces: kontact (<< 4:4.1.80), korganizer (<< 4:4.1.80) Homepage: http://pim.kde.org/ Priority: optional Section: utils Filename: pool/main/k/kdepim/kaddressbook_4.4.11.1+l10n-3_armhf.deb Size: 1029682 SHA256: 6924101228f1109b5429d1faab25197aab5ccd40bee43a6f5e0b60c75bb6cf64 SHA1: 16ac472de76beb6b933b97bece26f48eabbe9234 MD5sum: acf18a55fe13198455cca1bf9212e1d1 Description: address book and contact data manager KAddressBook enables you to manage your contacts efficiently and comfortably. It can load and save your contacts to many different locations, including the local file system, LDAP servers, and SQL databases. . This package is part of the KDE PIM module. Package: kadu Version: 0.11.2-1 Architecture: armhf Maintainer: Patryk Cisek Installed-Size: 8626 Depends: kadu-common (= 0.11.2-1), libqca2-plugin-ossl, libqt4-sql-sqlite, libqt4-svg, libaspell15 (>= 0.60.7~20110707), libc6 (>= 2.13-28), libgadu3 (>= 1:1.11.0), libgcc1 (>= 1:4.4.0), libidn11 (>= 1.13), libindicate-qt1 (>= 0.2.5), libmpdclient2 (>= 2.1), libphonon4 (>= 4:4.2.0), libqca2 (>= 2.0.2), libqt4-dbus (>= 4:4.6.1), libqt4-network (>= 4:4.7.0~beta1), libqt4-script (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.6), libx11-6, libxfixes3, libxss1, phonon, zlib1g (>= 1:1.1.4) Recommends: kadu-external-modules (>= 0.10.0-2) Suggests: kadu-themes Breaks: kadu-external-modules (<< 0.10.0-2) Replaces: kadu-external-modules (<< 0.10.0-2) Homepage: http://kadu.net Priority: optional Section: net Filename: pool/main/k/kadu/kadu_0.11.2-1_armhf.deb Size: 3467890 SHA256: 68a0defafe0eb87bcdfd214d1896c53a62e16b1c1db86f49e2b1a73166f0e4c3 SHA1: 5a98b052dcd44f22ca73d6082af57a612b559289 MD5sum: a04d734e846882dd2fdbceb30119550b Description: Gadu-Gadu/XMPP client for X11 Gadu-Gadu is a Windows instant messenger, very popular in Poland. Kadu is a Qt4 client of Gadu-Gadu and XMPP for *nix systems. . This package provides Kadu along with plugins developed by Kadu developers. Package: kadu-common Source: kadu Version: 0.11.2-1 Installed-Size: 4316 Maintainer: Patryk Cisek Architecture: all Replaces: kadu-external-modules (<< 0.10.0-2) Recommends: kadu-external-modules (>= 0.10.0-2) Suggests: kadu-themes Breaks: kadu-external-modules (<< 0.10.0-2) Size: 1317962 SHA256: f0b72cabdf5b689e8f7dcc456b52a77abdc3d6af387f0112f066c2e8827fe7ce SHA1: d160d78fff4c4b3cf45f03a738ad65f67c0fb02b MD5sum: d25a76579945a4a06c49a54f35caf2cf Description: Gadu-Gadu/XMPP client for X11 Gadu-Gadu is a Windows instant messenger, very popular in Poland. Kadu is a Qt4 client of Gadu-Gadu and XMPP for *nix systems. . This package provides data common for all architectures. Homepage: http://kadu.net Tag: made-of::audio, made-of::icons, role::app-data Section: net Priority: optional Filename: pool/main/k/kadu/kadu-common_0.11.2-1_all.deb Package: kadu-dev Source: kadu Version: 0.11.2-1 Installed-Size: 1923 Maintainer: Patryk Cisek Architecture: all Size: 248210 SHA256: e75e07b9763aa7e864e694854e6512d054fc1856899c819ab2e69d722f300d0a SHA1: 76374d87647d3cebba903197cefebbcd990317d8 MD5sum: ee4dd328a26b0ee7f1ae7b9996cffbc5 Description: Development files needed to compile plugins for kadu Gadu-Gadu is a Windows instant messenger, very popular in Poland. Kadu is a Qt4 client of Gadu-Gadu and XMPP for *nix systems. . This package provides development files needed to compile plugins for Kadu. Homepage: http://kadu.net Tag: devel::library, role::devel-lib Section: net Priority: optional Filename: pool/main/k/kadu/kadu-dev_0.11.2-1_all.deb Package: kadu-external-modules Source: kadu Version: 0.11.2-1 Architecture: armhf Maintainer: Patryk Cisek Installed-Size: 1792 Depends: kadu (>= 0.10.0-2), libc6 (>= 2.13-28), libgadu3 (>= 1:1.8.0+r592), libgcc1 (>= 1:4.4.0), libqt4-script (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Breaks: kadu (<< 0.10.0-2), kadu-common (<< 0.10.0-2) Replaces: kadu (<< 0.10.0-2), kadu-common (<< 0.10.0-2) Homepage: http://kadu.net Priority: optional Section: net Filename: pool/main/k/kadu/kadu-external-modules_0.11.2-1_armhf.deb Size: 716452 SHA256: a51eb0da13f40d12a3dfdeaf75f8fce10ca8ccf288d5c685b8c9cd2e055b33b1 SHA1: aec5fba157ddd8dea9dbc4d43cdbfed7ce00b1ef MD5sum: 53c321a662be309249a53566d6bc34aa Description: Additional plugins for Kadu Gadu-Gadu is a Windows instant messenger, very popular in Poland. Kadu is a Qt4 client of Gadu-Gadu and XMPP for *nix systems. . This package provides additional packages developed by external developers. See README.Debian for more details. Package: kadu-themes Source: kadu Version: 0.11.2-1 Installed-Size: 19479 Maintainer: Patryk Cisek Architecture: all Recommends: kadu Size: 8618064 SHA256: 21aea24389a0d37ac0a9b97539300e0e7d5670002d1aca30f9aa83b70561576b SHA1: 1788223dd6f7bd79a22cf6afde739479dde3828a MD5sum: 86336cbb90a96b725a6f84f383602a22 Description: Additional icons and emoticons for Kadu Gadu-Gadu is a Windows instant messenger, very popular in Poland. Kadu is a Qt4 client of Gadu-Gadu and XMPP for *nix systems. . This package provides additional icons, sounds and emoticons themes for Kadu. Homepage: http://kadu.net Tag: made-of::icons, role::app-data Section: net Priority: optional Filename: pool/main/k/kadu/kadu-themes_0.11.2-1_all.deb Package: kaffeine Version: 1.2.2-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 2293 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.4), libkdeui5 (>= 4:4.4.4), libkfile4 (>= 4:4.4.4), libkio5 (>= 4:4.4.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.6.1), libsolid4 (>= 4:4.4.4), libstdc++6 (>= 4.1.1), libx11-6, libxine1 (>= 1.1.8), libxss1, libxine1-ffmpeg, libxine1-x, libqt4-sql-sqlite Suggests: libdvdcss2 Homepage: http://kaffeine.kde.org Priority: optional Section: video Filename: pool/main/k/kaffeine/kaffeine_1.2.2-2_armhf.deb Size: 606386 SHA256: 635acaf1efcce89ef0ecf57462f97e75eb3345fc63e4d50dc994fe2d5490711d SHA1: 2ab8ad8658e8a8b71cfccef36cc0da1b14b210b6 MD5sum: ec162573e11c7c87f2d54d69a0189e8a Description: versatile media player for KDE Kaffeine is a media player for KDE. While it supports multiple Phonon backends, its default backend is Xine, giving Kaffeine a wide variety of supported media types and letting Kaffeine access CDs, DVDs, and network streams easily. . Kaffeine can keep track of multiple playlists simultaneously, and supports autoloading of subtitle files for use while playing video. Package: kaffeine-dbg Source: kaffeine Version: 1.2.2-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 3243 Depends: kaffeine (= 1.2.2-2), kdelibs5-dbg Homepage: http://kaffeine.kde.org Priority: extra Section: debug Filename: pool/main/k/kaffeine/kaffeine-dbg_1.2.2-2_armhf.deb Size: 3070712 SHA256: f6ef70ee71d1a0d7bf5d63eef720a6339be6ce66603427fc7c7e6af42d1c6d06 SHA1: 32d3fafc0aea7e7632211ef232185384bd549590 MD5sum: e2fd73eed9aa16134766fafa54d8bcb7 Description: debugging symbols for Kaffeine This package contains the debugging symbols associated with Kaffeine. They will automatically be used by gdb for debugging Kaffeine-related issues. Package: kajongg Source: kdegames Version: 4:4.8.4-3 Installed-Size: 1678 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: python (>= 2.6.6-7~), python-twisted-core (>= 8.2.0), python-qt4-sql (>= 4.6), python-kde4 (>= 4:4.3.0), libsqlite3-0 (>= 3.5.0), libqt4-sql-sqlite (>= 4.6), kdegames-mahjongg-data (>= 4:4.8.4-3), vorbis-tools Size: 928684 SHA256: 49b44c31027d9cb69096cf780bfc13ff9566141ad3c47a5bebfdb198bdc2322a SHA1: 77953f3da50d3929aa69573c2cb88fa14615814e MD5sum: 10dcb874e29fd95f45e7980351bb31b3 Description: classical Mah Jongg game This is the classical Mah Jongg for four players. If you are looking for the Mah Jongg solitaire please use the application kmahjongg. . This package is part of the KDE games module. Homepage: http://games.kde.org/ Tag: game::board, implemented-in::python, interface::x11, role::program, uitoolkit::qt, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/k/kdegames/kajongg_4.8.4-3_all.deb Package: kakasi Version: 2.3.5~pre1+cvs20071101-1 Architecture: armhf Maintainer: Keita Maehara Installed-Size: 187 Depends: libc6 (>= 2.7), kakasi-dic Homepage: http://kakasi.namazu.org Priority: optional Section: text Filename: pool/main/k/kakasi/kakasi_2.3.5~pre1+cvs20071101-1_armhf.deb Size: 71390 SHA256: d2de6d54e5157bbba386d166396a98e145ebb01b8e4a89884662fe5ac7ef0879 SHA1: bd63a56641c33e045511176cbb7bb631aabf9d39 MD5sum: 89ecbae459ca4c13dbeb29090ab9f57d Description: KAnji KAna Simple Inverter KAKASI is the language processing filter to convert Kanji characters to Hiragana, Katakana or Romaji(1) and may be helpful to read Japanese documents. . The name "KAKASI" is the abbreviation of "kanji kana simple inverter" and the inverse of SKK "simple kana kanji converter" which is developed by Masahiko Sato at Tohoku University. The most entries of the kakasi dictionary is derived form the SKK dictionaries. If you have some interests in the naming of "KAKASI", please consult to Japanese-English dictionary. :-) . (1) "Romaji" is alphabetical description of Japanese pronunciation. Package: kakasi-dic Source: kakasi Version: 2.3.5~pre1+cvs20071101-1 Installed-Size: 2184 Maintainer: Keita Maehara Architecture: all Conflicts: kakasi (<= 2.2.5-5) Size: 916506 SHA256: 7daa0ea75b5c8923fd911813ec6003843282e477b8bed28725651ce213ea74f2 SHA1: 76dff7ce9d1f88825ad2bd99a08a7941f2137e57 MD5sum: 5ac61fff10d2a0b770608f8aadef3ef3 Description: KAKASI dictionary files This package provides KAKASI dictionary files. You have to install this package if you use KAKASI. . KAKASI is the language processing filter to convert Kanji characters to Hiragana, Katakana or Romaji(1) and may be helpful to read Japanese documents. . (1) "Romaji" is alphabetical description of Japanese pronunciation. Homepage: http://kakasi.namazu.org Tag: culture::japanese, made-of::dictionary, role::app-data Section: text Priority: optional Filename: pool/main/k/kakasi/kakasi-dic_2.3.5~pre1+cvs20071101-1_all.deb Package: kalarm Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 9943 Depends: kde-runtime, kdepim-runtime, kdepimlibs-kio-plugins, libakonadi-kde4 (>= 4:4.6), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkabc4 (>= 4:4.6), libkcal4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdepim4 (= 4:4.4.11.1+l10n-3), libkdeui5 (>= 4:4.6), libkholidays4 (>= 4:4.6), libkio5 (>= 4:4.6), libkmime4 (>= 4:4.6), libkpimidentities4 (>= 4:4.6), libkpimutils4 (>= 4:4.6), libkresources4 (>= 4:4.6), libmailtransport4 (>= 4:4.6), libphonon4 (>= 4:4.6.0really4.3.80), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libx11-6, phonon, perl Suggests: kttsd | jovie Conflicts: kde-l10n Homepage: http://www.astrojar.org.uk/kalarm/ Priority: optional Section: kde Filename: pool/main/k/kdepim/kalarm_4.4.11.1+l10n-3_armhf.deb Size: 3464332 SHA256: 52516cc36f4cc7d9f3655a46614ac5d240225b8a7ebdce54f553f6b1df8854ab SHA1: aac814e1a09751a402bb459bd9bfb2743fb69bc5 MD5sum: 0fc195db10ce42e56a9178437257f1d8 Description: alarm message, command and email scheduler KAlarm provides a graphical interface to schedule personal timed events - pop-up alarm messages, command execution and sending emails. There is a range of options for configuring recurring events. . A pop-up alarm can show either a simple text message, or the contents of a text or image file. It can optionally be spoken, or play a sound file. You can choose its appearance, and set reminders. Among KAlarm's other facilities, you can set up templates to allow KAlarm to be used as a 'tea timer'. . This package is part of the KDE PIM module. Package: kalgebra Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 336 Depends: kde-runtime, libanalitza4abi1 (>= 4:4.8.4), libanalitzagui4 (>= 4:4.8.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkhtml5 (>= 4:4.8), libkio5 (>= 4:4.8), libkparts4 (>= 4:4.8), libqt4-opengl (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0), kalgebra-common (= 4:4.8.4-1) Breaks: kalgebramobile (<< 4:4.7.2) Homepage: http://edu.kde.org/ Priority: optional Section: math Filename: pool/main/k/kalgebra/kalgebra_4.8.4-1_armhf.deb Size: 121364 SHA256: 65383f7ce8e2bbede8a92107edef11fbe9c40c2d9e466988b96ca2ef85870e93 SHA1: 182ec3b2ed2cd021e3b3e0c9f75fda36a30f4e89 MD5sum: d3bcb753806e086dc46926d4b112c80f Description: algebraic graphing calculator KAlgebra is a algebraic graphing calculator with support for 3D graphing and MathML markup language. . This package is part of the KDE education module. Package: kalgebra-common Source: kalgebra Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 600 Depends: libanalitza4abi1 (>= 4:4.8.4), libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libplasma3 (>= 4:4.8), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Breaks: kalgebra (<< 4:4.7.2) Replaces: kalgebra (<< 4:4.7.2) Homepage: http://edu.kde.org/ Priority: optional Section: math Filename: pool/main/k/kalgebra/kalgebra-common_4.8.4-1_armhf.deb Size: 394442 SHA256: 08a5361aa0ea258b1a2c3580d2597d6649e5063253005cf293cc6a9e29557d05 SHA1: 975aaf48d12a43d3e6559479f850b26df5971502 MD5sum: d485d4182ff995497371c5471f45e2c4 Description: contains files common for kalgebra and kalgebramobile KAlgebra is a algebraic graphing calculator with support for 3D graphing and MathML markup language and it contains files needed for both full and mobile versions. . This package is part of the KDE education module. Package: kalgebra-dbg Source: kalgebra Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 4018 Depends: kalgebra (= 4:4.8.4-1) | kalgebramobile (= 4:4.8.4-1) Recommends: libanalitza-dbg Breaks: kdeedu-dbg (<< 4:4.6.80) Replaces: kdeedu-dbg (<< 4:4.6.80) Homepage: http://edu.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kalgebra/kalgebra-dbg_4.8.4-1_armhf.deb Size: 1598800 SHA256: 6709795bd9b2cf3c3a2c4e0e41fbb03410966cd629285c2d1f47fab296f895bf SHA1: 82bf07ef3cc627dd519202db150d6d4c64907d17 MD5sum: ef9ba81fa8b1010f1e59af28bdf143d9 Description: debugging symbols for the KDE education module This package contains debugging files used to investigate problems with binaries included in kalgebra. . This package is part of the KDE education module. Package: kalgebramobile Source: kalgebra Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 110 Depends: libanalitza4abi1 (>= 4:4.8.4), libanalitzagui4 (>= 4:4.8.4-2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdeclarative5 (>= 4:4.7.0), libkdecore5 (>= 4:4.8), libqt4-declarative (>= 4:4.7.0~rc1), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.1.1), kalgebra-common (= 4:4.8.4-1) Homepage: http://edu.kde.org/ Priority: optional Section: math Filename: pool/main/k/kalgebra/kalgebramobile_4.8.4-1_armhf.deb Size: 30544 SHA256: b052ef457402b2bb3c23ebd0a7953f0c041b1d92f80c6ddc125bbd6a9ca539d9 SHA1: c58124b8364c7834c00ca62c27c65d62b32a36ca MD5sum: a425c9db00082789a7f0ff93de7d0f9e Description: algebraic graphing calculator for small touch based interfaces KAlgebra is a algebraic graphing calculator with support for 3D graphing and MathML markup language. This is the version for small touch based interfaces . This package is part of the KDE education module. Package: kali Version: 3.1-11 Architecture: armhf Maintainer: Colin Watson Installed-Size: 127 Depends: libc6 (>= 2.13-28), libforms2, libx11-6 Homepage: http://www.geom.uiuc.edu/software/download/kali.html Priority: optional Section: math Filename: pool/main/k/kali/kali_3.1-11_armhf.deb Size: 43798 SHA256: f9a18cb03d8135c979f91fb4fe61152278cd5db86a1d3e12e083e66d23672df7 SHA1: 350ebe9801fa837355a27e6c8077f6baf7357d83 MD5sum: 700616cdb5907c21dfa67b230915d0a4 Description: Draw tilings, frieze patterns, and so on You can use Kali to draw Escher-like tilings, infinite knots, frieze patterns, and other cool stuff. It lets you draw patterns in any of the 17 planar (wallpaper) or 7 frieze symmetry groups. Drawings are done interactively with X, and PostScript output is supported. Package: kalign Version: 1:2.03+20110620-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 200 Depends: libc6 (>= 2.4) Enhances: bioperl-run, t-coffee Homepage: http://msa.sbc.su.se/ Priority: optional Section: science Filename: pool/main/k/kalign/kalign_2.03+20110620-2_armhf.deb Size: 77606 SHA256: 5368d11e5586a6320202ecb70805974bb339462e2ff19a65ad9469513cf8790f SHA1: 017494e8517487e583f5d9763b411fcd563aa045 MD5sum: 157aab1958b83afa02e1f79182a27409 Description: Global and progressive multiple sequence alignment Kalign is a command line tool to perform multiple alignment of biological sequences. It employs the Muth-Manber string-matching algorithm, to improve both the accuracy and speed of the alignment. It uses global, progressive alignment approach, enriched by employing an approximate string-matching algorithm to calculate sequence distances and by incorporating local matches into the otherwise global alignment. Package: kalternatives Version: 0.13-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 381 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Suggests: man-db Priority: optional Section: admin Filename: pool/main/k/kalternatives/kalternatives_0.13-2_armhf.deb Size: 66738 SHA256: 9ecf65413657184c09e0f9e994837fc8d4dc9e2abfae26e60afa4dd2f15f3472 SHA1: 17cdc55d95e73789818f5706ba368de4aba92969 MD5sum: 23ba45c9aa7789a6ec8ae5b693f89890 Description: graphical alternatives system configuration tool Kalternatives offers a GUI to configure the alternative systems (a system that allows you to select one alternative file for many in the filesystem). Kalternatives is available as KDE configuration module, integrated by default into KDE's System Settings. . This is an advanced GUI of the update-alternatives program shipped with dpkg. Package: kalzium Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1241 Depends: kde-runtime, libavogadro1, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdeui5 (>= 4:4.7), libkhtml5 (>= 4:4.7), libkio5 (>= 4:4.7), libknewstuff3-4 (>= 4:4.7), libkunitconversion4 (>= 4:4.7), libopenbabel4, libplasma3 (>= 4:4.7), libqt4-opengl (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), kalzium-data (>= 4:4.8.4-1) Suggests: khelpcenter4 Breaks: libkdeedu4 (<< 4:4.6.2), libscience4 Replaces: libkdeedu4 (<< 4:4.6.2), libscience4 Homepage: http://edu.kde.org/ Priority: optional Section: science Filename: pool/main/k/kalzium/kalzium_4.8.4-1_armhf.deb Size: 278712 SHA256: d018124c7132e450fe07a2c67a44d1c68b49bfd8308f112327532c2e91c65fd1 SHA1: e6ec9588db463c4d25708784e86a659fa5e57c5d MD5sum: 955f9729e7efb68cf7b2f924700589da Description: periodic table and chemistry tools Kalzium is a full-featured chemistry application, including a Periodic Table of Elements, chemical reference, chemical equation solver, and 3D molecule viewer. . This package is part of the KDE education module. Package: kalzium-data Source: kalzium Version: 4:4.8.4-1 Installed-Size: 10725 Maintainer: Debian Qt/KDE Maintainers Architecture: all Size: 3968480 SHA256: f749b4e93f37283d8d7ca8a0442e9a9ec51a78c4cc715ce1cc5a92156344a3c8 SHA1: da0fbdf2e5fa0cdc1f3087429de330d7639304c8 MD5sum: 9c7f549a33e5d8a25b537e6fa3727662 Description: data files for Kalzium This package contains architecture-independent data files for Kalzium, the KDE periodic table application. . This package is part of the KDE education module. Homepage: http://edu.kde.org/ Tag: field::chemistry, made-of::xml, role::app-data, suite::kde Section: science Priority: optional Filename: pool/main/k/kalzium/kalzium-data_4.8.4-1_all.deb Package: kalzium-dbg Source: kalzium Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 14222 Depends: kalzium (= 4:4.8.4-1) Breaks: kdeedu-dbg Replaces: kdeedu-dbg Homepage: http://edu.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kalzium/kalzium-dbg_4.8.4-1_armhf.deb Size: 3258192 SHA256: b5e6d45bcfcdbc526de212a819db0a5ade7fd1c4e6f311933e34079f32347bc7 SHA1: 4e4bcbe0beec72cb3a723e76f82a8f0da5abfea7 MD5sum: 23eace5c26c42227b471d8795bf921b3 Description: debugging symbols for the Kalzium This package contains debugging files used to investigate problems with binaries included in Kalzium Package: kamera Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 222 Depends: libc6 (>= 2.13-28), libgphoto2-2 (>= 2.4.10.1), libgphoto2-port0 (>= 2.4.10.1), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: https://projects.kde.org/projects/kde/kdegraphics/kamera Priority: optional Section: graphics Filename: pool/main/k/kamera/kamera_4.8.4-2_armhf.deb Size: 47538 SHA256: 1fd5f351635e3d82f8184baf18adeca4d3a081f1d0a018222d0b9772580584e8 SHA1: 6dba1fe32e75735cb116c2bc98bc3de4849544c7 MD5sum: 324e7a0a746b1a7b365d1b6fbc8a8e12 Description: digital camera support for KDE applications This package allows any KDE application to access and manipulate pictures on a digital camera. . This package is part of the KDE graphics module. Package: kamera-dbg Source: kamera Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1166 Depends: kamera (= 4:4.8.4-2) Breaks: kdegraphics-dbg (<< 4:4.6.90) Replaces: kdegraphics-dbg (<< 4:4.6.90) Homepage: https://projects.kde.org/projects/kde/kdegraphics/kamera Priority: extra Section: debug Filename: pool/main/k/kamera/kamera-dbg_4.8.4-2_armhf.deb Size: 314506 SHA256: e3decbfd5dee64eec0263f1a7a5af1bec78c571784c5fae067095119a158b3fb SHA1: 249f4dd91a7ed94f4b137bc9fc0cfb39a646c779 MD5sum: ba119ac18d8b45fd698aa2c1e6baabda Description: debugging symbols for kamera This package contains debugging files used to investigate problems with the kamera binaries. . This package is part of the KDE graphics module. Package: kamerka Version: 0.8.1-1 Architecture: armhf Maintainer: Sebastian Krzyszkowiak Installed-Size: 318 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.6), libkdeui5 (>= 4:4.6), libkio5 (>= 4:4.6), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.7), libqt4-declarative (>= 4:4.7.0~rc1), libqt4-network (>= 4:4.7), libqt4-script (>= 4:4.7), libqt4-svg (>= 4:4.7), libqt4-xml (>= 4:4.7), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.7), libstdc++6 (>= 4.4.0), libv4l-0 (>= 0.5.0), libv4lconvert0 (>= 0.5.0), phonon, xdg-utils Suggests: dolphin, gimp, inkscape Homepage: http://dos1.github.com/kamerka/ Priority: optional Section: kde Filename: pool/main/k/kamerka/kamerka_0.8.1-1_armhf.deb Size: 105876 SHA256: 9e6eb29c324581c386c6187a7df18c5ea5c1144de4be4b379372229898ac0c3f SHA1: b3d49f3acd9252385da8ff89e697214472a73373 MD5sum: 8cfc161ed12c974f7334848673faa0cd Description: shiny photo taking application Kamerka is a KDE application which uses Video4Linux to get image from webcam, with ability to save photos. It features easy to use, animated and well-integrated user interface. Package: kamoso Version: 2.0.2-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 889 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgstreamer0.10-0 (>= 0.10.0), libkcmutils4 (>= 4:4.4.95), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkemoticons4 (>= 4:4.4.95), libkfile4 (>= 4:4.3.4), libkidletime4 (>= 4:4.4.95), libkio5 (>= 4:4.3.4), libkipi8 (>= 4:4.8.4), libkprintutils4 (>= 4:4.4.95), libkutils4, libnepomuk4 (>= 4:4.3.4), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtglib-2.0-0 (>= 0.10.1), libqtgstreamer-0.10-0 (>= 0.10.1), libqtgstreamerui-0.10-0 (>= 0.10.1), libqtgui4 (>= 4:4.7.0~beta1), libsolid4 (>= 4:4.3.4), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0), phonon, gstreamer0.10-x, gstreamer0.10-plugins-good Recommends: kipi-plugins Homepage: http://www.kde-apps.org/content/show.php/Kamoso?content=111750 Priority: extra Section: kde Filename: pool/main/k/kamoso/kamoso_2.0.2-1_armhf.deb Size: 225106 SHA256: 5b99b7ff4e2f12b8569a8802d24e0021283c0e3204ae60f3fbb533ac4198e522 SHA1: 95318442bd0cd56cba66df7fa0cb6aff055ba478 MD5sum: c4d427db9f2008f39a3b4d68eb49c900 Description: tool to take pictures and videos from your webcam Kamoso is a utility that does the very simple actions a webcam offers, like taking pictures or recording videos and adds some extra features that will make the webcam usage both funnier and more useful. Package: kanadic Source: kdrill Version: 6.5deb2-8 Installed-Size: 60 Maintainer: Євгеній Мещеряков Architecture: all Suggests: kdrill Size: 12260 SHA256: 74dce85bac30accfd2b99f6e079873e9905205970f5ff068aac84e829740dec4 SHA1: 049db105861877d208d945122baa4015c11f1c50 MD5sum: 689aa87565d5e615fadbf9f2da1300d6 Description: katakana and hiragana drill files for KDrill This package provides files for practicing katakana and hiragana with KDrill. It includes basic and extended versions of each list. Homepage: http://www.bolthole.com/kdrill/ Tag: culture::japanese, use::learning, x11::application Section: education Priority: optional Filename: pool/main/k/kdrill/kanadic_6.5deb2-8_all.deb Package: kanagram Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 981 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.7.0), libkeduvocdocument4 (>= 4:4.7.2), libknewstuff3-4 (>= 4:4.4.0), libphonon4 (>= 4:4.2.0), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), phonon, kdeedu-kvtml-data Suggests: khelpcenter4 Homepage: https://projects.kde.org/projects/kde/kdeedu/kanagram Priority: optional Section: misc Filename: pool/main/k/kanagram/kanagram_4.8.4-1_armhf.deb Size: 654418 SHA256: b1d3d9fa15938955de3749fb1d4f828e484ee65ab99a8b2bfaa317278a4599dc SHA1: c45047c9482e807fc93305b8ebac73cc49ef558e MD5sum: 17ae68b612557bff5e61056b4d7a528f Description: jumble word puzzle KAnagram is a game where a random word is shown with its letters scrambled. To win, the player must rearrange the letters into the correct order. . This package is part of the KDE education module Package: kanatest Version: 0.4.8-2.1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 556 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.18.0), libxml2 (>= 2.7.4) Recommends: fonts-ipafont-mincho | fonts-japanese-mincho Suggests: fonts-mikachan Homepage: http://clayo.org/kanatest/ Priority: optional Section: text Filename: pool/main/k/kanatest/kanatest_0.4.8-2.1_armhf.deb Size: 176082 SHA256: 8adc5cc954a4c53f52e1039971bb07a5205f2f566ff80ac2dc4c9666175bcdd9 SHA1: f49e49a139775e7eee152de959bec2a0c2e4f12e MD5sum: c8f187665c5a2cfad1a5c3f3a244e88a Description: beginner's drill game to learn Japanese kana characters Kanatest is a simple hiragana and katakana drill game. It checks your knowledge of Japanese kana characters. . There are three drill modes: hiragana mode (hiragana charset only), katakana mode (katakana charset only) and mixed mode (both charsets). The tester shows random kana characters and waits until you enter the romaji equivalent in an entry field. At the end, statistics are provided. Package: kanif Version: 1.2.2-1 Installed-Size: 108 Maintainer: Lucas Nussbaum Architecture: all Depends: perl, taktuk (>= 3.7.4) Size: 27384 SHA256: 94e67b7a5b87d13381ee412805cc114416fe7896681c4d716c0d96207913aa47 SHA1: 375dc713ee392ee5f616223f9678eb02ff784ba1 MD5sum: 339d94852d3ab977385c82b6ff308ff8 Description: cluster management and administration swiss army knife Kanif is a tool for high performance computing clusters management and administration. It combines the main functionalities of well-known cluster management tools such as c3, pdsh and dsh, and mimics their syntax. It provides three tools to run the same command on several nodes ("parallel ssh", using the 'kash' command), to broadcast the copy of files or directories to several nodes ('kaput' command), and to gather several remote files or directories locally ('kaget' command). It relies on TakTuk for efficiency and scalability. Homepage: http://taktuk.gforge.inria.fr/kanif Section: net Priority: optional Filename: pool/main/k/kanif/kanif_1.2.2-1_all.deb Package: kanjidic Version: 2012.05.09-1 Installed-Size: 1155 Maintainer: Ludovic Drolez Architecture: all Size: 564146 SHA256: a033bf90786ad20fedfc19deca9dd79f2a7cedcfae1ab8a2bb912f7d162a88b1 SHA1: 27aa44e1a92a81a46663e1683da02d41e35d8654 MD5sum: 498f5fb78997fde3e8289b808f543786 Description: Kanji Dictionary The KANJIDIC file contains comprehensive information about Japanese kanji. It is a text file currently 6,355 lines long, with one line for each kanji in the two levels of the characters specified in the JIS X 0208-1990 set. Tag: culture::japanese, made-of::dictionary, role::app-data, use::checking, use::editing, works-with::dictionary, works-with::text Section: text Priority: optional Filename: pool/main/k/kanjidic/kanjidic_2012.05.09-1_all.deb Package: kanjidic-xml Source: kanjidic Version: 2012.05.09-1 Installed-Size: 1314 Maintainer: Ludovic Drolez Architecture: all Size: 1336662 SHA256: 3659f689366a1ed853cb3139b2e72b569a97b10cac4fa2f71f00005d4512df67 SHA1: f25d0b9ffbe072f68f7c724cf5d85a9840357e92 MD5sum: 44a373fa591cbb10e888129f1c53d4dc Description: Kanji Dictionary in XML format The KANJIDIC file contains comprehensive information about Japanese kanji. It is a XML file containing 6,355 kanji from JIS X 0208, the 5,801 kanji from JIS X 0212 and the 3,625 kanji from JIS X 0213. Section: text Priority: optional Filename: pool/main/k/kanjidic/kanjidic-xml_2012.05.09-1_all.deb Package: kanjipad Version: 2.0.0-6 Architecture: armhf Maintainer: Dafydd Harries Installed-Size: 105 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Recommends: ttf-kochi-gothic | ttf-kochi-mincho Priority: extra Section: x11 Filename: pool/main/k/kanjipad/kanjipad_2.0.0-6_armhf.deb Size: 35430 SHA256: e26c88f5d4c9a0d7dc2901d8ef3200b8c6a25bda516dcee8eee685e2ebb05781 SHA1: c9a95320cab282c0dcc7cfe0ef8be5be752272aa MD5sum: 83497cc9dd11544cac83dd45f7638f15 Description: handwriting recognition tool for Kanji Kanjipad translates drawings by the user into Kanji characters. Translated characters can be copied and pasted into other applications. Package: kannel Version: 1.4.3-2 Architecture: armhf Maintainer: Paul Dwerryhouse Installed-Size: 2463 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmysqlclient16 (>= 5.1.50-1), libpam0g (>= 0.99.7.1), libpcre3 (>= 8.10), libpq5, libsqlite0 (>= 2.8.17), libsqlite3-0 (>= 3.5.9), libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.7.4), adduser, psmisc Conflicts: kannel-cvs, kannel-devel Replaces: kannel-cvs, kannel-devel Homepage: http://www.kannel.org/ Priority: optional Section: net Filename: pool/main/k/kannel/kannel_1.4.3-2_armhf.deb Size: 1170474 SHA256: a0f39f18d5145602fdf1b58e5c5717fd30887b835a3ef57b9f3d6979110f0fc3 SHA1: 44e3e82c4b0a1ec9b9e21dff8b903efc9e09774b MD5sum: c85a687354f8a61befa939d13177823c Description: WAP and SMS gateway Kannel is a gateway for connecting WAP (Wireless Application Protocol) phones to the Internet. It also works as an SMS/SMPP gateway, for providing SMS based services for GSM phones. . Compiled with ssl, MySQL and native malloc. Package: kannel-dev Source: kannel Version: 1.4.3-2 Architecture: armhf Maintainer: Paul Dwerryhouse Installed-Size: 2637 Depends: libssl-dev, libpam0g-dev, libxml2-dev, libpcre3-dev, libmysqlclient-dev, libsqlite0-dev, libsqlite3-dev, libpq-dev Homepage: http://www.kannel.org/ Priority: optional Section: devel Filename: pool/main/k/kannel/kannel-dev_1.4.3-2_armhf.deb Size: 799226 SHA256: e800eda216c163ac7bd83c2b9f9948d90667cbbab97a593be88de608aa5ec414 SHA1: 6eeb446ac8837adf5da9fbe488817565b4753e51 MD5sum: 037e108a0a452a3a0b84a9d22f865fd0 Description: WAP and SMS gateway headers and development files Kannel is a gateway for connecting WAP (Wireless Application Protocol) phones to the Internet. It also works as an SMS/SMPP gateway, for providing SMS based services for GSM phones. . Headers and development files. Package: kannel-docs Source: kannel Version: 1.4.3-2 Installed-Size: 4436 Maintainer: Paul Dwerryhouse Architecture: all Suggests: kannel Size: 3823592 SHA256: 8f7c6c9946ea2788bd05ac681df3eb57bb6add700fb513b5ab65eb207a902804 SHA1: 02984f3a111649636d5e4364408e756f60ba835a MD5sum: c9a55d4d02220d76134800312e332d8b Description: WAP and SMS gateway documentation Kannel is a gateway for connecting WAP (Wireless Application Protocol) phones to the Internet. It also works as an SMS/SMPP gateway, for providing SMS based services for GSM phones. . Documentation in html, rtf and pdf format. Homepage: http://www.kannel.org/ Tag: role::documentation Section: net Priority: optional Filename: pool/main/k/kannel/kannel-docs_1.4.3-2_all.deb Package: kannel-extras Source: kannel Version: 1.4.3-2 Architecture: armhf Maintainer: Paul Dwerryhouse Installed-Size: 11775 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmysqlclient16 (>= 5.1.50-1), libpam0g (>= 0.99.7.1), libpcre3 (>= 8.10), libpq5, libsqlite0 (>= 2.8.17), libsqlite3-0 (>= 3.5.9), libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.7.4), kannel (= 1.4.3-2) Suggests: python Homepage: http://www.kannel.org/ Priority: optional Section: net Filename: pool/main/k/kannel/kannel-extras_1.4.3-2_armhf.deb Size: 5498490 SHA256: 532444bcdfe563a4be7f9897b336796d0ac93bb2eaaa63d395201bef72bdb067 SHA1: 42b3a147ae5718fece78d82e758e9656dbd05e25 MD5sum: 30238eb1c721a7febb12b8ec3122bb51 Description: WAP and SMS gateway extras Kannel is a gateway for connecting WAP (Wireless Application Protocol) phones to the Internet. It also works as an SMS/SMPP gateway, for providing SMS based services for GSM phones. . Test utilities and contrib data. Package: kannel-sqlbox Version: 0.7.2-3 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 541 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmysqlclient16 (>= 5.1.50-1), libpam0g (>= 0.99.7.1), libpcre3 (>= 8.10), libpq5, libsqlite0 (>= 2.8.17), libsqlite3-0 (>= 3.5.9), libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.7.4) Homepage: http://www.kannel.org/~aguerrieri/SqlBox/ Priority: optional Section: net Filename: pool/main/k/kannel-sqlbox/kannel-sqlbox_0.7.2-3_armhf.deb Size: 309180 SHA256: 765e2cfe36fdea9dbff11c64676594c0b9a39b043f1ddaa283b387b3e1e8c9c1 SHA1: 67ae4d1dac9b1ac42ae02d3c1fc3a5a1b6564d82 MD5sum: b9ffdcc845115080cfb2dc4a75288b02 Description: SQL helper application for Kannel WAP and SMS gateway Kannel is a gateway for connecting WAP (Wireless Application Protocol) phones to the Internet. It also works as an SMS/SMPP gateway, for providing SMS based services for GSM phones. . Sqlbox is a special Kannel box that sits between bearerbox and smsbox and uses a database queue to store and forward messages. . Messages are queued on a configurable table (defaults to send_sms) and moved to another table (defaults to sent_sms) afterwards. . You can also manually insert messages into the send_sms table and they will be sent and moved to the sent_sms table as well. This allows for fast and easy injection of large amounts of messages into Kannel. Package: kanyremote Version: 5.13-1 Installed-Size: 501 Maintainer: Philipp Huebner Architecture: all Depends: anyremote (>= 5.4.1), python, python-bluez (>= 0.9.1), python-kde4, python-qt4 Recommends: bluez Size: 88906 SHA256: f6e78c0fb6b0aa9203da77f36524a9badda6ecbd049d86e89ac0786cfb3bb1bf SHA1: 58089b7382f2108c50461e94bd00bc3e2e9e5c79 MD5sum: 0cdb284dec725a546ba662c95c055db1 Description: KDE frontend for anyRemote kAnyRemote package is KDE GUI frontend for anyRemote. (http://anyremote.sourceforge.net/). The overall goal of this project is to provide remote control service on Linux through Bluetooth, InfraRed, Wi-Fi or TCP/IP connection. Homepage: http://anyremote.sourceforge.net Tag: implemented-in::python, interface::x11, role::program, uitoolkit::qt Section: kde Priority: extra Filename: pool/main/k/kanyremote/kanyremote_5.13-1_all.deb Package: kapman Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1838 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libphonon4 (>= 4:4.3.0), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0), phonon Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kapman_4.8.4-3_armhf.deb Size: 1571516 SHA256: f0356411f68faea86ab7ddfecbabb2908079896ca6507cc6816cc6bcc8d98059 SHA1: c91faebc9c987c430d7620666e48e809593e595e MD5sum: 35489a467859321da745a02907b564b3 Description: Pac-Man clone Kapman is a clone of the well known game Pac-Man. You must go through the levels escaping ghosts in a maze. You lose a life when a ghost eats you, but you can eat the ghosts for a few seconds when eating an energizer. You win points when eating pills, energizers, and bonus, and you win one life for each 10,000 points. When you have eaten all the pills and energizers of a level, you go to the next level, and the player and ghost speeds increase. . This package is part of the KDE games module. Package: kapptemplate Source: kdesdk Version: 4:4.8.4+dfsg-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1160 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Suggests: kdelibs5-dev (>= 4:4.1.80) Homepage: http://www.kde.org Priority: optional Section: devel Filename: pool/main/k/kdesdk/kapptemplate_4.8.4+dfsg-1_armhf.deb Size: 870688 SHA256: 5d4ab8f2c12df91e15d806f5addfdc46d4874c74d94d0a09efd24e930be20996 SHA1: 7cea1b5157a624a76f74079caf7e4524f9b3c694 MD5sum: 2802ac00bd4cde566c922637400d6379 Description: application template generator KAppTemplate is a shell script that will create the necessary framework to develop several types of applications, including applications based on the KDE development platform. . It generates the build-system configuration and provides example code for a simple application. . This package is part of the KDE Software Development Kit module. Package: kaptain Version: 1:0.73-1 Architecture: armhf Maintainer: Tobias Quathamer Installed-Size: 616 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6), dpkg (>= 1.15.4) | install-info Homepage: http://kaptain.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/k/kaptain/kaptain_0.73-1_armhf.deb Size: 354564 SHA256: a28954845a2e67bf6f7dcc5509487c3dcf39cae6265404d5d87cbdeb80f05fb7 SHA1: d2077e879d3c2de19674838dc3689421be998ed7 MD5sum: f60e7005ac03870b39b3757acd3aec06 Description: universal graphical front-end for command line programs Kaptain is a universal graphical front-end (Qt) based on context-free grammars. The program reads a file containing grammatical rules for generating text. It builds a dialog from the grammar and generates the text according to the user's settings. Package: karbon Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1762 Depends: calligra-libs (= 1:2.4.4-3), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.4.0), libkio5 (>= 4:4.4.0), libkparts4 (>= 4:4.5.85), libpoppler19 (>= 0.18.4), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libwpd-0.9-9, libwpg-0.2-2 Recommends: pstoedit Breaks: calligra-data (<< 1:2.4.3-2), koffice-data (<< 1:2.4) Replaces: calligra-data (<< 1:2.4.3-2), koffice-data (<< 1:2.4) Homepage: http://www.calligra-suite.org/karbon/ Priority: optional Section: graphics Filename: pool/main/c/calligra/karbon_2.4.4-3_armhf.deb Size: 603300 SHA256: 6debbb96dfb051a6ab2b3c55dac1da1bbd03101be5d38025d9569995332577b1 SHA1: a23e1a7b9670e3636ebe5d25a7fabe8794ab201c MD5sum: c95ce71bcf5caa941282d134ebd5b014 Description: vector graphics application for the Calligra Suite Karbon is a vector drawing application with an user interface that is easy to use, highly customizable and extensible. That makes Karbon a great application for users starting to explore the world of vector graphics as well as for artists wanting to create breathtaking vector art. Features include: . * Loading support for ODG, SVG, WMF, WPG, EPS/PS * Writing support for ODG, SVG, WMF, PNG, PDF * Customizable user interface with freely placeable toolbars and dockers * Layer docker for easy handling of complex documents including preview thumbnails, support for grouping shapes via drag and drop, controlling visibility of shapes or locking * Advanced path editing tool with great on-canvas editing capabilities * Various drawing tools for creating path shapes including a draw path tool and a pencil tool, as well as a calligraphy drawing tool * Gradient and pattern tools for easy on-canvas editing of gradient and pattern styles * Top notch snapping facilities for guided drawing and editing (e.g. snapping to grid, guide lines, path nodes, bounding boxes, orthogonal positions, intersections of path shapes or extensions of lines and paths) * Many predefined basic shapes included, such as circle/ellipse, star or rectangle * Artistic text shape with support for following path outlines (i.e. text on path) * Complex path operations and effects like Boolean set operations, path flattening, rounding and refining as well as whirl/pinch effects * Extensible by writing plugins for new tools, shapes and dockers . This package is part of the Calligra Suite. Package: karma-tools Source: libkarma Version: 0.1.2-2.3 Architecture: armhf Maintainer: Joe Nahmias Installed-Size: 93 Depends: libc6 (>= 2.13-28), libkarma0, libusb-0.1-4 (>= 2:0.1.12) Homepage: http://www.freakysoft.de/html/libkarma/ Priority: extra Section: utils Filename: pool/main/libk/libkarma/karma-tools_0.1.2-2.3_armhf.deb Size: 28838 SHA256: 663127fceaaea493501e135daf8d192761830964ac1b0acf26aff88950449d6a SHA1: feb703f7f4ccf4c5f04fdc9afbd1efc0fac9dbb6 MD5sum: 613d5471ac997c2cc1393e89bfa63e06 Description: Rio Karma access library [tools] Some basic utilities for use with the Rio Karma music player. Includes: . * chprop - changes file properties on the Rio Karma * karma_helper - adjusts the state of the Rio Karma * riocp - transfers files to and from the Rio Karma Package: kasumi Version: 2.5-2 Architecture: armhf Maintainer: Ikuya Awashiro Installed-Size: 216 Depends: libanthy0, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6) Recommends: anthy Homepage: http://kasumi.sourceforge.jp/ Priority: optional Section: x11 Filename: pool/main/k/kasumi/kasumi_2.5-2_armhf.deb Size: 74816 SHA256: 34faefa1dadb85674875a6cf4b430b6b9e590b058319b0b3d1b6c979cc1b9de3 SHA1: bc8a8f14b39dc8402f9aa15a0c3fd2d4ce2bb032 MD5sum: 09ef21170426f7aedbfa696b66128fec Description: Simple dictionary utility for Anthy Kasumi is a personal dictionary management tool for Anthy. Anthy is a Japanese input method to convert Hiragana text to Kana Kanji mixed text. . Featuring add words, edit words, delete words, search words and so on. Package: kate Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2172 Depends: kate-data (>= 4:4.8.4-1), katepart (= 4:4.8.4-1), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkateinterfaces4 (= 4:4.8.4-1), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkfile4 (>= 4:4.8), libkio5 (>= 4:4.8), libknewstuff2-4 (>= 4:4.8), libknewstuff3-4 (>= 4:4.8), libkparts4 (>= 4:4.8), libktexteditor4 (>= 4:4.8), libplasma3 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Suggests: aspell | ispell | hspell, khelpcenter4, konsole Homepage: http://kate-editor.org/ Priority: optional Section: editors Filename: pool/main/k/kate/kate_4.8.4-1_armhf.deb Size: 789616 SHA256: ed2d6675cc7438937bd22c10b7f9c9644c9cc72c1e8ceac59160fdc74360368b SHA1: 6f2e3db3e01ae9f466784373d60c685915f7bd9b MD5sum: 10c4e35d2939afeaef7a0518ff0e6009 Description: K Advanced Text Editor Kate is a powerful text editor that can open multiple files simultaneously. . With a built-in terminal, syntax highlighting, and tabbed sidebar, it performs as a lightweight but capable development environment. Kate's many tools, plugins, and scripts make it highly customizable. . Kate's features include: . * Multiple saved sessions, each with numerous files * Scriptable syntax highlighting, indentation, and code-folding * Configurable templates and text snippets * Symbol viewers for C, C++, and Python * XML completion and validation . This package is part of the KDE 4 Base applications module. Package: kate-data Source: kate Version: 4:4.8.4-1 Installed-Size: 7436 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kate (<< 4:4.6.90), kdelibs5-data (<< 4:4.6.90) Breaks: kate (<< 4:4.6.90), kdelibs5-data (<< 4:4.6.90) Size: 1436890 SHA256: 9aac666624aca9eb6bc44cbf2a5df8617b4383e50d514b04b6b977c0e9c17be1 SHA1: 10c4dfa7d3f8feb43c1298363cfd83a428c2f0ff MD5sum: 1494042eefaaeb3afbde9f14cb3d10cd Description: shared data files for kate This package contains the architecture-independent shared data files needed for kate. . This package is part of the KDE 4 Base applications module. Homepage: http://kate-editor.org/ Section: kde Priority: optional Filename: pool/main/k/kate/kate-data_4.8.4-1_all.deb Package: kate-dbg Source: kate Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 50618 Depends: katepart (= 4:4.8.4-1), kde-runtime-dbg (>= 4:4.6.90) Breaks: kdebase-dbg (<< 4:4.6.90), kdelibs5-dbg (<< 4:4.6.90), kdesdk-dbg (<< 4:4.6.90) Replaces: kdebase-dbg (<< 4:4.6.90), kdelibs5-dbg (<< 4:4.6.90), kdesdk-dbg (<< 4:4.6.90) Homepage: http://kate-editor.org/ Priority: extra Section: debug Filename: pool/main/k/kate/kate-dbg_4.8.4-1_armhf.deb Size: 20137520 SHA256: 8bc8295f17b7b544ab5dc5085103044527e91a6df6a01714cb2b30e31ac15e1c SHA1: a4e03c57f80aa1901795d08dac01d92867b44753 MD5sum: 4cff55873e39395f6f4d11a839d8fc9c Description: debugging symbols for Kate This package contains debugging files used to investigate problems with binaries included in Kate. . This package is part of the KDE 4 base applications module. Package: kate-syntax-go Source: golang Version: 2:1.0.2-1.1+rpi1 Architecture: all Maintainer: Ondřej Surý Installed-Size: 109 Depends: kate Suggests: golang-go Homepage: http://golang.org/ Priority: optional Section: devel Filename: pool/main/g/golang/kate-syntax-go_1.0.2-1.1+rpi1_all.deb Size: 26072 SHA256: b21d713ff4893305fb8e0418066a4b18ad62e6f1ba9e301f17396ac3e278b4a6 SHA1: a97fc26b7a395ca2c5bb32549703aedab9a548a3 MD5sum: b34d33dd0ead4561738b1f1e86cc6b1b Description: Go programming language - Kate highlighting syntax files This package provides syntax files for the Kate editor for editing code in Google's Go programming language. Package: katepart Source: kate Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 412 Depends: kate-data (>= 4:4.8.4-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkatepartinterfaces4 (= 4:4.8.4-1), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libkparts4 (>= 4:4.8), libktexteditor4 (>= 4:4.8), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Breaks: kate (<< 4:4.7.0), kdelibs5-data (<< 4:4.6.90), kdelibs5-plugins (<< 4:4.6.90) Replaces: kate (<< 4:4.7.0), kdelibs5-data (<< 4:4.6.90), kdelibs5-plugins (<< 4:4.6.90) Homepage: http://kate-editor.org/ Priority: optional Section: kde Filename: pool/main/k/kate/katepart_4.8.4-1_armhf.deb Size: 133138 SHA256: 93acbf76fa4280544678a9afbf6a55036de6621981630443939d86860cab1188 SHA1: 6c45f92aca3da8f8d0e4448f66587f72b907e35c MD5sum: b0a9b4dc3e5792c82cefe6e419f59ef3 Description: kate KPart KatePart is a fast and featurerich text editor component. It implements the KTextEditor interfaces, a common interface collection for text editor components in KDE. Package: katomic Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1008 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7), libknewstuff3-4 (>= 4:4.7), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), perl Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/katomic_4.8.4-3_armhf.deb Size: 638910 SHA256: 728ccf28125781bd0b35a1f1fb3cf0ad4767d337438628b0e9ca73103b16c2ee SHA1: c752b23f1b957bae762bdd99f193bd539e23e0df MD5sum: 82dcacfef2a458ee4db0e291b70efaca Description: atomix puzzle game KAtomic is a puzzle game in which the player slides atoms around the board to assemble a molecule. . This package is part of the KDE games module. Package: katoob Version: 0.5.9.1-3 Architecture: armhf Maintainer: Mohammed Sameer Installed-Size: 1033 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libbz2-1.0, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libcurl3-gnutls (>= 7.16.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libenchant1c2a (>= 1.6), libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Recommends: iso-codes Homepage: http://foolab.org/projects/katoob Priority: optional Section: text Filename: pool/main/k/katoob/katoob_0.5.9.1-3_armhf.deb Size: 374546 SHA256: d466090995111af0a98ec4204b961367dcdf35ba6bf3bd8c8d16bad9764e2fe6 SHA1: 392d2036299c0bceddf7f4d9c512bd6b17e2a2cf MD5sum: b44163ca39222879e2920671d2ee9f40 Description: A Gtk2 light weight multilingual BiDi aware text editor katoob is a light weight, multi lingual, BIDI-aware text editor. It support opening and saving files in multiple encodings. The main support is for Arabic language, But language specific features can be added. Package: kawari8 Version: 8.2.8-7 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 1708 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpython2.7 (>= 2.7), libstdc++6 (>= 4.4.0) Suggests: ninix-aya Homepage: http://kawari.sourceforge.net/ Priority: optional Section: games Filename: pool/main/k/kawari8/kawari8_8.2.8-7_armhf.deb Size: 702220 SHA256: e1fde0767c109431fea6229e06db5b1ab12b5a5cbf1177afcf89abaa72be815a SHA1: ff53f2de5ac8f0b4a2fe1bad82398df8b1b30c08 MD5sum: 977af419c6d7e3f7ee769d8f4c8f243b Description: Pseudo AI Shiori module used to create ghosts for Ukagaka Kawari is a conversation generating script language. It is usually used to develop ghosts for Ukagaka platform. . Ukagaka, also known as Nanika, is a platform on which provides mascot characters for the user's desktop. These mascot characters can say something which is programmatically generated. Conversations can be generated by programs which follows the Shiori standard. And the conversation-generating script we called it "ghost" which means the spirit of the mascot character. . This package also install the kawari8 plug-in for ninix-aya in order to perform ghosts based on kawari8. Python-Version: current Package: kaya Version: 0.4.4-6 Architecture: armhf Maintainer: Stuart Teasdale Installed-Size: 14547 Depends: libc6 (>= 2.13-28), libedit2 (>= 2.11-20080614-1), libffi5 (>= 3.0.9), libgc1c2 (>= 1:7.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgmp10, libpcre3 (>= 8.10), libstdc++6 (>= 4.4.0), libtinfo5, zlib1g (>= 1:1.2.0), libgc-dev, g++, zlib1g-dev, libpcre3-dev (>= 5.0), libgcrypt11-dev, libgnutls-dev Homepage: http://kayalang.org/ Priority: extra Section: devel Filename: pool/main/k/kaya/kaya_0.4.4-6_armhf.deb Size: 4015274 SHA256: 468079ad8d78be5642e6539309c10ba9df9e677b7c1cd99f111b6f3c4e9ae4e1 SHA1: cc297320d1affafa3dc9aeafefe7117ce6dcffce MD5sum: 77db4de047129201856d97546c63c207 Description: A statically typed, imperative programming language Kaya is a compiled statically typed (ie, types are checked at compile time) imperative programming language; unlike other such languages, however, types are inferred rather than declared - there is no need for type declarations of local variables. Kaya has "tagged union" data structures, a powerful feature more commonly found in functional languages such as OCaml and Haskell. . Kaya also has built-in abstractions for web application development, making it easy to write a CGI program without paying too much attention to low level details such as state management and form handling. Package: kbackup Version: 0.7.1-3 Architecture: armhf Maintainer: Scott Kitterman Installed-Size: 1055 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://kde-apps.org/content/show.php/KBackup?content=44998 Priority: optional Section: kde Filename: pool/main/k/kbackup/kbackup_0.7.1-3_armhf.deb Size: 585636 SHA256: 9d959efa6e746e63feb9c8b459a40da3b3d4b7e9d5005a3a8f523eb029fbb4f2 SHA1: c5f027b151050334216ab3dfcf65d20847b02b6f MD5sum: 1e8e307d13f0e917ceeb526bab8f8474 Description: Easy to use backup program Kbackup is a program that lets you back up any directories or files. It uses an easy to use directory tree to select the things to back up and lets you save your settings in "profile" files. These are simple textfiles containing definitions for directories and files to be included or excluded from the backup process. Package: kball Version: 0.0.20041216-8 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 159 Depends: libaldmb1, liballegro4.2 (>= 2:4.2.2), libc6 (>= 2.13-28), libdumb1, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), kball-data (>= 0.0.20041216-8) Homepage: http://kball.sourceforge.net/ Priority: optional Section: games Filename: pool/main/k/kball/kball_0.0.20041216-8_armhf.deb Size: 60890 SHA256: bf22d7b5d75126c95db3f28f67be6c5343c6a5c393a9a3c0f2167adbf3bd891e SHA1: 1d6d6b49007adcd66b4bf3cc4bf874ed8245ea2e MD5sum: 41f276b773112b0b0292a3207c1bd905 Description: game of skill and reflexes for all the family A game of skill and reflexes, non violent, suitable for all ages. The idea is to move the ball around the map, without falling, without running out of time, and getting the prizes, in order to reach the exit. The main feature of the game is the built-in map editor, so anybody can make their own maps. Package: kball-data Source: kball Version: 0.0.20041216-8 Installed-Size: 10268 Maintainer: Debian Games Team Architecture: all Size: 7370146 SHA256: 76d7ad34c9889451a9fc9bdfeabd01664c329120c41f40eb6a511bcecdd6463e SHA1: df816debb665d1a6a5466f56ce48a886a5936212 MD5sum: 0851deee5bc417bfdc81d059577dedd4 Description: game of skill and reflexes for all the family - data files A game of skill and reflexes, non violent, suitable for all ages. The idea is to move the ball around the map, without falling, without running out of time, and getting the prizes, in order to reach the exit. The main feature of the game is the built-in map editor, so anybody can make their own maps. . This package includes the data of the game. Homepage: http://kball.sourceforge.net/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/k/kball/kball-data_0.0.20041216-8_all.deb Package: kbattleship Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1261 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkdnssd4 (>= 4:4.7), libkggzmod4 (>= 4:4.6.2), libphonon4 (>= 4:4.3.0), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), phonon Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kbattleship_4.8.4-3_armhf.deb Size: 892998 SHA256: 1c07bf090170a4bef12919b9f4395687c571a2e4067a9450e07c68e8220bdfca SHA1: b06e6dc55e3a44b00cd17f931983648c4219c791 MD5sum: ab967a886c548895e63403cbb8d4e836 Description: battleship board game KBattleship is an implementation of the Battleship game, where two players take turns firing at the opponent's ships by guessing their coordinates. . This package is part of the KDE games module. Package: kbd Version: 1.15.3-9 Architecture: armhf Maintainer: Console utilities maintainers Installed-Size: 1332 Depends: libc6 (>= 2.13-28), lsb-base (>= 3.0-10) Recommends: console-setup | console-data Conflicts: console-utilities Provides: console-utilities Priority: optional Section: utils Filename: pool/main/k/kbd/kbd_1.15.3-9_armhf.deb Size: 446116 SHA256: 71fb64ad01638cc278ec0eeeb6e4e8ddfc49b4aca9e61984c66db02b859c16ac SHA1: f8d0f77b34ab19487e0977ee8b3952b421e97274 MD5sum: 146007c435b7c8c44a0b7ce3ed85518d Description: Linux console font and keytable utilities This package allows you to set up the Linux console, change the font, resize text mode virtual consoles and remap the keyboard. . You will probably want to install a set of data files, such as the one in the “console-data” package. Package: kbd-compat Source: console-tools Version: 1:0.2.3dbs-70 Installed-Size: 116 Maintainer: Alastair McKinstry Architecture: all Replaces: kbd Provides: kbd Depends: console-tools (>= 1:0.2.3dbs-70), libconsole (>= 1:0.2.3dbs-70) Conflicts: kbd Size: 46528 SHA256: f5279ba295a592dea893ee830124ec1cce6ec19d78f7bd12c850cf4a95743e2c SHA1: 796c18b0beac6aa46c0175f8e5eed1f8aea50999 MD5sum: fa6f36936c4f3cb66242c8078b3dadd5 Description: Wrappers around console-tools for backward compatibility with `kbd' This package provides the following wrapper scripts, for compatibility with old `kbd' package, for programs which depends on the former's command-line interfaces: setfont, loadunimap, saveunimap, mapscrn, setlogcons. Tag: works-with::font Section: utils Priority: optional Filename: pool/main/c/console-tools/kbd-compat_0.2.3dbs-70_all.deb Package: kbdd Version: 0.6-4 Architecture: armhf Maintainer: Stanislav Maslovski Installed-Size: 75 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libglib2.0-0 (>= 2.14.0), libx11-6, dbus-x11 Homepage: https://github.com/qnikst/kbdd Priority: extra Section: x11 Filename: pool/main/k/kbdd/kbdd_0.6-4_armhf.deb Size: 15738 SHA256: 236dae631aca1a44c9001eb91ae431167f3cf2dac111f85f2d50efe3f1714a5a SHA1: b1497e0d440fccaf8e2d5748666e559a2e594443 MD5sum: a4f06ba164432fc6927a89d5c50597b8 Description: Per-window keyboard layout switching daemon for X KBDD stands for keyboard daemon. It is a simple keyboard layout switching program, which is designed to run in an X11 session and remember keyboard layouts on a per-window basis. That can be very handy for a user of a non-US keyboard who does not want to jump through layouts back and forth while typing in terminals (mostly in latin) and some kind of chat (in native language). Another useful thing about KBDD is its D-Bus notification support — it can emit signals on layout change, thus, making it possible to create layout indicator widgets in such window managers as awesome, for example. . Users of popular desktop environments such as GNOME or KDE most likely do not need this package, as a similar functionality should be already available within their environment. This package may be of interest, however, for the users of tiling or dynamic window managers such as dwm or awesome. Package: kbibtex Version: 0.4-4 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1509 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.4-2~), libkdeui5 (>= 4:4.4.0), libkfile4 (>= 4:4.3.4), libkio5 (>= 4:4.4.0), libkparts4 (>= 4:4.5.85), libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libpoppler-qt4-3 (>= 0.18), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25) Recommends: texlive-bibtex-extra Suggests: texlive-latex-base | tetex-extra, bibtex2html, latex2rtf Homepage: http://www.unix-ag.uni-kl.de/~fischer/kbibtex Priority: optional Section: kde Filename: pool/main/k/kbibtex/kbibtex_0.4-4_armhf.deb Size: 543486 SHA256: ddf07d295af1f39cf1b6561cdaafa75e18c4abc3068a2561d02a45bf24820804 SHA1: 202b1efad9609503fa16cb19e9812dd9abf26783 MD5sum: 67a0f53cde934d4135c7d00b8fe7db67 Description: BibTeX editor for KDE An application to manage bibliography databases in the BibTeX format. KBibTeX can be used as a standalone program, but can also be embedded into other KDE applications (e.g. as bibliography editor into Kile). . KBibTeX can query online resources (e.g. Google scholar) via customizable search URLs. It is also able to import complete datasets from NCBI Pubmed. It also supports tagging references with keywords and manages references to local files. . BibTeX files can be exported into HTML, XML, PDF, PS and RTF format using a number of citation styles. Package: kblackbox Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 406 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kblackbox_4.8.4-3_armhf.deb Size: 129842 SHA256: b343ce390598c875ad872ffc6a1492396f056e3b34e7ecda05dac2b088b2e7bc SHA1: 2a32d847c1c8c135bc9a0b81e6673cbcaa995de4 MD5sum: 78dd4c0b64efd86c315d0af119e9d313 Description: Black Box puzzle game KBlackBox is a game of hide and seek played on an grid of boxes, where the player shoots rays into the grid to deduce the positions of hidden objects. . This package is part of the KDE games module. Package: kblocks Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 3411 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libphonon4 (>= 4:4.3.0), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.6), phonon Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kblocks_4.8.4-3_armhf.deb Size: 1231972 SHA256: 257f6d10b8d8546b1ed51a9dc4049665da8c06bd35cb679cf423677c22badb64 SHA1: 79df98b6ec90127cf9952b2f9b333c6020633172 MD5sum: 9b5744045904112e9ae3dc0d19575ead Description: falling blocks game KBlocks is a single player falling blocks puzzle game, a Tetris-like replacement for KSirtet. . This package is part of the KDE games module. Package: kbounce Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2127 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7), libphonon4 (>= 4:4.3.0), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), phonon Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kbounce_4.8.4-3_armhf.deb Size: 1857210 SHA256: 27fc3161ffba4df786d7a94d339c967bfdd5f669b9f3e852994b3d343fd4c648 SHA1: dc1675b585f3832fa7e533203bb5856dc925a0f3 MD5sum: 522fb5bd2a37dc3138185ed2f89e57a2 Description: Jezzball arcade game KBounce is a game where the player builds walls to confine a number of bouncing balls. . This package is part of the KDE games module. Package: kbreakout Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1998 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kbreakout_4.8.4-3_armhf.deb Size: 1757934 SHA256: 1f0736283cc54a12339e3a6f27e3c272c9002209f82bfab97bc576f560d68f5a SHA1: 2354eedfbb891b3afe791b0b14dc64e7d36ef41a MD5sum: a7917192835d105729af33f35af253fa Description: ball and paddle game kbreakout is a game similar to the classics breakout and xboing, featuring a number of added graphical enhancements and effects. You control a paddle at the bottom of the playing-field, and must destroy bricks at the top by bouncing balls against them. . This package is part of the KDE games module. Package: kbruch Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1274 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Suggests: khelpcenter4 Homepage: https://projects.kde.org/projects/kde/kdeedu/kbruch Priority: optional Section: math Filename: pool/main/k/kbruch/kbruch_4.8.4-1_armhf.deb Size: 965938 SHA256: 240fc1f08a5c2d83532ab8855bdb5dbc7422deef1e3cb44b4d5c16fa1c9c749a SHA1: 1a931b1d2c0691667c99192dc424c02e5c033beb MD5sum: 1b3808dd141609ef47e0853b3429c1be Description: fraction learning aid for KDE KBruch is an aid for learning how to calculate with fractions. . This package is part of the KDE education module. Package: kbruch-dbg Source: kbruch Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 4536 Depends: kbruch (= 4:4.8.4-1) Breaks: kdeedu-dbg (<< 4:4.6.90) Replaces: kdeedu-dbg (<< 4:4.6.90) Homepage: https://projects.kde.org/projects/kde/kdeedu/kbruch Priority: extra Section: debug Filename: pool/main/k/kbruch/kbruch-dbg_4.8.4-1_armhf.deb Size: 1916024 SHA256: 5b95f20caa54e5d589c36b71a9c4a7e7aefd5e1bc51e23b6fefaee980db5d0a6 SHA1: 908bed27c4acdcf9689da12ca2d2d158275d139e MD5sum: a4db619d29cb7803386bc579f8efe985 Description: debugging symbols for kbruch This package contains debugging files used to investigate problems with kbruch. . This package is part of the KDE education module. Package: kbuild Version: 1:0.1.9998svn2543+dfsg-1 Architecture: armhf Maintainer: Debian Virtualbox Team Installed-Size: 2037 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://svn.netlabs.org/kbuild Priority: extra Section: devel Filename: pool/main/k/kbuild/kbuild_0.1.9998svn2543+dfsg-1_armhf.deb Size: 675866 SHA256: 6de868beb62578224263a9af5e7e9101abe905d43f924eb9d2db985fba495bc9 SHA1: 7ee14388c6edc542bc610239704f83ff6a435a73 MD5sum: 7e7e490c1f6158cc07f35e2e40648679 Description: framework for writing simple makefiles for complex tasks The goals of the kBuild framework: - Similar behavior cross all supported platforms. - Flexibility, don't create unnecessary restrictions preventing ad-hoc solutions. - Makefile can very simple to write and maintain. . There are four concepts being tried out in the current kBuild incaration: - One configuration file for a subtree automatically included. - Target configuration templates as the primary mechanism for makefile simplification. - Tools and SDKs for helping out the templates with flexibility. - Non-recursive makefile method by using sub-makefiles. . kBuild does not provide any facilities for checking compiler/library/header configurations, that's not in its scope. If this is important for your project, check out the autoconf tool in the GNU build system. It is possible to use kBuild together with autoconf if you like, but you might just as well use the full GNU package. Package: kcachegrind Source: kdesdk Version: 4:4.8.4+dfsg-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 958 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Recommends: valgrind, graphviz Suggests: kcachegrind-converters, khelpcenter4 Homepage: http://www.kde.org Priority: optional Section: devel Filename: pool/main/k/kdesdk/kcachegrind_4.8.4+dfsg-1_armhf.deb Size: 273546 SHA256: 3dc9fb571bedfc393b8fb89e3d2c449b17f2adc4ba04eb113cc37613ef9cf56d SHA1: 38848e18afe98c4657b0171173c5977a0cbbe265 MD5sum: b13a17e3818726ed5740975390ddb857 Description: visualisation tool for the Valgrind profiler KCachegrind is a visualisation tool for the profiling data generated by calltree, a memory profiling tool for valgrind. Any executable can be profiled using calltree without being recompiled, including multi-threaded applications, shared libraries, and plugins. . For visualising the output from profiling tools other than Valgrind, several converters can be found in the kcachegrind-converters package. . This package is part of the KDE Software Development Kit module. Package: kcachegrind-converters Source: kdesdk Version: 4:4.8.4+dfsg-1 Installed-Size: 96 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: perl, php5-cli, python Recommends: kcachegrind Size: 27230 SHA256: 4e49ddddb638ffc4a3bef6b9ca89464c312f5232299f6f6f9743fc962732c5ac SHA1: 4d0596d636b169cb9bc762c9d12882c920a317e1 MD5sum: b827ea83bc6b4a5638485e4fac0e2e4c Description: format converters for KCachegrind profiler visualisation tool This is a collection of scripts for converting the output from several different profiling tools into a format that KCachegrind can display. . KCachegrind is a visualisation tool for the profiling data generated by calltree, a memory profiling tool for valgrind. Executables can be profiled using calltree without being recompiled, including multi-threaded applications, shared libraries, and plugin architectures. . The included scripts are: . * dprof2calltree: converts the tmon.out output of Perl's Devel::DProf package * hotshot2calltree: converts the pstat output of the hotshot Python profiler * memprof2calltree: converts the memory profiles of memprof * op2calltree: converts the OProfile's output of "opreport -gdf" (v 0.8) * pprof2calltree: converts the pprof output from APD . This package is part of the KDE Software Development Kit module. Homepage: http://www.kde.org Tag: devel::profiler, implemented-in::perl, implemented-in::php, implemented-in::python, interface::x11, role::plugin, role::program, scope::utility, suite::kde, uitoolkit::qt, x11::application Section: devel Priority: optional Filename: pool/main/k/kdesdk/kcachegrind-converters_4.8.4+dfsg-1_all.deb Package: kcalc Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 481 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libkdecore5 (>= 4:4.7), libkdeui5 (>= 4:4.7), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Priority: optional Section: math Filename: pool/main/k/kcalc/kcalc_4.8.4-2_armhf.deb Size: 173314 SHA256: 6bed101256c4000a39eae7259e6fba6793c8c789b176bc6222c7674516e3d6c0 SHA1: 645b16b596c80394a54749806d680aced302c825 MD5sum: 8fba9ff4823b8ceeec329479dd9b9e23 Description: simple and scientific calculator KCalc is a scientific calculator. . KCalc provides: * Trigonometric functions, logic operations, and statistical calculations * Calculation in decimal, hexadecimal, octal, and binary bases * Memory functions for storing results * A comprehensive collection of mathematical constants . This package is part of the KDE Utilities module. Package: kcc Version: 2.3-12 Architecture: armhf Maintainer: Araki Yasuhiro Installed-Size: 67 Depends: libc6 (>= 2.4) Priority: extra Section: text Filename: pool/main/k/kcc/kcc_2.3-12_armhf.deb Size: 17010 SHA256: 55714be81f3d80e43796f75b557b6c747864d8b4f8d5f686b37b8b052299d289 SHA1: aba742766f4d5a308f53680c8702e9304e099017 MD5sum: e16366434e301896875d83181e1ea36a Description: Kanji code filter kcc can converts various Japanese encodings such as EUC-JP, 7bitJIS, 8bitJIS and ShiftJIS with Half-width Kana supports. kcc can detect these encoding automatically as much as possible. . Sponsored by Fumitoshi UKAI Package: kcharselect Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 177 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.7), libkdeui5 (>= 4:4.7), libqtcore4 (>= 4:4.6.1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1) Priority: optional Section: utils Filename: pool/main/k/kcharselect/kcharselect_4.8.4-2_armhf.deb Size: 84072 SHA256: cee254932102e620f5c08b793f31b957a9bff0fffa489d9a5ffad39a404c7f38 SHA1: 3c32e2369b230392a1706fdb95558effe176b746 MD5sum: 7ac5383d4734253ba84ae8f777b1f36c Description: special character utility KCharSelect is a utility for finding special characters which are not available on the keyboard. It can search for characters by Unicode number, display characters in any font, and copy characters to the clipboard so they can be pasted into documents. . This package is part of the KDE utilities module. Package: kcheckers Version: 0.8.1-3 Architecture: armhf Maintainer: Bart Martens Installed-Size: 345 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://qcheckers.sourceforge.net/ Priority: optional Section: games Filename: pool/main/k/kcheckers/kcheckers_0.8.1-3_armhf.deb Size: 122488 SHA256: 6cb72e3a06def95a4a7bfa254eb85c0f0706cea017a8fee1634cce3343b730af SHA1: eceb17920e0a9107d1e5b7c0c4c46c3e70d31bc9 MD5sum: 90ad404002a60e029241c3e45d24559d Description: Checkers boardgame kcheckers is a Qt version of the classic boardgame "checkers", also known as "draughts". It has the following features: * Built-in checkers engine. * Beginner, Novice, Average, Good, Expert and Master levels of skill. * Several themes of the board. * Portable Draughts Notation database format support. * Saving, loading and restarting of game. * Auto change of the men's color. * Multiple Undos/Redos. * Optional numeration of the board. * Internationalization support (English, German, Russian and French). Package: kcheckgmail Version: 0.6.0-2 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 831 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libknotifyconfig4 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://kcheckgmail.sourceforge.net/ Priority: optional Section: kde Filename: pool/main/k/kcheckgmail/kcheckgmail_0.6.0-2_armhf.deb Size: 188196 SHA256: 4615f36292186f7bb6f54b76effcfa72b4626323ab53fe0b4ac787799deec29a SHA1: dbe9851d50c88433c5a1720f59119ca9b3d4e0d9 MD5sum: f10ff6ed67f85390777b338518c5fe6d Description: A Gmail notifier-like notifier for KDE KCheckGMail is a KDE systray application which checks Gmail accounts for new mail. . Some features include: * New email notifications displaying message subject. * Customisable check interval, web browser and more. * Support for Gmail's simple (pure HTML) and full interfaces. * Customisable search. * KWallet support. Package: kchmviewer Version: 5.3-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 793 Depends: kde-runtime, libc6 (>= 2.13-28), libchm1 (>= 0.40), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libkfile4 (>= 4:4.3.4), libkhtml5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkjsapi4 (>= 4:4.3.4), libkparts4 (>= 4:4.5.85), libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0) Recommends: okular-extra-backends Homepage: http://www.kchmviewer.net/ Priority: optional Section: kde Filename: pool/main/k/kchmviewer/kchmviewer_5.3-1_armhf.deb Size: 237832 SHA256: cc2a3038b422937c93857563334ffdcec2329961bcfa434c0f01f20379ed847e SHA1: 2e22875f9cca7b7ff5bd5e364c747c794070eddc MD5sum: 40132ebccb9ad4710cb23c5d126e7d24 Description: CHM viewer for KDE KchmViewer is a chm (MS HTML help file format) viewer, written in C++. Unlike most existing CHM viewers for Unix, it uses Trolltech Qt widget library, and does not depend on KDE or GNOME. However, it may be compiled with full KDE support, including KDE widgets and KIO/KHTML. . The main advantage of KchmViewer is non-English language support. Unlike others, KchmViewer in most cases correctly detects help file encoding, correctly shows tables of context of Russian, Korean, Chinese and Japanese help files, and correctly searches in non-English help files (search for MBCS languages - ja/ko/ch is still in progress). . Completely safe and harmless. Does not support JavaScript in any way, optionally warns you before opening an external web page, or switching to another help file. Shows an appropriate image for every TOC entry. . KchmViewer Has complete chm index support, including multiple index entries, cross-links and parent/child entries in index as well as Persistent bookmarks support. Correctly detects and shows encoding of any valid chm file. Package: kcollectd Version: 0.9-2.1 Architecture: armhf Maintainer: M G Berberich Installed-Size: 403 Depends: kde-runtime, libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), librrd4 (>= 1.3.0), libstdc++6 (>= 4.4.0), collectd Suggests: khelpcenter4 Homepage: http://www.forwiss.uni-passau.de/~berberic/Linux/kcollectd.html Priority: extra Section: utils Filename: pool/main/k/kcollectd/kcollectd_0.9-2.1_armhf.deb Size: 139916 SHA256: 2a88c07cf3338cccca02342cc4ccc0a38d4465051f9b094b051718d4c51af9fd SHA1: 7faaf8971d7754583995e7b387f28a89de1590a4 MD5sum: 38a6ba39f17c7089a25e62472317d6ea Description: simple collectd graphing frontend for KDE Kcollectd is a graphical KDE-frontend to collectd that allows to view RRD files that have been created by collectd. It allows to easily navigate in the data with the mouse and can be used as a chart recorder. Package: kcolorchooser Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 78 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Breaks: kcoloredit Replaces: kcoloredit Homepage: https://projects.kde.org/projects/kde/kdegraphics/kcolorchooser Priority: optional Section: graphics Filename: pool/main/k/kcolorchooser/kcolorchooser_4.8.4-1_armhf.deb Size: 10286 SHA256: c9b5013df29ce800627b5153e4b3d06e9c1fc835aabfe3cf6f30136fbe4d111b SHA1: 0ab116d8f60b4763cc986ae6dd8d22a5b5456d72 MD5sum: 04af41b3ac0ef8eab1d2fa331be43e28 Description: color chooser and palette editor KColorChooser is a color palette tool, used to mix colors and create custom color palettes. Using the dropper, it can obtain the color of any pixel on the screen. . A number of common color palettes are included, such as the standard Web colors and the Oxygen color scheme. . This package is part of the KDE graphics module. Package: kcometen4 Version: 1.0.7-1 Architecture: armhf Maintainer: John Stamp Installed-Size: 257 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkscreensaver5 (>= 4:4.6.1), libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6) Homepage: http://www.mehercule.net/staticpages/index.php/kcometen4 Priority: extra Section: kde Filename: pool/main/k/kcometen4/kcometen4_1.0.7-1_armhf.deb Size: 75246 SHA256: 7acb54943036be37834ecc38d74d6c600bca7f9a5b569a4b12225cf0b1257f8f SHA1: 48c96a751b134222cabd41a03e48b45ed16c0beb MD5sum: bcc40416b6ab416956a97f750b0930f1 Description: An OpenGL KDE screensaver with lightning and comets KCometen4 is an OpenGL KDE screensaver. A variety of multi-colored comets bounce inside a room until they explode. Occasionally lightning will also strike and blow them up. Meanwhile a free-floating camera watches the action and sometimes enteres a "bullet time" mode. Package: kcov Version: 4-2 Architecture: armhf Maintainer: Michael Tautschnig Installed-Size: 171 Depends: libc6 (>= 2.13-28), libelf1 (>= 0.142), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0) Homepage: http://simonkagstrom.github.com/kcov/ Priority: extra Section: devel Filename: pool/main/k/kcov/kcov_4-2_armhf.deb Size: 62770 SHA256: 338e294cd21b2c333c7ce53d2a1c4431a07841a59c6324cd6e1715a1f70a9d67 SHA1: 98e23ab0d7e427212b368cb18517287a4cdaccd0 MD5sum: bde54ce5a135cdab4a8ca1d08d56eec4 Description: Code coverage analysis using DWARF debugging information Kcov is a code coverage tester based on bcov by Thomas Neumann. It allows collecting code coverage information from executables without special command-line arguments, and continuosly produces output from long-running applications. . Kcov, like Bcov, uses DWARF debugging information to make this possible. Package: kcron Source: kdeadmin Version: 4:4.8.4-3 Installed-Size: 35 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kde-config-cron Size: 8298 SHA256: 873a8acfe8422ce50869fc0e3f2e29d946fe4fa49c495146430dfad72d039716 SHA1: 8b239e65219b83a28f750afa18b11bbb7bbdf530 MD5sum: 5f320915de5313c8a3178ee4e308974a Description: program scheduler frontend - transitional package This package helps migrating from the kcron standalone app to the KDE config module in kde-config-cron. . It is a transitional package that can be safely removed. Homepage: http://www.kde.org/ Tag: implemented-in::c++, interface::x11, role::program, scope::utility, suite::kde, uitoolkit::qt, use::editing, x11::application Section: admin Priority: optional Filename: pool/main/k/kdeadmin/kcron_4.8.4-3_all.deb Package: kdbg Version: 2.5.1-1 Architecture: armhf Maintainer: Ana Beatriz Guerrero Lopez Installed-Size: 1069 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.4.0), libkio5 (>= 4:4.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6) Recommends: gdb (>= 5.0) Homepage: http://www.kdbg.org/ Priority: optional Section: devel Filename: pool/main/k/kdbg/kdbg_2.5.1-1_armhf.deb Size: 306212 SHA256: fe35483ce0dee93d1f3a0db7dfeb338b12df2ac4421eff1816f28d96a59fb7e8 SHA1: b70d423cba3aab5c626cc8c257920748665ea39b MD5sum: 8e9496eb4472d6778ecb73da0a4dd35f Description: graphical debugger interface KDbg is a graphical user interface to gdb, the GNU debugger. It provides an intuitive interface for setting breakpoints, inspecting variables, stepping through code and much more. KDbg requires KDE but you can of course debug any program. . KDbg can also debug XSLT (XML stylesheet translation) scripts by interfacing with xsldbg. For this the package kxsldbg must be installed. . Features include the following: * Inspection of variable values in a tree structure. * Direct member: For certain compound data types the most important member values are displayed next to the variable name, so that it is not necessary to expand the subtree of that variable in order to see the member value. KDbg can also display Qt's QString values, which are Unicode strings. * Debugger at your finger tips: The basic debugger functions (step, next, run, finish, until, set/clear/enable/disable breakpoint) are bound to function keys F5 through F10. Quick and easy. * View source code, search text, set program arguments and environment variables, display arbitrary expressions. * Debugging of core dumps, attaching to running processes is possible. * Conditional breakpoints. Package: kdc2tiff Version: 0.35-8 Architecture: armhf Maintainer: Martin Wuertele Installed-Size: 166 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libstdc++6 (>= 4.3.0), libtiff4 (>= 3.9.5-2) Homepage: http://kdc2tiff.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/k/kdc2tiff/kdc2tiff_0.35-8_armhf.deb Size: 72434 SHA256: 429c71bd515b94e289b7d2317f0a9d79977c5d8994e4e4e84bfe48287161c921 SHA1: aec1c7edf4fa79ef8084c1b8d7467ef387c2376b MD5sum: 7bdc3a7f2f61d36c9970b74492ac448f Description: convert Kodac kdc files to jpeg or tiff Convert kdc files as created by Kodac DC-120 digital cameras to tiff or jpeg with attention to aspect ratio, accurate scaling, contrast adjustment, gamma correction and image rotation. Package: kde-baseapps Version: 4:4.8.4-2 Installed-Size: 64 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kdebase-apps (<< 4:4.7.2) Depends: dolphin (>= 4:4.8.4-2), kde-baseapps-bin (>= 4:4.8.4-2), kdepasswd (>= 4:4.8.4-2), kfind (>= 4:4.8.4-2), konqueror (>= 4:4.8.4-2), konsole, kwrite, plasma-widget-folderview (>= 4:4.8.4-2) Recommends: konqueror-nsplugins (>= 4:4.8.4-2) Breaks: kdebase-apps (<< 4:4.7.2) Size: 17258 SHA256: 5aacf1420f408fe054e0568b0d5566b3caaa98489788d8c0e0c702e4fee0d42a SHA1: e042828460e8656178daeee487e0286d41238a92 MD5sum: 82c1e9f3519c10790d8f2d860f9d2ea3 Description: base applications from the official KDE release (meta-package) KDE is produced by an international technology team that creates free and open source software for desktop and portable computing. Among KDE's products are a modern desktop system for Linux and UNIX platforms, comprehensive office productivity and groupware suites and hundreds of software titles in many categories including Internet and web applications, multimedia, entertainment, educational, graphics and software development. . This package provides core applications for the KDE 4 desktop. Homepage: http://www.kde.org/ Tag: role::metapackage Section: kde Priority: optional Filename: pool/main/k/kde-baseapps/kde-baseapps_4.8.4-2_all.deb Package: kde-baseapps-bin Source: kde-baseapps Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1065 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkfile4 (>= 4:4.8), libkhtml5 (>= 4:4.8), libkio5 (>= 4:4.8), libkparts4 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libx11-6, kde-baseapps-data (= 4:4.8.4-2) Breaks: kcontrol, kdebase-bin (<< 4:4.7.2), kdesktop Replaces: kdebase-bin (<< 4:4.7.2) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kde-baseapps/kde-baseapps-bin_4.8.4-2_armhf.deb Size: 257238 SHA256: 038a8724cfbea33a464fdc0a24619eb419d2728465eb6f04a2d20d63cbe27ea9 SHA1: a3ac5d26a9bbd9f4e65abe54b413b6101786a286 MD5sum: 78cefa79dbab2e41a2768b4ddbe670fd Description: core binaries for the KDE base applications This package contains miscellaneous programs needed by other KDE applications, particularly those in the KDE base module. . This package is part of the KDE base applications module. Package: kde-baseapps-data Source: kde-baseapps Version: 4:4.8.4-2 Installed-Size: 602 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kdebase-data (<< 4:4.7.2) Breaks: kdebase-data (<< 4:4.7.2) Size: 195976 SHA256: 57f9afa1ca97032f00204770e7fc9dadeeed951601c062fcc7baa831f72d40d9 SHA1: 026885b92632edec26415ce8bca15512aa8057b1 MD5sum: 04dc9828f9237273baabea6fbde0b55e Description: shared data files for the KDE base applications This package contains the architecture-independent shared data files needed for a basic KDE 4 desktop installation. . This package is part of the KDE 4 base applications module. Homepage: http://www.kde.org/ Section: kde Priority: optional Filename: pool/main/k/kde-baseapps/kde-baseapps-data_4.8.4-2_all.deb Package: kde-baseapps-dbg Source: kde-baseapps Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 77744 Depends: kde-runtime-dbg, konsole-dbg, kate-dbg Suggests: kde-baseapps (= 4:4.8.4-2) Breaks: kdebase-dbg (<< 4:4.7.2) Replaces: kdebase-dbg (<< 4:4.7.2) Homepage: http://www.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kde-baseapps/kde-baseapps-dbg_4.8.4-2_armhf.deb Size: 18321776 SHA256: c393058d6e2ff5b005482b4464b2f3b48db1f092ad8c89669fee77568fd1dbed SHA1: ecff3a4b78f4bc0369a21afadec170146599c823 MD5sum: 823f70452cc946d649d635c6018e39aa Description: debugging symbols for the KDE base applications module This package contains debugging files used to investigate problems with binaries included in the KDE base applications module. Package: kde-config-cddb Source: kdemultimedia Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 265 Depends: libc6 (>= 2.13-28), libkcddb4 (>= 4:4.3.4), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Breaks: libkcddb4 (<< 4:4.4.2) Replaces: libkcddb4 (<< 4:4.4.2) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdemultimedia/kde-config-cddb_4.8.4-2_armhf.deb Size: 140210 SHA256: 1a83a0a15579e63be887faa2302628dd1960b6c0b44f1219ff17bd38ad8acd56 SHA1: 7886f24d24c7cd537f3f266731e8d675122a97e6 MD5sum: 97325a2ce3f3450cf1c1f866f8bf2931 Description: CDDB retrieval configuration This package contains a configuration module for tweaking CDDB retrieval settings for applications using the libkcddb library from the KDE Multimedia Platform. . This package is part of the KDE multimedia module. Package: kde-config-cron Source: kdeadmin Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 408 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), cron | anacron Suggests: khelpcenter4 Breaks: kcron (<< 4:4.4) Replaces: kcron (<< 4:4.4) Homepage: http://www.kde.org/ Priority: optional Section: admin Filename: pool/main/k/kdeadmin/kde-config-cron_4.8.4-3_armhf.deb Size: 182542 SHA256: 902d61ee1986458efbc1f80d0638edb9bd1e4bd44079290e6a3e002485c32e51 SHA1: 7ffdcfc7cba560cac46304b97026f0633b52e750 MD5sum: 685bdb9535d658cf9ed83bd1b690df90 Description: program scheduler frontend kde-config-cron is a KDE configuration module for scheduling programs to run at specific intervals using cron, the UNIX scheduling service. . This package is part of the KDE administration module. Package: kde-config-fcitx Source: kcm-fcitx Version: 0.3.4-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 260 Depends: fcitx-libs, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.4.95), libkio5 (>= 4:4.3.4), libknewstuff3-4 (>= 4:4.4.0), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Homepage: http://code.google.com/p/fcitx Priority: optional Section: kde Filename: pool/main/k/kcm-fcitx/kde-config-fcitx_0.3.4-1_armhf.deb Size: 74884 SHA256: 06e8e1eefa3db8c2eca5b46c48fb53db099d7a3214a70abec31baa29d9aac49e SHA1: a61b5abfe14d073d65b3a0731ee3a95487f2dbd1 MD5sum: 491c091079c995e3e9ab941d2c5ea347 Description: KDE configuration module for Fcitx This is a configuration module for System Settings for configuring the Free Chinese Input Toy of X. . It is designed to have similar functionalities to fcitx-config-gtk, with better KDE4 integration. Package: kde-config-gtk-style Source: kde-gtk-config Version: 3:2.1-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 796 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libgtk2.0-0 (>= 2.14.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libknewstuff3-4 (>= 4:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: https://projects.kde.org/projects/playground/base/kde-gtk-config Priority: optional Section: kde Filename: pool/main/k/kde-gtk-config/kde-config-gtk-style_2.1-1_armhf.deb Size: 112742 SHA256: 1aabe0d36d56d6df1bcf837dd7ce45bf8c1c82f440bd083c550cc844a47c894f SHA1: 53d81fcbfb8b718d00a9df5fb5d7afa93b8363a5 MD5sum: e471d63cf7532bb718909475fae1982d Description: KDE configuration module for GTK+ 2.x and GTK+ 3.x styles selection Configuration dialog to adapt GTK+ applications appearance to your taste under KDE. Among its many features, it lets you: - Choose which theme is used for GTK+ 2.x and GTK+ 3.x applications. - Tweak some GTK+ applications behaviour. - Select what icon theme to use in GTK+ applications. - Select GTK+ applications default fonts. - Easily browse and install new GTK+ 2.x and GTK+ 3.x themes. Package: kde-config-tablet Source: wacomtablet Version: 1.3.6-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1236 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsolid4 (>= 4:4.3.4), libstdc++6 (>= 4.4.0), libx11-6 (>= 2:1.2.99.901), libxau6, libxdmcp6, libxext6, libxi6 (>= 2:1.2.99.4), libxrandr2 Recommends: libkcmutils4 (>= 4:4.4.95), libkemoticons4 (>= 4:4.4.95), libkidletime4 (>= 4:4.4.95), libkprintutils4 (>= 4:4.4.95), libkutils4, libplasma3 (>= 4:4.5.90), libqt4-dbus (>= 4:4.6.1), xserver-xorg-input-wacom Homepage: http://projects.kde.org/projects/extragear/base/wacomtablet Priority: extra Section: kde Filename: pool/main/w/wacomtablet/kde-config-tablet_1.3.6-1_armhf.deb Size: 318804 SHA256: 161ce97fcbfbe628072ecf5a8fd9e8c79d9b98e7033643abd7993d50a2456180 SHA1: d35081254fb7fd9b98418686c83324c9acdb4e66 MD5sum: a31a1c6b105f52dd3e7c3914e9df0c5c Description: implements a KDE configuration GUI for the Wacom drivers KDE 4 KCModule . This module implements a GUI for the Wacom Linux Drivers and extends it with profile support to handle different button / pen layouts per profile. . For hardware support have a look at http://www.linuxwacom.sourceforge.net . All tablets can be set up as long as they are found via xorg.conf or HAL configuration. Package: kde-config-telepathy-accounts Source: ktp-accounts-kcm Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1734 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.6), libkdeui5 (>= 4:4.8.4), libkio5 (>= 4:4.6), libktpcommoninternalsprivate1 (>= 0.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libtelepathy-qt4-2 (>= 0.9.1), kde-telepathy-data (>= 0.4) Recommends: kde-telepathy Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-accounts-kcm Priority: optional Section: kde Filename: pool/main/k/ktp-accounts-kcm/kde-config-telepathy-accounts_0.4.0-1_armhf.deb Size: 434170 SHA256: 1d052ecc7dc6722b3c2b9c5bcfdc059ecf1f980c811a0172991ea7452b49c3f6 SHA1: 17c74714624281705c43762122f6b399eee93a58 MD5sum: 83649e04b9a7428042cb54bfd82d9402 Description: KDE Control Module for managing Telepathy Accounts This package provides a KControl module for adding, editing and removing Telepathy accounts. This module can be accessed from system settings under a KDE Plasma desktop. . This package is not meant to be used standalone. It is recommended to install the kde-telepathy metapackage instead. Package: kde-config-telepathy-accounts-dbg Source: ktp-accounts-kcm Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 5416 Depends: kde-config-telepathy-accounts (= 0.4.0-1) Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-accounts-kcm Priority: extra Section: debug Filename: pool/main/k/ktp-accounts-kcm/kde-config-telepathy-accounts-dbg_0.4.0-1_armhf.deb Size: 5250964 SHA256: d6b5ca9493d8986e7c296decdf6631121008bbdcffb1e8f7909781800e96872a SHA1: 58bdadd76a0b27b1d8c041f9681dd301a67c392f MD5sum: e1f5637f8c716b34dcdf3366655eaeab Description: KDE Control Module for managing Telepathy Accounts - debug symbols This package provides the debug symbols for the Telepathy Accounts KControl module. Package: kde-config-touchpad Source: synaptiks Version: 0.8.1-1 Installed-Size: 355 Maintainer: Debian KDE Extras Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pyudev, python (>= 2.7) | python-argparse, python-pkg-resources, python-qt4 (>= 4.8), python-kde4 (>= 4:4.5), libxi6 (>= 2:1.4) Recommends: libxtst6, python-dbus, upower Size: 108298 SHA256: 41e4971727491f123f9d9e1128306c9e17fa6cf9ec743fb4951188dee012396a SHA1: 575b319b25e9879aaeee2f389ca7d93e763a70f8 MD5sum: 4dc125b718b13f6e0106ad2517cf8a9e Description: touchpad configuration tool (synaptiks) synaptiks touchpad configuration tool. this package contains a configuration tool for touchpads. The package provides a kcm module (for systemsettings), as well as a plasma widget and kded module to help managing touchpads, especially in KDE environments. Homepage: http://kde-apps.org/content/show.php/synaptiks?content=114270 Tag: hardware::input, implemented-in::c++, interface::x11, role::plugin, suite::kde, uitoolkit::qt, use::configuring Section: x11 Priority: extra Filename: pool/main/s/synaptiks/kde-config-touchpad_0.8.1-1_all.deb Package: kde-full Source: meta-kde Version: 5:77+deb7u1 Installed-Size: 35 Maintainer: Debian Qt/KDE Maintainers Architecture: all Provides: kde-software-compilation Depends: kde-plasma-desktop (>= 5:77+deb7u1), kde-plasma-netbook (>= 5:77+deb7u1), kdeadmin (>= 4:4.8.4), kdeartwork (>= 4:4.8.4), kdegraphics (>= 4:4.8.4), kdeedu (>= 4:4.8.4), kdegames (>= 4:4.8.4), kdemultimedia (>= 4:4.8.4), kdenetwork (>= 4:4.8.4), kdeutils (>= 4:4.8.4), kdepim (>= 4:4.4.11), kdeplasma-addons (>= 4:4.8.4) Recommends: kde-standard (>= 5:77+deb7u1), kdeaccessibility (>= 4:4.8.4), kdesdk (>= 4:4.8.4), kdetoys (>= 4:4.8.4), kdewebdev (>= 4:4.8.4) Suggests: kde-l10n (>= 4:4.8.4), calligra (>= 1:2.4.2), xorg Breaks: kde-minimal (<< 5:57) Size: 11732 SHA256: e755b0845f7d1d7073a3ab7f43094f55d30246c1046aab39fe46596d763483fb SHA1: 1117838b55d7700bd9898559d32800fd7913e19a MD5sum: 640afd5e305cc01c1751c38c66ea91d0 Description: complete KDE Software Compilation for end users KDE is the powerful, integrated, and easy-to-use Free Software desktop platform and suite of applications. . This metapackage includes all the official modules released with KDE Sotware Compilation that are not specific to development and as well other KDE applications that are useful for a desktop user. This includes multimedia, networking, graphics, education, games, system administration tools, and other artwork and utilities. Homepage: http://www.kde.org Tag: role::metapackage, suite::kde Section: kde Priority: optional Filename: pool/main/m/meta-kde/kde-full_77+deb7u1_all.deb Package: kde-icons-crystal Version: 3.7-3 Installed-Size: 3408 Maintainer: Morten Hustveit Architecture: all Recommends: kdebase (>> 4:2.1-final-0) Size: 1557578 SHA256: d2811e936d144a0837f29efddb4ee94f6bb6de13d01934612db36d6e7041ffcb SHA1: fb19cf4cc18e977b68c1f680c7b50d8aad23b957 MD5sum: aa9a63cfc8d14f7563b401e4ba9cad36 Description: Crystal icon theme for KDE The Conectiva Crystal icon theme is the standard icon theme of Conectiva Linux 8. . The creator of this collection, Everaldo Coelho , accepts contributions. Tag: made-of::icons, role::app-data, suite::kde, uitoolkit::qt Section: kde Priority: optional Filename: pool/main/k/kde-icons-crystal/kde-icons-crystal_3.7-3_all.deb Package: kde-icons-mono Source: kdeartwork Version: 4:4.8.4-5 Installed-Size: 4774 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kmouth (<< 4:4.6) Breaks: kmouth (<< 4:4.6) Size: 3335394 SHA256: 0ef1ddcb597d0301c2e0b8069d05b8f76f1e73b31d9e02a7d2523f1a8ae875c7 SHA1: c361e91e5ea74092d1226428b6b39a6296218e8a MD5sum: 07a1f3d21c1b3ba35585b445f7c663ee Description: monochromatic icons theme A monochromatic icon theme, designed for accessibility purposes. . This package is part of the KDE accessibility module Homepage: http://www.kde.org/ Tag: made-of::icons, made-of::svg, role::app-data, suite::kde, x11::theme Section: kde Priority: optional Filename: pool/main/k/kdeartwork/kde-icons-mono_4.8.4-5_all.deb Package: kde-icons-nuvola Source: kdeartwork Version: 4:4.8.4-5 Installed-Size: 37 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: nuvola-icon-theme Size: 8652 SHA256: b0e0463eb07ce68dfefeeecf52976944f4e1e2df9f8efbbf1a7ad22f1cd0569a SHA1: 363f2996bc35b931774653eb4d924e3d08ca64c8 MD5sum: 7f5e077640ce2acf50486fca4e6b1c5e Description: transitional package for Nuvola icon theme Transitional package for ease upgrading from Nuvola icons as shipped in KDE 3 series. Homepage: http://www.kde.org/ Tag: made-of::icons, role::app-data, suite::kde, x11::theme Section: kde Priority: optional Filename: pool/main/k/kdeartwork/kde-icons-nuvola_4.8.4-5_all.deb Package: kde-l10n-ar Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 5488 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-ar (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-ar (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 2098844 SHA256: 612485ee6de3fb80729b0754b26c076430b13747617268d534209d61d24d491c SHA1: 1c6a1fdeea1932cbb68a2c0f58c04dfd053cbf67 MD5sum: dfbba9f8ecb1e9d014feb31bffdda588 Description: Arabic (ar) localization files for KDE This package contains the Arabic translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::arabic, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-ar_4.8.4-2_all.deb Package: kde-l10n-bg Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 4908 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-bg (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-bg (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1037192 SHA256: 575d67083cbf32b1775486f7ed36e937e17cfacee4d2c44ae562924710f823f2 SHA1: d37e654b78775eb48a26c9fb2c6216d63370ec1b MD5sum: 8ccfd7ba367924ca99a9137e1aa657fa Description: Bulgarian (bg) localization files for KDE This package contains the Bulgarian translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::bulgarian, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-bg_4.8.4-2_all.deb Package: kde-l10n-bs Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 6963 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-bs (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-bs (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1697598 SHA256: f4f329394c217a47a9d5586199f48ff8a6805887cd7d37f8b69c12ae6a01c29c SHA1: 05198251451b7b34790b74d79de70c0a9dd50420 MD5sum: ced2b6ac45ba88657665424f64c43a87 Description: Bosnian (bs) localization files for KDE This package contains the Bosnian translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-bs_4.8.4-2_all.deb Package: kde-l10n-ca Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 17857 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-ca (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-ca (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 8292566 SHA256: f6fc074aff12e9f1a61f5dd8fd5658e08b0ce8c1bc28996d1979719900128ebc SHA1: 5ecf5eb3ba88ea9a2ce80c7b05f033a2496bd7c2 MD5sum: c13ddac00e13c27b485c68b133ee213c Description: Catalan (ca) localization files for KDE This package contains the Catalan translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::catalan, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-ca_4.8.4-2_all.deb Package: kde-l10n-cavalencia Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 7722 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-cavalencia (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-cavalencia (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1826510 SHA256: 1505496e6afcc169d2033251a5f11291751ef7a6e0782acb875ae41a8dc76331 SHA1: 52d7c75c8a1ff011f8b99c6a271d983b7b879bab MD5sum: b15f28e544d1d6b6f8442f40b6b18285 Description: Southern Catalan (Valencian) (ca@valencia) files for KDE This package contains the Southern Catalan (Valencian) translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::TODO, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-cavalencia_4.8.4-2_all.deb Package: kde-l10n-cs Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 7491 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-cs (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-cs (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 2372190 SHA256: 1afe19793ab4cab21a7c775a39272f429822437ae1ed5945a7bec3d517357e24 SHA1: 16e6ea6aea4fedbd7866245c0fee025036762ef7 MD5sum: a0e7abe91302f2a6297517b5131213ab Description: Czech (cs) localization files for KDE This package contains the Czech translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::czech, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-cs_4.8.4-2_all.deb Package: kde-l10n-da Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 19337 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-da (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-da (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 12044256 SHA256: 588ed15fdd8f86c56ff4e93547dd1ba9de35b2a4e7d87291836f912abbb25f6b SHA1: 64bc067d617d5f68ff5555bede1685ee23c46ae3 MD5sum: 01f799468578560738d94c0ed4fba39c Description: Danish (da) localization files for KDE This package contains the Danish translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::danish, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-da_4.8.4-2_all.deb Package: kde-l10n-de Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 44309 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-de (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-de (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 32464432 SHA256: cfc727d86fa681494465a82c486ea6af4277aab18066b0bf16bf168213cb6fe6 SHA1: 956406894d11ea92da1a128a6fdf2f4169d6e264 MD5sum: 099d30e1c8330beb73f2c759823f49d3 Description: German (de) localization files for KDE This package contains the German translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::german, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-de_4.8.4-2_all.deb Package: kde-l10n-el Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 10910 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-el (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-el (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 3758062 SHA256: 995713bbc8e47c5e4b1eef3489f5515593c0ad6cb43aa11a0f9a950721c1941d SHA1: f73ce8193c1acd418802232f099bea77cec274d0 MD5sum: 927d80ccab5e47816a05e698cadc1648 Description: Greek (el) localization files for KDE This package contains the Greek translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::greek, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-el_4.8.4-2_all.deb Package: kde-l10n-engb Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 8276 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-engb (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-engb (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1984470 SHA256: baaf161e95fa717aa205e65a237d14bef06ea7246e1b4d1de5121ef5d488303e SHA1: d13f7b0ef727ce896779ab6095e13e0077b92294 MD5sum: 0ef1f8508423003a7120dfe5129be9e6 Description: British English (en_GB) localization files for KDE This package contains the British English translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::british, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-engb_4.8.4-2_all.deb Package: kde-l10n-es Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 31112 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-es (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-es (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 19304034 SHA256: c286a0e486d0aea6ba7c1c1bd1fa7df02a15f7e6b3c9b10104e5b5658c2f275b SHA1: 2ffdc078f8df9abb9f399fb41816ce4752c72297 MD5sum: 137df1dadc45ceda55a3944120dab8b1 Description: Spanish (es) localization files for KDE This package contains the Spanish translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::spanish, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-es_4.8.4-2_all.deb Package: kde-l10n-et Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 15182 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-et (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-et (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 5119810 SHA256: ec522d97b86bfb79a14b3bf5ceb41fa9067156e87eb7744dcdae450dc2816502 SHA1: ae10533f3dc2bfc6bd8498ff991d115b81ea46eb MD5sum: 5d046910deb9b1b3245b80517bc344d3 Description: Estonian (et) localization files for KDE This package contains the Estonian translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::estonian, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-et_4.8.4-2_all.deb Package: kde-l10n-eu Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 6075 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-eu (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-eu (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1481352 SHA256: a9743978b6436ab5f26e58fe0c7a3d240fe2b5f40165e9e6269ea7f8e0851a61 SHA1: a9fe197785e638c204511f49d06649d29f48cad6 MD5sum: 9cf2c5eff4037aa61e0371d20503a24c Description: Basque (eu) localization files for KDE This package contains the Basque translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::basque, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-eu_4.8.4-2_all.deb Package: kde-l10n-fa Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 3478 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-fa (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-fa (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 781934 SHA256: 53d797ecd4101ce2b52d0c2c2a12aec526a22029839892c9a1971674ba3c67ab SHA1: 00c337e99b4bab15b8981a95968ba22731c40973 MD5sum: acf20e94033831bf0ac376091a8058c8 Description: Farsi (fa) localization files for KDE This package contains the Farsi translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-fa_4.8.4-2_all.deb Package: kde-l10n-fi Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 6966 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-fi (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-fi (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1758848 SHA256: 476feeddcea02c6e78a7025b1fd6f724e4489604a3a53f39dd311e619f5cf0ab SHA1: 462ec050a0283fce15851cf9add32fc287c54226 MD5sum: da69d6863bc37a88403e69c44b8d13ab Description: Finnish (fi) localization files for KDE This package contains the Finnish translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::finnish, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-fi_4.8.4-2_all.deb Package: kde-l10n-fr Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 41742 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-fr (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-fr (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 31091766 SHA256: f06efcd0d5f378f1b686d679061bf0db5e81f154e61c51f28c05318d05cd1323 SHA1: 9a4290917d8cabdc9b557fc5c05d73476a6ab5d9 MD5sum: 31781a1288956b48c1625232202ed3e0 Description: French (fr) localization files for KDE This package contains the French translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::french, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-fr_4.8.4-2_all.deb Package: kde-l10n-ga Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 6912 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-ga (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-ga (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1985194 SHA256: b70ad04833f8c9ac595c163309bc752ae6d6d194457ae56361121abe0b47a3b4 SHA1: dde04fe4154c890bd47dec71e7fceb1a0d28804a MD5sum: a002f09392150ee522acfcbeb37d91bc Description: Irish Gaelic (ga) localization files for KDE This package contains the Irish Gaelic translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::irish, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-ga_4.8.4-2_all.deb Package: kde-l10n-gl Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 9568 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-gl (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-gl (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 2952572 SHA256: cb3516c05229f180ba9184bf06816ffa2d82447ba0f2ec56d0f0c88803c27363 SHA1: 92c30d3183baf96f75cf16b852c4e3c08ce04f4b MD5sum: 590fa92e85f55d0d0aebd9e4aaf33d5f Description: Galician (gl) localization files for KDE This package contains the Galician translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::galician, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-gl_4.8.4-2_all.deb Package: kde-l10n-he Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 3789 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-he (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-he (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1167840 SHA256: ae61dc6a9c5245f4eb773174d4d2a342e0ecd2565a8cd0ac7cef49a542681208 SHA1: d14088f0cccb490a6ac1429bfd4314a0a56f1b11 MD5sum: 1c4c32743e5a25700b29cfa2b39fdb50 Description: Hebrew (he) localization files for KDE This package contains the Hebrew translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::hebrew, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-he_4.8.4-2_all.deb Package: kde-l10n-hr Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 3536 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-hr (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-hr (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 860434 SHA256: c2f6443bd0ea32bc9349815d77d37f1f06694346e18642b0cd9524ec62e5bd0d SHA1: 626eeeb29b423c0c3472638fcc2a96110f6fd9fc MD5sum: 5303707fa0c15d03d212954ce1a251b9 Description: Croatian (hr) localization files for KDE This package contains the Croatian translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::croatian, role::app-data, suite::kde Section: localization Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-hr_4.8.4-2_all.deb Package: kde-l10n-hu Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 8695 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-hu (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-hu (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 3316512 SHA256: aee005b6be33827caac0ca79609ec7f0767bc0bd7339acad06d8052517405552 SHA1: 3ce25aaf5a3deea06222639f48461b4bb1c1a871 MD5sum: f34ebee998f7e482e9454e1e38971c54 Description: Hungarian (hu) localization files for KDE This package contains the Hungarian translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::hungarian, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-hu_4.8.4-2_all.deb Package: kde-l10n-ia Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 3297 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-ia (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-ia (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 798662 SHA256: ab780902ca1f9c2493abd9921e49f6b1dfda8f0653d18204ee7df48329b31edf SHA1: 4e8dc5209edf132c112c926e4f786a93d6624382 MD5sum: 4d28e25cdc1942e6a8358f04cce276d9 Description: Interlingua (ia) localization files for KDE This package contains the Interlingua translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-ia_4.8.4-2_all.deb Package: kde-l10n-id Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 1642 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-id (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-id (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 407916 SHA256: 68cd5cd89fb46d9c218058ea3625b82262eaa8ae0aa5611246d1a3bfe5b30115 SHA1: 8e99868f7e5b97ce6a5e6ff4791d67a039ed7b2e MD5sum: 270fbd20b8dba103dce3ddf88fabd4b5 Description: Indonesian (id) localization files for KDE This package contains the Indonesian translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::indonesian, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-id_4.8.4-2_all.deb Package: kde-l10n-is Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 2878 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-is (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-is (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 752672 SHA256: 65c92e42d393b2eddec14457977ef151006f2599af7190884adb94b120115d2f SHA1: e08f14a052f6f5e8aff7da7597bfe8b737607266 MD5sum: bc34eed8da49345eb6da080dd1156b4a Description: Icelandic (is) localization files for KDE This package contains the Icelandic translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::icelandic, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-is_4.8.4-2_all.deb Package: kde-l10n-it Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 20115 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-it (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-it (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 9190868 SHA256: 07b3dac73f61e550181c9660ffb9257098b1ea5b6eaec819fb51b75428b9d787 SHA1: 42749c17d888158f8eec5afc94cca8bad02a8171 MD5sum: f1a38ac54742c329bdc09055239815a1 Description: Italian (it) localization files for KDE This package contains the Italian translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::italian, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-it_4.8.4-2_all.deb Package: kde-l10n-ja Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 6910 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-ja (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-ja (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1674396 SHA256: f95b6b94c07a7ac7b5e34f7e22ff3fefd91fa4f270d2e376ab8676b9ea7abd3b SHA1: 020814eaeb109a9a7487f2553990c4e44f38daf3 MD5sum: fd87faf668d8aa0e9e45a4db8527c509 Description: Japanese (ja) localization files for KDE This package contains the Japanese translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::japanese, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-ja_4.8.4-2_all.deb Package: kde-l10n-kk Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 8862 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-kk (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-kk (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1849480 SHA256: e48aeebe60fcfa82f406aeec7332080ac8b4481e3b8c337067cce602dd83c66a SHA1: ccaa42ae331edb396475bbbf88a192d1239032e2 MD5sum: f73b9c4d31e335688bdc61a3503fc497 Description: Kazakh (kk) localization files for KDE This package contains the Kazakh translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::TODO, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-kk_4.8.4-2_all.deb Package: kde-l10n-km Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 7979 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-km (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-km (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1338560 SHA256: ab9fb2934c4d61dbb48f5e5b93052be7d9468bec7208a4e56c7c18e2dd3a4634 SHA1: 1a32911d31dc2ce37025f711826c10c02c7303ba MD5sum: fbc57a1cff5f200b331191ee4820aab7 Description: Khmer (km) localization files for KDE This package contains the Khmer translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::TODO, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-km_4.8.4-2_all.deb Package: kde-l10n-ko Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 5235 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-ko (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-ko (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1248162 SHA256: 7bc4cd96ed560480442452b49119510c659fc7fbaf5f28b44dca78d9bfb05ffb SHA1: 202973218ac56e76f1e7f64c95c5a2dd5dd66d44 MD5sum: d411b02bc23bd8c9472380bf19125448 Description: Korean (ko) localization files for KDE This package contains the Korean translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::korean, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-ko_4.8.4-2_all.deb Package: kde-l10n-lt Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 14864 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-lt (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-lt (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 9742772 SHA256: ccc6613ec9d20eb657a096e3d00938e786336ecdf5b7fa41fff78c40c0d2d25e SHA1: 41b54f2ffb325249ecb98cba403887cda9c6b61f MD5sum: b3095d90f8b21e5eb1fd83f283d532dd Description: Lithuanian (lt) localization files for KDE This package contains the Lithuanian translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::lithuanian, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-lt_4.8.4-2_all.deb Package: kde-l10n-lv Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 5477 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-lv (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-lv (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1332852 SHA256: 77db6d82084b619f18efd2df94504909e74972d0cd56b36e16115970f382113c SHA1: 8a92c333247ea66cac34335837dea9351a005ccd MD5sum: a3bb15e6a14bb69141a1a02bde154b9c Description: Latvian (lv) localization files for KDE This package contains the Latvian translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::latvian, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-lv_4.8.4-2_all.deb Package: kde-l10n-nb Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 7965 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-nb (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-nb (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 2047286 SHA256: 1f8197e427eab268871f64d4b497a857bcf94f0b1138326035826c5d1bf2e834 SHA1: aff83e25dd2d602598ba0d250735252ba16b43e1 MD5sum: 149e9f3e2aca1f9c0cb99ef3e95bb9e0 Description: Norwegian Bookmal (nb) localization files for KDE This package contains the Norwegian Bookma translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::bokmaal, culture::norwegian, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-nb_4.8.4-2_all.deb Package: kde-l10n-nds Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 8559 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-nds (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-nds (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 2361956 SHA256: 6f37dde48dce902e7cebefbfe0c1421296a65f6e84f93d792034bd61fc044517 SHA1: dc7d73187929177a024bae00b4d52c36efdb7746 MD5sum: f24f69528741b491906d1d3d87fd5fe3 Description: Low Saxon (nds) localization files for KDE This package contains the Low Saxon translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::TODO, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-nds_4.8.4-2_all.deb Package: kde-l10n-nl Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 25947 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-nl (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-nl (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 14668342 SHA256: d937dab6df9904099906dd63f08ba0dbe2c72b725ddce6f8c641d1b53b0e12ed SHA1: accb55d9975b59c40b8226cacbfc30221d7fb61f MD5sum: ee9820e68a09d0cf2b6c6d3646eb93c8 Description: Dutch (nl) localization files for KDE This package contains the Dutch translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::dutch, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-nl_4.8.4-2_all.deb Package: kde-l10n-nn Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 5725 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-nn (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-nn (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1402916 SHA256: e95e58e73bea0b5a002972b7a4e1b3a4ac91e2a667b0cc3e641022bc7eb5fdc3 SHA1: 33ad503a79c4ddde5cdcbe28fd249c3de84a67e7 MD5sum: 3fc4d616843b278621225a31b5ad8a8a Description: Norwegian Nynorsk (nn) localization files for KDE This package contains the Norwegian Nynorsk translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::norwegian, culture::nynorsk, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-nn_4.8.4-2_all.deb Package: kde-l10n-pa Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 4367 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-pa (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-pa (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 886740 SHA256: c171ac77c0e5e49826c539e23c98b51e1270b75a06e86ba988fec8c9118879a4 SHA1: 5048565181ec59779b3b5fa615d0315d0e6c89c4 MD5sum: bf600c500fe2a3a7909d315e07e1d18a Description: Punjabi (pa) localization files for KDE This package contains the Punjabi translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::punjabi, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-pa_4.8.4-2_all.deb Package: kde-l10n-pl Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 26052 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-pl (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-pl (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 16913458 SHA256: 4db6da5468ac21f513d3877ec7e0126f556199edb51fa26b6b3875c40674d873 SHA1: ed996f7042e02d440017e4ac803e8beea3f341f3 MD5sum: 8cb80b74e0a3cbc06d3b763e3385b26b Description: Polish (pl) localization files for KDE This package contains the Polish translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::polish, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-pl_4.8.4-2_all.deb Package: kde-l10n-pt Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 15671 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-pt (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-pt (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 4756542 SHA256: c7b39e050268d5b167bde0a041fe81413c4713d4eca7b77ea42539df60a4bc65 SHA1: a02c602788ce3c0418fd923d935bfe2737d81070 MD5sum: b3dcb49da89f0e30811eba680d4a725a Description: Portuguese (pt) localization files for KDE This package contains the Portuguese translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::portuguese, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-pt_4.8.4-2_all.deb Package: kde-l10n-ptbr Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 36648 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-ptbr (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-ptbr (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 24686810 SHA256: bc2608d4a1fa98de8a067d3cee196577474f12b210916b17c7a3d00b62312df0 SHA1: 11e1cf223a4132433962d1b3e4c90d537f9ce365 MD5sum: c0283790a7cb43c1cb6f662fd3f3ec70 Description: Brazilian Portuguese (pt_BR) localization files for KDE This package contains the Brazilian Portuguese translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::brazilian, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-ptbr_4.8.4-2_all.deb Package: kde-l10n-ro Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 6648 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-ro (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-ro (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 2043546 SHA256: d4e0a4b5a85e4ef850c6c94d669f66237a66f2387fedc133f5071cd35d23689d SHA1: bfa3b3dcb15ea662fd48eeec4a0c205ca0a62df3 MD5sum: b470afd159dfd3717b0225046c06a6f1 Description: Romanian (ro) localization files for KDE This package contains the Romanian translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::romanian, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-ro_4.8.4-2_all.deb Package: kde-l10n-ru Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 32278 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-ru (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-ru (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 21150866 SHA256: 506cd0d4cc6a065e49d369e7e88a777161cb30bc6ccd3bcf421181d675dff547 SHA1: ecd217c4f4d1ab58c4f53f44e054a24f33745d05 MD5sum: 28645e3884ad114f93f02c7dc8178c44 Description: Russian (ru) localization files for KDE This package contains the Russian translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::russian, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-ru_4.8.4-2_all.deb Package: kde-l10n-si Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 2805 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-si (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-si (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 548022 SHA256: 070388502c65215073648bbb1f8424e6bf8d1f37be0e09bf122a2029fe38f009 SHA1: 3c8350fbb3092c6c3bf75b42460a09664f6a7ce1 MD5sum: e1641953b3de4c805581dbc94c14d4db Description: Sinhala (si) localization files for KDE This package contains the Sinhala translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::TODO, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-si_4.8.4-2_all.deb Package: kde-l10n-sk Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 5707 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-sk (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-sk (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1402504 SHA256: f3204a04be4aadf3bbc86edd506723131ce12a5882cade5a40285d833e6c8b80 SHA1: 9cd9a6c393458a6f02f27d6a239793f9f01ee246 MD5sum: 1c2173011e7ab8bdaa7c7b9dbfeb881b Description: Slovakian (sk) localization files for KDE This package contains the Slovakian translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::slovak, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-sk_4.8.4-2_all.deb Package: kde-l10n-sl Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 6164 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-sl (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-sl (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1910124 SHA256: e39a5ae854944ed0b6d4ead3a489fc9b1be9ff20cb92747324dfb8e595866e0f SHA1: ee8a12067df82879aaf0f3a946d69298c2d49c35 MD5sum: 23e640bb188256ec9f41151689c3f5c3 Description: Slovenian (sl) localization files for KDE This package contains the Slovenian translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::TODO, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-sl_4.8.4-2_all.deb Package: kde-l10n-sr Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 41956 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-sr (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-sr (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 8863470 SHA256: 471fc67fc7878eac4555e57254d1f668410dcba4cb574d6fb5ef428a82bf27f9 SHA1: 59a5b73ecaf4040260c256e4cfedf2ee48656745 MD5sum: 3f92db22ca0b59ee87560fca78ddfa2f Description: Serbian (sr) localization files for KDE This package contains the Serbian translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::serbian, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-sr_4.8.4-2_all.deb Package: kde-l10n-sv Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 24264 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-sv (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-sv (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 13562596 SHA256: c58d42eaf642880b736d979cc479dbaf5ecd32abb00802c1970531c9a7da0bb0 SHA1: 6c637c3679acdae6dbb48b13975310c43d9cf41d MD5sum: 1ddb849cb8026c093bcd49abcdd7cc28 Description: Swedish (sv) localization files for KDE This package contains the Swedish translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::swedish, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-sv_4.8.4-2_all.deb Package: kde-l10n-tg Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 2985 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-tg (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-tg (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 670204 SHA256: 214cddf84b56bf3073be354774b126ef32d8995b02da767c921ead31f19a143d SHA1: 779a6e9b4c17036ebd916d497ee16f7a279a8423 MD5sum: f1372f21a674d9ed4d708351a83e83bb Description: Tajik (tg) localization files for KDE This package contains the Tajik translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::tajik, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-tg_4.8.4-2_all.deb Package: kde-l10n-th Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 5329 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-th (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-th (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 940984 SHA256: c5379bff396a6b5f08f5370359b2c4701b1b0afda866e79fa833eb8af97dbec5 SHA1: 6c390ff65542a759ec9942ec7d5dd2dc7caef86d MD5sum: 862b790e8ce6ec16d43f85c92bd3692e Description: Thai (th) localization files for KDE This package contains the Thai translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::thai, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-th_4.8.4-2_all.deb Package: kde-l10n-tr Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 6399 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-tr (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-tr (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1551182 SHA256: 0437d6f0d5e14313f2666a4de80698987f5ed8c261e01c754da69fcc721438ab SHA1: b5fa26e98210431cda57da1733f81ace42e8fbc1 MD5sum: dfabd422d90ada7b84540df9f6f37769 Description: Turkish (tr) localization files for KDE This package contains the Turkish translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::turkish, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-tr_4.8.4-2_all.deb Package: kde-l10n-ug Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 4120 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-ug (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-ug (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 867300 SHA256: a5d922e69ae2766388cfc6857e98173481ccf35704208874a96c458f95710025 SHA1: 6cd0065e33b0ffc1cb25511bf78916fa1a708c89 MD5sum: cef88b934e60ece413195d5ec48e5bfe Description: Uygur (ug) localization files for KDE This package contains the Uygur translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-ug_4.8.4-2_all.deb Package: kde-l10n-uk Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 35620 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-uk (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-uk (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 19308888 SHA256: 26e3c754d3a982076c4ad14dbc1e027abe18d5b1fb709a5f8470de198a3f5679 SHA1: 5528f82960c0cbb06649878500be829f7a23c1f0 MD5sum: 9e4f5671f2a8bbfcf899ed8aa6d63614 Description: Ukrainian (uk) localization files for KDE This package contains the Ukrainian translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::ukrainian, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-uk_4.8.4-2_all.deb Package: kde-l10n-vi Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 1686 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-vi (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-vi (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 418378 SHA256: d23e0b158932f767670afbea21a46c4f1520fc592ac71e1f156cba0f95dfd4c8 SHA1: 3f5f65641b5679ea76ec301307695a4a073e7e66 MD5sum: 966c5db44a100f0a474f8d907d1a9b50 Description: Vietnamese (vi) localization files for KDE This package contains the Vietnamese translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-vi_4.8.4-2_all.deb Package: kde-l10n-wa Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 3059 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-wa (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-wa (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1235036 SHA256: 7bda0ae0d8e8314103ab48b64724f4e6b562f1882b8ab156b79c4a1b4824f5a5 SHA1: aff6b4d9ab0d7248635beff3f149c1381fb9e2b1 MD5sum: fb563dc74bdbb51744d2cabacd1252d5 Description: Walloon (wa) localization files for KDE This package contains the Walloon translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::TODO, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-wa_4.8.4-2_all.deb Package: kde-l10n-zhcn Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 9959 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-zhcn (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-zhcn (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 2820728 SHA256: 0652ffe4f0c86cba406a8262cd661cd8f6fc452fffba1ff339ddfa47c51b7f26 SHA1: 12c8ad5b1d598391a9825e5e0064a3c3ad69a7b8 MD5sum: fb9ff8c16e00d340d250a8092d412701 Description: Chinese Simplified (zh_CN) localization files for KDE This package contains the Chinese Simplified translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::chinese, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-zhcn_4.8.4-2_all.deb Package: kde-l10n-zhtw Source: kde-l10n Version: 4:4.8.4-2 Installed-Size: 7454 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: filelight-l10n, gwenview-i18n, kde-i18n-zhtw (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Provides: kde-l10n-without-pim Depends: libkdecore5 (>= 4:4.8) Suggests: kde-standard Breaks: filelight-l10n, gwenview-i18n, kde-i18n-zhtw (<< 4:3.95.0-1), konq-plugins-l10n, okular-l10n Size: 1840762 SHA256: c7ef39525b50275e76c92ac693cee30077ad62c4426a3fa299bfb4dda5750377 SHA1: 3a2d45f219613b9856e41773edf54f95f0c3389e MD5sum: d96da3219fd4e3c9e9622a746f717147 Description: Chinese Traditional (zh_TW) localization files for KDE This package contains the Chinese Traditional translations for applications from the official KDE 4 release. Homepage: http://i18n.kde.org/ Tag: culture::taiwanese, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kde-l10n/kde-l10n-zhtw_4.8.4-2_all.deb Package: kde-notification-colibri Source: colibri Version: 0.2.2-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 234 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libplasma3 (>= 4:4.4.95), libqt4-dbus (>= 4:4.6.1), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6, libxext6 Homepage: http://kde-apps.org/content/show.php?content=117147 Priority: extra Section: kde Filename: pool/main/c/colibri/kde-notification-colibri_0.2.2-1_armhf.deb Size: 52982 SHA256: faed23f2a36fa59953a723cb8024a439b98db5300c89d9c750e995316bbc46a4 SHA1: 0ba1ec22321a0f6b003115dc3588452cf78db71e MD5sum: 4fcc63ab4c7ed153880b2f4fbd832b08 Description: passive notification system for kde4 colibri is a passive notification system for KDE4 desktop . Colibri notifications look lighter and are completely passive: they do not provide any buttons. You may or may not like this. Since they are completely passive, they smoothly fade away when you mouse over them, allowing you to interact with any window behind them. . They also do not stack each others: if multiple notifications happen, they will be shown one at a time. Package: kde-plasma-desktop Source: meta-kde Version: 5:77+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 35 Depends: kde-runtime (>= 4:4.8.4), plasma-desktop (>= 4:4.8.4), kde-workspace (>= 4:4.8.4), kde-baseapps (>= 4:4.8.4), udisks, upower Recommends: kdm (>= 4:4.8.4), xserver-xorg Suggests: kde-l10n (>= 4:4.8.4) Breaks: kde-minimal (<< 5:57) Homepage: http://www.kde.org Priority: optional Section: metapackages Filename: pool/main/m/meta-kde/kde-plasma-desktop_77+deb7u1_armhf.deb Size: 11636 SHA256: 72f87bed984df1cb580fc62f2a09831389548d598d8ca3fbae41fa974130245b SHA1: c2bc6b27b68712ac73ab4026eea8a4e4c3c479db MD5sum: 7869346aa81ef48318fc6ea2fe753238 Description: KDE Plasma Desktop and minimal set of applications KDE is the powerful, integrated, and easy-to-use Free Software desktop platform and suite of applications. . This metapackage pulls in the core modules released with the KDE Software Compilation including the basic KDE Plasma Desktop, minimal set of basic applications (browser, file manager, text editor, system settings, panel, etc.), important libraries and data. Package: kde-plasma-netbook Source: meta-kde Version: 5:77+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 35 Depends: kde-runtime (>= 4:4.8.4), plasma-netbook (>= 4:4.8.4), kde-workspace (>= 4:4.8.4), kde-baseapps (>= 4:4.8.4), udisks, upower Recommends: kdm (>= 4:4.8.4), xserver-xorg Suggests: kde-l10n (>= 4:4.8.4) Breaks: kde-minimal (<< 5:57) Homepage: http://www.kde.org Priority: optional Section: metapackages Filename: pool/main/m/meta-kde/kde-plasma-netbook_77+deb7u1_armhf.deb Size: 11638 SHA256: b15ab21ee0f3f0d6126c389c54c5a82834f56f1093f0d69768878a45d05e91a1 SHA1: 9a6bf538b484f2f8be3bec571c79ba899a4e9080 MD5sum: 1da3a8c557b30fe8316eb4ba2511508a Description: KDE Plasma Netbook and minimal set of applications KDE is the powerful, integrated, and easy-to-use Free Software desktop platform and suite of applications. . This metapackage pulls in the core modules released with the KDE Software Compilation including the basic KDE Plasma Netbook, minimal set of basic applications (browser, file manager, text editor, system settings, panel, etc.), important libraries and data. Package: kde-runtime Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 8466 Depends: libasound2 (>= 1.0.16), libattica0 (>= 0.1.4), libc6 (>= 2.13-28), libcanberra0 (>= 0.2), libexiv2-12, libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libkcmutils4 (>= 4:4.8), libkdeclarative5 (>= 4:4.7.0), libkdecore5 (>= 4:4.8), libkdesu5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkdewebkit5 (>= 4:4.8), libkdnssd4 (>= 4:4.8), libkemoticons4 (>= 4:4.8), libkfile4 (>= 4:4.8), libkhtml5 (>= 4:4.8), libkidletime4 (>= 4:4.8), libkio5 (>= 4:4.8), libkmediaplayer4 (>= 4:4.8), libknewstuff3-4 (>= 4:4.8), libknotifyconfig4 (>= 4:4.8), libkparts4 (>= 4:4.8), libkpty4 (>= 4:4.8), libnepomuk4 (>= 4:4.8), libnepomukquery4a (>= 4:4.8), libntrack-qt4-1 (>= 005), libopenexr6 (>= 1.6.1), libphonon4 (>= 4:4.6.0really4.5.0), libplasma3 (>= 4:4.8), libpulse-mainloop-glib0 (>= 0.99.1), libpulse0 (>= 0.99.1), libqt4-dbus (>= 4:4.6.1), libqt4-declarative (>= 4:4.7.0~rc1), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.6.1), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libsmbclient (>= 2:3.2.0), libsolid4 (>= 4:4.8), libsoprano4 (>= 2.7.0), libssh-4 (>= 0.3.91), libstdc++6 (>= 4.6), libstreamanalyzer0 (>= 0.7.7), libstreams0 (>= 0.7.7), libx11-6, libxcursor1 (>> 1.1.2), phonon, perl, kde-runtime-data (>= 4:4.8.4-2), kdelibs5-plugins (>= 4:4.8), oxygen-icon-theme (>= 4:4.6), shared-desktop-ontologies (>= 0.8), plasma-scriptengine-javascript (= 4:4.8.4-2) Recommends: virtuoso-minimal Suggests: djvulibre-bin, icoutils, finger Conflicts: kdelibs4-dev Breaks: kdebase-runtime (<< 4:4.7.2), kdebase-runtime-bin-kde4, kdebase-workspace-bin (<< 4:4.6), kdebluetooth (<< 1.0~beta7-1), kdelibs5-plugins (<< 4:4.5), plasma-netbook (<< 4:4.5.95) Replaces: kdebase-runtime (<< 4:4.7.2), kdebase-runtime-bin-kde4, kdebase-workspace-bin (<< 4:4.6), kdebluetooth (<< 1.0~beta7-1), kdelibs5-plugins (<< 4:4.5), plasma-netbook (<< 4:4.5.95) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kde-runtime/kde-runtime_4.8.4-2_armhf.deb Size: 1821694 SHA256: b012ccd873af597df383b3420085aa3eccd44bab516faaa46437c8712ef9a957 SHA1: 933ffd249ad038c00ae4deece09a32529cd4481d MD5sum: f9486f7954ecfd98d48c94f5182cac86 Description: runtime components from the official KDE release KDE is produced by an international technology team that creates free and open source software for desktop and portable computing. Among KDE's products are a modern desktop system for Linux and UNIX platforms, comprehensive office productivity and groupware suites and hundreds of software titles in many categories including Internet and web applications, multimedia, entertainment, educational, graphics and software development. . This package contains programs and data needed at runtime by KDE applications. Package: kde-runtime-data Source: kde-runtime Version: 4:4.8.4-2 Installed-Size: 9943 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kcontrol, kdebase-bin-kde3, kdebase-kio-plugins, kdebase-runtime-data (<< 4:4.7.2), kdebase-runtime-data-common, kdebase-workspace-bin (<< 4:4.5.95), kdelibs5-data (<< 4:4.5), plasma-netbook (<< 4:4.5.95), plasma-widget-networkmanagement (<< 0.1+git20110422.810bc16-1+) Depends: perl Breaks: kcontrol, kdebase-bin-kde3, kdebase-kio-plugins, kdebase-runtime-data (<< 4:4.7.2), kdebase-runtime-data-common, kdebase-workspace-bin (<< 4:4.5.95), kdelibs5-data (<< 4:4.5), plasma-netbook (<< 4:4.5.95), plasma-widget-networkmanagement (<< 0.1+git20110422.810bc16-1+) Size: 4552398 SHA256: f5b74649e1884f9902ae9e982ba7e50b33025276d323732447b643e24c9bfe11 SHA1: 26854361a01c1f1a65ec5acee4f37b16ccd31ad4 MD5sum: edbd67fa53d2ac65849748cd33073cc9 Description: shared data files for the KDE base runtime module This package contains the architecture-independent shared data files needed to run KDE applications. . This package is part of the KDE base runtime module. Homepage: http://www.kde.org/ Section: kde Priority: optional Filename: pool/main/k/kde-runtime/kde-runtime-data_4.8.4-2_all.deb Package: kde-runtime-dbg Source: kde-runtime Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 107701 Depends: kde-runtime (= 4:4.8.4-2), kdelibs5-dbg Conflicts: kdelibs-dbg Breaks: kdebase-runtime-dbg (<< 4:4.7.2), kdebase-workspace-dbg (<< 4:4.6), kdelibs5-dbg (<< 4:4.5) Replaces: kdebase-runtime-dbg (<< 4:4.7.2), kdebase-workspace-dbg (<< 4:4.6), kdelibs5-dbg (<< 4:4.5) Homepage: http://www.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kde-runtime/kde-runtime-dbg_4.8.4-2_armhf.deb Size: 24479628 SHA256: df40e502a65e972bf0732310482d3a5fdd8cd59aa70f3b73b9314c98dd1f1a02 SHA1: ea97aa2127f5739999e278ea5ed85bbcb50671da MD5sum: 0079f367dd1f2f934a80b5ced66a6b8a Description: debugging symbols for KDE base runtime module This package contains the debugging symbols associated with kde-runtime. They will automatically be used by gdb for debugging kde-runtime related issues. Package: kde-sc-dev-latest Source: meta-kde (5:77+deb7u1) Version: 4:4.8.4+5.77+deb7u1 Installed-Size: 35 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: debhelper (>= 7.3.16~), cmake (>= 2.6.4), automoc (>= 1.0~version-0.9.88), pkg-kde-tools (>= 0.12) Conflicts: kdebase-workspace-dev Breaks: kalgebra-dev (<< 4:4.7.4), kde-workspace-dev (<< 4:4.8.4), kdelibs5-dev (<< 4:4.8.3), kdepimlibs5-dev (<< 4:4.8.4), libakonadi-dev (<< 1.5.1), libkactivities-dev (<< 4:4.8.4), libkdcraw-dev (<< 4:4.7.4), libkdeedu-dev (<< 4:4.7.4), libkexiv2-dev (<< 4:4.7.4), libkipi-dev (<< 4:4.7.4), libkonq5-dev (<< 4:4.7.4), libksane-dev (<< 4:4.7.4), libmarble-dev (<< 4:4.7.4), okular-dev (<< 4:4.7.4) Size: 11742 SHA256: 9a78ef22dbdf42ca149572f67b00210821dd46e43f26d80bbede9f95ac95e007 SHA1: f6dafcef7c2702b16838c7661ccc43645fa8f530 MD5sum: 7b3a5a1c3596a829605f274f8c99e8e4 Description: ensure that the latest KDE Development Platform is installed This package ensures that the latest version of the KDE Development Platform packages are installed on the system. . This metapackage is intended to be used only in the Build-Depends of the KDE Software Compilation packages. If the "Breaks" effect of this package is not desired on the maintainer system, it can be overridden by installing a custom version of this package with the pkgkde-override-sc-dev-latest command from the pkg-kde-tools package. Homepage: http://www.kde.org Tag: role::metapackage, suite::kde Section: kde Priority: optional Filename: pool/main/m/meta-kde/kde-sc-dev-latest_4.8.4+5.77+deb7u1_all.deb Package: kde-standard Source: meta-kde Version: 5:77+deb7u1 Installed-Size: 35 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kde-plasma-desktop (>= 5:77+deb7u1) | kde-plasma-netbook (>= 5:77+deb7u1), polkit-kde-1 (>= 0.99), ark (>= 4:4.8.4), dragonplayer (>= 4:4.8.4), gwenview (>= 4:4.8.4), juk (>= 4:4.8.4), kate (>= 4:4.8.4), kcalc (>= 4:4.8.4), kmail (>= 4:4.4.11), akregator (>= 4:4.4.11), kaddressbook (>= 4:4.4.11), kdeplasma-addons (>= 4:4.8.4), knotes (>= 4:4.4.11), kwalletmanager (>= 4:4.8.4), korganizer (>= 4:4.4.11), kopete (>= 4:4.8.4), kmix (>= 4:4.8.4), ksnapshot (>= 4:4.8.4), kscreensaver (>= 4:4.8.4), okular (>= 4:4.8.4), plasma-desktopthemes-artwork (>= 4:4.8.4), sweeper (>= 4:4.8.4), khelpcenter4 (>= 4:4.8.4) Recommends: konq-plugins (>= 4:4.8.4), plasma-widget-networkmanagement, freespacenotifier (>= 4:4.8.4) Suggests: kde-l10n (>= 4:4.8.4), kde-plasma-desktop (>= 5:77+deb7u1), kde-plasma-netbook (>= 5:77+deb7u1), skanlite Breaks: kde-minimal (<< 5:57) Size: 11732 SHA256: f2c7cb5f714cd7e36771c1b6a061e4f7ea9c3e920b0c0478e85dd345240e17ed SHA1: b1411feddff6718811f377b91235701a83737d1c MD5sum: fed1ec966dce21a05b217994205d4eee Description: KDE Plasma Desktop and standard set of applications The KDE Software Compilation is the powerful, integrated, and easy-to-use Free Software desktop platform and suite of applications. . This metapackage includes the KDE Plasma Desktop and a selection of the most common used applications in a standard KDE desktop. Homepage: http://www.kde.org Tag: role::metapackage, suite::kde Section: kde Priority: optional Filename: pool/main/m/meta-kde/kde-standard_77+deb7u1_all.deb Package: kde-style-bespin Source: bespin Version: 0.r1552-1 Architecture: armhf Bugs: http://sourceforge.net/tracker/?atid=976907&group_id=201276&func=browse Maintainer: Debian KDE Extras Team Installed-Size: 2055 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecorations4 (>= 4:4.6.1), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.3.4), libkfile4 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkworkspace4abi1 (>= 4:4.8.1), libplasma3 (>= 4:4.4.4-2~), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libx11-6, libxau6, libxdmcp6, libxext6, libxrender1 Suggests: kdm-theme-bespin, ksplash-theme-bespin Conflicts: kde4-style-bespin, kwin4-style-bespin, plasma-widget-xbar Homepage: http://cloudcity.sourceforge.net Priority: extra Section: kde Filename: pool/main/b/bespin/kde-style-bespin_0.r1552-1_armhf.deb Size: 1090810 SHA256: 68f830b41acba73b3d2b17e46300dceae9122fb3f812fbdbd7a5cbef7b219db4 SHA1: e0c700d37fc17c938efc304e7ae584f459b67066 MD5sum: 822be5f2b9b8624fc28eb06c407a5eb2 Description: very glossy Qt4/KDE4 window decoration Bespin is a window decoration for KDE4, the name is nothing about quantum mechanics, but just refers to cloud city (StarWars Episode V: The Empire Strikes Back) Package: kde-style-oxygen Source: kde-workspace Version: 4:4.8.4-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1145 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libx11-6 Breaks: kdebase-runtime (<< 4:4.7.2), kdebase-workspace-bin (<< 4:4.7.2), kdebase-workspace-data (<< 4:4.7.2) Replaces: kdebase-runtime (<< 4:4.7.2), kdebase-workspace-bin (<< 4:4.7.2), kdebase-workspace-data (<< 4:4.7.2) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kde-workspace/kde-style-oxygen_4.8.4-6_armhf.deb Size: 269506 SHA256: 37ccbccd4af7a5197bff45da806025ed9f3bf9b969b203e5935d9441bb750b14 SHA1: 4a37ea42d2415370bee984b7a5b6d188904345bc MD5sum: bfc8ecfad4803fdaee2ba58b90a3d540 Description: Oxygen widget style This package provides the Oxygen widget style. It may be used for KDE and Qt applications. . This package is part of the KDE base workspace module. Package: kde-style-polyester Version: 2.0.0-3 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 483 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://www.kde-look.org/content/show.php?content=27968 Priority: optional Section: kde Filename: pool/main/k/kde-style-polyester/kde-style-polyester_2.0.0-3_armhf.deb Size: 113310 SHA256: 84ca7176b64d827c973e91fc492dd910269abac328bbd8e8c19ec95ff82c5f8f SHA1: 84adf53787839775a5a3dd003e7d95f7c468874c MD5sum: 363910910b86bdb338a2281e8c65a7e0 Description: Polyester widget style for KDE4 Widget style that aim to maintain a good balance between eyecandy and simplicity. It is based on KDE3's Plastik style. Package: kde-style-qtcurve Version: 1.8.12-2 Architecture: armhf Maintainer: Boris Pek Installed-Size: 1063 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6 Recommends: kwin-style-qtcurve Suggests: gtk2-engines-qtcurve Homepage: http://www.kde-look.org/content/show.php?content=40492 Priority: optional Section: kde Filename: pool/main/k/kde-style-qtcurve/kde-style-qtcurve_1.8.12-2_armhf.deb Size: 460420 SHA256: fb5592a6c956509f5f64a0e28dcf794b4755bb808ca77170e7d572b37f370274 SHA1: e0bef08e1fdbef65bcd42ab2f5b0f26ecb6bf7c6 MD5sum: fda1d23f2b93a451c4df99477cae1cf8 Description: QtCurve widget style for KDE 4 This package contains the QtCurve widget style for KDE 4. . QtCurve is a set of widget styles for KDE and GTK+. It provides a consistent look between KDE and GTK+ applications, which is easy on the eyes and visually pleasing. . The corresponding GTK+ theme engine can be found in gtk2-engines-qtcurve package. Package: kde-telepathy Source: meta-kde-telepathy Version: 0.4.0 Installed-Size: 2 Maintainer: Debian KDE Extras Team Architecture: all Depends: kde-telepathy-minimal (= 0.4.0), kde-telepathy-call-ui (>= 0.4.0), kde-telepathy-filetransfer-handler (>= 0.4.0), kde-telepathy-send-file (>= 0.4.0), plasma-widget-telepathy-chat (>= 0.4.0) Size: 2036 SHA256: ed73b87acb65c9a4f23ea3caaf705b1205f933bc9a350ef53ea72ee0c0e3a19a SHA1: b08173535c9a630c381daa3fdb3cc62ccff243dd MD5sum: c7f56e421b40739d0c7e3cb049ba3a2f Description: metapackage for installing all the KDE Telepathy components KDE Telepathy aims to integrate real-time communication and collaboration features into the KDE Plasma Workspaces. It provides means to connect to several instant messaging networks, chat with your friends and collaborate with them. . KDE Telepathy consists of several independent components that need to be used together to provide all the available features. This package is a metapackage that installs all those components. . Apart from the dependencies that this metapackage pulls, you will also need to install at least one of the telepathy-gabble, telepathy-salut, telepathy-haze packages to be able to connect to your IM networks. gabble provides xmpp (jabber, google talk/plus, facebook), salut provides link-local xmpp (using avahi) and haze provides most other common protocols. Section: metapackages Priority: optional Filename: pool/main/m/meta-kde-telepathy/kde-telepathy_0.4.0_all.deb Package: kde-telepathy-approver Source: ktp-approver Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 412 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.6), libkdeui5 (>= 4:4.6), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libtelepathy-qt4-2 (>= 0.9.1), kde-telepathy-data (>= 0.4) Recommends: kde-telepathy Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-approver Priority: optional Section: kde Filename: pool/main/k/ktp-approver/kde-telepathy-approver_0.4.0-1_armhf.deb Size: 38938 SHA256: 4bb4cbf9c5b1a08bfef2e30f6ac16fcc0843e49c8a3dfe39f243e560d4acc8f6 SHA1: 9e0d76b9c781350b648c711c192ff70e406f1437 MD5sum: 15257d54447afb2c0bb74bb49a9e3758 Description: KDED module for approving incoming conversations This package provides a KDED module that starts together with the KDE Plasma Desktop and shows a notification popup when someone from your contacts starts chatting with you. . This package is not meant to be used standalone. It is recommended to install the kde-telepathy metapackage instead. Package: kde-telepathy-approver-dbg Source: ktp-approver Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 573 Depends: kde-telepathy-approver (= 0.4.0-1) Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-approver Priority: extra Section: debug Filename: pool/main/k/ktp-approver/kde-telepathy-approver-dbg_0.4.0-1_armhf.deb Size: 522228 SHA256: 4115f2b6a018f63b70fd25800a645cd6f9f6087770d37fca32bf7f95643652c5 SHA1: 121899a4fae235a587103d3044ab66207eb69159 MD5sum: 9b584c5a3c8223e9eaeb837fad87fc45 Description: KDED module for approving incoming conversations - debug symbols This package provides the debug symbols for the telepathy approver KDED module. Package: kde-telepathy-auth-handler Source: ktp-auth-handler Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 435 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.6), libkdeui5 (>= 4:4.6), libkdewebkit5 (>= 4:4.6), libkio5 (>= 4:4.6), libkparts4 (>= 4:4.6), libktpcommoninternalsprivate1 (>= 0.4.0), libnepomuk4 (>= 4:4.6), libnepomukutils4 (>= 4:4.5.85), libqjson0 (>= 0.7.1), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.1.1), libtelepathy-qt4-2 (>= 0.9.1), kde-telepathy-data (>= 0.4) Recommends: kde-telepathy Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-auth-handler Priority: optional Section: kde Filename: pool/main/k/ktp-auth-handler/kde-telepathy-auth-handler_0.4.0-1_armhf.deb Size: 55274 SHA256: a5685b265ef356dc643b9bf77433670e63bf557240cda65673c23581c23469b7 SHA1: 79d462e9c78f2f1a329b7690bded6e8db284d23c MD5sum: 215d400cb947911dd5eb46bd77a0c3aa Description: KDE Telepathy authentication handler This package provides a Telepathy handler for the ServerAuthentication and ServerTLSConnection Telepathy channel types, which means that it provides passwords to connection managers (and stores them using KWallet) and also handles SSL certificate errors in encrypted IM connections. . This package is not meant to be used standalone. It is recommended to install the kde-telepathy metapackage instead. Package: kde-telepathy-auth-handler-dbg Source: ktp-auth-handler Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 785 Depends: kde-telepathy-auth-handler (= 0.4.0-1) Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-auth-handler Priority: extra Section: debug Filename: pool/main/k/ktp-auth-handler/kde-telepathy-auth-handler-dbg_0.4.0-1_armhf.deb Size: 729520 SHA256: 23994797bcb1e28d8642e6ef14e699977a9232a7bafb89b439a5bcc2880338de SHA1: cc8a9ad73524b62721aa9a58debc4579b1d965a0 MD5sum: 7fca9506e14d5a95f9248a18f6de4220 Description: KDE Telepathy authentication handler - debug symbols This package provides the debug symbols for the KDE Telepathy authentication handler module. Package: kde-telepathy-call-ui Source: ktp-call-ui Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 648 Depends: kde-runtime, libc6 (>= 2.13-28), libfarstream-0.1-0 (>= 0.1.1), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgstreamer0.10-0 (>= 0.10.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.4.0), libktpcommoninternalsprivate1 (>= 0.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtglib-2.0-0 (>= 0.10.2), libqtgstreamer-0.10-0 (>= 0.10.2), libqtgstreamerui-0.10-0 (>= 0.10.2), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libtelepathy-farstream2 (>= 0.4.0), libtelepathy-glib0 (>= 0.7.1), libtelepathy-qt4-2 (>= 0.9.1), libtelepathy-qt4-farstream2 (>= 0.9.1), libxml2 (>= 2.6.27), kde-telepathy-data (>= 0.4.0), gstreamer0.10-plugins-base, gstreamer0.10-plugins-good, gstreamer0.10-x, gstreamer0.10-pulseaudio | gstreamer0.10-alsa, pulseaudio | gstreamer0.10-alsa Recommends: kde-telepathy, gstreamer0.10-plugins-ugly, gstreamer0.10-ffmpeg Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-call-ui Priority: optional Section: kde Filename: pool/main/k/ktp-call-ui/kde-telepathy-call-ui_0.4.0-1_armhf.deb Size: 133210 SHA256: 1d115a4ecd43dddcc614f267ccaa1faf0d2f8a9b5bd0f733929a038fee534b0c SHA1: 54bf6a23ebe24e97f90e49bdeb0c4c21cc2c345d MD5sum: 5f59094937924ccf4e527a2a748e8f11 Description: KDE Telepathy UI for audio/video calls This package provides the KDE Telepathy component that enables doing audio/video calls on XMPP/Jingle and SIP. . This package is not meant to be used standalone. It is recommended to install the kde-telepathy metapackage instead. Package: kde-telepathy-call-ui-dbg Source: ktp-call-ui Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1745 Depends: kde-telepathy-call-ui (= 0.4.0-1) Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-call-ui Priority: extra Section: debug Filename: pool/main/k/ktp-call-ui/kde-telepathy-call-ui-dbg_0.4.0-1_armhf.deb Size: 1646646 SHA256: eb14c43e4a7bc7782cda8f2c35937dd320cf98e41f2104c9aa8376f47fc70350 SHA1: 0d4f2191b741c3da1032bed3dd0c4f13ceab4b7e MD5sum: ff16f13c1b997e86f95b357b28fde048 Description: KDE Telepathy UI for audio/video calls - debug symbols This package provides the debug symbols for the KDE Telepathy Call UI module. Package: kde-telepathy-contact-list Source: ktp-contact-list Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 897 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdeui5 (>= 4:4.6), libkio5 (>= 4:4.6), libktpcommoninternalsprivate1 (>= 0.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libtelepathy-qt4-2 (>= 0.9.1), kde-telepathy-data (>= 0.4) Recommends: kde-telepathy Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-contact-list Priority: optional Section: kde Filename: pool/main/k/ktp-contact-list/kde-telepathy-contact-list_0.4.0-1_armhf.deb Size: 203438 SHA256: 1156a76f400e2071619eb49c48251c5bbdb5154653adb2cc9f5f823af28d1437 SHA1: 502547d5405d85a7e085a994cbfe3e7118f250df MD5sum: d1343a4d081e11b48fc39dd410e5ff21 Description: Telepathy contact list for the KDE Plasma Desktop This package provides a contact list application based on telepathy, which allows you to list your friends from all your IM accounts and begin chatting with them. . This package is not meant to be used standalone. It is recommended to install the kde-telepathy metapackage instead. Package: kde-telepathy-contact-list-dbg Source: ktp-contact-list Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 2248 Depends: kde-telepathy-contact-list (= 0.4.0-1) Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-contact-list Priority: extra Section: debug Filename: pool/main/k/ktp-contact-list/kde-telepathy-contact-list-dbg_0.4.0-1_armhf.deb Size: 2153970 SHA256: 046bedd9c073d4f4b2af27b01eef79e47bed81ce83c62f7459f5443fa2b97ca6 SHA1: cf244c7dcdc8393971e8c398da21f665cbaf8fdb MD5sum: 6ff958f3eca9125807d0d567c9e9a7bd Description: Telepathy contact list for the KDE Plasma Desktop - debug symbols This package provides the debug symbols for the KDE Telepathy contact list. Package: kde-telepathy-data Source: ktp-common-internals Version: 0.4.0-1 Installed-Size: 536 Maintainer: Debian KDE Extras Team Architecture: all Size: 217628 SHA256: 893ea5a68e582e6e775d9f2fc9064746cb8cc6cef15d74950bf45151d65c8729 SHA1: 0a1ad7adc62453d80016756d46529482b40dd19b MD5sum: d035478d6db88e3cda911fbbe2696343 Description: Shared data for all the KDE Telepathy components This package contains various data files that are used by nearly all the different KDE Telepathy components. Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-common-internals Section: libs Priority: optional Filename: pool/main/k/ktp-common-internals/kde-telepathy-data_0.4.0-1_all.deb Package: kde-telepathy-filetransfer-handler Source: ktp-filetransfer-handler Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 472 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.6), libkdeui5 (>= 4:4.6), libkio5 (>= 4:4.6), libktpcommoninternalsprivate1 (>= 0.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1), libtelepathy-qt4-2 (>= 0.9.1), kde-telepathy-data (>= 0.4) Recommends: kde-telepathy Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-filetransfer-handler Priority: optional Section: kde Filename: pool/main/k/ktp-filetransfer-handler/kde-telepathy-filetransfer-handler_0.4.0-1_armhf.deb Size: 55954 SHA256: 85c62de09ca270117ffe2c7e66df48f7cca63b4656b12294911de15709aa24b2 SHA1: 07e061e8b2907bfdf457db2fb00a99c7fcd9c57b MD5sum: e61c9b9d6c8d6a7811430f57d9f52f7e Description: KDE Telepathy file transfer handler This package provides a helper application that handles telepathy file transfer operations inside a KDE Workspace. . This package is not meant to be used standalone. It is recommended to install the kde-telepathy metapackage instead. Package: kde-telepathy-filetransfer-handler-dbg Source: ktp-filetransfer-handler Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 481 Depends: kde-telepathy-filetransfer-handler (= 0.4.0-1) Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-filetransfer-handler Priority: extra Section: debug Filename: pool/main/k/ktp-filetransfer-handler/kde-telepathy-filetransfer-handler-dbg_0.4.0-1_armhf.deb Size: 422890 SHA256: 65332e46642996cf2d96862592e39fd6ba8f13eae39066b9d1e6aacc4c3aa2ad SHA1: 7b88fa81a47f9ed2ca28577a0b44e1923401da0f MD5sum: b0cec601c4bea352afd3e64d3a0cc9bb Description: KDE Telepathy file transfer handler - debug symbols This package provides the debug symbols for the KDE Telepathy file transfer handler. Package: kde-telepathy-integration-module Source: ktp-kded-integration-module Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 608 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.6), libkdeui5 (>= 4:4.6), libkidletime4 (>= 4:4.6), libkio5 (>= 4:4.6), libktpcommoninternalsprivate1 (>= 0.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libtelepathy-qt4-2 (>= 0.9.1), kde-telepathy-data (>= 0.4) Recommends: kde-telepathy Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-kded-module Priority: optional Section: kde Filename: pool/main/k/ktp-kded-integration-module/kde-telepathy-integration-module_0.4.0-1_armhf.deb Size: 104860 SHA256: 70e7367281614af66abbea66ceee6366efcaeeeaf279dc215f0562a74070096a SHA1: 5ea5d7b7e4414aba3bceb525d636d38e28ea3ebd MD5sum: 18361515cb295df95d8126d645947670 Description: Telepathy integration module for the KDE Workspace This package contains a kded module that provides KDE Workspace integration for KDE Telepathy, with features such as global presence, auto away, now playing, connection error handling and more. . This package is not meant to be used standalone. It is recommended to install the kde-telepathy metapackage instead. Package: kde-telepathy-integration-module-dbg Source: ktp-kded-integration-module Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1099 Depends: kde-telepathy-integration-module (= 0.4.0-1) Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-kded-module Priority: extra Section: debug Filename: pool/main/k/ktp-kded-integration-module/kde-telepathy-integration-module-dbg_0.4.0-1_armhf.deb Size: 1015776 SHA256: 98e0c6a9a668f955092cc1461356ab991f7fe0dd2731927b964ae99c9cdd0c90 SHA1: 93e87a00240e74e19c0df3a2dc9132d4eefb386d MD5sum: 209ebfc3305b89161dabe7a2d34302cf Description: Telepathy integration module for the KDE Workspace - debug symbols This package provides the debug symbols for the KDE Telepathy integration module. Package: kde-telepathy-minimal Source: meta-kde-telepathy Version: 0.4.0 Installed-Size: 2 Maintainer: Debian KDE Extras Team Architecture: all Depends: kde-config-telepathy-accounts (>= 0.4.0), kde-telepathy-approver (>= 0.4.0), kde-telepathy-auth-handler (>= 0.4.0), kde-telepathy-contact-list (>= 0.4.0), kde-telepathy-integration-module (>= 0.4.0), plasma-widget-telepathy-presence (>= 0.4.0), kde-telepathy-text-ui (>= 0.4.0), telepathy-mission-control-5 (>= 1:5.12), telepathy-connection-manager Recommends: telepathy-gabble, telepathy-salut, telepathy-haze, telepathy-logger Suggests: telepathy-rakia, telepathy-idle Size: 2168 SHA256: c75e4587250d3560cccb6120f30dceb4aca51cd350c6e814ae7532ebcc1a292a SHA1: 7721b0946cf26f8a2cb1bbed1765121d20a8c05b MD5sum: afe6d4486454683ebb80ff7313db04d2 Description: metapackage for installing the basic KDE Telepathy components KDE Telepathy aims to integrate real-time communication and collaboration features into the KDE Plasma Workspaces. It provides means to connect to several instant messaging networks, chat with your friends and collaborate with them. . KDE Telepathy consists of several independent components that need to be used together to provide all the available features. This package is a metapackage that installs the most basic components, for the most simple use case. For installing all the components, use the kde-telepathy metapackage. . Apart from the dependencies that this metapackage pulls, you will also need to install at least one of the telepathy-gabble, telepathy-salut, telepathy-haze packages to be able to connect to your IM networks. gabble provides xmpp (jabber, google talk/plus, facebook), salut provides link-local xmpp (using avahi) and haze provides most other common protocols. Section: metapackages Priority: optional Filename: pool/main/m/meta-kde-telepathy/kde-telepathy-minimal_0.4.0_all.deb Package: kde-telepathy-send-file Source: ktp-send-file Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 362 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7.0), libktpcommoninternalsprivate1 (>= 0.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1), libtelepathy-qt4-2 (>= 0.9.1), kde-telepathy-data (>= 0.4) Recommends: dolphin | konqueror, kde-telepathy Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-send-file Priority: optional Section: kde Filename: pool/main/k/ktp-send-file/kde-telepathy-send-file_0.4.0-1_armhf.deb Size: 31700 SHA256: 861f79d5ff26430200d7191b43e9c3f5ee1c68607b070f64b4595cba10828d72 SHA1: 3bd29893085888144aa3bc1f97df74ab113add97 MD5sum: 58e7eaf9241e3a27548798b9bbdb4c9b Description: KDE Telepathy dolphin/konqueror integration plugin This package provides a dolphin/konqueror plugin that allows you to easily send files to your contacts from a context menu action. . This package is not meant to be used standalone. It is recommended to install the kde-telepathy metapackage instead. Package: kde-telepathy-send-file-dbg Source: ktp-send-file Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 334 Depends: kde-telepathy-send-file (= 0.4.0-1) Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-send-file Priority: extra Section: debug Filename: pool/main/k/ktp-send-file/kde-telepathy-send-file-dbg_0.4.0-1_armhf.deb Size: 286800 SHA256: de32fd55ee93fd59cdb0e61b550267f2f78b3fd3083938638ba3e4aba29e5676 SHA1: 6066d30e50c9c00487ba0e7d7dc32888f9a5da7f MD5sum: dddf2845b604eb18d85dd72c1f48a86b Description: KDE Telepathy dolphin/konqueror integration plugin - debug symbols This package provides the debug symbols for the KDE Telepathy send file plugin. Package: kde-telepathy-text-ui Source: ktp-text-ui Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1199 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdeui5 (>= 4:4.6), libkemoticons4 (>= 4:4.6), libkio5 (>= 4:4.6), libknotifyconfig4 (>= 4:4.6), libktpchat0 (= 0.4.0-1), libktpcommoninternalsprivate1 (>= 0.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtglib-2.0-0 (>= 0.10.1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0), libtelepathy-logger-qt4-1 (>= 0.4.0), libtelepathy-qt4-2 (>= 0.9.1), kde-telepathy-data (>= 0.4) Recommends: kde-telepathy Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-text-ui Priority: optional Section: kde Filename: pool/main/k/ktp-text-ui/kde-telepathy-text-ui_0.4.0-1_armhf.deb Size: 310784 SHA256: bd0432bfa0d09a0edf7214eb4b8e67e530f2764e5cd591ec8b7f9d2ebe446b33 SHA1: bf5454a208b26d99c4f48f2c34e19b96de4abb87 MD5sum: 4ea23bc6313900c9339bd2f25fb31a58 Description: Telepathy text chat UI for the KDE Plasma Desktop This package provides a telepathy handler for text channels, a program that in other words allows you to chat with your contacts using instant text messages. . In addition, this package also provides a UI for viewing telepathy text chat logs. . This package is not meant to be used standalone. It is recommended to install the kde-telepathy metapackage instead. Package: kde-telepathy-text-ui-dbg Source: ktp-text-ui Version: 0.4.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 3622 Depends: kde-telepathy-text-ui (= 0.4.0-1) Homepage: https://projects.kde.org/projects/extragear/network/telepathy/ktp-text-ui Priority: extra Section: debug Filename: pool/main/k/ktp-text-ui/kde-telepathy-text-ui-dbg_0.4.0-1_armhf.deb Size: 3442888 SHA256: 21a8de6818a9118e86e718b3e8941e5f13a133b14371fad1014a84bb05b6ec1e SHA1: bc6df58f29a8a35e7841d07f4a44847851f5e730 MD5sum: f574636d9e6d4c9a6c5beaffe01987fe Description: Telepathy text chat UI for the KDE Plasma Desktop - debug symbols This package provides debug symbols for the KDE Telepathy text chat UI. Package: kde-thumbnailer-deb Source: qapt Version: 1.3.0-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 61 Depends: libapt-inst1.5 (>= 0.8.0), libapt-pkg4.12 (>= 0.8.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqapt1 (>= 1.1.65), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1), libxapian22 Enhances: dolphin, konqueror Homepage: https://projects.kde.org/projects/extragear/sysadmin/libqapt/ Priority: optional Section: kde Filename: pool/main/q/qapt/kde-thumbnailer-deb_1.3.0-2_armhf.deb Size: 15582 SHA256: 2eda440ca45f0dfc03b68cddcbc094766831e110b6e8e22b63dff8bce1420d1b SHA1: 646a5b1af6c9f9ea6019383135518b4c809256ba MD5sum: 079d7a2b12860c69d1a9a6c971c2c9a8 Description: KDE plugin to show thumbnails of Debian package files QApt is a collection of tools and a library providing a wrapper around APT. The intention is to ease the use of APT in Qt-based programs. . A plugin for KDE file managers (Dolphin and Konqueror) to preview Debian packages (.deb files) as thumbnails. Package: kde-wallpapers Version: 4:4.8.4-1 Installed-Size: 45055 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kdebase-workspace-data (<< 4:4.6.80), kdm (<< 4:4.6.0) Depends: kde-wallpapers-default (>= 4:4.8.4-1) Breaks: kdebase-workspace-data (<< 4:4.6.80), kdm (<< 4:4.6.0) Size: 45882796 SHA256: b31a6bf943a521c8e5d15cdb01d3ba3fb1ee31e93fc7a2ad114b7b7f679fb7c5 SHA1: 4ae8751d6629639dcb48652d9447e81a4915d6b5 MD5sum: 4bdf904939500ed03da6d7f683db14c5 Description: set of wallpapers for the KDE Plasma Workspaces This package contains additional wallpapers for KDE Plasma Workspaces. It is a part of the official KDE Wallpapers module. Homepage: http://www.kde.org/ Section: kde Priority: optional Filename: pool/main/k/kde-wallpapers/kde-wallpapers_4.8.4-1_all.deb Package: kde-wallpapers-default Source: kde-wallpapers Version: 4:4.8.4-1 Installed-Size: 30954 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kde-wallpapers (<< 4:4.7.2), kdebase-workspace-data (<< 4:4.6.80) Breaks: kde-wallpapers (<< 4:4.7.2), kdebase-workspace-data (<< 4:4.6.80) Size: 31707170 SHA256: a71339d974f9fba7b93bcd6a7f31d9525aecc1669310cae79b25ea4266b02832 SHA1: 2ebefb11d43373b2e14835cd91ce7a1658c4b352 MD5sum: 9e5b31b6970caa32f1b2a9ced8c2bbda Description: default wallpaper for the Plasma workspace This package contains a default wallpaper for KDE Plasma Workspaces. It is a part of the official KDE Wallpapers module. Homepage: http://www.kde.org/ Section: kde Priority: optional Filename: pool/main/k/kde-wallpapers/kde-wallpapers-default_4.8.4-1_all.deb Package: kde-window-manager Source: kde-workspace Version: 4:4.8.4-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 7497 Depends: kde-runtime, kde-style-oxygen (= 4:4.8.4-6), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libice6 (>= 1:1.0.0), libkactivities6 (>= 4:4.8.1), libkcmutils4 (>= 4:4.8), libkdeclarative5 (>= 4:4.7.0), libkdecorations4 (= 4:4.8.4-6), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkephal4abi1 (= 4:4.8.4-6), libkio5 (>= 4:4.8), libknewstuff3-4 (>= 4:4.8), libkwineffects1abi3 (= 4:4.8.4-6), libkwinglutils1 (= 4:4.8.4-6), libkworkspace4abi1 (= 4:4.8.4-6), libplasma3 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-declarative (>= 4:4.7.0~rc1), libqt4-script (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libsm6, libstdc++6 (>= 4.4.0), libx11-6, libxcomposite1 (>= 1:0.3-1), libxcursor1 (>> 1.1.2), libxdamage1 (>= 1:1.1), libxext6, libxfixes3 (>= 1:4.0.1), libxrandr2 (>= 4.3), libxrender1, perl Breaks: kde-workspace-data (<< 4:4.8.4-0r5), kdebase-workspace-data (<< 4:4.7.2) Replaces: kde-workspace-data (<< 4:4.8.4-0r5), kdebase-workspace-data (<< 4:4.7.2) Provides: x-window-manager Homepage: http://www.kde.org/ Priority: optional Section: x11 Filename: pool/main/k/kde-workspace/kde-window-manager_4.8.4-6_armhf.deb Size: 3088878 SHA256: 799ae3d4394d9cb20111105b77ed5f916f56a13600cd4711417f76985ec69720 SHA1: 8c440ac66614199e655cd831de54d03d9b490b2c MD5sum: 320ef25cfe66c273f6e0b24bcd9f9e7a Description: K window manager (KWin) This package contains KWin, the KDE window manager, featuring hardware-accelerated compositing effects. . This package is part of the KDE base workspace module. Package: kde-workspace Version: 4:4.8.4-6 Installed-Size: 114 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kdebase-workspace (<< 4:4.7.2) Depends: kde-workspace-bin (>= 4:4.8.4-6), klipper (>= 4:4.8.4-6), ksysguard (>= 4:4.8.4-6), kde-window-manager (>= 4:4.8.4-6), systemsettings (>= 4:4.8.4-6), freespacenotifier (>= 4:4.8.4-6) Recommends: kdm (>= 4:4.8.4-6), kinfocenter (>= 4:4.8.4-6), kmenuedit (>= 4:4.8.4-6) Breaks: kdebase-workspace (<< 4:4.7.2) Size: 36184 SHA256: 141e5c0e252ed0b89f3aa6cf40d7649bc2040b02167288e43035d32d5a5d0cb0 SHA1: 3ebbab36bf4f86fae0c0bb7b4fe82f65e91f52e3 MD5sum: 655eecdf744395e71f27c3c50830c980 Description: KDE Plasma Workspace components KDE is produced by an international technology team that creates free and open source software for desktop and portable computing. Among KDE's products are a modern desktop system for Linux and UNIX platforms, comprehensive office productivity and groupware suites and hundreds of software titles in many categories including Internet and web applications, multimedia, entertainment, educational, graphics and software development. . This package provides a basic KDE desktop installation. Homepage: http://www.kde.org/ Tag: suite::kde, uitoolkit::qt Section: kde Priority: optional Filename: pool/main/k/kde-workspace/kde-workspace_4.8.4-6_all.deb Package: kde-workspace-bin Source: kde-workspace Version: 4:4.8.4-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 8978 Depends: kde-runtime, kde-style-oxygen (= 4:4.8.4-6), libc6 (>= 2.13-28), libcln6, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libkactivities6 (>= 4:4.8.1), libkcmutils4 (>= 4:4.8), libkdeclarative5 (>= 4:4.7.0), libkdecore5 (>= 4:4.8), libkdesu5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkephal4abi1 (= 4:4.8.4-6), libkfile4 (>= 4:4.8), libkidletime4 (>= 4:4.8), libkio5 (>= 4:4.8), libknewstuff3-4 (>= 4:4.8), libknotifyconfig4 (>= 4:4.8), libkparts4 (>= 4:4.8), libkpty4 (>= 4:4.8), libkrosscore4 (>= 4:4.8), libkscreensaver5 (= 4:4.8.4-6), libkworkspace4abi1 (= 4:4.8.4-6), libnepomuk4 (>= 4:4.8), libnepomukquery4a (>= 4:4.8), libpam0g (>= 0.99.7.1), libphonon4 (>= 4:4.2.0), libplasma3 (>= 4:4.8), libplasmagenericshell4 (= 4:4.8.4-6), libpng12-0 (>= 1.2.13-4), libprocesscore4abi1 (= 4:4.8.4-6), libprocessui4a (= 4:4.8.4-6), libqalculate5, libqt4-dbus (>= 4:4.6.1), libqt4-declarative (>= 4:4.7.0~rc1), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsm6, libsolid4 (>= 4:4.8), libsolidcontrol4abi2 (= 4:4.8.4-6), libsolidcontrolifaces4abi2 (= 4:4.8.4-6), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.6), libstreamanalyzer0 (>= 0.7.7), libusb-0.1-4 (>= 2:0.1.12), libx11-6, libxau6, libxcursor1 (>> 1.1.2), libxext6, libxfixes3, libxft2 (>> 2.1.1), libxi6 (>= 2:1.1.2), libxinerama1, libxkbfile1, libxrandr2 (>= 2:1.2.99.3), libxrender1, libxss1, libxtst6, phonon, iso-codes, plasma-desktop (= 4:4.8.4-6) | plasma-netbook (= 4:4.8.4-6), kde-workspace-data (= 4:4.8.4-6), x11-utils, x11-xserver-utils, kde-workspace-kgreet-plugins (= 4:4.8.4-6), qdbus Recommends: plasma-scriptengines, polkit-kde-1 (>= 0.99) | policykit-1-gnome, upower Suggests: x11-xkb-utils Breaks: kcontrol, kdebase-data (<< 4:4.5), kdebase-runtime (<< 4:4.5), kdebase-workspace-bin (<< 4:4.7.2), kdesktop, ksplash, ktip, libkfontinst4, polkit-kde-1 (<< 0.99) Replaces: kcontrol, kdebase-data (<< 4:4.5), kdebase-runtime (<< 4:4.5), kdebase-workspace-bin (<< 4:4.7.2), kdesktop, ksplash, ktip, libkfontinst4 Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kde-workspace/kde-workspace-bin_4.8.4-6_armhf.deb Size: 1878146 SHA256: ee3fa4fdd00e3a4821991994528b0553a534c270e9ecfdca9c5e34cdbefbd311 SHA1: 735947f70dd16dbbcca440bd6af50904c5f83c05 MD5sum: 351fe5aff3e06bd44e378b7f780c287d Description: core binaries for the KDE Plasma Workspace This package contains miscellaneous programs needed for a basic desktop installation. Among other standard KDE Workspace components, the package provides startkde scripts, ksmserver (the session manager), krunner, the default KDE screensavers and many KDE configuration modules. This package is essential to start and use KDE Plasma Workspace. . This package is part of the KDE base workspace module. Package: kde-workspace-data Source: kde-workspace Version: 4:4.8.4-6 Installed-Size: 18786 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kde-icons-mono (<< 4:4.6.80), kdebase-runtime-data (<< 4:4.5), kdebase-workspace-data (<< 4:4.7.2), ksmserver, ksplash, ktip, libplasma1 Depends: oxygencursors, perl Recommends: kde-wallpapers Breaks: kde-icons-mono (<< 4:4.6.80), kdebase-runtime-data (<< 4:4.5), kdebase-workspace-data (<< 4:4.7.2), ksmserver, ksplash, ktip, libplasma1 Size: 16941262 SHA256: a3aafd6d7527fb7a7e6c974e740930c6484221a4ea3f7d42ff48340e25a8b21f SHA1: 754ade7642f64eb87d5cca3b30f83ecebf96c096 MD5sum: b37ce6eab005e8bee9472004d39e90cd Description: shared data files for the KDE Plasma Workspace This package contains architecture-independent shared data files needed for a basic KDE Workspace installation. . This package is part of the KDE base workspace module. Homepage: http://www.kde.org/ Section: kde Priority: optional Filename: pool/main/k/kde-workspace/kde-workspace-data_4.8.4-6_all.deb Package: kde-workspace-dbg Source: kde-workspace Version: 4:4.8.4-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 291053 Depends: kde-runtime-dbg Suggests: kde-workspace (>= 4:4.8.4-6) Breaks: kdebase-dbg (<< 4:4.5), kdebase-runtime-dbg (<< 4:4.5), kdebase-workspace-dbg (<< 4:4.7.2) Replaces: kdebase-dbg (<< 4:4.5), kdebase-runtime-dbg (<< 4:4.5), kdebase-workspace-dbg (<< 4:4.7.2) Homepage: http://www.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kde-workspace/kde-workspace-dbg_4.8.4-6_armhf.deb Size: 67282804 SHA256: edbe089d4de2cb09d5de409e73050ac9073ffa831c5bb5e36a84be3a4e9df4c8 SHA1: 44464682ce6aa0faac92f0e30c6640264018c9a1 MD5sum: 1c6c23d4c935dbe08f758ff50206a565 Description: debugging symbols for the KDE Plasma Workspaces This package contains debugging files used to investigate problems with binaries included in the KDE base workspace module. Package: kde-workspace-dev Source: kde-workspace Version: 4:4.8.4-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 860 Depends: libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libksignalplotter4 (= 4:4.8.4-6), liblsofui4 (= 4:4.8.4-6), libprocessui4a (= 4:4.8.4-6), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libkdecorations4 (= 4:4.8.4-6), libkwineffects1abi3 (= 4:4.8.4-6), libkephal4abi1 (= 4:4.8.4-6), libkscreensaver5 (= 4:4.8.4-6), libksgrd4 (= 4:4.8.4-6), libkworkspace4abi1 (= 4:4.8.4-6), libplasmaclock4abi3 (= 4:4.8.4-6), libplasma-geolocation-interface4 (= 4:4.8.4-6), libkwinglutils1 (= 4:4.8.4-6), libplasmagenericshell4 (= 4:4.8.4-6), libprocesscore4abi1 (= 4:4.8.4-6), libsolidcontrol4abi2 (= 4:4.8.4-6), libsolidcontrolifaces4abi2 (= 4:4.8.4-6), libtaskmanager4abi3 (= 4:4.8.4-6), libweather-ion6 (= 4:4.8.4-6), kdelibs5-dev (>= 4:4.8) Breaks: kdebase-workspace-dev (<< 4:4.7.2) Replaces: kdebase-workspace-dev (<< 4:4.7.2) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kde-workspace/kde-workspace-dev_4.8.4-6_armhf.deb Size: 147066 SHA256: 49073d16d57abdcd95d166f285f734b0347f6923055c6d23b1458600183c689b SHA1: c9618e44b27de29ca39b07e5fecd63a2c75c86c2 MD5sum: aa101ad2bb91f9d72b9a528e89de1d95 Description: development files for the KDE Plasma Workspace This package contains development files for building software that uses libraries from the KDE Plasma Workspace. . This package is part of the KDE base workspace module. Package: kde-workspace-kgreet-plugins Source: kde-workspace Version: 4:4.8.4-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 208 Depends: libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Breaks: kdebase-workspace-kgreet-plugins (<< 4:4.7.2) Replaces: kdebase-workspace-kgreet-plugins (<< 4:4.7.2) Homepage: http://www.kde.org/ Priority: optional Section: libs Filename: pool/main/k/kde-workspace/kde-workspace-kgreet-plugins_4.8.4-6_armhf.deb Size: 53268 SHA256: 292829b147ba5263f0736a4db7d6fd39f35b5fbed9dd34472f603a831a439c87 SHA1: 1607ba4a4d7d8af71082ee696f94032fe09a24c3 MD5sum: 411367a6a0abc99d8ed172de3ecb6507 Description: KDE greet libraries for authentication This package contains libraries needed for kdm and locker authentication. . This package is part of the KDE base workspace module. Package: kde-zeroconf Source: kdenetwork Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 163 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdnssd4 (>= 4:4.8), libkio5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Homepage: http://www.kde.org/ Priority: extra Section: kde Filename: pool/main/k/kdenetwork/kde-zeroconf_4.8.4-1_armhf.deb Size: 42314 SHA256: edfc45e3807e8edd66e447c5cb6dabe94123e87b556999bb3dcaf353e5974d71 SHA1: 3d23ffe5dfbc6c3d39149459835a481b7953cdc2 MD5sum: 04c48287749c97ccdb8855b9b1a7dcbb Description: zeroconf plugins and kio slaves for KDE Zeroconf is an implementation of IPv4 link-local addresses (RFC3927) which can be used for ad-hoc networks. Addresses are allocated from the 169.254.0.0/16 range semi-randomly. . Keywords: avahi, dnssd. . This package is part of the KDE networking module. Package: kdeaccessibility Source: meta-kde (5:77+deb7u1) Version: 4:4.8.4+5.77+deb7u1 Installed-Size: 35 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kaccessible (>= 4:4.8.4), kde-icons-mono (>= 4:4.8.4), kmag (>= 4:4.8.4), kmousetool (>= 4:4.8.4), kmouth (>= 4:4.8.4), jovie (>= 4:4.8.4) Size: 11694 SHA256: 4a22430405355d2079e2c960634b35741e5ed8d8e8deded147faf4391c4641e6 SHA1: 6db00799a45a4bdc79e4b46af0d67fe75cc2e5cf MD5sum: 68130a97a11bc9dade2d387a6fc98467 Description: accessibility packages from the official KDE release KDE is produced by an international technology team that creates free and open source software for desktop and portable computing. Among KDE's products are a modern desktop system for Linux and UNIX platforms, comprehensive office productivity and groupware suites and hundreds of software titles in many categories including Internet and web applications, multimedia, entertainment, educational, graphics and software development. . This metapackage includes a collection of programs to make KDE more accessible, provided with the official release of KDE. Homepage: http://www.kde.org Tag: accessibility::input, role::metapackage, suite::kde Section: kde Priority: optional Filename: pool/main/m/meta-kde/kdeaccessibility_4.8.4+5.77+deb7u1_all.deb Package: kdeaccessibility-dbg Source: kdeaccessibility Version: 4:4.7.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 11637 Depends: kde-runtime-dbg Suggests: kdeaccessibility (= 4:4.7.4-2) Homepage: http://www.kde.org Priority: extra Section: debug Filename: pool/main/k/kdeaccessibility/kdeaccessibility-dbg_4.7.4-2_armhf.deb Size: 4675632 SHA256: 17e5f06a332e572e9c77b9e315883a66118ef4c0176332894cf2000a7bf3d68d SHA1: c739066823d72555b3a51c45676536ce34d3db72 MD5sum: 534452f815bf0e079cb2b1fd2dfa00c9 Description: debugging symbols for kdeaccessibility This package contains the debugging symbols associated with kdeaccessibility. They will automatically be used by gdb for debugging kdeaccessibility-related issues. Package: kdeadmin Version: 4:4.8.4-3 Installed-Size: 35 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kde-config-cron (>= 4:4.8.4-3), ksystemlog (>= 4:4.8.4-3), kuser (>= 4:4.8.4-3) Recommends: system-config-printer-kde (>= 4:4.8.4-3) Size: 8556 SHA256: ff379300df8b929d8de767d2a9f2c8316242d282f79f6b7823ae3d34c9985129 SHA1: a231d7e8d072a443119ead32522dd2c531d2119f MD5sum: 821f49b26f0276a4f52edadaac31440b Description: system administration tools from the official KDE release KDE is produced by an international technology team that creates free and open source software for desktop and portable computing. Among KDE's products are a modern desktop system for Linux and UNIX platforms, comprehensive office productivity and groupware suites and hundreds of software titles in many categories including Internet and web applications, multimedia, entertainment, educational, graphics and software development. . This metapackage includes a collection of system administration tools provided with the official release of KDE. Homepage: http://www.kde.org/ Tag: admin::configuring, role::metapackage, suite::kde, uitoolkit::qt Section: kde Priority: optional Filename: pool/main/k/kdeadmin/kdeadmin_4.8.4-3_all.deb Package: kdeadmin-dbg Source: kdeadmin Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 16337 Depends: kde-runtime-dbg Suggests: kdeadmin (= 4:4.8.4-3) Homepage: http://www.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kdeadmin/kdeadmin-dbg_4.8.4-3_armhf.deb Size: 3541638 SHA256: 0e84dcdc4561a769bb25da21595b980babae90433f86b702384b89507f02db9d SHA1: f269614d00506c387433412904374b8b4a1c4927 MD5sum: 898a0ab6e5af97bdb4348cc7c53f820c Description: debugging symbols for kdeadmin This package contains the debugging symbols associated with kdeadmin. They will automatically be used by gdb for debugging kdeadmin-related issues. . This package is part of the KDE administration module. Package: kdeartwork Version: 4:4.8.4-5 Installed-Size: 1555 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kdeartwork-misc Depends: kdeartwork-emoticons (>= 4:4.8.4-5), kdeartwork-style (>= 4:4.8.4-5), kdeartwork-theme-icon (>= 4:4.8.4-5) | kdelibs5-data, kdewallpapers (>= 4:4.8.4-5), kscreensaver (>= 4:4.8.4-5), plasma-desktopthemes-artwork (>= 4:4.8.4-5), kdeartwork-theme-window (>= 4:4.8.4-5) Breaks: kdeartwork-misc Size: 1345474 SHA256: a07525354d1c1309c0084e2a74db82e71d4f7c160ab2a2ed20de0e99c2c5d281 SHA1: c6ee34c7d7bf8fef2ea9505643957d66a56c20a7 MD5sum: ca5719a79d2a72c4c2b7e3372dfc776c Description: themes, styles and other artwork from the official KDE release KDE is produced by an international technology team that creates free and open source software for desktop and portable computing. Among KDE's products are a modern desktop system for Linux and UNIX platforms, comprehensive office productivity and groupware suites and hundreds of software titles in many categories including Internet and web applications, multimedia, entertainment, educational, graphics and software development. . This metapackage includes a collection of additional artwork provided with the official release of KDE. Homepage: http://www.kde.org/ Tag: made-of::icons, role::app-data, role::metapackage, suite::kde, uitoolkit::qt Section: kde Priority: optional Filename: pool/main/k/kdeartwork/kdeartwork_4.8.4-5_all.deb Package: kdeartwork-dbg Source: kdeartwork Version: 4:4.8.4-5 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 18063 Suggests: kdeartwork (= 4:4.8.4-5) Breaks: kdebase-workspace-dbg (<< 4:4.6.0) Replaces: kdebase-workspace-dbg (<< 4:4.6.0) Homepage: http://www.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kdeartwork/kdeartwork-dbg_4.8.4-5_armhf.deb Size: 3569320 SHA256: 8c07526429cf9cba8f063cfaaed2364769b502baa1c67aaeb80ae433d0a0462e SHA1: 81b58eed216bc57245803252bcc49dbd8487b6ff MD5sum: dee59af1dc2ee95f0757b077a218da9f Description: debugging symbols for kdeartwork This package contains the debugging files associated with kdeartwork. They will automatically be used by gdb for debugging kdeartwork-related issues. . This package is part of the KDE artwork module. Package: kdeartwork-emoticons Source: kdeartwork Version: 4:4.8.4-5 Installed-Size: 182 Maintainer: Debian Qt/KDE Maintainers Architecture: all Size: 72408 SHA256: ff889a365a4275e3a0731710495ee32c0028f9f2bbf0c6c9ad6cbcd2386196d7 SHA1: 61f5f23099bef72ccb039226d15a1b8bb93a298d MD5sum: f451f1b21abc7175266d734e80c5ce10 Description: emoticon collections for chat clients This package contains several collections of emoticons for chat clients, such as Kopete and Konversation. . This package is part of the KDE artwork module. Homepage: http://www.kde.org/ Tag: made-of::icons, made-of::xml, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kdeartwork/kdeartwork-emoticons_4.8.4-5_all.deb Package: kdeartwork-style Source: kdeartwork Version: 4:4.8.4-5 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 169 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdeartwork/kdeartwork-style_4.8.4-5_armhf.deb Size: 40164 SHA256: c7cd78bb4a16319f0cd9c630088353bddf5b9149d55185a957b67f712f52198a SHA1: 1d9a1bb5b34925235521cbf92ac9c9980800c4ba MD5sum: a84e024dbcfa1d9f22f2a1694b0de377 Description: widget styles for applications using Qt or KDE Development Platform Currently it provides the following themes: * phase . This package is part of the KDE artwork module. Package: kdeartwork-theme-icon Source: kdeartwork Version: 4:4.8.4-5 Installed-Size: 37 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: nuvola-icon-theme (>= 4:4.8.4-5), kde-icons-mono (>= 4:4.8.4-5) Size: 8670 SHA256: 1cffd21bbbb49df889cff9e5075d099eac35535854c90c8eff1b5d71d187d18c SHA1: 1489b2b2789c4c96e394e6f346b3d4c3cb3fd5f7 MD5sum: 910ee7956335871e1b3831e7d6c85112 Description: additional icon themes This metapackage installs additional icon themes for KDE. . This package is part of the KDE artwork module. Homepage: http://www.kde.org/ Tag: made-of::icons, role::app-data, suite::kde, uitoolkit::qt, x11::theme Section: kde Priority: optional Filename: pool/main/k/kdeartwork/kdeartwork-theme-icon_4.8.4-5_all.deb Package: kdeartwork-theme-window Source: kdeartwork Version: 4:4.8.4-5 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 468 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecorations4 (>= 4:4.6.1), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libqtcore4 (>= 4:4.7.0~beta2), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Breaks: kde-window-manager (<< 4:4.5.80) Replaces: kde-window-manager (<< 4:4.5.80) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdeartwork/kdeartwork-theme-window_4.8.4-5_armhf.deb Size: 167016 SHA256: 6439e2ea2b06e27ad87786b2dad87e76d25df356b19cba79ba28efd7e6a22b48 SHA1: feb9716fc8c6d680fc769231f4343620c73bc369 MD5sum: f967fb42b92254fd11fb650e80f6c2ba Description: window decoration for the K Window manager (KWin) This package contains additional window decorations for K Window Manager . This package is part of the KDE artwork module. Package: kdebase-apps Source: kde-baseapps Version: 4:4.8.4-2 Installed-Size: 64 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kde-baseapps Size: 16910 SHA256: 88cab1e1c28e7103894a62d954f10f5cffcd4454a21d7899e1efeb7800b042ef SHA1: 2fbadd14be8cb52ee5bc81ebbab1b0b16ec52aad MD5sum: 6b010ae24fafde763ca468b57cef4642 Description: Transitional package for kde-baseapps This transitional package takes care of pulling in the new kde-baseapps package and can safely be removed after installation. Homepage: http://www.kde.org/ Tag: role::metapackage, role::program, suite::kde Section: oldlibs Priority: extra Filename: pool/main/k/kde-baseapps/kdebase-apps_4.8.4-2_all.deb Package: kdebase-bin Source: kde-baseapps Version: 4:4.8.4-2 Installed-Size: 64 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kde-baseapps-bin Size: 16892 SHA256: 146134357fd96b575e975e84e10063a814b6de7f95ea9e42a34b30f5983d05bd SHA1: c7efc630c65400fe14795082ccf4dba49e1be3a6 MD5sum: 04b7554c8ca63ad2ef1d0ada13fba1f7 Description: Transitional package for new kde-baseapps This transitional package can be safely removed after installation. Homepage: http://www.kde.org/ Tag: admin::configuring, interface::commandline, interface::x11, role::program, scope::utility, suite::kde, uitoolkit::qt Section: oldlibs Priority: extra Filename: pool/main/k/kde-baseapps/kdebase-bin_4.8.4-2_all.deb Package: kdebase-dbg Source: kde-baseapps Version: 4:4.8.4-2 Installed-Size: 64 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kde-baseapps-dbg Size: 16926 SHA256: 881a690c2574ac5a5974e093f3b6f616d46d15fc57d2aa337e54c311de84e072 SHA1: 2e6443028898179cfe807dffbd374e11dbdb1f36 MD5sum: e39a2bc8bfd72e3243044d1e87af83d8 Description: Transitional package for kde-baseapps-dbg This transitional package takes care of pulling in the new kde-baseapps-dbg package and can safely be removed after installation. Homepage: http://www.kde.org/ Tag: devel::library, role::debug-symbols, suite::kde Section: oldlibs Priority: extra Filename: pool/main/k/kde-baseapps/kdebase-dbg_4.8.4-2_all.deb Package: kdebase-runtime Source: kde-runtime Version: 4:4.8.4-2 Installed-Size: 66 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kde-runtime Size: 18576 SHA256: ab483684d833ac27b59263d633ff8dcaf9334f58561dd6b7b5758743ba0f18f6 SHA1: e27ee1735553f59dd873aa6b1fe27c1f141be269 MD5sum: ed72d2585446c7a909215c15c98f14af Description: Transitional package for the KDE runtime components KDE is produced by an international technology team that creates free and open source software for desktop and portable computing. Among KDE's products are a modern desktop system for Linux and UNIX platforms, comprehensive office productivity and groupware suites and hundreds of software titles in many categories including Internet and web applications, multimedia, entertainment, educational, graphics and software development. . This transitional package takes care of pulling in the new kde-runtime package and can safely be removed after installation. Homepage: http://www.kde.org/ Tag: implemented-in::c++, interface::x11, role::program, suite::kde, uitoolkit::qt, x11::application Section: oldlibs Priority: extra Filename: pool/main/k/kde-runtime/kdebase-runtime_4.8.4-2_all.deb Package: kdebase-runtime-dbg Source: kde-runtime Version: 4:4.8.4-2 Installed-Size: 66 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kde-runtime-dbg Size: 18304 SHA256: 886d1cf7c60bb80c4f82cc68f173ae7a25a49734c853b15c34caba2866720bad SHA1: aacf10d80b72fa8b86acbc59cd78ad4528e280d8 MD5sum: d9f5a9ba21fb47b504f2d8a7a004d103 Description: transitional package for kde-runtime-dbg This is a dummy transitional package for kde-runtime-dbg and can be safely removed after the installation is complete. Homepage: http://www.kde.org/ Tag: role::debug-symbols, suite::kde Section: oldlibs Priority: extra Filename: pool/main/k/kde-runtime/kdebase-runtime-dbg_4.8.4-2_all.deb Package: kdebase-workspace Source: kde-workspace Version: 4:4.8.4-6 Installed-Size: 110 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kde-workspace Size: 34364 SHA256: 4a24ecc6d3665aad7e855f5b76ba0df5647bebc738983c2966d503001d4a8070 SHA1: 70efc7fc6637521beb438bddb706bfacde5666d0 MD5sum: f0fd7801c78682750880eb8dd78fba27 Description: transitional package for kde-workspace This is a dummy transitional package for kde-workspace and can be safely removed after the installation is complete. Homepage: http://www.kde.org/ Tag: implemented-in::c++, suite::kde, uitoolkit::qt Section: oldlibs Priority: extra Filename: pool/main/k/kde-workspace/kdebase-workspace_4.8.4-6_all.deb Package: kdebase-workspace-bin Source: kde-workspace Version: 4:4.8.4-6 Installed-Size: 110 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kde-workspace-bin Size: 34366 SHA256: 24422170229869490aad9780519ec5ed0e4075fca6be8cf512c1d6efed7581fd SHA1: 5c531b11e9f3dd20016102a5164d395aeb7d9bc8 MD5sum: 26c52daa66ed13012ae4e19a9f802850 Description: transitional package for kde-workspace-bin This is a dummy transitional package for kde-workspace-bin and can be safely removed after the installation is complete. Homepage: http://www.kde.org/ Tag: implemented-in::c++, role::plugin, role::program, suite::kde, uitoolkit::qt Section: oldlibs Priority: extra Filename: pool/main/k/kde-workspace/kdebase-workspace-bin_4.8.4-6_all.deb Package: kdebase-workspace-dbg Source: kde-workspace Version: 4:4.8.4-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 110 Depends: kde-workspace-dbg Homepage: http://www.kde.org/ Priority: extra Section: oldlibs Filename: pool/main/k/kde-workspace/kdebase-workspace-dbg_4.8.4-6_armhf.deb Size: 34334 SHA256: ecde172d22fb9f168101fe4e544f66f50482997a06fbd8389fa8133618725aeb SHA1: a523cc1bd7fbb7748992004f965c606b573b9b9a MD5sum: 82f80884b5e35b987cd882c2f9d998e5 Description: transitional package for kde-workspace-dbg This is a dummy transitional package for kde-workspace-dbg and can be safely removed after the installation is complete. Package: kdebase-workspace-dev Source: kde-workspace Version: 4:4.8.4-6 Installed-Size: 110 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kde-workspace-dev Size: 34376 SHA256: 7f8aad54958ffcbcf429623f02da80909024c8aa6a18d95ee471375e80129345 SHA1: a417222ff0be8d65408a69fc1fd9073490a94677 MD5sum: ca771574ff2baa2986413abb39bddff0 Description: transitional package for kde-workspace-dev This is a dummy transitional package for kde-workspace-dev and can be safely removed after the installation is complete. Homepage: http://www.kde.org/ Tag: devel::lang:c++, devel::library, implemented-in::c++, role::devel-lib, suite::kde, uitoolkit::qt Section: oldlibs Priority: extra Filename: pool/main/k/kde-workspace/kdebase-workspace-dev_4.8.4-6_all.deb Package: kdeedu Source: meta-kde (5:77+deb7u1) Version: 4:4.8.4+5.77+deb7u1 Installed-Size: 35 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: blinken (>= 4:4.8.4), cantor (>= 4:4.8.4), kalgebra (>= 4:4.8.4), kalzium (>= 4:4.8.4), kanagram (>= 4:4.8.4), kbruch (>= 4:4.8.4), kgeography (>= 4:4.8.4), khangman (>= 4:4.8.4), kig (>= 4:4.8.4), kiten (>= 4:4.8.4), klettres (>= 4:4.8.4), kmplot (>= 4:4.8.4), kstars (>= 4:4.8.4), ktouch (>= 4:4.8.4), kturtle (>= 4:4.8.4), kwordquiz (>= 4:4.8.4), marble (>= 4:4.8.4), parley (>= 4:4.8.4), rocs (>= 4:4.8.4), step (>= 4:4.8.4) Size: 11718 SHA256: 9f27d51b24d74d65bb7043ffeec8c15127bd168a9d848d6d3a3c0405f4528594 SHA1: 7208ca1b63e110b03165d71047b90d9a47bf0963 MD5sum: eeb6f44f604a9f668b88b42626b3bd38 Description: educational applications from the official KDE release KDE is produced by an international technology team that creates free and open source software for desktop and portable computing. Among KDE's products are a modern desktop system for Linux and UNIX platforms, comprehensive office productivity and groupware suites and hundreds of software titles in many categories including Internet and web applications, multimedia, entertainment, educational, graphics and software development. . This metapackage includes educational applications provided with the official release of KDE. Homepage: http://www.kde.org Tag: role::metapackage, suite::kde Section: kde Priority: optional Filename: pool/main/m/meta-kde/kdeedu_4.8.4+5.77+deb7u1_all.deb Package: kdeedu-kvtml-data Source: libkdeedu Version: 4:4.8.4-1 Installed-Size: 188 Maintainer: Debian Qt/KDE Maintainers Architecture: all Size: 19536 SHA256: c3b6230b293d63c5c2852e47cafaaadc26d3a8945f46fd59e99ff47f1e9134b4 SHA1: ba2b3303b9a6317bc64a3bfbd8f18bdac29ccad4 MD5sum: dd223e479910a8db9a9f95bf5e3588bc Description: KVTML files for KDE-Edu programs This package contains KVTML files for several KDE-Edu applications, such as KAnagram, KHangman, and KWordQuiz. . This package is part of the KDE education module. Homepage: http://edu.kde.org/ Tag: role::data, suite::kde, use::learning Section: kde Priority: optional Filename: pool/main/libk/libkdeedu/kdeedu-kvtml-data_4.8.4-1_all.deb Package: kdegames Version: 4:4.8.4-3 Installed-Size: 61 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: bovo (>= 4:4.8.4-3), bomber (>= 4:4.8.4-3), kapman (>= 4:4.8.4-3), katomic (>= 4:4.8.4-3), kbattleship (>= 4:4.8.4-3), kblackbox (>= 4:4.8.4-3), kbounce (>= 4:4.8.4-3), kdiamond (>= 4:4.8.4-3), kgoldrunner (>= 4:4.8.4-3), kiriki (>= 4:4.8.4-3), killbots (>= 4:4.8.4-3), ktron (>= 4:4.8.4-3), kjumpingcube (>= 4:4.8.4-3), klines (>= 4:4.8.4-3), kmahjongg (>= 4:4.8.4-3), kmines (>= 4:4.8.4-3), knetwalk (>= 4:4.8.4-3), kolf (>= 4:4.8.4-3), kollision (>= 4:4.8.4-3), konquest (>= 4:4.8.4-3), kpat (>= 4:4.8.4-3), kreversi (>= 4:4.8.4-3), klickety (>= 4:4.8.4-3), kshisen (>= 4:4.8.4-3), kspaceduel (>= 4:4.8.4-3), ksudoku (>= 4:4.8.4-3), ksquares (>= 4:4.8.4-3), ktuberling (>= 4:4.8.4-3), kfourinline (>= 4:4.8.4-3), lskat (>= 4:4.8.4-3), kubrick (>= 4:4.8.4-3), kblocks (>= 4:4.8.4-3), kbreakout (>= 4:4.8.4-3), ksirk (>= 4:4.8.4-3), palapeli (>= 4:4.8.4-3), granatier (>= 4:4.8.4-3), kigo (>= 4:4.8.4-3) Recommends: kajongg (>= 4:4.8.4-3) Size: 21056 SHA256: df057d3fc0b359c1f61bfa011ab7e19c9ca06f88d302998ca9632ade2669f481 SHA1: 7119edbcfbe1b0352da6b294bc569f943b5d6c05 MD5sum: d06787052cf41c9cfa822d26657eb487 Description: games from the official KDE release KDE is produced by an international technology team that creates free and open source software for desktop and portable computing. Among KDE's products are a modern desktop system for Linux and UNIX platforms, comprehensive office productivity and groupware suites and hundreds of software titles in many categories including Internet and web applications, multimedia, entertainment, educational, graphics and software development. . This metapackage includes a collection of games provided with the official release of KDE. Homepage: http://games.kde.org/ Tag: role::dummy, role::metapackage, suite::kde, uitoolkit::qt, use::gameplaying, x11::application Section: kde Priority: optional Filename: pool/main/k/kdegames/kdegames_4.8.4-3_all.deb Package: kdegames-card-data Source: kdegames Version: 4:4.8.4-3 Installed-Size: 8925 Maintainer: Debian Qt/KDE Maintainers Architecture: all Size: 8795278 SHA256: 6d1206e39aad06c9baee48b0f1dc21cfa25ff05e5e2ebb60f9ae3de8ef6cea4d SHA1: 6d033f81e7fe817e9529280085886d6696c2a659 MD5sum: 80676d00aa3fe09aaf38d84948816fb7 Description: card decks for KDE games This package contains a collection of playing card themes for KDE card games. . This package is part of the KDE games module. Homepage: http://games.kde.org/ Tag: game::card, role::app-data, suite::kde Section: games Priority: optional Filename: pool/main/k/kdegames/kdegames-card-data_4.8.4-3_all.deb Package: kdegames-dbg Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 126827 Depends: kde-runtime-dbg Suggests: kdegames (= 4:4.8.4-3) Homepage: http://games.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kdegames/kdegames-dbg_4.8.4-3_armhf.deb Size: 29478430 SHA256: 3ab334af04fc4ec8f1d1ce79b8d66d87238d5253e392bdc509409a316cc3a381 SHA1: 96371314c9dddfdbdfdda8545255a062066ff15a MD5sum: 637cc0996c0b86bef7596761057e290e Description: debugging symbols for the KDE games module This package contains debugging files used to investigate problems with binaries included in the KDE games module. . This package is part of the KDE games module. Package: kdegames-mahjongg-data Source: kdegames Version: 4:4.8.4-3 Installed-Size: 2471 Maintainer: Debian Qt/KDE Maintainers Architecture: all Size: 1928484 SHA256: bb17e02db45c5d9d87506fe5f3c409d1b8dcfe5503de9342fa7f9dc97c51b597 SHA1: 8181b302a37ad9f56d90b5ec52a91e39a01a9280 MD5sum: 03d1c51cf6296417fd5290f8043d2a94 Description: tilesets and backgrounds for Mahjongg games This package contains a collection of tilesets and backgrounds for KMahjongg and KShisen. . This package is part of the KDE games module. Homepage: http://games.kde.org/ Tag: role::app-data, suite::kde, use::gameplaying Section: games Priority: optional Filename: pool/main/k/kdegames/kdegames-mahjongg-data_4.8.4-3_all.deb Package: kdegraphics Source: meta-kde (5:77+deb7u1) Version: 4:4.8.4+5.77+deb7u1 Installed-Size: 35 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: gwenview (>= 4:4.8.4), kamera (>= 4:4.8.4), kcolorchooser (>= 4:4.8.4), kgamma (>= 4:4.8.4), kolourpaint4 (>= 4:4.8.4), kruler (>= 4:4.8.4), ksnapshot (>= 4:4.8.4), okular (>= 4:4.8.4) Recommends: kdegraphics-strigi-analyzer (>= 4:4.8.4), kdegraphics-thumbnailers (>= 4:4.8.4), kdegraphics-mobipocket (>= 4:4.8.4), ksaneplugin (>= 4:4.8.4), svgpart (>= 4:4.8.4) Size: 11734 SHA256: ba7bb7ddaa5b8ba6ceadd68798091e2aba8300330aa8949d212499f24affb901 SHA1: b7b2fc1a7e29611638e2a96a44abcde3d9a53477 MD5sum: 766825b54228b7516e136bf20074a3e2 Description: graphics applications from the official KDE release KDE is produced by an international technology team that creates free and open source software for desktop and portable computing. Among KDE's products are a modern desktop system for Linux and UNIX platforms, comprehensive office productivity and groupware suites and hundreds of software titles in many categories including Internet and web applications, multimedia, entertainment, educational, graphics and software development. . This metapackage includes graphics applications provided with the official release of KDE. Homepage: http://www.kde.org Tag: role::metapackage, suite::kde Section: kde Priority: optional Filename: pool/main/m/meta-kde/kdegraphics_4.8.4+5.77+deb7u1_all.deb Package: kdegraphics-libs-data Source: meta-kde (5:77+deb7u1) Version: 4:4.8.4+5.77+deb7u1 Installed-Size: 35 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: libkdcraw-data, libkipi-data, libksane-data, libkexiv2-data Size: 11406 SHA256: a650712d5f70772bdbe3a51f7785215644dfb21248737b89b374330d0afa0e11 SHA1: 7a867ed1c138cc4cef65706a97e34d64ac0729d5 MD5sum: 87ddc494037d245d87dd9aabc8a6d8b0 Description: transitional package for data files of various kdegraphics libs This transitional package can be safely removed after installation. Homepage: http://www.kde.org Tag: role::app-data, suite::kde Section: libs Priority: optional Filename: pool/main/m/meta-kde/kdegraphics-libs-data_4.8.4+5.77+deb7u1_all.deb Package: kdegraphics-mobipocket Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 184 Depends: libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkio5 (>= 4:4.8), libokularcore1 (>= 4:4.4.5), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6), libstreamanalyzer0 (>= 0.7.7) Recommends: okular Enhances: okular Breaks: kdegraphics-strigi-plugins (<< 4:4.6.90), okular (<< 4:4.6.90) Replaces: kdegraphics-strigi-plugins (<< 4:4.6.90), okular (<< 4:4.6.90) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdegraphics-mobipocket/kdegraphics-mobipocket_4.8.4-1_armhf.deb Size: 48268 SHA256: 08307abba8280a0f8ed32b31cc414fc0b8c3b4f5599e3f862214a7f4ae31bd27 SHA1: f4253e44379a65eead39c6000043b1192530891e MD5sum: 6c817aee4705054ceb15e59df038840d Description: mobipocket plugins for Strigi indexing, Okular and thumbnails These plugins allow Strigi Desktop Search to extract additional information about mobipocket files. Additionally they allow the Dolphin file manager to create thumbnails for this file format and Okular to display the open the files. . This package is part of the KDE graphics module. Package: kdegraphics-strigi-analyzer Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 67 Depends: libc6 (>= 2.13-28), libstdc++6 (>= 4.6), libstreamanalyzer0 (>= 0.7.7), libtiff4 (>> 3.9.5-3~) Breaks: kdegraphics-strigi-plugins (<< 4:4.6.90) Replaces: kdegraphics-strigi-plugins (<< 4:4.6.90) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdegraphics-strigi-analyzer/kdegraphics-strigi-analyzer_4.8.4-1_armhf.deb Size: 11510 SHA256: 0600d28167461ae77907d4d58d18c0ae046def8fa4a6a10dc686580ddf015d68 SHA1: 2eb590ab9bd9c835df2fa84852cb8a285a9be5b2 MD5sum: ef8f298bc2d4f3d54eb1d6d130df7e87 Description: graphics file format plugins for Strigi Desktop Search These plugins allow Strigi Desktop Search to extract additional information about several document and graphic file formats. . Strigi analyzers are included for: * Device independent documents (DVI) * Tagged Image File Format (TIFF) files . This package is part of the KDE graphics module. Package: kdegraphics-strigi-plugins Source: meta-kde (5:77+deb7u1) Version: 4:4.8.4+5.77+deb7u1 Installed-Size: 35 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kdegraphics-strigi-analyzer, kdegraphics-thumbnailers Size: 11456 SHA256: 370372891d4b6b360c85b46f10f363b7a3d4b08e29991ac7c8dac8cd03118553 SHA1: 3d5f3f7d9fa5d9cc5dbe1190dcf61b478e51c130 MD5sum: 8eab78500176dd8ccb23dadee3330559 Description: transitional package for kdegraphics-related plugins This transitional package allows one to migrate to the packages providing thumbnailers and Strigi plugins of the KDE graphics module. . It can be safely removed after the installation. Homepage: http://www.kde.org Tag: implemented-in::c++, role::plugin, suite::kde, uitoolkit::qt Section: kde Priority: optional Filename: pool/main/m/meta-kde/kdegraphics-strigi-plugins_4.8.4+5.77+deb7u1_all.deb Package: kdegraphics-thumbnailers Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 110 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdcraw20 (>= 4:4.7.1), libkexiv2-10 (>= 4:4.7.1), libkio5 (>= 4:4.8), libqtcore4 (>= 4:4.6.1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Breaks: kdegraphics-strigi-plugins (<< 4:4.6.90) Replaces: kdegraphics-strigi-plugins (<< 4:4.6.90) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdegraphics-thumbnailers/kdegraphics-thumbnailers_4.8.4-1_armhf.deb Size: 32524 SHA256: e8de1c133bce2c03bdbd79d4f148afd4406ca777b22ed97351d6775266be4528 SHA1: 229d754bca0588878c3c99774d256e1a6a5bf9b7 MD5sum: 5cf8563f317a444d8ca8d74b0c28b4d4 Description: graphics file format thumbnailers for KDE SC These plugins allow KDE software to create thumbnails for several advanced graphic file formats (PS, RAW). . This package is part of the KDE graphics module. Package: kdelibs-bin Source: kde4libs Version: 4:4.8.4-4+deb7u3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 730 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (= 4:4.8.4-4+deb7u3), libkdeui5 (= 4:4.8.4-4+deb7u3), libkio5 (= 4:4.8.4-4+deb7u3), libkjsapi4 (= 4:4.8.4-4+deb7u3), libkjsembed4 (= 4:4.8.4-4+deb7u3), libkrosscore4 (= 4:4.8.4-4+deb7u3), libnepomuk4 (= 4:4.8.4-4+deb7u3), libnepomukutils4 (= 4:4.8.4-4+deb7u3), libqt4-dbus (>= 4:4.7.0), libqt4-xml (>= 4:4.7.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.7.0), libsoprano4 (>= 2.5.60), libstdc++6 (>= 4.4.0), libx11-6 Conflicts: kjscmd Homepage: http://www.kde.org/ Priority: optional Section: libs Filename: pool/main/k/kde4libs/kdelibs-bin_4.8.4-4+deb7u3_armhf.deb Size: 199670 SHA256: c09f58001ae0f8371cda0310b2abb8c8c5544cbbb4bd7d65569e99a642cd0759 SHA1: f4424d79700c8edfb5920769d13303dbe2d21354 MD5sum: 3c805f3b5f9c106edfb7875929e09f25 Description: core executables for KDE Applications This package contains common core executables used by all KDE Applications. . This package is part of the KDE Development Platform libraries module. Package: kdelibs5-data Source: kde4libs Version: 4:4.8.4-4+deb7u3 Installed-Size: 6554 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kdebase-runtime-data (<< 4:4.5.85), libplasma2 Depends: perl, hicolor-icon-theme Breaks: kdebase-runtime-data (<< 4:4.5.85), libplasma2 Size: 2840246 SHA256: d129c9544cf1fe524dfbb5b70a03b53366f4080257224bbb8b87a8a0b60b3d36 SHA1: 32978b4de53dae1a948692f99b026d4dba853deb MD5sum: 505af97464543a11dfd9aaf55414e524 Description: core shared data for all KDE Applications This package contains architecture-independent data files needed to run KDE Applications. . This package is part of the KDE Development Platform libraries module. Homepage: http://www.kde.org/ Section: libs Priority: optional Filename: pool/main/k/kde4libs/kdelibs5-data_4.8.4-4+deb7u3_all.deb Package: kdelibs5-dbg Source: kde4libs Version: 4:4.8.4-4+deb7u3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 326165 Depends: libkdecore5 (= 4:4.8.4-4+deb7u3), libqt4-dbg (>= 4:4.6) Recommends: libkdeui5 (= 4:4.8.4-4+deb7u3), libkpty4 (= 4:4.8.4-4+deb7u3), libkdesu5 (= 4:4.8.4-4+deb7u3), libkjsapi4 (= 4:4.8.4-4+deb7u3), libkjsembed4 (= 4:4.8.4-4+deb7u3), libkio5 (= 4:4.8.4-4+deb7u3), libkntlm4 (= 4:4.8.4-4+deb7u3), libsolid4 (= 4:4.8.4-4+deb7u3), libkde3support4 (= 4:4.8.4-4+deb7u3), libkfile4 (= 4:4.8.4-4+deb7u3), libknewstuff2-4 (= 4:4.8.4-4+deb7u3), libknewstuff3-4 (= 4:4.8.4-4+deb7u3), libkparts4 (= 4:4.8.4-4+deb7u3), libkutils4 (= 4:4.8.4-4+deb7u3), libthreadweaver4 (= 4:4.8.4-4+deb7u3), libkhtml5 (= 4:4.8.4-4+deb7u3), libkimproxy4 (= 4:4.8.4-4+deb7u3), libkmediaplayer4 (= 4:4.8.4-4+deb7u3), libktexteditor4 (= 4:4.8.4-4+deb7u3), libknotifyconfig4 (= 4:4.8.4-4+deb7u3), libkdnssd4 (= 4:4.8.4-4+deb7u3), libkrosscore4 (= 4:4.8.4-4+deb7u3), libkrossui4 (= 4:4.8.4-4+deb7u3), libnepomuk4 (= 4:4.8.4-4+deb7u3), libnepomukutils4 (= 4:4.8.4-4+deb7u3), libnepomukquery4a (= 4:4.8.4-4+deb7u3), libplasma3 (= 4:4.8.4-4+deb7u3), libkunitconversion4 (= 4:4.8.4-4+deb7u3), libkdewebkit5 (= 4:4.8.4-4+deb7u3), libkcmutils4 (= 4:4.8.4-4+deb7u3), libkemoticons4 (= 4:4.8.4-4+deb7u3), libkidletime4 (= 4:4.8.4-4+deb7u3), libkprintutils4 (= 4:4.8.4-4+deb7u3), libkdeclarative5 (= 4:4.8.4-4+deb7u3), kdelibs-bin (= 4:4.8.4-4+deb7u3) Homepage: http://www.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kde4libs/kdelibs5-dbg_4.8.4-4+deb7u3_armhf.deb Size: 73554282 SHA256: ee95a3ffdad03a76dd1f93c9f019bad89f31e9d7a4298ed33982e8cbfb9208f7 SHA1: f765599fb830081d83f9b7f0ed23b66cf6130d30 MD5sum: 141cba0564015ccbe2af36d4f2021845 Description: debugging symbols for the KDE Development Platform libraries This package contains debugging files used to investigate problems with binaries included in the KDE Development Platform libraries module. . This package is part of the KDE Development Platform libraries module. Package: kdelibs5-dev Source: kde4libs Version: 4:4.8.4-4+deb7u3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 8547 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkde3support4 (= 4:4.8.4-4+deb7u3), libkdecore5 (= 4:4.8.4-4+deb7u3), libqt4-xml (>= 4:4.7.0), libqtcore4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), perl, libkdeui5 (= 4:4.8.4-4+deb7u3), libkpty4 (= 4:4.8.4-4+deb7u3), libkdesu5 (= 4:4.8.4-4+deb7u3), libkjsapi4 (= 4:4.8.4-4+deb7u3), libkjsembed4 (= 4:4.8.4-4+deb7u3), libkio5 (= 4:4.8.4-4+deb7u3), libkntlm4 (= 4:4.8.4-4+deb7u3), libsolid4 (= 4:4.8.4-4+deb7u3), libkfile4 (= 4:4.8.4-4+deb7u3), libknewstuff2-4 (= 4:4.8.4-4+deb7u3), libknewstuff3-4 (= 4:4.8.4-4+deb7u3), libkparts4 (= 4:4.8.4-4+deb7u3), libkutils4 (= 4:4.8.4-4+deb7u3), libthreadweaver4 (= 4:4.8.4-4+deb7u3), libkhtml5 (= 4:4.8.4-4+deb7u3), libkimproxy4 (= 4:4.8.4-4+deb7u3), libkmediaplayer4 (= 4:4.8.4-4+deb7u3), libktexteditor4 (= 4:4.8.4-4+deb7u3), libknotifyconfig4 (= 4:4.8.4-4+deb7u3), libkdnssd4 (= 4:4.8.4-4+deb7u3), libkrosscore4 (= 4:4.8.4-4+deb7u3), libkrossui4 (= 4:4.8.4-4+deb7u3), libnepomuk4 (= 4:4.8.4-4+deb7u3), libnepomukutils4 (= 4:4.8.4-4+deb7u3), libnepomukquery4a (= 4:4.8.4-4+deb7u3), libplasma3 (= 4:4.8.4-4+deb7u3), libkunitconversion4 (= 4:4.8.4-4+deb7u3), libkdewebkit5 (= 4:4.8.4-4+deb7u3), libkcmutils4 (= 4:4.8.4-4+deb7u3), libkemoticons4 (= 4:4.8.4-4+deb7u3), libkidletime4 (= 4:4.8.4-4+deb7u3), libkprintutils4 (= 4:4.8.4-4+deb7u3), libkdeclarative5 (= 4:4.8.4-4+deb7u3), kdoctools (= 4:4.8.4-4+deb7u3), kdelibs-bin (= 4:4.8.4-4+deb7u3), automoc (>= 1.0~version-0.9.88), libqt4-dev (>= 4:4.8.0), libsoprano-dev (>= 2.5.63), libphonon-dev (>= 4:4.6.0really4.4.3) Recommends: libqt4-opengl-dev (>= 4:4.7.0) Conflicts: kdelibs4-dev, libkjsembed-dev Breaks: libplasma-dev (<< 4:4.1.96) Replaces: libplasma-dev (<< 4:4.1.96) Homepage: http://www.kde.org/ Priority: optional Section: libdevel Filename: pool/main/k/kde4libs/kdelibs5-dev_4.8.4-4+deb7u3_armhf.deb Size: 1445376 SHA256: 549653ef8f9f87bb285c0b3e7301f7a453afa65d91fb5a7745ecdff5c9a8c145 SHA1: f2dd7cb26aec280dded28e0aac109102bd43fefe MD5sum: 18f5de9b97721d37e48a146dd30d7846 Description: development files for the KDE Development Platform libraries This package contains development files for building KDE Applications and other software that uses the KDE Development Platform libraries. . This package is part of the KDE Development Platform libraries module. Package: kdelibs5-plugins Source: kde4libs Version: 4:4.8.4-4+deb7u3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 3763 Depends: libacl1 (>= 2.2.51-8), libaspell15 (>= 0.60.7~20110707), libc6 (>= 2.13-28), libenchant1c2a (>= 1.6), libgcc1 (>= 1:4.4.0), libgssapi-krb5-2 (>= 1.10+dfsg~), libilmbase6 (>= 1.0.1), libjasper1, libkde3support4 (= 4:4.8.4-4+deb7u3), libkdecore5 (= 4:4.8.4-4+deb7u3), libkdeui5 (= 4:4.8.4-4+deb7u3), libkdewebkit5 (= 4:4.8.4-4+deb7u3), libkemoticons4 (= 4:4.8.4-4+deb7u3), libkfile4 (= 4:4.8.4-4+deb7u3), libkhtml5 (= 4:4.8.4-4+deb7u3), libkio5 (= 4:4.8.4-4+deb7u3), libkjsapi4 (= 4:4.8.4-4+deb7u3), libkjsembed4 (= 4:4.8.4-4+deb7u3), libkntlm4 (= 4:4.8.4-4+deb7u3), libkparts4 (= 4:4.8.4-4+deb7u3), libkrosscore4 (= 4:4.8.4-4+deb7u3), libopenexr6 (>= 1.6.1), libphonon4 (>= 4:4.6.0really4.3.80), libpolkit-qt-1-1 (>= 0.99.0), libqt4-dbus (>= 4:4.7.0), libqt4-network (>= 4:4.7.0), libqt4-script (>= 4:4.7.0), libqt4-xml (>= 4:4.7.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.7.0), libsolid4 (= 4:4.8.4-4+deb7u3), libstdc++6 (>= 4.4.0), libx11-6, zlib1g (>= 1:1.1.4), perl, kdelibs5-data (= 4:4.8.4-4+deb7u3), kdoctools (= 4:4.8.4-4+deb7u3), kdelibs-bin (= 4:4.8.4-4+deb7u3), katepart, dbus-x11, shared-mime-info (>= 0.30), xdg-utils Recommends: ttf-dejavu, kde-runtime Breaks: kdelibs5-data (<< 4:4.6.3-3~), kdelibs5-dev (<< 4:4.6.2), kdevelop (<< 4:4.2) Replaces: kdelibs5-data (<< 4:4.6.3-3~), kdelibs5-dev (<< 4:4.6.2) Homepage: http://www.kde.org/ Priority: optional Section: libs Filename: pool/main/k/kde4libs/kdelibs5-plugins_4.8.4-4+deb7u3_armhf.deb Size: 814716 SHA256: a25602bfc5d204ce14cf23a6a614a5b7b728e53015fc9a22a635b8790da7312d SHA1: 6504a9fa9821ccdd52b47db5353fd7c091f6073d MD5sum: 5b498abdfdf1f7b8ad4a961166920b74 Description: core plugins for KDE Applications This package contains core plugins for KDE Applications used by KDE Applications and KDE Development Platform libraries at runtime. This package is needed to run most KDE Applications. . This package is part of the KDE Development Platform libraries module. Package: kdelirc Source: kremotecontrol Version: 4:4.8.4-3 Installed-Size: 27 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kremotecontrol (>= 4:4.8.4-3) Size: 2438 SHA256: f2fa6762ae4e0c5885762dabb2f5c8f6d6056aa349afded2129644e489a14ad6 SHA1: 9325474553330c659c8ea65a3743f1fe6798f334 MD5sum: 7cd11e0f656589636a79e4378a081920 Description: transitional package for kremotecontrol This is a transitional package provided to ease upgrade from kdelirc to the new kremotecontrol. It can be safely removed. . This package is part of the KDE SC utilities module. Homepage: http://www.kde.org Tag: hardware::input, implemented-in::c++, interface::x11, role::plugin, role::program, scope::utility, suite::kde, uitoolkit::qt, x11::application Section: utils Priority: optional Filename: pool/main/k/kremotecontrol/kdelirc_4.8.4-3_all.deb Package: kdemultimedia Version: 4:4.8.4-2 Installed-Size: 43 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: dragonplayer (>= 4:4.8.4-2), kdemultimedia-kio-plugins (>= 4:4.8.4-2), juk (>= 4:4.8.4-2), kmix (>= 4:4.8.4-2), kscd (>= 4:4.8.4-2), kde-config-cddb (>= 4:4.8.4-2) Recommends: ffmpegthumbs (>= 4:4.8.4-2) | mplayerthumbs (>= 4:4.8.4-2) Size: 10984 SHA256: cd527eda238d111729a96adc8ec6f704d3eb523b45a384928b5497a14c6f6899 SHA1: 4913d12a593d6c183606b7bc2ac371e925246566 MD5sum: 1f6183ef6f657ac7e71d854b8a4c77fc Description: multimedia applications from the official KDE release KDE is produced by an international technology team that creates free and open source software for desktop and portable computing. Among KDE's products are a modern desktop system for Linux and UNIX platforms, comprehensive office productivity and groupware suites and hundreds of software titles in many categories including Internet and web applications, multimedia, entertainment, educational, graphics and software development. . This metapackage includes multimedia applications provided with the official release of KDE. Homepage: http://www.kde.org/ Tag: role::metapackage, suite::kde, uitoolkit::qt, works-with::video Section: kde Priority: optional Filename: pool/main/k/kdemultimedia/kdemultimedia_4.8.4-2_all.deb Package: kdemultimedia-dbg Source: kdemultimedia Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 42779 Depends: kde-runtime-dbg Suggests: kdemultimedia (= 4:4.8.4-2) Homepage: http://www.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kdemultimedia/kdemultimedia-dbg_4.8.4-2_armhf.deb Size: 17444190 SHA256: d1d9964f63b198e609a1ab90df750eba460ed2215b3d3e261720e51ed4891ec3 SHA1: 99c644737da3f0e48f59f0dc1799d9d74db062f0 MD5sum: e5b2bcc727166e5f0990a58ebd39e363 Description: debugging symbols for the KDE multimedia module This package contains debugging files used to investigate problems with binaries included in the KDE multimedia module. . This package is part of the KDE multimedia module. Package: kdemultimedia-dev Source: kdemultimedia Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 68 Depends: kdelibs5-dev (>= 4:4.8), libkcddb-dev (= 4:4.8.4-2), kdemultimedia-kio-plugins (= 4:4.8.4-2) Breaks: juk (<< 4:4.1.80), kscd (<< 4:4.1.80), kxim (<< 4:4.1.80) Replaces: juk (<< 4:4.1.80), kscd (<< 4:4.1.80), kxim (<< 4:4.1.80) Homepage: http://www.kde.org/ Priority: optional Section: devel Filename: pool/main/k/kdemultimedia/kdemultimedia-dev_4.8.4-2_armhf.deb Size: 13782 SHA256: 1d9799e23b0c9514e4c7310e205ef3baaa744b80251b251dc3b0576b3d868ea3 SHA1: 9a9340eda3482327d4fe75b7000f52a9461f89e7 MD5sum: b208453cd6e4709f522679e65cfa856c Description: development files for the KDE multimedia module This package contains development files for building software that uses libraries from the KDE multimedia module. . This package is part of the KDE multimedia module. Package: kdemultimedia-kio-plugins Source: kdemultimedia Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 409 Depends: kde-runtime, libc6 (>= 2.13-28), libcdparanoia0 (>= 3.10.2+debian), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libkcddb4 (= 4:4.8.4-2), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libogg0 (>= 1.0rc3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2) Suggests: lame Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdemultimedia/kdemultimedia-kio-plugins_4.8.4-2_armhf.deb Size: 121464 SHA256: fe634eb8c9f90d36dcc8a1b43e4e7a0f864854fb0b8592acae40e99b0addb4b9 SHA1: 2c3e3d9867681616f200dbd0e4642ce0fb85b808 MD5sum: 0386bbe579d08103e3a6baf6e7449757 Description: transparent audio CD access for applications using the KDE Platform This package includes the audiocd KIO plugin, which allows applications using the KDE Platform to read audio from CDs and automatically convert it into other formats. . This package is part of the KDE multimedia module. Package: kdenetwork Version: 4:4.8.4-1 Architecture: all Maintainer: Debian Qt/KDE Maintainers Installed-Size: 65 Depends: kget (>= 4:4.8.4-1), kopete (>= 4:4.8.4-1), krdc (>= 4:4.8.4-1), krfb (>= 4:4.8.4-1) Recommends: kdenetwork-filesharing (>= 4:4.8.4-1), kppp (>= 4:4.8.4-1) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdenetwork/kdenetwork_4.8.4-1_all.deb Size: 17494 SHA256: 914d29ccff1c30c2c92da3d00ad37ab6bb496b2bd78c8cb1fb1824f4ffd7b9d9 SHA1: 62b0b1abfbefb9e8a429781bfa3f1dfdeec8b042 MD5sum: ace26382cb4f1918515754f0287c627f Description: networking applications from the official KDE 4 release KDE is produced by an international technology team that creates free and open source software for desktop and portable computing. Among KDE's products are a modern desktop system for Linux and UNIX platforms, comprehensive office productivity and groupware suites and hundreds of software titles in many categories including Internet and web applications, multimedia, entertainment, educational, graphics and software development. . This metapackage includes networking applications provided with the official release of KDE. Package: kdenetwork-dbg Source: kdenetwork Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 181849 Depends: kde-runtime-dbg Recommends: kde-workspace-dbg Suggests: kdenetwork (= 4:4.8.4-1) Homepage: http://www.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kdenetwork/kdenetwork-dbg_4.8.4-1_armhf.deb Size: 71955302 SHA256: b308240dce6c50ae9471be4d8d1a0aadbda6bcf5e731a830981161d1f0da8243 SHA1: e504ca5b2f6cdeeb80e69408a279a8ad527e8769 MD5sum: 4b4edd979f16f3e62b205daf48960f85 Description: debugging symbols for the KDE networking module This package contains debugging files used to investigate problems with binaries included in the KDE networking module. Package: kdenetwork-filesharing Source: kdenetwork Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 137 Depends: libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://www.kde.org/ Priority: optional Section: net Filename: pool/main/k/kdenetwork/kdenetwork-filesharing_4.8.4-1_armhf.deb Size: 40372 SHA256: c3fbd3075eac77504a912f75b1cd34ec7cc3e8e92c3d11347be47b05dd191d2f SHA1: 96b129df6726cbf7395cf0ff3ddbe8796ffdc055 MD5sum: 6c3a73ab732ebdefa4133c5c573de11d Description: network filesharing configuration module This package provides a System Settings panel to configure network file-sharing using NFS and Samba. . This package is part of the KDE networking module. Package: kdenlive Version: 0.9.2-2 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 3732 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.7.0), libkio5 (>= 4:4.7.0), libknewstuff3-4 (>= 4:4.4.0), libknotifyconfig4 (>= 4:4.3.4), libkrossui4 (>= 4:4.3.4), libmlt++3, libmlt5, libnepomuk4 (>= 4:4.5.85), libqjson0 (>= 0.7.1), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-script (>= 4:4.6.1), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsolid4 (>= 4:4.3.4), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0), libx11-6, libxau6, libxdmcp6, libxext6, kdenlive-data (= 0.9.2-2), melt, ffmpeg Recommends: swh-plugins, dvgrab, frei0r-plugins, recordmydesktop, dvdauthor, genisoimage Homepage: http://www.kdenlive.org/ Priority: optional Section: video Filename: pool/main/k/kdenlive/kdenlive_0.9.2-2_armhf.deb Size: 1619878 SHA256: 335eef47e7218206664c541643e3bb39bb6b53d7ac47b30f108784ff63a5b1c2 SHA1: 66a1dc22b5c594ed9b65a0177f0e139088bc98e9 MD5sum: cced297a620a6697c5c3f495ba58c55f Description: non-linear video editor Kdenlive is a non-linear video editing suite, which supports DV, HDC and much more formats. It main features are: * Guides and marker for organizing timelines * Copy and paste support for clips, effects and transitions * Real time changes * Firewire and Video4Linux capture * Screen grabbing * Exporting to any by FFMPEG supported format Package: kdenlive-data Source: kdenlive Version: 0.9.2-2 Installed-Size: 14028 Maintainer: Patrick Matthäi Architecture: all Recommends: kdenlive Breaks: kdenlive (<= 0.7.2.1) Size: 5078070 SHA256: 9750e6694118410e8bc35e454344130e88238f2d64673690d5da4534c17173a5 SHA1: b501d62291d33f7dbed140913aee0efb5b061021 MD5sum: 679a64f97eb09a916d355355660e9ac5 Description: non-linear video editor (data files) Kdenlive is a non-linear video editing suite, which supports DV, HDC and much more formats. It main features are: * Guides and marker for organizing timelines * Copy and paste support for clips, effects and transitions * Real time changes * Firewire and Video4Linux capture * Screen grabbing * Exporting to any by FFMPEG supported format . This package contains the data files for kdenlive. Homepage: http://www.kdenlive.org/ Tag: role::app-data Section: video Priority: optional Filename: pool/main/k/kdenlive/kdenlive-data_0.9.2-2_all.deb Package: kdenlive-dbg Source: kdenlive Version: 0.9.2-2 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 38861 Depends: kdenlive (= 0.9.2-2) Homepage: http://www.kdenlive.org/ Priority: extra Section: debug Filename: pool/main/k/kdenlive/kdenlive-dbg_0.9.2-2_armhf.deb Size: 16327202 SHA256: 7eafe0c54e0d6a61d38a4d71cc93e8702ca49855304326deeeb7658bde9c4930 SHA1: e4d3cfa802a156a0172562a54604dca366ceaf09 MD5sum: 39835d4f11ee2462cbf2b218288c9ba3 Description: non-linear video editor (debugging symbols) Kdenlive is a non-linear video editing suite, which supports DV, HDC and much more formats. It main features are: * Guides and marker for organizing timelines * Copy and paste support for clips, effects and transitions * Real time changes * Firewire and Video4Linux capture * Screen grabbing * Exporting to any by FFMPEG supported format . This package contains the debugging symbols for kdenlive. Package: kdepasswd Source: kde-baseapps Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 289 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdesu5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libkonq5abi1 (>= 4:4.6.1), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), kde-baseapps-data (= 4:4.8.4-2) Homepage: http://www.kde.org/ Priority: optional Section: utils Filename: pool/main/k/kde-baseapps/kdepasswd_4.8.4-2_armhf.deb Size: 96626 SHA256: ca93dd5f18638190dde8911de4120e22cd4990c16798f7ded4848a8dc51409b7 SHA1: 1a54dad6be37697ed474ffbbe447d4ca443847ea MD5sum: 738c5158cce042234e37bc914ec1f5f9 Description: graphical password changing utility kdepasswd allows users to change their password, user icon, and other information. A variety of user icons are included. . This package is part of the KDE base applications module. Package: kdepim Version: 4:4.4.11.1+l10n-3 Installed-Size: 60 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: akregator (>= 4:4.4.11.1+l10n-3), kaddressbook (>= 4:4.4.11.1+l10n-3), kalarm (>= 4:4.4.11.1+l10n-3), kdepim-kresources (>= 4:4.4.11.1+l10n-3), kdepim-wizards (>= 4:4.4.11.1+l10n-3), kmail (>= 4:4.4.11.1+l10n-3), knode (>= 4:4.4.11.1+l10n-3), knotes (>= 4:4.4.11.1+l10n-3), konsolekalendar (>= 4:4.4.11.1+l10n-3), kontact (>= 4:4.4.11.1+l10n-3), korganizer (>= 4:4.4.11.1+l10n-3), ktimetracker (>= 4:4.4.11.1+l10n-3), kdepim-strigi-plugins (>= 4:4.4.11.1+l10n-3), kjots (>= 4:4.4.11.1+l10n-3), kleopatra (>= 4:4.4.11.1+l10n-3), blogilo (>= 4:4.4.11.1+l10n-3), akonadiconsole (>= 4:4.4.11.1+l10n-3) Size: 22640 SHA256: 63c8662729494c28a9e805e2ba5345bd5fc9205cea46c22416148a0f6c810bc6 SHA1: 1583c1a0598824736b5ff4c65fa6706e40abf30b MD5sum: f6d042c6045b696e46449f77c22a9de7 Description: Personal Information Management apps from the official KDE release KDE (the K Desktop Environment) is a powerful Open Source graphical desktop environment for Unix workstations. It combines ease of use, contemporary functionality, and outstanding graphical design with the technological superiority of the Unix operating system. . This metapackage includes a collection of Personal Information Management (PIM) applications provided with the official release of KDE. Homepage: http://pim.kde.org/ Tag: interface::x11, role::metapackage, suite::kde, uitoolkit::qt, works-with::pim, x11::application Section: kde Priority: optional Filename: pool/main/k/kdepim/kdepim_4.4.11.1+l10n-3_all.deb Package: kdepim-dbg Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 307701 Depends: kdepimlibs-dbg, kdepim (= 4:4.4.11.1+l10n-3) | kaddressbook (= 4:4.4.11.1+l10n-3) | kalarm (= 4:4.4.11.1+l10n-3) | kdepim-groupware (= 4:4.4.11.1+l10n-3) | kdepim-kresources (= 4:4.4.11.1+l10n-3) | kdepim-strigi-plugins (= 4:4.4.11.1+l10n-3) | kdepim-wizards (= 4:4.4.11.1+l10n-3) | kjots (= 4:4.4.11.1+l10n-3) | kleopatra (= 4:4.4.11.1+l10n-3) | kmail (= 4:4.4.11.1+l10n-3) | knode (= 4:4.4.11.1+l10n-3) | knotes (= 4:4.4.11.1+l10n-3) | konsolekalendar (= 4:4.4.11.1+l10n-3) | kontact (= 4:4.4.11.1+l10n-3) | korganizer (= 4:4.4.11.1+l10n-3) | ktimetracker (= 4:4.4.11.1+l10n-3) | blogilo (= 4:4.4.11.1+l10n-3) | libkdepim4 (= 4:4.4.11.1+l10n-3) | libkleo4 (= 4:4.4.11.1+l10n-3) | libkpgp4 (= 4:4.4.11.1+l10n-3) | libksieve4 (= 4:4.4.11.1+l10n-3) | libmaildir4 (= 4:4.4.11.1+l10n-3) | libmimelib4 (= 4:4.4.11.1+l10n-3) | libmessagecore4 (= 4:4.4.11.1+l10n-3) | libmessagelist4 (= 4:4.4.11.1+l10n-3) | libmessageviewer0 (= 4:4.4.11.1+l10n-3) Breaks: kdeutils-dbg (<< 4:4.0.80) Replaces: kdeutils-dbg (<< 4:4.0.80) Homepage: http://pim.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kdepim/kdepim-dbg_4.4.11.1+l10n-3_armhf.deb Size: 120864214 SHA256: a9e67912273907eb1270835d8e4ea40ef7dfbc5c14624fc3e2354f219d4f94e4 SHA1: 8c407a9a42af055f76514b8850b97eba9d9a7c11 MD5sum: 1e109620beca1a37b14e5430e0dff51a Description: debugging symbols for kdepim This package contains the debugging symbols associated with kdepim. They will automatically be used by gdb for debugging kdepim-related issues. Package: kdepim-groupware Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 3824 Depends: kdepim-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkabc4 (>= 4:4.6), libkcal4 (>= 4:4.6), libkde3support4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdepim4 (= 4:4.4.11.1+l10n-3), libkdeui5 (>= 4:4.6), libkio5 (>= 4:4.6), libkpimutils4 (>= 4:4.6), libkresources4 (>= 4:4.6), libqt4-dbus (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), perl Recommends: kdepim-wizards Conflicts: kde-l10n Breaks: kaddressbook (<< 4:4.1.80), kdepim-kresources (<< 4:4.1.80), knotes (<< 4:4.1.80), korganizer (<< 4:4.1.80), libkdepim4 (<< 4:4.1.80) Replaces: kaddressbook (<< 4:4.1.80), kdepim-kresources (<< 4:4.1.80), knotes (<< 4:4.1.80), korganizer (<< 4:4.1.80), libkdepim4 (<< 4:4.1.80) Homepage: http://pim.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdepim/kdepim-groupware_4.4.11.1+l10n-3_armhf.deb Size: 983696 SHA256: b97cd768440038dc7dac618559a87846ac5ee30981ef9619fcac2cdd15a24734 SHA1: db008ec83fd645d6c13d339f1ee57a8be92eeb4c MD5sum: 087667e187b66a8c30e9bdd0f52bb505 Description: KDE PIM Groupware plugins This package includes several plugins used by KDE PIM components to interface with Groupware servers. Supported Groupware platforms include eGroupware, Kolab 2, Scalix and SLOX (SuSE Linux Openexchange Server), Novell GroupWise and GroupDAV. . This package is part of KDE, and a component of the KDE PIM module. Package: kdepim-kresources Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1362 Depends: kdepim-runtime, libc6 (>= 2.13-28), libkabc4 (>= 4:4.6), libkblog4 (>= 4:4.6), libkcal4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdepim4 (= 4:4.4.11.1+l10n-3), libkdeui5 (>= 4:4.6), libkio5 (>= 4:4.6), libkpimutils4 (>= 4:4.6), libkresources4 (>= 4:4.6), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Recommends: korganizer Suggests: knotes Conflicts: kde-l10n Breaks: korganizer (<< 4:4.1.80) Replaces: korganizer (<< 4:4.1.80) Homepage: http://pim.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdepim/kdepim-kresources_4.4.11.1+l10n-3_armhf.deb Size: 237192 SHA256: f5bae5480c493ce039599ee22eb62aa832029e81e3e20d468a31a8f345d498ea SHA1: 957dabd4f9fb11fc36baad46bee38d52f361b691 MD5sum: 184cf189789e39e6a5c516805a52f37f Description: KDE PIM resource plugins This package adds more resource plugins for KDE PIM applications such as blogging, tracking feature plans etc. . This package is part of KDE, and a component of the KDE PIM module. Package: kdepim-runtime Version: 4:4.4.11.1-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 3950 Depends: kde-runtime, kdepimlibs-kio-plugins, libakonadi-kabc4 (>= 4:4.6), libakonadi-kcal4 (>= 4:4.6), libakonadi-kde4 (>= 4:4.6), libakonadi-kmime4 (>= 4:4.6), libakonadiprotocolinternals1 (>= 1.5.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkabc4 (>= 4:4.6), libkcal4 (>= 4:4.6), libkcalcore4 (>= 4:4.7.0), libkcalutils4 (>= 4:4.5.86), libkcmutils4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdeui5 (>= 4:4.6), libkimap4 (>= 4:4.6), libkio5 (>= 4:4.6), libkmime4 (>= 4:4.6), libkpimutils4 (>= 4:4.6), libkresources4 (>= 4:4.6), libmailtransport4 (>= 4:4.6), libmicroblog4 (>= 4:4.6), libnepomuk4 (>= 4:4.6), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsolid4 (>= 4:4.6), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4), akonadi-server (>= 1.3) Breaks: akonadi-kde, kdepimlibs5 (<< 4:4.4), libmaildir4 Replaces: akonadi-kde, libmaildir4 Homepage: http://pim.kde.org/ Priority: optional Section: x11 Filename: pool/main/k/kdepim-runtime/kdepim-runtime_4.4.11.1-6_armhf.deb Size: 871740 SHA256: c801280d07fa182f78d50ea2b3eeaaf86b9d500011fe5e415a0194af275f804e SHA1: 3cf2676a8d19897c574d55b08359dc052c46f62a MD5sum: 2c40956a5089cdc8965e97dd708d1459 Description: runtime components for Akonadi KDE This package contains Akonadi agents written using KDE Development Platform libraries. Any package that uses Akonadi should probably pull this in as a dependency. The kres-bridges is also parts of this package. . This package is part of the kdepim-runtime module. Package: kdepim-runtime-dbg Source: kdepim-runtime Version: 4:4.4.11.1-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 44251 Depends: kdepim-runtime (= 4:4.4.11.1-6) Breaks: kdepim-dbg (<< 4:4.4) Replaces: kdepim-dbg (<< 4:4.4) Homepage: http://pim.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kdepim-runtime/kdepim-runtime-dbg_4.4.11.1-6_armhf.deb Size: 8965930 SHA256: b9af4c7ab6a7f88335421ad2b83212ef2186052daf7b8f50c0ca72f944433e7e SHA1: d1342f3177c87dda8dc28b85cfaed3652aef8b80 MD5sum: 2e325c1b3ec90e9c0880c4f6b96918da Description: debugging files for Akonadi KDE runtime components This package contains debugging symbols associated with kdepim-runtime. They will automatically be used by gdb for debugging issues related to components of kdepim-runtime. . This package is part of the kdepim-runtime module. Package: kdepim-strigi-plugins Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 136 Depends: kdepim-runtime, libc6 (>= 2.13-28), libkabc4 (>= 4:4.6), libkcal4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.6), libstreamanalyzer0 (>= 0.7.7) Homepage: http://pim.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdepim/kdepim-strigi-plugins_4.4.11.1+l10n-3_armhf.deb Size: 33392 SHA256: 1e3c78bbce601b8e31f3db83c4c46dcd60999c48122f5b4b888ab40bd03b3ab1 SHA1: 830ae4bbcac4ac399fcf78a374d5af9ba9236456 MD5sum: 4cb18b159057dfd7e8c2db9b82f9a147 Description: PIM file format plugins for Strigi Desktop Search This package contains plugins to allow Strigi Desktop Search to easily index your PIM-data (calendar, contacts, emails, ...). . This package is part of KDE, and a component of the KDE PIM module. Package: kdepim-wizards Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1153 Depends: kde-runtime, kdepim-groupware (= 4:4.4.11.1+l10n-3), kdepim-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkabc4 (>= 4:4.6), libkcal4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdepim4 (= 4:4.4.11.1+l10n-3), libkdeui5 (>= 4:4.6), libkio5 (>= 4:4.6), libkpimidentities4 (>= 4:4.6), libkpimutils4 (>= 4:4.6), libkresources4 (>= 4:4.6), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Suggests: egroupware Conflicts: kde-l10n Homepage: http://pim.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdepim/kdepim-wizards_4.4.11.1+l10n-3_armhf.deb Size: 227890 SHA256: 8e77286554a5fd169f265d9e7c472c0d3b648ecdc5e88bb33de63874c837cd99 SHA1: 38e2b184b91f1e0d85455078c107d28800cf929d MD5sum: 8d4eb0abea730e3aa0e40d31a6d1ee80 Description: KDE server configuration wizards This package contains KDE-based wizards for configuring KDE PIM applications to access eGroupware, Kolab 2, Scalix and SLOX (SuSE Linux Openexchange Server) and Novell GroupWise groupware servers. . This package is part of KDE, and a component of the KDE PIM module. Package: kdepimlibs-dbg Source: kdepimlibs Version: 4:4.8.4-2+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 117523 Depends: kdelibs5-dbg, libakonadi-contact4 (= 4:4.8.4-2+deb7u1), libakonadi-kabc4 (= 4:4.8.4-2+deb7u1), libakonadi-kcal4 (= 4:4.8.4-2+deb7u1), libakonadi-kde4 (= 4:4.8.4-2+deb7u1), libakonadi-kmime4 (= 4:4.8.4-2+deb7u1), libakonadi-notes4 (= 4:4.8.4-2+deb7u1), libgpgme++2 (= 4:4.8.4-2+deb7u1), libkabc4 (= 4:4.8.4-2+deb7u1), libkblog4 (= 4:4.8.4-2+deb7u1), libkalarmcal2 (= 4:4.8.4-2+deb7u1), libkcal4 (= 4:4.8.4-2+deb7u1), libkcalcore4 (= 4:4.8.4-2+deb7u1), libkcalutils4 (= 4:4.8.4-2+deb7u1), libkholidays4 (= 4:4.8.4-2+deb7u1), libkimap4 (= 4:4.8.4-2+deb7u1), libkldap4 (= 4:4.8.4-2+deb7u1), libkmbox4 (= 4:4.8.4-2+deb7u1), libakonadi-calendar4 (= 4:4.8.4-2+deb7u1), libkmime4 (= 4:4.8.4-2+deb7u1), libkontactinterface4 (= 4:4.8.4-2+deb7u1), libkpimidentities4 (= 4:4.8.4-2+deb7u1), libkpimtextedit4 (= 4:4.8.4-2+deb7u1), libkpimutils4 (= 4:4.8.4-2+deb7u1), libkresources4 (= 4:4.8.4-2+deb7u1), libktnef4 (= 4:4.8.4-2+deb7u1), libkxmlrpcclient4 (= 4:4.8.4-2+deb7u1), libmailtransport4 (= 4:4.8.4-2+deb7u1), libmicroblog4 (= 4:4.8.4-2+deb7u1), libqgpgme1 (= 4:4.8.4-2+deb7u1), libsyndication4 (= 4:4.8.4-2+deb7u1) Recommends: kdepimlibs-kio-plugins (= 4:4.8.4-2+deb7u1) Homepage: http://pim.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kdepimlibs/kdepimlibs-dbg_4.8.4-2+deb7u1_armhf.deb Size: 25114896 SHA256: 33a6959efe5daed32ff2b663ec521a787311b657c526fc70cd39cf2607fb9cf9 SHA1: 553c0c07c85d70cdd2b3dc79f3fcf9642563d214 MD5sum: 6ed37cc37405c28682c0d03f974cb79b Description: debugging symbols for the KDE Development Platform PIM libraries This package contains debugging files used to investigate problems with binaries included in the KDE Development Platform PIM libraries module. Package: kdepimlibs-kio-plugins Source: kdepimlibs Version: 4:4.8.4-2+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 665 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkimap4 (= 4:4.8.4-2+deb7u1), libkio5 (>= 4:4.8), libkldap4 (= 4:4.8.4-2+deb7u1), libkmime4 (= 4:4.8.4-2+deb7u1), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libsasl2-2 (>= 2.1.24), libstdc++6 (>= 4.4.0), libsasl2-modules Breaks: kdepimlibs-data, kdepimlibs5 (<< 4:4.4.0) Replaces: kdepimlibs-data, kdepimlibs5 (<< 4:4.4.0) Homepage: http://pim.kde.org/ Priority: optional Section: libs Filename: pool/main/k/kdepimlibs/kdepimlibs-kio-plugins_4.8.4-2+deb7u1_armhf.deb Size: 207540 SHA256: 4513e9a7e40dfe33f3c2f4c0c7fc6ebea1d337d645ae6b56ebbacf442c9d1e72 SHA1: 4bd050323e3590a848353134e8db4a4358ad238a MD5sum: e1d264f3f3cf26f0d8e74071749b023c Description: kio slaves used by KDE PIM applications This package contains the imap4, ldap, mbox, nntp, pop3, sieve and smtp kio slaves. . This package is part of the KDE Development Platform PIM libraries module. Package: kdepimlibs5-dev Source: kdepimlibs Version: 4:4.8.4-2+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 3120 Depends: kdelibs5-dev (>= 4:4.8), libakonadi-contact4 (= 4:4.8.4-2+deb7u1), libakonadi-kabc4 (= 4:4.8.4-2+deb7u1), libakonadi-kcal4 (= 4:4.8.4-2+deb7u1), libakonadi-kde4 (= 4:4.8.4-2+deb7u1), libakonadi-kmime4 (= 4:4.8.4-2+deb7u1), libakonadi-notes4 (= 4:4.8.4-2+deb7u1), libgpgme++2 (= 4:4.8.4-2+deb7u1), libkabc4 (= 4:4.8.4-2+deb7u1), libkblog4 (= 4:4.8.4-2+deb7u1), libkalarmcal2 (= 4:4.8.4-2+deb7u1), libkcal4 (= 4:4.8.4-2+deb7u1), libkcalcore4 (= 4:4.8.4-2+deb7u1), libkcalutils4 (= 4:4.8.4-2+deb7u1), libkholidays4 (= 4:4.8.4-2+deb7u1), libkimap4 (= 4:4.8.4-2+deb7u1), libkldap4 (= 4:4.8.4-2+deb7u1), libkmbox4 (= 4:4.8.4-2+deb7u1), libakonadi-calendar4 (= 4:4.8.4-2+deb7u1), libkmime4 (= 4:4.8.4-2+deb7u1), libkontactinterface4 (= 4:4.8.4-2+deb7u1), libkpimidentities4 (= 4:4.8.4-2+deb7u1), libkpimtextedit4 (= 4:4.8.4-2+deb7u1), libkpimutils4 (= 4:4.8.4-2+deb7u1), libkresources4 (= 4:4.8.4-2+deb7u1), libktnef4 (= 4:4.8.4-2+deb7u1), libkxmlrpcclient4 (= 4:4.8.4-2+deb7u1), libmailtransport4 (= 4:4.8.4-2+deb7u1), libmicroblog4 (= 4:4.8.4-2+deb7u1), libqgpgme1 (= 4:4.8.4-2+deb7u1), libsyndication4 (= 4:4.8.4-2+deb7u1) Breaks: kdepimlibs-data (<< 4:4.1.80), libkleopatra1-dev Replaces: kdepimlibs-data (<< 4:4.1.80), libkleopatra1-dev Homepage: http://pim.kde.org/ Priority: optional Section: libdevel Filename: pool/main/k/kdepimlibs/kdepimlibs5-dev_4.8.4-2+deb7u1_armhf.deb Size: 427196 SHA256: 733d3a6a6a41e57dfb223728a6eb5cfbf6c828ed8812dde35df1e616c6acae7e SHA1: f0f9333f0bb7555e22966366f1b1898a353f7596 MD5sum: ebe9eba207dcbc062591bb02bc7b4f6d Description: development files for the KDE Development Platform PIM libraries This package contains development files for building software that uses the KDE Development Platform Personal Information Management libraries. . This package is part of the KDE Development Platform PIM libraries module. Package: kdeplasma-addons Version: 4:4.8.4-1 Installed-Size: 12 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: plasma-widgets-addons (>= 4:4.8.4-1), plasma-runners-addons (>= 4:4.8.4-1), plasma-widget-lancelot (>= 4:4.8.4-1), plasma-wallpapers-addons (>= 4:4.8.4-1), plasma-containments-addons (>= 4:4.8.4-1) Size: 8898 SHA256: 55a157e01d6672cc71b90383f8b26d167890e02eebb38b4c6da0ece01cb464ed SHA1: 4bd76e11bc1ce8efad1baef2fac8096d7fc1bc6c MD5sum: f6476aee9dc77f8ba010a80a208d4a20 Description: addons for Plasma The KDE Plasma addons module is a collection of additional Plasma data engines, widgets and krunner plugins. It is part of the official KDE distribution. . This package is a metapackage that installs all the widgets, data engines and krunner plugins from the KDE Plasma addons module. Homepage: http://plasma.kde.org/ Tag: role::metapackage, suite::kde, uitoolkit::qt Section: kde Priority: optional Filename: pool/main/k/kdeplasma-addons/kdeplasma-addons_4.8.4-1_all.deb Package: kdeplasma-addons-dbg Source: kdeplasma-addons (4:4.8.4-1) Version: 4:4.8.4-1+b1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 84690 Depends: plasma-widgets-addons (= 4:4.8.4-1+b1), plasma-dataengines-addons (= 4:4.8.4-1+b1), plasma-runners-addons (= 4:4.8.4-1+b1), plasma-widget-lancelot (= 4:4.8.4-1+b1), plasma-wallpapers-addons (= 4:4.8.4-1+b1), plasma-widget-kimpanel (= 4:4.8.4-1+b1), kde-workspace-dbg Homepage: http://plasma.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kdeplasma-addons/kdeplasma-addons-dbg_4.8.4-1+b1_armhf.deb Size: 33629958 SHA256: 49db44cfefc3ad31075aa35dd3845a8aff0fbdfa2cda9e01b242806d7e5f1190 SHA1: fd1b57f42c2d4783ac9ccc2b0ea499cfb543d8ad MD5sum: acfc32256106986e6c2045f67f887b87 Description: debugging symbols for kdeplasma-addons This package contains the debugging symbols associated with kdeplasma-addons. They will automatically be used by gdb for debugging kdeplasma-addons related issues. Package: kdesdk Version: 4:4.8.4+dfsg-1 Installed-Size: 47 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: cervisia (>= 4:4.8.4+dfsg-1), kapptemplate (>= 4:4.8.4+dfsg-1), kcachegrind (>= 4:4.8.4+dfsg-1), kdesdk-kio-plugins (>= 4:4.8.4+dfsg-1) | kdesvn-kio-plugins, kdesdk-misc (>= 4:4.8.4+dfsg-1), kdesdk-scripts (>= 4:4.8.4+dfsg-1), kdesdk-strigi-plugins (>= 4:4.8.4+dfsg-1), kompare (>= 4:4.8.4+dfsg-1), kuiviewer (>= 4:4.8.4+dfsg-1), lokalize (>= 4:4.8.4+dfsg-1), poxml (>= 4:4.8.4+dfsg-1), umbrello (>= 4:4.8.4+dfsg-1), kpartloader (>= 4:4.8.4+dfsg-1), kmtrace (>= 4:4.8.4+dfsg-1), kdesdk-dolphin-plugins (>= 4:4.8.4+dfsg-1), okteta (>= 4:4.8.4+dfsg-1), kate Suggests: kcachegrind-converters (>= 4:4.8.4+dfsg-1) Size: 13788 SHA256: 170decd9f0aac9fe759a7cff623e501a22d577a37010fa79f510b80a36fd8a28 SHA1: a5b657d33a585e8fc9411076b362d2ae1a1d49f1 MD5sum: d43fddcf59f6750a7d4595b65a09ca32 Description: Software Development Kit from the official KDE release KDE is produced by an international technology team that creates free and open source software for desktop and portable computing. Among KDE's products are a modern desktop system for Linux and UNIX platforms, comprehensive office productivity and groupware suites and hundreds of software titles in many categories including Internet and web applications, multimedia, entertainment, educational, graphics and software development. . This metapackage includes software development applications provided with the official release of KDE. Homepage: http://www.kde.org Tag: devel::library, role::metapackage, role::program, suite::kde, uitoolkit::qt Section: kde Priority: optional Filename: pool/main/k/kdesdk/kdesdk_4.8.4+dfsg-1_all.deb Package: kdesdk-dbg Source: kdesdk Version: 4:4.8.4+dfsg-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 160425 Depends: kde-runtime-dbg, kate-dbg Suggests: kdesdk (= 4:4.8.4+dfsg-1) Breaks: kdeutils-dbg (<< 4:4.6) Replaces: kdeutils-dbg (<< 4:4.6) Homepage: http://www.kde.org Priority: extra Section: debug Filename: pool/main/k/kdesdk/kdesdk-dbg_4.8.4+dfsg-1_armhf.deb Size: 32962698 SHA256: 34b71ea7e6d4b446be209c30d99e74185b68d430da9a9e1c401abda638c8cb5a SHA1: 9ed49e16cf235c4acb10e52368de8f7f2128a561 MD5sum: adafd38fb36fa9ca1d69e4c885f30ed1 Description: debugging symbols for the KDE Software Development Kit module This package contains debugging files used to investigate problems with binaries included in the KDE Software Development Kit module. Package: kdesdk-dolphin-plugins Source: kdesdk Version: 4:4.8.4+dfsg-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 501 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkonq5abi1 (>= 4:4.8.1), libktexteditor4 (>= 4:4.3.4), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), dolphin Enhances: dolphin Replaces: dolphin (<< 4:4.5.0) Homepage: http://www.kde.org Priority: optional Section: devel Filename: pool/main/k/kdesdk/kdesdk-dolphin-plugins_4.8.4+dfsg-1_armhf.deb Size: 112272 SHA256: dd0b47cb72f32636d49703462f8b6cd1920590e275734a0fd968251865313cee SHA1: bc555e906464484c5605e3667d579fd42caed844 MD5sum: f8f8635adc83843830dc87ceaa11737e Description: dolphin VCS plugins This package contains plugins that offer integration in Dolphin with the following version control systems: . * Bzr * Git * Mercurial * Subversion . This package is part of the KDE Software Development Kit module. Package: kdesdk-kio-plugins Source: kdesdk Version: 4:4.8.4+dfsg-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 771 Depends: kde-runtime, libapr1 (>= 1.2.7), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libsvn1 (>= 1.4), perl, subversion Suggests: perl-doc Homepage: http://www.kde.org Priority: optional Section: devel Filename: pool/main/k/kdesdk/kdesdk-kio-plugins_4.8.4+dfsg-1_armhf.deb Size: 394870 SHA256: b0ca6f1b082e9046ca028548b2d4eff7574f1d75de019120a44d91ff8b4137ab SHA1: 8245bc49ec0c87326e0dec404881d93321d15e22 MD5sum: 174ff266d7f0aa20a566b5fdeeda188c Description: transparent Subversion access and Perl documentation KIO slaves This package includes the svn and svn+ssh KIO plugins, which allows KDE applications to browse remote Subversion repositories, and the perldoc KIO plugin, which can be used to browse the Perl documentation within Konqueror. . This package is part of the KDE Software Development Kit module. Package: kdesdk-misc Source: kdesdk Version: 4:4.8.4+dfsg-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 112 Depends: kde-runtime, kdepim-runtime, libc6 (>= 2.13-28), libkabc4 (>= 4:4.8), libkdecore5 (>= 4:4.3.4), libqtcore4 (>= 4:4.8.0), libstdc++6 (>= 4.1.1) Suggests: libqt4-dev, gimp, inkscape, xpaint Homepage: http://www.kde.org Priority: optional Section: devel Filename: pool/main/k/kdesdk/kdesdk-misc_4.8.4+dfsg-1_armhf.deb Size: 23678 SHA256: 63f00aa7e06fc603bbcacad3494ea2f03eda89ade8d673e6101a08e74448ec7b SHA1: c05f3ed3d8348715040d3bdd7e3927e11afc551b MD5sum: a80994d80227d1d7ae214b7096c8d93a Description: miscellaneous tools from the KDE Software Development Kit This package contains additional tools to aid in development for KDE. . Included are: - headers to assist with profiling KDE code - a KDE address book resource for KDE Subversion repository accounts - kstartperf to measure startup time . This package is part of the KDE Software Development Kit module. Package: kdesdk-scripts Source: kdesdk Version: 4:4.8.4+dfsg-1 Installed-Size: 274 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: perl, python Recommends: subversion, gawk, optipng, advancecomp Suggests: cvs, khelpcenter4, dmalloc, gdb, kdelibs5-doc, qt4-doc, valgrind, devscripts, xsltproc Enhances: kate Size: 105160 SHA256: c92436417a6a9a552b55d1e775f94b978d002053d5b624f73df8a8e1123375da SHA1: f11096314f90440539a8a9d6bffac5a991ea6bee MD5sum: 888ab19f05ca2cde41b08cddae39d71e Description: scripts and data files for development This package contains scripts and data files to facilitate development of KDE software. Also included are scripts which are not specific to KDE, such as helper scripts for working with Subversion and CVS repositories. . In addition to these scripts, this package provides: - gdb macros for Qt programming - Vim and EMACS helper files for Qt/KDE programming - bash and zsh completion rules for KDE applications - Valgrind error suppressions for KDE applications . This package is part of the KDE Software Development Kit module. Homepage: http://www.kde.org Tag: devel::buildtools, devel::library, devel::rcs, implemented-in::perl, implemented-in::python, implemented-in::shell, interface::commandline, role::devel-lib, role::plugin, role::program, scope::utility, suite::kde, uitoolkit::qt Section: devel Priority: optional Filename: pool/main/k/kdesdk/kdesdk-scripts_4.8.4+dfsg-1_all.deb Package: kdesdk-strigi-plugins Source: kdesdk Version: 4:4.8.4+dfsg-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 114 Depends: libc6 (>= 2.13-28), libkdecore5 (>= 4:4.3.4), libqtcore4 (>= 4:4.5.3), libstdc++6 (>= 4.6), libstreamanalyzer0 (>= 0.7.7) Homepage: http://www.kde.org Priority: optional Section: kde Filename: pool/main/k/kdesdk/kdesdk-strigi-plugins_4.8.4+dfsg-1_armhf.deb Size: 26100 SHA256: 01aeb3c0f7f9fe876d7a0bb41d31973a6d9ad34c64464281e232ba92b965fcae SHA1: c13a2f43a63a1848d584fdc334011178c55eb180 MD5sum: 221366f4554f11162d8120a68d6793e4 Description: software development file format plugins for Strigi Desktop Search These plugins allow Strigi Desktop Search to extract additional information about some file formats often used in software development. . Strigi plugins are included for: * Patch files (diff) * Qt Linguist files (ts) * Gettext translation files (po) * XML localisation files (xliff) . This package is part of the KDE Software Development Kit module. Package: kdesrc-build Version: 1.15.1-1 Installed-Size: 617 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: perl, libxml-parser-perl, libwww-perl Recommends: git, subversion, gcc, g++, cmake, make, dialog Suggests: bzr Size: 191468 SHA256: 35fb62f82da93092d7f916fd066cbeb136db40c5e7b2c286ffcb721d5158d519 SHA1: fa665d85028582268ba552bc44cd794498a0f607 MD5sum: 3d8929972cf6ecf9bdb1c8deb7a5974c Description: tool for building KDE from its source repositories kdesrc-build provides an easy way to build KDE, downloading the development version from the repositories and compiling it. . It was previously known as kdesvn-build, and part of the KDE Software Development Kit module. Homepage: http://kdesrc-build.kde.org/ Tag: devel::buildtools, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::kde Section: kde Priority: optional Filename: pool/main/k/kdesrc-build/kdesrc-build_1.15.1-1_all.deb Package: kdesudo Version: 3.4.2.4-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 517 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.3.0), debconf (>= 0.5) | debconf-2.0, sudo Homepage: https://launchpad.net/kdesudo Priority: optional Section: kde Filename: pool/main/k/kdesudo/kdesudo_3.4.2.4-2_armhf.deb Size: 60924 SHA256: 84cfdaf807908f2799153a6d92b5d95dcd55068c3e831ce3682535a08bd33fe8 SHA1: beb2c3307782d6ec5e1c9768a806e00b97f2502c MD5sum: 0a6a08e410eaf60ba9cc28c75f1ab5b1 Description: sudo frontend for KDE KdeSudo is a graphical frontend for the sudo utility, which allows users to run programs as root (or another user) by giving their own password. Package: kdesvn Version: 1.5.5-4.1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 3545 Depends: kde-runtime, libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkparts4 (>= 4:4.5.85), libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0), libsvn1 (>= 1.4), libsvnqt6 (>= 1.5.5), kdesvn-kio-plugins (= 1.5.5-4.1) Recommends: kompare, graphviz Suggests: khelpcenter, kdiff3 Homepage: http://kdesvn.alwins-world.de/ Priority: optional Section: vcs Filename: pool/main/k/kdesvn/kdesvn_1.5.5-4.1_armhf.deb Size: 2068672 SHA256: f24e1579deabbfb69a7c50ea1b7f4d6ff266838e6d0f4a6682742e1db8614bc1 SHA1: 415868059093553fdbfa0a93d73719b73135061c MD5sum: 7514de6b89a31773034185780ef8c770 Description: Subversion client with tight KDE integration KDESvn is a graphical client for the Subversion revision control system (svn). . Besides offering common and advanced svn operations, it features a tight integration into KDE and can be embedded into other KDE applications like konqueror via the KDE component technology KParts. Package: kdesvn-dbg Source: kdesvn Version: 1.5.5-4.1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 26527 Depends: kdesvn (= 1.5.5-4.1), libsvnqt6 (= 1.5.5-4.1) Homepage: http://kdesvn.alwins-world.de/ Priority: extra Section: debug Filename: pool/main/k/kdesvn/kdesvn-dbg_1.5.5-4.1_armhf.deb Size: 10682386 SHA256: ee104045d25f6cdd792d6d28752df1564b9d05b5328c702f63fc1d19539012e9 SHA1: 40306fb4bdbdf04fefd9c4e78548db7ff0596e83 MD5sum: 85ca1405e436dde57b37c5476931d125 Description: debug symbols for kdesvn KDESvn is a graphical client for the Subversion revision control system (svn). . Besides offering common and advanced svn operations, it features a tight integration into KDE and can be embedded into other KDE applications like konqueror via the KDE component technology KParts. . This package contains the debugging symbols. Package: kdesvn-kio-plugins Source: kdesvn Version: 1.5.5-4.1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 515 Depends: libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libsvn1 (>= 1.4), libsvnqt6 (>= 1.5.5) Conflicts: kdesdk-kio-plugins (>= 4:4.0.0) Replaces: kdesdk-kio-plugins (>= 4:4.0.0) Homepage: http://kdesvn.alwins-world.de/ Priority: optional Section: vcs Filename: pool/main/k/kdesvn/kdesvn-kio-plugins_1.5.5-4.1_armhf.deb Size: 196670 SHA256: c72c33bbcafa5f6e208387d81f22c07cf42a18313187d2aad51726dcc94a163f SHA1: 61f10a346bcf4b33c2dbd488d2394d974d95f76a MD5sum: cc7ff8008d7c27e8521be1ae989cf15b Description: Subversion I/O slaves for KDE This package includes KIO slaves for svn, svn+file, svn+http, svn+https, svn+ssh. This allows you to access Subversion repositories inside any KIO enabled KDE application. . This package is part of kdesvn. Package: kdetoys Version: 4:4.8.4-1 Installed-Size: 31 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: amor (>= 4:4.8.4-1), kteatime (>= 4:4.8.4-1), ktux (>= 4:4.8.4-1) Size: 6148 SHA256: b42fff4e9ffa9e617626c45b498f46fddbe59263f87a5f1594ff8adf1c0751e0 SHA1: 3a2ddb0b30c10ea8bb64a5a2357585b14828e449 MD5sum: 77ea5b579f2c73856c6c1ec983598714 Description: desktop toys from the official KDE 4 release KDE is produced by an international technology team that creates free and open source software for desktop and portable computing. Among KDE's products are a modern desktop system for Linux and UNIX platforms, comprehensive office productivity and groupware suites and hundreds of software titles in many categories including Internet and web applications, multimedia, entertainment, educational, graphics and software development. . This metapackage includes desktop toys provided with the official release of KDE 4. Homepage: http://www.kde.org/ Tag: game::toys, role::metapackage, suite::kde, uitoolkit::qt Section: kde Priority: optional Filename: pool/main/k/kdetoys/kdetoys_4.8.4-1_all.deb Package: kdetoys-dbg Source: kdetoys Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 3386 Depends: kde-runtime-dbg Suggests: kdetoys (= 4:4.8.4-1) Homepage: http://www.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kdetoys/kdetoys-dbg_4.8.4-1_armhf.deb Size: 1364636 SHA256: 1907a9b30a32ab4f2ddd9756b386ede80d12a37a56e7597b81105cfece2a8977 SHA1: d3d3a31031a3c874710af1618460772b3b9cecee MD5sum: 035d3a0e8ef12806cf8b63f04c157c31 Description: debugging symbols for kdetoys This package contains the debugging symbols associated with kdetoys. They will automatically be used by gdb for debugging kdetoys-related issues. Package: kdeutils Source: meta-kde (5:77+deb7u1) Version: 4:4.8.4+5.77+deb7u1 Installed-Size: 35 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: ark (>= 4:4.8.4), filelight (>= 4:4.8.4), kcalc (>= 4:4.8.4), kcharselect (>= 4:4.8.4), kdf (>= 4:4.8.4), kgpg (>= 4:4.8.4), kremotecontrol (>= 4:4.8.4), ktimer (>= 4:4.8.4), kwalletmanager (>= 4:4.8.4), plasma-scriptengine-superkaramba (>= 4:4.8.4), sweeper (>= 4:4.8.4) Suggests: kfloppy (>= 4:4.8.4) Size: 11720 SHA256: fa76dd91b49d21222c4857a960889d0c9155945958cd11d6069cd282f380ac80 SHA1: 9143a5bcca7868f343ae0f07f36b86b540fccb7c MD5sum: 61954fc0b3ab24750371648ecb2b9535 Description: general-purpose utilities from the official KDE release KDE is produced by an international technology team that creates free and open source software for desktop and portable computing. Among KDE's products are a modern desktop system for Linux and UNIX platforms, comprehensive office productivity and groupware suites and hundreds of software titles in many categories including Internet and web applications, multimedia, entertainment, educational, graphics and software development. . This metapackage includes general-purpose utilities provided with the official release of KDE. Homepage: http://www.kde.org Tag: role::metapackage, suite::kde Section: kde Priority: optional Filename: pool/main/m/meta-kde/kdeutils_4.8.4+5.77+deb7u1_all.deb Package: kdeutils-dbg Source: kdeutils Version: 4:4.7.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 48371 Depends: kde-runtime-dbg Suggests: kdeutils (= 4:4.7.4-2) Homepage: http://www.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kdeutils/kdeutils-dbg_4.7.4-2_armhf.deb Size: 19647862 SHA256: 25e5622cbadc1b805574c035c51660093f83f5b4cdfc50ffe52d70b59f2d2ae5 SHA1: dffbb2c5f63f4ac2bbd47c9ed6a5df7e3c2ef2ba MD5sum: 4e5025e1ab266543716c3cdcafbec3a7 Description: debugging symbols for the KDE SC utilities module This package contains debugging files used to investigate problems with binaries included in the KDE SC utilities module. Package: kdevelop Source: kdevelop (4:4.3.1-3) Version: 4:4.3.1-3+b1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 4040 Depends: kde-runtime, kdevplatform5-libs (>= 1.3.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkasten1controllers1 (>= 4:4.8.4), libkasten1core1 (>= 4:4.8.4), libkasten1okteta1controllers1 (>= 4:4.8.4), libkasten1okteta1core1 (>= 4:4.8.4), libkasten1okteta1gui1 (>= 4:4.8.4), libkcmutils4 (>= 4:4.4.95), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkparts4 (>= 4:4.3.4), libktexteditor4 (>= 4:4.4.95), libplasma3 (>= 4:4.4.4-2~), libprocessui4a (>= 4:4.6.1), libqt4-dbus (>= 4:4.6.1), libqt4-help (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.6), libsublime5 (>= 1.3.1), libthreadweaver4 (>= 4:4.3.4), kdevelop-data (>= 4:4.3.1-3) Recommends: gcc, g++, make, gdb (>= 7.0) Suggests: cmake, kapptemplate, kdevelop-l10n Homepage: http://www.kdevelop.org/ Priority: optional Section: devel Filename: pool/main/k/kdevelop/kdevelop_4.3.1-3+b1_armhf.deb Size: 1546920 SHA256: d330f05f17524fcb41518fca296ca9b89b083f79d7925116a651696e4dda0cab SHA1: 8a965ce1946dd134bb5987290b153c65093e3d57 MD5sum: 20d2f9b3394be376960f72ce5d068e76 Description: integrated development environment for KDE KDevelop is an easy to use integrated development environment for KDE. It supports a wide range of programming languages and features project management, an advanced editor, a class browser and an integrated debugger. . The application wizard can generate fully functional projects for terminal programs and GUI programs using Qt, KDE or GNOME. Package: kdevelop-data Source: kdevelop Version: 4:4.3.1-3 Installed-Size: 5350 Maintainer: Debian Qt/KDE Maintainers Architecture: all Size: 4915342 SHA256: 0046368bba85ffbe6874524e7f0ac0663197548b6e25954f98bfc3854fc46d2a SHA1: fd7fe2b00726756a5ae36d58a21ff9c824c428c0 MD5sum: 75e6e471fd6fc2750f13266bd1a31b68 Description: data files for the KDevelop IDE KDevelop is an easy to use integrated development environment for KDE. It supports a wide range of programming languages and features project management, an advanced editor, a class browser and an integrated debugger. . The application wizard can generate fully functional projects for terminal programs and GUI programs using Qt, KDE or GNOME. . This package contains arch independent data for KDevelop. Homepage: http://www.kdevelop.org/ Tag: made-of::icons, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kdevelop/kdevelop-data_4.3.1-3_all.deb Package: kdevelop-dbg Source: kdevelop (4:4.3.1-3) Version: 4:4.3.1-3+b1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 46270 Depends: kdevelop (= 4:4.3.1-3+b1), kdelibs5-dbg Homepage: http://www.kdevelop.org/ Priority: extra Section: debug Filename: pool/main/k/kdevelop/kdevelop-dbg_4.3.1-3+b1_armhf.deb Size: 18037262 SHA256: 3ce4f7bfb36a2480e3f9894724516bb636d99cc5bb9a829bd396f8129959ae1d SHA1: 4b8f36938ceae04b50fca9452b781b91d1109697 MD5sum: 82a7d5c9a566ae410da13a7eef9718e9 Description: debugging symbols for KDevelop KDevelop is an easy to use integrated development environment for KDE. It supports a wide range of programming languages and features project management, an advanced editor, a class browser and an integrated debugger. . The application wizard can generate fully functional projects for terminal programs and GUI programs using Qt, KDE or GNOME. . This package contains debugging symbols for KDevelop. Package: kdevelop-dev Source: kdevelop (4:4.3.1-3) Version: 4:4.3.1-3+b1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 83 Depends: kdevelop (= 4:4.3.1-3+b1), kdelibs5-dev, kdevplatform-dev Homepage: http://www.kdevelop.org/ Priority: optional Section: devel Filename: pool/main/k/kdevelop/kdevelop-dev_4.3.1-3+b1_armhf.deb Size: 21784 SHA256: 3b2fbe73d3ecf610357da023bcd299dd973c739b1350cecc49791a4384b6be5f SHA1: 20958cf1e08fd1d81654766c36a55b32c2c85848 MD5sum: 187f2cc03f2b9b65782da08762e25646 Description: development files for the KDevelop IDE KDevelop is an easy to use integrated development environment for KDE. It supports a wide range of programming languages and features project management, an advanced editor, a class browser and an integrated debugger. . The application wizard can generate fully functional projects for terminal programs and GUI programs using Qt, KDE or GNOME. . This package contains development files for KDevelop. Package: kdevelop-l10n Source: kdevelop Version: 4:4.3.1-3 Installed-Size: 2159 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kdevelop-l10n-ca, kdevelop-l10n-cavalencia, kdevelop-l10n-da, kdevelop-l10n-de, kdevelop-l10n-engb, kdevelop-l10n-es, kdevelop-l10n-et, kdevelop-l10n-fi, kdevelop-l10n-fr, kdevelop-l10n-gl, kdevelop-l10n-it, kdevelop-l10n-nds, kdevelop-l10n-nl, kdevelop-l10n-pt, kdevelop-l10n-ptbr, kdevelop-l10n-ru, kdevelop-l10n-sl, kdevelop-l10n-sv, kdevelop-l10n-th, kdevelop-l10n-tr, kdevelop-l10n-uk, kdevelop-l10n-zhcn, kdevelop-l10n-zhtw Recommends: kdevplatform-l10n Breaks: kdevelop-l10n-ca, kdevelop-l10n-cavalencia, kdevelop-l10n-da, kdevelop-l10n-de, kdevelop-l10n-engb, kdevelop-l10n-es, kdevelop-l10n-et, kdevelop-l10n-fi, kdevelop-l10n-fr, kdevelop-l10n-gl, kdevelop-l10n-it, kdevelop-l10n-nds, kdevelop-l10n-nl, kdevelop-l10n-pt, kdevelop-l10n-ptbr, kdevelop-l10n-ru, kdevelop-l10n-sl, kdevelop-l10n-sv, kdevelop-l10n-th, kdevelop-l10n-tr, kdevelop-l10n-uk, kdevelop-l10n-zhcn, kdevelop-l10n-zhtw Size: 716840 SHA256: 33d8973a587665ffb45c4cbfd57176f070792154a33353162adece2c6d778b11 SHA1: c8a066a7b8f45c44d7e90d9df783ac4c5b8f1eee MD5sum: 5d891a282fac195f97cab86a2595973a Description: localization files for the KDevelop IDE KDevelop is an easy to use integrated development environment for KDE. It supports a wide range of programming languages and features project management, an advanced editor, a class browser and an integrated debugger. . This package contains the translations for KDevelop. Homepage: http://www.kdevelop.org/ Tag: role::app-data, suite::kde Section: localization Priority: optional Filename: pool/main/k/kdevelop/kdevelop-l10n_4.3.1-3_all.deb Package: kdevelop-pg-qt Version: 1.0.0-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1172 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.8.0), libstdc++6 (>= 4.6) Homepage: http://techbase.kde.org/Development/KDevelop-PG-Qt_Introduction Priority: optional Section: devel Filename: pool/main/k/kdevelop-pg-qt/kdevelop-pg-qt_1.0.0-2_armhf.deb Size: 570016 SHA256: 91ce437d3850c7babfaa63f8b1cc136346645c9e8d711a6b20ed26a73f0b2235 SHA1: 9c2d4fd4f94ce0a7fde1d5b25a3f0899edd56fbc MD5sum: 1712d88bd8ed7b1b680c93b93ac9199a Description: LL(1) parser generator based on Qt KDevelop-PG-Qt is a parser generator written in readable source-code and generating readable source-code. Its syntax was inspirated by AntLR. It implements the visitor-pattern and uses the Qt library. . This package contains the kdev-pg-qt binary, the headers files generated by the KDevelop parser generator and the cmake file to find the parser. Package: kdevelop-php Version: 1.3.1-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 4291 Depends: kdevelop (>= 4:4.0.1), kdevplatform5-libs (>= 1.3.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libktexteditor4 (>= 4:4.4.95), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libthreadweaver4 (>= 4:4.3.4) Suggests: kdevelop-php-l10n Enhances: kdevelop Homepage: http://www.kdevelop.org/ Priority: optional Section: devel Filename: pool/main/k/kdevelop-php/kdevelop-php_1.3.1-2_armhf.deb Size: 1009992 SHA256: 60db4e9e7fc38f2ab130caa51fab9e0cc879e5ad4732517489442d3598bb6c75 SHA1: d12bdc8f81657b3cd25661bebacf88d39c69b157 MD5sum: 8e7e7b5baf94b1256b464d0049e4d783 Description: PHP plugin for KDevelop KDevelop is an easy to use integrated development environment for KDE. It supports a wide range of programming languages and features project management, an advanced editor, a class browser and an integrated debugger. . This package contains the PHP language support plugin. Package: kdevelop-php-dbg Source: kdevelop-php Version: 1.3.1-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 4713 Depends: kdelibs5-dbg, kdevelop-php (= 1.3.1-2) Homepage: http://www.kdevelop.org/ Priority: extra Section: debug Filename: pool/main/k/kdevelop-php/kdevelop-php-dbg_1.3.1-2_armhf.deb Size: 4497062 SHA256: 98cb9068893197944a84398c9952d74c7f852a1d8a44a12ab2243de0b90d6ada SHA1: 76e8aa57e996c828b5a74d79389f2fd4bbc37561 MD5sum: 41a21b48f47b9edbbca0f23a82c92c38 Description: debugging symbols for the KDevelop PHP plugin This package contains the debugging symbols of the PHP plugin for KDevelop. They will automatically be used by gdb for debugging issues with the PHP plugin. Package: kdevelop-php-docs Version: 1.3.1-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 148 Depends: kdevplatform5-libs (>= 1.3.1), libc6 (>= 2.13-28), libkcmutils4 (>= 4:4.4.95), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0), kdevelop (>= 4:4.0.1) Suggests: kdevelop-php-docs-l10n Enhances: kdevelop Homepage: http://www.kdevelop.org/ Priority: optional Section: devel Filename: pool/main/k/kdevelop-php-docs/kdevelop-php-docs_1.3.1-2_armhf.deb Size: 36748 SHA256: 74d82c1b3b3a9cd17b4ebe2bdb8a147393f38a6699cc7615d0f330f16aecebd0 SHA1: dc5661aafd246a9d20a3c11a55642d871024768e MD5sum: 202952fb5bec7f32ae4eba96a798dc00 Description: PHP documentation plugin for KDevelop KDevelop is an easy to use integrated development environment for KDE. It supports a wide range of programming languages and features project management, an advanced editor, a class browser and an integrated debugger. . This package contains the PHP documentation plugin. Package: kdevelop-php-docs-l10n Source: kdevelop-php-docs Version: 1.3.1-2 Installed-Size: 298 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kdevelop-php-docs-l10n-ca, kdevelop-php-docs-l10n-cavalencia, kdevelop-php-docs-l10n-da, kdevelop-php-docs-l10n-engb, kdevelop-php-docs-l10n-es, kdevelop-php-docs-l10n-et, kdevelop-php-docs-l10n-fr, kdevelop-php-docs-l10n-gl, kdevelop-php-docs-l10n-it, kdevelop-php-docs-l10n-nds, kdevelop-php-docs-l10n-nl, kdevelop-php-docs-l10n-pt, kdevelop-php-docs-l10n-ptbr, kdevelop-php-docs-l10n-sv, kdevelop-php-docs-l10n-uk, kdevelop-php-docs-l10n-zhtw Breaks: kdevelop-php-docs-l10n-ca, kdevelop-php-docs-l10n-cavalencia, kdevelop-php-docs-l10n-da, kdevelop-php-docs-l10n-engb, kdevelop-php-docs-l10n-es, kdevelop-php-docs-l10n-et, kdevelop-php-docs-l10n-fr, kdevelop-php-docs-l10n-gl, kdevelop-php-docs-l10n-it, kdevelop-php-docs-l10n-nds, kdevelop-php-docs-l10n-nl, kdevelop-php-docs-l10n-pt, kdevelop-php-docs-l10n-ptbr, kdevelop-php-docs-l10n-sv, kdevelop-php-docs-l10n-uk, kdevelop-php-docs-l10n-zhtw Size: 18068 SHA256: cff8a19d4f94d225c80be816da602714542be8a88c5ddd6428f538451ce71607 SHA1: f91f89070e9384f151ad622d2ecf9db553f6f705 MD5sum: 41c365c6e854de1a1e0bfcbbb7003b5c Description: localization files for KDevelop PHP documentation plugin This package contains the translations for KDevelop PHP documentation plugin. . This package is part of the PHP documentation plugin for KDevelop. Homepage: http://www.kdevelop.org/ Tag: role::app-data, suite::kde Section: localization Priority: optional Filename: pool/main/k/kdevelop-php-docs/kdevelop-php-docs-l10n_1.3.1-2_all.deb Package: kdevelop-php-l10n Source: kdevelop-php Version: 1.3.1-2 Installed-Size: 330 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kdevelop-php-l10n-ca, kdevelop-php-l10n-cavalencia, kdevelop-php-l10n-da, kdevelop-php-l10n-engb, kdevelop-php-l10n-es, kdevelop-php-l10n-et, kdevelop-php-l10n-fr, kdevelop-php-l10n-gl, kdevelop-php-l10n-it, kdevelop-php-l10n-nds, kdevelop-php-l10n-nl, kdevelop-php-l10n-pt, kdevelop-php-l10n-ptbr, kdevelop-php-l10n-sv, kdevelop-php-l10n-th, kdevelop-php-l10n-uk, kdevelop-php-l10n-zhcn, kdevelop-php-l10n-zhtw Breaks: kdevelop-php-l10n-ca, kdevelop-php-l10n-cavalencia, kdevelop-php-l10n-da, kdevelop-php-l10n-engb, kdevelop-php-l10n-es, kdevelop-php-l10n-et, kdevelop-php-l10n-fr, kdevelop-php-l10n-gl, kdevelop-php-l10n-it, kdevelop-php-l10n-nds, kdevelop-php-l10n-nl, kdevelop-php-l10n-pt, kdevelop-php-l10n-ptbr, kdevelop-php-l10n-sv, kdevelop-php-l10n-th, kdevelop-php-l10n-uk, kdevelop-php-l10n-zhcn, kdevelop-php-l10n-zhtw Size: 30882 SHA256: 6b0017b06fe57ef6c111d9e70329b097923c267d471d94b188b2230daa67d3a2 SHA1: 15a5904290c89e4317c06dd1400f335e2de009fa MD5sum: 8311faa86f30101181b6d1a6f4d693d8 Description: localization files for KDevelop PHP plugin The KDevelop-PHP plugin is the language plugin for the PHP language for the KDevelop integrated development environment for KDE. . This package contains the localizations for the KDevelop PHP plugin. Homepage: http://www.kdevelop.org/ Tag: role::app-data, suite::kde Section: localization Priority: optional Filename: pool/main/k/kdevelop-php/kdevelop-php-l10n_1.3.1-2_all.deb Package: kdevplatform-dbg Source: kdevplatform Version: 1.3.1-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 98122 Depends: kdevplatform5-libs (= 1.3.1-2), libsublime5 (= 1.3.1-2), kdelibs5-dbg Homepage: http://www.kdevelop.org/ Priority: extra Section: debug Filename: pool/main/k/kdevplatform/kdevplatform-dbg_1.3.1-2_armhf.deb Size: 39293816 SHA256: 343786c40a7fbb1976da6eb4bd235be15709acb2399c60b801ed157390b21090 SHA1: 306f4ac26322c89b063235f2714b6ae5d8954fdd MD5sum: c0c8d6b0b61c4f8cc73cffb8031d78f1 Description: debugging symbols for the KDevelop platform This package contains the debugging symbols associated with kdevplatform. They will automatically be used by gdb for debugging kdevplatform-related issues. . This package is part of the KDevelop platform. Package: kdevplatform-dev Source: kdevplatform Version: 1.3.1-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1787 Depends: kdevplatform5-libs (= 1.3.1-2), kdelibs5-dev (>= 4:4.5.86), libsublime-dev (>= 1.3.1-2) Homepage: http://www.kdevelop.org/ Priority: optional Section: libdevel Filename: pool/main/k/kdevplatform/kdevplatform-dev_1.3.1-2_armhf.deb Size: 353486 SHA256: 1107d20a80b864677b3bdb24ae5a9ed17582965eeaa812f2bc23b57e2b56b8e2 SHA1: bb784af7ac87a78fc05e97fe00e0040d08e2f7f9 MD5sum: b50a244c8a4d75e8f66f47fba08c7fa0 Description: development files for the KDevelop platform This package contains development files for building integrated development environments based on the KDevelop platform. . This package is part of the KDevelop platform. Package: kdevplatform-l10n Source: kdevplatform Version: 1.3.1-2 Installed-Size: 4269 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kdevplatform-l10n-ca, kdevplatform-l10n-cavalencia, kdevplatform-l10n-da, kdevplatform-l10n-de, kdevplatform-l10n-engb, kdevplatform-l10n-es, kdevplatform-l10n-et, kdevplatform-l10n-fi, kdevplatform-l10n-fr, kdevplatform-l10n-gl, kdevplatform-l10n-hu, kdevplatform-l10n-it, kdevplatform-l10n-nds, kdevplatform-l10n-nl, kdevplatform-l10n-pt, kdevplatform-l10n-ptbr, kdevplatform-l10n-ru, kdevplatform-l10n-sl, kdevplatform-l10n-sv, kdevplatform-l10n-th, kdevplatform-l10n-tr, kdevplatform-l10n-uk, kdevplatform-l10n-zhcn, kdevplatform-l10n-zhtw Suggests: kde-l10n Breaks: kdevplatform-l10n-ca, kdevplatform-l10n-cavalencia, kdevplatform-l10n-da, kdevplatform-l10n-de, kdevplatform-l10n-engb, kdevplatform-l10n-es, kdevplatform-l10n-et, kdevplatform-l10n-fi, kdevplatform-l10n-fr, kdevplatform-l10n-gl, kdevplatform-l10n-hu, kdevplatform-l10n-it, kdevplatform-l10n-nds, kdevplatform-l10n-nl, kdevplatform-l10n-pt, kdevplatform-l10n-ptbr, kdevplatform-l10n-ru, kdevplatform-l10n-sl, kdevplatform-l10n-sv, kdevplatform-l10n-th, kdevplatform-l10n-tr, kdevplatform-l10n-uk, kdevplatform-l10n-zhcn, kdevplatform-l10n-zhtw Size: 1402174 SHA256: e38b21ab286ed5a01c9315536e4a8f7134c796f1945bb3d7f78a00d4cfbf47c8 SHA1: 65db8914a5970e7852d99314d609c3319e7b6f4c MD5sum: e5705dcecdd6ace199314a01ac79cc1f Description: language package for the KDevelop platform This package contains the localization files for applications using the KDevelop platform. . This package is part of the KDevelop platform. Homepage: http://www.kdevelop.org/ Tag: role::app-data, suite::kde Section: localization Priority: optional Filename: pool/main/k/kdevplatform/kdevplatform-l10n_1.3.1-2_all.deb Package: kdevplatform5-libs Source: kdevplatform Version: 1.3.1-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 7217 Depends: libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.5.0), libkdecore5 (>= 4:4.5.0), libkdeui5 (>= 4:4.5.0), libkfile4 (>= 4:4.5.0), libkio5 (>= 4:4.5.0), libknewstuff3-4 (>= 4:4.5.0), libknotifyconfig4 (>= 4:4.5.0), libkparts4 (>= 4:4.5.0), libktexteditor4 (>= 4:4.5.0), libplasma3 (>= 4:4.5.86), libqjson0 (>= 0.7.1), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-test (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.6), libsublime5 (>= 1.3.1-2), libsvn1 (>= 1.6), libthreadweaver4 (>= 4:4.5.0) Suggests: kdevplatform-l10n, git, cvs, konsole Breaks: kdevplatform1-libs, kdevplatform2-libs, kdevplatform3-libs Replaces: kdevelop (<< 4:3.9.96-1), kdevelop-data (<< 4:3.9.96-1), kdevplatform1-libs, kdevplatform2-libs, kdevplatform3-libs Homepage: http://www.kdevelop.org/ Priority: optional Section: libs Filename: pool/main/k/kdevplatform/kdevplatform5-libs_1.3.1-2_armhf.deb Size: 2727944 SHA256: 448683cd4b0cad5fe3a2c73575f5cc2aeb6ca3e363a2672a3a08c62aa69590fe SHA1: 858cc6a254050cb8cb6f60f4ed6a15819a2cd606 MD5sum: 0e871ccbe34dba1c81349c00b058fc3d Description: shared libraries for the KDevelop platform This package contains shared libraries needed to run integrated development environments based on the KDevelop platform. . This package is part of the KDevelop platform. Package: kdewallpapers Source: kdeartwork Version: 4:4.8.4-5 Installed-Size: 113177 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kdebase-workspace-data (<< 4:4.7.0) Suggests: kde-window-manager | x-window-manager Breaks: kdebase-workspace-data (<< 4:4.7.0) Size: 114408268 SHA256: e93ee6d44bafca7e000d747a8954e313288f58a1a3674c3c0b7e4b7a2d47f910 SHA1: c5785549eea406881706853c32ba9a5dd53bceaf MD5sum: c44b47a78c7a764d0e88576a17e5712a Description: extra KDE artwork wallpapers for the KDE Plasma Workspaces This package contains more additional wallpapers for KDE Plasma Workspaces, in addition to the ones provided with kde-wallpapers. . This package is part of the KDE artwork module. Homepage: http://www.kde.org/ Tag: made-of::TODO, role::app-data, suite::kde, x11::theme Section: kde Priority: optional Filename: pool/main/k/kdeartwork/kdewallpapers_4.8.4-5_all.deb Package: kdewebdev Version: 4:4.8.4-1 Installed-Size: 31 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kfilereplace (>= 4:4.8.4-1), kimagemapeditor (>= 4:4.8.4-1), klinkstatus (>= 4:4.8.4-1), kommander (>= 4:4.8.4-1) Size: 5730 SHA256: 08fc24293c7cd0270c4564d4b628ea2ddb9ec39d8db0d37b45ad157529e2713f SHA1: 857423096666058a49ac65773d7e841c788b8c36 MD5sum: 4bae59dd7e3f89bfa227ac4c952a58b7 Description: web development apps from the official KDE release This metapackage includes a collection of web development applications provided with the official release of KDE. Homepage: http://kdewebdev.org/ Tag: role::metapackage, suite::kde, uitoolkit::qt, web::scripting Section: kde Priority: optional Filename: pool/main/k/kdewebdev/kdewebdev_4.8.4-1_all.deb Package: kdewebdev-dbg Source: kdewebdev Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 23630 Depends: kde-runtime-dbg Suggests: kdewebdev (= 4:4.8.4-1) Homepage: http://kdewebdev.org/ Priority: extra Section: debug Filename: pool/main/k/kdewebdev/kdewebdev-dbg_4.8.4-1_armhf.deb Size: 9511456 SHA256: db700aa6c34fca3aefe63b99587346ad9498b79e942e2209ac111efa6372fd4b SHA1: 7d40eff4a788ffd71334074f117a05a966e488d2 MD5sum: 7e52a246c0c8815f1cdf6ce876695f95 Description: debugging symbols for the KDE web development module This package contains the debugging symbols associated with kdewebdev. They will automatically be used by gdb for debugging kdewebdev-related issues. Package: kdf Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 723 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Homepage: http://www.kde.org/ Priority: optional Section: utils Filename: pool/main/k/kdf/kdf_4.8.4-1_armhf.deb Size: 288628 SHA256: 707feb284049ae30bab765485326cbaa0d69ca3af35803f17a50a0cc9b26791c SHA1: 531180ea75f303764fa88e8ef4d139b1687b3a2e MD5sum: 54a22525365c67f1bc149efabb490d9d Description: disk information utility KDiskFree displays information about hard disks and other storage devices, including the amount of free space available. It can also mount and unmount storage devices and view them in the file manager. . KwikDisk is an icon for the system tray that provides convenient access to the features of KDiskFree. . This package is part of the KDE SC utilities module. Package: kdiamond Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 4354 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libknotifyconfig4 (>= 4:4.7), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kdiamond_4.8.4-3_armhf.deb Size: 4197204 SHA256: 64e37b6a8d692f6726da9aeec65a35dc844d65e95c252349afa94af506496376 SHA1: a3f496151a0edd743c6aa57ced904e2a7e38c798 MD5sum: 2524941f2483dc9f460fe2d5821e3b7a Description: three-in-a-row game KDiamond is a three-in-a-row game like Bejeweled. It features unlimited fun with randomly generated games and five difficulty levels with varying number of diamond colors and board sizes. . This package is part of the KDE games module. Package: kdiff3 Version: 0.9.96-4 Architecture: armhf Maintainer: Eike Sauer Installed-Size: 3823 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.4), libkdeui5 (>= 4:4.4.4), libkio5 (>= 4:4.5.85), libkparts4 (>= 4:4.5.85), libnepomuk4 (>= 4:4.4.4), libnepomukutils4 (>= 4:4.5.85), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.6) Recommends: kdiff3-doc Conflicts: kdiff3-qt Homepage: http://kdiff3.sourceforge.net Priority: optional Section: kde Filename: pool/main/k/kdiff3/kdiff3_0.9.96-4_armhf.deb Size: 1302700 SHA256: 57fa74454a7ef6443b661e6f9f578bbd34dbf1364ffb6a3136932098d7b4ca33 SHA1: 03ef20c66f615d1cff0b50f2b9210f149ee83a17 MD5sum: 599a2cc3db220416060da66318eca889 Description: compares and merges 2 or 3 files or directories KDiff3 compares two or three input files and shows the differences line by line and character by character. It provides an automatic merge facility and an integrated editor for comfortable solving of merge conflicts. KDiff3 allows recursive directory comparison and merging as well. . This is the standard version of KDiff3, highly integrated into KDE. It has got KIO support (allowing for remote access of files and direct access to files in compressed archives) and integration into konqueror's context menu. There's also a stripped-down version called kdiff3-qt not depending on the KDE libraries. Package: kdiff3-doc Source: kdiff3 Version: 0.9.96-4 Installed-Size: 1745 Maintainer: Eike Sauer Architecture: all Replaces: kdiff3 (<= 0.9.92-1) Recommends: kdiff3 | kdiff3-qt Breaks: kdiff3 (<= 0.9.92-1) Size: 725324 SHA256: b29e61c552fd2dbd2bbbb602fb8fb03c7896c90febcc2ea92723a20013f34ad1 SHA1: b6f53e0a6642b0cac683eaf3624038b00313d77f MD5sum: 428523ae626fa2335b95ca22f3200592 Description: documentation for kdiff3 KDiff3 compares two or three input files and shows the differences line by line and character by character. This package contains the HTML documentation. Homepage: http://kdiff3.sourceforge.net Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/k/kdiff3/kdiff3-doc_0.9.96-4_all.deb Package: kdiff3-qt Source: kdiff3 Version: 0.9.96-4 Architecture: armhf Maintainer: Eike Sauer Installed-Size: 3898 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6) Recommends: kdiff3-doc Conflicts: kdiff3 Homepage: http://kdiff3.sourceforge.net Priority: optional Section: devel Filename: pool/main/k/kdiff3/kdiff3-qt_0.9.96-4_armhf.deb Size: 1207654 SHA256: e14039407074fc1cdd2633a42e8099b668ce75a9a212b21d8d2136c1111adbe7 SHA1: e170074c327a758292d0745607535a5a7f882978 MD5sum: a2720e687ada4e0188b975660d506bf6 Description: compares and merges 2 or 3 files or directories - Qt version KDiff3 compares two or three input files and shows the differences line by line and character by character. It provides an automatic merge facility and an integrated editor for comfortable solving of merge conflicts. KDiff3 allows recursive directory comparison and merging as well. . This version of KDiff3 is stripped-down so that it doesn't depend on the KDE libraries. The package "kdiff3" contains the full-featured version of the program. Package: kdirstat Source: k4dirstat Version: 2.7.3-1 Installed-Size: 27 Maintainer: Jerome Robert Architecture: all Depends: k4dirstat Size: 2268 SHA256: e58f25eb3b7e2e384721cc7343e9dc4b6cbc2361992a74fc6f512e5952282c62 SHA1: b1ffc72e7644c476f919f0492f8e534f2ee026ac MD5sum: 60b37ea046df17d6922736729b35209b Description: Transitional package for k4dirstat This is a transitional dummy package to ease the migration from the kdirstat to the new k4dirstat package. Homepage: http://bitbucket.org/jeromerobert/k4dirstat Tag: admin::filesystem, interface::x11, role::dummy, role::program, scope::utility, suite::kde, uitoolkit::qt, use::scanning, use::viewing, x11::application Section: misc Priority: optional Filename: pool/main/k/k4dirstat/kdirstat_2.7.3-1_all.deb Package: kdm Source: kde-workspace Version: 4:4.8.4-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 3839 Depends: kde-runtime, libc6 (>= 2.13-28), libck-connector0 (>= 0.2.1), libdbus-1-3 (>= 1.0.2), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libknewstuff3-4 (>= 4:4.8), libkworkspace4abi1 (= 4:4.8.4-6), libpam0g (>= 0.99.7.1), libqimageblitz4 (>= 1:0.0.4), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libx11-6, libxau6, libxdmcp6, libxtst6, debconf (>= 0.5) | debconf-2.0, lsb-base (>= 3.2-14), consolekit, kde-workspace-kgreet-plugins (= 4:4.8.4-6), adduser, kde-wallpapers-default Recommends: logrotate, xserver-xorg | xserver, kde-workspace | x-session-manager | x-window-manager, xterm | x-terminal-emulator Suggests: kdepasswd, kde-wallpapers Breaks: kde-kdm-themes, kdmtheme Replaces: kde-kdm-themes, kdmtheme Provides: x-display-manager Homepage: http://www.kde.org/ Priority: optional Section: x11 Filename: pool/main/k/kde-workspace/kdm_4.8.4-6_armhf.deb Size: 1822692 SHA256: f28ef900c2701b942857c935bd9a41f2e1b5fee029ce9c5ae90ba9b7d6a93751 SHA1: 6544d1a5113e595c407cfda73c8feb372a5fbea2 MD5sum: a593579a8c83e681ca6918e10127e845 Description: KDE Display Manager for X11 KDM manages a collection of X servers running on local or remote machines. It allows users to easily log into their preferred desktop environment, connect to a remote XDMCP server, or shut down the system. . KDM supports custom themes and can display a list of users with icons. Additional user icons can be found in the 'kdepasswd' package. . This package is part of the KDE base workspace module. Package: kdm-gdmcompat Version: 0.13-2 Installed-Size: 108 Maintainer: Fabian Knittel Architecture: all Replaces: kdmflexiserver Depends: kdm, xauth, lsb-base (>= 3.2-13) Enhances: kdm Conflicts: kdmflexiserver Size: 9744 SHA256: 675ac684182fb136ff05653d7fe53d750f22e6818102b57e46daaec62e38fdf8 SHA1: 25f561045f111c971da438fe0c1a485ab89a5d5f MD5sum: c2be26dd3ca4b8b3192a6df388bb77aa Description: Provide basic gdm functionality to systems running kdm This package provides a gdmflexiserver replacement utility and a daemon emulating gdm's control socket. The idea is to allow screen savers (especially xscreensaver and gnome-screensaver) and desktops which depend on gdm, to seamlessly integrate with kdm. The tools communicate with kdm via kdmctl. Homepage: http://opensource.fsmi.uni-karlsruhe.de/projects/kdm-gdmcompat/ Tag: implemented-in::c, interface::commandline, interface::daemon, interface::x11, role::plugin, role::program, scope::utility, suite::kde, uitoolkit::qt, use::login, x11::application, x11::display-manager Section: kde Priority: extra Filename: pool/main/k/kdm-gdmcompat/kdm-gdmcompat_0.13-2_all.deb Package: kdm-theme-aperture Source: bespin Version: 0.r1552-1 Installed-Size: 376 Maintainer: Debian KDE Extras Team Architecture: all Suggests: kde-style-bespin Size: 275416 SHA256: 4f2799b237049e7b697cc108d00d9368e779699a17cb14759fb2d079b1d1d569 SHA1: 17e8c41e6d86da749a4477c831552b0bebddd282 MD5sum: c166a259ce3979faa62bace5ca2bba6f Description: very glossy Qt4/KDE4 kdm theme - aperture variant Bespin is a window decoration for KDE4, the name is nothing about quantum mechanics, but just refers to cloud city (StarWars Episode V: The Empire Strikes Back) . This package includes the kdm theme for Bespin - Aperture variant Bugs: http://sourceforge.net/tracker/?atid=976907&group_id=201276&func=browse Homepage: http://cloudcity.sourceforge.net Section: kde Priority: extra Filename: pool/main/b/bespin/kdm-theme-aperture_0.r1552-1_all.deb Package: kdm-theme-bespin Source: bespin Version: 0.r1552-1 Installed-Size: 494 Maintainer: Debian KDE Extras Team Architecture: all Suggests: kde-style-bespin Size: 455108 SHA256: 349c406b6caafb59c04b63afef0445438def45b979202691e20db29d47370275 SHA1: 8ac381466434166e699bd98b12ecd5ef81be3ae3 MD5sum: 23870eab41c13cb6506a97dcf3aaeea3 Description: very glossy Qt4/KDE4 kdm theme Bespin is a window decoration for KDE4, the name is nothing about quantum mechanics, but just refers to cloud city (StarWars Episode V: The Empire Strikes Back) . This package includes the kdm theme for Bespin Bugs: http://sourceforge.net/tracker/?atid=976907&group_id=201276&func=browse Homepage: http://cloudcity.sourceforge.net Section: kde Priority: extra Filename: pool/main/b/bespin/kdm-theme-bespin_0.r1552-1_all.deb Package: kdm-theme-tibanna Source: bespin Version: 0.r1552-1 Installed-Size: 469 Maintainer: Debian KDE Extras Team Architecture: all Suggests: kde-style-bespin Size: 371174 SHA256: 2cc4271a6134f52a8ba49cba475e152e1d07ed1ec9f541920690197a925459e5 SHA1: 5bfa8c56632233ebe8e48df9e13020db275d26f8 MD5sum: f07e7f68125fc1305d60fe811e0b0a66 Description: very glossy Qt4/KDE4 kdm theme - tibanna variant Bespin is a window decoration for KDE4, the name is nothing about quantum mechanics, but just refers to cloud city (StarWars Episode V: The Empire Strikes Back) . This package includes the kdm theme for Bespin - Tibanna variant Bugs: http://sourceforge.net/tracker/?atid=976907&group_id=201276&func=browse Homepage: http://cloudcity.sourceforge.net Section: kde Priority: extra Filename: pool/main/b/bespin/kdm-theme-tibanna_0.r1552-1_all.deb Package: kdocker Version: 4.6-2 Architecture: armhf Maintainer: Ignace Mouzannar Installed-Size: 287 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6, libxmu6, libxpm4 Suggests: bash-completion Homepage: http://launchpad.net/kdocker Priority: optional Section: x11 Filename: pool/main/k/kdocker/kdocker_4.6-2_armhf.deb Size: 145018 SHA256: c59b649493f3ee86257d44c1126398a8795898e753af1434c325274b8fee3e9a SHA1: 22168f36b99b35d5258a91b92c7a87036ff30356 MD5sum: 404aad2299ac3a0354f6ef3a02400697 Description: lets you dock any application into the system tray KDocker will help you dock any application into the system tray. This means you can dock openoffice, xmms, firefox, thunderbird, anything! Just point and click. Works for all NET WM compliant window managers - that includes KDE, GNOME, Xfce, Fluxbox and many more. Package: kdoctools Source: kde4libs Version: 4:4.8.4-4+deb7u3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1578 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (= 4:4.8.4-4+deb7u3), libkio5 (= 4:4.8.4-4+deb7u3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.7.0), libstdc++6 (>= 4.1.1), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), docbook-xml, docbook-xsl, libxml2-utils Homepage: http://www.kde.org/ Priority: optional Section: libs Filename: pool/main/k/kde4libs/kdoctools_4.8.4-4+deb7u3_armhf.deb Size: 190146 SHA256: 73bfe40169cf9611d43aea0dd0318bbfaafaa7769f2e3dc0cbbf6cd76d1ec41d SHA1: 78f77dab42784a21cc3bd2448c3811f1f80932f6 MD5sum: a467b826e5602ded1a655ae15b178aa4 Description: various tools for accessing application documentation This package provides KDE documentation tools (including the "help" KIO slave) for accessing documentation of KDE and GNOME applications. . This package is part of the KDE Development Platform libraries module. Package: kdrill Version: 6.5deb2-8 Architecture: armhf Maintainer: Євгеній Мещеряков Installed-Size: 183 Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxmu6, libxt6 Recommends: kanadic | kanjidic (>= 2002.02.06-1), xfonts-base (>> 4.0) Suggests: edict, xjdic Homepage: http://www.bolthole.com/kdrill/ Priority: optional Section: education Filename: pool/main/k/kdrill/kdrill_6.5deb2-8_armhf.deb Size: 77044 SHA256: 049eca133aa55574fde2642762a5f7cbbbc1f3ed60614a20e6af3bff9297d159 SHA1: e2a1a1023bc2abcadf3491737367a818e1c918da MD5sum: b2c170b076e45e9b2f2059ea7a0e348e Description: kanji drill and dictionary program This package provides a graphical program for learning Japanese characters, which also doubles as a dictionary lookup program. It requires a dictionary package, such as kanjidic (for learning kanji) or kanadic (for learning hiragana or katakana), although you can specify a custom dictionary file on the command line. Package: kdump-tools Source: makedumpfile Version: 1.4.3-1 Installed-Size: 83 Maintainer: John Wright Architecture: all Depends: makedumpfile, kexec-tools Size: 18020 SHA256: b708693bf1c1f01ad03bf5d11fc1d4bcd0f7eb6547ad0a7bceba73bcfd06e768 SHA1: b5c675d232e27a9d41420829c28434089041cf78 MD5sum: 3d028469ca57603121c17a7732446b33 Description: scripts and tools for automating kdump (Linux crash dumps) kdump-tools provides an init script and a configuration script for automating the use of kdump. It uses the makedumpfile utility to reduce the size of the /proc/vmcore file based on user preferences. . After installing, please see /usr/share/doc/kdump-tools/README.Debian for information on enabling and configuring kdump. Section: devel Priority: optional Filename: pool/main/m/makedumpfile/kdump-tools_1.4.3-1_all.deb Package: kedpm Version: 0.5.0-4+deb7u1 Installed-Size: 191 Maintainer: Antoine Beaupré Architecture: all Depends: python (>= 2.1), python-crypto Size: 34284 SHA256: 64d886ca0c5fbf489ee50afa96e36ca9acdcdfef9328140a5c4f44c5cbc914d0 SHA1: c5d32c4153ea9b34f63dd3a338fbbddaa5e35bce MD5sum: a750316b6f2c06ebe75977fe947e17f0 Description: KED Password Manager Ked Password Manager helps to manage large amounts of passwords and related information, and simplifies the tasks of searching and entering password data. . It is Figaro Password Manager compatible and can act as a near-complete replacement. . Ked Password Manager has a command line and gtk2 based user interfaces. Homepage: https://redmine.koumbit.net/projects/kedpm Section: utils Priority: extra Filename: pool/main/k/kedpm/kedpm_0.5.0-4+deb7u1_all.deb Package: kedpm-gtk Source: kedpm Version: 0.5.0-4+deb7u1 Installed-Size: 260 Maintainer: Antoine Beaupré Architecture: all Depends: kedpm (>= 0.5.0-4+deb7u1), python-gtk2 (>= 1.99.14-1), python-glade2 Size: 29144 SHA256: 4126dd63f59aa1acf61f3b64d6a3a996673a37b3ad8dd74d85c1cad920ce4297 SHA1: 08adca2673ee01efed051951c365db9113dd7878 MD5sum: de947ccc8d60d1b781fd82f7e0c5f4e7 Description: KED Password Manager Ked Password Manager helps to manage large amounts of passwords and related information, and simplifies the tasks of searching and entering password data. . It is Figaro Password Manager compatible and can act as a near-complete replacement. . Ked Password Manager has a command line and gtk2 based user interfaces. Homepage: https://redmine.koumbit.net/projects/kedpm Section: utils Priority: extra Filename: pool/main/k/kedpm/kedpm-gtk_0.5.0-4+deb7u1_all.deb Package: keepalived Version: 1:1.2.2-3 Architecture: armhf Maintainer: Alexander Wirt Installed-Size: 308 Depends: libc6 (>= 2.13-28), libnl1 (>= 1.1), libpopt0 (>= 1.14), libssl1.0.0 (>= 1.0.0), ipvsadm, iproute Priority: extra Section: admin Filename: pool/main/k/keepalived/keepalived_1.2.2-3_armhf.deb Size: 138336 SHA256: 628472665d3829d9e5105cbff9c1b7592aabe2a18b2fca7b6ff9a5f4db742223 SHA1: c4e3d9d0d63505b4309994f78337f86c29a40e72 MD5sum: 056e9c310d34aa1ae6d18b2c256a9b0b Description: Failover and monitoring daemon for LVS clusters keepalived is used for monitoring real servers within a Linux Virtual Server (LVS) cluster. keepalived can be configured to remove real servers from the cluster pool if it stops responding, as well as send a notification email to make the admin aware of the service failure. . In addition, keepalived implements an independent Virtual Router Redundancy Protocol (VRRPv2; see rfc2338 for additional info) framework for director failover. . You need a kernel >= 2.4.28 or >= 2.6.11 for keepalived. See README.Debian for more information. Package: keepass2 Version: 2.19+dfsg-2 Installed-Size: 2128 Maintainer: Debian CLI Applications Team Architecture: all Depends: mono-runtime (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-configuration4.0-cil (>= 1.0), libmono-system-drawing4.0-cil (>= 1.0), libmono-system-security4.0-cil (>= 1.0), libmono-system-windows-forms4.0-cil (>= 1.0), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7) Suggests: keepass2-doc, mono-dmcs, xdotool Size: 928962 SHA256: f0b8c8d8cf9eed00d496dc29105cc7b127c94412355e925962e418f477db75d1 SHA1: ac280fa9eb3da27dcdb73a4893c8cb93eaba60cc MD5sum: a47614cbcb6cfd03f60f2502f41c06bc Description: Password manager KeePass is a easy-to-use password manager for Windows, Linux, Mac OS X and mobile devices. You can store your passwords in highly-encrypted databases, which can only be unlocked with one master password and/or a key file. A database consists of only one file that can be transferred from one computer to another easily. KeePass can import data from various file formats. The password list can be exported to various formats, including TXT, HTML, XML and CSV files. Homepage: http://keepass.info/ Tag: role::program Section: utils Priority: optional Filename: pool/main/k/keepass2/keepass2_2.19+dfsg-2_all.deb Package: keepass2-doc Source: keepass2 Version: 2.19+dfsg-2 Installed-Size: 921 Maintainer: Debian CLI Applications Team Architecture: all Depends: keepass2 Suggests: xdotool Size: 534718 SHA256: a88a02273e05bfde2186c2a32a61c1cbc88c19d35281aafe691dd7f9f203b2ea SHA1: 150f977f565dd03f42f30237733c45a1c0a1f49f MD5sum: e0895a222c24fc83554b64f39f395bc6 Description: Password manager - Documentation KeePass is a easy-to-use password manager for Windows, Linux, Mac OS X and mobile devices. You can store your passwords in highly-encrypted databases, which can only be unlocked with one master password and/or a key file. A database consists of only one file that can be transferred from one computer to another easily. KeePass can import data from various file formats. The password list can be exported to various formats, including TXT, HTML, XML and CSV files. . This package contains the documentation. Homepage: http://keepass.info/ Tag: made-of::html, role::app-data, role::documentation Section: doc Priority: optional Filename: pool/main/k/keepass2/keepass2-doc_2.19+dfsg-2_all.deb Package: keepassx Version: 0.4.3+dfsg-0.1 Architecture: armhf Maintainer: Reinhard Tartler Installed-Size: 2920 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6, libxtst6 Homepage: http://www.keepassx.org/ Priority: optional Section: utils Filename: pool/main/k/keepassx/keepassx_0.4.3+dfsg-0.1_armhf.deb Size: 1101358 SHA256: 7b59c6e5548da2a823d0042f67d9b66d437521707c0ccaa7ae87c1937040083b SHA1: 14f09413f2157ca564b13896a4f0418bee597711 MD5sum: e69a2fd65ecb683662f2769ae846e244 Description: Cross Platform Password Manager KeePassX is a free/open-source password manager or safe which helps you to manage your passwords in a secure way. You can put all your passwords in one database, which is locked with one master key or a key-disk. So you only have to remember one single master password or insert the key-disk to unlock the whole database. The databases are encrypted using the algorithms AES or Twofish. Package: keepnote Version: 0.7.8-1 Installed-Size: 2805 Maintainer: Khalid El Fathi Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-gtk2, python-glade2, libsqlite3-0 Suggests: python-gnome2-extras Size: 547074 SHA256: 28c74c8940c749393d96481078abc9c995f69a84f4d2a6e4baca68a86b860144 SHA1: 63a45bce07e996814657cf9b8c578d7eb80aea1d MD5sum: 55a2959e4e62862ab76b482b04fc7a66 Description: cross-platform note-taking and organization application With KeepNote, you can store your class notes, TODO lists, research notes, journal entries, paper outlines, etc in a simple notebook hierarchy with rich-text formatting, images, and more. Using full-text search, you can retrieve any note for later reference. . KeepNote is designed to be cross-platform (implemented in Python and PyGTK) and stores your notes in simple and easy to manipulate file formats (HTML and XML). Archiving and transferring your notes is as easy as zipping or copying a folder. Homepage: http://keepnote.org Section: utils Priority: optional Filename: pool/main/k/keepnote/keepnote_0.7.8-1_all.deb Package: kelbt Version: 0.15-1 Architecture: armhf Maintainer: Robert Lemmen Installed-Size: 341 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Priority: extra Section: devel Filename: pool/main/k/kelbt/kelbt_0.15-1_armhf.deb Size: 109896 SHA256: 56a80145c75c106d0a397379d4ed7e006c5407fb39bf1c913f1751529507e56d SHA1: 50fba1aa0da390a50b71826b9274caf80403cff8 MD5sum: 3b2a9f69a8bbe82c5db25a2ce18e1ab3 Description: backtracking LR parser generator Kelbt generates backtracking LALR(1) parsers. Standard LALR(1) parser generators emit an error upon encountering a conflict in the parse tables. Kelbt forges onward, generating parsers which handle conflicts by backtracking at runtime. Kelbt is able to generate a parser for any context-free grammar and therefore implements a generalized parsing method. . Kelbt is different from other backtracking LR systems in two ways. First, it elevates backtracking to the level of semantic actions by introducing a class of actions called undo actions. Undo actions are invoked as the backtracker undoes parsing and allow the user to revert any side effects of forward semantic actions. This makes it possible to backtrack over language constructs which must modify global state in preparation for handling context dependencies. . Second, Kelbt enables a user-controlled parsing strategy which approximates that of generalized recursive-descent parsing with ordered choice. This makes it easy for the user to resolve language ambiguities by ordering the grammar productions of a non-terminal according to precedence. It is approximate in the sense that for most grammars the equivalent of an ordered choice parsing strategy is achieved. In cases where productions are parsed out of the order given, there is a simple grammar transformation which remedies the problem. . As a proof of concept, Kelbt has been used to write a partial C++ parser (included) which is composed of strictly a scanner, a name lookup stage and a grammar with standard semantic actions and semantic undo actions. Package: kephra Version: 0.4.3.32+dfsg-2 Installed-Size: 2272 Maintainer: Debian Perl Group Architecture: all Depends: perl, libconfig-general-perl, libfile-userconfig-perl, libwx-perl, libwx-perl-processstream-perl, libyaml-tiny-perl Size: 444552 SHA256: 07574c8d80e78fa88fd436250c8189209d3ad459e811691a7e0809ff0aa13603 SHA1: 1e2dd45adf34984c188879a4b7d6f739d98d0888 MD5sum: 6e8cd3bc6b3af454ae65125121f1b86a Description: gui text editor along Perl alike Paradigms Kephra is gui text editor writen in Perl but not only for Perl developers. . The features of Kephra are: - File: file sessions, history, simple templates, open all of a dir, insert, autosave by timer, save copy as, rename, close all other, detection if file where changed elsewhere - Editing: unlimited undo with fast modes, replace (clipboard and selection), line edit functions, move line/selection, indenting, block formating, delete trailing space, comment, convert (case, space or indention) rectangular selection with mouse and keyboard, auto- and braceindention - Navigation: bracenav, blocknav, doc spanning bookmarks, goto last edit, last doc, rich search, incremental search, searchbar and search dialog - Tools: run script (integrated output panel), notepad panel, color picker - Doc Property: syntax mode, codepage, tab use, tab width, EOL, write protection - View: all app parts and margins can be switched on and off, syntaxhighlighting bracelight, ight margin, indention guide, caret line, line wrap, EOL marker, visible whitespace, changeable font - Configs: config files to be opened through a menu: settings, all menus, commandID's, event binding, icon binding, key binding, localisation (translate just one file to transelate the app), syntaxmodes and some help texts to be opened as normal files Homepage: http://kephra.sourceforge.net Tag: devel::editor, implemented-in::perl, interface::x11, role::program, uitoolkit::wxwidgets, use::editing, x11::application Section: perl Priority: optional Filename: pool/main/k/kephra/kephra_0.4.3.32+dfsg-2_all.deb Package: kernel-package Version: 12.036+nmu3 Installed-Size: 1846 Maintainer: Manoj Srivastava Architecture: all Depends: build-essential, make (>= 3.80-10), po-debconf, gettext, file, debianutils (>= 2.30), binutils (>= 2.12), util-linux (>= 2.10o), module-init-tools (>= 0.9.10) Recommends: cpio Suggests: linux-source | kernel-source, e2fsprogs (>= 1.41.4), libncurses-dev, docbook-utils, xmlto, bzip2, linux-initramfs-tool, grub (>= 0.93) | grub2, jfsutils (>= 1.1.3), mcelog (>= 0.6), oprofile (>= 0.9), pcmciautils (>= 004), ppp (>= 2.4.0), procps (>= 3.2.0), reiserfsprogs (>= 3.6.3), squashfs-tools (>= 4.0), udev (>= 081), xfsprogs (>= 2.6.0), quota, btrfs-tools Size: 520106 SHA256: 843b30cbb47e30c5518414cfb360241cb4efb325704c17cf0e0766741f41871d SHA1: fd9036ce388d100f18e581aaeab69c05c766a1fb MD5sum: 909e88360709c55580d40cffff6149bc Description: A utility for building Linux kernel related Debian packages. This package provides the capability to create a Debian kernel image package by just running make-kpkg kernel_image in a kernel source directory tree. It can also package the relevant kernel headers into a kernel-headers package. In general, this package is very useful if you need to create a custom kernel, if, for example, the default kernel does not support some of your hardware, or you wish a leaner, meaner kernel. It also scripts the steps that need be taken to compile the kernel, which is quite convenient (forgetting a crucial step once was the initial motivation for this package). Please look at /usr/share/doc/kernel-package/Rationale.gz for a full list of advantages of this package. Tag: admin::kernel, devel::packaging, implemented-in::perl, interface::commandline, role::program, scope::utility, suite::debian, works-with::software:source Section: kernel Priority: optional Filename: pool/main/k/kernel-package/kernel-package_12.036+nmu3_all.deb Package: kernel-patch-atopacct Source: atoppatch Version: 1:1.23-1 Installed-Size: 116 Maintainer: Edelhard Becker Architecture: all Depends: bash (>= 2.0), grep-dctrl, kernel-patch-atopcnt, patch Enhances: kernel-patch-atopcnt Size: 18206 SHA256: ace26f0299d4b065aad53c3c47b4e50551308c4a266226c315f99cd92374145f SHA1: 0849336ca902affb9a1469558612ee8ab626d905 MD5sum: 5d4b14d66985630dfcdedf6cd4db097c Description: save additional statistical counters for atop in the record This patch takes care that the additional statistical counters are added to the process-accounting record (yes, this means an incompatible layout of this record). When this patch is installed, ATOP automatically shows the additional counters for terminated processes as well. Since the pid of processes is also stored in the modified process-accounting record, matching this info with the formerly running process is less time-consuming compared to the conventional process-accounting record. . Author: Gerlof Langeveld Homepage: http://www.atconsultancy.nl/atop/kernpatch.html Tag: admin::accounting, admin::kernel, implemented-in::c, role::source Section: kernel Priority: optional Filename: pool/main/a/atoppatch/kernel-patch-atopacct_1.23-1_all.deb Package: kernel-patch-atopcnt Source: atoppatch Version: 1:1.23-1 Installed-Size: 132 Maintainer: Edelhard Becker Architecture: all Depends: bash (>= 2.0), grep-dctrl, patch Enhances: atop Size: 22784 SHA256: eeac61889793bf6ef9faac2e47b3bfb186f047ace695db9e6bbb76fdfd466b5c SHA1: c162c07b96ec3383a4408cc23ecd3f03635ac937 MD5sum: 47faf889cea629696bbe1ee691f37ff5 Description: additional statistical counters for atop This patch takes care that statistical counters are added to the process-administration (task_struct) and that these counters are incremented per process. The additional counters are shown via the file /proc/pid/stat as a second line of counters. When this patch is installed, atop automatically shows another layout for the generic screen (including disk- and network-transfers for active processes). However for terminated process these additional counters are not shown, because the conventional layout of the process-accounting record has not been adapted. . Author: Gerlof Langeveld Homepage: http://www.atconsultancy.nl/atop/kernpatch.html Tag: admin::accounting, admin::kernel, implemented-in::c, role::source Section: kernel Priority: optional Filename: pool/main/a/atoppatch/kernel-patch-atopcnt_1.23-1_all.deb Package: kernel-patch-grsecurity2 Source: linux-patch-grsecurity2 Version: 2.9.1+3.2.21-201206221855-1 Installed-Size: 9 Maintainer: Laszlo Boszormenyi (GCS) Architecture: all Depends: linux-patch-grsecurity2 Size: 6826 SHA256: c6122a5172631ecc1a584d88b4bdc19751ec8e82c953edc17ca147ac4b6c9858 SHA1: 58ac31506f6523f1ce2a8c689ea171729a0f9d84 MD5sum: 9caa458faa8102bc38c36068884525f0 Description: transitional package for Debian Lenny This is a transitional package to linux-patch-grsecurity2 . . You may safely remove this package. Homepage: http://www.grsecurity.net/ Tag: admin::kernel, implemented-in::c, role::source Section: kernel Priority: extra Filename: pool/main/l/linux-patch-grsecurity2/kernel-patch-grsecurity2_2.9.1+3.2.21-201206221855-1_all.deb Package: kernel-patch-scripts Source: dh-kpatches Version: 0.99.36+nmu1 Installed-Size: 88 Maintainer: Yann Dirson Architecture: all Recommends: kernel-package Enhances: kernel-package Size: 15612 SHA256: e87c1f7681285b66763dd0de5476c0a181ce942c0703d1a00f50ac01482735c5 SHA1: bee43938bbf0cdd5ad6e57090ae522d6bce0f218 MD5sum: 6892a7d7a647b7b81703ea4249a6dce7 Description: Scripts to help dealing with packaged kernel patches This package contains scripts useful to people making use of the various kernel-patch packages. It currently contains: . * lskpatches: a tool that lists installed patches, and gives useful information about them. Tag: admin::kernel, interface::commandline, role::program, scope::utility, works-with::software:source Section: kernel Priority: optional Filename: pool/main/d/dh-kpatches/kernel-patch-scripts_0.99.36+nmu1_all.deb Package: kernel-patch-viewos Version: 0.20120115-2 Installed-Size: 454 Maintainer: Debian VSquare Team Architecture: all Depends: bash (>= 2.0), patch, grep-dctrl Enhances: umview Size: 243124 SHA256: ee55f476597823b0965ce11c327045650fc569c26dd0006644a6d796626857ef SHA1: 55086e23b7c6d488fe2db226c3eed4ce6275475e MD5sum: d8d615e2fa669c8ca368c92fae1c116a Description: View-OS - Kernel patch for better UMView performances View-OS is a novel approach to the process/kernel interface. The semantics of each system call can be assigned process by process giving the user the right to decide which view of the system each process has to join. Each process can "see" a different file system structure, networking resources, processor, devices. Moreover some of the resources can be provided by the user him/herself, thus these resource can be private to the single process and are not known a priori by the system. Groups of processes can share the same view but this is just an optimization to share management methods. . For more information, see http://wiki.virtualsquare.org . This package contains a couple of kernel patches that should be used together with UMView. Though not required, they consent dramatic improvements in performances. These patches are quite general and can also be used by other virtualization software (as User Mode Linux, or everything that uses the ptrace() system call) to increase performances. Homepage: http://view-os.sourceforge.net Tag: admin::kernel, implemented-in::c, role::source, works-with::software:source Section: kernel Priority: optional Filename: pool/main/k/kernel-patch-viewos/kernel-patch-viewos_0.20120115-2_all.deb Package: kernel-patch-wrr Source: wrr Version: 20021019-7 Installed-Size: 352 Maintainer: Jonas Smedegaard Architecture: all Depends: bash (>= 2.0), patch, grep-dctrl Suggests: kernel-source, kernel-package Size: 122070 SHA256: bda51a1f72cac8dc0be8d850e643706ffed0d7e71f3694bb49af84dd67e0cbc3 SHA1: 6fe37d2010f6dd8abde4ecd653094a1b07ceb962 MD5sum: 051179afcb65ebdd28e620e138875367 Description: Extension to traffic Control/network bandwidth management The WRR scheduler is an extension to the Traffic Control/network bandwidth management part of the Linux 2.2, 2.4 and 2.6 kernels. The scheduler was developed to support distributing bandwidth on a shared Internet connection fairly between local machines. . * As a default all local machines will get equally much of the bandwidth if they have sufficient demand. This is obtained by doing so-called weighted round robin (wrr) scheduling. * It is possible to give machines transferring much data over a long or short period of time less bandwidth. * It can work on a bridge, a router or on a firewall. * Supports accounting locally generated masqueraded packets to the correct local machine. * On the WRR home page an extension is available which includes patches for Squid and the Nec socks5 proxy servers so that proxied packets can also be accounted to the correct local machine. * Includes a configuration file based set of scripts that will setup everything without changing your basic network setup. The scripts will allow you to shape both incoming and outgoing traffic. Homepage: http://www.zz9.dk/wrr Tag: admin::kernel, implemented-in::c, network::configuration, network::firewall, network::routing, protocol::ethernet, protocol::ip, role::source, security::firewall, use::configuring, use::routing, works-with::network-traffic Section: kernel Priority: extra Filename: pool/main/w/wrr/kernel-patch-wrr_20021019-7_all.deb Package: kernel-wedge Version: 2.85 Installed-Size: 120 Maintainer: Debian Install System Team Architecture: all Depends: debhelper (>= 7.0.0), make Size: 44640 SHA256: 6e2ac477e03dcc9defa2465defdecef27b2679655b3e63a51b8f5bc50fa7893b SHA1: 1b4bfe0ac81410c4dc90630c7e8d9dda86ab2348 MD5sum: e67ebe1db10ac11487a517c7795f114d Description: udeb package builder for Debian-Installer kernel-wedge splits udeb packages out of a kernel image deb. The udebs are used by the Debian-Installer. Tag: admin::kernel, devel::buildtools, devel::debian, interface::commandline, role::program, scope::utility, suite::debian Section: utils Priority: optional Filename: pool/main/k/kernel-wedge/kernel-wedge_2.85_all.deb Package: kerneloops Version: 0.12+git20090217-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 27 Depends: kerneloops-applet Homepage: http://www.kerneloops.org/ Priority: extra Section: oldlibs Filename: pool/main/k/kerneloops/kerneloops_0.12+git20090217-3_armhf.deb Size: 3600 SHA256: cf1421d009dd691132123a351f1b703854f159bfb9430bab36d50e664eaac107 SHA1: ca1559ab8620d5232f52be13aca1cc0581f95778 MD5sum: 10911bc6dcbc306f8e8332358b73ad3c Description: kernel oops tracker (transitional package) This is a transitional package for splitting the kerneloops package into the kerneloops-daemon package and the kerneloops-applet package. In the future, the kerneloops package will contain only the kerneloops daemon, and not the applet. If you want the kerneloops applet, you should explicitly install the kerneloops-applet package. Package: kerneloops-applet Source: kerneloops Version: 0.12+git20090217-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 118 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.10.0), libnotify4 (>= 0.7.0), kerneloops-daemon (= 0.12+git20090217-3) Breaks: kerneloops (<< 0.12+git20090217-2) Replaces: kerneloops (<< 0.12+git20090217-2) Homepage: http://www.kerneloops.org/ Priority: optional Section: utils Filename: pool/main/k/kerneloops/kerneloops-applet_0.12+git20090217-3_armhf.deb Size: 16616 SHA256: 50cac74741844d5f449177670b5c350f62c18d03e6cf7599ff474e291e608bbc SHA1: af5e29bbafa70bc16762e58d673739145768016a MD5sum: 1bb8e0a148ab79b0a87e87de274cacda Description: applet for the kernel oops tracker The kerneloops applet allows the kerneloops crash reporting utility to ask a desktop user for permission before submitting an oops report to the kerneloops.org website. Package: kerneloops-daemon Source: kerneloops Version: 0.12+git20090217-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 77 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.14.0), lsb-base (>= 3.2-14) Breaks: kerneloops (<< 0.12+git20090217-2) Replaces: kerneloops (<< 0.12+git20090217-2) Homepage: http://www.kerneloops.org/ Priority: optional Section: utils Filename: pool/main/k/kerneloops/kerneloops-daemon_0.12+git20090217-3_armhf.deb Size: 15590 SHA256: 2e7646bec19622683c6ff8aac335acbdd582d91802ec352a4920bd42286a6597 SHA1: 4ddf8015e9fcc21a4192ab7282a2a4289270ac3b MD5sum: 8d9a92be5b68a1074c0e6ad44bcb65e1 Description: kernel oops tracker kerneloops is a daemon that collects kernel crash information and then submits the extracted signature to the kerneloops.org website for statistical analysis and presentation to the Linux kernel developers. Package: kerneltop Version: 0.8-2.1 Architecture: armhf Maintainer: Gustavo Franco Installed-Size: 57 Depends: libc6 (>= 2.7) Priority: optional Section: devel Filename: pool/main/k/kerneltop/kerneltop_0.8-2.1_armhf.deb Size: 9852 SHA256: 484dd9621012f070a84af7640d5e221af16381d9000a3b75076728501dd8835f SHA1: bf4cfe1de1da4f7a78d54601dedb4f6af0ed764f MD5sum: 635b39cdf535889ef282738df5615952 Description: shows linux kernel function usage in a style like top kerneltop shows linux kernel function information usage (modules not included) like top do for process, and is derived from readprofile. . It needs profiling enabled on kernel and on boot time. Package: ketchup Version: 1.0.1+git20111228+e1c62066-1 Installed-Size: 77 Maintainer: Johann Felix Soden Architecture: all Depends: python, patch, bzip2, xz-utils Size: 15360 SHA256: 5539ca1ef4b72fd20f278752627a9a2ca9f1cbc1d92fdb534dbed519860e2151 SHA1: 1f570d46adbba3c46ac0858fb798e69836c4d206 MD5sum: 5c580cf1d11a29739a01b915fc2314ae Description: update utility for linux-kernel sources This utility can update (or install) kernel sources automatically. It can search for the newest kernel in numerous trees (vanilla, rc, tiny, mm, mjb, etc.). . It can also automatically download the needed patches (and apply them) to create the newest repository from an old one. Homepage: https://github.com/psomas/ketchup Tag: admin::kernel, devel::buildtools, implemented-in::python, interface::commandline, role::program, scope::utility, use::downloading, works-with::archive, works-with::software:source Section: devel Priority: optional Filename: pool/main/k/ketchup/ketchup_1.0.1+git20111228+e1c62066-1_all.deb Package: ketm Version: 0.0.6-22 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 208 Depends: ketm-data (= 0.0.6-22), libc6 (>= 2.13-28), libsdl1.2debian (>= 1.2.11) Conflicts: ketm-data (<< 0.0.6-18) Replaces: ketm-data (<< 0.0.6-18) Homepage: http://sourceforge.net/projects/ketm-gg Priority: optional Section: games Filename: pool/main/k/ketm/ketm_0.0.6-22_armhf.deb Size: 79836 SHA256: 8e14a9b9635339ff0663fb7d7bead3589516cf272aa716a536d1c9c368958562 SHA1: 2ca3715c2fbf9060fe58e50daa085955aac832b2 MD5sum: 38b5af930e04cc6bb2a69a602821d42b Description: old school 2D-scrolling shooter Ketm is a hicolor/hiresolution classical game that has similarities with Raptor, Tyrian and Galaga. You are a spacepilot controlling your secret prototype ship. At regular interval's you get attacked by the bad guys. You have a mission to clean this sector from them (i.e. Kill Everything That Moves). Be sure to pick up some weapon and ship upgrades on the way. Package: ketm-data Source: ketm Version: 0.0.6-22 Installed-Size: 8904 Maintainer: Debian Games Team Architecture: all Replaces: ketm (<< 0.0.6-20) Size: 2232316 SHA256: 7f703d90203a34fe99bcc90db959e5582cfdd3805a0dd44c032effd5c3b9d165 SHA1: c37139cda4cfa586f555bb64ef3c624d7051cffd MD5sum: b1dccebc10711e6fe1b98f190ee86676 Description: graphics and audio data for ketm Ketm is a hicolor/hiresolution classical game that has similarities with Raptor, Tyrian and Galaga. You are a spacepilot controlling your secret prototype ship. At regular interval's you get attacked by the bad guys. You have a mission to clean this sector from them (i.e. Kill Everything That Moves). Be sure to pick up some weapon and ship upgrades on the way. . This package contains the architecture-independent data for ketm. For more information, see the ketm package. Homepage: http://sourceforge.net/projects/ketm-gg Tag: game::arcade, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/k/ketm/ketm-data_0.0.6-22_all.deb Package: keurocalc Version: 1.2.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 233 Depends: keurocalc-data, kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libkdecore5 (>= 4:4.4.4), libkdeui5 (>= 4:4.4.4), libkio5 (>= 4:4.4.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://opensource.bureau-cornavin.com/keurocalc/ Priority: optional Section: kde Filename: pool/main/k/keurocalc/keurocalc_1.2.0-1_armhf.deb Size: 79872 SHA256: 473b3cd256ae9e0404c92f6083ab96ae05288b48def03139a0cd2040713ab2b0 SHA1: 4990222bcb3af96121ef2322d3bd49954acc1ea8 MD5sum: 70aefe9dc4f71fdae851579a40c4d8cc Description: universal currency converter and calculator - binary package KEurocalc is a universal currency converter and calculator. It downloads latest exchange rates directly from the European Central Bank and Time Genie. Package: keurocalc-data Source: keurocalc Version: 1.2.0-1 Installed-Size: 2464 Maintainer: Debian KDE Extras Team Architecture: all Replaces: keurocalc Suggests: keurocalc Size: 1533540 SHA256: 5e8bc815d05c9dc17f1403d486e844189cc69a43c9f9e7c8c8a34bc78078e826 SHA1: a030888d3d240cc701affe7ab0c55e06d55d5ff7 MD5sum: 7630ab05977b5f4b2d50bc9d4d8f96cf Description: universal currency converter and calculator - data package KEurocalc is a universal currency converter and calculator. It downloads latest exchange rates directly from the European Central Bank and Time Genie. . This is a data package containing some files needed by the keurocalc binary package. Homepage: http://opensource.bureau-cornavin.com/keurocalc/ Tag: role::app-data Section: kde Priority: optional Filename: pool/main/k/keurocalc/keurocalc-data_1.2.0-1_all.deb Package: kexec-tools Version: 1:2.0.3-1+deb7u1 Architecture: armhf Maintainer: Khalid Aziz Installed-Size: 183 Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, debconf Homepage: http://kernel.org/pub/linux/utils/kernel/kexec/ Priority: optional Section: admin Filename: pool/main/k/kexec-tools/kexec-tools_2.0.3-1+deb7u1_armhf.deb Size: 65032 SHA256: a4f3017782d0701b66c8c7b202911996e2fd887b7c550f270f498eb9241731de SHA1: eeca46ea8421a44d043891f9640bb115758392cc MD5sum: d5d400fdaf80e6aaea5fa6c57b6e2e5d Description: tools to support fast kexec reboots This package provides tools to load a kernel into memory and then "reboot" directly into that kernel using the kexec system call, bypassing the normal boot process. Package: kexi Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 7713 Depends: calligra-libs (= 1:2.4.4-3), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libicu48 (>= 4.8-1), libkde3support4 (>= 4:4.4.0), libkdecore5 (>= 4:4.4.4-2~), libkdeui5 (>= 4:4.7.0), libkfile4 (>= 4:4.4.0), libkio5 (>= 4:4.4.0), libkrosscore4 (>= 4:4.4.0), libktexteditor4 (>= 4:4.4.0), libqt4-qt3support (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsqlite3-0 (>= 3.6.0), libstdc++6 (>= 4.4.0) Suggests: kexi-calligrasheets-driver, kexi-mysql-driver, kexi-postgresql-driver, kexi-xbase-driver, kexi-sybase-driver Conflicts: koffice-doc-html Replaces: koffice-doc-html Homepage: http://www.calligra-suite.org/kexi/ Priority: optional Section: database Filename: pool/main/c/calligra/kexi_2.4.4-3_armhf.deb Size: 3206546 SHA256: 91081f9fe21b84ab0b86a6452e00a4a2e122f7124647f98aec76897bc53fb03d SHA1: a45947bf17ae76b955bd7fe26ec661506f17f463 MD5sum: f6d7dcb4396c4f851264d3f551c2fbff Description: integrated database environment for the Calligra Suite Kexi is an integrated data management application. It can be used for creating database schemas, inserting data, performing queries, and processing data. Forms can be created to provide a custom interface to your data. All database objects - tables, queries and forms - are stored in the database, making it easy to share data and design. . Kexi is considered as a long awaited Open Source competitor for MS Access, Filemaker and Oracle Forms. Its development is motivated by the lack of Rapid Application Development (RAD) tools for database systems that are sufficiently powerful, inexpensive, open standards driven and portable across many operating systems and hardware platforms. . This package is part of the Calligra Suite. Package: kexi-calligrasheets-driver Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 110 Depends: calligrasheets (= 1:2.4.4-3), kexi (= 1:2.4.4-3), libc6 (>= 2.13-28), libkdecore5 (>= 4:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0) Homepage: http://www.calligra-suite.org/ Priority: optional Section: kde Filename: pool/main/c/calligra/kexi-calligrasheets-driver_2.4.4-3_armhf.deb Size: 22334 SHA256: 36312b2571499e138b1e260c348560ddab9d83fec540f4a9fbe625a508894146 SHA1: cb59451d444a6bd9129ea4cc1b89c092d4e9b9e8 MD5sum: ec934c6638494407ffe3f605fd60cce7 Description: Tables plugin for kexi Kexi is an integrated data management application. It can be used for creating database schemas, inserting data, performing queries, and processing data. Forms can be created to provide a custom interface to your data. All database objects - tables, queries and forms - are stored in the database, making it easy to share data and design. . This package provides support for Calligra Tables in Kexi. . This package is part of the Calligra Suite. Package: kexi-map-form-widget Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 125 Depends: kexi (>= 1:2.4.4-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libmarblewidget13 (>= 4:4.8.4), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Breaks: kexi (<< 1:2.3.70) Replaces: kexi (<< 1:2.3.70) Homepage: http://www.calligra-suite.org/ Priority: optional Section: database Filename: pool/main/c/calligra/kexi-map-form-widget_2.4.4-3_armhf.deb Size: 26292 SHA256: 0dde684b0826b53aa21d1a432f45170c23bfc5d803dd56cf4c372d81616ef474 SHA1: 52e42693e5004c5495e443ba789e9d2da240f062 MD5sum: a40e518d76cdba2e41ec444d4fa05496 Description: map form widget for Kexi Kexi is an integrated data management application. It can be used for creating database schemas, inserting data, performing queries, and processing data. Forms can be created to provide a custom interface to your data. All database objects - tables, queries and forms - are stored in the database, making it easy to share data and design. . This package provides a map widget for Kexi using the Marble library. . This package is part of the Calligra Suite. Package: kexi-mysql-driver Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 182 Depends: kexi (= 1:2.4.4-3), libc6 (>= 2.13-28), libkdecore5 (>= 4:4.4.0), libmysqlclient18 (>= 5.5.24+dfsg-1), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0) Breaks: kexi (<< 1:2.3.70) Replaces: kexi (<< 1:2.3.70) Homepage: http://www.calligra-suite.org/ Priority: optional Section: database Filename: pool/main/c/calligra/kexi-mysql-driver_2.4.4-3_armhf.deb Size: 50480 SHA256: dbe74f153868219a9be44c6aaddb77d092b9b9d3762905fc596888c69ddf50be SHA1: d0c3242e57efe5a5c7ac3f04e50dc2c952e3df8a MD5sum: 643a358ccdcd5be320c7a61b71f2b791 Description: MySQL support for kexi Kexi is an integrated data management application. It can be used for creating database schemas, inserting data, performing queries, and processing data. Forms can be created to provide a custom interface to your data. All database objects - tables, queries and forms - are stored in the database, making it easy to share data and design. . This package provides support for MySQL in Kexi. . This package is part of the Calligra Suite. Package: kexi-plugin-kspread Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kexi-calligrasheets-driver Size: 1864 SHA256: ff666e7d7a35625a679c65c211f9baaed0ee6cbd94f8f7d01cbdaac0e8449155 SHA1: 9ec7b8e2b2a0d0789d6ee9af14d06139c19279ad MD5sum: c9a3f6f49164858ebd0b0fb3f7f6e54b Description: transitional dummy package for kexi-calligrasheets-driver This transitional package allows one to migrate to the newer name of the KSpread plugin for Kexi. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: role::plugin, suite::kde, works-with::spreadsheet Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/kexi-plugin-kspread_2.4.3+2_all.deb Package: kexi-plugin-mysql Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kexi-mysql-driver Size: 1856 SHA256: 97e429522f3ce2c6a62b0d6db7bbdf3ebb613cf193098ec169d5817109051f9c SHA1: da0150e5923cdeaa084eff9a3ac9bfe6062dca36 MD5sum: d65f01b22cc12849648df492e30adf5a Description: transitional dummy package for kexi-mysql-driver This transitional package allows one to migrate to the newer name of the MySQL plugin for Kexi. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: role::plugin, suite::kde, works-with::db Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/kexi-plugin-mysql_2.4.3+2_all.deb Package: kexi-plugin-postgresql Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kexi-postgresql-driver Size: 1864 SHA256: ff3439dd1de580e317b29b5b9d9e32ed7afa4ff3f96e99ee78da927cbd3596e4 SHA1: d9f2f72d590cd68d050cd00d8d85c53473249e11 MD5sum: 04ca90d9fd98dfb32b54e3b145e16a3a Description: transitional dummy package for kexi-postgresql-driver This transitional package allows one to migrate to the newer name of the PostgreSQL plugin for Kexi. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: role::plugin, suite::kde, works-with::db Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/kexi-plugin-postgresql_2.4.3+2_all.deb Package: kexi-plugin-xbase Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kexi-xbase-driver Size: 1854 SHA256: 3cfe1d939c30d87f8336358082fde9ee82688f77b1ac35b860ab8a9dd5b01fa9 SHA1: 18bdabe9eb34c8d0f044d94e444276d8bcba1911 MD5sum: 78baab506965c6ba91b323cec9fd736f Description: transitional dummy package for kexi-xbase-driver This transitional package allows one to migrate to the newer name of the XBase plugin for Kexi. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: role::plugin, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/kexi-plugin-xbase_2.4.3+2_all.deb Package: kexi-postgresql-driver Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 231 Depends: kexi (= 1:2.4.4-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libpqxx-3.1, libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0) Breaks: kexi (<< 1:2.3.70) Replaces: kexi (<< 1:2.3.70) Homepage: http://www.calligra-suite.org/ Priority: optional Section: database Filename: pool/main/c/calligra/kexi-postgresql-driver_2.4.4-3_armhf.deb Size: 72170 SHA256: 8bade110eeb582525a86d2ecf001a52248fa05f991bda85b73c5435c7b429093 SHA1: 0aea44873a6cbeb8f12b109716b50e44b4b5d98a MD5sum: dc89bfc2f72899c123f8e10856c77f2e Description: PostgreSQL support for kexi Kexi is an integrated data management application. It can be used for creating database schemas, inserting data, performing queries, and processing data. Forms can be created to provide a custom interface to your data. All database objects - tables, queries and forms - are stored in the database, making it easy to share data and design. . This package provides support for PostgreSQL in Kexi. . This package is part of the Calligra Suite. Package: kexi-sybase-driver Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 198 Depends: kexi (= 1:2.4.4-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0), libsybdb5 (>= 0.63) Breaks: kexi (<< 1:2.3.70) Replaces: kexi (<< 1:2.3.70) Homepage: http://www.calligra-suite.org/ Priority: optional Section: database Filename: pool/main/c/calligra/kexi-sybase-driver_2.4.4-3_armhf.deb Size: 56370 SHA256: d7466eeacf672d2c703de8c7240ed98e45da3ac2b4fa1911e22dd2048dbc8fe9 SHA1: 997237ec5964c15ab2477fa82f327e6926836e61 MD5sum: d2d73b56598ec5da5f066bb72f8656ca Description: Sybase support for kexi Kexi is an integrated data management application. It can be used for creating database schemas, inserting data, performing queries, and processing data. Forms can be created to provide a custom interface to your data. All database objects - tables, queries and forms - are stored in the database, making it easy to share data and design. . This package provides support for Sybase in Kexi. . This package is part of the Calligra Suite. Package: kexi-web-form-widget Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 125 Depends: kexi (>= 1:2.4.4-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.4.0), libqtcore4 (>= 4:4.6.1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0) Breaks: kexi (<< 1:2.3.70) Replaces: kexi (<< 1:2.3.70) Homepage: http://www.calligra-suite.org/ Priority: optional Section: database Filename: pool/main/c/calligra/kexi-web-form-widget_2.4.4-3_armhf.deb Size: 26404 SHA256: 3ab98bdf68aec73b7486d7fd575c7e6589f07c1c78faa05102c456678388cdee SHA1: f108b3833297400b2607caa39371542d98468a35 MD5sum: 54b9604723e98371a5b70b364d1e897b Description: web form widget for Kexi Kexi is an integrated data management application. It can be used for creating database schemas, inserting data, performing queries, and processing data. Forms can be created to provide a custom interface to your data. All database objects - tables, queries and forms - are stored in the database, making it easy to share data and design. . This package provides a web widget for Kexi using QtWebKit. . This package is part of the Calligra Suite. Package: kexi-xbase-driver Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 180 Depends: kexi (= 1:2.4.4-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0), libxbase2.0-0 Breaks: kexi (<< 1:2.3.70) Replaces: kexi (<< 1:2.3.70) Homepage: http://www.calligra-suite.org/ Priority: optional Section: database Filename: pool/main/c/calligra/kexi-xbase-driver_2.4.4-3_armhf.deb Size: 51000 SHA256: 6fe5932bce4abd317e63abafbd65e30bb9fc6d7474e8671574d6768c308841df SHA1: 1f8d9945ac55441404a4a52b36dda98b62354772 MD5sum: 5d9c2828df06b7897d08ae74051e6c10 Description: XBase support for kexi Kexi is an integrated data management application. It can be used for creating database schemas, inserting data, performing queries, and processing data. Forms can be created to provide a custom interface to your data. All database objects - tables, queries and forms - are stored in the database, making it easy to share data and design. . This package provides support for XBase in Kexi. . This package is part of the Calligra Suite. Package: key-mon Version: 1.13-1 Installed-Size: 2396 Maintainer: Andrea Colangelo Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-xlib, python-gtk2 Size: 188492 SHA256: 08ca6c5e4c5c8584c05f83d4db662758316f2439473dc39570d19d1a40071e7b SHA1: e6f106c5e396190c3c91cc25e15b27778cc94d93 MD5sum: 0a6c815c52250021039dab1be79e5459 Description: Utility to show live keyboard and mouse status key-mon shows on the desktop indicators of current keyboard and mouse status, and updates them live when you press keys or mouse buttons. It is very useful for teaching, live presentation and screencasts to show the user what keys/buttons you are pressing. . Features include several different themes, scalability to any size, support for super key and mouse wheel, support for multiple mouses/keyboards. Homepage: https://code.google.com/p/key-mon Tag: implemented-in::python, role::program Section: utils Priority: optional Filename: pool/main/k/key-mon/key-mon_1.13-1_all.deb Package: keyanalyze Source: signing-party Version: 1.1.4-1 Installed-Size: 12 Maintainer: Thijs Kinkhorst Architecture: all Depends: signing-party (>= 1.1) Size: 10856 SHA256: fb51831577b1c14c8173bd2137f083b41820c176c7c2916b0a6f4757262786f9 SHA1: fd0f6d8a3207999ba1459233ee1779604943e685 MD5sum: ec948b76d189f6cbeb68db38eb13775a Description: transitional package to pull in signing-party This is an empty package to pull in signing-party in which keyanalyze is now included. It can safely be removed. Homepage: http://pgp-tools.alioth.debian.org/ Tag: implemented-in::c, implemented-in::perl, implemented-in::php, interface::commandline, role::program, scope::utility, security::cryptography, works-with::image, works-with::image:vector Section: utils Priority: optional Filename: pool/main/s/signing-party/keyanalyze_1.1.4-1_all.deb Package: keyboard-configuration Source: console-setup Version: 1.88 Installed-Size: 2283 Maintainer: Debian Install System Team Architecture: all Replaces: console-setup (<< 1.47), console-setup-mini (<< 1.47) Depends: liblocale-gettext-perl, initscripts Pre-Depends: debconf (>= 1.5.34) Breaks: console-setup (<< 1.71), console-setup-mini (<< 1.47) Size: 607364 SHA256: 4095f0a18d961c5e059c2ea91e2e64352beb18c9dbea7eb210195f26797a1088 SHA1: e5eb4907b5172eff441c94206ae1e4312864e4de MD5sum: bffc7052fd8f607723e708ec2af16598 Description: system-wide keyboard preferences This package maintains the keyboard preferences in /etc/default/keyboard. Other packages can use the information provided by this package in order to configure the keyboard on the console or in X Window. Tag: admin::configuring, hardware::input:keyboard, implemented-in::c, implemented-in::shell, role::program, use::configuring Section: utils Priority: optional Filename: pool/main/c/console-setup/keyboard-configuration_1.88_all.deb Package: keyboards-rg Version: 0.2 Installed-Size: 91 Maintainer: Radovan Garabík Architecture: all Depends: xserver-xorg, xkb-data (>= 0.8-8) Suggests: xbase-clients Size: 13290 SHA256: e2ec88c662959ef73f007d221fcf2020d861dd999a1e07847223f844f9653c33 SHA1: c122f14c73fd5a947c6b686235331f687e3756d7 MD5sum: 86f4536d59165413f075e654eac05f0e Description: Various keyboard layouts for X-window and linux console keyboards-rg includes several keyboard layouts. Currently there is a Slovak programmers keyboard, Pan-cyrillic yawerty keyboard with Russian, Ukrainian and Belarusia variants and an Esperanto keyboard for the X-window system. Tag: culture::esperanto, culture::russian, culture::slovak, culture::ukrainian, hardware::input:keyboard Section: misc Priority: optional Filename: pool/main/k/keyboards-rg/keyboards-rg_0.2_all.deb Package: keychain Version: 2.7.1-1 Installed-Size: 92 Maintainer: Cesar Mendoza Architecture: all Depends: openssh-client | ssh-client, grep (>= 2.4.2-1) Suggests: gnupg-agent, ssh-askpass Size: 42148 SHA256: 3b0faf2c9be1d707e56726f6639ccdd8dafb2dac287b363ae889bc8b36060b55 SHA1: 55016b2acf56cfb7993c7409202deea3fa66378c MD5sum: 848dbcfcb27aafb333db16ce8ad2e49f Description: key manager for OpenSSH Keychain is an OpenSSH key manager, typically run from ~/.bash_profile. When keychain is run, it checks for a running ssh-agent, otherwise it starts one. It saves the ssh-agent environment variables to ~/.keychain/\$\{HOSTNAME\}-sh, so that subsequent logins and non-interactive shells such as cron jobs can source the file and make passwordless ssh connections. In addition, when keychain runs, it verifies that the key files specified on the command-line are known to ssh-agent, otherwise it loads them, prompting you for a password if necessary. Tag: implemented-in::shell, interface::daemon, network::server, protocol::ssh, role::program, security::cryptography, use::login Section: net Priority: extra Filename: pool/main/k/keychain/keychain_2.7.1-1_all.deb Package: keyjnote Source: impressive Version: 0.10.3-2 Installed-Size: 41 Maintainer: Yaroslav Halchenko Architecture: all Depends: impressive Size: 5060 SHA256: 0e4ebbc73a615da90874a3ff001a08d5b47a9929ca0da6e366b90f727848d194 SHA1: 08d63439ed3db2155312556fbba2e939fbdd95c5 MD5sum: 49bd1337e06a34ae143f1f1dccf94c50 Description: PDF presentation tool with eye candies (transition package) Package to ease upgrading for previousely installed versions of keyjnote, which was later renamed into impressive. Also it provides symbolic links from keyjnote to impressive module and executable. . This package can be purged at anytime once the impressive package has been installed and user comprehends the change of the project name. Homepage: http://impressive.sourceforge.net/ Tag: implemented-in::python, interface::x11, office::presentation, role::program, scope::utility, uitoolkit::gtk, use::viewing, works-with-format::pdf, works-with::image, works-with::image:raster, x11::application Section: x11 Priority: extra Filename: pool/main/i/impressive/keyjnote_0.10.3-2_all.deb Package: keylaunch Version: 1.3.9 Architecture: armhf Maintainer: Stefan Pfetzing Installed-Size: 47 Depends: libc6 (>= 2.4), libx11-6 Priority: optional Section: x11 Filename: pool/main/k/keylaunch/keylaunch_1.3.9_armhf.deb Size: 7854 SHA256: 8831aefe2e5f52b34d8b525967f8e10ef024070ab121763fcfaf3d02881dcaad SHA1: 33bc77357f205ee078bcf824252f0cb35b5c0e83 MD5sum: b6188ed65379390c316d015b891eb6c3 Description: A small utility for binding commands to a hot key KeyLaunch is a small utility for binding commands to a hot key. It reads a configuration file in .keylaunchrc. KeyLaunch uses Ctrl, Alt and Shift as modifier keys, the hotkey is up to the user. Package: keymapper Version: 0.5.3-10.1 Installed-Size: 304 Maintainer: Colin Watson Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), xkb-data | xkeyboard-config, yapps2-runtime (>= 2.1.1-17) Suggests: graphviz Size: 53720 SHA256: 499c9564fa34e60153d21e7a91ae34347322ca2220a5c5dd6d398f8902315d53 SHA1: 0c7a62385126169dcf37b31e4edef06350e35a94 MD5sum: 683b15535e6a1c6f0950164f06d554c6 Description: Keyboard map decision tree builder and interpreter This package implements an alternate way to let a user decide which keyboard map to use. It generates a decision tree and then asks the user to press a couple of keys. Depending on which keycode is returned, the list of possible keyboards is pruned until there is only one left. Tag: hardware::input:keyboard, implemented-in::python, role::program Section: utils Priority: optional Filename: pool/main/k/keymapper/keymapper_0.5.3-10.1_all.deb Package: keynav Version: 0.20110708.0-1 Architecture: armhf Maintainer: Axel Beckert Installed-Size: 86 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.12.0), libx11-6, libxdo2 (>= 1:2.20100601.2912), libxext6, libxinerama1 Homepage: http://www.semicomplete.com/projects/keynav/ Priority: extra Section: utils Filename: pool/main/k/keynav/keynav_0.20110708.0-1_armhf.deb Size: 27190 SHA256: a2279c372c7e5f225e9ba034e55c58a25d68017323f06594b3c2de01e92ff25b SHA1: 93254a74069afd31b4496f0d4feaccce76e5ed7b MD5sum: 19b4adfb0d6e2c83ce923971c2013aec Description: keyboard-driven mouse cursor mover Keynav makes your keyboard a fast mouse cursor mover. You can move the cursor to any point on the screen with a few key strokes. It also simulates mouse click. You can do everything mouse can do with a keyboard. Package: keystone Version: 2012.1.1-13+wheezy1 Installed-Size: 110 Maintainer: PKG OpenStack Architecture: all Depends: python, debconf (>= 0.5) | debconf-2.0, python-keystone (= 2012.1.1-13+wheezy1), adduser, lsb-base (>= 3.0-6), dbconfig-common, python-keystoneclient Size: 18598 SHA256: 4c627e71a1a5dd6fe4a1007eef7b8815e41047637db17c5204ec78a65bb3e0c9 SHA1: 4dac74564c69b83581a96f912da1c5c52d4c4ccd MD5sum: 512ce6c7ce9242843f0e9b7fb03cd90e Description: OpenStack identity service This is the identity service used by OpenStack for authentication (authN) and high-level authorization (authZ). It currently supports token-based authN with user/service authZ, and is scalable to support OAuth, SAML, and OpenID in future versions. Out of the box, Keystone uses SQLite for its identity store database, with the option to connect to external LDAP. . This package contains the daemons. Homepage: http://launchpad.net/keystone Section: python Priority: extra Filename: pool/main/k/keystone/keystone_2012.1.1-13+wheezy1_all.deb Package: keystone-doc Source: keystone Version: 2012.1.1-13+wheezy1 Installed-Size: 1412 Maintainer: PKG OpenStack Architecture: all Depends: libjs-jquery, libjs-underscore Size: 240726 SHA256: 6d067d97dc0fc7d7c7e36c6036418b4e2260aae22986ad5d2a9b4dbe5fe111f7 SHA1: 691eacf79a4466245c4ba2493593116d27abd853 MD5sum: 4bf57bdfa4f80066c797ba86d8bb9a6d Description: OpenStack identity service - documentation This is the identity service used by OpenStack for authentication (authN) and high-level authorization (authZ). It currently supports token-based authN with user/service authZ, and is scalable to support OAuth, SAML, and OpenID in future versions. Out of the box, Keystone uses SQLite for its identity store database, with the option to connect to external LDAP. . This package contains the documentation. Homepage: http://launchpad.net/keystone Tag: admin::virtualization, hardware::storage, role::documentation, suite::openstack Section: doc Priority: extra Filename: pool/main/k/keystone/keystone-doc_2012.1.1-13+wheezy1_all.deb Package: keytouch-editor Version: 1:3.2.0~beta-3 Architecture: armhf Maintainer: Luke Cycon Installed-Size: 310 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0) Recommends: menu Homepage: http://keytouch.sourceforge.net/ Priority: optional Section: admin Filename: pool/main/k/keytouch-editor/keytouch-editor_3.2.0~beta-3_armhf.deb Size: 91810 SHA256: 53d6d4725727bc3c987dd397ff8ee0b6b71ae3ea7babb993cdf9f1d8dc226766 SHA1: 1682ecf5a0437eb80dd815f4fc43108362042071 MD5sum: a9be9c12c593c8c55f84d4e742022e9e Description: create keyboard files for keytouch KeyTouch Editor should be used to create configuration files for KeyTouch, a program to configure extra function keys in multimedia keyboards, in case your keyboard is not yet supported. Package: keyutils Version: 1.5.5-3+deb7u1 Architecture: armhf Maintainer: Christian Kastner Installed-Size: 134 Depends: libc6 (>= 2.13-28), libkeyutils1 Homepage: http://people.redhat.com/~dhowells/keyutils/ Priority: optional Section: admin Filename: pool/main/k/keyutils/keyutils_1.5.5-3+deb7u1_armhf.deb Size: 33370 SHA256: 3c19dcf47e00abd65fa71509390e197f12121ac75ca6385c45404b075ac6a235 SHA1: a02dfb07efc6007681ce32355a920317c61b9d7c MD5sum: 0e66553327d87ad7a7e6aa9f9cb437af Description: Linux Key Management Utilities Keyutils is a set of utilities for managing the key retention facility in the kernel, which can be used by filesystems, block devices and more to gain and retain the authorization and encryption keys required to perform secure operations. Package: keyutils-dbg Source: keyutils Version: 1.5.5-3+deb7u1 Architecture: armhf Maintainer: Christian Kastner Installed-Size: 123 Depends: keyutils (= 1.5.5-3+deb7u1), libkeyutils1 (= 1.5.5-3+deb7u1), libkeyutils-dev (= 1.5.5-3+deb7u1) Homepage: http://people.redhat.com/~dhowells/keyutils/ Priority: extra Section: debug Filename: pool/main/k/keyutils/keyutils-dbg_1.5.5-3+deb7u1_armhf.deb Size: 47568 SHA256: cd233f4f6339ed7d2278442316226554fa5f51fe0400a0f3928e44a23b83a494 SHA1: 5acea49c9c5907632b619b777bb1018bf294ac9e MD5sum: dcacdfd52f187ff9a07aeacfad43bf2f Description: Linux Key Management Utilities (debug) Keyutils is a set of utilities for managing the key retention facility in the kernel, which can be used by filesystems, block devices and more to gain and retain the authorization and encryption keys required to perform secure operations. . This package contains the debugging symbols. Package: kfilereplace Source: kdewebdev Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 813 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkde3support4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libkparts4 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://kdewebdev.org/ Priority: optional Section: kde Filename: pool/main/k/kdewebdev/kfilereplace_4.8.4-1_armhf.deb Size: 467304 SHA256: 5853964acb3214b2b677d9698bdbe3433387d4e756daa96d24897e07cda4653a SHA1: d1cc33ab486804f400803fc9f0654e3a81a5be0b MD5sum: 7c1be6700f8e3e27bc07c839c320e57d Description: batch search-and-replace component KFileReplace is an embedded component for KDE that acts as a batch search-and-replace tool. It allows you to replace one expression with another in many files at once. . This package is part of KDE web development module. Package: kfind Source: kde-baseapps Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 350 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkfile4 (>= 4:4.8), libkio5 (>= 4:4.8), libkonq5abi1 (>= 4:4.6.1), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.1.1) Homepage: http://www.kde.org/ Priority: optional Section: utils Filename: pool/main/k/kde-baseapps/kfind_4.8.4-2_armhf.deb Size: 95084 SHA256: be2329fcc6ff94b0741ce9fa462e41dd4cd51461a96ffd152c6d1364b3686755 SHA1: 0a1671f5aae433d8929bfc06ed64c2f8612f2484 MD5sum: a07cef55fd7719a926373816d62350c9 Description: file search utility KFind can be used to find files and directories on your system. . This package is part of the KDE base applications module. Package: kfloppy Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 242 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkde3support4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://www.kde.org Priority: optional Section: utils Filename: pool/main/k/kfloppy/kfloppy_4.8.4-1_armhf.deb Size: 73510 SHA256: 114b964ea27809bfd6cb287eac8cd285197b010c63f32790abc96babe86d0e6c SHA1: 5ae773d039af3a14267783a8fd2386226e525a49 MD5sum: c72d9ab950147362d6136d053c94d090 Description: floppy formatter Kfloppy is a utility for formatting floppy disks. . This package is part of the KDE SC utilities module. Package: kfourinline Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 5073 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kfourinline_4.8.4-3_armhf.deb Size: 300588 SHA256: 2401d698951feafd6f3bd55e1ac2719899f3d033d9d3f515f89fb0663289da05 SHA1: bb7a42f30c18ae1cfc849948bebf86070387a155 MD5sum: c1c88bfa61d2a44d185e04116abbd462 Description: Connect Four game KFourInLine is a game where two players take turns dropping pieces into a grid, the winner being the first to place four pieces in a line. . This package is part of the KDE games module. Package: kfreebsd-headers-8.3-1 Source: kfreebsd-8 Version: 8.3-6+deb7u1 Architecture: armhf Maintainer: GNU/kFreeBSD Maintainers Installed-Size: 180 Priority: optional Section: kernel Filename: pool/main/k/kfreebsd-8/kfreebsd-headers-8.3-1_8.3-6+deb7u1_armhf.deb Size: 50284 SHA256: 973cf771a319a2e5986ec607b6dd8cc350b7d254142f5f9a9ec38f62cf8c3fb3 SHA1: a4c91e149805a53d8f274f3b77b82ad0b36a2182 MD5sum: b8114937f02455d1d3242609be7024c6 Description: Common architecture-specific header files for kernel of FreeBSD 8.3 This package provides the architecture-specific common kernel header files for kernel of FreeBSD 8.3, generally used for building out-of-tree kernel modules. To obtain a complete set of headers you also need to install the kfreebsd-headers-8.3-1-(flavour) package, matching the flavour of the kernel you intend the build for. To obtain such a set for the currently running kernel it is sufficient to run a command . apt-get install kfreebsd-headers-$(uname -r) . and it will be unpacked in /usr/src/kfreebsd-headers-8.3-1-(flavour) Package: kfreebsd-headers-9.0-2 Source: kfreebsd-9 Version: 9.0-10+deb70.10 Architecture: armhf Maintainer: GNU/kFreeBSD Maintainers Installed-Size: 158 Priority: optional Section: kernel Filename: pool/main/k/kfreebsd-9/kfreebsd-headers-9.0-2_9.0-10+deb70.10_armhf.deb Size: 52548 SHA256: fa8fad5bf3d171ede4194d47fd50279fe94f00d2be2fc363b0ff16958afaa6fb SHA1: 219dd7ed94a04610684c348f248ca745bfb28a65 MD5sum: fc6df2435b93671a70d0571c193f9b53 Description: Common architecture-specific header files for kernel of FreeBSD 9.0 This package provides the architecture-specific common kernel header files for kernel of FreeBSD 9.0, generally used for building out-of-tree kernel modules. To obtain a complete set of headers you also need to install the kfreebsd-headers-9.0-2-(flavour) package, matching the flavour of the kernel you intend the build for. To obtain such a set for the currently running kernel it is sufficient to run a command . apt-get install kfreebsd-headers-$(uname -r) . and it will be unpacked in /usr/src/kfreebsd-headers-9.0-2-(flavour) Package: kfreebsd-source-8.3 Source: kfreebsd-8 Version: 8.3-6+deb7u1 Installed-Size: 19622 Maintainer: GNU/kFreeBSD Maintainers Architecture: all Provides: kfreebsd-source, kfreebsd-source-8 Recommends: freebsd-buildutils (>= 7) Size: 19991036 SHA256: 4bd8f6d35053b23f22b657f7ee1398bc46fc8a0a21c775fa9416cc6a383bfa9d SHA1: 09f7bbfc34ba65cd1cac3c3bd5deffa2eede059e MD5sum: a532e5141ef42034e2b15f6df0f06522 Description: source code for kernel of FreeBSD 8.3 with Debian patches This package provides the source code for kernel of FreeBSD 8.3, base of a GNU/kFreeBSD system. Section: kernel Priority: optional Filename: pool/main/k/kfreebsd-8/kfreebsd-source-8.3_8.3-6+deb7u1_all.deb Package: kfreebsd-source-9.0 Source: kfreebsd-9 Version: 9.0-10+deb70.10 Installed-Size: 21420 Maintainer: GNU/kFreeBSD Maintainers Architecture: all Provides: kfreebsd-source, kfreebsd-source-9 Size: 21832448 SHA256: 5f9bd0ddaa6f91300c2c63f86721ebe56e22485a84fc23f84b1300792f620732 SHA1: d69c1fc7b592f68c75f437c87df7b37d830eaa25 MD5sum: 6f90a77063f57288f077588f89a775c5 Description: source code for kernel of FreeBSD 9.0 with Debian patches This package provides the source code for kernel of FreeBSD 9.0, base of a GNU/kFreeBSD system. Recommends: freebsd-buildutils (>= 7) Section: kernel Priority: optional Filename: pool/main/k/kfreebsd-9/kfreebsd-source-9.0_9.0-10+deb70.10_all.deb Package: kftpgrabber Version: 0.8.99~svn1214766-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1370 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.4.95), libkde3support4 (>= 4:4.3.4), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkdnssd4 (>= 4:4.3.4), libkemoticons4 (>= 4:4.4.95), libkfile4 (>= 4:4.3.4), libkidletime4 (>= 4:4.4.95), libkio5 (>= 4:4.3.4), libkparts4 (>= 4:4.3.4), libkprintutils4 (>= 4:4.4.95), libkutils4, libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.6.1), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libsoprano4 (>= 2.1.1), libssh2-1 (>= 1.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0) Homepage: http://www.kftp.org Priority: optional Section: kde Filename: pool/main/k/kftpgrabber/kftpgrabber_0.8.99~svn1214766-1_armhf.deb Size: 673914 SHA256: c860eba7ad9551f3177f01ea484bbb1b428de55f6699b84f9ed33caf604bfd54 SHA1: 06b188f51f8ce1d8714e921c33f8ffd99b44a389 MD5sum: 4d975654383e9d77b231c057de4907c0 Description: ftp client for KDE KFTPgrabber is a graphical FTP client for the K Desktop Environment. It implements many features required for usable FTP interaction. . Feature list: - Multiple simultaneous FTP sessions in separate tabs - A tree-oriented transfer queue - TLS/SSL support for the control connection and the data channel - X509 certificate support for authentication - FXP site-to-site transfer support - One-time password (OTP) support using S/KEY, MD5, RMD160 or SHA1 - Site bookmarks with many options configurable per-site - Distributed FTP daemon support (implementing the PRET command) - Can use Zeroconf for local site discovery - Bookmark import plugins from other FTP clients - Support for the SFTP protocol - A nice traffic graph - Ability to limit upload and download speed - Priority and skip lists - Integrated SFV checksum verifier - Direct viewing/editing of remote files - Advanced default "on file exists" action configuration - Filter displayed files/directories as you type Package: kgamma Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 189 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6, libxxf86vm1 Suggests: khelpcenter4 Homepage: http://www.kde.org/ Priority: optional Section: graphics Filename: pool/main/k/kgamma/kgamma_4.8.4-2_armhf.deb Size: 38334 SHA256: dd65f24737c35e164a4402bb14f31b0bfdd3bbd63a21a3b3123ae064c8907bfb SHA1: 83a2fa3e314cc63ab6775d89a010ab3082768bd8 MD5sum: 8a49e66b0ea28da1c8b505dc5a105749 Description: monitor calibration panel for KDE This package contains a settings panel for adjusting the brightness, contrast, and gamma-correction of a monitor. Test patterns are shown to help determine the settings that accurately display the full range of colors. . Each of the red, green, and blue components can be adjusted individually, or all three components can be adjusted together. . This package is part of the KDE graphics module. Package: kgb Version: 1.0b4+ds-13.2 Architecture: armhf Maintainer: Raphael Geissert Installed-Size: 108 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://kgbarchiver.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/k/kgb/kgb_1.0b4+ds-13.2_armhf.deb Size: 38036 SHA256: 8403a1843e2ceaa0e8835e9f35e5a2c863cd2420ef1c5fa36e520dd4cdd959d4 SHA1: 1db7615f9fa5397d2f2c3bc386963be45b48f5ff MD5sum: 8f5c9770a67d136f5dc049125d6276bf Description: Archiver for .kgb files This is an archiver (compressor/decompressor) for files in the KGB format, which provides high compression rates at the expense of memory and CPU time. . This package contains the KGB archiver based on the PAQ6 archiver by Matt Mahoney. Package: kgb-bot Version: 1.15-2 Installed-Size: 88 Maintainer: Debian KGB Maintainers Architecture: all Depends: perl, adduser, libpoe-component-irc-perl (>= 5.56), libpoe-component-server-soap-perl, libpoe-perl, libproc-pid-file-perl, libschedule-ratelimiter-perl, libyaml-perl, kgb-client (>= 1.15) Suggests: polygen, libipc-run-perl, libfile-which-perl Size: 24202 SHA256: 1930ec8b7fe9b4b1a018e55a6f44feed08a4cd4ed318fa86217ebcda3924bd88 SHA1: ef7602bef8e7925717bd0a38ad37c3be34840d6c MD5sum: b765a679d51a3e719432b3329c1daa41 Description: IRC collaboration bot KGB is an IRC bot, helping people work together by notifying an IRC channel when a commit occures. . It supports multiple repositories/IRC channels and is fully configurable. . This package contains the server-side daemon, kgb-bot, which is responsible for relaying commit notifications to IRC. Homepage: http://kgb.alioth.debian.org/ Tag: implemented-in::perl, interface::daemon, protocol::irc, role::program Section: devel Priority: optional Filename: pool/main/k/kgb-bot/kgb-bot_1.15-2_all.deb Package: kgb-client Source: kgb-bot Version: 1.15-2 Installed-Size: 112 Maintainer: Debian KGB Maintainers Architecture: all Depends: perl, libclass-accessor-perl, libsoap-lite-perl, libsvn-perl, libyaml-perl Recommends: kgb-client-git, libfile-touch-perl Suggests: kgb-bot Size: 44164 SHA256: e18d33cc1a194286f07c73e191b614e02839e33266eddbcac60c8f59dee372bf SHA1: 91bac5f6c53f33fcf9e86387c96324ccc0ee8172 MD5sum: c6575a14f3a340d663fb6ed8375c859c Description: client for KGB (IRC collaboration bot) KGB is an IRC bot, helping people work together by notifying an IRC channel when a commit occures. . It supports multiple repositories/IRC channels and is fully configurable. . This package contains the client-side program, kgb-client, which is supposed to be used as an hook in your version control system and sends the notifications to the KGB daemon. . Currently supported version control systems are: * Subversion * Git . Note that Git support is provided by a separate package, kgb-client-git Homepage: http://kgb.alioth.debian.org/ Tag: implemented-in::perl, role::program Section: devel Priority: optional Filename: pool/main/k/kgb-bot/kgb-client_1.15-2_all.deb Package: kgb-client-git Source: kgb-bot Version: 1.15-2 Installed-Size: 40 Maintainer: Debian KGB Maintainers Architecture: all Depends: perl, git-core, kgb-client, libipc-run-perl Enhances: kgb-client Size: 18912 SHA256: fad789ddc153c3aff90ab1ec4b1ac0f637e375c8b3b89462a001e1bf73117fcf SHA1: c17e8d674414475c401ca62e6d73db82f0aaf5e0 MD5sum: 7b6963455080253ed064dd50658602aa Description: client for KGB (IRC collaboration bot) - Git support KGB is an IRC bot, helping people work together by notifying an IRC channel when a commit occures. . This package adds support to Git version control system to kgb-client. Homepage: http://kgb.alioth.debian.org/ Tag: role::plugin Section: devel Priority: optional Filename: pool/main/k/kgb-bot/kgb-client-git_1.15-2_all.deb Package: kgeography Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 224 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdeui5 (>= 4:4.7), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), kgeography-data (>= 4:4.8.4-1) Suggests: khelpcenter4 Homepage: http://edu.kde.org/ Priority: optional Section: misc Filename: pool/main/k/kgeography/kgeography_4.8.4-1_armhf.deb Size: 77662 SHA256: 3e9ba38c9ef4410c596bd779f77c524415ebd6776e4c1dc18e8844e836107719 SHA1: 22dfc8510148c3f6f6a7179943052c137de87b7c MD5sum: c2e63d9f09e8962f18bae29e0a318b1d Description: geography learning aid for KDE KGeography is an aid for learning about world geography. You can use it to explore a map, show information about regions and features, and play quiz games to test your geography knowledge. . This package is part of the KDE education module. Package: kgeography-data Source: kgeography Version: 4:4.8.4-1 Installed-Size: 6873 Maintainer: Debian Qt/KDE Maintainers Architecture: all Size: 6058778 SHA256: 30dbd41fa18141a49c5e1189c62aac4e436081566928dfd58ae4ae644d96fbd4 SHA1: b9573b4d123498c32ac0dc9506c3d84278f8fb0f MD5sum: bcde5a9008a04bf6bcef42eae915c102 Description: data files for KGeography This package contains architecture-independent data files for the KGeography geography learning tool, including maps, capitals, and flags. . This package is part of the KDE education module. Homepage: http://edu.kde.org/ Tag: role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/kgeography/kgeography-data_4.8.4-1_all.deb Package: kget Source: kdenetwork Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 3839 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgpgme++2 (>= 4:4.8), libkcmutils4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libknotifyconfig4 (>= 4:4.8), libkonq5abi1 (>= 4:4.6.1), libkparts4 (>= 4:4.8), libktorrent4 (>= 1.2.0), libkworkspace4abi1 (>= 4:4.8.1), libmms0 (>= 0.4), libnepomuk4 (>= 4:4.8), libplasma3 (>= 4:4.8), libqca2 (>= 2.0.2), libqgpgme1 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsolid4 (>= 4:4.8), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0) Suggests: khelpcenter4 Homepage: http://www.kde.org/ Priority: optional Section: net Filename: pool/main/k/kdenetwork/kget_4.8.4-1_armhf.deb Size: 1897158 SHA256: f889fbd7dd3fade1a8a57e63909a5347df365f49f5cb38ec74b2489182d06281 SHA1: 3824a6ef5b2a6f7626b39955d34ae9a0425d0b13 MD5sum: 66b901e30b6b0efae5edeed9ebb84f99 Description: download manager KGet is an advanced download manager with support for Metalink and Bittorrent. Downloads are added to the list, where they can be paused, queued, or scheduled for later. . This package is part of the KDE networking module. Package: kgoldrunner Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 8517 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libopenal1, libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kgoldrunner_4.8.4-3_armhf.deb Size: 4797602 SHA256: 8a77cecfe62cf7b73ef3ff8c840a46b9425a8b6ada7f2ec7343640b6a9a63cec SHA1: f00e1b2252fcbe058ffba442fe1cb066c9cd3bb4 MD5sum: 53552fcbd44b6fff904fd80dfcaf775e Description: Lode Runner arcade game KGoldrunner is a fast-paced platform game where the player must navigate a maze while collecting gold nuggets and avoiding enemies. A variety of level packs are included, as well as an editor to create new levels. . This package is part of the KDE games module. Package: kgpg Version: 4:4.8.4-4 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1656 Depends: gnupg | gnupg2, kde-runtime, kdepim-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkabc4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libkpimutils4 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsolid4 (>= 4:4.8), libstdc++6 (>= 4.4.0) Homepage: http://www.kde.org/ Priority: optional Section: utils Filename: pool/main/k/kgpg/kgpg_4.8.4-4_armhf.deb Size: 885386 SHA256: 1d93affe305081b8ee7a6595197f18f884ca66c58315fe20db91d8f82078800a SHA1: 1dda3128f9d5fa805d8aadeec41df3c6df1b8221 MD5sum: dc08e7dd978e97bfad142e9f4fcf845b Description: graphical front end for GNU Privacy Guard Kgpg manages cryptographic keys for the GNU Privacy Guard, and can encrypt, decrypt, sign, and verify files. It features a simple editor for applying cryptography to short pieces of text, and can also quickly apply cryptography to the contents of the clipboard. . This package is part of the KDE Utilities module. Package: kgpg-dbg Source: kgpg Version: 4:4.8.4-4 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 10708 Depends: kgpg (= 4:4.8.4-4) Breaks: kdeutils-dbg (<< 4:4.8) Replaces: kdeutils-dbg (<< 4:4.8) Homepage: http://www.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kgpg/kgpg-dbg_4.8.4-4_armhf.deb Size: 2405950 SHA256: 84358b1c48d736e585010cc9f36a20602d62c0de38fbecef30252ac7112342f1 SHA1: 8aa2236082e8edff643bbcb6e2f0622d3221e922 MD5sum: 4bde1c1237ca934d21b3ca5ad532a798 Description: debugging symbols for kgpg This package contains debugging files used to investigate problems with binaries included in kgpg. . This package is part of the KDE Utilities module. Package: khangman Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2118 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdeui5 (>= 4:4.7), libkeduvocdocument4 (>= 4:4.7.2), libkio5 (>= 4:4.7), libknewstuff3-4 (>= 4:4.7), libphonon4 (>= 4:4.2.0), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), phonon, fonts-dustin, kdeedu-kvtml-data Suggests: khelpcenter4 Homepage: http://edu.kde.org/ Priority: optional Section: misc Filename: pool/main/k/khangman/khangman_4.8.4-1_armhf.deb Size: 892966 SHA256: 1528aaa8ab657219e2b86d8dfc92e659cd4936f6200df26093b8fac32e3af9b8 SHA1: 32c90470f4ef6fa5a66ba934b3cc749b6f56a963 MD5sum: c38b7a7203021d2a16979a6392399d0d Description: Hangman word puzzle KHangMan is the well-known Hangman game, aimed towards children aged 6 and above. . It picks a random word which the player must reveal by guessing if it contains certain letters. As the player guesses letters, the word is gradually revealed, but 10 wrong guesses will end the game. . This package is part of the KDE education module. Package: khelpcenter4 Source: kde-runtime Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 854 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkhtml5 (>= 4:4.8), libkio5 (>= 4:4.8), libkparts4 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Recommends: htdig Breaks: khelpcenter Replaces: khelpcenter Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kde-runtime/khelpcenter4_4.8.4-2_armhf.deb Size: 311736 SHA256: 2ac95d93073966f6348441d22f3315f5fd398bb9bd31c78b618a81ffd5716af7 SHA1: 3ce9d74374bc11f1c95a9f3951c9f3757f1ae843 MD5sum: 12e51d03e10abdf12edc39ca20c80d12 Description: help center The KDE Help Center displays documentation on how to use the KDE plasma workspaces and applications, as well as UNIX man and info pages. It can search through documentation using the 'htdig' package. . This package is part of the KDE base runtime module. Package: khmerconverter Version: 1.4-1 Installed-Size: 285 Maintainer: Soputtra San Architecture: all Depends: python (>= 2.3), python-support (>= 0.2) Recommends: python-tk, tix Suggests: ttf-khmeros Size: 44162 SHA256: 9c96d51b535e303fe771b784978cdd77105e1306c97a79ef404ddc53ed2de6ca SHA1: 509de98d7af0cb33947d6b1c371bd69e855ae45c MD5sum: 0eb5eb1578169b92370df79ba61cd09c Description: converts between legacy Khmer encodings and Unicode khmerconverter converts files between Unicode and the legacy Khmer encodings based on fonts using the ASCII/ANSI range. . It currently supports plain text files, OpenDocument files (ODT) and HTML files encoded with UTF-8 and the ABC, ABC-ZWSP, Baidok, Fk, Khek, Limon and Truth legacy Khmer fonts. . Please install python-tk and tix to use the graphical interface (GUI). . Homepage: http://www.khmeros.info/drupal/?q=en/download/converter Tag: implemented-in::python, interface::commandline, interface::x11, role::program, scope::utility, uitoolkit::tk, use::converting, works-with-format::html, works-with-format::odf, works-with::text, works-with::unicode, x11::application Section: text Priority: optional Filename: pool/main/k/khmerconverter/khmerconverter_1.4-1_all.deb Package: kicad Version: 0.20120526+bzr3261-1 Architecture: armhf Maintainer: Georges Khaznadar Installed-Size: 18662 Depends: kicad-common (>= 0.20120526+bzr3261-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libx11-6, libxext6, zlib-bin Suggests: extra-xdg-menus, kicad-doc-en | kicad-doc-fr | kicad-doc-de | kicad-doc-es | kicad-doc-hu | kicad-doc-ru | kicad-doc-zh-cn Replaces: kicad-common (<< 0.0.20071129a-1) Homepage: http://iut-tice.ujf-grenoble.fr/kicad/ Priority: optional Section: electronics Filename: pool/main/k/kicad/kicad_0.20120526+bzr3261-1_armhf.deb Size: 5893376 SHA256: cb7fcec26dc4b7668c5042e299dec862f628236335c0b3e38238b545eb82bb00 SHA1: 1ed721908c95728b79c7a23bcf7c46cf87a8eae5 MD5sum: c11b1e7245bca4e16a97bf4e5d8bef32 Description: Electronic schematic and PCB design software Kicad is a suite of programs for the creation of printed circuit boards. It includes a schematic editor, a PCB layout tool, support tools and a 3D viewer to display a finished & fully populated PCB. . Kicad is made up of 5 main components: . * kicad - project manager * eeschema - schematic editor * pcbnew - PCB editor * gerbview - GERBER viewer * cvpcb - footprint selector for components . Libraries: * Both eeschema and pcbnew have library managers and editors for their components and footprints * You can easily create, edit, delete and exchange library items * Documentation files can be associated with components, footprints and key words, allowing a fast search by function * Very large libraries are available for schematic components and footprints * Most components have corresponding 3D models Package: kicad-common Source: kicad Version: 0.20120526+bzr3261-1 Installed-Size: 116628 Maintainer: Georges Khaznadar Architecture: all Replaces: kicad (<< 0.20120126+bzr3256-1) Recommends: kicad Size: 34259834 SHA256: 6967abd2b5a88d3aa0bedb11519a8e8020d0efd17b8373cef87044fc7518ed5e SHA1: 411ff8b2f2b9977e73e16eeeb809d9e0f1fb901e MD5sum: d7a1655d9900028df286ec5734360e48 Description: Common files used by kicad This package contains the component libraries and language files for Kicad. Homepage: http://iut-tice.ujf-grenoble.fr/kicad/ Tag: role::app-data Section: electronics Priority: optional Filename: pool/main/k/kicad/kicad-common_0.20120526+bzr3261-1_all.deb Package: kicad-doc-de Source: kicad Version: 0.20120526+bzr3261-1 Installed-Size: 487 Maintainer: Georges Khaznadar Architecture: all Size: 406918 SHA256: 16ede16f0c294fd38641df30800d46215646654bf6cebd2e4b98e01b9ae162ef SHA1: dce18b0e20fa1ed73b64f3c55900e0acc4c65520 MD5sum: 5c2910fae3c8a21985cb221f171ee2ca Description: Kicad help files (German) This package provides documentation for Kicad in German. . This package does not provide a German translation of the manual, only the tutorial. Manual available in the French & English packages only. Homepage: http://iut-tice.ujf-grenoble.fr/kicad/ Tag: culture::german, made-of::html, role::documentation Section: electronics Priority: optional Filename: pool/main/k/kicad/kicad-doc-de_0.20120526+bzr3261-1_all.deb Package: kicad-doc-en Source: kicad Version: 0.20120526+bzr3261-1 Installed-Size: 7098 Maintainer: Georges Khaznadar Architecture: all Size: 6360896 SHA256: deac7f17460ed8ccb0b6dbdf8cb8c69482a5d8493c1c56ce0b355396e5ed21d8 SHA1: 843769960b01bfc13abfd7903ea2676531248a26 MD5sum: 848933be9d1bae04ef37598f7232f951 Description: Kicad help files (English) This package provides documentation for Kicad in English. Homepage: http://iut-tice.ujf-grenoble.fr/kicad/ Tag: culture::TODO, made-of::html, role::documentation Section: electronics Priority: optional Filename: pool/main/k/kicad/kicad-doc-en_0.20120526+bzr3261-1_all.deb Package: kicad-doc-es Source: kicad Version: 0.20120526+bzr3261-1 Installed-Size: 34 Maintainer: Georges Khaznadar Architecture: all Size: 6576 SHA256: efcc3ef9146315138680ce6c240328352a60f14fc2cd6268e07031e31422028b SHA1: 49a0bceab8c9f2ea2db13a06403cdc20631bfee1 MD5sum: 6de87d823c93dabfe1772cb96fea27c1 Description: Kicad help files (Spanish) This package provides documentation for Kicad in Spanish. . This package does not provide a Spanish translation of the manual, only the tutorial. Manual available in the French & English packages only. Homepage: http://iut-tice.ujf-grenoble.fr/kicad/ Tag: culture::spanish, made-of::html, role::documentation Section: electronics Priority: optional Filename: pool/main/k/kicad/kicad-doc-es_0.20120526+bzr3261-1_all.deb Package: kicad-doc-fr Source: kicad Version: 0.20120526+bzr3261-1 Installed-Size: 6703 Maintainer: Georges Khaznadar Architecture: all Size: 6005750 SHA256: a6b2bea2171ba8547bee99bc29ca6647f3a0e92d7c22f9244f91b48b180697e4 SHA1: cbd3e2d6dbb5f7f7af17686cf4bf1a5926f1a385 MD5sum: f7c3734ae23dbd60d30875ca5240b8f8 Description: Kicad help files (French) This package provides documentation for Kicad in French. Homepage: http://iut-tice.ujf-grenoble.fr/kicad/ Tag: culture::french, made-of::html, role::documentation Section: electronics Priority: optional Filename: pool/main/k/kicad/kicad-doc-fr_0.20120526+bzr3261-1_all.deb Package: kicad-doc-hu Source: kicad Version: 0.20120526+bzr3261-1 Installed-Size: 862 Maintainer: Georges Khaznadar Architecture: all Size: 760208 SHA256: 4f1e92f88cd1747ca72f822ba15dcd2378db4fa1fe66d2a0731a3400dac2471e SHA1: 798b602b132926e291aefca1e2abb951b4c0b306 MD5sum: cc7054f555e6828a550147c18427b2b8 Description: Kicad help files (Hungarian) This package provides documentation for Kicad in Hungarian. . This package does not provide a Hungarian translation of the manual, only the tutorial. Manual available in the French & English packages only. Homepage: http://iut-tice.ujf-grenoble.fr/kicad/ Tag: culture::hungarian, made-of::pdf, role::documentation Section: electronics Priority: optional Filename: pool/main/k/kicad/kicad-doc-hu_0.20120526+bzr3261-1_all.deb Package: kicad-doc-it Source: kicad Version: 0.20120526+bzr3261-1 Installed-Size: 997 Maintainer: Georges Khaznadar Architecture: all Size: 796332 SHA256: fbad5ebd4b60566d574533f0c9e2d8963f40e32c5842671545ab24906c627bac SHA1: 020a00302887f2baa6f579686ade3d8c154010dc MD5sum: ad6479079e4c10868972f1d9b28fd81c Description: Kicad help files (Italian) This package provides documentation for Kicad in Italian. Homepage: http://iut-tice.ujf-grenoble.fr/kicad/ Section: electronics Priority: optional Filename: pool/main/k/kicad/kicad-doc-it_0.20120526+bzr3261-1_all.deb Package: kicad-doc-pl Source: kicad Version: 0.20120526+bzr3261-1 Installed-Size: 6462 Maintainer: Georges Khaznadar Architecture: all Size: 5934046 SHA256: 3e55c7c1aa5ebca4e0279aaffd6f5e601891930db7254ee3a85f5a05ee1f324b SHA1: a7f97688b48d6fe56f5e2ac316eeed381202f42d MD5sum: 078c9e46f6cc8d99e447e7f72130125f Description: Kicad help files (Polish) This package provides documentation for Kicad in Polish. Homepage: http://iut-tice.ujf-grenoble.fr/kicad/ Section: electronics Priority: optional Filename: pool/main/k/kicad/kicad-doc-pl_0.20120526+bzr3261-1_all.deb Package: kicad-doc-pt Source: kicad Version: 0.20120526+bzr3261-1 Installed-Size: 34 Maintainer: Georges Khaznadar Architecture: all Size: 6504 SHA256: 4aecbd7daeb91d137c496fd7176e993d43bf134b5272eee2e246732a59e375bf SHA1: b8583af6ceb72351a04bc7f1d948db5e00eb1416 MD5sum: cd605a7c4ea49ce9b3f0f87ebd16810c Description: Kicad help files (Portuguese) This package provides documentation for Kicad in Portuguese. Homepage: http://iut-tice.ujf-grenoble.fr/kicad/ Tag: culture::portuguese, made-of::html, role::documentation Section: electronics Priority: optional Filename: pool/main/k/kicad/kicad-doc-pt_0.20120526+bzr3261-1_all.deb Package: kicad-doc-ru Source: kicad Version: 0.20120526+bzr3261-1 Installed-Size: 13249 Maintainer: Georges Khaznadar Architecture: all Size: 11741452 SHA256: a6b42916046629b00039974cfba204320b5b0fae5ff34271a7e90fa101dce490 SHA1: 062f837bd6f5e375c2c011a6286b74db8aaa1e14 MD5sum: 9f00bee2944a0fc7ad347fb9829a29d3 Description: Kicad help files (Russian) This package provides documentation for Kicad in Russian. . This package does not provide a Russian translation of the manual, only the tutorial. Manual available in the French & English packages only. Homepage: http://iut-tice.ujf-grenoble.fr/kicad/ Tag: culture::russian, made-of::html, role::documentation Section: electronics Priority: optional Filename: pool/main/k/kicad/kicad-doc-ru_0.20120526+bzr3261-1_all.deb Package: kicad-doc-zh-cn Source: kicad Version: 0.20120526+bzr3261-1 Installed-Size: 554 Maintainer: Georges Khaznadar Architecture: all Size: 479808 SHA256: b227edcc41a56e32dde5934d9b18337fe24f487ebc6c6b9703a269a804908234 SHA1: 7454bd329ea9fff559cb481cdc20cdeaa846f456 MD5sum: fe81225751cf9893c9c0928e701468db Description: Kicad help files (Simplified Chinese) This package provides documentation for Kicad in Simplified Chinese. . This package does not provide a Simplified Chinese translation of the manual, only the tutorial. Manual available in the French & English packages only. Homepage: http://iut-tice.ujf-grenoble.fr/kicad/ Tag: culture::chinese, made-of::pdf, role::app-data, role::documentation Section: electronics Priority: optional Filename: pool/main/k/kicad/kicad-doc-zh-cn_0.20120526+bzr3261-1_all.deb Package: kid3 Version: 2.1-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1961 Depends: kde-runtime, libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libchromaprint0 (>= 0.2), libflac++6 (>= 1.2.1), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libid3-3.8.3c2a, libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libogg0 (>= 1.0rc3), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), libtag1c2a (>= 1.7), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), phonon Homepage: http://kid3.sourceforge.net/ Priority: optional Section: kde Filename: pool/main/k/kid3/kid3_2.1-2_armhf.deb Size: 668334 SHA256: a3adcd811ad2d39ee2fa7eb2b38008cd54ef629e03d4348cac1b99f062d5fb7d SHA1: 562cb0e406cb635599e131061f68849e9bb24e91 MD5sum: e8a145f22fc0b6c0003dfea853dbde93 Description: KDE MP3 ID3 tag editor With Kid3, an ID3 tag editor for KDE you can: * Edit ID3v1.1 tags in your MP3 files * Edit all ID3v2.3 and ID3v2.4 frames in your MP3 files * Convert between ID3v1.1, ID3v2.3 and ID3v2.4 tags * Edit Ogg/Vorbis tags * Edit FLAC tags * Edit APE tags in MPC files * Edit tags in MP3, Ogg/Vorbis, FLAC, MPC, MP4/AAC, MP2, Speex, TrueAudio and WavPack files * Edit tags of multiple files, e.g. the artist, album, year and genre of all files of an album typically have the same values and can be set together * Generate tags from filenames * Generate filenames from tags * Generate playlist files * Automatic case conversion and string translation * Import and export album data * Import from gnudb.org, TrackType.org, MusicBrainz, Discogs . This package uses KDE libraries, if you do not use KDE you should use kid3-qt. Package: kid3-qt Source: kid3 Version: 2.1-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 2522 Depends: libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libchromaprint0 (>= 0.2), libflac++6 (>= 1.2.1), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libid3-3.8.3c2a, libogg0 (>= 1.0rc3), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), libtag1c2a (>= 1.7), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), phonon Homepage: http://kid3.sourceforge.net/ Priority: optional Section: kde Filename: pool/main/k/kid3/kid3-qt_2.1-2_armhf.deb Size: 736028 SHA256: 6d026cadc45a6384c3a4fdd99921a97d2c52a9859a65a9a4ccb82ab92403391d SHA1: 08584de7c0e37646fad89b23d1fcd9beac5c87c5 MD5sum: c9b1d46af31093b6e05440436fa30539 Description: Audio tag editor With Kid3, an audio tag editor you can: * Edit ID3v1.1 tags in your MP3 files * Edit all ID3v2.3 and ID3v2.4 frames in your MP3 files * Convert between ID3v1.1, ID3v2.3 and ID3v2.4 tags * Edit Ogg/Vorbis tags * Edit FLAC tags * Edit APE tags in MPC files * Edit tags in MP3, Ogg/Vorbis, FLAC, MPC, MP4/AAC, MP2, Speex, TrueAudio and WavPack files * Edit tags of multiple files, e.g. the artist, album, year and genre of all files of an album typically have the same values and can be set together * Generate tags from filenames * Generate filenames from tags * Generate playlist files * Automatic case conversion and string translation * Import and export album data * Import from gnudb.org, TrackType.org, MusicBrainz, Discogs . This package does not use KDE libraries, if you use KDE you should use kid3. Package: kig Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2952 Depends: kde-runtime, libboost-python1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libkparts4 (>= 4:4.8), libkprintutils4 (>= 4:4.8), libktexteditor4 (>= 4:4.8), libpython2.7 (>= 2.7), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6) Suggests: khelpcenter4, python Homepage: http://edu.kde.org/ Priority: optional Section: math Filename: pool/main/k/kig/kig_4.8.4-1_armhf.deb Size: 1779480 SHA256: 4773670be6b9c8bd2bf525c17df8a29000ff1c67f62165db0caa6b2aba0928de SHA1: 2a9b4dcd75d9899f93967580f0715792ee99b675 MD5sum: 6f4933f186a259c6b61bd727cf2432bf Description: interactive geometry tool for KDE Kig is an application for interactive geometric construction, allowing students to draw and explore mathematical figures and concepts using the computer. . Kig supports macros and is scriptable using Python. It can import and export files in various formats, including SVG, Cabri, Dr. Geo, KGeo, KSeg, and XFig. . This package is part of the KDE education module. Package: kigo Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1681 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7), libknewstuff3-4 (>= 4:4.7), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Recommends: gnugo Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kigo_4.8.4-3_armhf.deb Size: 1394276 SHA256: 9d20b840a5553287a5545270ab0fe5e1b8c63f616368a13d36ee51775551fa26 SHA1: 0bd584fa9ea0166681e102471d24d2190fc15f08 MD5sum: ea6b74bb10c8baaae128c95bfe974b8b Description: go game Kigo is an open-source implementation of the popular Go game. Go is a strategic board game for two players. It is also known as igo (Japanese), weiqi or wei ch'i (Chinese) or baduk (Korean). Go is noted for being rich in strategic complexity despite its simple rules. The game is played by two players who alternately place black and white stones (playing pieces, now usually made of glass or plastic) on the vacant intersections of a grid of 19x19 lines (9x9 or 13x13 for easier games). . This package is part of the KDE games module. Package: kiki Version: 0.5.6-8 Installed-Size: 187 Maintainer: Ignace Mouzannar Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-wxgtk2.8 Size: 36048 SHA256: 528308cfb580c86856080d9d1c60f483f5a52ee78aa7ca32a7f327d6ec61f87a SHA1: 6d39adb4fd299721ef7f5a49affc20529918e20e MD5sum: 225337b51033d1f61456a8cf4a547be6 Description: tool for python regular expression testing A free environment for regular expression testing (ferret). It allows you to write regexes and test them against a sample text, providing extensive output about the results. It is useful for several purposes: . - exploring and understanding the structure of match objects generated by the re module, making Kiki a valuable tool for people new to regexes. - testing regexes on sample text before deploying them in code. . Kiki can function on its own or as plugin for the Spe Python editor. Homepage: http://project5.freezope.org/kiki Python-Version: 2.6, 2.7 Tag: devel::interpreter, implemented-in::python, role::program, uitoolkit::wxwidgets, use::learning Section: python Priority: optional Filename: pool/main/k/kiki/kiki_0.5.6-8_all.deb Package: kiki-the-nano-bot Version: 1.0.2+dfsg1-4 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 959 Depends: kiki-the-nano-bot-data (= 1.0.2+dfsg1-4), freeglut3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libpython2.7 (>= 2.7), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Homepage: http://kiki.sourceforge.net/ Priority: optional Section: games Filename: pool/main/k/kiki-the-nano-bot/kiki-the-nano-bot_1.0.2+dfsg1-4_armhf.deb Size: 276610 SHA256: ea3f1ba6bbb75c414308abbfb9542aba11c96ddd8f523007a5c65cea2f69fe89 SHA1: 597c018633a1daa14196320dfe380c04dbed685c MD5sum: 0d7f4ae8c35bce5e7ea130c7b207040a Description: 3D puzzle game, mixing Sokoban and Kula-World Kiki the nano bot is a 3D puzzle game, a mixture of Sokoban and Kula-World. Your task is to help Kiki, a small robot living in the nano world, repair its Maker. Package: kiki-the-nano-bot-data Source: kiki-the-nano-bot Version: 1.0.2+dfsg1-4 Installed-Size: 796 Maintainer: Debian Games Team Architecture: all Size: 207844 SHA256: b011258a0123cb9b1ce9a5858cfc9bff06a547879d9426c5ef6e588f8a646029 SHA1: 405b862a3ee7bf360cdcedac6a14fc0bcb1f5dba MD5sum: 5a9fdca8d910700f1ec4e9ce25074faa Description: Kiki the nano bot - game data Kiki the nano bot is a 3D puzzle game, a mixture of Sokoban and Kula-World. Your task is to help Kiki, a small robot living in the nano world, repair its Maker. . This package contains data files required by the game Kiki the nano bot. Homepage: http://kiki.sourceforge.net/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/k/kiki-the-nano-bot/kiki-the-nano-bot-data_1.0.2+dfsg1-4_all.deb Package: kildclient Version: 2.11.1-1+deb7u2 Architecture: armhf Maintainer: Eduardo M Kalinowski Installed-Size: 1319 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0), libgtk2.0-0 (>= 2.18.0), libgtkspell0 (>= 2.0.10), libpango1.0-0 (>= 1.14.0), libperl5.14 (>= 5.14.2), zlib1g (>= 1:1.1.4), liblocale-gettext-perl, gvfs Suggests: kildclient-doc, libgtk2-perl Homepage: http://kildclient.sf.net Priority: optional Section: games Filename: pool/main/k/kildclient/kildclient_2.11.1-1+deb7u2_armhf.deb Size: 341148 SHA256: d6ce773f4b0e8c9d22f8326da40fb3f27bdb40cd0175a4c93b677512a86dda18 SHA1: d1880832464b2fb13697d093472c930fe6830bf9 MD5sum: b52e3aa40c0ff17e9e364cf045defe08 Description: powerful MUD client with a built-in Perl interpreter KildClient is a MUD Client written with the GTK+ windowing toolkit. It supports many common features of other clients, such as triggers, gags, aliases, macros, timers, and much more. But its main feature is the built-in Perl interpreter. You can at any moment execute Perl statements and functions to do things much more powerful than simply sending text the mud. Perl statements can also be run, for example, as the action of a trigger, allowing you to do complex things. Some built-in functions of KildClient allow interaction with the world, such as sending commands to it. . KildClient's ANSI support is extensive: it supports not only the common 16 colors, but also support underlined text (singly and doubly), text in italics, text striked through, reverse video and "hidden" text. It also supports vt100's line-drawing characters, and xterm's escape sequences for a 256-color mode. All these features make KildClient one of the clients with the most features for displaying the mud output. . Kildclient supports the MCCP (Mud Client Compression Protocol) protocol, versions 1 and 2, to reduce the necessary bandwidth. . KildClient allows connection through SOCKS4/5 proxy servers. . This package contains the main program. Install the kildclient-doc package to access the HTML manual. Package: kildclient-doc Source: kildclient Version: 2.11.1-1+deb7u2 Installed-Size: 1750 Maintainer: Eduardo M Kalinowski Architecture: all Size: 1124672 SHA256: 65ee052ad38174315e3d438fb6d1722a6f3dc36031f63e6e9e561f6a5db40574 SHA1: 7200f0a0e73ff6290aa7443187b423daed2ce1da MD5sum: 15ea02c8f3369c312f4f9f88b96b2411 Description: powerful MUD client with a built-in Perl interpreter - manual KildClient is a MUD Client written with the GTK+ windowing toolkit. It supports many common features of other clients, such as triggers, gags, aliases, macros, timers, and much more. But its main feature is the built-in Perl interpreter. You can at any moment execute Perl statements and functions to do things much more powerful than simply sending text the mud. Perl statements can also be run, for example, as the action of a trigger, allowing you to do complex things. Some built-in functions of KildClient allow interaction with the world, such as sending commands to it. . KildClient's ANSI support is extensive: it supports not only the common 16 colors, but also support underlined text (singly and doubly), text in italics, text striked through, reverse video and "hidden" text. It also supports vt100's line-drawing characters, and xterm's escape sequences for a 256-color mode. All these features make KildClient one of the clients with the most features for displaying the mud output. . Kildclient supports the MCCP (Mud Client Compression Protocol) protocol, versions 1 and 2, to reduce the necessary bandwidth. . KildClient allows connection through SOCKS4/5 proxy servers. . This package contains the HTML manual for KildClient. Homepage: http://kildclient.sf.net Section: doc Priority: optional Filename: pool/main/k/kildclient/kildclient-doc_2.11.1-1+deb7u2_all.deb Package: kile Version: 1:2.1.0-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 3960 Depends: konsole, texlive-latex-base, kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.5.2), libkfile4 (>= 4:4.4.4), libkhtml5 (>= 4:4.4.4), libkio5 (>= 4:4.4.4), libkjsapi4 (>= 4:4.4.4), libkparts4 (>= 4:4.5.85), libkrosscore4 (>= 4:4.4.4), libktexteditor4 (>= 4:4.4.95), libnepomuk4 (>= 4:4.4.4), libnepomukutils4 (>= 4:4.5.85), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0) Recommends: dvipng, ghostscript, imagemagick, psutils, texlive Suggests: aspell | ispell | hspell, asymptote, context, dblatex, kile-doc, kile-l10n, latex2html, lilypond, tex4ht, texlive-doc-base, texlive-xetex, zip Breaks: ktexmaker2 (<< 1.8) Replaces: ktexmaker2 (<< 1.8) Homepage: http://kile.sourceforge.net Priority: optional Section: tex Filename: pool/main/k/kile/kile_2.1.0-1_armhf.deb Size: 1526198 SHA256: 1fdf427932bc0fad7bdd0aa352b660c705a819661c2820752a060b5f907b4be2 SHA1: 06d2dcafd9521e0984d908f53810d59298a40dbf MD5sum: f521408b45ee39dcd200a96becb27b26 Description: KDE Integrated LaTeX Environment Kile is a user-friendly LaTeX source editor and TeX shell for KDE. . The source editor is a multi-document editor designed for .tex and .bib files. Menus, wizards and auto-completion are provided to assist with tag insertion and code generation. A structural view of the document assists with navigation within source files. . The TeX shell integrates the various tools required for TeX processing. It assists with LaTeX compilation, DVI and postscript document viewing, generation of bibliographies and indices and other common tasks. . Kile can support large projects consisting of several smaller files. Package: kile-doc Source: kile Version: 1:2.1.0-1 Installed-Size: 4508 Maintainer: Debian KDE Extras Team Architecture: all Size: 2924342 SHA256: c98a4ea377e171e0d9ffc0dbcf1f686764cd09979cf5c7c522295124fd505fff SHA1: b3a710bc42e2fe70786b5b171fede3d7fda8ec70 MD5sum: a060fbcc3e349c1ea0e8051afc5eac59 Description: KDE Integrated LaTeX Environment (documentation) Kile is a user-friendly LaTeX source editor and TeX shell for KDE. . This package contains the documentation for Kile. Homepage: http://kile.sourceforge.net Tag: role::documentation, suite::kde Section: doc Priority: optional Filename: pool/main/k/kile/kile-doc_2.1.0-1_all.deb Package: kile-l10n Source: kile Version: 1:2.1.0-1 Installed-Size: 5528 Maintainer: Debian KDE Extras Team Architecture: all Replaces: kile-i18n (<< 2.1.0-1) Depends: kile (>= 1:2.1.0-1) Breaks: kile-i18n (<< 2.1.0-1) Size: 1782984 SHA256: b92c7b63aaa589a5fd4a4611508c357895106048807bab535a89ef3e248deed0 SHA1: e934986f4b9eeb7d7574be2d909e1bea8bf5dece MD5sum: e98912fe75597456d1697c25751a48ed Description: KDE Integrated LaTeX Environment (localization) Kile is a user-friendly LaTeX source editor and TeX shell for KDE. . This package contains the translations for Kile. Homepage: http://kile.sourceforge.net Tag: role::app-data Section: localization Priority: optional Filename: pool/main/k/kile/kile-l10n_2.1.0-1_all.deb Package: killbots Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1353 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/killbots_4.8.4-3_armhf.deb Size: 1002174 SHA256: 92deecdf165165085b5ac3f55d8cd3e00d394e16009e5e2fc7fc61c344769bb0 SHA1: c93c62f478b604e9d8797c8ce3ee7d0df1725ac2 MD5sum: b414fbeeab38c6bcb3d8967a2a85c281 Description: port of the classic BSD console game robots killbots is a simple game of evading killer robots. The robots are numerous and their sole objective is to destroy you. Fortunately for you, their creator has focused on quantity rather than quality and as a result the robots are severely lacking in intelligence. Your superior wit and a fancy teleportation device are your only weapons against the never-ending stream of mindless automatons. . This package is part of the KDE games module. Package: killer Version: 0.90-8 Installed-Size: 112 Maintainer: Debian Edu Developers Architecture: all Depends: perl, exim4 | mail-transport-agent Size: 24540 SHA256: ffb0117abe953b9deba3a22a06136a9cb80cc266438f8352878dd56fc3e0446f SHA1: e3b4674cce21a7df2ae12b9d4bd42a2923e1f4b3 MD5sum: 24cf6df285d89c705e8a0c7c8b772e44 Description: Background job killer killer is a perl script that gets rid of background jobs. Background jobs are defined as processes that belong to users who are not currently logged into the machine. Jobs can be run in the background (and are exempt from *killer*'s actions) if their scheduling priority has been reduced by increasing their nice(1) value or if they are being run through condor. . When the package is installed, a cron job is installed to run killer once an hour. Tag: admin::automation, implemented-in::perl, role::program, scope::utility Section: misc Priority: optional Filename: pool/main/k/killer/killer_0.90-8_all.deb Package: kimagemapeditor Source: kdewebdev Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1030 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkde3support4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkhtml5 (>= 4:4.8), libkio5 (>= 4:4.8), libkparts4 (>= 4:4.8), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://kdewebdev.org/ Priority: optional Section: editors Filename: pool/main/k/kdewebdev/kimagemapeditor_4.8.4-1_armhf.deb Size: 581970 SHA256: 9bc2b490042f505b26e5e901680d613a0866fe8a9ec75d7f445c74f00a54f780 SHA1: 265e545a7053959ec8027568a03e65a85dfaa6f9 MD5sum: 6f161f7189fb6d362219b130da0675e0 Description: HTML image map editor KImageMapEditor is a tool that allows you to edit image maps in HTML files. As well as providing a standalone application, KImageMapEditor makes itself available as a KPart for embedding into larger applications. . This package is part of KDE web development module. Package: kimwitu Version: 4.6.1-7.1 Architecture: armhf Maintainer: Michael Piefel Installed-Size: 990 Depends: libc6 (>= 2.4) Recommends: c-compiler, kimwitu-doc Suggests: bison | byacc | btyacc Priority: optional Section: devel Filename: pool/main/k/kimwitu/kimwitu_4.6.1-7.1_armhf.deb Size: 233252 SHA256: 4f348094dda66199c67a7d1aecf556b5d0ef0a369037027b42deea5ec6f20474 SHA1: 1bba76d5551c1170438911d9da7240ee7b729dbd MD5sum: d7678592117ea6fba59544fbb5583d8b Description: Compiler development tool, complementary to lex and yacc Kimwitu (pronounced kee'mweetoo) is a system that supports the construction of programs that use trees or terms as their main data structure. It is a ‘meta-tool’ in the development process of tools. . It can easily be interfaced with Yacc and Lex – the idea is that yacc and lex are used to do the parsing, and Kimwitu routines are used to built and manipulate the parse tree. . There is also Kimwitu++ (also packaged for Debian) which interfaces with C++ instead of C. Package: kimwitu++ Version: 2.3.13-2 Architecture: armhf Maintainer: Michael Piefel Installed-Size: 709 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Recommends: g++ | c++-compiler Suggests: kimwitu++-doc, bison | byacc | btyacc Priority: optional Section: devel Filename: pool/main/k/kimwitu++/kimwitu++_2.3.13-2_armhf.deb Size: 214672 SHA256: 3817a581c69f4ce7d53d9b4af70fadc47975c4b4b3861f313e4b55f2fa3ddd13 SHA1: 10c38bf7ac84cc73637c296e493349bf3d3f8e48 MD5sum: 57ed47fed6e627a442500b3e3053e809 Description: A (syntax-)tree-handling tool (term processor) Kimwitu++ is a system that supports the construction of programs that use trees or terms as their main data structure. It allows you to define, store and operate on trees with typed nodes. Each type of node has a specific number of sons, and expects these sons to have specific types. The most popular example of such trees are syntax trees. . The nodes are defined in a Yacc-like fashion. The tree can be unparsed (ie. treewalk) and rewritten (ie. term substitution). Kimwitu++ gives you powerful pattern matching for specifying unparse and rewrite rules. . Kimwitu++ is an extension to C++. It introduces Yacc-like node definitions, the unparse and rewrite rules, and extensions for pattern matching within functions. It will translate its input files into pure C++. . To build the tree you might use a parser generated with Bison, but you are free to use other tools. There also exists Kimwitu (also packaged for Debian) which interfaces with C instead of C++ which can also be used in C++ projects, but Kimwitu++ has some extensions one might consider useful. Package: kimwitu++-doc Source: kimwitu++ Version: 2.3.13-2 Installed-Size: 612 Maintainer: Michael Piefel Architecture: all Recommends: kimwitu++ Size: 388176 SHA256: e825b1a31c67f1d496fcd575df6e5c472230d11a952c00ab067433541abbdd2e SHA1: 0270b32dc827baaf1ad902129f87b72b4914222d MD5sum: 955692978c703381049958dcabd583ca Description: Documentation for the (syntax-)tree-handling tool Kimwitu++ Kimwitu++ is a system that supports the construction of programs that use trees or terms as their main data structure. It allows you to define, store and operate on trees with typed nodes. Each type of node has a specific number of sons, and expects these sons to have specific types. The most popular example of such trees are syntax trees. . This is the documentation for Kimwitu++ in PDF and HTML format. Tag: devel::code-generator, devel::lang:c++, made-of::html, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/k/kimwitu++/kimwitu++-doc_2.3.13-2_all.deb Package: kimwitu-doc Version: 10a+1-2.2 Installed-Size: 604 Maintainer: Michael Piefel Architecture: all Suggests: doc-base Size: 561540 SHA256: 321140b7058e9beed052467c0661b19af8e9e85c0596b9467593706259d36395 SHA1: 0792ed996050579a8e2b29974df7f4221f67d9dd MD5sum: 89a8f86fcf80e3ab34516b58b7529dd1 Description: documentation for compiler development tool Kimwitu Kimwitu (pronounced kee'mweetoo) is a system that supports the construction of programs that use trees or terms as their main data structure. It is a meta-tool in the development process of tools. . It can easily be interfaced with Yacc and Lex - the idea is that yacc and lex are used to do the parsing, and Kimwitu routines are used to built and manipulate the parse tree. . There is also Kimwitu++ (also packaged for Debian) which interfaces with C++ instead of C; its documentation is still a little shaky, so this document might be useful for it. Tag: devel::code-generator, devel::lang:c, made-of::html, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/k/kimwitu-doc/kimwitu-doc_10a+1-2.2_all.deb Package: kindleclip Version: 0.3-3 Installed-Size: 93 Maintainer: Gunnar Wolf Architecture: all Depends: ruby | ruby-interpreter, ruby-gtk2, ruby-gettext Size: 9028 SHA256: f74de20409e24eccc7b37193cf49d76d7fdd3527ab6340fbbfc8161da56380f7 SHA1: 684162c3b963709f29daad153aaaa4d26aa87ab3 MD5sum: 93fc6771962f49bddf109ee83a5c9252 Description: User interface for managing Amazon Kindle's "My Clippings" file Amazon Kindle devices store a "My Clippings.txt" file, where the bookmarks, highlights and notes are kept. This application allows you to search within the clippings, filter the contents, and copy and paste to other applications. . This program is in no way endorsed, promoted or should be associated with Amazon. It is not –and does not aim to be– an official Kindle project. Homepage: https://github.com/gwolf/kindleclip Tag: implemented-in::ruby, interface::x11, role::program, scope::application, uitoolkit::gtk, use::browsing, use::filtering, use::organizing, use::searching, works-with-format::plaintext, works-with::archive, works-with::db, works-with::file, works-with::text, x11::application Section: text Priority: extra Filename: pool/main/k/kindleclip/kindleclip_0.3-3_all.deb Package: kinfocenter Source: kde-workspace Version: 4:4.8.4-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1527 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libkcmutils4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libpci3 (>= 1:3.1.9-2), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libraw1394-11, libsolid4 (>= 4:4.8), libsolidcontrol4abi2 (= 4:4.8.4-6), libstdc++6 (>= 4.4.0), libx11-6 Breaks: kcontrol Replaces: kcontrol Homepage: http://www.kde.org/ Priority: optional Section: utils Filename: pool/main/k/kde-workspace/kinfocenter_4.8.4-6_armhf.deb Size: 468186 SHA256: dee944d15842777ddf3f4a01a1859fae9a2da81e28d87d9915e985bb2d56ec1d SHA1: 8db9d5f0d18dd4010cf8e7699e67d99b68993419 MD5sum: 7deafbb4cbfd126898bcf9ad2a16d161 Description: system information viewer KInfoCenter provides you with a graphical overview of various aspects of your system, such as memory usage, storage capacity, and attached devices. . This package is part of the KDE base workspace module. Package: king Version: 2.21.120420-2 Installed-Size: 2159 Maintainer: Debian Med Packaging Team Architecture: all Depends: default-jre | java5-runtime | java6-runtime | java7-runtime, jarwrapper (>= 0.5), libitext-java, libjogl-java Size: 1815794 SHA256: 2fa6e2790f6ab44c198a6468c9928e7cbd993b18ca54a6ee4233ee1154be6f96 SHA1: 3844966e2fa58d185986cdf430269e7af87b75c2 MD5sum: 93a0eca269611c72d326d5e037bd927f Description: interactive system for three-dimensional vector graphics KiNG (Kinemage, Next Generation) is an interactive system for three-dimensional vector graphics. It supports a set of graphics primitives that make it suitable for many types of graphs, plots, and other illustrations; although its first use was to display macromolecular structures for biophysical research. KiNG builds on Mage, JavaMage, and the "kinemage" (kinetic image) concept to deliver a full-featured Java application with a user-friendly interface and integrated editing features. The KiNG jar file can be used within a web page as a Java applet or Java object to promote easy access to kinemages or coordinate files from a web browser. Homepage: http://kinemage.biochem.duke.edu/software/king.php Section: java Priority: optional Filename: pool/main/k/king/king_2.21.120420-2_all.deb Package: kino Version: 1.3.4-1.3 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 8068 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libavc1394-0 (>= 0.5.3), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdv4, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libiec61883-0 (>= 1.2.0), libpango1.0-0 (>= 1.14.0), libquicktime2 (>= 2:1.2.2), libraw1394-11, libsamplerate0 (>= 0.1.7), libsm6, libstdc++6 (>= 4.6), libswscale2 (>= 5:0.8-2~), libx11-6, libxext6, libxml2 (>= 2.7.4), libxv1, zlib1g (>= 1:1.1.4) Recommends: ffmpeg, curl Suggests: udev | hotplug, vorbis-tools, sox, mjpegtools, lame, ffmpeg2theora Conflicts: kino-dvtitler, kino-timfx, kinoplus Replaces: kino-dvtitler, kino-timfx, kinoplus Provides: kino-dvtitler, kino-timfx, kinoplus Homepage: http://www.kinodv.org/ Priority: extra Section: graphics Filename: pool/main/k/kino/kino_1.3.4-1.3_armhf.deb Size: 4680866 SHA256: ec9706e2b198bcdebe648858719ff68de6f33fe25e48b3beb41f303d9734fde5 SHA1: 6f936e17951ba3037083938a0f92ee8c6e630c2e MD5sum: 17cea7723f89ebdf2bdd946ae87fc48d Description: Non-linear editor for Digital Video data Kino allows you to record, create, edit, and play movies recorded with DV camcorders. This program uses many keyboard commands for fast navigating and editing inside the movie. . The kino-timfx, kino-dvtitler and kinoplus sets of plugins, formerly distributed as separate packages, are now provided with Kino. Package: kinput2-canna Source: kinput2 Version: 3.1-10.3 Architecture: armhf Maintainer: Keita Maehara Installed-Size: 232 Depends: libc6 (>= 2.13-28), libcanna1g, libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6, kinput2-common Recommends: xfonts-base Suggests: canna Priority: optional Section: x11 Filename: pool/main/k/kinput2/kinput2-canna_3.1-10.3_armhf.deb Size: 96160 SHA256: 43f24e0aa66b72bb481bfec2b31c1dbbe6323d44f088dbba53448d329ff73772 SHA1: 86a96eaf91d21cbe229d0bf390c572bca15c384e MD5sum: a7d3aee14c57828aa95308fb1fe55173 Description: An input server for X11 applications that want Japanese text input Kinput2 is an input server for X11 applications that want Japanese text input. . A client that wants kana-kanji conversion service for Japanese text sends a request to kinput2. Kinput2 receives the request, does kana-kanji conversion, and sends the converted text back to the client. . This package supports Canna cannaserver. Package: kinput2-canna-wnn Source: kinput2 Version: 3.1-10.3 Architecture: armhf Maintainer: Keita Maehara Installed-Size: 281 Depends: libc6 (>= 2.13-28), libcanna1g, libice6 (>= 1:1.0.0), libsm6, libwnn6-1, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6, debconf (>= 0.5) | debconf-2.0, kinput2-common, freewnn-common Recommends: xfonts-base Suggests: canna, freewnn-jserver (>= 1.1.0+1.1.1-a017-4) | wnn6 Priority: optional Section: x11 Filename: pool/main/k/kinput2/kinput2-canna-wnn_3.1-10.3_armhf.deb Size: 122746 SHA256: b63a83c20869943a86936d3851c4b89d6634cf595bb408709a7bbeb875e277f0 SHA1: a9d45b1931a6f40ece8a1c7b97d4ff19fc69eaa0 MD5sum: 00daf3863ff4db00095c6b2ea8cd8a06 Description: An input server for X11 applications that want Japanese text input Kinput2 is an input server for X11 applications that want Japanese text input. . A client that wants kana-kanji conversion service for Japanese text sends a request to kinput2. Kinput2 receives the request, does kana-kanji conversion, and sends the converted text back to the client. . This package supports both Canna cannaserver and Wnn jserver (version 4 or 6). Package: kinput2-common Source: kinput2 Version: 3.1-10.3 Installed-Size: 175 Maintainer: Keita Maehara Architecture: all Depends: im-switch Conflicts: xbase (<< 3.3.2.3a-2) Size: 94766 SHA256: ab34da8a72f72ec004c0aa83cbbb7fce9a0fd62becda22cf444881212c9e7342 SHA1: 4fda61497d43e89f88a423e2f88dc8f3aed5085a MD5sum: 8dba33214a744cb0f2f624262e041fd2 Description: Files shared among kinput2 packages This package contains files that is needed by three kinput2 packages (kinput2-canna, kinput2-wnn and kinput2-canna-wnn). Tag: accessibility::input, culture::japanese, role::app-data Section: x11 Priority: optional Filename: pool/main/k/kinput2/kinput2-common_3.1-10.3_all.deb Package: kinput2-wnn Source: kinput2 Version: 3.1-10.3 Architecture: armhf Maintainer: Keita Maehara Installed-Size: 271 Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libwnn6-1, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6, debconf (>= 0.5) | debconf-2.0, kinput2-common, freewnn-common Recommends: xfonts-base Suggests: freewnn-jserver (>= 1.1.0+1.1.1-a017-4) | wnn6 Priority: optional Section: x11 Filename: pool/main/k/kinput2/kinput2-wnn_3.1-10.3_armhf.deb Size: 117646 SHA256: 5d7e856dae1b185d73c7e1117adf72074753ec91c76a714a36a00cc90b4a7ae8 SHA1: e8b2ba3c9c167850cc72a6602c972623b92a6d3c MD5sum: a58ca1283326846a6a5874319e545a82 Description: An input server for X11 applications that want Japanese text input Kinput2 is an input server for X11 applications that want Japanese text input. . A client that wants kana-kanji conversion service for Japanese text sends a request to kinput2. Kinput2 receives the request, does kana-kanji conversion, and sends the converted text back to the client. . This package supports Wnn jserver (version 4 or 6). Package: kio-ftps Version: 0.2+dfsg-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 118 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1) Homepage: http://kasablanca.berlios.de/kio-ftps Priority: extra Section: kde Filename: pool/main/k/kio-ftps/kio-ftps_0.2+dfsg-2_armhf.deb Size: 37008 SHA256: faa32b739b656fc5625eb9bb347b5b3db7ef44cedc593f5cb1981e59d9810275 SHA1: a6becd9006af9abe7382cef87ed149b69db36427 MD5sum: 7f17e72a9de7f7368b8c5c5d60e14611 Description: an ftps KIO slave for KDE 4 An ftps KIO slave for KDE 4, based on rfc4217 and build upon the ftp KIO-slave sources. it should work yet with most server implementations. it issues an "AUTH TLS" command after connecting and refuses to continue, when it's not supported. in prior to every data channel io command ("STOR", "RETR", etc) it tries to secure the data channel via "PBSZ" and "PROT" commands. if that fails it will transfer data unencrypted. there is currently no support for ssl-certificates. this might be added on demand. Package: kio-gopher Version: 0.1.4-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 835 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1) Homepage: http://userbase.kde.org/Kio_gopher Priority: optional Section: kde Filename: pool/main/k/kio-gopher/kio-gopher_0.1.4-1_armhf.deb Size: 78772 SHA256: 767d07f028ece91124e7eb345c9bb3c5d1016269bb5d1cda59e77e7cf210a6ea SHA1: 2fa8352c7c1c4d707c06324e15b7588e0ca90300 MD5sum: 3c966c0a047fd3b4798360cbb7cfcd62 Description: gopher KIO slave for KDE4 kio-gopher provides support for the "gopher:" protocol in Konqueror and in other KDE applications. This allows you to display web contents using the Gopher protocol. . Currently it supports most (if not all) of the Gopher protocol. Package: kipi-plugins Source: digikam Version: 4:2.6.0-1+deb7u1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 17818 Depends: digikam, kde-runtime, libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.12.0), libgomp1 (>= 4.2.1), libgpod4-nogtk (>= 0.7.0) | libgpod4 (>= 0.7.0), libjpeg8 (>= 8c), libkcalcore4 (>= 4:4.5.86), libkdcraw20 (>= 4:4.7.1), libkdecore5 (>= 4:4.7), libkdeui5 (>= 4:4.7), libkexiv2-10 (>= 4:4.7.1), libkio5 (>= 4:4.7.0), libkipi8 (>= 4:4.8.4), libksane0 (>= 4:4.3.4), libopencv-core2.3, libopencv-highgui2.3, libopencv-imgproc2.3, libopencv-legacy2.3, libopencv-objdetect2.3, libphonon4 (>= 4:4.2.0), libpng12-0 (>= 1.2.13-4), libqca2 (>= 2.0.2), libqjson0 (>= 0.7.1), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.6.1), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsolid4 (>= 4:4.7), libstdc++6 (>= 4.4.0), libthreadweaver4 (>= 4:4.7), libtiff4 (>> 3.9.5-3~), libxml2 (>= 2.7.4), libxrandr2 (>= 4.3), libxslt1.1 (>= 1.1.25), phonon, kipi-plugins-common (= 4:2.6.0-1+deb7u1) Recommends: graphicsmagick-imagemagick-compat (>= 1.1.7) | imagemagick (>= 5.4.8), konqueror, hugin, enblend, enfuse Suggests: gallery, gimp, kmail, vorbis-tools Enhances: digikam, gwenview, kphotoalbum, showimg Breaks: digikam (<< 4:2.3.0-2~) Replaces: digikam (<< 4:2.3.0-2~) Homepage: http://www.digikam.org Priority: optional Section: kde Filename: pool/main/d/digikam/kipi-plugins_2.6.0-1+deb7u1_armhf.deb Size: 6070924 SHA256: 06d94a810ce8b8fe9343c204acafee6a8f3ad3053ec6067daa791dbbc6cd3b66 SHA1: adbf63abcae8d3add4d8f89b08cf19eb2f955c3c MD5sum: 418c4eba2123fc4786f28a0e916b5e9b Description: image manipulation/handling plugins for KIPI aware programs KIPI plugins (KDE Image Plugin Interface) is an effort to develop a common plugin structure for digiKam, KPhotoAlbum (formerly known as KimDaBa), Showimg and Gwenview. Its aim is to share image plugins among graphic applications. . Current plugins ported to Qt4/KDE4: . AcquireImages : acquire images using flat scanner Calendar : create calendars DngConverter : convert Raw Image to Digital NeGative Facebook : import/export images to/from a remote Facebook FlickrExport : export images to a remote Flickr based web service GalleryExport : export images to a remote Gallery (and Gallery 2) GPSSync : geolocalize pictures HTMLExport : export images collections into a static XHTML page ImageViewer : preview images using OpenGL JpegLossLess : rotate/flip images without losing quality MetadataEdit : edit EXIF, IPTC and XMP metadata PicasaWebExport : export images to a remote Picasa web service PrintImage : print images in various format RawConverter : convert Raw Image to JPEG/PNG/TIFF RemoveRedEyes : remove red eyes on image automatically SendImages : send images by e-mail SimpleViewerExport : export images to Flash using SimpleViewer SlideShow : Slide images with 2D and 3D effects using OpenGL Smug : import/export images to/from a remote SmugMug TimeAdjust : adjust date and time IpodExport : export pictures to an Ipod device BatchProcess : batch process images (convert, resize, rename, etc.) KIOExport : export images to remote computer using network protocol Shwup : export images to Shwup web service ExpoBlending : create pseudo HDR image with a stack of bracketed images PiwigoExport : export images to a remote Piwigo DebianScreenshots : export images to Debian Screenshots web service Kopete : export images to an instant messaging contact YandexFotki : export images to Yandex web service MediaWiki : export images to Mediawiki based web service RajceExport : export images to Rajce web service Vkontakte : export images to VKontakte web service PhotoLayoutEditor : assemble images together ImageShackExport : export images to ImageShack web service . Porting under progress to Qt4/KDE4: . WallPaper : set image as background on your desktop . Not yet ported to Qt4/KDE4: . CDArchiving : archive your image collections to a CD or DVD MpegEncoder : create an MPEG slideshow with a set of images Package: kipi-plugins-common Source: digikam Version: 4:2.6.0-1+deb7u1 Installed-Size: 15170 Maintainer: Debian KDE Extras Team Architecture: all Replaces: digikam (<< 4:2.3.0-2~) Breaks: digikam (<< 4:2.3.0-2~) Size: 7557474 SHA256: 9c251452455c91caaaaf47d1ea2862281efe2e0dd2734f6540f29dfee2eef4b1 SHA1: 13bfacd91b3541f1ebdbb708da2f1cec6070f6a8 MD5sum: c4f5d4fd44a3501c4847e44e373761b8 Description: kipi-plugins architecture-independent data KIPI plugins (KDE Image Plugin Interface) is an effort to develop a common plugin structure for digiKam, KPhotoAlbum (formerly known as KimDaBa), Showimg and Gwenview. Its aim is to share image plugins among graphic applications. . The architecture independent data needed for the kipi-plugins package. Homepage: http://www.digikam.org Tag: role::app-data, suite::kde Section: graphics Priority: optional Filename: pool/main/d/digikam/kipi-plugins-common_2.6.0-1+deb7u1_all.deb Package: kiriki Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 374 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kiriki_4.8.4-3_armhf.deb Size: 145418 SHA256: 4a4036bfdb5c38edad24edf3ed651f88fe77a91b645b3ab412e895cb6703fbe3 SHA1: 3fc112ce5f4ab7c028b33f224b8da1bf536e0d57 MD5sum: 2327790a26594494bbd9a91af7e018a0 Description: Yahtzee dice game Kiriki is a dice game for up to six players, where each player rolls five dice to make combinations with the highest score. . This package is part of the KDE games module. Package: kism3d Source: s3d Version: 0.2.2-8 Architecture: armhf Maintainer: Simon Wunderlich Installed-Size: 77 Depends: libc6 (>= 2.13-28), libs3d2 (>= 0.2.1), libs3dw2 (>= 0.2.1), libg3d-plugins, s3d-data (= 0.2.2-8) Homepage: http://s3d.sourceforge.net/ Priority: extra Section: net Filename: pool/main/s/s3d/kism3d_0.2.2-8_armhf.deb Size: 26992 SHA256: 1e272ccf5a089af2a3a98452e2f9e1130ed6fc4c09b36c2e0f5fc3606a254c95 SHA1: ed1548cef08f33d5b47a93203872974dbb8ddf17 MD5sum: 157e24e1527c74307fcc34f8bb3f4ec0 Description: 802.11 visualizer for s3d s3d is a 3d network display server which can be used as 3d desktop environment. . This package provides a 802.11 visualizer for s3d. Package: kismet Version: 2008-05-R1-4.3 Architecture: armhf Maintainer: Francois Gurin Installed-Size: 1881 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgmp10, libgomp1 (>= 4.2.1), libmagickcore5 (>= 8:6.7.4.0), libncurses5 (>= 5.5-5~), libpcap0.8 (>= 0.9.8), libstdc++6 (>= 4.6), libtinfo5, zlib1g (>= 1:1.2.3.3), wireless-tools, wireshark-common Suggests: wget, sox, festival, gpsd, gsfonts, libwww-perl Priority: optional Section: net Filename: pool/main/k/kismet/kismet_2008-05-R1-4.3_armhf.deb Size: 897592 SHA256: 83cccbfe6d1be88cc850698a04d67675af78a1a72cdeb41bb6b26d83cfda6aea SHA1: 072976279ca4895d1bafbb311b3ea052565944bd MD5sum: d18ac312575dedb5907fdbe1dd4a0231 Description: Wireless 802.11b monitoring tool Kismet is a 802.11b wireless network sniffer. It is capable of sniffing using almost any supported wireless card using the Airo, HostAP, Wlan-NG, and Orinoco (with a kernel patch) drivers. . Can make use of sox and festival to play audio alarms for network events and speak out network summary on discovery. Optionally works with gpsd to map scanning. Package: kiten Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 31827 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkhtml5 (>= 4:4.8), libkio5 (>= 4:4.8), libkiten4abi1 (= 4:4.8.4-1), libkparts4 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), fonts-vlgothic | fonts-japanese-gothic Suggests: khelpcenter4 Homepage: http://edu.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kiten/kiten_4.8.4-1_armhf.deb Size: 15452906 SHA256: 58f53e1ced777c1f21ba258ee6baf8e9e5573e8e4d04e744ebf94f288760dae0 SHA1: 29854032fd9c61efc277ee7a65f2f676e2ee1755 MD5sum: 8f3d00ef5d645138525828fc28755b66 Description: Japanese reference and study aid for KDE Kiten is a collection of Japanese reference tools and study aids for KDE, including a Japanese/English dictionary, Kanji dictionary, and Kanji quiz. . This package is part of the KDE education module. Package: kiten-dbg Source: kiten Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 6955 Depends: libkiten4abi1 (= 4:4.8.4-1), kde-runtime-dbg Recommends: kiten (= 4:4.8.4-1) Breaks: kdeedu-dbg (<< 4:4.7.2) Replaces: kdeedu-dbg (<< 4:4.7.2) Homepage: http://edu.kde.org/ Priority: extra Section: debug Filename: pool/main/k/kiten/kiten-dbg_4.8.4-1_armhf.deb Size: 2756228 SHA256: 4b5e9458cda010463987bf36df7ccadc6a915819f68cb613f98d0bd8e2a3acd6 SHA1: 9e00c395ab3417423bd098d6b4f5395fd787996f MD5sum: d334f4cfa428b47f6c80697c05561a94 Description: debugging symbols for Kiten This package contains debugging files used to investigate problems with libraries and binaries of Kiten. Package: kjots Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2081 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdeui5 (>= 4:4.6), libkio5 (>= 4:4.6), libkontactinterface4 (>= 4:4.6), libkparts4 (>= 4:4.6), libqt4-dbus (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Conflicts: kde-l10n Breaks: kontact (<< 4:4.1.80) Replaces: kontact (<< 4:4.1.80) Homepage: http://pim.kde.org/ Priority: optional Section: utils Filename: pool/main/k/kdepim/kjots_4.4.11.1+l10n-3_armhf.deb Size: 560044 SHA256: 26465a1b3c229f1c6c9fb6be4e1096bc28ce9c270143d52b2aafbf84ff551329 SHA1: c31c272749189c7a2f4c8768a271b2fc306d78e5 MD5sum: e60e65dbcdbfaa24751e2f6e28c93956 Description: note-taking utility Kjots is a notebook utility that organizes notes using groups of pages. . This package is part of the KDE PIM module. Package: kjumpingcube Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 441 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kjumpingcube_4.8.4-3_armhf.deb Size: 112638 SHA256: f360a50bddc45319160bc9e58a8437f6a9b0c628bd7957b116e422630bf0b7ec SHA1: e2793c9db9e56e1043cdeb1f8daaf4837945248b MD5sum: 9e622ad16ff5093b0c3487d392ffa7e5 Description: simple tactical game KJumpingcube is a simple tactical game for one or two players, played on a grid of numbered squares. Each turn, players compete for control of the board by capturing or adding to one square. . This package is part of the KDE games module. Package: klash Source: gnash Version: 0.8.11~git20120629-1+deb7u1 Architecture: armhf Maintainer: Debian Flash Team Installed-Size: 521 Depends: gnash-common (= 0.8.11~git20120629-1+deb7u1), libboost-program-options1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6 Breaks: klash-opengl (<< 0.8.8~) Homepage: http://www.gnu.org/software/gnash/ Priority: optional Section: video Filename: pool/main/g/gnash/klash_0.8.11~git20120629-1+deb7u1_armhf.deb Size: 199044 SHA256: d79c8b0c4447e11dad2b04758538782a9bff12e56f314e2aa183e14400421867 SHA1: 4a8b323db879edf30b03ed35748afa5e19e661a0 MD5sum: 13942f9249935149b1d03cdaaad5a5e0 Description: GNU Shockwave Flash (SWF) player - Standalone player for KDE GNU Gnash is a free GPL'd SWF player. It runs as a standalone application on either the desktop or embedded devices, or may be used as a plugin for several popular browsers. It supports playing media from a disk or streaming over a network connection. . GNU Gnash is based on GameSWF and supports most SWF v7 features and some SWF v8 and v9. SWF v10 is not supported by GNU Gnash. . Included in the Gnash is an XML based messaging system, as specified in the SWF specification. This lets a SWF animation communicate over a TCP/IP socket, and parse the incoming XML message. This lets an animation be a remote control for other devices or applications. . Klash is a free standalone SWF movie player for KDE that use AGG as the rendering backend. Package: klash-opengl Source: gnash Version: 0.8.11~git20120629-1+deb7u1 Installed-Size: 54 Maintainer: Debian Flash Team Architecture: all Depends: klash (>= 0.8.11~git20120629-1+deb7u1) Size: 27446 SHA256: 97b560efed11572d401f091960de9acce8ab62e02c82219b5ded77437fe4193a SHA1: c615ef4fb2ecc1bde98b3886d97d20e53b563d53 MD5sum: ebb99c3804301cd9703f39f48d92c0f4 Description: dummy package for klash-opengl removal This package is a transitional package for klash-opengl removal. . It can be safely removed when klash is installed. Homepage: http://www.gnu.org/software/gnash/ Tag: interface::x11, role::dummy, role::program, uitoolkit::qt, use::playing, works-with-format::swf, works-with::video, x11::application Section: oldlibs Priority: extra Filename: pool/main/g/gnash/klash-opengl_0.8.11~git20120629-1+deb7u1_all.deb Package: klatexformula Version: 3.2.6-1 Architecture: armhf Maintainer: Tobias Winchen Installed-Size: 2614 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libklatexformula3, libqt4-dbus (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://klatexformula.sourceforge.net/ Priority: extra Section: tex Filename: pool/main/k/klatexformula/klatexformula_3.2.6-1_armhf.deb Size: 1583388 SHA256: c468c4b3a89a7bb0ef62b7152a7d0b178426a777b4027ebac2d3718edee54da0 SHA1: 9a2e04854da7c72485ba38562920a58f1155dabe MD5sum: 22e321544a4de66cc81af486943f9684 Description: GUI to easily get an image from a LaTeX formula or equation With klatexformula, just enter a formula and click "Evaluate" to get an image of a LaTeX formula. You can drag&drop, copy or save the resulting image directly from the GUI, which makes klatexformula a very convenient tool for presentations. Klatexformula also provides also tools for equation prototyping in LaTeX and a user-library of used equations. Package: klavaro Version: 1.9.4-2 Architecture: armhf Maintainer: Bart Martens Installed-Size: 2049 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.16.0), libgtkdatabox-0.9.1-1, libpango1.0-0 (>= 1.14.0) Homepage: http://klavaro.sourceforge.net/ Priority: extra Section: x11 Filename: pool/main/k/klavaro/klavaro_1.9.4-2_armhf.deb Size: 690902 SHA256: c8c66ce05046c3f5c8663623ca29772b20981f7f55bc7ab31a75445d07845076 SHA1: 05a62a780c5f9dfd1aa4785d34092c0d762147a1 MD5sum: c6533f1110ca0fff4235ddab5ebee80f Description: Flexible touch typing tutor Klavaro is a simple tutor to teach correct typing, almost independently of language and very flexible regarding to new or unknown keyboard layouts. . Its key features are: * Internationalization * Ready to use keyboard layouts * Keyboard layout editor * Basic course * Adaptability, velocity and fluidness exercises * Progress charts. Package: kleopatra Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 8638 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgpg-error0 (>= 1.10), libgpgme++2 (>= 4:4.6), libgpgme11 (>= 1.1.2), libkcmutils4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdepim4 (= 4:4.4.11.1+l10n-3), libkdeui5 (>= 4:4.6), libkio5 (>= 4:4.6), libkleo4 (= 4:4.4.11.1+l10n-3), libkmime4 (>= 4:4.6), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), gnupg-agent, gnupg2, gpgsm, dirmngr, pinentry-qt4 | pinentry-x11 Conflicts: kde-l10n Homepage: http://pim.kde.org/ Priority: optional Section: net Filename: pool/main/k/kdepim/kleopatra_4.4.11.1+l10n-3_armhf.deb Size: 3106236 SHA256: 1cd50ce22280aa1f70d2f43151d583968fdb83e2d511b4674e6ce1c91b8f4250 SHA1: 7b23b3a97eaf733c1b5d04a07db54eede5530a98 MD5sum: c234f59ade8df4897d4942cf2dd6fd57 Description: certificate Manager Kleopatra is a tool for managing X.509 certificates and pgp keys. . This package is part of the KDE PIM module. Package: klettres Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 151 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libknewstuff3-4 (>= 4:4.8), libphonon4 (>= 4:4.2.0), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), phonon, klettres-data (>= 4:4.8.4-1) Suggests: khelpcenter4 Homepage: http://edu.kde.org/ Priority: optional Section: kde Filename: pool/main/k/klettres/klettres_4.8.4-1_armhf.deb Size: 48930 SHA256: 00bd0903c7ad72415ea328c0f39510a8f5c772e62707ee425ceec1a92185fcb6 SHA1: e697fc467c415a0e8eb9aa29476a99e9858a5939 MD5sum: 39527c5503509b281233b43625f12515 Description: foreign alphabet tutor for KDE KLettres is an aid for learning how to read and pronounce the alphabet of a foreign language. . Seven languages are currently available: Czech, Danish, Dutch, English, French, Italian and Slovak. . This package is part of the KDE education module. Package: klettres-data Source: klettres Version: 4:4.8.4-1 Installed-Size: 3639 Maintainer: Debian Qt/KDE Maintainers Architecture: all Size: 2684426 SHA256: 341fadbfbaa1a897676da09350b404a96d165fb69520989f0c764c30d835a713 SHA1: 157388456305334dd6b99853b4b6e1faaf932c31 MD5sum: ba58fb3f17bc7bd8ab4c41ff827fec4e Description: data files for KLettres foreign alphabet tutor This package contains architecture-independent data files for KLettres, the foreign alphabet tutor for KDE. . This package is part of the KDE education module. Homepage: http://edu.kde.org/ Tag: role::app-data, use::learning Section: kde Priority: optional Filename: pool/main/k/klettres/klettres-data_4.8.4-1_all.deb Package: klibc-utils Source: klibc Version: 2.0.1-3.1+rpi1 Architecture: armhf Maintainer: maximilian attems Installed-Size: 412 Depends: libklibc (= 2.0.1-3.1+rpi1) Breaks: initramfs-tools (<< 0.103) Homepage: http://git.kernel.org/?p=libs/klibc/klibc.git;a=summary Priority: optional Section: libs Filename: pool/main/k/klibc/klibc-utils_2.0.1-3.1+rpi1_armhf.deb Size: 203914 SHA256: fd926ff763a87c3a7f719cd030cd02d00c50a2f415d9627f60afbb2b4777997e SHA1: 7ce3509d99a60f5e50a40317c6ebb2beb562a7e6 MD5sum: b8463585e56e8bd2ce0a0121b744c8e5 Description: small utilities built with klibc for early boot This package contains a collection of programs that are linked against klibc. These duplicate some of the functionality of a regular Linux toolset, but are typically much smaller than their full-function counterparts. They are intended for inclusion in initramfs images and embedded systems. Package: klick Version: 0.12.2-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 404 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblo7 (>= 0.26~repack), librubberband2, libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.6) Suggests: gtklick Homepage: http://das.nasophon.de/klick/ Priority: optional Section: sound Filename: pool/main/k/klick/klick_0.12.2-1_armhf.deb Size: 177746 SHA256: 5a36514dea61e54567adcaba728b501b6c946b3822b0e69cf596f1294fbc5367 SHA1: 976029f2198b84d786601dc5711489b93525534a MD5sum: 1513fd6f8670e3217470d4c95282fd85 Description: advanced metronome for JACK klick is an advanced command-line based metronome using the JACK sound server. It allows you to define complex tempo maps for entire songs or performances. . A single meter and tempo can be specified on the command line, while more complex tempo maps can be read from plain text files. Alternatively, it's also possible to run klick in interactive mode, where the tempo can be changed at runtime using the keyboard, or to follow tempo information read from JACK transport. Package: klickety Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2215 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7), libknotifyconfig4 (>= 4:4.7), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), perl Breaks: ksame (<< 4:4.6) Replaces: ksame (<< 4:4.6) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/klickety_4.8.4-3_armhf.deb Size: 1024260 SHA256: e97f11ae9cc4817e8a1112a99534bc0212ae032061305468f969636ec74e7090 SHA1: cb1fd9e41af28d5cb0eee3cb55e1490642f69e1b MD5sum: 4577940efcae56db56dce78a3aa70880 Description: SameGame puzzle game Klickety is a puzzle game where the player removes groups of colored marbles to clear the board. . This package is part of the KDE games module. Package: klines Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1336 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/klines_4.8.4-3_armhf.deb Size: 1105364 SHA256: ccc1a874d1df7454e77a23e58d2c552b3a975da93cfb799d3cf099689df149bb SHA1: 1f9a08c8c64023d3649c818f7437cf91bab9d71f MD5sum: 686ded0c35ef369841a8c4b00f4b5396 Description: color lines game KLines is a single-player game where the player removes colored balls from the board by arranging them into lines of five or more. However, every time the player moves a ball, three more balls are added to the board. . This package is part of the KDE games module. Package: klinkstatus Source: kdewebdev Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1184 Depends: kde-runtime, kdepim-runtime, kdepimlibs-kio-plugins, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkhtml5 (>= 4:4.8), libkio5 (>= 4:4.8), libkmime4 (>= 4:4.8), libknewstuff2-4 (>= 4:4.8), libkparts4 (>= 4:4.8), libkpimidentities4 (>= 4:4.8), libkrossui4 (>= 4:4.8), libmailtransport4 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libthreadweaver4 (>= 4:4.8), libtidy-0.99-0 Suggests: khelpcenter4 Homepage: http://kdewebdev.org/ Priority: optional Section: web Filename: pool/main/k/kdewebdev/klinkstatus_4.8.4-1_armhf.deb Size: 603940 SHA256: 6c50239ad6da8f0996692dc51f33b0ca70e990b137ad27c49dc8e2ec5031a515 SHA1: 42dd1b3a3b8d647d0c3e3c60f1e93555ef3996ff MD5sum: 253b7d52b3ba36049aed5e7247d5a449 Description: web link validity checker KLinkStatus is KDE's web link validity checker. It allows you to search internal and external links throughout your web site. Simply point it to a single page and choose the depth to search. . You can also check local files, or files over ftp:, fish: or any other KIO protocols. For performance, links can be checked simultaneously. . This package is part of KDE web development module. Package: klipper Source: kde-workspace Version: 4:4.8.4-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 426 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libprison0 (>= 1.0), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6, zlib1g (>= 1:1.1.4) Homepage: http://www.kde.org/ Priority: optional Section: x11 Filename: pool/main/k/kde-workspace/klipper_4.8.4-6_armhf.deb Size: 111302 SHA256: c29688337e630787b9cff28fff152d14ce8a7a4fb710394b819f782e61d97aee SHA1: 399e404d06651d3bad46738e1296fc4007e7bbbf MD5sum: fce6471cc88cbe0fe258d0497be95e13 Description: clipboard manager Klipper is a clipboard manager. It stores a history of the clipboard contents and can automatically perform actions when certain items are selected, such as launch a web browser when a URL is selected. . This package is part of the KDE base workspace module. Package: klog Version: 0.5.9-1 Architecture: armhf Maintainer: Jaime Robles Installed-Size: 1141 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhamlib2 (>= 1.2.15.1), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://jaime.robles.es/klog/ Priority: optional Section: hamradio Filename: pool/main/k/klog/klog_0.5.9-1_armhf.deb Size: 649186 SHA256: e9cd4f860571c92086696905e9b365b0eea1e2fa46d5bc2332356be5f110f8be SHA1: 34b37ecfc30343a1e96cac8670cb5b127c1dcbcc MD5sum: 966c5ab2c7831361d8c9be8af47b56ec Description: KDE ham radio logging program This package provides a ham radio logging program for KDE. This application supports logging for HF and VHF operations. It supports many features like QSL, DXCC, IOTA, WAZ and awards. KLog imports from TLF and produces ADIF as default file format. This application also includes a DX-Cluster client fully integrated into the main interface. Package: klogd Source: sysklogd Version: 1.5-6.2 Architecture: armhf Maintainer: Martin Schulze Installed-Size: 105 Depends: libc6 (>= 2.7), sysklogd | system-log-daemon, adduser, lsb-base, initscripts (>= 2.88dsf-13.3) Conflicts: sysklogd (<= 1.3-33) Replaces: sysklogd Provides: linux-kernel-log-daemon Priority: extra Section: admin Filename: pool/main/s/sysklogd/klogd_1.5-6.2_armhf.deb Size: 44338 SHA256: 36898e52c5a31e987332d71cdf11060208fb61a35eb57ee70976c3fdcf282625 SHA1: 823b8c056aa02e997a5881a8ce4fb8d0830be5c6 MD5sum: 3f669f264297389fada475bf6674e9a7 Description: Kernel Logging Daemon The klogd daemon listens to kernel message sources and is responsible for prioritizing and processing operating system messages. The klogd daemon can run as a client of syslogd or optionally as a standalone program. Klogd can now be used to decode EIP addresses if it can determine a System.map file. Package: klone Version: 2.1.0~rc1-1 Installed-Size: 572 Maintainer: Kari Pahula Architecture: all Replaces: klone-source Recommends: klone-package Suggests: klone-doc Conflicts: klone-package (<= 0.2), klone-source Size: 439798 SHA256: 8bb2e781d69a64b249ee72f112e8ec2cf8915a9f91590878a6be8659fc3fd695 SHA1: cd34a642c0d740948325bfcc1a1ca6a5d47f84ff MD5sum: 9c17cc7ed674ce059a7da4ae7bc292ac Description: embedded web application development framework This package contains KLone's source code, to be used to build custom kloned servers. . KLone is a fully-featured, multiplatform, web application development framework, targeted especially for embedded systems and appliances. . It is a self-contained solution which includes a web server and an SDK for creating WWW sites with both static and dynamic content. When using KLone, there's absolutely no need for any additional component: neither the HTTP/S server (e.g. Apache, Netscape, Roxen), nor the typical active pages engine (PHP, Perl, ASP, Python). . KLone does everything, and does it fast and small. . KLone blends the HTTP/S server application together with its content and configuration into a single executable file. The site developer writes his/her dynamic pages in C/C++ (in usual scripting style: <% /* code */ %>) and uses KLone to transform them into embeddable, compressed native code with the native C/C++ compiler. The result is then linked to the HTTP/S server skeleton to obtain one single, ROM-able, binary file. This means that he/she can get: - easy, complete and unfiltered interaction with the host operating system - dynamic pages in native compiled code, which in turn implies - fast execution and - small overall application footprint - all of this without giving up the common functionality of web application frameworks such as sessions, parsing of form variables, cookies, etc Homepage: http://www.koanlogic.com/klone/ Tag: devel::lang:c, devel::web, hardware::embedded, implemented-in::c, interface::daemon, network::server, protocol::http, protocol::ssl, role::program, scope::application, use::transmission, web::appserver, web::server Section: web Priority: extra Filename: pool/main/k/klone/klone_2.1.0~rc1-1_all.deb Package: klone-package Source: webserver-package Version: 0.3 Installed-Size: 112 Maintainer: Kari Pahula Architecture: all Depends: klone (>= 2.0.0~rc9-1) Recommends: libz-dev, libssl-dev Size: 9596 SHA256: 79966822ff1859523084dacb4a06787672ba71b88d7916ecb941c430de5c4a6f SHA1: 355b675bf47be2d81b02e9292185e23a44faa6d3 MD5sum: d6cd988a07f5863d570e3e3ce88d247d Description: tool for creating custom KLone web server packages This package has utilities that help creating packages containing a custom web server created by KLone web application development framework. Tag: devel::buildtools, devel::packaging, devel::web, implemented-in::shell, interface::commandline, role::program, scope::utility Section: web Priority: extra Filename: pool/main/w/webserver-package/klone-package_0.3_all.deb Package: kluppe Version: 0.6.14-1 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 327 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblo7 (>= 0.26~repack), libpango1.0-0 (>= 1.14.0), libsndfile1 (>= 1.0.20), libusb-0.1-4 (>= 2:0.1.12), libxml2 (>= 2.7.4) Recommends: jackd Homepage: http://kluppe.klingt.org/ Priority: optional Section: sound Filename: pool/main/k/kluppe/kluppe_0.6.14-1_armhf.deb Size: 103370 SHA256: 7e8cf9e91a9a856f0fccb223497558c263673fe89527089ddb9121be621db38c SHA1: e445d39a73d307d9b81dc70a99aaff2f388cca75 MD5sum: 67c870d010b57a0914c01303a40127ac Description: a loop-player and recorder designed for live use kluppe is written in c and uses jack, gtk and sndfile. Features include: . - multiple files buffers, multiple 'loopers' tracks - direct (gui) access to all loopers - combined and per loop output ports for jack - different playmodes including "granular" . kluppe is the austrian word for clip and sounds even crazier if you loop it. Package: klustakwik Version: 2.0.1-1 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 91 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://sourceforge.net/projects/klustakwik/ Priority: extra Section: science Filename: pool/main/k/klustakwik/klustakwik_2.0.1-1_armhf.deb Size: 22356 SHA256: 929cc617d2f0a264c3654d42ed735a27694dd7bd334936eabbd957709bb1e0e8 SHA1: 0dd6b6e4a8ab523643cff69bcaa86d0ce4e62b57 MD5sum: 49efde811c668db73e87969190eaafde Description: automatic sorting of the samples (spikes) into clusters KlustaKwik is a program for automatic clustering of continuous data into a mixture of Gaussians. The program was originally developed for sorting of neuronal action potentials, but can be applied to any sort of data. Package: kmag Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 282 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1) Homepage: http://www.kde.org Priority: optional Section: utils Filename: pool/main/k/kmag/kmag_4.8.4-3_armhf.deb Size: 107970 SHA256: 6b251264bfd0b02c719e382c16cfa318feb1a3108c57eb5c25551ce03ee7f068 SHA1: 2833b55d2976245fb5891661c46c8609a10402b0 MD5sum: b204becbdf38222fe6b813014a786f20 Description: screen magnifier tool KDE's screen magnifier tool. . You can use KMagnifier to magnify a part of the screen just as you would use a lens to magnify a newspaper fine-print or a photograph. This application is useful for a variety of people: from researchers to artists to web-designers to people with low vision. . This package is part of the KDE accessibility module. Package: kmag-dbg Source: kmag Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1103 Depends: kmag (= 4:4.8.4-3) Breaks: kdeaccessibility-dbg (<< 4:4.8) Replaces: kdeaccessibility-dbg (<< 4:4.8) Homepage: http://www.kde.org Priority: extra Section: debug Filename: pool/main/k/kmag/kmag-dbg_4.8.4-3_armhf.deb Size: 430058 SHA256: c1d05bbcc328c4fd9ef7d139b1802a5af166af0eb535a9ee7cbb13a5a8d7a642 SHA1: 1d644eb199cf68f182b4cda6fef05075743e1eb8 MD5sum: 98b7b4f642d2d1ea4a9ea34d04eac4c9 Description: debugging symbols for kmag This package contains the debugging symbols for kmag. It is to be used in order to be able provide proper back traces for possible crashes or for running kmag in gdb or valgrind for meaningful output . This package is part of the KDE accessibility module. Package: kmahjongg Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1703 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7), libkmahjongglib4 (>= 4:4.6.2), libqtcore4 (>= 4:4.6.1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), kdegames-mahjongg-data (>= 4:4.8.4-3) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kmahjongg_4.8.4-3_armhf.deb Size: 1076546 SHA256: c3fa07434af9963ba4a630f2d0312e8fcee5c1feba7f2e9e5ae0cccf600d5b0d SHA1: bfdb3fb578cbf6b17f8b9ee94187f7eb7ff06336 MD5sum: d728bb4df8e267352e2014e063b2fb84 Description: mahjongg solitaire game KMahjongg is a solitaire game where the player removes matching Mahjongg tiles to clear the board. A variety of tile layouts are included, as well as an editor to create new layouts. . This package is part of the KDE games module. Package: kmail Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 28165 Depends: kde-runtime, kdepim-runtime, kdepimlibs-kio-plugins, libakonadi-contact4 (>= 4:4.6), libakonadi-kde4 (>= 4:4.6), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgpgme++2 (>= 4:4.6), libkabc4 (>= 4:4.6), libkcal4 (>= 4:4.6), libkcmutils4 (>= 4:4.6), libkde3support4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdepim4 (= 4:4.4.11.1+l10n-3), libkdeui5 (>= 4:4.6), libkhtml5 (>= 4:4.6), libkimap4 (>= 4:4.6), libkio5 (>= 4:4.6), libkldap4 (>= 4:4.6), libkleo4 (= 4:4.4.11.1+l10n-3), libkmime4 (>= 4:4.6), libknotifyconfig4 (>= 4:4.6), libkontactinterface4 (>= 4:4.6), libkparts4 (>= 4:4.6), libkpgp4 (= 4:4.4.11.1+l10n-3), libkpimidentities4 (>= 4:4.6), libkpimtextedit4 (>= 4:4.6), libkpimutils4 (>= 4:4.6), libkresources4 (>= 4:4.6), libksieve4 (= 4:4.4.11.1+l10n-3), libktnef4 (>= 4:4.6), libmailtransport4 (>= 4:4.6), libmessagecore4 (= 4:4.4.11.1+l10n-3), libmessagelist4 (= 4:4.4.11.1+l10n-3), libmimelib4 (= 4:4.4.11.1+l10n-3), libnepomuk4 (>= 4:4.6), libphonon4 (>= 4:4.6.0really4.3.80), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta2), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libthreadweaver4 (>= 4:4.6), phonon, perl Recommends: gnupg2, gnupg-agent, pinentry-qt4 | pinentry-x11 Suggests: kaddressbook, kleopatra, spamassassin | bogofilter | annoyance-filter | spambayes | bsfilter | crm114, clamav | f-prot-installer, procmail Conflicts: kde-l10n Breaks: akonadi-kde (<< 4:4.1.3), kmailcvt (<< 4:3.90.0), kontact (<< 4:4.1.80) Replaces: akonadi-kde (<< 4:4.1.3), kmailcvt (<< 4:3.90.0), kontact (<< 4:4.1.80) Provides: imap-client, mail-reader Homepage: http://kontact.kde.org/kmail/ Priority: optional Section: mail Filename: pool/main/k/kdepim/kmail_4.4.11.1+l10n-3_armhf.deb Size: 9684074 SHA256: b52758a2dbe2863a05ff615881731866e4c60e067ffb44ec7bd03698d7edfca4 SHA1: 65c0e84f186df322d90c771390f14278de2017ee MD5sum: d5cbad3001ba32d6f7207bc812ca5c5b Description: full featured graphical email client KMail is a fully-featured email client that fits nicely into the KDE desktop. It has features such as support for IMAP, POP3, multiple accounts, mail filtering and sorting, PGP/GnuPG privacy, and inline attachments. . This package also contains Nepomuk EMail Feeder Akonadi Agent. . This package is part of the KDE PIM module. Package: kmenuedit Source: kde-workspace Version: 4:4.8.4-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 608 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.1.1) Breaks: kdebase-workspace-bin (<< 4:4.7.2), kdebase-workspace-data (<< 4:4.7.2) Replaces: kdebase-workspace-bin (<< 4:4.7.2), kdebase-workspace-data (<< 4:4.7.2) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kde-workspace/kmenuedit_4.8.4-6_armhf.deb Size: 265004 SHA256: 3c061de31b746cf542f880dfc1d0b6be27c76979a4bc1a6ab627edd06db93378 SHA1: 633dc33574b44ba45d2c48a14b4e26776e4affe2 MD5sum: af238f21a2edeff3ed5135782e9bd732 Description: XDG menu editor This package provides a menu editor which may be used to edit the KDE Plasma workspaces menu or any other XDG menu. . This package is part of the KDE base workspace module. Package: kmess Version: 2.0.6.1-3 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 6925 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgif4 (>= 4.1.4), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.4.0), libkhtml5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libknewstuff2-4 (>= 4:4.3.4), libknotifyconfig4 (>= 4:4.3.4), libkonq5abi1 (>= 4:4.6.1), libkparts4 (>= 4:4.5.85), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-test (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsolid4 (>= 4:4.3.4), libstdc++6 (>= 4.4.0), libx11-6, libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), libxss1, phonon Suggests: konqueror Homepage: http://kmess.org Priority: optional Section: net Filename: pool/main/k/kmess/kmess_2.0.6.1-3_armhf.deb Size: 3486348 SHA256: d5ed136b242c172290994b5ebc3a667c0c16ae1eeccabe11fcfa4220d085b544 SHA1: 5fe5d7ff103975b046ce0349e1854bccea7d6625 MD5sum: 7f673f34d321ac4c3d4abaddbdd2020c Description: MSN messenger for KDE An alternative MSN Messenger chat client for Linux. It allows Linux users to chat with friends who use MSN Messenger in Windows or Mac OS. The strength of KMess is it's integration with the KDE desktop environment, focus on MSN Messenger specific features and an easy-to-use interface. Package: kmetronome Version: 0.10.1-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 679 Depends: kde-runtime, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libdrumstick0, libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libkfile4 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://kmetronome.sourceforge.net/kmetronome.shtml Priority: extra Section: sound Filename: pool/main/k/kmetronome/kmetronome_0.10.1-1_armhf.deb Size: 275226 SHA256: af907864fded41ea486f8db57d8a490500ede7834e16bc7478a2c0f78ddfc5eb SHA1: a7e9bb4e90e1e02567fd7656af81e6fa4bb9e6b7 MD5sum: 29defac16717413e2ccd65ce82571740 Description: ALSA MIDI Metronome KMetronome is a MIDI based metronome using the ALSA sequencer. . The intended audience are musicians and music students. Like the solid, real metronomes it is a tool to keep the rhythm while playing musical instruments. . It uses MIDI for sound generation instead of digital audio, allowing low CPU usage and very accurate timing thanks to the ALSA sequencer Package: kmfl-keyboards-mywin Version: 2.1.1-2 Installed-Size: 80 Maintainer: Keith Stribley Architecture: all Depends: scim-kmfl-imengine, kmflcomp Recommends: ttf-sil-padauk, pangographite Size: 8668 SHA256: 4da6571810ffb88161f041ca095f47978afd43fbdd9186aeb3a55c07f0970589 SHA1: 95dd0e8126225eccc337fe91118e3d7f92c2e3a4 MD5sum: 1aa086c9b8f15c7b24ab0d9b1aec3681 Description: myWin Myanmar (Burmese) Unicode Keyboard This implements a smart keyboard for Myanmar/Burmese using SCIM and KMFL. It performs sequence checking and reordering to ensure that the text is conformant to Unicode Technical Note 11. This keyboard supports the changes in Unicode 5.1.0. Homepage: http://www.thanlwinsoft.org/ Section: utils Priority: extra Filename: pool/main/k/kmfl-keyboards-mywin/kmfl-keyboards-mywin_2.1.1-2_all.deb Package: kmflcomp Version: 0.9.8-1 Architecture: armhf Maintainer: Doug Rintoul Installed-Size: 109 Depends: libkmflcomp0 (= 0.9.8-1), libc6 (>= 2.13-28), libx11-6 Priority: optional Section: utils Filename: pool/main/k/kmflcomp/kmflcomp_0.9.8-1_armhf.deb Size: 34194 SHA256: 8eb95657268ca348ae05f6812fbc97ed8459cd8bf721c9e451110ba2cedfb2df SHA1: 5842417ddcba6204cd3101e07fad74a7fec55f25 MD5sum: 43dcf35a132a39bf6672a08107399674 Description: KMFL (Keyboard Mapping for Linux) Compiler Compile Keyman-style keyboard layout files to a binary format for use by the KMFL keystroke interpreter. Package: kmidimon Version: 0.7.4-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 771 Depends: kde-runtime, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libdrumstick0, libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Homepage: http://kmidimon.sourceforge.net Priority: optional Section: sound Filename: pool/main/k/kmidimon/kmidimon_0.7.4-2_armhf.deb Size: 338840 SHA256: 55f95c20ce946b2c06554fc408c5e49eb6cc1239b3784770d76d1dff43723e2e SHA1: 0226907092f479c0388f2c4f8cd033d812c6ed71 MD5sum: 87514aa96290027cdd1f86fee3037ec5 Description: MIDI monitor using ALSA sequencer and KDE user interface KMidimon can monitor a MIDI data stream, in order to diagnose a malfunctioning piece of equipment or to examine the contents of a MIDI sequence during playback. Package: kmines Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 929 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kmines_4.8.4-3_armhf.deb Size: 663782 SHA256: 40544d25e16103d4abeaa2c1a9d921390755e3745b81eb45feea075916a4d3ae SHA1: 5ca9a7aebde7426be941f1696975c0c7b312dd5c MD5sum: 91063886b0026aeecd3e0e8de7fe2de3 Description: minesweeper game KMines is a game where the player finds hidden mines without setting them off. . This package is part of the KDE games module. Package: kmix Source: kdemultimedia Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1446 Depends: kde-runtime, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libphonon4 (>= 4:4.6.0really4.3.80), libplasma3 (>= 4:4.8), libpulse-mainloop-glib0 (>= 0.99.1), libpulse0 (>= 0.99.1), libqt4-dbus (>= 4:4.6.1), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.6.1), libsolid4 (>= 4:4.8), libstdc++6 (>= 4.4.0), phonon Provides: x-audio-mixer Homepage: http://www.kde.org/ Priority: optional Section: sound Filename: pool/main/k/kdemultimedia/kmix_4.8.4-2_armhf.deb Size: 593904 SHA256: 834bb3ef870e25b2ae924850c2d5d7fa188b6610da55f98e1136b85c6a4cb7f9 SHA1: d18f56249fbd012d7f306ea88ab95d4025255c15 MD5sum: c278bcee425bb3599424a94673348b46 Description: volume control and mixer KMix is an audio device mixer, used to adjust volume, select recording inputs, and set other hardware options. . This package is part of the KDE multimedia module. Package: kmldonkey Version: 2.0.5+kde4.3.3-2 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 2282 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkde3support4 (>= 4:4.3.4), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.3.4), libkfile4 (>= 4:4.3.4), libkhtml5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkjsapi4 (>= 4:4.3.4), libknotifyconfig4 (>= 4:4.3.4), libkparts4 (>= 4:4.3.4), libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libphonon4 (>= 4:4.2.0), libplasma3 (>= 4:4.4.4-2~), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0) Recommends: mldonkey-server Homepage: http://extragear.kde.org/apps/kmldonkey/ Priority: optional Section: net Filename: pool/main/k/kmldonkey/kmldonkey_2.0.5+kde4.3.3-2_armhf.deb Size: 757498 SHA256: 3fb9d059ecc7cb80cb6e49c2b2d5f40b4a782f477885fb43e31a60fdf16b5ca7 SHA1: dac624b3fa4ef1963afa52f17674059a36e20a03 MD5sum: 35a19f2b2d2111b3af3fb27a893e1ea0 Description: KDE GUI for MLDonkey KMLDonkey is a frontend for MLDonkey, a powerful P2P file sharing tool, designed for the KDE desktop. Package: kmod Version: 9-3 Architecture: armhf Maintainer: Marco d'Itri Installed-Size: 184 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkmod2 (>= 6~), lsb-base (>= 3.0-6) Breaks: module-init-tools (<< 4) Replaces: module-init-tools (<< 4) Multi-Arch: foreign Priority: important Section: admin Filename: pool/main/k/kmod/kmod_9-3_armhf.deb Size: 60392 SHA256: a4b04076c4dbd238e711a79cb6c49b21e2036cecd244f1498762310b3a60eaf0 SHA1: d7db823365f9bfb391fe065c426bcce16c0f99f6 MD5sum: ac1d9eeb84dcf6f94be0fd3ea68fcec2 Description: tools for managing Linux kernel modules This package contains a set of programs for loading, inserting, and removing kernel modules for Linux. It replaces module-init-tools. Package: kmousetool Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 222 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libphonon4 (>= 4:4.2.0), libqtcore4 (>= 4:4.6.1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6, libxtst6, phonon Homepage: http://www.kde.org Priority: optional Section: utils Filename: pool/main/k/kmousetool/kmousetool_4.8.4-3_armhf.deb Size: 55746 SHA256: eab8d83c969e2817de2d67b82acdde8ff93c9f6462f6f63c9ec511a8935febea SHA1: ac46bd20f578740ff1ffb84b36f9dd79c8ff8d51 MD5sum: 3192996c541b49f8c7807cca43ad5f3a Description: mouse manipulation tool for the disabled KMouseTool clicks the mouse whenever the mouse cursor pauses briefly. It was designed to help those with repetitive strain injuries, for whom pressing buttons hurts. . This package is part of the KDE accessibility module. Package: kmousetool-dbg Source: kmousetool Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 727 Depends: kmousetool (= 4:4.8.4-3) Breaks: kdeaccessibility-dbg (<< 4:4.8) Replaces: kdeaccessibility-dbg (<< 4:4.8) Homepage: http://www.kde.org Priority: extra Section: debug Filename: pool/main/k/kmousetool/kmousetool-dbg_4.8.4-3_armhf.deb Size: 269926 SHA256: a7905f6e02ffc716e82fcfeb1d6d037fb89c9e47beb6f50b4b461c52145f2336 SHA1: 8980c4c0a0c7d4479706b494a0bdf96221f2f316 MD5sum: e3eabd757e548a471a599655c0d77423 Description: debugging symbols for kmousetool This package contains the debugging symbols for kmousetool. It is to be used in order to be able provide proper back traces for possible crashes or for running kmousetool in gdb or valgrind for meaningful output . This package is part of the KDE accessibility module. Package: kmouth Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 845 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkde3support4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1) Homepage: http://www.kde.org Priority: optional Section: utils Filename: pool/main/k/kmouth/kmouth_4.8.4-3_armhf.deb Size: 406742 SHA256: b68682da32d0da0f28ba2d940e1f20b938267c2f0b8d0352113cf12bb753f2b3 SHA1: 3f096cde54336e41f9788040db7e00b097c125d5 MD5sum: a2752fa6d5213cf6b82a894820bca632 Description: type-and-say frontend for speech synthesizers KDE's type-and-say frontend for speech synthesizers. . It includes a history of spoken sentences from which the user can select sentences to be re-spoken. . This package is part of the KDE accessibility module. Package: kmouth-dbg Source: kmouth Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 4125 Depends: kmouth (= 4:4.8.4-3) Breaks: kdeaccessibility-dbg (<< 4:4.8) Replaces: kdeaccessibility-dbg (<< 4:4.8) Homepage: http://www.kde.org Priority: extra Section: debug Filename: pool/main/k/kmouth/kmouth-dbg_4.8.4-3_armhf.deb Size: 1656756 SHA256: 6e89271a494efc5ad498e47400bee65fccc6780b094ccdeff77ae8749c0a9547 SHA1: 4b6904966e26d358eb558c899a36d4e668fcb301 MD5sum: fd12f4409b06a819111280c57c2ffa88 Description: debugging symbols for kmouth This package contains the debugging symbols for kmouth. It is to be used in order to be able provide proper back traces for possible crashes or for running kmouth in gdb or valgrind for meaningful output . This package is part of the KDE accessibility module. Package: kmplayer Version: 1:0.11.3c-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 3416 Depends: kde-runtime, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libkde3support4 (>= 4:4.3.4), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.4.0), libkmediaplayer4 (>= 4:4.3.4), libkparts4 (>= 4:4.5.85), libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libpango1.0-0 (>= 1.14.0), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libsolid4 (>= 4:4.3.4), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.6), libx11-6, phonon, dbus-x11 Suggests: ffmpeg, vdr, xawtv, konqueror, mplayer Conflicts: kmplayer-common, kmplayer-plugin Replaces: kmplayer-common, kmplayer-plugin Homepage: http://kmplayer.kde.org Priority: optional Section: video Filename: pool/main/k/kmplayer/kmplayer_0.11.3c-1_armhf.deb Size: 874368 SHA256: 3d37e2c4ed143aa855ce0ae53a521a2df835558efdeabec8b1c20fd075dfca50 SHA1: 5bd472b6658eea4ba089ac102112078d364b6d26 MD5sum: 409a765942b366a2a849069d3b7fd86b Description: media player for KDE KMPlayer is a simple frontend for MPlayer/FFMpeg/Phonon. . Some features: * play DVD/VCD movies (from file or url and from a video device) * embed inside konqueror (movie is played inside konqueror) * embed inside khtml (movie playback inside a html page) * Movie recording using mencoder (part of the mplayer package) * No video during recording, but you can always open a new window and play it * Broadcasting, http streaming, using ffserver/ffmpeg * For TV sources, you need v4lctl (part of the xawtv package) Package: kmplot Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1497 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libkparts4 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libkdeedu-data Suggests: khelpcenter4 Homepage: https://projects.kde.org/projects/kde/kdeedu/kmplot Priority: optional Section: math Filename: pool/main/k/kmplot/kmplot_4.8.4-2_armhf.deb Size: 861774 SHA256: baad253703b1ef9ed36bfe1777edd9b85741c20ed0d2014a7c3855490363e384 SHA1: c939c7da7be68b6dfae3082964cbddf17d195b22 MD5sum: c50db13f35174047b5c48cf79f0b2dc1 Description: mathematical function plotter for KDE KmPlot is a powerful mathematical plotter KDE, capable of plotting multiple functions simultaneously and combining them into new functions. . Cartesian, parametric, and differential functions are supported, as well as functions using polar coordinates. Plots are printed with high precision at the correct aspect ratio. . KmPlot also provides numerical and visual features such as filling and calculating the area between the plot and the first axis, finding maxima and minima, changing function parameters dynamically, and plotting derivatives and integral functions. . This package is part of the KDE education module. Package: kmtrace Source: kdesdk Version: 4:4.8.4+dfsg-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 276 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0), less Homepage: http://www.kde.org Priority: optional Section: devel Filename: pool/main/k/kdesdk/kmtrace_4.8.4+dfsg-1_armhf.deb Size: 63136 SHA256: 70709c2851ac4724d62565d2ef6fa7e1251f015d39495f22cc929733b61e4cc3 SHA1: d889b43196349a14ad995122c97a22eb2eb5e0d6 MD5sum: 636d2477d87742687dbc59dd807688ce Description: memory leak tracer KMtrace is a KDE tool to assist with malloc debugging using glibc's "mtrace" functionality. . This package is part of the KDE Software Development Kit module. Package: kmymoney Version: 4.6.2-3.2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 7729 Depends: kde-runtime, kdepim-runtime, libalkimia4, libaqbanking34 (>= 4.99.2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libgpgme++2 (>= 4:4.4.4), libgwengui-qt4-0, libgwenhywfar60 (>= 3.11.6), libical0 (>= 0.30), libkabc4 (>= 4:4.4.4), libkcmutils4 (>= 4:4.4.95), libkdecore5 (>= 4:4.4.4-2~), libkdeui5 (>= 4:4.4.4), libkfile4 (>= 4:4.4.4), libkholidays4 (>= 4:4.5.0), libkhtml5 (>= 4:4.4.4), libkio5 (>= 4:4.4.4), libofx4, libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), kmymoney-common (= 4.6.2-3.2) Recommends: gpg-agent, pinentry-qt4 Homepage: http://kmymoney2.sourceforge.net Priority: optional Section: kde Filename: pool/main/k/kmymoney/kmymoney_4.6.2-3.2_armhf.deb Size: 3428074 SHA256: 483e753f5f947c1b4d35de73e6f0ba7784d9a44e6fd748ab7b390cf7e697430d SHA1: 4de47c977383b5dea521d2b92b435cc219d92428 MD5sum: 50f6c8e4879060a0bfb32dd3104038c2 Description: personal finance manager for KDE KMyMoney is the Personal Finance Manager for KDE. It operates similar to MS-Money and Quicken, supports different account types, categorisation of expenses, QIF import/export, multiple currencies and initial online banking support. Package: kmymoney-common Source: kmymoney Version: 4.6.2-3.2 Installed-Size: 30343 Maintainer: Debian KDE Extras Team Architecture: all Recommends: kmymoney Size: 21414920 SHA256: ac5970bbab630c1d4f6a6239d5a1e5e2fd84d91d991d9df4a8c69a2ce9218d30 SHA1: b32c93842ebd51f2c972afdf205694bf142e3d8b MD5sum: 29757a6a019f7af0379018fbf2446d2b Description: KMyMoney architecture independent files KMyMoney is the Personal Finance Manager for KDE. It operates similar to MS-Money and Quicken, supports different account types, categorisation of expenses, QIF import/export, multiple currencies and initial online banking support. . This package contains architecture independent files needed for KMyMoney to run properly. It also provides KMyMoney documentation. Therefore, unless you have 'kmymoney' package installed, you will hardly find this package useful. Homepage: http://kmymoney2.sourceforge.net Tag: role::app-data Section: kde Priority: optional Filename: pool/main/k/kmymoney/kmymoney-common_4.6.2-3.2_all.deb Package: kmymoney-dbg Source: kmymoney Version: 4.6.2-3.2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 85833 Depends: kmymoney (= 4.6.2-3.2) Homepage: http://kmymoney2.sourceforge.net Priority: extra Section: debug Filename: pool/main/k/kmymoney/kmymoney-dbg_4.6.2-3.2_armhf.deb Size: 34757106 SHA256: 72853afeac09af1c5c353f9e0173438537071e613379036cc905f3d4403a5ee9 SHA1: f60e5018ac8960d4ef6c9d1efa67a5fc6990c641 MD5sum: 463b31b11e1e31e2de0f8ad0dfa384af Description: KMymoney debugging symbols KMyMoney is the Personal Finance Manager for KDE. It operates similar to MS-Money and Quicken, supports different account types, categorisation of expenses, QIF import/export, multiple currencies and initial online banking support. . This package contains the debugging symbols associated with kmymoney. They will automatically be used by gdb for debugging kmymoney-related issues. Package: kmymoney-dev Source: kmymoney Version: 4.6.2-3.2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 717 Depends: kmymoney (= 4.6.2-3.2) Homepage: http://kmymoney2.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/k/kmymoney/kmymoney-dev_4.6.2-3.2_armhf.deb Size: 234664 SHA256: 68fc824e3957d6a41938373f6ad8c1f625f58206a4897b2216203884abbebba7 SHA1: 9f5708f06dbe2a76db8df3b77acf43b463ec5a76 MD5sum: 2c75b4702d736b48dacd311313a298f8 Description: KMyMoney development files KMyMoney is the Personal Finance Manager for KDE. It operates similar to MS-Money and Quicken, supports different account types, categorisation of expenses, QIF import/export, multiple currencies and initial online banking support. . This package contains development files needed for KMyMoney plugins. Package: knemo Version: 0.7.3-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 2231 Depends: systemsettings, libqt4-sql-sqlite, kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libiw30 (>= 30~pre1), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.4.0), libkio5 (>= 4:4.3.4), libknotifyconfig4 (>= 4:4.3.4), libnl1 (>= 1.1), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Homepage: http://extragear.kde.org/apps/knemo/ Priority: optional Section: kde Filename: pool/main/k/knemo/knemo_0.7.3-1_armhf.deb Size: 809428 SHA256: 0be732af0164fcf19f0be1e41e915b87e2a74c633294dac6052ed93e310008f4 SHA1: e735773565571483dc37ceca74783a6fb2967203 MD5sum: b98ae10bf7333efe6bcbcabe89582dba Description: network interfaces monitor for KDE's systray KNemo displays for every network interface an icon in the systray. Tooltips and an info dialog provide further information about the interface. Passive popups inform about interface changes. A traffic plotter is also integrated. . knemo polls the network interface status every second. Package: knetwalk Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1101 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libknotifyconfig4 (>= 4:4.7), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.2), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/knetwalk_4.8.4-3_armhf.deb Size: 820040 SHA256: 4b19d85c10f2e4b90253fd8138d9eaae7b4a181a58495aaa5ab2eac76cc4d189 SHA1: cff1b0f5f42233c0beb870e3ee1a8c0762c9b013 MD5sum: af0154a2c3ca1a394e582a14211ef676 Description: wire puzzle game KNetwalk is a puzzle game where the player arranges sections of wire to connect all the computers on the board. . This package is part of the KDE games module. Package: knews Version: 1.0b.1-28 Architecture: armhf Maintainer: Colin Watson Installed-Size: 520 Depends: debconf (>= 1.2.0) | debconf-2.0, sharutils, libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libsm6, libx11-6, libxext6, libxmu6, libxpm4, libxt6, zlib1g (>= 1:1.1.4) Provides: news-reader Priority: optional Section: news Filename: pool/main/k/knews/knews_1.0b.1-28_armhf.deb Size: 247764 SHA256: a55eb4076d935a9ea4e1f26ba6394692829e9b0e6088a587d8fea60d88c0ffc7 SHA1: 0caa1f31b96cb69c527f82e9501a47945c5019ef MD5sum: ff72b3e42dbb5f3ce4057916e982fac7 Description: Graphical threaded news reader Knews is an X11 based thread-oriented news reader. It is capable of representing threads as a graphical tree, represents quotations with different colors and much more. . Also included here is knewsd, a tiny NNTP daemon which can read news from a spool directory or even from a mail folder hierarchy, for use when you don't have a real server available. There is no guarantee that it will work with any client other than knews, so it is not packaged separately. Package: knights Version: 2.3.2-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 3734 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.6), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.6), libkio5 (>= 4:4.6), libplasma3 (>= 4:4.6), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.2), libstdc++6 (>= 4.4.0) Recommends: gnuchess Suggests: sjeng, crafty Homepage: http://kde-apps.org/content/show.php/Knights?content=122046 Priority: optional Section: games Filename: pool/main/k/knights/knights_2.3.2-1_armhf.deb Size: 2777840 SHA256: 72d175d7cef4a99e4a3a21c50b71552a0ebd484d6d21ad11839d354e5f44a8cd SHA1: aa0f5a17e03c1fb3d0967dc45c38868fae75d0f4 MD5sum: b701289b75dad019f99f169720a35a6f Description: chess interface for the KDE Platform Knights aims to be the ultimate chess resource on your computer. It's designed to be both friendly to new chess players and functional for Grand Masters. At the moment you can play either against other human or against the machine using an engine like gnuchess. Package: knockd Version: 0.5-3 Architecture: armhf Maintainer: Leo Costela Installed-Size: 107 Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), logrotate Homepage: http://www.zeroflux.org/cgi-bin/cvstrac.cgi/knock/wiki Priority: optional Section: net Filename: pool/main/k/knockd/knockd_0.5-3_armhf.deb Size: 26446 SHA256: 26cd251f1c10933e8587c51e5a46e4da323269b58910583657996c3adf8fb59f SHA1: 85e0a725d4668a439eb6c8bc12fa81813091c6fe MD5sum: a38a5bb1bc537ebb2e791ae1a72d605f Description: small port-knock daemon A port-knock server that listens to all traffic on a given network interface (only Ethernet and PPP are currently supported), looking for a special "knock" sequences of port-hits. A remote system makes these port-hits by sending a TCP (or UDP) packet to a port on the server. When the server detects a specific sequence of port-hits, it runs a command defined in its configuration file. This can be used to open up holes in a firewall for quick access. Package: knocker Version: 0.7.1-4 Architecture: armhf Maintainer: Khalid El Fathi Installed-Size: 91 Depends: libc6 (>= 2.7) Homepage: http://knocker.sourceforge.net/ Priority: optional Section: net Filename: pool/main/k/knocker/knocker_0.7.1-4_armhf.deb Size: 30562 SHA256: bab71e9008dc9a7ca4058679273320a5341c71d00ecfdfc7b2271f116eb4e244 SHA1: 9e6f8fc4a08e4105668cb95b021f0b6fc8cb58eb MD5sum: d956809bc168f15288e339013077cf60 Description: Simple and easy to use TCP security port scanner Knocker is a new, simple, and easy to use TCP security port scanner written in C, using threads. It is able to analyze hosts and the network services which are running on them. Package: knode Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 14721 Depends: kde-runtime, kdepim-runtime, kdepimlibs-kio-plugins, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkabc4 (>= 4:4.6), libkcmutils4 (>= 4:4.6), libkde3support4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdepim4 (= 4:4.4.11.1+l10n-3), libkdeui5 (>= 4:4.6), libkhtml5 (>= 4:4.6), libkio5 (>= 4:4.6), libkmime4 (>= 4:4.6), libkontactinterface4 (>= 4:4.6), libkparts4 (>= 4:4.6), libkpgp4 (= 4:4.4.11.1+l10n-3), libkpimidentities4 (>= 4:4.6), libkpimtextedit4 (>= 4:4.6), libkpimutils4 (>= 4:4.6), libmailtransport4 (>= 4:4.6), libqt4-dbus (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Conflicts: kde-l10n Breaks: kdepim-dev (<< 4:3.4.0), kontact (<< 4:4.1.80) Replaces: kdepim-dev (<< 4:3.4.0), kontact (<< 4:4.1.80) Provides: news-reader Homepage: http://kontact.kde.org/knode/ Priority: optional Section: news Filename: pool/main/k/kdepim/knode_4.4.11.1+l10n-3_armhf.deb Size: 8858344 SHA256: 61446eb6676d920ac363362d058d5772981e5cf0d7886546cb5342f6a4f2d345 SHA1: b3ea8a6463832c84ceef0440c57373486663fd1f MD5sum: 77a3f81b37925e02c9bd9e6699decc9f Description: graphical news reader KNode is an easy-to-use, convenient newsreader. It is intended to be usable by inexperienced users, but also includes support for such features as MIME attachments, article scoring, and creating and verifying GnuPG signatures. . This package is part of the KDE PIM module. Package: knot Version: 1.0.6-1 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 33309 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libssl1.0.0 (>= 1.0.0), liburcu1, zlib1g (>= 1:1.1.4) Homepage: http://www.knot-dns.cz/ Priority: extra Section: net Filename: pool/main/k/knot/knot_1.0.6-1_armhf.deb Size: 659822 SHA256: a30d279e917f057ee141629789e2fa1c0473c461e2524a59fa9efd27234253ca SHA1: 5392f92e08ec0b5075bc5cbc65913ca437d244bc MD5sum: 406c12fc5eb0f15f6aecedd8cfeceb14 Description: authoritative domain name server Knot DNS is a fast, authoritative only, high performance, feature full and open source name server. . Knot DNS is developed by CZ.NIC Labs, the R&D department of .CZ registry and hence is well suited to run anything from the root zone, the top-level domain, to many smaller standard domain names. . Note: this release is still EXPERIMENTAL and you should know what you are doing and be able to write bug reports. Package: knot-dbg Source: knot Version: 1.0.6-1 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 3894 Depends: knot (= 1.0.6-1) Recommends: gdb Homepage: http://www.knot-dns.cz/ Priority: extra Section: debug Filename: pool/main/k/knot/knot-dbg_1.0.6-1_armhf.deb Size: 1688636 SHA256: bfde0c19f14113a142e8f0c6ac2b889b36bf62773f3bb48900fe824e3dcf2b70 SHA1: 01fa37910c78a1db0cc8d0df3d0dc6f83b5cbc2b MD5sum: 7bf56cde6a659764c45e2fa04271499a Description: Debug symbols for Knot DNS Knot DNS is a fast, authoritative only, high performance, feature full and open source name server. . Knot DNS is developed by CZ.NIC Labs, the R&D department of .CZ registry and hence is well suited to run anything from the root zone, the top-level domain, to many smaller standard domain names. . This package provides the debug symbols for Knot DNS needed for properly debugging errors in Knot DNS with gdb. Package: knotes Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1941 Depends: kde-runtime, kdepim-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkabc4 (>= 4:4.6), libkcal4 (>= 4:4.6), libkcmutils4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdepim4 (= 4:4.4.11.1+l10n-3), libkdeui5 (>= 4:4.6), libkdnssd4 (>= 4:4.6), libkio5 (>= 4:4.6), libkontactinterface4 (>= 4:4.6), libkparts4 (>= 4:4.6), libkresources4 (>= 4:4.6), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6 Suggests: kdepim-kresources Conflicts: kde-l10n Breaks: kontact (<< 4:4.1.80) Replaces: kontact (<< 4:4.1.80) Homepage: http://pim.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdepim/knotes_4.4.11.1+l10n-3_armhf.deb Size: 478184 SHA256: bccdcf3124abfa4aabcf1636a0e32b3bd74b31e6885a196ebcbfcc322c5cbb66 SHA1: 894b00f49b9d8f2611209fcf2b1377639507de9d MD5sum: a540eae446911bb6b1a187431294599e Description: sticky notes application KNotes is a program that lets you write sticky notes. The notes are saved automatically when you exit the program, and they display when you open the program. The program supports printing and mailing your notes. . This package is part of the KDE PIM module. Package: knowledgeroot Version: 0.9.9.5-6 Installed-Size: 1656 Maintainer: Frank Habermann Architecture: all Depends: apache2 | httpd, php5, php5-mysql | php5-pgsql, libphp-phpmailer, php-xml-htmlsax3, fckeditor, libjs-scriptaculous, libjs-prototype, tinymce, php-gettext, php-htmlpurifier Suggests: mysql-server | postgresql-8.2 | postgresql-8.1 Size: 230640 SHA256: 26d3a02847a63fb686cd2ab3877ffc8b554e5bfe6ce5e25a4bcd901196d22466 SHA1: 4d44b7515da24c9f50b0112bd8b0ae99b49e4dc5 MD5sum: c997326111b2c4735d001b6ddb105a4b Description: web-based knowledgebase system This is a knowledgebase system that lets you structure your content in a tree. It is a little bit like a wiki but it is not a wiki! You do not need to learn a wiki syntax; you can use a rich text editor (FCKEditor or TinyMCE) to write content. Tag: implemented-in::ecmascript, implemented-in::php, interface::web, role::program Section: web Priority: optional Filename: pool/main/k/knowledgeroot/knowledgeroot_0.9.9.5-6_all.deb Package: ko.tex Version: 0.1.0+20071012-1.1 Installed-Size: 2568 Maintainer: A Lee Architecture: all Replaces: hlatex, latex-hangul-ucs Depends: ko.tex-bin, ko.tex-base, dpkg (>= 1.14.18), tex-common (>= 1.18) Suggests: ko.tex-extra Conflicts: hlatex, latex-hangul-ucs Size: 1303028 SHA256: 5fd99ee489be3013574a34bd0f7479be3a73d9054e120e5d0b6251fea946ff4b SHA1: 274adb5797f75342deaadcddbda4bf17c79d640a MD5sum: 32eef18ccde7c36fc67bdce582cfe1c7 Description: Korean TeX: Core macros ko.TeX is a collection of LaTeX packages made by Koaunghi Un, Dohyun Kim and Kangsoo Kim to replace HLaTeX and Hangul-ucs. See http://project.ktug.or.kr/ko.TeX/ for more information. . This package contains LaTeX and TeX macros. Tag: culture::korean, made-of::tex, role::app-data, use::typesetting, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/k/ko.tex/ko.tex_0.1.0+20071012-1.1_all.deb Package: ko.tex-base Source: ko.tex-unfonts Version: 0.1.0+20071012-1.2 Installed-Size: 51788 Maintainer: A Lee Architecture: all Depends: tex-common Enhances: ko.tex Size: 40400666 SHA256: c27adedd06bf0403299da1577536e38d612208ad750e04a64ef492e415e916b2 SHA1: a1656e0705361762e4ce67a69a3556be183b56e2 MD5sum: a7bff67215d72737c6113d978fb07002 Description: Korean TeX: Base fonts ko.TeX is a collection of LaTeX packages made by Koaunghi Un, Dohyun Kim and Kangsoo Kim to replace HLaTeX and Hangul-ucs. See http://project.ktug.or.kr/ko.TeX/ for more information. . This package contains base fonts Tag: culture::korean, made-of::font, role::app-data Section: tex Priority: optional Filename: pool/main/k/ko.tex-unfonts/ko.tex-base_0.1.0+20071012-1.2_all.deb Package: ko.tex-bin Source: ko.tex Version: 0.1.0+20071012-1.1 Architecture: armhf Maintainer: A Lee Installed-Size: 624 Depends: perl, libc6 (>= 2.4) Enhances: ko.tex Priority: optional Section: tex Filename: pool/main/k/ko.tex/ko.tex-bin_0.1.0+20071012-1.1_armhf.deb Size: 100820 SHA256: 0367922b46a40142ecfcd782f3927ff050b7673cc2d533ddc33df206bde848a3 SHA1: b8455887468aceb30c7c1af02411cb29d9e16c96 MD5sum: f7d477a1a4e0be945dbd59d2cc53de6d Description: Korean TeX: Binaries and scripts ko.TeX is a collection of LaTeX packages made by Koaunghi Un, Dohyun Kim and Kangsoo Kim to replace HLaTeX and Hangul-ucs. See http://project.ktug.or.kr/ko.TeX/ for more information. . This package contains binaries and scripts. Package: ko.tex-extra Source: ko.tex-unfonts Version: 0.1.0+20071012-1.2 Installed-Size: 63853 Maintainer: A Lee Architecture: all Depends: tex-common Enhances: ko.tex Size: 49794194 SHA256: 6e159488da7abf777d019e81df9e7ce3026ed3ffaddceddfa2c567943748d1b0 SHA1: 0ad85d4156e451f179c8ce023ec2d2f2f3939aa4 MD5sum: 2e6ee08597115a5cf32816c30189a9a3 Description: Korean TeX: Extra fonts ko.TeX is a collection of LaTeX packages made by Koaunghi Un, Dohyun Kim and Kangsoo Kim to replace HLaTeX and Hangul-ucs. See http://project.ktug.or.kr/ko.TeX/ for more information. . This package contains extra fonts Tag: culture::korean, made-of::font, role::app-data Section: tex Priority: optional Filename: pool/main/k/ko.tex-unfonts/ko.tex-extra_0.1.0+20071012-1.2_all.deb Package: ko.tex-extra-hlfont Version: 0.1.0-1 Installed-Size: 18112 Maintainer: A Lee Architecture: all Depends: tex-common Enhances: ko.tex Size: 13685464 SHA256: 4f5348c7b53cf4e077bee218b95417b622a5d971fdbfe86b3eeb85d6282f4c9c SHA1: 05191bcdd889a0e765f59c5b5414bddd7e6df7db MD5sum: 904240ba60bb6511eb6e3fc79d44cafb Description: Korean TeX: Extra HLaTeX fonts ko.TeX is a collection of LaTeX packages made by Koaunghi Un, Dohyun Kim and Kangsoo Kim to replace HLaTeX and Hangul-ucs. See http://project.ktug.or.kr/ko.TeX/ for more information. . This package contains extra HLaTeX fonts Tag: culture::korean, made-of::font, role::app-data Section: tex Priority: optional Filename: pool/main/k/ko.tex-extra-hlfont/ko.tex-extra-hlfont_0.1.0-1_all.deb Package: kobodeluxe Version: 0.5.1-6 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 522 Depends: kobodeluxe-data (= 0.5.1-6), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0) Homepage: http://olofson.net/kobodl/ Priority: optional Section: games Filename: pool/main/k/kobodeluxe/kobodeluxe_0.5.1-6_armhf.deb Size: 238940 SHA256: 582dd9994b42bd6c8816c8a167e7bbba472446e0312850bbafb33257a608d2c4 SHA1: 902c657e0aabb446501fd05eb4eb830f73de75c9 MD5sum: 4301957439b79426ebb4a37d680dc6d7 Description: game of space battle Kobo Deluxe is a third person scrolling 2D shooter with a simple and responsive control system - which you'll need to tackle the tons of enemy ships that shoot at you, chase you, circle around you shooting, or even launch other ships at you, while you're trying to destroy the labyrinth shaped bases. There are 50 action packed levels with smoothly increasing difficulty, and different combinations of enemies that require different tactics to be dealt with successfully. It's loads of classical arcade style fun. Package: kobodeluxe-data Source: kobodeluxe Version: 0.5.1-6 Installed-Size: 1435 Maintainer: Debian Games Team Architecture: all Replaces: kobodeluxe (<< 0.5.1-3~) Recommends: kobodeluxe Conflicts: kobodeluxe (<< 0.5.1-3~) Size: 1365020 SHA256: 44439d84462ed2a6dcbd556cc9f1ca2822589e7a7dcf10456fa9c4e1addc3556 SHA1: a39e3402ed934acd9cc647b503edcfff79a4fb1c MD5sum: 4b9dfe2447b8d03ce4f704c1da31be4d Description: game of space battle -- shared data Kobo Deluxe is a third person scrolling 2D shooter. There are 50 action packed levels with smoothly increasing difficulty, and different combinations of enemies that require different tactics to be dealt with successfully. It's loads of classical arcade style fun. . This package contains architecture-independent data for kobodeluxe. Homepage: http://olofson.net/kobodl/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/k/kobodeluxe/kobodeluxe-data_0.5.1-6_all.deb Package: kodos Version: 2.4.9-6.3 Installed-Size: 1468 Maintainer: Kevin Coyner Architecture: all Depends: python, python-central (>= 0.6.11), python-qt3, python-dev Size: 444772 SHA256: fdf6a31d6b5fce8424f1bde1dba4c101118c01263d380c6b070254f174bc5f03 SHA1: 0a632ce5d697d7ee9ee5a7200e8aa3aa16458f20 MD5sum: bac9956231c3e9464251496799ace610 Description: A visual regular expression editor Kodos is an application to aid in the creation and debugging of regular expressions in python. The GUI for Kodos should eliminate the need for using the python interpreter for regex design in most instances. Homepage: http://kodos.sourceforge.net/ Python-Version: current Tag: devel::lang:python, implemented-in::python, interface::x11, role::program, scope::utility, uitoolkit::qt, x11::application Section: python Priority: optional Filename: pool/main/k/kodos/kodos_2.4.9-6.3_all.deb Package: koffice Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra Size: 1824 SHA256: 50173477788da3131251ef8559345f09c7af51b2c93a566e4c25fb76d698a67c SHA1: fec4675d94d2f3952fa8472abb5fa99d31e08cfa MD5sum: 6476444d6c938eb7258bdb3eea7beb6f Description: transitional dummy package for calligra This transitional package allows one to migrate from the whole KOffice suite to Calligra. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: interface::x11, role::metapackage, suite::kde, uitoolkit::qt, use::editing, x11::application Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice_2.4.3+2_all.deb Package: koffice-data Source: koffice Version: 1:2.3.3-2 Installed-Size: 3168 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kchart (<= 1:2.2.2-1) Suggests: khelpcenter4, koffice-doc-html Conflicts: karbon (<< 1.9.96.0~that.is.really.1.9.95.10-2), kformula (<< 1.9.96.0~that.is.really.1.9.95.10-2), krita-data (<< 1.9.96.0~that.is.really.1.9.95.10-2) Breaks: kchart (<= 1:2.2.2-1) Size: 762330 SHA256: 5d34fb60047938910d4540bd7aafc7432cf6ea59b5cbc0f05cb834db480d9d34 SHA1: 66ea5255ac5de607f1519e980c6f6ce8083d9584 MD5sum: f1a264859961b183c4284fef935a36bf Description: common shared data for the KDE Office Suite This package provides the architecture-independent data that is shared amongst the various components of KOffice. . This package is part of the KDE Office Suite. Homepage: http://www.koffice.org/ Tag: role::app-data, suite::kde, uitoolkit::qt Section: libs Priority: optional Filename: pool/main/k/koffice/koffice-data_2.3.3-2_all.deb Package: koffice-dbg Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-dbg Size: 1830 SHA256: 3080e4220d906eacfbad44b148c0298c7f2bb6834a37a8320a56261dfe9ec1be SHA1: f435828d9c1c050c99281a74d65a1b010f88ec56 MD5sum: a3c54a4a438d196e96e3a9cb5333e984 Description: transitional dummy package for calligra-dbg This transitional package allows one to migrate from KOffice's debug package to Calligra's one. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: role::debug-symbols Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-dbg_2.4.3+2_all.deb Package: koffice-doc-html Source: koffice Version: 1:2.3.3-2 Installed-Size: 4176 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kpresenter-data (<< 1:2.0.0) Suggests: konqueror | www-browser, koffice Breaks: kpresenter-data (<< 1:2.0.0) Size: 2017776 SHA256: 07099977313a5cf00094e75fab1da33dd6a32796bb6941e18b5ac3a5b13b3f76 SHA1: 5686e189e8e4347ddffbfdfe2f85bd9717b12772 MD5sum: a4ef915ae109f4913390a8a3337fecaa Description: KDE Office Suite documentation in HTML format KOffice is an integrated office suite for KDE, the K Desktop Environment. It offers a word processor, spreadsheet, presentation program, graphics tools and more. . By default the KOffice applications only provide their documentation in KDE DocBook format, which can only be read by Konqueror or KHelpCenter. This package provides all of the KOffice documentation converted to HTML format so it can be read with any web browser. . The documentation will be installed into the usual directories /usr/share/doc/kde/HTML///, for instance /usr/share/doc/kde/HTML/en/kword/. . This package is part of the KDE Office Suite. Homepage: http://www.koffice.org/ Tag: made-of::html, role::documentation, suite::kde Section: doc Priority: optional Filename: pool/main/k/koffice/koffice-doc-html_2.3.3-2_all.deb Package: koffice-l10n-ca Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-ca Size: 1844 SHA256: 62dd4e6e2f3c085bb0130b6431c562d2a23684309df20339ae3cf3cce3c7ba50 SHA1: f3d22493bfa179c1f4f9810a9f6e90a7c26a6e61 MD5sum: 90c85488036b3116267eab52a0654ea7 Description: transitional dummy package for calligra-l10n-ca This transitional package allows one to migrate from the Canadian translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::catalan, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-ca_2.4.3+2_all.deb Package: koffice-l10n-cavalencia Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-cavalencia Size: 1874 SHA256: 2b0821e421e1098e7e63db17d56acaef98268c04dd9ddd7b1c4bc44371310fb8 SHA1: 4991343a4f5b2a0794b475088b41f14e237c9459 MD5sum: 4190d66de7a85b46bf08f0c168c86b24 Description: transitional dummy package for calligra-l10n-cavalencia This transitional package allows one to migrate from the Southern Catalan (Valencian) translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::TODO, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-cavalencia_2.4.3+2_all.deb Package: koffice-l10n-da Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-da Size: 1848 SHA256: 7c69d5a1cb8b0c7a0983673d0d6f20c55f83b070d5947c8df9d0cd5697ecd805 SHA1: b825641ddb666ce2dfa08e4ab9ef2a59da1955fb MD5sum: 8b53acd690642331094232cf448ae28f Description: transitional dummy package for calligra-l10n-da This transitional package allows one to migrate from the Danish translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::danish, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-da_2.4.3+2_all.deb Package: koffice-l10n-de Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-de Size: 1848 SHA256: 5bdbb3a13b80d22c2c4446b85d76fc5ecbe01abde7b755eeba1586337176da42 SHA1: 7b3c3801f6df7cdff13b383d81dd0cca99855053 MD5sum: 279fc388a33d7d38ed7a528c49e82c04 Description: transitional dummy package for calligra-l10n-de This transitional package allows one to migrate from the German translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::german, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-de_2.4.3+2_all.deb Package: koffice-l10n-el Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-el Size: 1846 SHA256: eee0919b9f1fabe6c608febac4404a8333040c0d8391bcb379a326c87d797988 SHA1: 5463751e29668a9f5125008da90bc1d4369f2ee7 MD5sum: 07715848885ad845a85e0c9d4f8e7503 Description: transitional dummy package for calligra-l10n-el This transitional package allows one to migrate from the Greek translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::greek, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-el_2.4.3+2_all.deb Package: koffice-l10n-engb Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-engb Size: 1856 SHA256: 080ffa9a5dfa0af2faf9adf21875a16947a861541218808acbac30f8542c807c SHA1: e3aa62399bccee9304f029003b1e1e90636f9c49 MD5sum: 5a985ac5e7dc70f2851953da27cffdb5 Description: transitional dummy package for calligra-l10n-engb This transitional package allows one to migrate from the British English translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::british, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-engb_2.4.3+2_all.deb Package: koffice-l10n-es Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-es Size: 1846 SHA256: 6d2328d9cb0a151cc3eeeabfe2ed03f01862765c27458b9d509e61527deb0c77 SHA1: 6d95a3e7f3e40086e4b86185d0217aad9d3abcc7 MD5sum: 9f62d78170a833999a9fa41d6fb44dd4 Description: transitional dummy package for calligra-l10n-es This transitional package allows one to migrate from the Spanish translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::spanish, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-es_2.4.3+2_all.deb Package: koffice-l10n-et Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-et Size: 1848 SHA256: b583ae60c6084ed727d3a84def7b06ab38f00dc32762ffa6ac5966ad05c1cdcd SHA1: c8e56499b6678df72230cd0bc529efe257912d4c MD5sum: e99a336bb1631aef8c30613a447d66da Description: transitional dummy package for calligra-l10n-et This transitional package allows one to migrate from the Estonian translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::estonian, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-et_2.4.3+2_all.deb Package: koffice-l10n-fr Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-fr Size: 1850 SHA256: 388745057d60e06d1019c9753234358843d83ad2de0c32c4f53f05f31990357e SHA1: 014f8f729bc0d083d7d8a8bb57cfeb27211c3c88 MD5sum: 00a17c0ad4d9d32bc22d771cd7f613c9 Description: transitional dummy package for calligra-l10n-fr This transitional package allows one to migrate from the French translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::french, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-fr_2.4.3+2_all.deb Package: koffice-l10n-gl Source: koffice-l10n Version: 2.3.2-1 Installed-Size: 1008 Maintainer: Debian Qt/KDE Maintainers Architecture: all Provides: koffice-l10n Recommends: kde-l10n-gl Suggests: koffice (>= 2.0.0) Conflicts: koffice-i18n-gl (<< 1.9.98.3) Size: 326624 SHA256: 681a70acbc652c76f613386c78953a81fcb9e69bcb7e3ab2da95073778f075fd SHA1: 31de6fd1f8bde3f44a608cf276154cea700f810a MD5sum: 0005585d00c951c5db97e06e4e99a4cb Description: Galician (gl) translations for KOffice This package contains the Galician translations for all applications in the KDE Office Suite. Tag: culture::galician, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/koffice-l10n/koffice-l10n-gl_2.3.2-1_all.deb Package: koffice-l10n-hu Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-hu Size: 1852 SHA256: a9c8920314e50d6cacd927a25f5c6f0188b43ac0c798b54cf6c55059b94ebbe5 SHA1: c7191ddc683875e877c955ef2a81a550cec5fa3b MD5sum: 485f762f9a4e3cb10f230d73977f186a Description: transitional dummy package for calligra-l10n-hu This transitional package allows one to migrate from the Hungarian translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::hungarian, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-hu_2.4.3+2_all.deb Package: koffice-l10n-it Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-it Size: 1848 SHA256: 7b460b0beef1c9f01fced9d1acf885548fd94f880e7278d6f3adf89f637688c5 SHA1: 73b1b3a1b0c4a2263bbda7d5ddcc2d7d9ead8ef2 MD5sum: ad46f15afd10cd6f97425e6ac35c4c6a Description: transitional dummy package for calligra-l10n-it This transitional package allows one to migrate from the Italian translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::italian, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-it_2.4.3+2_all.deb Package: koffice-l10n-ja Source: koffice-l10n Version: 2.3.2-1 Installed-Size: 1128 Maintainer: Debian Qt/KDE Maintainers Architecture: all Provides: koffice-l10n Recommends: kde-l10n-ja Suggests: koffice (>= 2.0.0) Conflicts: koffice-i18n-ja (<< 1.9.98.3) Size: 336856 SHA256: 36c59c6107841d9aa35c67801cdb192998ec2280de82ac1c3a4901524f84ef41 SHA1: 61490a02c1af01f1e7bd4daf9a0b7c20d8fb38b5 MD5sum: be39b652cc0a841938d419fd3a0e8a00 Description: Japanese (ja) translations for KOffice This package contains the Japanese translations for all applications in the KDE Office Suite. Tag: culture::japanese, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/koffice-l10n/koffice-l10n-ja_2.3.2-1_all.deb Package: koffice-l10n-kk Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-kk Size: 1850 SHA256: 7e00519ff798b74008195b5b4289c17bf271fd7551c4e2e9bd1036cea9f88795 SHA1: 078fe51710d38e221d8a24586e872f9b5b0f56a8 MD5sum: 23cd918a76408be26424d53e4971ee7b Description: transitional dummy package for calligra-l10n-kk This transitional package allows one to migrate from the Kazakh translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::TODO, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-kk_2.4.3+2_all.deb Package: koffice-l10n-nb Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-nb Size: 1856 SHA256: 2571dd15d057cf1ae5bbe78d51e93ff51b8cc8b1474efbc0f308e9ef1ee22361 SHA1: 28465e8eeb3444b8dae9b6f528a4f8dfa82a791f MD5sum: bde25a0e370a38a3327a28e9dec1536e Description: transitional dummy package for calligra-l10n-nb This transitional package allows one to migrate from the Norwegian Bookmal translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::bokmaal, culture::norwegian, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-nb_2.4.3+2_all.deb Package: koffice-l10n-nds Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-nds Size: 1850 SHA256: fda635b3960116c52011bdd7e320012a1c4d27b7f32274a0064553dc6ae3f871 SHA1: 29fa2137aaf8e846b971b9da09e9b38aa6eec871 MD5sum: 3eeb48649203f8de5b88bb9e621b941f Description: transitional dummy package for calligra-l10n-nds This transitional package allows one to migrate from the Low Saxon translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::TODO, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-nds_2.4.3+2_all.deb Package: koffice-l10n-nl Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-nl Size: 1846 SHA256: 341e78519815d100c77c299c2d9b043faca7a9a82419b3e12ac2994637d382f7 SHA1: a5d0fd3ea5c4d1cfe100787e8a64fc4d8dea2027 MD5sum: 64e345748b7709648fcd99e1a0643495 Description: transitional dummy package for calligra-l10n-nl This transitional package allows one to migrate from the Dutch translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::dutch, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-nl_2.4.3+2_all.deb Package: koffice-l10n-pl Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-pl Size: 1848 SHA256: 84314297db0a690d0a2df41f63c2484e90eac41f276b80739b7661a86065fb12 SHA1: 3e21bee8819ff93ec3e588d59dc6ef39bb15014d MD5sum: 67fcf73a4574f610fbb24a1ab0228a9d Description: transitional dummy package for calligra-l10n-pl This transitional package allows one to migrate from the Polish translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::polish, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-pl_2.4.3+2_all.deb Package: koffice-l10n-pt Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-pt Size: 1848 SHA256: 7a723c8b1f8f848ac4bde3d6a1318588b1a436586a19422a7dec3207d7eab667 SHA1: 7f80184e68a906253ec269b225e3fe313a225451 MD5sum: 250551370ea957f1e400e09d01ed07e9 Description: transitional dummy package for calligra-l10n-pt This transitional package allows one to migrate from the Portuguese translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::portuguese, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-pt_2.4.3+2_all.deb Package: koffice-l10n-ptbr Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-ptbr Size: 1862 SHA256: 30c93ea555f11a6c040b19e4e6e3a47a2d0ab42b39d93f27ff56b7926c1d2e40 SHA1: e6ce6004f38071d8b2329cb29f2019a1a943e24d MD5sum: 73cf8f3eb46cbe7e59aeb191afcaa8c2 Description: transitional dummy package for calligra-l10n-ptbr This transitional package allows one to migrate from the Brazilian Portuguese translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::brazilian, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-ptbr_2.4.3+2_all.deb Package: koffice-l10n-ru Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-ru Size: 1846 SHA256: e1a0d8f934551ae914166fa86f8e90b6edcb8dc501ea8c8a1f1aa7a6877b0a76 SHA1: 23cb969ceace09f53e5ccac444b80ef0b1b485b8 MD5sum: be15fac0b0259bd7a76422274e0455d0 Description: transitional dummy package for calligra-l10n-ru This transitional package allows one to migrate from the Russian translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::russian, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-ru_2.4.3+2_all.deb Package: koffice-l10n-sv Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-sv Size: 1846 SHA256: d0523a16198735e246c825a25356a10eb792fc8be014ce4edfed731f6f7962b5 SHA1: 3213bd622810009e301241d26bd0cc52d42630f6 MD5sum: 476a0ddcabeda1825982a21ea81af08d Description: transitional dummy package for calligra-l10n-sv This transitional package allows one to migrate from the Swedish translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::swedish, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-sv_2.4.3+2_all.deb Package: koffice-l10n-tr Source: koffice-l10n Version: 2.3.2-1 Installed-Size: 816 Maintainer: Debian Qt/KDE Maintainers Architecture: all Provides: koffice-l10n Recommends: kde-l10n-tr Suggests: koffice (>= 2.0.0) Conflicts: koffice-i18n-tr (<< 1.9.98.3) Size: 261154 SHA256: a73e54062fcb76c31a15e8fb8a8699d8f697c4ea20947f6d0d02f53cec7fbce4 SHA1: 1805ecce42649dec3102c08cf718bf964f66ded2 MD5sum: d732f12331d6232ba49601a79fe2f4bc Description: Turkish (tr) translations for KOffice This package contains the Turkish translations for all applications in the KDE Office Suite. Tag: culture::turkish, role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/koffice-l10n/koffice-l10n-tr_2.3.2-1_all.deb Package: koffice-l10n-uk Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-uk Size: 1852 SHA256: e2e10fa46a963597c0b88fe70f55ccfe471fa3b9682dad72f0b92e89aad1ef21 SHA1: 37d5ca70f3d14acacd1541fa40a738f40ce86557 MD5sum: 07d749f1e4a0f3eed8c58ffa9270b2ae Description: transitional dummy package for calligra-l10n-uk This transitional package allows one to migrate from the Ukrainian translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::ukrainian, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-uk_2.4.3+2_all.deb Package: koffice-l10n-wa Source: koffice-l10n Version: 2.3.2-1 Installed-Size: 804 Maintainer: Debian Qt/KDE Maintainers Architecture: all Provides: koffice-l10n Recommends: kde-l10n-wa Suggests: koffice (>= 2.0.0) Conflicts: koffice-i18n-wa (<< 1.9.98.3) Size: 257048 SHA256: 7d860343122f6e1eaa86ea9d571ac7764d93023dec3168a8962b8bdf92b0ea1a SHA1: d1197eb8d1a47d31d8de0471b4db5631cb183462 MD5sum: bae2755b86612a5cd14db13bf2c766d4 Description: Wallonian (wa) translations for KOffice This package contains the Wallonian translations for all applications in the KDE Office Suite. Tag: role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/koffice-l10n/koffice-l10n-wa_2.3.2-1_all.deb Package: koffice-l10n-zhcn Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-zhcn Size: 1854 SHA256: e6c633397aeb729de9f17fb448d74c808287193c6119d72b9b9fc42b5ca2c890 SHA1: 91b4bf7399bd7dd43b3f7033c078bf2c1c75080f MD5sum: e183158b36e1d98c63aa55d96d0a2cbd Description: transitional dummy package for calligra-l10n-zhcn This transitional package allows one to migrate from the Chinese Simplified translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::chinese, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-zhcn_2.4.3+2_all.deb Package: koffice-l10n-zhtw Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligra-l10n-zhtw Size: 1854 SHA256: 55a4ba74efe39476569dcc5c989f46db918bd0eb3b63510279c816caf43bd2da SHA1: c79454b9d289b7bbba0b236be054dd8161b50c27 MD5sum: c96677990a6db614491dbfd22aede109 Description: transitional dummy package for calligra-l10n-zhtw This transitional package allows one to migrate from the Chinese Traditional translations of KOffice to the Calligra ones. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: culture::taiwanese, role::app-data, suite::kde Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/koffice-l10n-zhtw_2.4.3+2_all.deb Package: kolab-webadmin Version: 2.2.3-20091217-4 Installed-Size: 1064 Maintainer: Debian Kolab Maintainers Architecture: all Depends: php5 | php4, smarty, php-net-sieve, php-net-ldap, php-mail Size: 253248 SHA256: c55dc921f773bcd5a021d4274299e2105b34d55dc87a1f5b9106f4fbf1bda0c6 SHA1: 5e30284b9076742584f8f727a07c4989d3c0ecb5 MD5sum: 7a847e4428f6779ec698650f324c1a0f Description: Kolab administration web interface This package contains the web GUI for the administration of the Kolab groupware server. . Kolab is a secure, scalable, and reliable groupware server. It consists of a number of well-known and proven components for the standard tasks such as e-mail, directory service, and web service. Kolab adds intelligent interaction between the components, a web administration interface, management of free/busy lists, etc. Various clients can access Kolab, among them Kontact (KDE), Outlook (Windows), and Horde (web). Homepage: http://www.kolab.org/ Tag: admin::configuring, admin::user-management, implemented-in::php, interface::web, office::groupware, protocol::http, role::program, web::application, works-with::mail Section: admin Priority: extra Filename: pool/main/k/kolab-webadmin/kolab-webadmin_2.2.3-20091217-4_all.deb Package: kolabadmin Version: 0.0.20080222-4 Architecture: armhf Maintainer: Debian Kolab Maintainers Installed-Size: 531 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0) Homepage: http://wgess16.dyndns.org/~tobias/qt/kolabadmin/ Priority: extra Section: admin Filename: pool/main/k/kolabadmin/kolabadmin_0.0.20080222-4_armhf.deb Size: 209888 SHA256: ec25e4d621f049b57e42dd00575dde7a9fc7f879be3c071716c5aea0a325d07a SHA1: 49961eeb9bf2d48c87e49195d4b6c8ce0bcdbcaa MD5sum: b22761a09df028fe0ce088e5da33ccfb Description: Kolab administration tool This program is a graphical administration tool for the Kolab groupware server. It connects directly to the LDAP database and can be run from every system. Package: kolf Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1932 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kolf_4.8.4-3_armhf.deb Size: 796448 SHA256: 2a9645ce2016db25c2b824c787636facc73e5ace4fc914fe2dc1276da2bad132 SHA1: 7dee7c9bff27fa619056e435e542dd5976eae672 MD5sum: 1d7f448f39571cb0953418ff301aaaae Description: miniature golf game Kolf is a miniature golf game for one to ten players. A variety of courses are included, as well as an editor to create new courses. . This package is part of the KDE games module. Package: kollision Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 523 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libphonon4 (>= 4:4.3.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), phonon Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kollision_4.8.4-3_armhf.deb Size: 237468 SHA256: 3ce69a3aeea6cdca94c1ac4b9b0d2bb0ef413120c54824ce1de51c8446af8356 SHA1: 0805f25ad8db71dbb66d2fac15f21ff80de1dca5 MD5sum: 76977fbd44a6e4f3c890551c0d80d8c9 Description: simple ball dodging game In Kollision you use mouse to control a small blue ball in a closed space environment filled with small red balls, which move about chaotically. Your goal is to avoid touching any of those red balls with your blue one, because the moment you do the game will be over. The longer you can stay in game the higher will your score be. . This package is part of the KDE games module. Package: kolourpaint4 Source: kolourpaint Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1819 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libkprintutils4 (>= 4:4.8), libqimageblitz4 (>= 1:0.0.4), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.1.1) Breaks: kolourpaint Replaces: kolourpaint Homepage: http://www.kde.org/ Priority: optional Section: graphics Filename: pool/main/k/kolourpaint/kolourpaint4_4.8.4-1_armhf.deb Size: 1215286 SHA256: 7dbc0227e7da32ffa08a822b762f16d87261d479b1d5aaf7956d4cab198ae9ac SHA1: fd7ecfaabb7d8061e5196591ca9e73eaee7c939f MD5sum: 27e8ddd8e4772b9516aeb95d25bf484b Description: simple image editor and drawing application KolourPaint is a simple drawing and image editing application for KDE. It aims to be easy to use, providing a level of functionality targeted towards the average user. It is ideal for common tasks such as drawing simple graphics and touching-up photos. . This package is part of the KDE graphics module. Package: komi Version: 1.04-5 Architecture: armhf Maintainer: Brandon Barnes Installed-Size: 1673 Depends: libc6 (>= 2.13-28), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11) Homepage: http://komi.sf.net Priority: optional Section: games Filename: pool/main/k/komi/komi_1.04-5_armhf.deb Size: 947388 SHA256: 6d9c06d599b88eb0701ce0204558614bda2fdf5f9d06c16e9bfcc98fad0e1f65 SHA1: 22dfdbcbd0d1444e9e12f1db36b77b03b202e7e3 MD5sum: 29a91b807eb824245980d1b82654eb19 Description: Single player arcade game with Komi the Space Frog! Komi is a space frog, and your aim in this single player arcade game is to feed him by capturing food with your giant tongue. . Avoid hitting the energy barriers and other nasties as you try to eat all the food. Package: kommander Source: kdewebdev Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 873 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkde3support4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Replaces: kommander-dev Homepage: http://kdewebdev.org/ Priority: optional Section: devel Filename: pool/main/k/kdewebdev/kommander_4.8.4-1_armhf.deb Size: 291410 SHA256: 3ba3e989522698562ed3f31daf03c42ea472771a8c1a1a7ba2370c02706fcf02 SHA1: fd243b0452d6afd30be7603f63a428a9404f17c1 MD5sum: 68f21b25652f0d332445d372c3301e02 Description: visual dialog builder and executor tool Kommander is a visual dialog building tool whose primary objective is to create as much functionality as possible without using any scripting language. . More specifically, Kommander is a set of tools that allow you to create dynamic GUI dialogs that generate, based on their state, a piece of text. The piece of text can be a command line to a program, any piece of code, business documents that contain a lot of repetitious or templated text and so on. . The resulting generated text can then be executed as a command line program (hence the name "Kommander"), written to a file, passed to a script for extended processing, and literally anything else you can think of. And you aren't required to write a single line of code! . As well as building dialogs, Kommander may be expanded to create full mainwindow applications. . This package is part of KDE web development module. Package: komparator Version: 4:0.6-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1337 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.4.4), libkio5 (>= 4:4.4.4), libknotifyconfig4 (>= 4:4.4.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsolid4 (>= 4:4.4.4), libstdc++6 (>= 4.4.0) Homepage: http://kde-apps.org/content/show.php?content=116039 Priority: optional Section: kde Filename: pool/main/k/komparator/komparator_0.6-1_armhf.deb Size: 627752 SHA256: 196217bcc4089b1520eb61758d0f55bea95afac4014bc31664e55582f172f98f SHA1: 1df69d52978fc0148bbe6c25b8c88661b9c01760 MD5sum: 1f118c4e7a796e37b376495e4491307d Description: directories comparator for KDE Komparator is an application that searches and synchronizes two directories. It discovers duplicate, newer or missing files and empty folders. It works on local and network or kioslave protocol folders. Package: kompare Source: kdesdk Version: 4:4.8.4+dfsg-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1026 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.4-2~), libkdeui5 (>= 4:4.5.85), libkio5 (>= 4:4.3.4), libkparts4 (>= 4:4.5.85), libktexteditor4 (>= 4:4.3.4), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0) Suggests: khelpcenter4 Breaks: libcvsservice0 (<< 4:4.0.0) Replaces: libcvsservice0 (<< 4:4.0.0) Homepage: http://www.kde.org Priority: optional Section: devel Filename: pool/main/k/kdesdk/kompare_4.8.4+dfsg-1_armhf.deb Size: 419800 SHA256: c1cb59514fdcec4f98092b996efeedf397831dad047f901c70d8bd7c678568bf SHA1: 8aa3214ec5ee5ddd6082001f485635d1344987a0 MD5sum: dc3f162186ee5becafd06718a0ba8c6a Description: file difference viewer Kompare displays the differences between files. It can compare the contents of files or directories, as well as create, display, and merge patch files. . This package is part of the KDE Software Development Kit module. Package: konfont Version: 0.1-8 Installed-Size: 160 Maintainer: ISHIKAWA Mutsumi Architecture: all Replaces: kon-font, pubfont Conflicts: kon-font, pubfont Size: 117558 SHA256: a13f77e5ae0498e241d4e81fa3ce95b8c34f71608a514a465afe47d2b7458da3 SHA1: 7bf2e4491683011445a1deabd20a7fc9e5302e61 MD5sum: f268cfcbd57a71ec3763e17a7ee227fa Description: Public domain japanese fonts for KON2 This package is public domain japanese fonts customized for kon2 Japanese console package. Tag: culture::japanese, made-of::font, role::app-data, role::program, scope::utility, works-with::font Section: utils Priority: optional Filename: pool/main/k/konfont/konfont_0.1-8_all.deb Package: konq-plugins Source: kde-baseapps Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1711 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkhtml5 (>= 4:4.8), libkio5 (>= 4:4.8), libkonq5abi1 (>= 4:4.6.1), libkparts4 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0), libtidy-0.99-0, konqueror (>= 4:4.1.0), python, libjpeg-progs Recommends: imagemagick Enhances: konqueror Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kde-baseapps/konq-plugins_4.8.4-2_armhf.deb Size: 369162 SHA256: 2ac0b276418b8b6b121b04debaf971a659a5631a931f6fbd86ea097ae59afa16 SHA1: cb68de1dede75cb2703cb59c65f6165f53e2e803 MD5sum: f12cc3ecb0e4fb0186b9b546e4e44161 Description: plugins for Konqueror, the KDE file/web/document browser This package contains a variety of useful plugins for Konqueror, the file manager, web browser and document viewer for KDE. Many of these plugins will appear in Konqueror's Tools menu. . Web navigation plugins: - Akregator feed icon: allows one to add a feed to Akregator from Konqueror - Auto Refresh: refresh a webpage at a given rate - Bookmarklets: enables the use of bookmarklets, bookmarks containing JavaScript code - Document Relations: displays the document relations of a document - DOM Tree Viewer: view the DOM tree of the current page - KHTML Settings: fast way to change the JavaScript/images/cookies settings - Microformat Icon: displays an icon in the statusbar if the page contains a microformat - Search Bar: launches web searches from the Konqueror's toolbar - Translate: language translation for the current page using Babelfish - UserAgent Changer: quick switch of the UserAgent used for the current site - Website Validators: CSS and HTML validation tools - Web Archiver: creates archives of websites - Crash manager: restores your opened tabs if Konqueror crashes (disabled by default as Konqueror has this feature builtin) . File management plugins: - Image Gallery: an easy way to generate a HTML image gallery - File Size View (kpart): a proportional view of directories and files based on file size - Transform Image (service menu): rotate and flip images - Convert To (service menu): convert and image to another format - Directory Filter (dolphin): filter directory views . This package is part of the KDE base applications module. Package: konqueror Source: kde-baseapps Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2978 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.8), libkde3support4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdesu5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkfile4 (>= 4:4.8), libkhtml5 (>= 4:4.8), libkio5 (>= 4:4.8), libkonq5abi1 (>= 4:4.6.1), libkonqsidebarplugin4a (>= 4:4.6.1), libkparts4 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libx11-6, kde-baseapps-data (= 4:4.8.4-2), kde-baseapps-bin (= 4:4.8.4-2), install-info Recommends: konqueror-nsplugins (= 4:4.8.4-2), dolphin, kfind Suggests: konq-plugins (>= 4:4.1~) Breaks: kde-baseapps-data (<< 4:4.8.4), kdebase-data (<< 4:4.7.2) Replaces: kde-baseapps-data (<< 4:4.8.4), kdebase-data (<< 4:4.7.2) Provides: info-browser, man-browser, www-browser Homepage: http://www.kde.org/ Priority: optional Section: web Filename: pool/main/k/kde-baseapps/konqueror_4.8.4-2_armhf.deb Size: 1052616 SHA256: 0ba1f2c867936988aeeac4a33c5b0ecba1280756b7c1bff3731b1ea3c2ee9f28 SHA1: 44557c449685c2d159df406690721730b93284f2 MD5sum: b2802299b8079ad16a24365162a010b1 Description: advanced file manager, web browser and document viewer Konqueror is the KDE web browser and advanced file manager. . Konqueror is a standards-compliant web browser, supporting HTML 4.01, Java, JavaScript, CSS3, and Netscape plugins such as Flash. . It supports advanced file management on local UNIX filesystems, with flexible views, network transparency, and embedded file viewing. . It is the canvas for many KDE technologies, from remote file access via KIO to component embedding via the KParts object interface, making it one of the most customizable applications available. . This package is part of the KDE base applications module. Package: konqueror-nsplugins Source: kde-baseapps Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 400 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libkparts4 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6, libxt6 Breaks: gtk-qt-engine (<< 1:1.0) Homepage: http://www.kde.org/ Priority: optional Section: web Filename: pool/main/k/kde-baseapps/konqueror-nsplugins_4.8.4-2_armhf.deb Size: 105284 SHA256: f315ce0822b5608887da236e4cc00f2e0401ae4949c56f632e04da91149ec47f SHA1: 39a191d0b2841db8248d45e340c95caf208a92d4 MD5sum: da6de40b39303aabe72eb8a27815e874 Description: Netscape plugin support for Konqueror Konqueror is the KDE web browser and advanced file manager. . This package provides a KDE component for enabling the use of Netscape/Mozilla/etc browser plugins (such as Adobe Flash) within Konqueror. . This package is part of the KDE base applications module. Package: konqueror-plugin-gnash Source: gnash Version: 0.8.11~git20120629-1+deb7u1 Architecture: armhf Maintainer: Debian Flash Team Installed-Size: 140 Depends: klash (= 0.8.11~git20120629-1+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.3.0), libx11-6 Homepage: http://www.gnu.org/software/gnash/ Priority: optional Section: video Filename: pool/main/g/gnash/konqueror-plugin-gnash_0.8.11~git20120629-1+deb7u1_armhf.deb Size: 53138 SHA256: 899c9f4e0caa9234390c53810567bf139ae275fe7e912e7fd713f92ae7aa2882 SHA1: 9651be125a2eacf2b66d81308a496fa04dd3c2de MD5sum: 1f0a3d934184a40dd9df8e0682982604 Description: GNU Shockwave Flash (SWF) player - Plugin for Konqueror GNU Gnash is a free GPL'd SWF player. It runs as a standalone application on either the desktop or embedded devices, or may be used as a plugin for several popular browsers. It supports playing media from a disk or streaming over a network connection. . GNU Gnash is based on GameSWF and supports most SWF v7 features and some SWF v8 and v9. SWF v10 is not supported by GNU Gnash. . Included in the Gnash is an XML based messaging system, as specified in the SWF specification. This lets a SWF animation communicate over a TCP/IP socket, and parse the incoming XML message. This lets an animation be a remote control for other devices or applications. . This package includes the plugin for Konqueror. Package: konquest Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 787 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/konquest_4.8.4-3_armhf.deb Size: 508630 SHA256: 795105cda1b3ce6ab9385040f584fab4bea3fdf5b1c45a8f13171b2e65fef6e3 SHA1: 9b10cf60b874e4cc62b5d716c3b7510dddb22151 MD5sum: b734b238ed7948d3fe92f7d998d82d0d Description: simple turn-based strategy game Konquest is a game of galactic conquest for KDE, where rival empires vie to conquer planets and crush all opposition. The game can be played with up to nine empires, commanded either by the computer or by puny earthlings. . This package is part of the KDE games module. Package: konsole Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1178 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libknotifyconfig4 (>= 4:4.8), libkonq5abi1 (>= 4:4.6.1), libkparts4 (>= 4:4.8), libkpty4 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0), libx11-6 Provides: x-terminal-emulator Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/konsole/konsole_4.8.4-2_armhf.deb Size: 304066 SHA256: 3915420fa17201d3ff5f3a5a9be4f41fb2960aeb302ee2333332c9e5562e14fc SHA1: 8804dec658709f00f57996e6da308908f918a013 MD5sum: 11615270b07f81a49745b00578ba9739 Description: X terminal emulator Konsole is a terminal emulator built on the KDE Platform. It can contain multiple terminal sessions inside one window using detachable tabs. . Konsole supports powerful terminal features, such as customizable schemes, saved sessions, and output monitoring. . This package is part of the KDE base applications module. Package: konsole-dbg Source: konsole Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 9592 Depends: konsole (= 4:4.8.4-2) Recommends: kdelibs5-dbg Breaks: kdebase-dbg (<< 4:4.6.80) Replaces: kdebase-dbg (<< 4:4.6.80) Homepage: http://www.kde.org/ Priority: extra Section: debug Filename: pool/main/k/konsole/konsole-dbg_4.8.4-2_armhf.deb Size: 2396850 SHA256: 55352ff17f37a5ab50ea7771341170bdb11ad2f528d95637c87549bbad7329ca SHA1: e3c60545c7eac21d66aaa842371150480981d012 MD5sum: 07a3d7acc2e69dcad731a9c579e0a65e Description: debugging symbols for the KDE X terminal emulator This package contains debugging files used to investigate problems with binaries included in the KDE X terminal emulator. Package: konsolekalendar Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1610 Depends: kde-runtime, kdepim-runtime, libc6 (>= 2.13-28), libkcal4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdeui5 (>= 4:4.6), libkresources4 (>= 4:4.6), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0) Conflicts: kde-l10n Homepage: http://pim.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdepim/konsolekalendar_4.4.11.1+l10n-3_armhf.deb Size: 381788 SHA256: efe137857738c04db8fc71b10b84c999742ddef4fb1b9359c46a7447c5b6c6af SHA1: b512dff3bbc7ecb47fed6c8a1ce2b64146709f9e MD5sum: 3ee5509a69a6035afa6692b147e46d9b Description: konsole personal organizer KonsoleKalendar is a command-line interface to KDE calendars. KonsoleKalendar complements KOrganizer by providing a console frontend to manage your calendars. . This package is part of the KDE PIM module. Package: kontact Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 5233 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdepim4 (= 4:4.4.11.1+l10n-3), libkdeui5 (>= 4:4.6), libkhtml5 (>= 4:4.6), libkio5 (>= 4:4.6), libkontactinterface4 (>= 4:4.6), libkparts4 (>= 4:4.6), libkpimidentities4 (>= 4:4.6), libkpimutils4 (>= 4:4.6), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Recommends: kmail, knotes, akregator, kaddressbook, korganizer Suggests: gnokii, kjots, ktimetracker, knode Conflicts: kde-l10n Breaks: akregator (<< 4:4.1.80), korganizer (<< 4:4.1.80) Replaces: akregator (<< 4:4.1.80), korganizer (<< 4:4.1.80) Homepage: http://kontact.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdepim/kontact_4.4.11.1+l10n-3_armhf.deb Size: 2834516 SHA256: ae5007e6e5c872c5d6ac83f8c3291757b7e8de84bce7ae611122c613fdc18f95 SHA1: ad4b9d6b1d9a0ffa4bd107a566b1dbf74198537d MD5sum: fedd60e29fe86df6f9fc1e440a71b323 Description: integrated application for personal information management Kontact is the integrated solution to your personal information management needs. It combines applications like KMail, KOrganizer and KAddressBook into a single interface to provide easy access to mail, scheduling, address book and other PIM functionality. . This package is part of the KDE PIM module. Package: konversation Version: 1.4-1+deb7u2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 2666 Depends: kde-runtime, kdepim-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkabc4 (>= 4:4.4.3), libkde3support4 (>= 4:4.4.3), libkdecore5 (>= 4:4.5.85), libkdeui5 (>= 4:4.5.85), libkemoticons4 (>= 4:4.4.95), libkidletime4 (>= 4:4.4.95), libkio5 (>= 4:4.5.85), libknotifyconfig4 (>= 4:4.4.3), libkparts4 (>= 4:4.4.3), libkresources4 (>= 4:4.4.3), libnepomuk4 (>= 4:4.4.3), libnepomukutils4 (>= 4:4.5.85), libphonon4 (>= 4:4.2.0), libqca2 (>= 2.0.2), libqt4-dbus (>= 4:4.7), libqt4-network (>= 4:4.7), libqt4-qt3support (>= 4:4.7), libqt4-svg (>= 4:4.7), libqt4-xml (>= 4:4.7), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsolid4 (>= 4:4.4.3), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0), phonon, konversation-data (= 1.4-1+deb7u2) Provides: irc Homepage: http://konversation.kde.org/ Priority: optional Section: net Filename: pool/main/k/konversation/konversation_1.4-1+deb7u2_armhf.deb Size: 909100 SHA256: 80b1033c09edfe096396f651a466c5c4187ad89cbddb9f1d59662195b40e7484 SHA1: 8f42652f7e4d41aed0a616e8b178b5ac8080c07c MD5sum: 890bc4a64a948f1394f0699d8ea97f15 Description: user friendly Internet Relay Chat (IRC) client for KDE Konversation is a client for the Internet Relay Chat (IRC) protocol. It is easy to use and well-suited for novice IRC users, but novice and experienced users alike will appreciate its many features: . * Standard IRC features * Easy to use graphical interface * Multiple server and channel tabs in a single window * IRC color support * Pattern-based message highlighting and OnScreen Display * Multiple identities for different servers * Multi-language scripting support (with DCOP) * Customizable command aliases * NickServ-aware log-on (for registered nicknames) * Smart logging * Traditional or enhanced-shell-style nick completion * DCC file transfer with resume support Package: konversation-data Source: konversation Version: 1.4-1+deb7u2 Installed-Size: 9323 Maintainer: Debian KDE Extras Team Architecture: all Replaces: konversation (<< 1.3~beta1-2) Depends: perl Breaks: konversation (<< 1.3~beta1-2) Size: 4081156 SHA256: 304d4aead10db7d8924cc772471e409eec3a254be5ace7ec0bf2f998e8d49303 SHA1: 52231b6f0ba25d12188c0788bd383b0c238b86ed MD5sum: 429820b770d08b9d65b2a4a67841c873 Description: data files for Konversation Konversation is a client for the Internet Relay Chat (IRC) protocol. This package contains data files for Konversation. It is probably of no use if `konversation' package is not installed. Homepage: http://konversation.kde.org/ Recommends: konversation (>= 1.4-1+deb7u2), python Section: net Priority: optional Filename: pool/main/k/konversation/konversation-data_1.4-1+deb7u2_all.deb Package: konversation-dbg Source: konversation Version: 1.4-1+deb7u2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1082 Depends: konversation (= 1.4-1+deb7u2) Recommends: kdelibs5-dbg Homepage: http://konversation.kde.org/ Priority: extra Section: debug Filename: pool/main/k/konversation/konversation-dbg_1.4-1+deb7u2_armhf.deb Size: 330510 SHA256: 11c703cd3818ef140cdca1baf58dc1ecd969fab9f5b6961c76745cada5efc722 SHA1: b315c575b60125745ef34eda655b7201cd0cbab1 MD5sum: 8f70b0f7716f38c490d9405aa8ffa106 Description: debugging symbols for Konversation Konversation is a client for the Internet Relay Chat (IRC) protocol. This package contains the debugging symbols associated with konversation. They will automatically be used by gdb for debugging konversation-related issues. Package: konwert Version: 1.8-11.2 Architecture: armhf Maintainer: Yann Dirson Installed-Size: 145 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), perl, konwert-filters Suggests: konwert-dev Priority: optional Section: text Filename: pool/main/k/konwert/konwert_1.8-11.2_armhf.deb Size: 47582 SHA256: e368004792c8964557ae9af5a8b24eaf498e318b6d9d1d0db069d821925ffd11 SHA1: 8f4dcaa2ecc9485c85e91f2237d1bb1dee1a8a24 MD5sum: 84cb82eca9c8cda9104d813b918e21b1 Description: Charset conversion for files or terminal I/O `konwert' is yet another charset converter. Some particular features are: * one-to-many conversions * context-dependent conversions * approximations of some unavailable characters * (as a result) ability to transcript e.g. Russian Cyrillic into Polish phonetic equivalent . `filterm' applies filter conversion to a terminal's I/O, to get on-the-fly charset conversion, and customized input methods. Package: konwert-dev Source: konwert Version: 1.8-11.2 Installed-Size: 216 Maintainer: Yann Dirson Architecture: all Depends: konwert Suggests: g++ Size: 21916 SHA256: 5e98d8baca400813087937923fbecfb63cff59ce7c277e095b8f16a133fcf658 SHA1: a03f149c2acee15d4a99e19470da61498a76e471 MD5sum: 9ca615cbcf2a54f9016b04f1d4b9d690 Description: Tools to define new charset conversion for konwert This package contains sources for some filters, and the scripts used to build those filters from them. . The `512bold' filter is a C++ program. Tag: devel::library, interface::commandline, role::devel-lib, role::program, scope::utility, use::converting Section: text Priority: extra Filename: pool/main/k/konwert/konwert-dev_1.8-11.2_all.deb Package: konwert-filters Source: konwert Version: 1.8-11.2 Installed-Size: 1956 Maintainer: Yann Dirson Architecture: all Depends: perl, libfile-temp-perl Recommends: konwert Size: 230454 SHA256: 15046d42255b26e21d274138aa225ce50469418542b4a20d282d4daa0369b329 SHA1: 735ce708a59d3084f4a257d039eec65ecd8f9826 MD5sum: ba2d30359518bb54e5ff00937a653275 Description: Filters used by konwert for charset conversion These files are needed by konwert. . They are also probably useless without konwert itself. Tag: interface::commandline, scope::utility, use::converting Section: text Priority: optional Filename: pool/main/k/konwert/konwert-filters_1.8-11.2_all.deb Package: kopete Source: kdenetwork Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 16732 Depends: kde-runtime, kdepim-runtime, libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgadu3 (>= 1:1.8.0+r592), libgcc1 (>= 1:4.4.0), libgif4 (>= 4.1.4), libglib2.0-0 (>= 2.12.0), libidn11 (>= 1.13), libjasper1, libkabc4 (>= 4:4.8), libkcmutils4 (>= 4:4.8), libkde3support4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkdnssd4 (>= 4:4.8), libkemoticons4 (>= 4:4.8), libkhtml5 (>= 4:4.8), libkio5 (>= 4:4.8), libkmime4 (>= 4:4.8), libknewstuff2-4 (>= 4:4.8), libknotifyconfig4 (>= 4:4.8), libkopete4 (>= 4:4.7.1), libkparts4 (>= 4:4.8), libkpimidentities4 (>= 4:4.8), libmeanwhile1 (>= 1.0.2), libmediastreamer1 (>= 3.5.2), libmsn0.3 (>= 4.1~), libortp8 (>= 3.5.2), libotr2 (>= 3.2.0), libphonon4 (>= 4:4.2.0), libqca2 (>= 2.0.2), libqimageblitz4 (>= 1:0.0.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsolid4 (>= 4:4.8), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libv4l-0 (>= 0.5.0), libx11-6, libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), phonon, zlib1g (>= 1:1.1.4), perl Recommends: libqca2-plugin-ossl, libqt4-sql-sqlite Suggests: kdeartwork-emoticons, khelpcenter4, texlive-latex-base Homepage: http://www.kde.org/ Priority: optional Section: net Filename: pool/main/k/kdenetwork/kopete_4.8.4-1_armhf.deb Size: 8434154 SHA256: d4cc72419a91368e624dee6f3e21f868df10dac899a2561c930e71f314c8a227 SHA1: 2478c7d868e95b13b241a7093700067110366f34 MD5sum: 0e0e55bd77ce16a6318faab3e28e0ecc Description: instant messaging and chat application Kopete is an instant messaging and chat application with support for a wide variety of services, such as AIM, Yahoo, ICQ, MSN, and Jabber. Advanced features and additional protocols are available as plugins. . This package is part of the KDE networking module. Package: korganizer Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 16538 Depends: kde-runtime, kdepim-runtime, libakonadi-contact4 (>= 4:4.6), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkabc4 (>= 4:4.6), libkcal4 (>= 4:4.6), libkcmutils4 (>= 4:4.6), libkde3support4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdepim4 (= 4:4.4.11.1+l10n-3), libkdeui5 (>= 4:4.6), libkholidays4 (>= 4:4.6), libkio5 (>= 4:4.6), libkmime4 (>= 4:4.6), libknewstuff2-4 (>= 4:4.6), libkontactinterface4 (>= 4:4.6), libkparts4 (>= 4:4.6), libkpimidentities4 (>= 4:4.6), libkpimutils4 (>= 4:4.6), libkprintutils4 (>= 4:4.6), libkresources4 (>= 4:4.6), libphonon4 (>= 4:4.6.0really4.3.80), libqt4-dbus (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), phonon, zlib1g (>= 1:1.1.4), perl Suggests: kdepim-kresources, kdepim-groupware Conflicts: kde-l10n Breaks: kaddressbook (<< 4:4.1.80), kontact (<< 4:4.1.80) Replaces: kaddressbook (<< 4:4.1.80), kontact (<< 4:4.1.80) Homepage: http://kontact.kde.org/korganizer/ Priority: optional Section: kde Filename: pool/main/k/kdepim/korganizer_4.4.11.1+l10n-3_armhf.deb Size: 6183010 SHA256: 1038f5d3bb43b440665134f636252f5b252df08aff569a6f91b8587e8034f3b5 SHA1: 5750947904bb3ce0a2a395359d92dce9beb67848 MD5sum: c2a8edbb1d042c5578bf3f9ba8414c09 Description: calendar and personal organizer This package contains KOrganizer, a calendar and scheduling program. . KOrganizer aims to be a complete program for organizing appointments, contacts, projects, etc. KOrganizer natively supports information interchange with other calendar applications, through the industry standard vCalendar personal data interchange file format. This eases the move from other modern PIMs to KOrganizer. . This package is part of the KDE PIM module. Package: korundum Version: 4:4.8.4-1 Installed-Size: 46 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: korundum4 (<< 4:4.6.80) Provides: korundum4 Depends: ruby-kde4, ruby-akonadi, ruby-okular, ruby-plasma, ruby-soprano Breaks: korundum4 (<< 4:4.6.80) Size: 18340 SHA256: 9c55e5c175b116314caa3477aa68caf097bbd5012191d107b067b26ff1a2c44c SHA1: 081099008b03314ab4ff4fdd3f0fa50b7292be78 MD5sum: b994e3072aaffa48a0d2bbf581fdaf09 Description: Ruby bindings for the KDE Development Platform This virtual package installs the complete suite of KDE bindings for the Ruby language including KHTML, Okular, Nepomuk, and Solid bindings. . This is part of the Korundum module. Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/korundum Section: ruby Priority: optional Filename: pool/main/k/korundum/korundum_4.8.4-1_all.deb Package: korundum4 Source: korundum Version: 4:4.8.4-1 Installed-Size: 44 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: korundum Size: 15610 SHA256: e11292d9c126c3651a98559dc911968fc9d41ad1dd781eeb06682ed9e63ad96f SHA1: 49032f71b9f6e50560ce303c496a9b31f316abdc MD5sum: 70b5d5cf9b98e33bd57f587db4c616dc Description: transitional package for korundum This is a transitional package to ease upgrades to the korundum package. It can safely be removed. Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/korundum Tag: devel::lang:ruby Section: oldlibs Priority: extra Filename: pool/main/k/korundum/korundum4_4.8.4-1_all.deb Package: kosd Version: 0.8.1-1 Architecture: armhf Maintainer: Cyril Lacoux Installed-Size: 196 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.6.0), libkdecore5 (>= 4:4.6.0), libkdeui5 (>= 4:4.6.0), libkosd2, libplasma3 (>= 4:4.6.0), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libsolid4 (>= 4:4.6.0), libstdc++6 (>= 4.4.0) Homepage: http://kde-apps.org/content/show.php?content=81457 Priority: optional Section: kde Filename: pool/main/k/kosd/kosd_0.8.1-1_armhf.deb Size: 46152 SHA256: 2ef8ad0f4a1579f9e39d1fd118680e98b2177397d2de995aea2e56be7f74901e SHA1: e91ef4555bdf7bf9034879421855630e59ad227d MD5sum: 0bdbcf202ba52a56d6830175e6da98a1 Description: KDE On-Screen Display framework KOSD is a simple framework for the KDE Desktop providing an OSD in response to media key presses. Package: koules Version: 1.4-19 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 393 Depends: libc6 (>= 2.4), libx11-6, libxext6 Homepage: http://www.ucw.cz/~hubicka/koules/English/koules.html Priority: optional Section: games Filename: pool/main/k/koules/koules_1.4-19_armhf.deb Size: 225154 SHA256: 8dfccb92723de62baeaf9ef1ddf0b5c6e879e75b4f9a221b5a4ca482df32e0fb SHA1: 9faeb7c4aa38cfbc6862321213e892982b083990 MD5sum: 8272b3a07be78fa7f4a1189ada25b344 Description: abstract space action game Koules is a fast action arcade-style game. This version is compiled for X11. It has cool 256 color graphics, a multiplayer mode for up to 5 players, full sound and, of course, network support. Koules is an original idea. The first version of Koules was developed from scratch by Jan Hubicka in July 1995. . It supports multiplayer deathmatch and cooperative play. Package: kover Source: kover (1:4-7) Version: 1:4-7+b1 Architecture: armhf Maintainer: Rene Engelhard Installed-Size: 353 Depends: kde-runtime, libc6 (>= 2.13-28), libcddb2, libcdio13 (>= 0.83), libgcc1 (>= 1:4.1.1), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libkfile4 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6) Priority: optional Section: graphics Filename: pool/main/k/kover/kover_4-7+b1_armhf.deb Size: 119082 SHA256: d3b45a03479328897f18a94e409b5edf4606c492bb14a4541044ccf7c10e12f0 SHA1: 94599c6f3afa159942340fd5dee1326fd65bf0fc MD5sum: c5087bc6b5f5659ef6cfa0145d1e60d7 Description: WYSIWYG CD cover printer Kover is a WYSIWYG CD cover printer. You have the ability to enter the title, contents, set background colors, enter text, embed images or stream the title and tracks from CDDB (including CDDB Code 211). Kover can authenticate through a proxy (Basic, but not Digest) for accessing CDDB, and make a CDDB request just by entering the CDDB ID (i.e., no need to have the CD inserted). Package: kpart-webkit Source: webkitkde Version: 1.3~git20120518.9a111005-3 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 459 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8.3), libkdeui5 (>= 4:4.8.4), libkdewebkit5 (>= 4:4.8.3), libkio5 (>= 4:4.8.3), libkparts4 (>= 4:4.8.3), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.6.1), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.2.0), libstdc++6 (>= 4.4.0) Conflicts: libkwebkit-dev, libkwebkit1 Replaces: libkwebkit1 Provides: libkwebkit1 Homepage: https://projects.kde.org/projects/extragear/base/kwebkitpart Priority: optional Section: web Filename: pool/main/w/webkitkde/kpart-webkit_1.3~git20120518.9a111005-3_armhf.deb Size: 164928 SHA256: c93ae164f5284de952ddebacff2b4930e8a54f55a536c8a5294104dc15f58956 SHA1: 3fac114f71571851e59b407918734e7914e1a1b6 MD5sum: 60a7d61a773661cb8166a37c5af7e2e8 Description: WebKit KPart This package contains a plug-In for alowing the Konqueror web browser and other KDE applications to use the WebKit HTML renderer instead of the traditional KHTML. Package: kpart-webkit-dbg Source: webkitkde Version: 1.3~git20120518.9a111005-3 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 3214 Depends: kpart-webkit (= 1.3~git20120518.9a111005-3) Conflicts: libkwebkit-dbg Replaces: libkwebkit-dbg Provides: libkwebkit-dbg Homepage: https://projects.kde.org/projects/extragear/base/kwebkitpart Priority: extra Section: debug Filename: pool/main/w/webkitkde/kpart-webkit-dbg_1.3~git20120518.9a111005-3_armhf.deb Size: 1276124 SHA256: 44aff9fb53bf5709aa9cf23aab2be40d4eedaf10ad5dc6eb46cf76a6e85af18a SHA1: 9006c5f89f2081cabfe5199c6fa94b225330faa5 MD5sum: 439fe52b3ffb4063182e89337e2f1ef8 Description: WebKit KPart - debugging symbols This package contains a plug-In for alowing the Konqueror web browser and other KDE applications to use the WebKit HTML renderer instead of the traditional KHTML. . This package is part of Webkit KPart. Package: kpartloader Source: kdesdk Version: 4:4.8.4+dfsg-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 89 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.4.4-2~), libkdeui5 (>= 4:4.3.4), libkparts4 (>= 4:4.3.4), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1) Homepage: http://www.kde.org Priority: optional Section: devel Filename: pool/main/k/kdesdk/kpartloader_4.8.4+dfsg-1_armhf.deb Size: 21818 SHA256: f25cdabbb4560ba71e43f8709a8ea2b820b7546454dba13e1d81dee46abdf78d SHA1: 3b84227d4b32765b66f247924abc4f7b232a1cff MD5sum: 288aa949538d939202f77b1578f8a5e8 Description: test tool for KParts KPartloader is a very simple application to test loading of a KPart. KPartloader is called with a simple argument to tell which KPart to load. . KParts is a KDE core technology to embed components of one application into other applications. This application is used for testing such components during development. . This package is part of the KDE Software Development Kit module. Package: kpartsplugin Version: 20120605-1 Architecture: armhf Maintainer: Michele Gastaldo Installed-Size: 203 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libkdecore5 (>= 4:4.4.4-2~), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkparts4 (>= 4:4.3.4), libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0) Homepage: http://www.unix-ag.uni-kl.de/~fischer/kpartsplugin/ Priority: optional Section: kde Filename: pool/main/k/kpartsplugin/kpartsplugin_20120605-1_armhf.deb Size: 66210 SHA256: a80ddaeb766aff9add106462a53f3b4a9cffbd14747bc4b87e09e3cf06b2d150 SHA1: 2bd0d6e1922ffd2b72927096698dd011db4e55f0 MD5sum: 476b59f93bf16254e0595e310c017b27 Description: Netscape-compatible plugin to embed KDE file-viewers into browser This software implements a plugin for Netscape-compatible browsers in Unix environments. This plugin uses KDE's KParts technology to embed file viewers (e.g. for PDF files) into non-KDE browsers. Tested browsers include both (Mozilla Firefox and Opera, and is know to work with Chrome and Arora. With this plugin, you can e.g. view PDF files in Firefox using Okular as an embedded plugin. Package: kpartx Source: multipath-tools Version: 0.4.9+git0.4dfdaf2b-7~deb7u2 Architecture: armhf Maintainer: Debian LVM Team Installed-Size: 99 Depends: libc6 (>= 2.13-28), libdevmapper1.02.1 (>= 2:1.02.36), libgcc1 (>= 1:4.4.0), udev (>> 0.086), dmsetup (>= 2:1.02.24) Replaces: multipath-tools (<< 0.4.7-3) Homepage: http://christophe.varoqui.free.fr/ Priority: extra Section: admin Filename: pool/main/m/multipath-tools/kpartx_0.4.9+git0.4dfdaf2b-7~deb7u2_armhf.deb Size: 34662 SHA256: 4a02f57ad29303e81a611ebb1c88faed0f120c4dcee14ad3c6e11d764a998247 SHA1: 5f09979791805b80a2f5074593f5523b1d9baeae MD5sum: f5498a6a3d003e8b2b770888d967d4ec Description: create device mappings for partitions Kpartx can be used to set up device mappings for the partitions of any partitioned block device. It is part of the Linux multipath-tools. Package: kpat Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 3478 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7), libknewstuff3-4 (>= 4:4.7), libphonon4 (>= 4:4.3.0), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), phonon, kdegames-card-data (>= 4:4.8.4-3) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kpat_4.8.4-3_armhf.deb Size: 2941370 SHA256: fe44c2238c251b5d46fe8c185d63a3b02e9db078a8631edd08e3d3f614a5d79e SHA1: 88e344d764c71f67230289abac9d27d33c239692 MD5sum: 0de297efabc02f3d452be43a3e992f90 Description: solitaire card games KPatience is a collection of fourteen solitaire card games, including Klondike, Spider, and FreeCell. . This package is part of the KDE games module. Package: kphotoalbum Version: 4.2-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 15604 Depends: kde-runtime, libc6 (>= 2.13-28), libexiv2-12, libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libkdcraw20 (>= 4:4.7.1), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.5.85), libkio5 (>= 4:4.7.0), libkipi8 (>= 4:4.5.90), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), phonon, libqt4-sql-sqlite Recommends: kipi-plugins, khelpcenter Suggests: mplayerthumbs Homepage: http://kphotoalbum.org Priority: optional Section: graphics Filename: pool/main/k/kphotoalbum/kphotoalbum_4.2-1_armhf.deb Size: 11289566 SHA256: faad5ee2c0c4419f05989cddbcd357c3b498dc6eb2476e0f209f7552059f03bd SHA1: 313b1fd1a0c2ded03025f6852a2ef85e5584877f MD5sum: 01dffb0dd53a1423f3e7d55a8d007572 Description: tool for indexing, searching and viewing images by keywords for KDE KPhotoAlbum lets you index, search, group and view images by keywords, date, locations and persons. It provides a quick and elegant way to lookup groups of images when you have thousands of pictures on your hard disk. . The information associated with each photo is stored in an XML file. Together with its keywords, KPhotoAlbum stores each picture's MD5 sum, so it will recognize them even if you move them to another directory. KPhotoAlbum can also create HTML galleries with the images you select. . KPhotoAlbum can also make use of the KIPI image handling plugins to extend its capabilities. The kipi-plugins package contains many useful extensions. Among others, it contains extensions for photo manipulation, importing, exporting and batch processing. Package: kplato Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligraplan Size: 1824 SHA256: c79d3ca52c6c151718356239274143b7664c7ef24014da08a73690b29c22bc19 SHA1: df46cd3e8832f2d1469ce0f2d78dadd34dfc2a68 MD5sum: ac45433934432cf877a580ac1d42678b Description: transitional dummy package for calligraplan This transitional package allows one to migrate from KOffice's KPlato to Calligra Plan. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: implemented-in::c++, interface::x11, office::project-management, role::program, suite::kde, uitoolkit::qt, use::organizing, x11::application Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/kplato_2.4.3+2_all.deb Package: kplayer Version: 1:0.7-2.1 Architecture: armhf Maintainer: David Palacio Installed-Size: 1924 Depends: mplayer, kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libkde3support4 (>= 4:4.3.4), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.4.0), libkio5 (>= 4:4.3.4), libkparts4 (>= 4:4.5.85), libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsm6, libsolid4 (>= 4:4.3.4), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0), libx11-6, libxau6, libxdmcp6, libxft2 (>> 2.1.1) Homepage: http://kplayer.sourceforge.net/ Priority: optional Section: video Filename: pool/main/k/kplayer/kplayer_0.7-2.1_armhf.deb Size: 709008 SHA256: 6193f5709ae45fecd2facd76234248b7a7d7fe5e09e427bef204aa5d496085ed SHA1: f1017e7d5f1a0485d8e06d66212b942a7d9b841a MD5sum: 968a9d8b12cf38d41188c60ba5dfdbdd Description: A KDE media player based on MPlayer KPlayer is a multimedia player based on the popular MPlayer. It provides video, audio and subtitle playback from files, URLs, DVDs, VCDs, audio CDs, TV, DVB and KDE I/O Slaves as well as global and file specific options, various controls, playlist, progress and status display, and a message log. Package: kplayer-dbg Source: kplayer Version: 1:0.7-2.1 Architecture: armhf Maintainer: David Palacio Installed-Size: 10516 Depends: kplayer (= 1:0.7-2.1) Homepage: http://kplayer.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/k/kplayer/kplayer-dbg_0.7-2.1_armhf.deb Size: 3940000 SHA256: a13b010da837d74f48d3284e78b1c5e4f64f77609f2171e8e12420e9aeecde81 SHA1: 0a2e45745931ca347f5079d5771e91be7bb3a095 MD5sum: 87e4c36d1b7e02854aece4ddf9554e4e Description: kplayer debug symbols KPlayer is a multimedia player based on the popular MPlayer. It provides video, audio and subtitle playback from files, URLs, DVDs, VCDs, audio CDs, TV, DVB and KDE I/O Slaves as well as global and file specific options, various controls, playlist, progress and status display, and a message log. . This package contains debugging files used to investigate problems with the kplayer binaries. Package: kppp Source: kdenetwork Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2275 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkde3support4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), ppp Suggests: khelpcenter4 Homepage: http://www.kde.org/ Priority: optional Section: net Filename: pool/main/k/kdenetwork/kppp_4.8.4-1_armhf.deb Size: 893742 SHA256: db5ee99b5970d16985b9c69b302ce8abd1d127e016a71d1f03d69eefd1baff0f SHA1: 3fa8572e3671d3705762b0b483d9654665e5b6f7 MD5sum: 00b826c7f66b4d896cc3640f79c4ec49 Description: modem dialer for KDE KPPP is a modem dialer for connecting to a dial-up Internet Service Provider. It displays statistics and accounting information to help users keep track of connection costs. . This package is part of the KDE 4 networking module. Package: kpresenter Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligrastage Size: 1838 SHA256: aa0a832b242c9f9283dd290dfb7fc650c25aa78627378550ae096faf369d2471 SHA1: a736ed041586dc51f36bbe90addf7434ca09daa4 MD5sum: 54c4217ee21f2ff1818d4107b3709d03 Description: transitional dummy package for calligrastage This transitional package allows one to migrate from KOffice's KPresenter to Calligra Stage. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: implemented-in::c++, interface::x11, office::presentation, role::program, scope::utility, suite::kde, uitoolkit::qt, use::editing, works-with-format::pdf, x11::application Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/kpresenter_2.4.3+2_all.deb Package: kradio Source: kradio4 Version: 4.0.4-1 Installed-Size: 45 Maintainer: Debian KDE Extras Team Architecture: all Depends: kradio4 Size: 20674 SHA256: 1e09f4a85d7dc84fc3910f2808074b8b977361c3366ecd3ef61665f3f97b889c SHA1: 816b6a828d76809159e8d034c3bac40beb3f4b98 MD5sum: 345352fb6d927e2b2bafe4af4f860e79 Description: dummy transition package for Wheezy This is a dummy transition package and can be safely removed. . It can also be removed from the Debian package once Wheezy is released. Homepage: http://kradio.sourceforge.net/ Tag: implemented-in::c++, interface::x11, role::dummy, role::program, sound::player, suite::kde, uitoolkit::qt, use::playing, works-with::audio, x11::application Section: sound Priority: optional Filename: pool/main/k/kradio4/kradio_4.0.4-1_all.deb Package: kradio4 Version: 4.0.4-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 10674 Depends: kde-runtime, libasound2 (>= 1.0.16), libavformat53 (>= 5:0.8-2~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libkde3support4 (>= 4:4.3.4), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkparts4 (>= 4:4.3.4), liblircclient0, libmms0 (>= 0.4), libmp3lame0, libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libogg0 (>= 1.0rc3), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsndfile1 (>= 1.0.20), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0), libvorbisenc2 (>= 1.1.2) Homepage: http://kradio.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/k/kradio4/kradio4_4.0.4-1_armhf.deb Size: 2504764 SHA256: 0d04724aebe6cd2fd08a997bbc114d2b9f74c6f0367ce30409f944a322390b13 SHA1: 0caed9874600fd7433ab257272e2adba88c888bc MD5sum: 00f609e7bab17ab37158516f883363cc Description: comfortable radio application for KDE KRadio is a comfortable radio application for KDE 4.x with support for V4L and V4L2 radio cards drivers. . KRadio currently provides: . * V4L/V4L2 radio support * Remote control support (LIRC) * Alarms, sleep Countdown * Several GUI Controls (Docking Menu, Station Quickbar, Radio Display) * Recording capabilities, including MP3, Ogg/Vorbis, and WAV encoding * Timeshifter functionality * Extendable plugin architecture . This package also includes a growing collection of station preset files for many cities around the world contributed by KRadio users. . As KRadio is based on an extendable plugin architecture, contributions of new plugins (e.g. Internet Radio Streams, new cool GUIs) are welcome. Package: kraft Version: 0.45-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 3268 Depends: kde-runtime, kdepim-runtime, libakonadi-contact4 (>= 4:4.5.0), libakonadi-kde4 (>= 4:4.5.86), libc6 (>= 2.13-28), libctemplate2, libgcc1 (>= 1:4.4.0), libkabc4 (>= 4:4.3.4), libkcalcore4 (>= 4:4.5.86), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.6.4), libkhtml5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkjsapi4 (>= 4:4.3.4), libkmime4 (>= 4:4.3.4), libkparts4 (>= 4:4.5.85), libkpimutils4 (>= 4:4.3.4), libkresources4 (>= 4:4.3.4), libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0), libqt4-sql-sqlite, python-trml2pdf, pdftk Recommends: libqt4-sql-mysql Homepage: http://volle-kraft-voraus.de/ Priority: optional Section: kde Filename: pool/main/k/kraft/kraft_0.45-2_armhf.deb Size: 1171954 SHA256: 2611e29aed0e30ab89355628e2a33ed5f9648746e5a6cb60c71ee11678a2c27d SHA1: 8d3ddc62f6698cb6a17c553ed025203998f68eb3 MD5sum: a1ab6c29aff38b41eeaf7eb7a477ad24 Description: small business-management application Kraft is an application for people who operate a small business. Kraft helps to write business communication documents like offers and invoices. . Kraft is not a program specialised on a certain trade or craft. Instead Kraft covers the practical issues of a small business and helps through ease of use yet powerful features to deal with most of the office jobs effectively. Package: krank Version: 0.7+dfsg2-2 Installed-Size: 63732 Maintainer: Dmitry E. Oboukhov Architecture: all Depends: python-pygame, python-yaml, ttf-dejavu Size: 59999502 SHA256: c42c154e8224f9d7d4ab741f3995b6801c1b472e31a695602a49ab9e29854c2f SHA1: af9997e15fd9391c4b70e0db9225d343dcec0ef1 MD5sum: b51f81917cfd4a5da73bcbdc4fee80a2 Description: is a game of dexterity where you match stones together krank is a game of dexterity, being somewhere between Breakout and billiard, where the aim of each level is to shove floating stones towards compatible static stones. You control a short chain of stones with your mouse to achieve that. Homepage: http://krank.sourceforge.net/ Tag: role::program, use::gameplaying Section: games Priority: extra Filename: pool/main/k/krank/krank_0.7+dfsg2-2_all.deb Package: kraptor Version: 0.0.20040403-6 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 155 Depends: libaldmb1, liballegro4.2 (>= 2:4.2.2), libc6 (>= 2.13-28), libdumb1, kraptor-data (= 0.0.20040403-6) Homepage: http://kraptor.sourceforge.net/ Priority: optional Section: games Filename: pool/main/k/kraptor/kraptor_0.0.20040403-6_armhf.deb Size: 61020 SHA256: 0b22e97de0e9c62104e88f893f90cb9c2fccc682add0cbb085328c59f6da62cf SHA1: 245c53b406e6994a5ac7aa416835d87fc2f359c1 MD5sum: 27e414ff31d452d53d20ed8f97b64395 Description: Classic shoot 'em up scroller game Kraptor is a classic shoot 'em up scroller game, where you must fight against tons of bad dudes. The game offers high speed action, with massive destruction and lots of fun. Kraptor features a powerful engine for 2D shooter scroller games. . After years of oppression, the slaved people of the world have raised against their masters. You, has a mercenary pilot, has been contacted by the popular rebellion to fight against the forces of oppression. In the morning, you jump into your cockpit and start up the engines. It's time to get airborne and start the attack. Get ready to scramble the scum hired by the masters. Murder for freedom is the only way, you're on a mission, don't defraud us... Package: kraptor-data Source: kraptor Version: 0.0.20040403-6 Installed-Size: 10296 Maintainer: Debian Games Team Architecture: all Size: 9882596 SHA256: 41d518314c69542e343b3f8d1a52d2647ad985256def6a6e50d7ec6b11287b20 SHA1: 393b12ccb6ecdde112fcb94e4226083c7c3bb2b4 MD5sum: a966db5f019c10b1c0539b931a23c307 Description: Classic shoot 'em up scroller game - data files Kraptor is a classic shoot 'em up scroller game, where you must fight against tons of bad dudes. The game offers high speed action, with massive destruction and lots of fun. Kraptor features a powerful engine for 2D shooter scroller games. . This package includes the data of the game. Homepage: http://kraptor.sourceforge.net/ Tag: role::app-data Section: games Priority: optional Filename: pool/main/k/kraptor/kraptor-data_0.0.20040403-6_all.deb Package: krb5-admin-server Source: krb5 Version: 1.10.1+dfsg-5+deb7u9 Architecture: armhf Maintainer: Sam Hartman Installed-Size: 218 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgssapi-krb5-2 (>= 1.6.dfsg.2), libgssrpc4 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.9+dfsg~beta1), libkadm5srv-mit8, libkdb5-6, libkeyutils1, libkrb5-3 (= 1.10.1+dfsg-5+deb7u9), libkrb5support0 (>= 1.7dfsg~beta2), libss2 (>= 1.01), libverto1, krb5-kdc (>= 1.10+dfsg~), lsb-base (>= 3.0-6) Homepage: http://web.mit.edu/kerberos/ Priority: optional Section: net Filename: pool/main/k/krb5/krb5-admin-server_1.10.1+dfsg-5+deb7u9_armhf.deb Size: 118400 SHA256: cd12b80ed311b2b9d422f984ffa2f41bb6fcc0b23e038f46c269974503781fec SHA1: c4b844fae233d641340c0d098ac3a7f36692066f MD5sum: ce326d9b3c4660dc7e209c2dd2e71d57 Description: MIT Kerberos master server (kadmind) Kerberos is a system for authenticating users and services on a network. Kerberos is a trusted third-party service. That means that there is a third party (the Kerberos server) that is trusted by all the entities on the network (users and services, usually called "principals"). . This is the MIT reference implementation of Kerberos V5. . This package contains the Kerberos master server (kadmind), which handles account creations and deletions, password changes, and other administrative commands via the Kerberos admin protocol. It also contains the command used by the master KDC to propagate its database to slave KDCs. This package is generally only used on the master KDC for a Kerberos realm. Package: krb5-auth-dialog Version: 3.2.1-1+deb7u1 Architecture: armhf Maintainer: Guido Günther Installed-Size: 1261 Depends: gconf-service, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.0.0), libkrb5-26-heimdal (>= 1.4.0+git20110226), libnm-glib4 (>= 0.7.999), libnotify4 (>= 0.7.1), libpam0g (>= 0.99.7.1), gconf2 (>= 2.28.1-2) Suggests: gnome-control-center Homepage: https://honk.sigxcpu.org/piki/projects/krb5-auth-dialog Priority: optional Section: gnome Filename: pool/main/k/krb5-auth-dialog/krb5-auth-dialog_3.2.1-1+deb7u1_armhf.deb Size: 264388 SHA256: 461589c1bc8e1b393bdfda1ff676168fa480097ddb54054e52f03595f0a897c2 SHA1: f5ec26dcc1b52f51ace7879a47a12cbb155c14aa MD5sum: d6a73f8465a9973f608dc381f4a924f6 Description: tray applet for reauthenticating kerberos tickets krb5-auth-dialog is a simple tray applet that monitors kerberos tickets. It pops up reminders when the ticket is about to expire. Tickets can be refreshed at any time by clicking on the tray icon. It can also operate in "classic mode" as a simple dialog without any trayicon. . It features ticket autorenewal and supports pkinit. Package: krb5-clients Source: krb5-appl Version: 1:1.0.1-4 Architecture: armhf Maintainer: Sam Hartman Installed-Size: 409 Depends: libc6 (>= 2.11), libcomerr2 (>= 1.01), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.8+dfsg), libkrb5-3 (>= 1.10+dfsg~), libtinfo5, krb5-config Suggests: rsh-client Conflicts: heimdal-clients Provides: telnet-client Homepage: http://web.mit.edu/kerberos/ Priority: optional Section: net Filename: pool/main/k/krb5-appl/krb5-clients_1.0.1-4_armhf.deb Size: 163306 SHA256: 210d1d2bcbbeaec2582751bb09fce1de0b5977b5b0efa0a7112f9e07f34df50d SHA1: 375d7edcfd2c7dc1cb36cc9dcd8b6c310b54a737 MD5sum: f3a02bfe5cdeeeda15c9f5e8510cce4c Description: Secure replacements for ftp, telnet and rsh using MIT Kerberos Kerberos is a system for authenticating users and services on a network. Kerberos is a trusted third-party service. That means that there is a third party (the Kerberos server) that is trusted by all the entities on the network (users and services, usually called "principals"). . This is the MIT reference implementation of Kerberos V5. . This package contains secure replacements for ftp, telnet, rsh, rlogin, and rcp that use Kerberos for authentication. Package: krb5-config Source: kerberos-configs Version: 2.3 Installed-Size: 79 Maintainer: Sam Hartman Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, bind9-host Size: 24738 SHA256: 509de1e5684f07f6b148ffd686c1139bb8aa9f0d478189ea42bd76818724a539 SHA1: 87cd18b91740464ffa612783931d8569927f31bd MD5sum: 5915ee678cb8ce6e210f836bcae85b8a Description: Configuration files for Kerberos Version 5 This package provides /etc/krb5.conf and any other files needed to configure Kerberos Version 5. This package may be used with one of the implementations of Kerberos in Debian, or with a locally built Kerberos. Generally this package will be installed as part of installing some Kerberos implementation. Tag: admin::login, protocol::kerberos, role::app-data, security::authentication, use::configuring, use::login Section: net Priority: optional Filename: pool/main/k/kerberos-configs/krb5-config_2.3_all.deb Package: krb5-doc Source: krb5 Version: 1.10.1+dfsg-5+deb7u9 Installed-Size: 3182 Maintainer: Sam Hartman Architecture: all Depends: dpkg (>= 1.15.4) | install-info Conflicts: heimdal-docs Size: 2672216 SHA256: 4de75247a1dd1c912a25cc0497612ff4baebea8355a597daeb500622797bde6c SHA1: 11e7dbad9a1eaacb867c289f3753a0dc480d29cd MD5sum: 7deab67c6396b974c8078993d5327067 Description: Documentation for MIT Kerberos Kerberos is a system for authenticating users and services on a network. Kerberos is a trusted third-party service. That means that there is a third party (the Kerberos server) that is trusted by all the entities on the network (users and services, usually called "principals"). . This is the MIT reference implementation of Kerberos V5. . This package contains the installation, administrator, and user reference manuals for MIT Kerberos and the man pages for the MIT Kerberos configuration files. Homepage: http://web.mit.edu/kerberos/ Section: doc Priority: optional Filename: pool/main/k/krb5/krb5-doc_1.10.1+dfsg-5+deb7u9_all.deb Package: krb5-ftpd Source: krb5-appl Version: 1:1.0.1-4 Architecture: armhf Maintainer: Sam Hartman Installed-Size: 114 Depends: libc6 (>= 2.11), libgssapi-krb5-2 (>= 1.8+dfsg), libkrb5-3 (>= 1.6.dfsg.2), krb5-config, openbsd-inetd | inet-superserver Conflicts: ftpd Provides: ftp-server Homepage: http://web.mit.edu/kerberos/ Priority: extra Section: net Filename: pool/main/k/krb5-appl/krb5-ftpd_1.0.1-4_armhf.deb Size: 45618 SHA256: 66173185199d8736e4e41a5c68e3e14e2ccbdacf90d830536432f2b05dae21ca SHA1: 12b78e81253a6bc6d8de496454fb30acee1efacf MD5sum: 5146aebdab44f2a0a77dc8151ea23d8b Description: Secure FTP server supporting MIT Kerberos Kerberos is a system for authenticating users and services on a network. Kerberos is a trusted third-party service. That means that there is a third party (the Kerberos server) that is trusted by all the entities on the network (users and services, usually called "principals"). . This is the MIT reference implementation of Kerberos V5. . This package contains an FTP server that uses Kerberos for authentication. Package: krb5-gss-samples Source: krb5 Version: 1.10.1+dfsg-5+deb7u9 Architecture: armhf Maintainer: Sam Hartman Installed-Size: 84 Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.6.dfsg.2), libkeyutils1, libkrb5-3 (>= 1.6.dfsg.2), libkrb5support0 (>= 1.7dfsg~beta2) Homepage: http://web.mit.edu/kerberos/ Priority: extra Section: net Filename: pool/main/k/krb5/krb5-gss-samples_1.10.1+dfsg-5+deb7u9_armhf.deb Size: 51692 SHA256: 5b05792e1645e09f4c7c20c86097967eb8b7af13340377cfa4dcb8aa721170c0 SHA1: e476f47ec78da0a6f0829274a6a9c5a01dcc1c21 MD5sum: 3783f7fda80cac44423a383915a9b09a Description: MIT Kerberos GSS Sample applications Kerberos is a system for authenticating users and services on a network. Kerberos is a trusted third-party service. That means that there is a third party (the Kerberos server) that is trusted by all the entities on the network (users and services, usually called "principals"). . This is the MIT reference implementation of Kerberos V5. . This package contains bgss-sample and gss-server, programs used to test GSS-API mechanisms. These programs are most commonly used in testing newly developed GSS-API mechanisms or in testing events between Kerberos or GSS implementations. Package: krb5-kdc Source: krb5 Version: 1.10.1+dfsg-5+deb7u9 Architecture: armhf Maintainer: Sam Hartman Installed-Size: 380 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgssapi-krb5-2 (>= 1.6.dfsg.2), libgssrpc4 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.9+dfsg~beta1), libkadm5clnt-mit8, libkadm5srv-mit8 (>= 1.10+dfsg~), libkdb5-6, libkeyutils1, libkrb5-3 (= 1.10.1+dfsg-5+deb7u9), libkrb5support0 (>= 1.7dfsg~beta2), libverto1, krb5-config, krb5-user, lsb-base (>= 3.0-6) Suggests: openbsd-inetd | inet-superserver, krb5-admin-server, krb5-kdc-ldap (= 1.10.1+dfsg-5+deb7u9) Conflicts: krb5-doc (<= 1.6.dfsg.3-1) Replaces: krb5-doc (<= 1.6.dfsg.3-1) Homepage: http://web.mit.edu/kerberos/ Priority: optional Section: net Filename: pool/main/k/krb5/krb5-kdc_1.10.1+dfsg-5+deb7u9_armhf.deb Size: 210580 SHA256: d0597c685b0e4ae4b07945bf5d2b9cec4b3f29222ed1465f24d87897c645c668 SHA1: 0b0c227058727235b14a7314f70c713899e934a8 MD5sum: 59e9f6c736cbc5b1048b83282db64ee1 Description: MIT Kerberos key server (KDC) Kerberos is a system for authenticating users and services on a network. Kerberos is a trusted third-party service. That means that there is a third party (the Kerberos server) that is trusted by all the entities on the network (users and services, usually called "principals"). . This is the MIT reference implementation of Kerberos V5. . This package contains the Kerberos key server (KDC). The KDC manages all authentication credentials for a Kerberos realm, holds the master keys for the realm, and responds to authentication requests. This package should be installed on both master and slave KDCs. Package: krb5-kdc-ldap Source: krb5 Version: 1.10.1+dfsg-5+deb7u9 Architecture: armhf Maintainer: Sam Hartman Installed-Size: 197 Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgssapi-krb5-2 (>= 1.6.dfsg.2), libgssrpc4 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.7+dfsg), libkadm5srv-mit8, libkdb5-6, libkeyutils1, libkrb5-3 (>= 1.6.dfsg.2), libkrb5support0 (>= 1.7dfsg~beta2), libldap-2.4-2 (>= 2.4.7), krb5-kdc (= 1.10.1+dfsg-5+deb7u9) Homepage: http://web.mit.edu/kerberos/ Priority: extra Section: net Filename: pool/main/k/krb5/krb5-kdc-ldap_1.10.1+dfsg-5+deb7u9_armhf.deb Size: 115108 SHA256: d14134805ff7327b638e74de203eddbc59d06a1992074bc4e738f3afcf492a0c SHA1: 1cb986eb6f23bcd693f8c71b62497f98b8baf352 MD5sum: 0e21f613fa656cb000d8c76968fe6549 Description: MIT Kerberos key server (KDC) LDAP plugin Kerberos is a system for authenticating users and services on a network. Kerberos is a trusted third-party service. That means that there is a third party (the Kerberos server) that is trusted by all the entities on the network (users and services, usually called "principals"). . This is the MIT reference implementation of Kerberos V5. . This package contains the LDAP plugin for the Kerberos key server (KDC) and supporting utilities. This plugin allows the KDC data to be stored in an LDAP server rather than the default local database. It should be installed on both master and slave KDCs that use LDAP as a storage backend. Package: krb5-locales Source: krb5 Version: 1.10.1+dfsg-5+deb7u9 Installed-Size: 1516 Maintainer: Sam Hartman Architecture: all Size: 1503942 SHA256: 9c0c900ddc29052340130aa474355dfd6ac4c0f1baedce8341c96c174e3d1feb SHA1: 49a7320e6541b3597c4c5066695dccc038d2738a MD5sum: cf7a5284ce91872839df6f893728a60a Description: Internationalization support for MIT Kerberos Kerberos is a system for authenticating users and services on a network. Kerberos is a trusted third-party service. That means that there is a third party (the Kerberos server) that is trusted by all the entities on the network (users and services, usually called "principals"). . This is the MIT reference implementation of Kerberos V5. . This package contains internationalized messages for MIT Kerberos. Homepage: http://web.mit.edu/kerberos/ Multi-Arch: foreign Section: localization Priority: standard Filename: pool/main/k/krb5/krb5-locales_1.10.1+dfsg-5+deb7u9_all.deb Package: krb5-multidev Source: krb5 Version: 1.10.1+dfsg-5+deb7u9 Architecture: armhf Maintainer: Sam Hartman Installed-Size: 629 Depends: libkrb5-3 (= 1.10.1+dfsg-5+deb7u9), libk5crypto3 (= 1.10.1+dfsg-5+deb7u9), libgssapi-krb5-2 (= 1.10.1+dfsg-5+deb7u9), libgssrpc4 (= 1.10.1+dfsg-5+deb7u9), libkadm5srv-mit8 (= 1.10.1+dfsg-5+deb7u9), libkadm5clnt-mit8 (= 1.10.1+dfsg-5+deb7u9), comerr-dev Suggests: krb5-doc Homepage: http://web.mit.edu/kerberos/ Priority: optional Section: libdevel Filename: pool/main/k/krb5/krb5-multidev_1.10.1+dfsg-5+deb7u9_armhf.deb Size: 154096 SHA256: 61b6bc9a5d7cb66b53f796f895749da71d437dfc444d3f925b8e51484c4d58cb SHA1: f76ed5a1c8c1b5f41492306092019fb5e803ab0e MD5sum: 5796159844aa02bc348ea6767bd7fc62 Description: Development files for MIT Kerberos without Heimdal conflict Kerberos is a system for authenticating users and services on a network. Kerberos is a trusted third-party service. That means that there is a third party (the Kerberos server) that is trusted by all the entities on the network (users and services, usually called "principals"). . This is the MIT reference implementation of Kerberos V5. . Most users wishing to build applications against MIT Kerberos should install libkrb5-dev. However, that package conflicts with heimdal-dev. This package installs libraries and headers in /usr/include/mit-krb5 and /usr/lib/mit-krb5 and can be installed along side heimdal-multidev, which provides the same facilities for Heimdal. Package: krb5-pkinit Source: krb5 Version: 1.10.1+dfsg-5+deb7u9 Architecture: armhf Maintainer: Sam Hartman Installed-Size: 130 Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libk5crypto3 (>= 1.8+dfsg), libkeyutils1, libkrb5-3 (= 1.10.1+dfsg-5+deb7u9), libkrb5support0 (>= 1.7dfsg~beta2), libssl1.0.0 (>= 1.0.0) Suggests: opensc Multi-Arch: same Homepage: http://web.mit.edu/kerberos/ Priority: extra Section: net Filename: pool/main/k/krb5/krb5-pkinit_1.10.1+dfsg-5+deb7u9_armhf.deb Size: 75292 SHA256: ed363da9f39aa2406274e8bd964f96b07071cbdba870bc089e9675fac4096da4 SHA1: efd617d9a5f683ca455ca28877ebeb28d7f2276a MD5sum: 910eacb9e8a43b14a0789bdfd4767d33 Description: PKINIT plugin for MIT Kerberos Kerberos is a system for authenticating users and services on a network. Kerberos is a trusted third-party service. That means that there is a third party (the Kerberos server) that is trusted by all the entities on the network (users and services, usually called "principals"). . This is the MIT reference implementation of Kerberos V5. . This package contains a plugin for the PKINIT protocol, which allows Kerberos tickets to be obtained using public-key credentials such as X.509 certificates or a smart card. This plugin can be used by the client libraries and the KDC. Package: krb5-rsh-server Source: krb5-appl Version: 1:1.0.1-4 Architecture: armhf Maintainer: Sam Hartman Installed-Size: 148 Depends: libc6 (>= 2.11), libcomerr2 (>= 1.01), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.10+dfsg~), krb5-config, openbsd-inetd | inet-superserver Provides: rsh-server Homepage: http://web.mit.edu/kerberos/ Priority: extra Section: net Filename: pool/main/k/krb5-appl/krb5-rsh-server_1.0.1-4_armhf.deb Size: 60866 SHA256: 66185a380ec2a9b85a9f8498d972f4b5beda3835b3c8515f46d43fdcc39d4273 SHA1: f55df518c0ae908731363e7490971b40a0d5cdfd MD5sum: 825589c325a4fb9c96234da59f1313f1 Description: Secure replacements for rshd and rlogind using MIT Kerberos Kerberos is a system for authenticating users and services on a network. Kerberos is a trusted third-party service. That means that there is a third party (the Kerberos server) that is trusted by all the entities on the network (users and services, usually called "principals"). . This is the MIT reference implementation of Kerberos V5. . This package contains replacements for rshd and rlogind that use Kerberos for authentication. Package: krb5-sync-plugin Source: krb5-sync Version: 2.2-3 Architecture: armhf Maintainer: Russ Allbery Installed-Size: 106 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libkrb5-3 (>= 1.6.dfsg.2), libldap-2.4-2 (>= 2.4.7) Recommends: krb5-admin-server (>= 1.9), krb5-sync-tools Multi-Arch: same Homepage: http://www.eyrie.org/~eagle/software/krb5-sync/ Priority: extra Section: net Filename: pool/main/k/krb5-sync/krb5-sync-plugin_2.2-3_armhf.deb Size: 30274 SHA256: 6b5d4fccacc5c6b51f290f6c20f14a2191fbe8a0829c4892d5c0e5cb947f8852 SHA1: f1cffbacbe0ffc71d514e6b6b1921383789116ba MD5sum: 95caf5c96673a048a2a72e4a66b17b69 Description: MIT Kerberos Active Directory synchronization plugin This plugin synchronizes passwords changed via kadmin or kpasswd to a foreign realm via the Kerberos password change protocol (normally, but not necessarily, an Active Directory realm). It can also propagate changes to the DISALLOW_ALL_TIX flag to Windows Active Directory using LDAP. . This package provides a plugin for the MIT Kerberos KDC and will not work with Heimdal. Package: krb5-sync-tools Source: krb5-sync Version: 2.2-3 Architecture: armhf Maintainer: Russ Allbery Installed-Size: 128 Depends: libc6 (>= 2.13-28), libkrb5-3 (>= 1.6.dfsg.2), libldap-2.4-2 (>= 2.4.7), perl Recommends: krb5-sync-plugin Breaks: krb5-sync Replaces: krb5-sync Homepage: http://www.eyrie.org/~eagle/software/krb5-sync/ Priority: extra Section: net Filename: pool/main/k/krb5-sync/krb5-sync-tools_2.2-3_armhf.deb Size: 46176 SHA256: 18208fad090fab7e903c2831307c155794f9c8b0cadc5ce3a9867215e25e0503 SHA1: dbf114ec2dc4c855c6aa82636dee484b8a0ecd3d MD5sum: 6ba44772086b9df3632e823d115a351e Description: Kerberos Active Directory synchronization tools Provides tools to enable, disable, and set the password of an account in a Windows Active Directory using the same configuration used by the krb5-sync-plugin package. These supporting tools are normally used to handle queued changes created by the plugin that failed due to a temporary error, but can be used on their own. Package: krb5-telnetd Source: krb5-appl Version: 1:1.0.1-4 Architecture: armhf Maintainer: Sam Hartman Installed-Size: 188 Depends: libc6 (>= 2.4), libcomerr2 (>= 1.01), libk5crypto3 (>= 1.8+dfsg), libkrb5-3 (>= 1.10+dfsg~), libtinfo5, krb5-config, krb5-rsh-server, openbsd-inetd | inet-superserver Conflicts: telnet-server, telnetd Provides: telnet-server Homepage: http://web.mit.edu/kerberos/ Priority: extra Section: net Filename: pool/main/k/krb5-appl/krb5-telnetd_1.0.1-4_armhf.deb Size: 49252 SHA256: 461e171dff5a494fa34fb745260cfcd2ab358fd4bd894900abb439bdd8d51e50 SHA1: 334a75ccb5223e64b1c4c3d0033ffb536bf9c29b MD5sum: 79ccfa7e17932e09d861a11647b33d67 Description: Secure telnet server supporting MIT Kerberos Kerberos is a system for authenticating users and services on a network. Kerberos is a trusted third-party service. That means that there is a third party (the Kerberos server) that is trusted by all the entities on the network (users and services, usually called "principals"). . This is the MIT reference implementation of Kerberos V5. . This package contains a replacement for telnetd that uses Kerberos for authentication. Package: krb5-user Source: krb5 Version: 1.10.1+dfsg-5+deb7u9 Architecture: armhf Maintainer: Sam Hartman Installed-Size: 261 Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgssapi-krb5-2 (>= 1.6.dfsg.2), libgssrpc4 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.9+dfsg~beta1), libkadm5clnt-mit8, libkadm5srv-mit8, libkdb5-6, libkeyutils1, libkrb5-3 (= 1.10.1+dfsg-5+deb7u9), libkrb5support0 (>= 1.7dfsg~beta2), libss2 (>= 1.01), krb5-config Conflicts: heimdal-clients Homepage: http://web.mit.edu/kerberos/ Priority: optional Section: net Filename: pool/main/k/krb5/krb5-user_1.10.1+dfsg-5+deb7u9_armhf.deb Size: 148294 SHA256: eddb4318e5aee2662c9ba8d201ce914ce33abc3cac33c0e7498e5640a54e017a SHA1: 7957d519dc6cda3c756bb63119528d57acc99be6 MD5sum: 5289c45602869296babeea17469e2668 Description: Basic programs to authenticate using MIT Kerberos Kerberos is a system for authenticating users and services on a network. Kerberos is a trusted third-party service. That means that there is a third party (the Kerberos server) that is trusted by all the entities on the network (users and services, usually called "principals"). . This is the MIT reference implementation of Kerberos V5. . This package contains the basic programs to authenticate to MIT Kerberos, change passwords, and talk to the admin server (to create and delete principals, list principals, etc.). Package: krdc Source: kdenetwork Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 937 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkdnssd4 (>= 4:4.8), libkio5 (>= 4:4.8), libknotifyconfig4 (>= 4:4.8), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libvncserver0 Recommends: rdesktop Suggests: khelpcenter4, krfb Homepage: http://www.kde.org/ Priority: optional Section: net Filename: pool/main/k/kdenetwork/krdc_4.8.4-1_armhf.deb Size: 516236 SHA256: 205cf356edce1df0774f167279d6e1425216ba2d0c99244058fb014be3a88315 SHA1: e2554dc3966e485b8a8600ae8a7ce414441220e9 MD5sum: 1d482d0e4fb3146ace97abde76671d06 Description: Remote Desktop Connection client The KDE Remote Desktop Connection client can view and control a desktop session running on another system. It can connect to Windows Terminal Servers using RDP and many other platforms using VNC/RFB. . This package is part of the KDE networking module. Package: krecipes Version: 2.0~beta2-3 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1743 Depends: krecipes-data (= 2.0~beta2-3), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkde3support4 (>= 4:4.3.4), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.5.85), libkdewebkit5 (>= 4:4.4.0), libkhtml5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkparts4 (>= 4:4.5.85), libqimageblitz4 (>= 1:0.0.4), libqt4-dbus (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25) Recommends: libqt4-sql-sqlite, sqlite3 Suggests: libqt4-sql-mysql, libqt4-sql-psql, mysql-client, postgresql-client, krecipes-doc (= 2.0~beta2-3) Homepage: http://krecipes.sourceforge.net/ Priority: optional Section: kde Filename: pool/main/k/krecipes/krecipes_2.0~beta2-3_armhf.deb Size: 661410 SHA256: 498445a8b7718be9e5d53775faa11600734ab2c915a2ce83db19bec87dfbf947 SHA1: d29cee1ee10af4c0478a18450a8eb0387091a0ad MD5sum: bcb6e9a69fcad02516046636a6c60532 Description: recipes manager for KDE Krecipes is a KDE application designed to manage recipes. It can help you to do your shopping list, search through your recipes to find what you can do with available ingredients and a diet helper. It can also import or export recipes from files in various format (eg RecipeML or Meal-Master) or from databases. Package: krecipes-data Source: krecipes Version: 2.0~beta2-3 Installed-Size: 5404 Maintainer: Debian KDE Extras Team Architecture: all Size: 1988182 SHA256: 429f832bb04391fe8163f6e649d84aac9fb49d80a6139c9b943aa80615e257df SHA1: 8d33902b1725f4f5cf6e0df0a8a63c3735d48156 MD5sum: e5dc1cb162be6cc112f959e096b43ecb Description: recipes manager for KDE - data files Krecipes is a KDE application designed to manage recipes. It can help you to do your shopping list, search through your recipes to find what you can do with available ingredients and a diet helper. It can also import or export recipes from files in various format (eg RecipeML or Meal-Master) or from databases. . This package provides architecture independent files for krecipes. Homepage: http://krecipes.sourceforge.net/ Tag: role::app-data, suite::kde Section: kde Priority: optional Filename: pool/main/k/krecipes/krecipes-data_2.0~beta2-3_all.deb Package: krecipes-dbg Source: krecipes Version: 2.0~beta2-3 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 22206 Depends: krecipes (= 2.0~beta2-3) Homepage: http://krecipes.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/k/krecipes/krecipes-dbg_2.0~beta2-3_armhf.deb Size: 9094478 SHA256: 7434c98065b2dea19190e8806f2400f2671fe3fb136396ccf5af49d218eca584 SHA1: d06fa59a54e1c85ca770ad772a8d0cc23b5122e1 MD5sum: ab98edcdbbef29d4b5b275f6afe10028 Description: recipes manager for KDE - debugging symbols Krecipes is a KDE application designed to manage recipes. It can help you to do your shopping list, search through your recipes to find what you can do with available ingredients and a diet helper. It can also import or export recipes from files in various format (eg RecipeML or Meal-Master) or from databases. . This package provides debugging symbols for krecipes. Package: krecipes-doc Source: krecipes Version: 2.0~beta2-3 Installed-Size: 5900 Maintainer: Debian KDE Extras Team Architecture: all Recommends: krecipes (>= 2.0~beta2-3) Size: 4483428 SHA256: b5ed75751689e0c17027c2d8e8b4c1f436e7188f3bf49f9cc736016b7f0eba66 SHA1: 465011b6fe9f3c344e48fb4a88e0822176a5d7dd MD5sum: 471e113b7c6e7b977028a0d61a84dcca Description: recipes manager for KDE - documentation Krecipes is a KDE application designed to manage recipes. It can help you to do your shopping list, search through your recipes to find what you can do with available ingredients and a diet helper. It can also import or export recipes from files in various format (eg. RecipeML or Meal-Master) or from databases. . This package contains the krecipes documentation. Homepage: http://krecipes.sourceforge.net/ Tag: role::documentation Section: doc Priority: optional Filename: pool/main/k/krecipes/krecipes-doc_2.0~beta2-3_all.deb Package: kredentials Version: 2.0~pre3-1.1 Architecture: armhf Maintainer: Noah Meyerhans Installed-Size: 134 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkrb5-3 (>= 1.6.dfsg.2), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.6.1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Recommends: openafs-krb5 Priority: optional Section: net Filename: pool/main/k/kredentials/kredentials_2.0~pre3-1.1_armhf.deb Size: 29046 SHA256: 7e04d0b12d83bd41aeb7097439663e7bd6ad0aa58e63096692b6834e955052cc SHA1: 1cdb9f3cf7cda12e4772801fdf878b5ebe80ff97 MD5sum: a46e08bbc2f8e7e340c2b0b7a07c74ce Description: KDE taskbar applet to update kerberos/AFS credentials kredentials is a taskbar applet to periodically renew kerberos tickets and AFS tokens. Although targeted for KDE, it is expected to be fully compatible with other desktop environments. Package: kremotecontrol Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1801 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libplasma3 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.6.1), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0), libx11-6, libxtst6 Recommends: lirc Homepage: http://www.kde.org Priority: optional Section: utils Filename: pool/main/k/kremotecontrol/kremotecontrol_4.8.4-3_armhf.deb Size: 1225776 SHA256: 934cc719bf10fd29bd28ee4b85083352fa433c32ba6a978a022d5bc222d2d0e2 SHA1: 21fbe9584e262483874363513b0c9e3609c85c40 MD5sum: 1cbedb1585b7197630c22d3f54180140 Description: frontend for using remote controls KRemoteControl is a KDE frontend for your remote controls. It allows you to configure actions for button presses on remotes. All types of remotes supported by Solid are also supported by KRemoteControl. . KRemoteControl consists of the following parts: * a control module that allows one to create and assign actions. * a kded module responsible for executing the actions. * a system tray applet for visual feedback. * a Plasma data engine for interacting with remotes from Plasma widgets. . This package is part of the KDE SC utilities module. Package: kremotecontrol-dbg Source: kremotecontrol Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 6543 Depends: kremotecontrol (= 4:4.8.4-3) Breaks: kdeutils-dbg (<< 4:4.8) Replaces: kdeutils-dbg (<< 4:4.8) Homepage: http://www.kde.org Priority: extra Section: debug Filename: pool/main/k/kremotecontrol/kremotecontrol-dbg_4.8.4-3_armhf.deb Size: 2611054 SHA256: 9703f518ef97866e0e16fa764d6e43ada47a8d88c87e94dfe77b57da0bfac670 SHA1: c8eeaba21e109f1fdc8e52b1811b3c99fea2682d MD5sum: f0bd8665d8efa8e75b83114671bc6ef7 Description: debugging symbols for kremotecontrol This package contains debugging files used to investigate problems with kremotecontrol. . This package is part of the KDE accessibility module. Package: krename Version: 4.0.9-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1538 Depends: kde-runtime, libc6 (>= 2.13-28), libexiv2-12, libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.4), libkdeui5 (>= 4:4.4.4), libkio5 (>= 4:4.4.4), libkjsapi4 (>= 4:4.4.4), libpodofo0.9.0, libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libtag1c2a (>= 1.5), zlib1g (>= 1:1.1.4) Homepage: http://www.krename.net Priority: optional Section: utils Filename: pool/main/k/krename/krename_4.0.9-1_armhf.deb Size: 444614 SHA256: 0ff882fe7c4b44b3cc50090c361a1b422c120bbfade4726e423b651821525da2 SHA1: f373910213464476c60d3bdeb14aec6bed2a18c3 MD5sum: 66ef10e05d104e6c1243dcfac5a0ae34 Description: powerful batch renamer for KDE KRename is a very powerful batch file renamer for KDE which can rename a list of files based on a set of expressions. It can copy/move the files to another directory or simply rename the input files. Package: kreversi Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 738 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkggzgames4 (>= 4:4.6.2), libkggzmod4 (>= 4:4.6.2), libkggznet4 (>= 4:4.6.2), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kreversi_4.8.4-3_armhf.deb Size: 414636 SHA256: 9e093b6fca3c5118664161336bb3b31d217f5b57d30d34a9fd5cc2c93598ad8e SHA1: 5d14f18696f945b2dbcf2927fab77059629adc2d MD5sum: 9d833a02cdcaab5df63fb2d44f5e08d7 Description: reversi board game KReversi is a board game where two players place pieces on the board to flip the opponent's pieces. When neither player can flip any more pieces, the player with the most pieces on the board wins the game. . This package is part of the KDE games module. Package: krfb Source: kdenetwork Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 852 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkdnssd4 (>= 4:4.8), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6, libxdamage1 (>= 1:1.1), libxext6, libxtst6, zlib1g (>= 1:1.1.4) Suggests: khelpcenter4, krdc Homepage: http://www.kde.org/ Priority: optional Section: net Filename: pool/main/k/kdenetwork/krfb_4.8.4-1_armhf.deb Size: 469760 SHA256: 680aec49e912d4451e929a6dc71b674550fcbb59a1e5752e41c669f1d0af0fc0 SHA1: 6ef28b3ab6abc9e4c049eb60002cabe94ebc2f48 MD5sum: 76ee07f6678af05a14293cbccfea295d Description: Desktop Sharing utility KDE Desktop Sharing is a manager for easily sharing a desktop session with another system. The desktop session can be viewed or controlled remotely by any VNC or RFB client, such as the KDE Remote Desktop Connection client. . KDE Desktop Sharing can restrict access to only users who are explicitly invited, and will ask for confirmation when a user attempts to connect. . This package is part of the KDE networking module. Package: krita Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 14879 Depends: calligra-libs (= 1:2.4.4-3), kde-runtime, libc6 (>= 2.13-28), libexiv2-12, libfftw3-3, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libilmbase6 (>= 1.0.1), libjpeg8 (>= 8c), libkdcraw20 (>= 4:4.7.1), libkdecore5 (>= 4:4.4.4-2~), libkdeui5 (>= 4:4.4.0), libkio5 (>= 4:4.4.0), libknewstuff3-4 (>= 4:4.4.0), libkparts4 (>= 4:4.5.85), liblcms2-2, libopenjpeg2, libpng12-0 (>= 1.2.13-4), libpoppler-qt4-3 (>= 0.18), libqt4-opengl (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libthreadweaver4 (>= 4:4.4.0), libtiff4 (>> 3.9.5-3~), libx11-6, krita-data (>= 1:2.4.4-3) Suggests: khelpcenter4 Breaks: krita-data (<< 1:2.4) Replaces: krita-data (<< 1:2.4) Homepage: http://www.calligra-suite.org/krita/ Priority: optional Section: graphics Filename: pool/main/c/calligra/krita_2.4.4-3_armhf.deb Size: 5415716 SHA256: 8add4aa94cbefddf86c96479efdc9ff33699d661fcb44be07230607175ce71e6 SHA1: 833161019a8b25b14672e9d6b5c7fa472fdfb912 MD5sum: 12d926c8ad3cf78bd06b513b21031bc5 Description: pixel-based image manipulation program for the Calligra Suite Krita is a creative application for raster images. Whether you want to create from scratch or work with existing images, Krita is for you. You can work with photos or scanned images, or start with a blank slate. Krita supports most graphics tablets out of the box. . Krita is different from other graphics design programs in that it has pluggable brush engines, some supporting brush resources like Gimp brush files, others offering sophisticated simulation of real brushes, and others again offering color mixing and image deformations. Moreover, Krita has full support for graphics tablets, including such features as pressure, tilt and rate, making it a great choice for artists. There are easy to use tools for drawing lines, ellipses and rectangles, and the freehand tool is supported by pluggable "drawing assistants" that help you draw shapes that still have a freehand feeling to them. . This package is part of the Calligra Suite. Package: krita-data Source: calligra Version: 1:2.4.4-3 Installed-Size: 61132 Maintainer: Debian Qt/KDE Maintainers Architecture: all Size: 19700984 SHA256: 18b9af9da9a12714335e8867d74846c82a3cf463a8c55710bc5d0aa02a758711 SHA1: 5f97e16b32de79ebdbc407966f4862b28474d5e5 MD5sum: 6763c6ec5018cc5785d9a5f1380cf702 Description: data files for Krita painting program This package contains architecture-independent data files for Krita, the painting program shipped with the Calligra Suite. . See the krita package for further information. . This package is part of the Calligra Suite. Homepage: http://www.calligra-suite.org/krita/ Tag: role::app-data, suite::kde Section: graphics Priority: optional Filename: pool/main/c/calligra/krita-data_2.4.4-3_all.deb Package: kronolith2 Version: 2.3.6+debian0-1 Installed-Size: 6962 Maintainer: Horde Maintainers Architecture: all Depends: horde3, php5-mcal | php5-mysql | php5-pgsql | php4-mcal | php4-mysql | php4-pgsql, php5-cli | php4-cli, php-date, php-http-request Suggests: imp4, nag2 Size: 2676662 SHA256: 46f294d22daccf711b33cce4e95a3638e83519057a676950c4835ae5b9cc8974 SHA1: db529f7576c65385f718cd68b7363ae8a442937e MD5sum: 5b79ccee0c45a6645386d17d5b8a5a42 Description: calendar component for Horde Framework Kronolith is a web-based calendar system written in PHP and utilizing the Horde Application Framework. Homepage: http://www.horde.org/kronolith/ Tag: devel::lang:php, implemented-in::php, interface::web, role::program, web::application, works-with::db Section: web Priority: optional Filename: pool/main/k/kronolith2/kronolith2_2.3.6+debian0-1_all.deb Package: krosspython Source: kross-interpreters Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 377 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkrosscore4 (>= 4:4.8), libpython2.7 (>= 2.7), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Breaks: python-kde4 (<< 4:4.2.60) Replaces: python-kde4 (<< 4:4.2.60) Homepage: http://projects.kde.org/projects/kde/kdebindings/kross-interpreters Priority: optional Section: python Filename: pool/main/k/kross-interpreters/krosspython_4.8.4-1_armhf.deb Size: 144680 SHA256: b0a23f5cf3dc9aba954eb32ecb1083957bc3f242e5795f4c08f546cb1904c9a1 SHA1: faa72d3ef8236e76bdaf5d8e74fd25d9b3f4729c MD5sum: dc8aa4b0c3801b3e58551743edf8d9af Description: Python module for Kross Kross is a scripting framework to enable scripting in various languages in all kinds of KDE applications. . Kross itself is a part of KDELibs, this package contains the Kross Python plugin. Package: kruler Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 314 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libqtcore4 (>= 4:4.6.1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6 Breaks: okular (<= 4:4.6.3-2~) Replaces: okular (<= 4:4.6.3-2~) Homepage: http://www.kde.org/applications/graphics/kruler/ Priority: optional Section: graphics Filename: pool/main/k/kruler/kruler_4.8.4-1_armhf.deb Size: 120158 SHA256: 6b5d4c89ee779c4510d4713d9a16a74d28d6f4993ac2c46f316baec8eefa2d40 SHA1: 27ffb39c968e35505bb5b6d10a1f73353ba12d50 MD5sum: 8ebd96cfc3a6f2f0c766b4679f141357 Description: screen ruler KRuler is a tool for measuring the size, in pixels, of items on the screen. . This package is part of the KDE graphics module. Package: krusader Version: 1:2.3.0~beta1-1+wheezy3 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 12240 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.5.85), libkfile4 (>= 4:4.4.4), libkhtml5 (>= 4:4.4.4), libkio5 (>= 4:4.4.4), libkjsapi4 (>= 4:4.4.4), libkonq5abi1 (>= 4:4.6.1), libkparts4 (>= 4:4.5.85), libnepomuk4 (>= 4:4.4.4), libnepomukutils4 (>= 4:4.5.85), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsolid4 (>= 4:4.4.4), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Suggests: md5deep | cfv, kedit, okteta, konsole, kmail, krename, kdiff3 | kompare | xxdiff, ark, arj, bzip2, cpio, lha, unrar | unrar-free | rar, rpm, unace, unzip, zip, p7zip Homepage: http://www.krusader.org Priority: optional Section: kde Filename: pool/main/k/krusader/krusader_2.3.0~beta1-1+wheezy3_armhf.deb Size: 5343510 SHA256: 5531b5910727d31bbdcefa5c114290f6972c26f37e2ddf05eeb849d2359b5d8e SHA1: 0c8b5813b2f46107a74cdcd032db3b17f1987fd0 MD5sum: 95c0129df80b60cff351621a0b589f73 Description: twin-panel (commander-style) file manager Krusader is a simple, easy, powerful, twin-panel (commander-style) file manager, similar to Midnight Commander (C) or Total Commander (C). . It provides all the file management features you could possibly want. . Plus: extensive archive handling, mounted filesystem support, FTP, advanced search module, viewer/editor, directory synchronisation, file content comparisons, powerful batch renaming and much more. . It supports archive formats: ace, arj, bzip2, deb, iso, lha, rar, rpm, tar, zip and 7-zip. . It handles KIOSlaves such as smb:// or fish://. . Almost completely customizable, Krusader is very user friendly, fast and looks great on your desktop. Package: krusader-dbg Source: krusader Version: 1:2.3.0~beta1-1+wheezy3 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 32011 Depends: krusader (= 1:2.3.0~beta1-1+wheezy3) Homepage: http://www.krusader.org Priority: extra Section: debug Filename: pool/main/k/krusader/krusader-dbg_2.3.0~beta1-1+wheezy3_armhf.deb Size: 13296254 SHA256: 0b3b1b7614bc80cfff9331775c7c3f9b83c7d979af3d3baf5c985754f1191497 SHA1: 1646780706e43f3c1c572de09ba0eeb6c4c14cb0 MD5sum: d2f8c57bfd46a5de23d4db4ad4a33211 Description: debugging symbols for krusader This package contains the debugging symbols associated with krusader. They will automatically be used by gdb for debugging krusader-related issues. Package: ksame Source: kdegames Version: 4:4.8.4-3 Installed-Size: 58 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: klickety Size: 19110 SHA256: 5a07855121694cce653d361cb987bb2494cf10f46dfe28d4b7aececd6ab9090f SHA1: 91938309e27408b6b306e6f35568cb1309d9a5af MD5sum: 82a2be784572bbcf5cfffd5ff2de53ee Description: transitional dummy package for Klickety This is a transitional package for the klickety package. It can be safely removed. Homepage: http://games.kde.org/ Tag: game::puzzle, implemented-in::c++, interface::x11, role::program, suite::kde, uitoolkit::qt, use::gameplaying, x11::application Section: games Priority: optional Filename: pool/main/k/kdegames/ksame_4.8.4-3_all.deb Package: ksaneplugin Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 69 Depends: libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libksane0 (>= 4:4.3.4), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Breaks: libksane0 (<< 4:4.6.80) Replaces: libksane0 (<< 4:4.6.80) Homepage: https://projects.kde.org/projects/kde/kdegraphics/ksaneplugin Priority: extra Section: kde Filename: pool/main/k/ksaneplugin/ksaneplugin_4.8.4-1_armhf.deb Size: 12748 SHA256: a5c636294989c7956ea7e1a6e2951acb106f384728ec051043c505f9adfe3ae8 SHA1: b8dce441b641cf94c50ec0c1a3e088f8bba70620 MD5sum: 1200d59385c07d97dd485b55800dbd4b Description: KScan plugin for scanning through libksane This is a KScan plugin that implements the scanning through libksane. . This package is part of the KDE graphics module. Package: kscd Source: kdemultimedia Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1385 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libmusicbrainz3-6 (>= 3.0.2), libphonon4 (>= 4:4.6.0really4.3.80), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsolid4 (>= 4:4.8), libstdc++6 (>= 4.4.0), phonon Suggests: kde-config-cddb Homepage: http://www.kde.org/ Priority: optional Section: sound Filename: pool/main/k/kdemultimedia/kscd_4.8.4-2_armhf.deb Size: 221084 SHA256: c47cd26fd39f98347db371f79bab8b1f8bd737e96204cc13c0b5908335c0695a SHA1: 1de9a3727ac9794fa8e5ca6022e411b55cdb6913 MD5sum: e1a5f5c652d5511224cf3ef06099fa38 Description: audio CD player KsCD is an audio CD player. It uses the Compact Disc DataBase to fetch album information automatically. . This package is part of the KDE multimedia module. Package: kscope Version: 1.9.4-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 723 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqscintilla2-8, libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), cscope, exuberant-ctags Homepage: http://kscope.sourceforge.net Priority: optional Section: devel Filename: pool/main/k/kscope/kscope_1.9.4-2_armhf.deb Size: 268346 SHA256: 56814a4b70304d3e6b4fdc561c1b5d7799a5078a5f0b797573ba447536fa80d8 SHA1: 16240d6963a5cd1f2c627de14c58751830f7d593 MD5sum: 101d8644f68de50e774394e9d04df95a Description: source browsing and editing environment KScope is a graphical front-end to Cscope. It provides a source browsing and editing environment for large C projects. KScope is focused on source editing and analysis. . KScope is built around an efficient mechanism for code-navigation, which allows the user to run queries on the code. . The types of queries KScope can run include: * Get all references to a symbol * Find the definition of a symbol * Find all functions called by or calling to a function * Find an EGrep pattern * Find all files #including some file . These queries are handled by an underlying Cscope process. KScope simply serves as a front-end to this process, feeding it with queries, and parsing its output into result lists. The items in those lists can later be selected to open an editor at the matching line. . Main Features: * Multiple editor windows (using your favourite editor) * Project management * Front-end to most Cscope queries * Tag list for every open editor * Call-tree window * Session management, including saving and restoring queries * Works with externally-built cscope.out files Package: kscreensaver Source: kdeartwork Version: 4:4.8.4-5 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1450 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libkdecore5 (>= 4:4.4.4-2~), libkdeui5 (>= 4:4.3.4), libkexiv2-10 (>= 4:4.7.1), libkio5 (>= 4:4.3.4), libkparts4 (>= 4:4.3.4), libkscreensaver5 (>= 4:4.6.1), libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libx11-6, kde-workspace-bin Recommends: kde-window-manager, kscreensaver-xsavers (= 4:4.8.4-5) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdeartwork/kscreensaver_4.8.4-5_armhf.deb Size: 486578 SHA256: 085bb27021bb8b768a2e65266d52b82fb39bbeed1eff1c5c352bf567f8a4bbab SHA1: f68c3e0ec5ca8011d51df3578e19e82eb8b60d82 MD5sum: 4cbd7745743c1d8327ecc648ed59ed5d Description: Additional screensavers for KScreenSaver This package contains screensavers for KScreenSaver. . KScreenSaver can use XScreenSaver collection of screensavers with the 'kscreensaver-xsavers' package. . This package is part of the KDE artwork module. Package: kscreensaver-xsavers Source: kdeartwork Version: 4:4.8.4-5 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2097 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.1.1), libx11-6, libxt6, kde-workspace-bin, xscreensaver-data, xscreensaver-data-extra, xscreensaver-screensaver-bsod Recommends: kde-window-manager, kscreensaver (= 4:4.8.4-5), xscreensaver-gl, xscreensaver-gl-extra Suggests: kscreensaver-xsavers-webcollage (= 4:4.8.4-5) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdeartwork/kscreensaver-xsavers_4.8.4-5_armhf.deb Size: 140554 SHA256: 6302738176e6f676be0164e2f7b0e5e1b8c5ed547f05d606b2bc9760a0cb9f82 SHA1: 55e9f565da1e1f740a6b7d2a87765bb09a8f28fc MD5sum: 9b501020ca3cfad982b495c1bc431c10 Description: xscreensaver support for KScreenSaver This package allows KScreenSaver to use the XScreenSaver collection of screensavers. . This package is part of the KDE artwork module. Package: kscreensaver-xsavers-webcollage Source: kdeartwork Version: 4:4.8.4-5 Installed-Size: 60 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: kscreensaver-xsavers (>= 4:4.8.4-5), xscreensaver-screensaver-webcollage, miscfiles, netpbm Size: 12930 SHA256: 58a872c8f0e8d34b8a02faa4232a4d20050e67efc0f5a723e3210774136cd421 SHA1: f21328298b18a94a75fd47fdf4852158c56220aa MD5sum: 1d9f49004e0fa878b1e3e54e017993a3 Description: webcollage screensaver support for KScreenSaver This package allows KScreenSaver to use the WebCollage screensaver, which downloads random pictures from the internet to create a collage. . IMPORTANT NOTICE: The internet contains all kinds of pictures, some of which might be found inappropriate or offensive. It is recommended that this screensaver not be used a public environment, workplace, or where it may be seen by children. . Please read /usr/share/doc/kscreensaver-xsavers-webcollage/README.Debian after installing this package. . This package is part of the KDE artwork module. Homepage: http://www.kde.org/ Section: kde Priority: optional Filename: pool/main/k/kdeartwork/kscreensaver-xsavers-webcollage_4.8.4-5_all.deb Package: kseg Version: 0.4.0.3-3 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1657 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.4.0), libx11-6, libxext6, zlib1g (>= 1:1.1.4) Homepage: http://www.mit.edu/~ibaran/kseg.html Priority: optional Section: math Filename: pool/main/k/kseg/kseg_0.4.0.3-3_armhf.deb Size: 767454 SHA256: a170617544dee6b0672bcdd425ca86fe79cf63fc55560d12907a934ef8b434fc SHA1: b1fb49f3dd7a9fa9b0ef79bce1cc83c04a022720 MD5sum: 5b5411cb4889240a0a6605ffae1825cd Description: Sketchpad for planar Euclidean geometry KSEG allows you to interactively create a geometrical construction, similar to what you can do with a straight edge and compass. Points may be inserted on the page with right mouse-button clicks, and then used to form segments, lines, circles, or other geometrical objects. At any time you can drag existing points around, and watch how the constructed objects respond. Package: ksh Version: 93u+-1.2 Architecture: armhf Maintainer: Oliver Kiddle Installed-Size: 2646 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Conflicts: pdksh (<< 5.2.14-18) Homepage: http://www.kornshell.com/ Priority: optional Section: shells Filename: pool/main/k/ksh/ksh_93u+-1.2_armhf.deb Size: 1356940 SHA256: a2fffa05cd9cbc3843e13660251a62c12077091fde3ea4c9617b737bc3c2843f SHA1: cbdcf3df7e6978025367845d26d12dbc10cdd297 MD5sum: 1d85fb5469cb390e909c53016976fd68 Description: Real, AT&T version of the Korn shell Ksh is a UNIX command interpreter (shell) that is intended for both interactive and shell script use. Its command language is a superset of the sh(1) shell language. . The 1993 version adds a number of new, mostly scripting related, features over the 1988 version that is typically distributed with commercial UNIX variants. For example, it has lexical scoping, compound variables, associative arrays, named references and floating point math. Package: kshisen Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 420 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkmahjongglib4 (>= 4:4.6.2), libphonon4 (>= 4:4.3.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), phonon, kdegames-mahjongg-data (>= 4:4.8.4-3) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kshisen_4.8.4-3_armhf.deb Size: 151534 SHA256: 94a7ae77c338ded57b8196c841c9b55757bfe7151e6417f74c0fada9708fd7b8 SHA1: 93c083932dbad496453c73258da50992c8e12281 MD5sum: ff47e6a41c0518076e73dcd6508a124a Description: Shisen-Sho solitaire game KShisen is a Shisen-Sho game where the player removes adjascent pairs of Mahjongg tiles to clear the board. . This package is part of the KDE games module. Package: kshutdown Version: 3.0~beta4-1 Architecture: armhf Maintainer: Eike Sauer Installed-Size: 750 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.4.0), libkio5 (>= 4:4.3.4), libknotifyconfig4 (>= 4:4.3.4), libkworkspace4abi1 (>= 4:4.8.1), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://kshutdown.sourceforge.net/ Priority: optional Section: kde Filename: pool/main/k/kshutdown/kshutdown_3.0~beta4-1_armhf.deb Size: 183220 SHA256: b886be735c15906e9985b64aad4284d0255ab7476a634ccdbe31b91b90bc6224 SHA1: 697ea4a9bb20a6a68a64f7e48e9c104721e903d1 MD5sum: 47413c8220c89377b78fa063013059ce Description: advanced shut down utility for KDE It has 4 main commands: . - Shut Down (logout and halt the system), - Reboot (logout and reboot the system), - Lock Screen (lock the screen using a screen saver), - Logout (end the session and logout the user). . It features time and delay options, command line support, wizard, and sounds. Package: ksirk Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 7386 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7), libknewstuff3-4 (>= 4:4.7), libphonon4 (>= 4:4.3.0), libqca2 (>= 2.0.2), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), phonon, zlib1g (>= 1:1.1.4) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/ksirk_4.8.4-3_armhf.deb Size: 3917638 SHA256: c1933b4e5d5206ce3d2736394fc8316aff91f058f4f255237dec9cf6de66c3de SHA1: 7c109416fc847c970a1aee922a846d37c834db1f MD5sum: 5b06fef14c619c24b3ad6f55c8d1cc1c Description: Risk strategy game KsirK is a strategy game inspired by the well known game Risk. It is a multi-player network-enabled game with an AI. The goal of the game is simply to conquer the World. It is done by attacking your neighbours with your armies. At the beginning of the game, countries are distributed to all the players. Each country contains one army represented by an infantryman. Each player has some armies to distribute to his countries. On each turn, each player can attack his neighbours, eventually conquering one or more countries. At the end of each turn, some bonus armies are distributed to the players in function of the number of countries they own. The winner is the player that conquered all the world. . This package is part of the KDE games module. Package: ksnapshot Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 573 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libkipi8 (>= 4:4.8.4), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxfixes3 Breaks: okular (<= 4:4.6.3-2~) Replaces: okular (<= 4:4.6.3-2~) Homepage: http://www.kde.org/ Priority: optional Section: graphics Filename: pool/main/k/ksnapshot/ksnapshot_4.8.4-1_armhf.deb Size: 326230 SHA256: b3172590763c9f088efc4d8635d5d639a4ad67b8310e86c9392f9f8c75ea8185 SHA1: 43f74be3a9768bdf7adbc7495825dc26b9d6a504 MD5sum: af0a373bbdc2be30d86d913d911ca3b4 Description: screen capture tool KSnapshot captures images of the screen. It can capture the whole screen, a specified region, an individual window, or only part of a window. . This package is part of the KDE graphics module. Package: kspaceduel Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 529 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kspaceduel_4.8.4-3_armhf.deb Size: 213278 SHA256: f849cd66b8f28f7277f64b48a7ffd2553d17e68941d63fb7f723f9c1f61f0059 SHA1: 2c3ea2255921c3788351d4e968d9d653bb7b1c7a MD5sum: 84257b43ffc02095999b23c5325142ed Description: SpaceWar! arcade game KSpaceduel is a space battle game for one or two players, where two ships fly around a star in a struggle to be the only survivor. . This package is part of the KDE games module. Package: ksplash-theme-aperture Source: bespin Version: 0.r1552-1 Installed-Size: 5009 Maintainer: Debian KDE Extras Team Architecture: all Suggests: kde-style-bespin Size: 5059474 SHA256: e948285537ef86e14a789b45194ce77aced929286ae5bf3a4f94009155c6d4b9 SHA1: 5d15bcc98a55861d4a80d5185a13d66d27fb167e MD5sum: 9b75650e009b159b984de230fbbf1ae3 Description: very glossy Qt4/KDE4 ksplash theme - aperture variant Bespin is a window decoration for KDE4, the name is nothing about quantum mechanics, but just refers to cloud city (StarWars Episode V: The Empire Strikes Back) . This package includes the ksplash theme for Bespin - aperture variant Bugs: http://sourceforge.net/tracker/?atid=976907&group_id=201276&func=browse Homepage: http://cloudcity.sourceforge.net Section: kde Priority: extra Filename: pool/main/b/bespin/ksplash-theme-aperture_0.r1552-1_all.deb Package: ksplash-theme-bespin Source: bespin Version: 0.r1552-1 Installed-Size: 4891 Maintainer: Debian KDE Extras Team Architecture: all Suggests: kde-style-bespin Size: 4780400 SHA256: f63a68257dbadca10ca000f74d9bbcc8070f75fff21111f6e70ed61c136283da SHA1: 9597933e860113dfc14d66ae8e9724701d537ce6 MD5sum: 0477937cb63c73952ccb2a2de012c014 Description: very glossy Qt4/KDE4 ksplash theme Bespin is a window decoration for KDE4, the name is nothing about quantum mechanics, but just refers to cloud city (StarWars Episode V: The Empire Strikes Back) . This package includes the ksplash theme for Bespin Bugs: http://sourceforge.net/tracker/?atid=976907&group_id=201276&func=browse Homepage: http://cloudcity.sourceforge.net Section: kde Priority: extra Filename: pool/main/b/bespin/ksplash-theme-bespin_0.r1552-1_all.deb Package: ksplash-theme-tibanna Source: bespin Version: 0.r1552-1 Installed-Size: 2587 Maintainer: Debian KDE Extras Team Architecture: all Suggests: kde-style-bespin Size: 2538622 SHA256: 9bbf967872a32a2379daec2349cd2b527deab47e3648ea7886510110ba27093d SHA1: 4ad7227d1a695fb9fb81f3b66939704b7b54c9a7 MD5sum: e7887b28c9324abeaf1113a17ea269d5 Description: very glossy Qt4/KDE4 ksplash theme - tibanna variant Bespin is a window decoration for KDE4, the name is nothing about quantum mechanics, but just refers to cloud city (StarWars Episode V: The Empire Strikes Back) . This package includes the ksplash theme for Bespin - Tibanna variant Bugs: http://sourceforge.net/tracker/?atid=976907&group_id=201276&func=browse Homepage: http://cloudcity.sourceforge.net Section: kde Priority: extra Filename: pool/main/b/bespin/ksplash-theme-tibanna_0.r1552-1_all.deb Package: ksplice Version: 0.9.9-4 Architecture: armhf Maintainer: Luca Bruno Installed-Size: 2276 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.2.0), perl, gcc | c-compiler, patch, rsync Homepage: http://ksplice.com Priority: optional Section: admin Filename: pool/main/k/ksplice/ksplice_0.9.9-4_armhf.deb Size: 928904 SHA256: 2d2958e2bb4670a917bb6c07e582221a39f242e4b1562a94b9ad7057dd64dc79 SHA1: 21b2fc8dd25149ba8cf182d8c7aef517b1e0de31 MD5sum: bb713b988502d7b0615953f186145b35 Description: Patching live kernel without having to reboot Ksplice allows system administrators to apply security patches to the Linux kernel without having to reboot. Ksplice takes as input a source code change in unified diff format and the kernel source code to be patched, and it applies the patch to the corresponding running kernel. The running kernel does not need to have been prepared in advance in any way. Package: kspread Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligrasheets Size: 1832 SHA256: 8a5b701f97a05aab23c455ebea873928f8669dff90474f7c4906be6d479befc5 SHA1: 14354f50fa386eb0144e5bd7937f085c10777fb5 MD5sum: e1f67ada8d0a57bbe8ed80844006f99d Description: transitional dummy package for calligrasheets This transitional package allows one to migrate from KOffice's KSpread to Calligra Sheets. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: implemented-in::c++, interface::x11, office::spreadsheet, role::program, suite::kde, uitoolkit::qt, use::editing, works-with-format::odf, works-with::spreadsheet, x11::application Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/kspread_2.4.3+2_all.deb Package: ksquares Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 362 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkggzgames4 (>= 4:4.6.2), libkggzmod4 (>= 4:4.6.2), libkggznet4 (>= 4:4.6.2), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/ksquares_4.8.4-3_armhf.deb Size: 101448 SHA256: 9c71017e634fb5a1335c3ceb5bd99286c9ad62af7532f2d2fd43b31c1ec2968c SHA1: 45eb8db9428d34df5242225fa77b2ce5308c7716 MD5sum: 16b64cccba80bd267eadff86f9b93985 Description: Dots and Boxes game KSquares is a game where two players take turns connecting dots on a grid to complete the most squares. . This package is part of the KDE games module. Package: ksshaskpass Version: 0.5.3-1 Architecture: armhf Maintainer: Armin Berres Installed-Size: 67 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), openssh-client Recommends: kwalletmanager Provides: ssh-askpass Homepage: http://www.kde-apps.org/content/show.php/show.php?content=50971 Priority: optional Section: utils Filename: pool/main/k/ksshaskpass/ksshaskpass_0.5.3-1_armhf.deb Size: 9118 SHA256: bc45296a581de6e1f9fe8ca16159a5f1c38dd58a4d0f166f7b7489810afd4ec4 SHA1: 949d809c463ab8c9e922032d0c0bd694ce0f64f4 MD5sum: d99921c03cf3a66d5499ed817ece15f5 Description: interactively prompt users for a passphrase for ssh-add A KDE 4 version of ssh-askpass with KWallet support. Package: kst Version: 2.0.3-1.3 Architecture: armhf Maintainer: Ruben Molina Installed-Size: 5579 Depends: libc6 (>= 2.13-28), libcfitsio3 (>= 3.060), libgcc1 (>= 1:4.4.0), libgetdata++2, libgsl0ldbl (>= 1.9), libkst2core2, libkst2math2, libkst2widgets2, libnetcdfc++4, libqt4-opengl (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Recommends: kst-data, kst-doc Homepage: http://kst.kde.org Priority: optional Section: science Filename: pool/main/k/kst/kst_2.0.3-1.3_armhf.deb Size: 2295086 SHA256: 03aa74cf344072a294c61be1ea78c80db571a02a6908444de04b2e4321de2bdc SHA1: 950469dbc6e9e79da1a917c410450e28f7b86f62 MD5sum: 21cc91f7f46a577b9592b39902b55372 Description: scientific data plotting tool Kst is a fast real-time large-dataset viewing and plotting tool. It has basic data analysis functionality, contains many powerful built-in features and is expandable with plugins and extensions. Package: kst-data Source: kst Version: 2.0.3-1.3 Installed-Size: 6248 Maintainer: Ruben Molina Architecture: all Replaces: kst-bin (<< 2.0.3) Breaks: kst-bin (<< 2.0.3) Size: 1048782 SHA256: 6328765d30b52c80c9cf94c6826bc95c44d4d7faa8eebdac5e1fdefcd9935cce SHA1: e8b41a131539ccf4aa09d1c02050adf3a87328cc MD5sum: 9f74e308a17c9374ff94fbd3e922b511 Description: set of data files for kst Kst is a fast real-time large-dataset viewing and plotting tool. It has basic data analysis functionality, contains many powerful built-in features and is expandable with plugins and extensions. . This package contains architecture independent files for kst. Homepage: http://kst.kde.org Tag: role::app-data Section: science Priority: optional Filename: pool/main/k/kst/kst-data_2.0.3-1.3_all.deb Package: kst-doc Source: kst Version: 2.0.3-1.3 Installed-Size: 454 Maintainer: Ruben Molina Architecture: all Size: 369340 SHA256: c312b2951350c1e1dfffa0d9da4138f3556ae9b0d1cab4c2a1dda8b48602e9da SHA1: 9a00ff9489a0eafcff09dc4249a5b190c4073598 MD5sum: 93c8b05df1a2ca762bc869f35b252c3c Description: set of tutorials for kst Kst is a fast real-time large-dataset viewing and plotting tool. It has basic data analysis functionality, contains many powerful built-in features and is expandable with plugins and extensions. . This package contains documentation files for kst. Homepage: http://kst.kde.org Tag: field::chemistry, field::physics, made-of::xml, role::documentation, suite::kde, use::viewing Section: doc Priority: optional Filename: pool/main/k/kst/kst-doc_2.0.3-1.3_all.deb Package: kstars Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2739 Depends: kde-runtime, libc6 (>= 2.13-28), libcfitsio3 (>= 3.060), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libindi0b (>= 0.9.1), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libknewstuff3-4 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.6.1), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), kstars-data (>= 4:4.8.4-1) Suggests: konqueror, khelpcenter4, indi-bin, xplanet Homepage: http://edu.kde.org/ Priority: optional Section: science Filename: pool/main/k/kstars/kstars_4.8.4-1_armhf.deb Size: 1139540 SHA256: f4a0601045b4e2de314670b5fb0d1c4b67738f3de7da76f884673a3543afc0b8 SHA1: 78219f1db84757d13a6d3f16ba2701593ab2a556 MD5sum: 3577cb9c7e7ba96e47cf6059c2232b8b Description: desktop planetarium for KDE KStars is a desktop planetarium for KDE, depicting an accurate graphical simulation of the night sky, from any location on Earth, at any date and time. The display includes 130,000 stars, 13,000 deep-sky objects, all 8 planets, the Sun and Moon, and thousands of comets and asteroids. It includes tools for astronomical calculations and can control telescopes and cameras. . This package is part of the KDE education module. Package: kstars-data Source: kstars Version: 4:4.8.4-1 Installed-Size: 22674 Maintainer: Debian Qt/KDE Maintainers Architecture: all Size: 13588332 SHA256: 18d92c56705b1bdce77372c56112b79775da66ba0bb54a00cf8a89f8a52ba548 SHA1: ea41d5452a2a51cb9e8d8b7d5bbcea514307d50b MD5sum: 61f382ebb9b57fa0d1f1f1f0bbd602ca Description: data files for KStars desktop planetarium This package contains architecture-independent data files for KStars, the graphical desktop planetarium for KDE, including star catalogues and astronomical images. . This package is part of the KDE education module. Homepage: http://edu.kde.org/ Tag: role::app-data, suite::kde Section: science Priority: optional Filename: pool/main/k/kstars/kstars-data_4.8.4-1_all.deb Package: kstart Version: 4.1-2 Architecture: armhf Maintainer: Russ Allbery Installed-Size: 138 Depends: libc6 (>= 2.8), libkrb5-3 (>= 1.10+dfsg~alpha1) Homepage: http://www.eyrie.org/~eagle/software/kstart/ Priority: optional Section: net Filename: pool/main/k/kstart/kstart_4.1-2_armhf.deb Size: 57958 SHA256: afbaa74f735447cb1a65de9bf139869efb862fa18348965569e6e62bf7451475 SHA1: 3212c3048a33d130d616adb343adf1553c982404 MD5sum: 3f3cb2bb1ef017cd4a2518e39ed5981e Description: Kerberos kinit supporting AFS and ticket refreshing k5start can be used instead of kinit to obtain Kerberos tickets. krenew can be used instead of kinit -R to renew renewable tickets. They are intended primarily for use with automated or long-running processes and support some additional features useful for that purpose, such as running as a daemon and refreshing the ticket periodically, checking to see if an existing ticket has expired, or obtaining an AFS token along with the ticket by running an external program automatically. Package: ksudoku Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2997 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7), libqt4-opengl (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/ksudoku_4.8.4-3_armhf.deb Size: 1423346 SHA256: 62105509672d430dbb74f3dab4363a2e85c566669e03b8866296fc76c9e34cb9 SHA1: 9f9df994bbcce5209ed2a795e5dbcf893cb5fd3f MD5sum: 35ceb9690ed407849a23a31195311fb4 Description: Sudoku puzzle game and solver KSudoku is a Sudoku game and solver, supporting a range of 2D and 3D Sudoku variants. In addition to playing Sudoku, it can print Sudoku puzzle sheets and find the solution to any Sudoku puzzle. . This package is part of the KDE games module. Package: ksysguard Source: kde-workspace Version: 4:4.8.4-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 840 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libknewstuff3-4 (>= 4:4.8), libksgrd4 (= 4:4.8.4-6), libksignalplotter4 (= 4:4.8.4-6), libprocesscore4abi1 (= 4:4.8.4-6), libprocessui4a (= 4:4.8.4-6), libqt4-dbus (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), ksysguardd (= 4:4.8.4-6) Homepage: http://www.kde.org/ Priority: optional Section: utils Filename: pool/main/k/kde-workspace/ksysguard_4.8.4-6_armhf.deb Size: 211856 SHA256: c8ab52ac9d27b6e94e3e259eddaa6fd9bd23f847957b6b93720b2ead84c44185 SHA1: d6542059bb90004c370ec11649732d0dbb7ddf26 MD5sum: 96f65925e182dfdc770cd3ca33cd50ec Description: process monitor and system statistics System Guard allows you to monitor various statistics about your system. . In addition to monitoring the local system, it can connect to remote systems running the System Guard Daemon, which is in the 'ksysguardd' package. . This package is part of the KDE base workspace module. Package: ksysguardd Source: kde-workspace Version: 4:4.8.4-6 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 246 Depends: libc6 (>= 2.13-28), libsensors4 (>= 1:3.0.0) Homepage: http://www.kde.org/ Priority: optional Section: utils Filename: pool/main/k/kde-workspace/ksysguardd_4.8.4-6_armhf.deb Size: 76340 SHA256: 6b7a504be99b7827c9e497afd679b8b1ceec92dca71bde6bc63e44be27e420b5 SHA1: 518ba98843a542123882d4a7ea12a60b9e8c2fed MD5sum: 832f168fe5898afadd1c7638afdf4b60 Description: System Guard Daemon System Guard Daemon can be installed on a remote system so that the KDE System Guard can connect to and monitor it. . This package is part of the KDE base workspace module. Package: ksystemlog Source: kdeadmin Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 894 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Homepage: http://www.kde.org/ Priority: optional Section: admin Filename: pool/main/k/kdeadmin/ksystemlog_4.8.4-3_armhf.deb Size: 427216 SHA256: 48946a35d768d2a7fc4a7e80e6acc7dec1c8badbc89dca68077756e86eb70bbb SHA1: 402cfd7bad9062b17ba3da519acd53fb6f418ad3 MD5sum: c66fdc69fc4b46b5b91f6e9c17efb26c Description: system log viewer KSystemLog show all logs of your system, grouped by General (Default system log, Authentication, Kernel, X.org...), and optional Services (Apache, Cups, etc, ...). It includes many features to read nicely your log files: * Colorize log lines depending on their severities * Tabbed view to allow displaying several logs at the same time * Auto display new lines logged * Detailed information for each log lines . This package is part of the KDE administration module. Package: kteatime Source: kdetoys Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 304 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.5.85), libkdeui5 (>= 4:4.4.0), libknotifyconfig4 (>= 4:4.3.4), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1) Suggests: khelpcenter4 Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdetoys/kteatime_4.8.4-1_armhf.deb Size: 124144 SHA256: a84f0cfe496daeb9daa871df9b5298cd2472f4b41632072a147865054fd165cb SHA1: 17f7d7751fd2267e06b319be7d10255d12b6671f MD5sum: f1dd1a654c8a3bbe89882da2d1461806 Description: utility for making a fine cup of tea KTeaTime is a handy timer for steeping tea. No longer will you have to guess at how long it takes for your tea to be ready. Simply select the type of tea you have, and it will alert you when the tea is ready to drink. . This package is part of the KDE toys module. Package: kterm Version: 6.2.0-46 Architecture: armhf Maintainer: ISHIKAWA Mutsumi Installed-Size: 292 Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libncurses5 (>= 5.5-5~), libsm6, libtinfo5, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6, ncurses-term Recommends: locales (>= 2.1.94-2) Suggests: xfonts-shinonome | xfonts-a12k12 Provides: x-terminal-emulator Priority: extra Section: x11 Filename: pool/main/k/kterm/kterm_6.2.0-46_armhf.deb Size: 108238 SHA256: f55a49fe30ffc5b138a0a4c63821f4179662cebffee1702150a5c48361fd192a SHA1: 073623e58f4431468eb8fdbbe7c5b7f1c2ceb137 MD5sum: 55e66f206a266c5fe28897e259d86cb2 Description: Multi-lingual terminal emulator for X Kterm is an X11 terminal emulator that can handle multi-lingual text. This release is based on xterm of X11R6.1. Package: kthesaurus Source: calligra Version: 1:2.4.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 150 Depends: calligra-libs (= 1:2.4.4-3), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1) Suggests: khelpcenter4 Conflicts: koffice-doc-html Replaces: koffice-doc-html Homepage: http://www.calligra-suite.org/ Priority: optional Section: text Filename: pool/main/c/calligra/kthesaurus_2.4.4-3_armhf.deb Size: 27832 SHA256: 8cec52d0a8230b6541212bd082865a331f89447a585267f54d18665322527339 SHA1: fc817aa2c85876447bf4bbe372c1610e1c54c8af MD5sum: 597670dcbacb2b7d95256aa078e8504c Description: thesaurus for the Calligra Suite KThesaurus lists words related to a given term and offers a user interface to WordNet, a powerful lexical reference system. By default, only the English language is supported. . This package is part of the Calligra Suite. Package: ktikz Version: 0.10-3 Architecture: armhf Maintainer: Florian Hackenberger Installed-Size: 1614 Depends: pgf, preview-latex-style, kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.5.2), libkio5 (>= 4:4.4.0), libkparts4 (>= 4:4.5.85), libktexteditor4 (>= 4:4.3.4), libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libpoppler-qt4-3 (>= 0.16), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0) Recommends: poppler-utils, khelpcenter4 Homepage: http://www.hackenberger.at/ktikz-editor-for-the-tikz-language Priority: optional Section: graphics Filename: pool/main/k/ktikz/ktikz_0.10-3_armhf.deb Size: 792542 SHA256: c4a76fb038abad93551a7e8fe43903d8758258502a35e819000885372885153f SHA1: 1b58fe827f3f3cbf2b44ae34894e417bd82ce522 MD5sum: a5450ae06ace29ec9af277b6555c5082 Description: editor for the TikZ drawing language - KDE version KtikZ is a small application to assist in the creation of diagrams and drawings using the TikZ macros from the LaTeX package "pgf". It consists of a text editor pane in which the TikZ code for the drawing is edited and a preview pane showing the drawing as rendered by LaTeX. The preview pane can be updated in real-time. Common drawing tools, options and styles are available from the menus to assist the coding process. . This package contains the KDE version of the program. . TikZ is a user-friendly syntax layer for the PGF (portable graphics format) TeX macro package. Pictures can be created within a LaTeX document and included in the output using the most important TeX backend drivers including pdftex and dvips. Package: ktimer Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 315 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1) Homepage: http://www.kde.org/ Priority: optional Section: utils Filename: pool/main/k/ktimer/ktimer_4.8.4-1_armhf.deb Size: 193448 SHA256: 6e382fec47118c5a629d6806080288ddaf2dcf7ec3266bf27f039658d6861f07 SHA1: eebcc42154b6a9847b6dd729841679307abe3921 MD5sum: 9a7517736240b0eef40709ff88a09740 Description: countdown timer KTimer is a countdown timer for running commands after a delay. The countdown can be paused or set to loop continuously. . This package is part of the KDE SC utilities module. Package: ktimetracker Source: kdepim Version: 4:4.4.11.1+l10n-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 3228 Depends: kde-runtime, kdepim-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcal4 (>= 4:4.6), libkcmutils4 (>= 4:4.6), libkdecore5 (>= 4:4.6), libkdepim4 (= 4:4.4.11.1+l10n-3), libkdeui5 (>= 4:4.6), libkio5 (>= 4:4.6), libkontactinterface4 (>= 4:4.6), libkparts4 (>= 4:4.6), libkresources4 (>= 4:4.6), libqt4-dbus (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libxss1 Conflicts: kde-l10n Breaks: karm, kontact (<< 4:4.1.80) Replaces: karm, kontact (<< 4:4.1.80) Homepage: http://pim.kde.org/ Priority: optional Section: utils Filename: pool/main/k/kdepim/ktimetracker_4.4.11.1+l10n-3_armhf.deb Size: 1123476 SHA256: 27fe88a78659ee858940cff771bd33a93737a9fb9092e7db589bf76174c35f34 SHA1: 14b4464619624975db50ea64874729d4a3112566 MD5sum: 57a91b29f0a36b033a4a27a7b4a9d51c Description: time tracker tool KTimetracker is a time tracker for busy people who need to keep track of the amount of time they spend on various tasks. . This package is part of the KDE PIM module. Package: ktoblzcheck Source: libktoblzcheck Version: 1.39-1 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 90 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libktoblzcheck1c2a (>= 1.19), libstdc++6 (>= 4.4.0), wget Priority: optional Section: misc Filename: pool/main/libk/libktoblzcheck/ktoblzcheck_1.39-1_armhf.deb Size: 34832 SHA256: bb7b0c0a74cd5589236eeb28c6ddffd82d6f9097a492af97ed1e80e14b3869fe SHA1: 6d536f70e77d1cd3bea3b7961954b5d04253c467 MD5sum: 660f697a0db3d851bae1854703738cff Description: tool for verification of account numbers and bank codes ktoblzcheck is a command line tool for verification of bank account numbers and bank codes (BLZ) of German Banks. It is based on the specifications of the "Deutsche Bundesbank". It also supports the verification of international bank account numbers (IBAN) and bank identifier codes (BIC). Package: ktorrent Version: 4.2.1-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 3476 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgeoip1 (>= 1.4.8+dfsg), libkcmutils4 (>= 4:4.4.95), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.4.0), libkdewebkit5 (>= 4:4.4.95), libkdnssd4 (>= 4:4.4), libkemoticons4 (>= 4:4.4.95), libkidletime4 (>= 4:4.4.95), libkio5 (>= 4:4.4.0), libknotifyconfig4 (>= 4:4.4), libkparts4 (>= 4:4.4), libkprintutils4 (>= 4:4.4.95), libkrosscore4 (>= 4:4.4), libktorrent4 (>= 1.2.1), libkutils4, libkworkspace4abi1 (>= 4:4.8.1), libnepomuk4 (>= 4:4.4), libnepomukutils4 (>= 4:4.5.85), libphonon4 (>= 4:4.3.0), libqt4-dbus (>= 4:4.6), libqt4-network (>= 4:4.6.1), libqt4-qt3support (>= 4:4.6), libqt4-script (>= 4:4.6), libqt4-svg (>= 4:4.6), libqt4-xml (>= 4:4.6), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libsolid4 (>= 4:4.4), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.6), libsyndication4 (>= 4:4.4), libtag1c2a (>= 1.5), phonon, ktorrent-data (= 4.2.1-1), libktorrent-l10n Suggests: plasma-widget-ktorrent (= 4.2.1-1), krosspython Homepage: http://ktorrent.org/ Priority: optional Section: net Filename: pool/main/k/ktorrent/ktorrent_4.2.1-1_armhf.deb Size: 1385526 SHA256: b79b57b28ab18918479fc9ec973c061ffd7cf2950986405bbf46954bc90b6fa7 SHA1: 52e7c1e8ad428dc609977582afe2d829b7137ef2 MD5sum: 281c8f9ae04a6aff75e8505651e7fc9b Description: BitTorrent client based on the KDE platform This package contains KTorrent, a BitTorrent peer-to-peer network client, that is based on the KDE platform. Obviously, KTorrent supports such basic features as downloading, uploading and seeding files on the BitTorrent network. However, lots of other additional features and intuitive GUI should make KTorrent a good choice for everyone. Some features are available as plugins hence you should make sure you have the ones you need enabled. - Support for HTTP and UDP trackers, trackerless DHT (mainline) and webseeds. - Alternative UI support including Web interface and Plasma widget. - Torrent grouping, speed capping, various download prioritization capabilities on both torrent and file level as well as bandwidth scheduling. - Support for fetching torrent files from many sources including any local file or remote URL, RSS feeds (with filtering) or actively monitored directory etc. - Integrated and customizable torrent search on the Web. - Various security features like IP blocking and protocol encryption. - Disk space preallocation to reduce fragmentation. - uTorrent compatible peer exchange. - Autoconfiguration for LANs like Zeroconf extension and port forwarding via uPnP. - Scripting support via Kross and interprocess control via DBus interface. - SOCKSv4 and SOCKSv5 proxy, IPv6 support. - Lots of other useful built-in features and plugins. Package: ktorrent-data Source: ktorrent Version: 4.2.1-1 Installed-Size: 8727 Maintainer: Debian KDE Extras Team Architecture: all Replaces: ktorrent (<< 3.2~beta1+svn902175) Recommends: ktorrent (>= 4.2.1-1) Breaks: ktorrent (<< 3.2~beta1+svn902175) Size: 2846022 SHA256: a0be0809c58a989e88ddc7e4a4197e02470086a40b47d9984b87f7daa55cab1b SHA1: f9336bc01ad6f82790578b5e07150e58bb40155d MD5sum: 0d232e8066d07bd5b2d00e68adaeb4d7 Description: KTorrent data and other architecture independent files This package contains architecture independent data files for KTorrent, a BitTorrent peer-to-peer network client. Unless you have ktorrent package installed, you probably won't find this package useful. Homepage: http://ktorrent.org/ Tag: role::app-data, suite::kde Section: net Priority: optional Filename: pool/main/k/ktorrent/ktorrent-data_4.2.1-1_all.deb Package: ktorrent-dbg Source: ktorrent Version: 4.2.1-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 17473 Depends: ktorrent (= 4.2.1-1), libktorrent-dbg Recommends: kdelibs5-dbg Homepage: http://ktorrent.org/ Priority: extra Section: debug Filename: pool/main/k/ktorrent/ktorrent-dbg_4.2.1-1_armhf.deb Size: 16807924 SHA256: f0978f518b51db680cb175c453c3d5e1798c9039e7f21cd0e052859022ccd0a2 SHA1: 5f51bbc62d65af8fdf3dd48d31d982beb3adadf4 MD5sum: 6a0d33c4e3054c9d02e144ef61c0e9b5 Description: KTorrent debugging symbols This package contains debugging symbols for KTorrent. When KTorrent crashes, in most cases this package is needed to get a backtrace that is useful for developers. If you have experienced KTorrent crash without this package installed, please install it, try to reproduce the problem and file a bug report with a new backtrace attached. Package: ktouch Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 455 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libphonon4 (>= 4:4.2.0), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta2), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), phonon, ktouch-data (>= 4:4.8.4-1) Suggests: khelpcenter4 Homepage: http://edu.kde.org/ Priority: optional Section: kde Filename: pool/main/k/ktouch/ktouch_4.8.4-1_armhf.deb Size: 179348 SHA256: 7c8f53024fbcec4cdc5c79b198cbdb2001b2932392480f05d84955d84def0368 SHA1: fece28eb7368bb992491e3ac1fb9d9294aa92e17 MD5sum: fd262420167f253f7987cb4d0a76f328 Description: touch typing tutor for KDE KTouch is an aid for learning how to type with speed and accuracy. It provides a sample text to type and indicates which fingers should be used for each key. . A collection of lessons are included for a wide range of different languages and keyboard layouts, and typing statistics are used to dynamically adjust the level of difficulty. . This package is part of the KDE education module. Package: ktouch-data Source: ktouch Version: 4:4.8.4-1 Installed-Size: 4210 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: ktouch (<< 4:4.7.2) Breaks: ktouch (<< 4:4.7.2) Size: 1791710 SHA256: 7de837e0ab30ac6c0d68e5ab4e1c35c54f66fc7a0112623b9539f2b66b12abf5 SHA1: a7bc0d3101ca8ffb2e14876002a7c8585dd1f7eb MD5sum: 0ac132e6a90fc419dfb054ccb42841c8 Description: data files for ktouch This package contains the architecture-independent data files for ktouch. . This package is part of the KDE education module. Homepage: http://edu.kde.org/ Section: kde Priority: optional Filename: pool/main/k/ktouch/ktouch-data_4.8.4-1_all.deb Package: ktron Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 786 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/ktron_4.8.4-3_armhf.deb Size: 365970 SHA256: 98670cf5d211405bfd362c42f30b3779ea4fb25e99cf904032cc50319a26d8d6 SHA1: 63f88a3c00f7aa1bfcb7afea8b612730c2c28c0b MD5sum: 0f6216d2ccaec12796aedcafa51bf986 Description: Tron-like snakerace game The object of the game is to avoid running into walls, your own tail, and that of your opponent, while at the same time you have to try to get your opponent to run into them. . KTron also includes kdesnake, a special mode of the game where you can play the classical snake action game. In snake you steer a snake which has to eat food. While eating, the snake grows. But once a player collides with the other snake or the wall, the game is lost. This becomes, of course, more and more difficult the longer the snakes grow. . This package is part of the KDE games module. Package: kttsd Source: jovie Version: 4:4.8.4-2 Installed-Size: 37 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: jovie Size: 13108 SHA256: f16649d5411eccde0b06ad3047231ed1857c58828a0db004c1b72793e3a8bde3 SHA1: bd10228bf421db9398855a47197aec15ba5c0f2a MD5sum: fadbbbfe1bff15bc21e0035f00b63020 Description: transitional package for jovie This is a transitional package provided to ease upgrade from kttsd to jovie. Homepage: http://accessibility.kde.org/developer/kttsd Tag: accessibility::screen-reader, implemented-in::c++, interface::daemon, role::program, suite::kde, uitoolkit::qt, works-with::audio, works-with::file, x11::application Section: utils Priority: optional Filename: pool/main/j/jovie/kttsd_4.8.4-2_all.deb Package: ktuberling Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 7552 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7), libphonon4 (>= 4:4.3.0), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), phonon Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/ktuberling_4.8.4-3_armhf.deb Size: 4304992 SHA256: d8b505dd92c006b01fc926c98d0bb91898ec2be2ebe59264cd00579ff321a848 SHA1: dca32da1d87f17c150b0ab1d162d437437e22ef1 MD5sum: 9a443b1e9969a5342ef3831de0bb3c5d Description: stamp drawing toy KTuberling is a drawing toy for small children with several activites. . * Give the potato a funny face, clothes, and other goodies * Build a small town, complete with school, zoo, and fire department * Create a fantastic moonscape with spaceships and aliens . KTuberling can speak the name of each the object in several languages, to assist in learning basic vocabulary. . This package is part of the KDE games module. Package: kturtle Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 692 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.7), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7), libknewstuff3-4 (>= 4:4.7), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0) Suggests: khelpcenter4 Homepage: https://projects.kde.org/projects/kde/kdeedu/kturtle Priority: optional Section: devel Filename: pool/main/k/kturtle/kturtle_4.8.4-1_armhf.deb Size: 276496 SHA256: 8d0a8f3ffe0c4e911ebe11d46e7e2a746288c5c612d1e45cc8e8a89d5940b17a SHA1: ee87bd9c1b4302292d207b3bac3a1b9cacc18fe5 MD5sum: 3bba2491113b2662057cdc59d08df7e5 Description: educational programming environment KTurtle is an educational programming environment which uses the TurtleScript programming language (inspired by Logo) to make programming as easy and accessible as possible. . The user issues TurtleScript language commands to control the "turtle", which draws on the canvas, making KTurtle suitable for teaching elementary mathematics, geometry and programming. . This package is part of the KDE education module. Package: ktux Source: kdetoys Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 239 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.5.85), libkdeui5 (>= 4:4.3.4), libkscreensaver5 (>= 4:4.6.1), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1) Homepage: http://www.kde.org/ Priority: optional Section: kde Filename: pool/main/k/kdetoys/ktux_4.8.4-1_armhf.deb Size: 124800 SHA256: 20c594ea8ec5859f62450fefc02ef43280d19a1f05cdc33f57c91dc26a8cfc33 SHA1: 84d62c0b13398a6648f616e01b6c5b9c7a6812b4 MD5sum: ebf0498a153bad2190759b9c3f5279a1 Description: Tux screensaver for KScreenSaver A neat Tux-in-a-spaceship screensaver for the KScreenSaver . This package is part of the KDE toys module. Package: kubrick Source: kdegames Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 404 Depends: kde-runtime, libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libkdecore5 (>= 4:4.7), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.7), libkio5 (>= 4:4.7), libqt4-opengl (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://games.kde.org/ Priority: optional Section: games Filename: pool/main/k/kdegames/kubrick_4.8.4-3_armhf.deb Size: 129852 SHA256: 165cc470e5ddde9a3743a6895abe6c569e37546bb6e73ef2991f820242f24986 SHA1: 2142e5cce28b34b796c122cab70b0e3144080e56 MD5sum: af6f2610c305b7e16d848715511c05dc Description: game based on Rubik's Cube Kubrick is a game based on Rubik's Cube and using OpenGL 3-D graphics libraries. . Kubrick handles cubes, "bricks" and "mats" from 2x2x1 up to 6x6x6. It has several built-in puzzles of graded difficulty, as well as demos of solving moves and pretty patterns. The game has unlimited undo, redo, save and reload capabilities. . This package is part of the KDE games module. Package: kuiviewer Source: kdesdk Version: 4:4.8.4+dfsg-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 210 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.4.4-2~), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkparts4 (>= 4:4.5.85), libqt4-designer (>= 4:4.5.3), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Suggests: qt4-designer Homepage: http://www.kde.org Priority: optional Section: devel Filename: pool/main/k/kdesdk/kuiviewer_4.8.4+dfsg-1_armhf.deb Size: 43536 SHA256: 040d62b579cd00609052ed2b8742078917b5ee812936947aab67fa8a0de6e7c6 SHA1: f8474d4158e0619ceef3fedc385e1660dcb64507 MD5sum: b261d7c6d8c6dc996f192e9d69a09f26 Description: viewer for Qt Designer user interface files KUIViewer is a utility to display and check user interface (.ui) files created in Qt Designer. The interfaces can be shown in a variety of different widget styles to ensure that they display correctly in any environment. . The Qt Designer itself is in the package qt4-designer. . This package is part of the KDE Software Development Kit module. Package: kumofs Version: 0.4.13-5 Architecture: armhf Maintainer: Taku YASUI Installed-Size: 1224 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmsgpack3 (>= 0.5.1), libmsgpackc2 (>= 0.5.1), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libtokyocabinet9 (>= 1.4.47), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, ruby | ruby-interpreter, ruby-msgpack, adduser Homepage: http://kumofs.sourceforge.net/ Priority: extra Section: misc Filename: pool/main/k/kumofs/kumofs_0.4.13-5_armhf.deb Size: 516918 SHA256: e649eb270d0cb74ca62cbdf4f280c1fc32011091bc51594f4f56e36dd0650755 SHA1: 826daebc78f8bb1cfc5dce3cb9052f689c59f44f MD5sum: a4f5014bb51406ff7cb8da3a270d09c8 Description: simple and fast distributed key-value store Kumofs is a simple and fast distributed key-value store which can use a memcached client library to get, set, delete, or compare-and-swap values. Tokyo Cabinet serves as a high-performance back-end. . Main features of kumofs: * data is partitioned and replicated over multiple servers; * performance on single node systems is comparable with memcached; * read and write performance improve when servers are added; * servers can be added, restarted, or removed on the fly with no configuration change; * automatic rebalancing is supported via a consistency control algorithm; * it provides a safe Compare-And-Swap operation; * the memcached protocol is supported. . Kumofs is used at Nico-Nico Douga, the most popular video sharing service in Japan. Package: kunststoff Version: 2.0.2-5 Installed-Size: 80 Maintainer: Debian Java Maintainers Architecture: all Size: 41362 SHA256: fa131c8322faa1bffc2773d7ac82854a78e51680ba1c3ca4e6ef18b81511aa17 SHA1: 2f1a238c8b7f423641ac2b3137cc93eb1fc62fb8 MD5sum: 61a75c2b877bf436454fa201b55ed455 Description: suite of skins for different applications The Kunststoff Look & Feel is a completely free Look & Feel for Java Swing applications. It supports many widgets (e.g JInnerFrame, JProgressBar, JToolBar) and provides customizable gradient colors. Homepage: http://kunstoff.incors.com/archive/ Section: utils Priority: optional Filename: pool/main/k/kunststoff/kunststoff_2.0.2-5_all.deb Package: kup-client Source: kup Version: 0.3.2-1 Installed-Size: 56 Maintainer: Ben Hutchings Architecture: all Depends: libconfig-simple-perl, perl Recommends: gnupg-agent Size: 10800 SHA256: 42dedff64eab613cb3ead8cab2fa7a5d32a2d2fd79914c5136c2d636aa14bcf1 SHA1: f5871258b20591aa696b122f91d8bd0e16cb69bb MD5sum: 901d95c9ace1be8b00f1ee3c0ee10ba3 Description: kernel.org upload tool This utility is used to upload files to kernel.org and other systems using the same upload system (kup-server). Homepage: http://git.kernel.org/?p=utils/kup/kup.git Tag: implemented-in::perl, role::program, scope::utility Section: net Priority: extra Filename: pool/main/k/kup/kup-client_0.3.2-1_all.deb Package: kup-server Source: kup Version: 0.3.2-1 Installed-Size: 114 Maintainer: Ben Hutchings Architecture: all Depends: libbsd-resource-perl, libconfig-simple-perl, git, gnupg, openssh-server | ssh-server, perl, base-files (>= 6.4) Recommends: bzip2, xz-utils Size: 15506 SHA256: 04677e58e92e33b8b2aece6460f1e5f85e284eaff067292afe1f41ea74708b8f SHA1: 363c6c29e0041e578d1fadaf711076f8daf07460 MD5sum: 0aab4e34e6ecd63a7f961e7be7cdc9e7 Description: kernel.org upload server This is the upload system used on kernel.org, which runs as an SSH service. Homepage: http://git.kernel.org/?p=utils/kup/kup.git Tag: interface::daemon, network::server, protocol::ssh, role::program Section: net Priority: extra Filename: pool/main/k/kup/kup-server_0.3.2-1_all.deb Package: kupfer Version: 0+v208-2 Installed-Size: 2862 Maintainer: Luca Falavigna Architecture: all Depends: python (>= 2.6.6-7~), python-gobject (>= 2.18), python-gtk2 (>= 2.16), python-xdg, python-dbus, dbus, python-keybinder Recommends: python-keyring, python-wnck Suggests: python-cjson, python-gdata, python-qrencode Size: 851572 SHA256: afede3250f54f825b711e7d66eb7f99a6331230324bb1b1836164630aef7c39b SHA1: 58d06b48823844f86f9dd65261917eb5c4d0fe48 MD5sum: 2ac474b6ae42f6577fa0947d1be26379 Description: fast and lightweight desktop summoner/launcher Kupfer is a summoner/launcher in the style of Quicksilver or GNOME Do. It can search and browse your files, launch desired applications and object you need in a quicker way. . Kupfer is written in Python and has a flexible architecture based on plugins to extend its features. Homepage: http://kaizer.se/wiki/kupfer/ Tag: implemented-in::python, interface::x11, role::program, uitoolkit::gtk, use::browsing, use::searching, works-with::software:running Section: utils Priority: optional Filename: pool/main/k/kupfer/kupfer_0+v208-2_all.deb Package: kuser Source: kdeadmin Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 657 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkldap4 (>= 4:4.8), libkntlm4 (>= 4:4.3.4), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.1.1) Suggests: khelpcenter4 Homepage: http://www.kde.org/ Priority: optional Section: admin Filename: pool/main/k/kdeadmin/kuser_4.8.4-3_armhf.deb Size: 190332 SHA256: 2bc43b992a9d44a11c1eb296e6b9baa2c070a2aab79e9543415c8bc9c300c3b3 SHA1: 1f484c40e9513e13a3d9427208308286c966e5b0 MD5sum: 0d16214e3b0eed7d41a6fba2abefa41d Description: user and group administration tool KUser is an application for managing users and groups on your system. . This package is part of the KDE administration module. Package: kuvert Version: 2.0.6 Architecture: armhf Maintainer: Alexander Zangerl Installed-Size: 157 Depends: libc6 (>= 2.4), gnupg (>= 1.0.6), sendmail | mail-transport-agent, perl, libmailtools-perl, libmime-tools-perl, libfile-slurp-perl, libnet-server-mail-perl, libauthen-sasl-perl Suggests: keyutils Homepage: http://www.snafu.priv.at/mystuff/kuvert/ Priority: extra Section: mail Filename: pool/main/k/kuvert/kuvert_2.0.6_armhf.deb Size: 49438 SHA256: b9f46d1ac3b0d70975ae6454f208a72d99e2e2e11558a9de57b6af56f7492504 SHA1: bb66001afbf30d804d924f6f87eb9a157b9d35c5 MD5sum: 245a43152b47e2a80f6a5ff8fdb761d8 Description: wrapper that encrypts or signs outgoing mail kuvert automatically signs and/or encrypts outgoing mail using the PGP/MIME standard (RFC3156), based on the availability of the recipient's key in your keyring. Other than similar wrappers, kuvert does not store key passphrases itself, ever. kuvert works as a wrapper around your MTA but can be fed mails via SMTP, too. Package: kvirc Version: 4:4.1.3+20111124.svn5988-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 2647 Pre-Depends: multiarch-support Depends: libkvilib4 (= 4:4.1.3+20111124.svn5988-2), kvirc-modules (= 4:4.1.3+20111124.svn5988-2), kvirc-data (= 4:4.1.3+20111124.svn5988-2), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.4.0), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.1.1), libx11-6, zlib1g (>= 1:1.2.3.3) Suggests: kvirc-dbg (= 4:4.1.3+20111124.svn5988-2) Multi-Arch: foreign Homepage: http://www.kvirc.de/ Priority: optional Section: net Filename: pool/main/k/kvirc/kvirc_4.1.3+20111124.svn5988-2_armhf.deb Size: 1030260 SHA256: 948c33a6b71fde4336d877f2561d9988f97ffb12ee09e996dc516cd5a1afcded SHA1: 0617a82672e0c849875bc39ae739f1c45df3fa5a MD5sum: 24081e5db519271bf879b79a74be4114 Description: KDE-based next generation IRC client with module support A highly configurable graphical IRC client with an MDI interface, built-in scripting language, support for IRC DCC, drag & drop file browsing, and much more. KVIrc uses the KDE widget set, can be extended using its own scripting language, integrates with KDE, and supports custom plugins. . If you're looking for a simple and plain IRC client, KVIrc is probably the wrong choice as it is rather big. But if you want a highly customizable client you won't regret the installation. Package: kvirc-data Source: kvirc Version: 4:4.1.3+20111124.svn5988-2 Installed-Size: 12388 Maintainer: Debian KDE Extras Team Architecture: all Recommends: kvirc (>= 4:4.1.3+20111124.svn5988-2) Size: 3902348 SHA256: 25f685ca8a43b9bdc12331055ced0153b2d956ae2b16bf053c90ce3ca60b766e SHA1: b718b85c7a2764886e9dd142d0f6d8b428f5b8b4 MD5sum: 037ab546ebf664ce0f27d2a4cd968450 Description: Data files for KVIrc This package contains the architecture-independent data needed by KVIrc in order to run, such as icons and images, language files, and shell scripts. It also contains complete reference guides on scripting and functions within KVIrc in its internal help format. Multi-Arch: foreign Homepage: http://www.kvirc.de/ Tag: role::app-data, suite::kde Section: net Priority: optional Filename: pool/main/k/kvirc/kvirc-data_4.1.3+20111124.svn5988-2_all.deb Package: kvirc-dbg Source: kvirc Version: 4:4.1.3+20111124.svn5988-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 41359 Depends: kvirc (= 4:4.1.3+20111124.svn5988-2), libkvilib4 (= 4:4.1.3+20111124.svn5988-2), kvirc-modules (= 4:4.1.3+20111124.svn5988-2) Homepage: http://www.kvirc.de/ Priority: extra Section: debug Filename: pool/main/k/kvirc/kvirc-dbg_4.1.3+20111124.svn5988-2_armhf.deb Size: 39702560 SHA256: f0b9d43b1d357c7243685893d00ff82d77bc2c925a8a8329919e33cd7b5c8b7f SHA1: 3fd79404b7a23e61b95e58d7f32b95f3f487a7c6 MD5sum: 6a1084309a6f235dac2be1148f4574dd Description: KVIrc (IRC client) debugging symbols A highly configurable graphical IRC client with an MDI interface, built-in scripting language, support for IRC DCC, drag & drop file browsing, and much more. KVIrc uses the KDE widget set, can be extended using its own scripting language, integrates with KDE, and supports custom plugins. . This package is intended to help debugging possible problems with KVIrc. Package: kvirc-modules Source: kvirc Version: 4:4.1.3+20111124.svn5988-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 5098 Pre-Depends: multiarch-support Depends: libkvilib4 (= 4:4.1.3+20111124.svn5988-2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.4-2~), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libperl5.14 (>= 5.14.2), libphonon4 (>= 4:4.2.0), libpython2.7 (>= 2.7), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.1.1), libx11-6, libxss1, phonon, zlib1g (>= 1:1.2.3.3) Recommends: kvirc (= 4:4.1.3+20111124.svn5988-2) Suggests: kvirc-dbg (= 4:4.1.3+20111124.svn5988-2) Multi-Arch: same Homepage: http://www.kvirc.de/ Priority: optional Section: libs Filename: pool/main/k/kvirc/kvirc-modules_4.1.3+20111124.svn5988-2_armhf.deb Size: 1719242 SHA256: b7e4cd0ecaff20be6c0bd55d024a2480d83a08a1cda6fcbe6c7d9721964d2ff1 SHA1: 4147b8c1348b4ec3cfa33ffda3631897ffc6ea5b MD5sum: fa9832178770775f90a85f109025f457 Description: KVIrc (IRC client) modules A highly configurable graphical IRC client with an MDI interface, built-in scripting language, support for IRC DCC, drag & drop file browsing, and much more. KVIrc uses the KDE widget set, can be extended using its own scripting language, integrates with KDE, and supports custom plugins. . This package contains all modules for KVIrc. Package: kvkbd Version: 1:0.6-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 207 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1), libx11-6, libxtst6 Homepage: http://www.kde-apps.org/content/show.php/Kvkbd+-+KDE4?content=94374 Priority: optional Section: kde Filename: pool/main/k/kvkbd/kvkbd_0.6-3_armhf.deb Size: 84208 SHA256: 576a5aede78a1cffbfd8b054c4381b0f9b3cbc4b7ceb383167e5f073ad37afd7 SHA1: 2fc8daaffc4afca12709360a27756457cd304fb9 MD5sum: 3c609ca0d850a27e312df30042354cce Description: Virtual keyboard for KDE Virtual keyboard for KDE for use with accessibility. The application contains a systray widget as well as a dockwidget. Package: kvpm Version: 0.8.6-2+deb7u2 Architecture: armhf Maintainer: Benjamin J. Scott Installed-Size: 1507 Depends: kde-runtime, libblkid1 (>= 2.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.4), libkio5 (>= 4:4.4), liblvm2app2.2 (>= 2.02.95), libparted0debian1 (>= 2.2-1), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Recommends: ntfs-3g, reiserfsprogs, dosfstools, jfsutils, xfsprogs Suggests: reiser4progs, btrfs-tools Homepage: http://sourceforge.net/projects/kvpm/ Priority: optional Section: admin Filename: pool/main/k/kvpm/kvpm_0.8.6-2+deb7u2_armhf.deb Size: 924504 SHA256: f504f13b1112911f5bc488b9aa3fa4e6dd3750f3899abdbbd360b412864246e5 SHA1: 6c519f669987200f8ab8f56380a75b2388953ca6 MD5sum: 3443a73d53b5586bf881362fd6032600 Description: Logical volume manager and disk partitioner GUI based on KDE KVPM is a graphical user interface for the Linux Volume Manager (LVM) and libparted. It uses the standard LVM tools and programs to manipulate logical volumes, such as resizing, deleting or creating them. It can also format volumes and mount or unmount them. Creating, deleting and resizing partitions is also supported. Package: kvpm-dbg Source: kvpm Version: 0.8.6-2+deb7u2 Architecture: armhf Maintainer: Benjamin J. Scott Installed-Size: 9901 Depends: kvpm (= 0.8.6-2+deb7u2) Homepage: http://sourceforge.net/projects/kvpm/ Priority: extra Section: debug Filename: pool/main/k/kvpm/kvpm-dbg_0.8.6-2+deb7u2_armhf.deb Size: 9929110 SHA256: 2b6737e6abe57198daec427a3d8b2fc9c6f4825c0ad0cc0c6d7b7ab8955c3ca0 SHA1: 09772731b6e2ccdcd4b480e7291c761497f8ac5d MD5sum: a07cbe8a7ff268303a50760fbafcf825 Description: kvpm's debugging symbols KVPM is a graphical user interface for the Linux Volume Manager (LVM) and libparted. It uses the standard LVM tools and programs to manipulate logical volumes, such as resizing, deleting or creating them. It can also format volumes and mount or unmount them. Creating, deleting and resizing partitions is also supported. . This package contains the debugging symbols. Package: kvpnc Version: 0.9.6a-2.1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 2882 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgcrypt11 (>= 1.4.5), libkde3support4 (>= 4:4.3.4), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkfile4 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libknotifyconfig4 (>= 4:4.3.4), libkpty4 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), kvpnc-data (= 0.9.6a-2.1), menu, net-tools, psmisc, module-init-tools Suggests: iptables, openssl, openvpn, racoon, vpnc, pptp-linux, openswan, xl2tpd, openct, opensc Homepage: http://home.gna.org/kvpnc Priority: optional Section: utils Filename: pool/main/k/kvpnc/kvpnc_0.9.6a-2.1_armhf.deb Size: 947822 SHA256: 685f9922a7ae1591542c5e27ad2f9390342447f158ea89ee326c1411008f3609 SHA1: 1c2d1a90899b20da603c783cb38ca5abbaf0da31 MD5sum: 3b55f48c139004dfd6490f3d4e38d931 Description: vpn clients frontend for KDE4 KVpnc is a KDE4 frontend for various vpn clients. . It supports : * Cisco-compatible VPN client (vpnc) * IPSec (freeswan, openswan, strongSwan, racoon) * Point-to-Point Tunneling Protocol (PPTP) client (pptp-linux) * Virtual Private Network daemon (openvpn) * L2TP, Vtun & OpenSSH Package: kvpnc-data Source: kvpnc Version: 0.9.6a-2.1 Installed-Size: 507 Maintainer: Debian KDE Extras Team Architecture: all Replaces: kvpnc (<< 0.9.6a) Recommends: kvpnc (>= 0.9.6a-2.1) Size: 260102 SHA256: 0fd994197dc917faf1c130464501b988bf5c9ffa2229ab0f3d2fd4ab932a268a SHA1: 5bacbf7656ead4eed4ed267983c4ea898cf96ebc MD5sum: b2155e85e495860e8ae2f3051993f814 Description: data files for KVpnc This package contains the data files for KVpnc, a KDE frontend for various vpn clients. Unlessyou have 'kvpnc' package installed, you probably won't this package useful. Homepage: http://home.gna.org/kvpnc Tag: role::app-data Section: utils Priority: optional Filename: pool/main/k/kvpnc/kvpnc-data_0.9.6a-2.1_all.deb Package: kvpnc-dbg Source: kvpnc Version: 0.9.6a-2.1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 393 Depends: kvpnc (= 0.9.6a-2.1) Homepage: http://home.gna.org/kvpnc Priority: extra Section: debug Filename: pool/main/k/kvpnc/kvpnc-dbg_0.9.6a-2.1_armhf.deb Size: 109876 SHA256: ec4c0caff6b157a6775197c951f91fe1af5b583da0e9e48eeaf89d53e7fb2cdd SHA1: 82550cdf55a414f95f6a88c7681b5b4c852cad7e MD5sum: cf20240f6a080d1947964a8c464c4001 Description: vpn clients frontend for KDE4 - debugging symbols KVpnc is a KDE4 frontend for various vpn clients. . This package contains the debugging symbols associated with kvpnc. They will automatically be used by gdb for debugging kvpnc-related issues. Package: kwalify Version: 0.7.2-2 Installed-Size: 1176 Maintainer: Marc Dequènes (Duck) Architecture: all Depends: ruby | ruby-interpreter Suggests: doc-base Size: 128980 SHA256: f04cbabf9c8b91bd74249ffd2e5783a36f2bebd1e906742e00e9b0c91f25e8dc SHA1: e4ee4b12349b6458af2b6b7d025c5b07cb1363ff MD5sum: d5de3df20c0cc456e304a9e0a75f35d5 Description: parser, schema validator, and data-binding tool for YAML and JSON. YAML and JSON are simple and nice format for structured data and easier for human to read and write than XML. But there have been no schema for YAML such as RelaxNG or DTD. Kwalify gets over this situation. Homepage: http://www.kuwata-lab.com/kwalify/ Ruby-Versions: ruby1.8 ruby1.9.1 Tag: devel::lang:ruby, implemented-in::ruby, role::program, role::shared-lib, use::checking, works-with::text Section: text Priority: optional Filename: pool/main/k/kwalify/kwalify_0.7.2-2_all.deb Package: kwalletcli Version: 2.11-2 Architecture: armhf Maintainer: Thorsten Glaser Installed-Size: 180 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libqtcore4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1), mksh (>= 38~) Recommends: gnupg-agent, pinentry-curses | pinentry-gtk | pinentry-gtk2 | pinentry-qt | pinentry-qt4, openssh-client Enhances: gajim (>= 0.13~) Provides: ssh-askpass Multi-Arch: foreign Homepage: https://www.mirbsd.org/kwalletcli.htm Priority: optional Section: kde Filename: pool/main/k/kwalletcli/kwalletcli_2.11-2_armhf.deb Size: 69310 SHA256: a1961903748d82aa7fce8fca97400f5e4c9fd341470a0e986a49615cf04218a3 SHA1: 0c5a5314bb64d377f158cdccc037af5d86b1a541 MD5sum: cb729b4fab68a47514355a7777af0cb4 Description: command line interface to the KDE Wallet kwalletcli implements a command line interface tool to get and set password entries in the default KDE Wallet. Also included are a shell wrapper around pinentry, a pinentry-kwallet application checking the KDE Wallet for the passphrase requested before asking the user for use with the GnuPG Agent, which is also capable of running without a pinentry as backend, and kwalletaskpass, which can store SSH key passphrases in the KDE Wallet for use with the OpenSSH Agent, and is registered as ssh-askpass alternative. Package: kwalletmanager Source: kwallet Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 711 Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Homepage: http://www.kde.org Priority: optional Section: utils Filename: pool/main/k/kwallet/kwalletmanager_4.8.4-3_armhf.deb Size: 324364 SHA256: 3768e0114862f71a923206ba1d56662e1b4b9676853c20f075934056545ee542 SHA1: bcdfd265004486eaff2475ed2d30648a8e9b045f MD5sum: f82b323d5fbd1172b2d0b6fa24e0e26d Description: secure password wallet manager The KDE Wallet system provides a secure way to store passwords and other secret information, allowing the user to remember only a single KDE Wallet password instead of numerous different passwords and credentials. . KWallet Manager is a utility for viewing and editing information stored in the KDE Wallet. . This package is part of the KDE SC utilities module. Package: kwalletmanager-dbg Source: kwallet Version: 4:4.8.4-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2424 Depends: kwalletmanager (= 4:4.8.4-3) Breaks: kdeutils-dbg (<< 4:4.8) Replaces: kdeutils-dbg (<< 4:4.8) Homepage: http://www.kde.org Priority: extra Section: debug Filename: pool/main/k/kwallet/kwalletmanager-dbg_4.8.4-3_armhf.deb Size: 615050 SHA256: 4767dca6e847d4d60b2f28f33a6bca7a1a06c70c028ed1eb42763812e2c2032d SHA1: 0dc91a35a8c25eb08136bfad4d57fd6044282964 MD5sum: d8de031c157180210724f690db95d1c9 Description: debugging symbols for kwalletmanager This package contains the debugging symbols for kwalletmanager. It is to be used in order to be able provide proper back traces for possible crashes or for running kwalletmanager in gdb or valgrind for meaningful output. . This package is part of the KDE SC utilities module. Package: kwave Version: 0.8.8-1-3 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 6058 Depends: kde-runtime, libaudiofile1 (>= 0.3.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libkfile4 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.6.1), libsamplerate0 (>= 0.1.7), libstdc++6 (>= 4.4.0), libthreadweaver4 (>= 4:4.3.4) Homepage: http://kwave.sourceforge.net Priority: optional Section: kde Filename: pool/main/k/kwave/kwave_0.8.8-1-3_armhf.deb Size: 4192364 SHA256: d9fcc25cad1db126543e85663bc362d5b70be89a07b063bc2305de83cbdd7a1e SHA1: fb1527786ced8a0a7d6620b178e5a33fa1b47b7e MD5sum: 507dda325ce62be34603f10a192dedb9 Description: sound editor for KDE Kwave is a sound editor designed for the KDE Desktop Environment. . With Kwave you can record, play back, import and edit many sorts of audio files including multi-channel files. . Kwave includes some plugins to transform audio files in several ways and presents a graphical view with a complete zoom- and scroll capability. . Its features include: * 24 Bit Support * Undo/Redo * Use of multicore CPUs (SMP, hyperthreading) * Simple Drag & Drop * Realtime Pre-Listen for some effects * Support for multi-track files * Playback and recording via native ALSA (or OSS, deprecated) * Playback via PulseAudio and Phonon * Load and edit-capability for large files (can use virtual memory) * Reading and auto-repair of damaged wav-files * Supports multiple windows * Extendable Plugin interface * a nice splashscreen * some label handling Package: kwave-dbg Source: kwave Version: 0.8.8-1-3 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 4967 Depends: kwave (= 0.8.8-1-3) Homepage: http://kwave.sourceforge.net Priority: extra Section: debug Filename: pool/main/k/kwave/kwave-dbg_0.8.8-1-3_armhf.deb Size: 4762518 SHA256: f9f3595bcaac05cdb57fe82e79f292448f526ad28f87bcbb159adf478aa9b0c5 SHA1: 3b7676552849e213ccfa0cf7f126caa7b8d6a332 MD5sum: f30bde0ec708ba5fef51c6673d9be482 Description: sound editor for KDE - debug symbols Kwave is a sound editor designed for the KDE Desktop Environment. . With Kwave you can record, play back, import and edit many sorts of audio files including multi-channel files. . This package includes the debug symbols. Package: kwiki Version: 0.36-1 Installed-Size: 520 Maintainer: Nick Phillips Architecture: all Provides: libcgi-kwiki-perl Depends: perl (>= 5.6.0-16), liblocale-maketext-perl, libkwiki-perl (>= 0.36-2) Size: 117998 SHA256: 8ee1053e520cc109139c349ac0afd4fb435e9fbfbe12c33bfdf393e28aa3ae34 SHA1: 0ab2bc0dac6ae46c1697017812efb5286cf09ec8 MD5sum: e92f2006c607ac9d081153c935f6d4d9 Description: A Quickie Wiki that's not too Tricky This package is here to make sure people don't accidentally start new Kwikis using the old-style CGI::Kwiki system. . If you are starting a new Kwiki, you DO NOT NEED this package. Install the libkwiki-perl package instead. Tag: implemented-in::perl, interface::web, role::program, scope::utility, web::wiki Section: web Priority: optional Filename: pool/main/k/kwiki/kwiki_0.36-1_all.deb Package: kwin-style-crystal Version: 2.0.5-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 819 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecorations4 (>= 4:4.6.1), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://kde-look.org/content/show.php/Crystal?content=75140 Priority: optional Section: kde Filename: pool/main/k/kwin-style-crystal/kwin-style-crystal_2.0.5-2_armhf.deb Size: 195668 SHA256: 25fd66598f054e9fb112cf077d8dee08f41f4dd29466b22a4ca8cebbc5c33ede SHA1: 8385049b1d214f74f586609c1d59c75926a88f59 MD5sum: c95295d5d101b447b4ccc9ea8c8d7030 Description: semi transparent window decoration for KDE Crystal is a pretty simple and clean theme, which also provides pseudo transparent title bar, buttons and borders, and round corners as well. Transparency and buttons can be customized to match your wishes. . And it is of course nice to look at. Upstream says: "- Don't forget to breathe, while drooling." Package: kwin-style-dekorator Version: 0.5.1-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 355 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecorations4 (>= 4:4.6.1), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libknewstuff2-4 (>= 4:4.3.4), libknewstuff3-4 (>= 4:4.4.0), libqimageblitz4 (>= 1:0.0.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://www.kde-look.org/content/show.php/deKorator?content=87921 Priority: optional Section: kde Filename: pool/main/k/kwin-style-dekorator/kwin-style-dekorator_0.5.1-1_armhf.deb Size: 182532 SHA256: 7296efd3d3f1d4a2b8d4b8dc298fb1387c3983a78fd5864b5d0b18c8160262ab SHA1: 7987a3871bebc17e7f3e6c902348764ed3866030 MD5sum: 6478e89ec4f2ccc5a67348f1cdaacf82 Description: Windows decoration engine for KDE 4 using user-supplied PNG files With deKorator, easily create your own window decoration for KDE 4! deKorator uses your own made images for buttons, borders and so on. Go ahead and be creative! An example called uglyTheme is provided to show its possibilities. . Themes can be created by the user with the help of a drawing program or can be downloaded from http://www.kde-look.org/index.php?xcontentmode=21 . This is a windows decoration engine, not a style. Package: kwin-style-qtcurve Source: kde-style-qtcurve Version: 1.8.12-2 Architecture: armhf Maintainer: Boris Pek Installed-Size: 252 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecorations4 (>= 4:4.6.1), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6 Recommends: kde-style-qtcurve Suggests: gtk2-engines-qtcurve Homepage: http://www.kde-look.org/content/show.php?content=40492 Priority: optional Section: kde Filename: pool/main/k/kde-style-qtcurve/kwin-style-qtcurve_1.8.12-2_armhf.deb Size: 109678 SHA256: f975168cc467c5b82f63a76dec00cc3aef9e1543f21f5540c5280b706a83b73d SHA1: 3e6f8937a0ec69ee9d489f8b3c8e93b06e57751f MD5sum: b7e238f2fc5d0755de4411247b5e43fc Description: QtCurve window decoration for KWin This package contains the QtCurve window decoration for KWin. . QtCurve is a set of widget styles for KDE and GTK+. It provides a consistent look between KDE and GTK+ applications, which is easy on the eyes and visually pleasing. . The corresponding GTK+ theme engine can be found in gtk2-engines-qtcurve package. Package: kword Source: calligra-transitional (2) Version: 1:2.4.3+2 Installed-Size: 26 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: calligrawords Size: 1822 SHA256: 5e7fefdd1e7bc3efa9950c56148eed8a91681fe20f35ee58bdf9140458f2b0df SHA1: 58ae50243df1ca16543cc937a91ef71ba53346c1 MD5sum: 18aab2be8e7bf7431a86628abd8451b5 Description: transitional dummy package for calligrawords This transitional package allows one to migrate from KOffice's KWord to Calligra Words. . It can be safely removed after the upgrade. Homepage: http://www.calligra-suite.org Tag: implemented-in::c++, interface::x11, role::program, scope::application, suite::kde, uitoolkit::qt, use::editing, use::text-formatting, works-with-format::odf, works-with::text, x11::application Section: oldlibs Priority: extra Filename: pool/main/c/calligra-transitional/kword_2.4.3+2_all.deb Package: kword-data Source: koffice Version: 1:2.3.3-2 Installed-Size: 848 Maintainer: Debian Qt/KDE Maintainers Architecture: all Replaces: kword (<< 1:1.9.96.0~that.is.really.1.9.95.3-1) Size: 162416 SHA256: 9e3badb43de9ad213bd2279c5643d2d7717a599533c3958aafd77d1fefd6e5ba SHA1: b9c3cb3649163293be99b8a11e9c7213c48cd1ff MD5sum: 94bedb0c373ae979b40251ff26589263 Description: data files for KWord word processor This package contains architecture-independent data files for KWord, the word processor shipped with the KDE Office Suite. . See the kword package for further information. . This package is part of the KDE Office Suite. Homepage: http://www.koffice.org/kword/ Tag: role::app-data Section: kde Priority: optional Filename: pool/main/k/koffice/kword-data_2.3.3-2_all.deb Package: kwordquiz Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1928 Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.6), libkdeui5 (>= 4:4.6), libkeduvocdocument4 (>= 4:4.7.2), libkio5 (>= 4:4.6), libknewstuff3-4 (>= 4:4.6), libknotifyconfig4 (>= 4:4.6), libkprintutils4 (>= 4:4.6), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), phonon, kdeedu-kvtml-data Suggests: khelpcenter4 Homepage: https://projects.kde.org/projects/kde/kdeedu/kwordquiz Priority: optional Section: kde Filename: pool/main/k/kwordquiz/kwordquiz_4.8.4-1_armhf.deb Size: 1323994 SHA256: d15486a168ed66f8710ccd404bff7d6128012adb7bfb7d237a07f1d98b355851 SHA1: 81811605cbce467c039e1492c993fa0158556652 MD5sum: 3888294ba66fd06b94773de3e389504b Description: flashcard learning program for KDE KWordQuiz is a general purpose flashcard program, typically used for vocabulary training. . KWordQuiz can open vocabulary data in various formats, including the kvtml format used by KDE programs such as Parley, the WQL format used by WordQuiz for Windows, the xml.gz format used by Pauker, and CSV text. . This package is part of the KDE education module. Package: kwrite Source: kate Version: 4:4.8.4-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 267 Depends: katepart (= 4:4.8.4-1), kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libkparts4 (>= 4:4.8), libktexteditor4 (>= 4:4.8), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://kate-editor.org/ Priority: optional Section: editors Filename: pool/main/k/kate/kwrite_4.8.4-1_armhf.deb Size: 78852 SHA256: f1942acf7f7f6d24f989b64a8d6125f54aff30191359d99d6f7f1dff041f912a SHA1: 9c3321521a8baea7f6eefaaf545a24a11fba560a MD5sum: cd23233e18ebd9909eca5b2faab0fed5 Description: simple graphical text editor KWrite is a simple text editor built on the KDE Platform. It uses the Kate editor component, so it supports powerful features such as flexible syntax highlighting, automatic indentation, and numerous other text tools. . This package is part of the KDE 4 Base applications module. Package: kwstyle Version: 1.0.0+cvs20120330-3 Architecture: armhf Maintainer: Mathieu Malaterre Installed-Size: 546 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://public.kitware.com/KWStyle/ Priority: optional Section: devel Filename: pool/main/k/kwstyle/kwstyle_1.0.0+cvs20120330-3_armhf.deb Size: 237336 SHA256: 335826cc4c4c317f2273ea6f653f0adb6e3cc8c1e59d5da15eada2fbec20ff7e SHA1: 9cfadb1e0b5ec1d73b0255bc7f36f4fa6f11d1f2 MD5sum: c07762b056a0d56792f05d101db7a2d4 Description: Style checker for source code KWStyle is integrated in the software process to ensure that the code written by several users is consistent and can be viewed/printed as it was written by one person. . KWStyle is primarily checking C/C++ source code but can be easily extended to other languages. It assumes that the code is syntaxically correct, i.e it compiles on a standard compiler. Package: kwwidgets-doc Source: kwwidgets Version: 1.0.0~cvs20100930-8 Installed-Size: 101582 Maintainer: Debian Science Team Architecture: all Depends: vtk-doc Suggests: libkwwidgets1-dev Size: 8496762 SHA256: 488088b1e997ddbf5e96f823c2b5ffecf83fc630e3c8dd8f7bccb1fa17a31b60 SHA1: 3b912fd6e38b74edf205f8d3a19a1ffcef27e27c MD5sum: c25159982835261e0ae0186cc49d560f Description: Cross-Platform GUI Toolkit - documentation Like many other GUI toolkits, it provides low-level core widgets like buttons, entries, scales, menus, combo-boxes, thumbwheels, spin-boxes, trees, notebooks and multi-column lists to name a few. Unlike many of those toolkits though, it also provides advanced composite widgets like toolbars, tooltips, progress gauges, split-frames, splash-screens, 2D/3D extents, color pickers, histograms, windows and dialogs. . More importantly, KWWidgets builds upon this set of widgets to interface to visualization libraries like VTK and offer high-level visualization-oriented widgets like surface material editors, simple animation generators, transfer function editors, annotation editors, window/level and volume property preset editors, text property editors, 2D and 3D rendering widgets, etc. . This package contains documentation files for KWWidgets. Homepage: http://www.kwwidgets.org/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/k/kwwidgets/kwwidgets-doc_1.0.0~cvs20100930-8_all.deb Package: kwwidgets-examples Source: kwwidgets Version: 1.0.0~cvs20100930-8 Installed-Size: 1043 Maintainer: Debian Science Team Architecture: all Suggests: libkwwidgets1-dev Size: 787154 SHA256: 9ff17ece4ef2028a9ff510cadd1822998622badf788248fb678419ba53409426 SHA1: 84eea3652c1b35bbd1488b88abdd746e6c899521 MD5sum: 749c8f49b84141cf32fb29623581fbf4 Description: Cross-Platform GUI Toolkit - examples Like many other GUI toolkits, it provides low-level core widgets like buttons, entries, scales, menus, combo-boxes, thumbwheels, spin-boxes, trees, notebooks and multi-column lists to name a few. Unlike many of those toolkits though, it also provides advanced composite widgets like toolbars, tooltips, progress gauges, split-frames, splash-screens, 2D/3D extents, color pickers, histograms, windows and dialogs. . More importantly, KWWidgets builds upon this set of widgets to interface to visualization libraries like VTK and offer high-level visualization-oriented widgets like surface material editors, simple animation generators, transfer function editors, annotation editors, window/level and volume property preset editors, text property editors, 2D and 3D rendering widgets, etc. . This package contains examples from the KWWidgets source. Homepage: http://www.kwwidgets.org/ Tag: devel::doc, role::documentation Section: graphics Priority: optional Filename: pool/main/k/kwwidgets/kwwidgets-examples_1.0.0~cvs20100930-8_all.deb Package: l2tp-ipsec-vpn Version: 1.0.9-1 Architecture: armhf Maintainer: Werner Jaeger Installed-Size: 589 Depends: gksu (>= 2.0), l2tp-ipsec-vpn-daemon (>= 0.9.8), libc6 (>= 2.13-28), libctemplate2, libgcc1 (>= 1:4.4.0), libltdl7 (>= 2.4.2), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0) Recommends: libengine-pkcs11-openssl (>= 0.1.8-2) Homepage: https://launchpad.net/l2tp-ipsec-vpn Priority: optional Section: net Filename: pool/main/l/l2tp-ipsec-vpn/l2tp-ipsec-vpn_1.0.9-1_armhf.deb Size: 241166 SHA256: c884480245b0c7620ae85fc1e10fc53615626598818e590af4fe5d7a9047b1f6 SHA1: bc649176b6a1c82689967036238c0b95b008faf2 MD5sum: 689512bb35ae48373296673586af9c22 Description: control your L2TP IPsec VPN connections This GUI provides a system tray icon in the notification area from which a non privileged user can establish and bring down L2TP over IPsec VPN connections. . There is also a configuration editor that allows configuring various options for IPsec, L2TP and PPP. Package: l2tp-ipsec-vpn-daemon Version: 0.9.9-1 Architecture: armhf Maintainer: Werner Jaeger Installed-Size: 86 Depends: openswan (>= 2.6.23), xl2tpd (>= 1.2.5), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Homepage: https://launchpad.net/l2tp-ipsec-vpn Priority: optional Section: net Filename: pool/main/l/l2tp-ipsec-vpn-daemon/l2tp-ipsec-vpn-daemon_0.9.9-1_armhf.deb Size: 19398 SHA256: 8c62e1e5439497e954ff069bfc2eecb80dcc25815c1c43da07afcc9c44c28e4a SHA1: 835a334d6c52fc4e28cd52ad51bccfaba8732d71 MD5sum: 90e26e87626526e5367c8ce4e38d3451 Description: daemon for L2tpIPsecVpn GUI This daemon is exclusively used by the L2TP over IPsec Manager GUI application in order to execute openswan and xl2tp commands as root on behalf of the GUI client. . This daemon is only useful in combination with the GUI client installed with the l2tp-ipsec-vpn package. Package: l2tpns Version: 2.1.21-1.1 Architecture: armhf Maintainer: Jonathan McDowell Installed-Size: 399 Depends: libc6 (>= 2.4), libcli1.9 Priority: optional Section: net Filename: pool/main/l/l2tpns/l2tpns_2.1.21-1.1_armhf.deb Size: 164306 SHA256: ebd35c31d8e5189dc566a490cd4bd218b1e5ac98e2de3af6902c2f94d90563ce SHA1: 649a95d792f46ff457603e7fa74fdf7b55b636bd MD5sum: c5c4ce663216e9bc9c5709c3f6ef7e94 Description: layer 2 tunnelling protocol network server (LNS) l2tpns is a daemon designed to terminate large volumes of layer 2 tunnelling protocol (RFC 2661: L2TP) sessions. . The daemon supports up to 65535 sessions plus features such as rate limiting, walled garden, usage accounting, and clustering (for both load-sharing and redundancy). . Note that only the LNS side of the L2TP protocol is implemented, for a more complete L2TP implementation see the l2tpd package. Package: l7-filter-userspace Version: 0.12-beta1-1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 103 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libnetfilter-conntrack3 (>= 0.0.100), libnetfilter-queue1 (>= 0.0.15), libnfnetlink0 (>= 1.0.0), libstdc++6 (>= 4.6) Recommends: l7-protocols Homepage: http://l7-filter.clearfoundation.com/ Priority: extra Section: net Filename: pool/main/l/l7-filter-userspace/l7-filter-userspace_0.12-beta1-1_armhf.deb Size: 34914 SHA256: b09d08bb8f3cdd0242c5125685b9f9b3c59fc32e415e1154b8b74c58f4b38e20 SHA1: 7d35cd4b8335debd53020748739691e8a41d83e2 MD5sum: e03ddaac306a2b083c122c736a11b341 Description: Userspace layer 7 packet classifier This is a version of l7-filter, an application layer packet classifier, that works in userspace instead of the kernel. It is eventually intended to replace the kernel version since running in userspace is much safer and more flexible. However, it is in the early stages of development and NOT yet ready for prime time. Package: l7-protocols Version: 20090528-4 Installed-Size: 696 Maintainer: Debian QA Group Architecture: all Recommends: l7-filter-userspace Size: 65176 SHA256: e0e8d2187c6dcf604e0a128768abc8811a1b857a72dc21491163baa1369b5653 SHA1: c5c5510ebdd3b232e9604f5c7c88e12ad2097149 MD5sum: 492dcf6bb30c029eacdf2f8752a5d08b Description: protocol definitions for the Linux layer 7 packet classifier l7-protocols provides patterns (protocol definitions) for the Linux layer 7 packet classifier (l7-filter). To use them, you need the kernel and iptables patches or the l7-filter userspace version (l7-filter-userspace). Homepage: http://l7-filter.sourceforge.net/ Tag: network::firewall, protocol::bittorrent, protocol::dns, protocol::finger, protocol::ftp, protocol::http, protocol::ident, protocol::imap, protocol::irc, protocol::jabber, protocol::lpr, protocol::msn-messenger, protocol::nntp, protocol::pop3, protocol::smb, protocol::smtp, protocol::snmp, protocol::ssh, protocol::ssl, protocol::telnet, protocol::tftp, protocol::voip, protocol::yahoo-messenger, role::app-data, security::firewall Section: net Priority: extra Filename: pool/main/l/l7-protocols/l7-protocols_20090528-4_all.deb Package: labrea Version: 2.5-stable-3 Architecture: armhf Maintainer: Vincent Bernat Installed-Size: 131 Depends: libc6 (>= 2.13-28), libdumbnet1 (>= 1.8), libpcap0.8 (>= 0.9.8) Homepage: http://labrea.sourceforge.net/labrea-info.html Priority: optional Section: net Filename: pool/main/l/labrea/labrea_2.5-stable-3_armhf.deb Size: 55190 SHA256: 2984811881bbd89b46289ece6a931aae2a04c944fa2e70a2e45bd7b287e3fad5 SHA1: b6e27650805b956566f970a7065cc24defd3199f MD5sum: 01e5b497420e1559abcf02b1ee9bf165 Description: a "sticky" honeypot and IDS LaBrea takes over unused IP addresses, and creates virtual servers that are attractive to worms, crackers, and other denizens of the Internet. . The program answers connection attempts in such a way that the machine at the other end gets "stuck", sometimes for a very long time. Package: laby Version: 0.6.3-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 2070 Depends: liblablgtk2-ocaml-yeug0, liblablgtksourceview2-ocaml-wz3i5, ocaml-base-nox-3.12.1, ocaml-nox | java-compiler | c-compiler | ruby | gprolog Recommends: alsa-utils Suggests: java-compiler, c-compiler, gprolog, ruby, ocaml-nox Homepage: http://www.pps.jussieu.fr/~gimenez/laby/ Priority: extra Section: games Filename: pool/main/l/laby/laby_0.6.3-1_armhf.deb Size: 703766 SHA256: b0f2304ec61e9185351ac77c0a52627caac7ab2688eda3f0e317cf485226ab7f SHA1: 41ddf27100ad454daa5407d0b146086b8d7ed151 MD5sum: fc969c2fc589acd36a28122635536ea8 Description: Learn how to program with ants and spider webs Laby is a small program to learn how to program with ants and spider webs. You have to move an ant out of a labyrinth, avoid spider webs, move rocks, etc. . Using Laby, you can learn OCaml, C and Java. Other bindings can be added later to support new programming languages. Package: lacheck Version: 1.26-14 Architecture: armhf Maintainer: Davide G. M. Salvetti Installed-Size: 97 Depends: libc6 (>= 2.4) Recommends: texlive-latex-base Suggests: auctex Breaks: auctex (<< 9.7l-1) Replaces: auctex (<< 9.7l-1) Homepage: http://www.ctan.org/tex-archive/support/lacheck/ Priority: optional Section: tex Filename: pool/main/l/lacheck/lacheck_1.26-14_armhf.deb Size: 29966 SHA256: c6ce91d58c95a1c08bbbc466fb7eb66d6473086033b10ad1728de46f8a4d52dd SHA1: 2adab54c63d47753f3991ad4c5f6fb092003b754 MD5sum: c7ccd4267b7c083303235f2c888bb848 Description: Simple syntax checker for LaTeX LaCheck is a simple syntax checker for LaTex that is based on a single-pass lexical scanner. This makes clear that there are a lot of LaTeX problems this program cannot find, although it will find most simple mistakes. Complex macro packages may, however, make it completely unusable. . This program was bundled with AUCTeX once upon a time and is best known from there. Package: ladder Version: 0.0.4 Installed-Size: 106 Maintainer: Neil Williams Architecture: all Depends: perl, apt, gnupg, libconfig-inifiles-perl, liblocale-gettext-perl, reprepro Size: 20808 SHA256: c15c7b9a2d5649cbcb0b13b682e9fae2032effe4b76bb2c6ed58ba0332b43573 SHA1: 9b822896371e580a3069f6d949bd98812cde365d MD5sum: 6910d9eecbea8d9c5fd31ae6c3d28f71 Description: Stepwise repository upgrade tool Ladder creates a local repository of all packages required to upgrade a tarball of a chroot to a new milestone or software release. Ladder step repositories are intended to be used on machines after production, rather than during development, providing a way to achieve secure remote upgrades. . The repository can be signed and includes all specified target packages and dependencies. The repository can then be distributed and used to cleanly upgrade multiple devices through the milestones, in sequence, without needing network access. Section: admin Priority: optional Filename: pool/main/l/ladder/ladder_0.0.4_all.deb Package: ladish Version: 1+dfsg0-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 590 Depends: jackd, python-dbus, python, libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libexpat1 (>= 2.0.1), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libuuid1 (>= 2.16) Recommends: a2jmidid Suggests: gladish Homepage: http://ladish.org/ Priority: optional Section: sound Filename: pool/main/l/ladish/ladish_1+dfsg0-3_armhf.deb Size: 175484 SHA256: 0e4fbfa4c831d005b8010a622f09f3e51efe1724d43e8c8f1718ef8eec38b625 SHA1: 6e7f4b1092ca9103fb9194af5e3e8ff35b55c0cb MD5sum: 162512ec6c0dadd8526a85174c6c26cf Description: session management system for JACK applications LADI Session Handler or simply ladish is a session management system for JACK applications on GNU/Linux. Its aim is to allow you to have many different audio programs running at once, to save their setup, close them down and then easily reload the setup at some other time. ladish doesn't deal with any kind of audio or MIDI data itself; it just runs programs, deals with saving/loading (arbitrary) data and connects JACK ports together. It can also be used to move entire sessions between computers, or post sessions on the Internet for download. Package: ladish-dbg Source: ladish Version: 1+dfsg0-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 597 Depends: ladish (= 1+dfsg0-3) Homepage: http://ladish.org/ Priority: extra Section: debug Filename: pool/main/l/ladish/ladish-dbg_1+dfsg0-3_armhf.deb Size: 202262 SHA256: e19d4dd623bf89e54ae76664d4ca724063cecb53a1b05f9a6cffba45f0037aea SHA1: 0e3c66aea0d85c0363e6088155f2bf43289adf48 MD5sum: 206f22a6927b3570d095108d343e5bf1 Description: Debugging symbols for ladish LADI Session Handler or simply ladish is a session management system for JACK applications on GNU/Linux. Its aim is to allow you to have many different audio programs running at once, to save their setup, close them down and then easily reload the setup at some other time. . This package contains the debugging symbols for ladish. Package: laditools Version: 1.0.1-2 Installed-Size: 559 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: gir1.2-vte-2.90, python-gi, python-gi-cairo, python-laditools, python Recommends: gladish Suggests: g15composer Size: 203374 SHA256: 830b03fd48ab5d0fb903e118cb030929f58516cc45a3a21b08a8313a02bb569b SHA1: b201b2e7584671348f80182aff376f5e2fb4bda8 MD5sum: 38aea1add21e25e91ae7da28e384bb80 Description: Linux Audio Desktop Integration Tools LADI Tools is a set of tools aiming to achieve the goals of the LADI project to improve desktop integration and user workflow of Linux audio system based on JACK and ladish. Those tools take advantage of the D-Bus interfaces recently added to JACK and ladish to ease the configuration and use of those two great softwares. . The following tools are included: * g15ladi - a JACK monitor for g15 keyboards * ladi-control-center - graphical configuration tool to setup JACK's configuration * ladi-player - convenient VLC-style application to control JACK and manage studios * ladi-system-log - JACK, ladish and a2jmidid log viewer * ladi-system-tray - system indicator that allows users to start, stop and monitor JACK, as well as start some JACK related applications . This package includes basic set of tools to control and monitor the LADI system, that is JACK and ladish in a D-Bus environment. Homepage: https://launchpad.net/laditools Tag: role::program Section: sound Priority: optional Filename: pool/main/l/laditools/laditools_1.0.1-2_all.deb Package: ladr4-apps Source: ladr Version: 0.0.200902a-2.1 Architecture: armhf Maintainer: Peter Collingbourne Installed-Size: 757 Depends: libc6 (>= 2.7), libladr4 (>= 0.0.200902a), python Recommends: prover9-doc (>> 0.0.200902a), prover9-doc (<< 0.0.200902b) Homepage: http://www.cs.unm.edu/~mccune/mace4/ Priority: optional Section: math Filename: pool/main/l/ladr/ladr4-apps_0.0.200902a-2.1_armhf.deb Size: 345884 SHA256: 584f735b8182a06f5b1a525e9be6d3f10a83e36a7902b8dd82d63b4d0e2b3d64 SHA1: b33c70271c6d0e3ff5bf2df595342fb2b8a24fae MD5sum: 7487a5d47817f8c24acb149320b76c6b Description: the LADR deduction library, miscellaneous applications LADR (Library for Automated Deduction Research) is a library for use in constructing theorem provers. Among other useful routines it provides facilities for applying inference rules such as resolution and paramodulation to clauses. LADR is used by the prover9 theorem prover, and by the mace4 countermodel generator. . This package provides miscellaneous LADR applications. Package: ladspa-sdk Version: 1.13-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 146 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: ladspa-sdk-dev Provides: ladspa-host, ladspa-plugin, ladspa-sdk-dev Homepage: http://www.ladspa.org/ Priority: optional Section: sound Filename: pool/main/l/ladspa-sdk/ladspa-sdk_1.13-1_armhf.deb Size: 40036 SHA256: 2a4c8c4f0dd788ab84d5fa965538013e512467a6224eeeec922e459e5c5fd512 SHA1: 2cc0fce455669bd76261b0ff694f3bbc12314fa2 MD5sum: f2fee2d071783994a3be241d61996c24 Description: sample tools for linux-audio-dev plugin architecture LADSPA is a free standard specification for audio effect plugins. . Contains sample plugins, and analyseplugin, listplugin, applyplugin programs, and the ladspa.h, the LADSPA specification. . Please build-depend on this package if you need ladspa.h Package: ladvd Version: 0.9.2-2 Architecture: armhf Maintainer: Sten Spans Installed-Size: 173 Depends: adduser, lsb-base, pciutils, libc6 (>= 2.13-28), libcap-ng0, libevent-2.0-5 (>= 2.0.10-stable), libpci3 (>= 1:3.1.9-2) Suggests: lsb-release Priority: extra Section: net Filename: pool/main/l/ladvd/ladvd_0.9.2-2_armhf.deb Size: 85034 SHA256: a7db8aea190cde2f09cde6920ced952a4b1c7a79f814696201a0a970be9e3f9e SHA1: a7f1b2ef796d87019b7257c314d5079b7ed599b0 MD5sum: 4739ca3c91a723b6971ab1dff2d4977e Description: minimal LLDP/CDP sender ladvd sends link layer advertisements on all available interfaces. This makes connected hosts visible on managed switches. By default it will run as a privilege-separated daemon. Package: laevateinn Source: bsnes Version: 0.088-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1462 Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0), libx11-6, libxext6 Recommends: bsnes Homepage: http://byuu.org/bsnes/ Priority: optional Section: games Filename: pool/main/b/bsnes/laevateinn_0.088-5_armhf.deb Size: 591932 SHA256: 40cf31c8161405f53334c9c176e458ec9ef0f414da3d573f0a3b354f762bd6bf SHA1: 60d5a18f078d2588989bb4f4c5ce9a79e5a68f41 MD5sum: 68532a1c56e823e7b4152deec4e2fcac Description: bsnes SNES/SuperFamicom debugger laevateinn is a debugger for SNES/SuperFamicom games based on the bsnes emulator. It is intended for developers creating or modifying SNES games. Package: lakai Version: 0.1-1 Architecture: armhf Maintainer: Free Ekanayaka Installed-Size: 184 Depends: libc6 (>= 2.7) Priority: optional Section: sound Filename: pool/main/l/lakai/lakai_0.1-1_armhf.deb Size: 40792 SHA256: 1f3d252efa55cee12a3e233d906498893a510f5dd5775d29b57c0f547dc39207 SHA1: c197bf5beb1abf2085d1294d1f353a305ad42bb1 MD5sum: 13d5de0c433e856637d22221e76592e7 Description: transfers samples between a PC and an AKAI sampler Lakai is a small set of tools (+ a link library) used to transfer sampler data (programs, samples) between a PC with a SCSI host adapter and an AKAI sampler (S1000, S2000..). . The current tools allow an easy way to create a full backup of the sampler's memory contents to the PC and a full restore of this data back from the PC to the sampler. . Future versions might contain more fine-grained control over the data you exchange, but this is still in planning stage. Package: lam-mpidoc Source: lam Version: 7.1.4-3 Installed-Size: 330 Maintainer: Camm Maguire Architecture: all Replaces: mpi-doc Conflicts: mpi-doc Size: 302154 SHA256: 8acdf27febf3021bebb787120ea72da045d0473ea63ecc0b43706e1327747816 SHA1: 2d52479d389b32e6a97765fa401f219f7017933e MD5sum: caf62249a56de3d51dfda222748a817e Description: Documentation for the Message Passing Interface standard This package contains man pages describing the Message Passing Interface standard. . These pages are newly provided by the LAM package, and are also found in the mpi-doc package build from the mpich source package. Tag: made-of::man, network::configuration, role::documentation Section: doc Priority: extra Filename: pool/main/l/lam/lam-mpidoc_7.1.4-3_all.deb Package: lam-runtime Source: lam Version: 7.1.4-3 Architecture: armhf Maintainer: Camm Maguire Installed-Size: 1327 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblam4, libstdc++6 (>= 4.4.0), openssh-client | ssh-client | rsh-client, openssh-server | ssh-server | rsh-server Conflicts: lam, lam1-runtime, lam4-dev (<= 7.1.2-2) Replaces: lam, lam1-runtime, lam4-dev (<= 7.1.2-2) Priority: extra Section: utils Filename: pool/main/l/lam/lam-runtime_7.1.4-3_armhf.deb Size: 997556 SHA256: 27d80fe4bc097be8d4f70f531e19052be41199bd6a894858005c294eaba3c03f SHA1: 166bb7604f70402592d9a09132540eed283f0048 MD5sum: 8b89b2a2c727215a044dc6287393114a Description: LAM runtime environment for executing parallel programs LAM (Local Area Multicomputer) is an open source implementation of the Message Passing Interface (MPI) standard. . Some enhancements in LAM 6.3 are: o Added the MPI-2 C++ bindings package (chapter 10 from the MPI-2 standard) from the Laboratory for Scientific Computing at the University of Notre Dame. o Added ROMIO MPI I/O package (chapter 9 from the MPI-2 standard) from the Argonne National Laboratory. o Pseudo-tty support for remote IO (e.g., line buffered output). o Ability to pass environment variables through mpirun. o Ability to mpirun shell scripts/debuggers/etc. (that eventually run LAM/MPI programs). o Ability to execute non-MPI programs across the multicomputer. o Added configurable ability to zero-fill internal LAM buffers before they are used (for development tools such as Purify). o Greatly expanded error messages; provided for customizable local help files. o Expanded and updated documentation. o Various bug fixes and minor enhancements. Package: lam4-dev Source: lam Version: 7.1.4-3 Architecture: armhf Maintainer: Camm Maguire Installed-Size: 5412 Depends: liblam4 (= 7.1.4-3), libc6-dev Conflicts: lam, lam-dev, lam-runtime (<= 7.1.2-2), lam1-dev, mpi-doc (<< 1.2.7-4) Replaces: lam, lam-dev, lam-runtime (<= 7.1.2-2), lam1-dev, lam2-dev, lam3-dev Provides: lam-dev Priority: extra Section: devel Filename: pool/main/l/lam/lam4-dev_7.1.4-3_armhf.deb Size: 1787556 SHA256: fcc1106106427ed5327f2f4ec05f51de776291da5ed3859366d33b9601bf272b SHA1: 5d9fecf876d2585e87066187663a7acec731335b MD5sum: d5090e293fcef23001e6b7ac5a8958b4 Description: Development of parallel programs using LAM LAM (Local Area Multicomputer) is an open source implementation of the Message Passing Interface (MPI) standard. . This package provides the development headers and related files. Package: lame Version: 3.99.5+repack1-3+deb7u1 Architecture: armhf Maintainer: Debian multimedia packages maintainers Installed-Size: 323 Depends: libc6 (>= 2.13-28), libmp3lame0, libncurses5 (>= 5.5-5~), libtinfo5 Homepage: http://lame.sourceforge.net/ Priority: optional Section: sound Filename: pool/main/l/lame/lame_3.99.5+repack1-3+deb7u1_armhf.deb Size: 279814 SHA256: a872253bc56d52a7ca4097c89d6e9dc340d96f9bb1475eb389ef4289869db0e6 SHA1: d3931e12945ebf6064827a128822d9421f1ce967 MD5sum: aacb0132ca5260eb022309da340977d9 Description: MP3 encoding library (frontend) LAME (LAME Ain't an MP3 Encoder) is a research project for learning about and improving MP3 encoding technology. LAME includes an MP3 encoding library, a simple frontend application, and other tools for sound analysis, as well as convenience tools. . This package contains the frontend. Package: lame-doc Source: lame Version: 3.99.5+repack1-3+deb7u1 Installed-Size: 483 Maintainer: Debian multimedia packages maintainers Architecture: all Size: 296004 SHA256: d07ac2f8f08bc2fdf3fce72cc583467d3f7fe784f9b932b84047cd152ba21604 SHA1: f3c4c40dfc09d3737cd827631e8f94289d44feb9 MD5sum: 568fee660f20860b930aa39987ee5a24 Description: MP3 encoding library (documentation) LAME (LAME Ain't an MP3 Encoder) is a research project for learning about and improving MP3 encoding technology. LAME includes an MP3 encoding library, a simple frontend application, and other tools for sound analysis, as well as convenience tools. . This package contains the documentation. Homepage: http://lame.sourceforge.net/ Tag: devel::doc, devel::examples, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/l/lame/lame-doc_3.99.5+repack1-3+deb7u1_all.deb Package: lammps Version: 0~20120615.gite442279-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 5745 Depends: libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libopenmpi1.3, libstdc++6 (>= 4.4.0) Recommends: lammps-doc, mpi-default-bin Homepage: http://lammps.sandia.gov/ Priority: extra Section: science Filename: pool/main/l/lammps/lammps_0~20120615.gite442279-1_armhf.deb Size: 2441746 SHA256: 40db11a47d49e9252889ccc22dcd15713a591c204e1851a8cfc39b4f7b471377 SHA1: e327587108d4ae182fd20e0e9edaf3ce29d6abc8 MD5sum: 0968e3789479304fdd973cb478c01d99 Description: Molecular Dynamics Simulator. LAMMPS is a classical molecular dynamics code, and an acronym for Large-scale Atomic/Molecular Massively Parallel Simulator. . LAMMPS has potentials for soft materials (biomolecules, polymers) and solid-state materials (metals, semiconductors) and coarse-grained or mesoscopic systems. It can be used to model atoms or, more generically, as a parallel particle simulator at the atomic, meso, or continuum scale. . LAMMPS runs on single processors or in parallel using message-passing techniques and a spatial-decomposition of the simulation domain. The code is designed to be easy to modify or extend with new functionality. Package: lammps-doc Source: lammps Version: 0~20120615.gite442279-1 Installed-Size: 26822 Maintainer: Debian Science Maintainers Architecture: all Size: 23385428 SHA256: 12816038b15456dc93091b627ede113bec923c400882a3fbdd6df8e1e759ad0e SHA1: d496126004d77a5555497659198a0db0e2f6a5bd MD5sum: f4a7d2a094d5cfa1cfb4e6d3cda614cd Description: Molecular Dynamics Simulator. Documentation and examples. LAMMPS is a classical molecular dynamics code, and an acronym for Large-scale Atomic/Molecular Massively Parallel Simulator. . LAMMPS has potentials for soft materials (biomolecules, polymers) and solid-state materials (metals, semiconductors) and coarse-grained or mesoscopic systems. It can be used to model atoms or, more generically, as a parallel particle simulator at the atomic, meso, or continuum scale. . LAMMPS runs on single processors or in parallel using message-passing techniques and a spatial-decomposition of the simulation domain. The code is designed to be easy to modify or extend with new functionality. Homepage: http://lammps.sandia.gov/ Section: doc Priority: extra Filename: pool/main/l/lammps/lammps-doc_0~20120615.gite442279-1_all.deb Package: landell Version: 0.92.1-1.1 Installed-Size: 482 Maintainer: Thadeu Lima de Souza Cascardo Architecture: all Depends: python (>= 2.6.6-7~), gstreamer0.10-plugins-base (>= 0.10.19), gstreamer0.10-plugins-good (>= 0.10.8), gstreamer0.10-plugins-bad (>= 0.10.7), gstreamer0.10-ffmpeg (>= 0.10.4), gstreamer0.10-alsa (>= 0.10.19), gstreamer0.10-x (>= 0.10.19), gstreamer0.10-hplugins (>= 0.2.0), gstreamer0.10-videomixer2 | gstreamer0.10-plugins-good (>= 0.10.26), python-gst0.10 (>= 0.10.12), python-configobj (>= 4.5.2), python-gobject (>= 2.14.2), python-gtk2 (>= 2.16) Size: 51348 SHA256: 5daa3808b2987d1e3a13d83b4caee7682b49bdd1318acd4fdd15d890f788a186 SHA1: 2e86d976fa5a325df7aaaa71ad6591b8f8a35620 MD5sum: 56dcf99b5fd148b7f56f013ef8e945ff Description: audio and video streams manager Landell is an audio and video streamer that receives streams from sources and sends a stream using icecast. It has a graphical interface that shows preview and allows applying dynamic effects and configuring stream. Homepage: http://landell.holoscopio.com Tag: role::program, works-with::video Section: video Priority: optional Filename: pool/main/l/landell/landell_0.92.1-1.1_all.deb Package: langdrill Version: 0.3-7 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 161 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.3.0), libvdk2-2c2 (>= 2.0.3-3) Recommends: xfonts-intl-japanese Suggests: skkinput | kinput2 | kinput2-wnn | kinput2-canna Priority: optional Section: text Filename: pool/main/l/langdrill/langdrill_0.3-7_armhf.deb Size: 49040 SHA256: b9c5b84bfa885e8e14a2f3db90958d2a749c5efee99d8e05dd70be8fc46751ca SHA1: 3c0ac49f0130244219c58581e09bdd34f1d39527 MD5sum: 770ce2c6212c8b45654c76c1d8c3f423 Description: language drills to test vocabulary langdrill is a helper for testing your foreign language vocabulary. It uses GTK+ toolkit and VDK (a C++ wrapper arround GTK+). langdrill looks similar to JavaDrill. . Support for displaying Japanese characters is included. For this, Japanese fonts must be installed on your system, for instance the xfonts-intl-japanese package. Package: laptop-detect Version: 0.13.7 Architecture: armhf Maintainer: Otavio Salvador Installed-Size: 42 Priority: optional Section: utils Filename: pool/main/l/laptop-detect/laptop-detect_0.13.7_armhf.deb Size: 5226 SHA256: 00d232739f548fd044fd21a8dd9a4c0c0f40aac49f1c865da5867b96bf3007c4 SHA1: 29864de4c27e5865d705e5597b49200a6a96eb34 MD5sum: a2c15cbe256435aba87bcf5b188ddce0 Description: attempt to detect a laptop laptop-detect attempts to determine whether it is being run on a laptop or a desktop and appraises its caller of this. Package: laptop-mode-tools Version: 1.61-2 Installed-Size: 253 Maintainer: Ritesh Raj Sarraf Architecture: all Depends: lsb-base (>= 3.0-10), util-linux (>= 2.13), psmisc Recommends: hdparm, sdparm, ethtool, net-tools, wireless-tools, udev Suggests: acpid | apmd | pbbuttonsd | pmud, hal Conflicts: noflushd Size: 112416 SHA256: aaec175637afd8f4da6690237e1a550dcd0b1781d929a89ebcd01cea2866151b SHA1: f6e76ba7d5969a5071860306180c6466f3ff5f1f MD5sum: 8e3eddeefc090891ae75e679bdcc68ae Description: Tools for Power Savings based on battery/AC status Laptop mode is a Linux kernel feature that allows your laptop to save considerable power, by allowing the hard drive to spin down for longer periods of time. This package contains the userland scripts that are needed to enable laptop mode. . It includes support for automatically enabling laptop mode when the computer is working on batteries. It also supports various other power management features, such as starting and stopping daemons depending on power mode, automatically hibernating if battery levels are too low, and adjusting terminal blanking and X11 screen blanking . laptop-mode-tools uses the Linux kernel's Laptop Mode feature and thus is also used on Desktops and Servers to conserve power Homepage: http://www.samwel.tk/laptop_mode/ Tag: admin::kernel, admin::power-management, hardware::laptop, hardware::power, hardware::power:acpi, implemented-in::shell, interface::commandline, role::program, scope::utility Section: utils Priority: optional Filename: pool/main/l/laptop-mode-tools/laptop-mode-tools_1.61-2_all.deb Package: larswm Version: 7.5.3-6 Architecture: armhf Maintainer: Edelhard Becker Installed-Size: 220 Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxmu6, libxt6 Provides: x-window-manager Homepage: http://larswm.fnurt.net/ Priority: optional Section: x11 Filename: pool/main/l/larswm/larswm_7.5.3-6_armhf.deb Size: 107324 SHA256: 82ee33c7ffdf001187090e3982bcb0dd1b4c1dee507f3eb8fd6083fe05b40a16 SHA1: 4ae3c239359ff5ac72f24dd38c703e7d225ea580 MD5sum: 3f7067dad3288fae0b20b69b4d63f59f Description: Lars Window Manager with tiled windows larswm is a hack for 9wm, adding automatic window tiling, virtual desktops and many other features designed to make it a very efficient user environment. It uses very little CPU time and virtual memory. . Author: Lars Bernhardsson Package: last-align Version: 199-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 804 Pre-Depends: dpkg (>= 1.15.6) Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Recommends: python, python-imaging Homepage: http://last.cbrc.jp/ Priority: optional Section: science Filename: pool/main/l/last-align/last-align_199-1_armhf.deb Size: 434828 SHA256: 3b07f4fa4cc98c3d997b15c39490f8a83a224458547f7b2d445118b2fbe1d0c7 SHA1: accf18c561a165fc62c1a827ad80456a8abb8201 MD5sum: 2f152f14150fb3f3e25cade246458432 Description: genome-scale comparison of biological sequences LAST is software for comparing and aligning sequences, typically DNA or protein sequences. LAST is similar to BLAST, but it copes better with very large amounts of sequence data. Here are two things LAST is good at: . * Comparing large (e.g. mammalian) genomes. * Mapping lots of sequence tags onto a genome. . The main technical innovation is that LAST finds initial matches based on their multiplicity, instead of using a fixed size (e.g. BLAST uses 10-mers). This allows one to map tags to genomes without repeat-masking, without becoming overwhelmed by repetitive hits. To find these variable-sized matches, it uses a suffix array (inspired by Vmatch). To achieve high sensitivity, it uses a discontiguous suffix array, analogous to spaced seeds. Package: lastfm Version: 1:1.5.4.27091+dfsg1-1 Architecture: armhf Maintainer: John Stamp Installed-Size: 3936 Depends: gconf2 (>= 2.28.1-2), libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgpod4-nogtk (>= 0.6.0) | libgpod4 (>= 0.6.0), libmad0 (>= 0.15.1b-3), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6, zlib1g (>= 1:1.1.4) Recommends: iceweasel | www-browser, libqt4-sql-sqlite Homepage: http://www.last.fm/ Priority: optional Section: sound Filename: pool/main/l/lastfm/lastfm_1.5.4.27091+dfsg1-1_armhf.deb Size: 1590382 SHA256: 4895ca4fd64226989bbb42c4b0a5c5de2c25cdfa241d8bb5d5db47358f2eb0cd SHA1: 922689e3f530f7cd73c86d9baecc991a564625f1 MD5sum: 5420175b6c2226f8abc95fa31813a30a Description: music player for Last.fm personalized radio Last.fm is the flagship product from the team that designed the Audioscrobbler system, a music engine based on a massive collection of Music Profiles. Each music profile belongs to one person, and describes their taste in music. Last.fm uses these music profiles to make personalized recommendations, match you up with people who like similar music, and generate custom radio stations for each person. . This package will allow you to play your personalized radio station streams from the Last.fm website. You will need a (free) account there to make use of this package. Package: lastfmproxy Version: 1.3b-2 Installed-Size: 172 Maintainer: Romain Beauxis Architecture: all Depends: python, adduser Size: 35068 SHA256: e7d527ade7380f8182acb07f6e778399fc226c65c6cc0c80098cff660be497e4 SHA1: 600b97981785adeca65180c14e801ad57f51316d MD5sum: 8043936ff068afe9b647e9eedef914be Description: proxy server for the last.fm radio streams LastFMProxy allows you to use your regular old audio player to listen to the last.fm streams. It does this by acting as a player itself, connecting to the server on your behalf, but instead of playing the stream, it simply relays it to whichever other application connecting to it. Tag: implemented-in::python, interface::commandline, network::client, network::server, protocol::http, role::program, use::proxying, works-with-format::mp3, works-with::audio Section: sound Priority: extra Filename: pool/main/l/lastfmproxy/lastfmproxy_1.3b-2_all.deb Package: lastfmsubmitd Version: 1.0.6-4 Installed-Size: 147 Maintainer: Debian QA Group Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, adduser, python, python-support (>= 0.90.0) Suggests: ears Size: 31938 SHA256: 81001e8432d492ab4e081f3a07033497a21b8653f2ea7ba6cc3227eb3e7f9ea2 SHA1: 013b59e852349dbeaba71d1f6ed36ae89b306d08 MD5sum: a67b8a33ba3000ab91a66c547b4bb1a9 Description: submission daemon for the Last.fm social music network lastfmsubmitd collects information from audio player plugins about what songs a user has listened to, submits them to Last.fm, and saves submissions if no servers are reachable. A helper program and a Python interface are provided to assist in writing player plugins. Tag: network::server, protocol::http, role::program Section: sound Priority: optional Filename: pool/main/l/lastfmsubmitd/lastfmsubmitd_1.0.6-4_all.deb Package: lastmp Source: lastfmsubmitd Version: 1.0.6-4 Installed-Size: 72 Maintainer: Debian QA Group Architecture: all Provides: mpd-client Depends: debconf (>= 0.5) | debconf-2.0, lastfmsubmitd, python-mpdclient (>= 0.11.0), python Size: 18118 SHA256: db528e3cb8c25aca0337b34b4ba8faa44b82cde5b46a2cf60e941aa83a5d67fc SHA1: 002d0c639fc3036f15353187869431cbef78c75e MD5sum: c60da3da9be06a180a08c370edae93ce Description: MPD client for lastfmsubmitd lastmp listens to an instance of MPD and sends information about played songs to the Last.fm submission daemon. Tag: implemented-in::python, network::client, role::plugin, works-with::audio Section: sound Priority: optional Filename: pool/main/l/lastfmsubmitd/lastmp_1.0.6-4_all.deb Package: lat Version: 1.2.3-10 Installed-Size: 1439 Maintainer: Eric Dorland Architecture: all Depends: mono-runtime (>= 2.10.1), libc6 (>= 2.13) | libc6.1 (>= 2.13) | libc0.1 (>= 2.13), libgconf2.0-cil (>= 2.24.0), libglade2.0-cil (>= 2.12.10-1ubuntu1), libglib2.0-0 (>= 2.32.3), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgnome-keyring0 (>= 2.23.5), libgnome2.24-cil (>= 2.24.0), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-corlib4.0-cil (>= 2.10.1), libmono-ldap4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-security4.0-cil (>= 2.10.1), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7) Size: 222308 SHA256: 6a57ed1cca42bac184a1f04d850e2a8d1396a16f73c710cd3719fadd02bf4393 SHA1: 85f49d9d496d649c54f843cf68f63f1a21848dec MD5sum: 06ed71c5b98599b64a8046e3b3b13167 Description: LDAP Administration Tool LAT stands for LDAP Administration Tool. The tool allows you to browse LDAP-based directories and add/edit/delete entries contained within. It can store profiles for quick access to different servers. Multi-Arch: foreign Homepage: http://sourceforge.net/projects/ldap-at/ Tag: implemented-in::c-sharp, protocol::ldap, role::program, uitoolkit::gtk Section: net Priority: optional Filename: pool/main/l/lat/lat_1.2.3-10_all.deb Package: latd Version: 1.32 Architecture: armhf Maintainer: Christine Caulfield Installed-Size: 229 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), liblockdev1, libstdc++6 (>= 4.6) Priority: extra Section: net Filename: pool/main/l/latd/latd_1.32_armhf.deb Size: 87100 SHA256: 7a65db1d3372feda77f61fddc5d09f8d86edfb453e7ad288ee6aa7b60c4f4ed6 SHA1: 0f4e7eaf8b92c83bd71e9f079ec9bddb27283bde MD5sum: ea61dbf5eb73914c5f2c1098054e5bc1 Description: LAT (Local Area Transport) Daemon This is a server for DEC LAT. It allows users to log in to the Linux system from a LAT terminal server and also the creation of "reverse" LAT ports on the system that connect to other LAT services on the network. . There is also an llogin utility that allows users to login to remote LAT services and a moprc utility for managing terminal servers remotely. Package: late Version: 0.1.0-12 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 134 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), late-data (>= 0.1.0-5) Homepage: http://late.sourceforge.net/ Priority: optional Section: games Filename: pool/main/l/late/late_0.1.0-12_armhf.deb Size: 43034 SHA256: d3ebac4e72ee492128d5486d6ca6d10fccdd1b9bd022bfeb2b0e9359b31db892 SHA1: af4134aed42155d8668f1a06effc49af58ed37ed MD5sum: 645077606013c796cd64c68338cf663c Description: simple game of capturing balls This is a simple and fun game, which involves using your mouse based guillotine to trap bouncing balls. . Trapping balls is achieved by firing your guillotine to split the playing area into little boxes, once enough of the screen has been covered you progress to the next level. Package: late-data Source: late Version: 0.1.0-12 Installed-Size: 3440 Maintainer: Debian Games Team Architecture: all Suggests: late Size: 1325302 SHA256: f333325ef0591da5acd9386b6da37e6876a1b481ec80b2c2f5a0acf9ccff7577 SHA1: 5f0321e0c7fc3e199123f9174b2b21f10230615b MD5sum: 4312fb47b34c21750f5cd73486cece69 Description: data files for late game late is a simple ball capturing game . This package contains sound and level data for the game. . You need the late package to use these data files Homepage: http://late.sourceforge.net/ Tag: game::arcade, role::app-data, uitoolkit::sdl Section: games Priority: optional Filename: pool/main/l/late/late-data_0.1.0-12_all.deb Package: latencytop Version: 0.5 Architecture: armhf Maintainer: Giacomo Catenazzi Installed-Size: 87 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libncursesw5 (>= 5.6+20070908), libtinfo5 Homepage: http://www.latencytop.org/ Priority: extra Section: utils Filename: pool/main/l/latencytop/latencytop_0.5_armhf.deb Size: 31000 SHA256: ceb4a6691bafa1bfe7a00ac829b77b9cba730f9c142d324a3a7a5c1e006cbeae SHA1: 37085acd968df865bd1fdaf85455183ef07f5937 MD5sum: f943ed0f117a4818a22b89fa8f150725 Description: A tool for developers to visualize system latencies LatencyTOP is a Linux tool for software developers (both kernel and userspace), aimed at identifying where in the system latency is happening, and what kind of operation/action is causing the latency to happen so that the code can be changed to avoid the worst latency hiccups. Package: latex-beamer Version: 3.10-2 Installed-Size: 3190 Maintainer: OHURA Makoto Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 3), pgf (>= 1.00-1), latex-xcolor (>= 2.00-1), texlive-latex-base Size: 2578804 SHA256: 285b0ebbc268f61c0880134e69886701394127f1b37ffd2f9d02a6f32e581b95 SHA1: ce8901604ea43edab756349c15ec916bfca64d77 MD5sum: 056e85131e865d0491865aa445fb3e7d Description: LaTeX class to produce presentations The beamer class is a LaTeX class that allows you to create a beamer presentation. It can also be used to create slides. It behaves similarly to other packages like Prosper, but has the advantage that it works together directly with pdflatex, but also with dvips. . Once you have installed the beamer class, the basic steps to create a beamer presentation are the following: - Specify beamer as document class instead of article. - Structure your LaTeX text using \section and \subsection commands. - Place the text of the individual slides inside \frame commands. - Run pdflatex on the text (or latex and dvips). . The beamer class has several useful features: You don't need any external programs to use it other than pdflatex, but it works also with dvips. You can easily and intuitively create sophisticated overlays. Finally, you can easily change the whole slide theme or only parts of it. Tag: office::presentation, role::plugin, use::typesetting, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/l/latex-beamer/latex-beamer_3.10-2_all.deb Package: latex-cjk-all Source: cjk Version: 4.8.3+git20120621-1 Installed-Size: 21 Maintainer: Debian TeX maintainers Architecture: all Depends: latex-cjk-common (>= 4.8.3+git20120621-1), latex-cjk-chinese (>= 4.8.3+git20120621-1), latex-cjk-japanese (>= 4.8.3+git20120621-1), latex-cjk-korean (= 4.8.3+git20120621-1), latex-cjk-thai (= 4.8.3+git20120621-1), latex-cjk-chinese-arphic-bsmi00lp, latex-cjk-chinese-arphic-bkai00mp, latex-cjk-chinese-arphic-gbsn00lp, latex-cjk-chinese-arphic-gkai00mp, latex-cjk-japanese-wadalab Conflicts: cjk-latex (<= 4.5.1) Size: 904 SHA256: 000b7757ee95af315af85ebbf1ee4494547adb86c0682d7e889dc770a2fe6cb7 SHA1: 2e3de84047eadae13dda6df57b047b855a22a838 MD5sum: 904e63d2daeea589c871347ff91f532d Description: installs all LaTeX CJK packages This package will install all CJK packages. You may also wish to install each package separately instead. See the latex-cjk-common package for a detailed description. Homepage: http://cjk.ffii.org/ Tag: culture::chinese, culture::japanese, culture::korean, culture::taiwanese, culture::thai, field::linguistics, made-of::font, made-of::man, made-of::pdf, made-of::postscript, made-of::tex, role::metapackage, use::text-formatting, use::typesetting, works-with-format::dvi, works-with-format::pdf, works-with-format::postscript, works-with-format::sgml, works-with-format::tex, works-with::font, works-with::text, works-with::unicode Section: tex Priority: optional Filename: pool/main/c/cjk/latex-cjk-all_4.8.3+git20120621-1_all.deb Package: latex-cjk-chinese Source: cjk Version: 4.8.3+git20120621-1 Architecture: armhf Maintainer: Debian TeX maintainers Installed-Size: 246 Depends: latex-cjk-common (= 4.8.3+git20120621-1), tex-common (>= 3), dpkg (>= 1.14.18), libc6 (>= 2.13-28) Recommends: latex-cjk-chinese-arphic-bsmi00lp, latex-cjk-chinese-arphic-bkai00mp, latex-cjk-chinese-arphic-gbsn00lp, latex-cjk-chinese-arphic-gkai00mp Suggests: hbf-cns40-b5, hbf-jfs56 Conflicts: cjk-latex (<= 4.5.1), tfm-arphic-bkai00mp (<= 2.11.2-0.1), tfm-arphic-bsmi00lp (<= 2.11.2-0.1), tfm-arphic-gbsn00lp (<= 2.11.2-0.1), tfm-arphic-gkai00mp (<= 2.11.2-0.1) Homepage: http://cjk.ffii.org/ Priority: optional Section: tex Filename: pool/main/c/cjk/latex-cjk-chinese_4.8.3+git20120621-1_armhf.deb Size: 62884 SHA256: cdc1c82a875f2cb05645eaff328befed50ba5e125242fc1a6460d2516220eb29 SHA1: 1b53cc52e0d0cdb5e54a33c5893c58babf86dab7 MD5sum: d435d4cee520bf7247b8fa6eb1d3124e Description: Chinese module of LaTeX CJK CJK is a macro package for LaTeX. This package gives you the possibility to include Chinese (traditional and simplified) text in your (La)TeX documents. . Install hbf-jfs56 if you want to use bitmap fonts in simplified Chinese. Install hbf-cns40-b5 if you want bitmap fonts in traditional Chinese. Install hbf-cns40-[1-7] if you want CEF bitmap fonts. This is only needed if you need extremely rare and exotic Chinese characters. . Have a look at latex-cjk-common for a more detailed description. Package: latex-cjk-chinese-arphic-bkai00mp Source: latex-cjk-chinese-arphic Version: 1.22 Installed-Size: 13739 Maintainer: Debian TeX maintainers Architecture: all Provides: tfm-arphic-bkai00mp Depends: dpkg (>= 1.14.18), tex-common (>= 3) Suggests: fonts-arphic-bkai00mp Size: 12709200 SHA256: 66745c1803614d4b042697f5a9c3f900332563724dc712f69039b47fd7e9835c SHA1: 1163c546c398d27e107e016b51268c4d8ebf661b MD5sum: 5c1c1e7b18392e304026a9e47de915c5 Description: traditional Chinese KaiTi fonts for CJK This package installs all necessary fonts (PFB, AFM, TFM, etc.) to get the "AR PL KaitiM Big5" font working with the LaTeX macro "CJK" in local and UTF-8 environments. Homepage: ftp://ftp.gnu.org/non-gnu/chinese-fonts-truetype/ Tag: culture::chinese, made-of::font, role::data Section: fonts Priority: optional Filename: pool/main/l/latex-cjk-chinese-arphic/latex-cjk-chinese-arphic-bkai00mp_1.22_all.deb Package: latex-cjk-chinese-arphic-bsmi00lp Source: latex-cjk-chinese-arphic Version: 1.22 Installed-Size: 12705 Maintainer: Debian TeX maintainers Architecture: all Provides: tfm-arphic-bsmi00lp Depends: dpkg (>= 1.14.18), tex-common (>= 3) Suggests: fonts-arphic-bsmi00lp Size: 11614884 SHA256: d1a14d8d141eabdf7e555a6a837565b784c1039b9acaff3afa1585df36864f88 SHA1: e2e452a05efcf327b177c35521d5cbccbec907cf MD5sum: c840984113d8c46633ef02df743c7d99 Description: traditional Chinese KaiTi fonts for CJK This package installs all necessary fonts (PFB, AFM, TFM, etc.) to get the "AR PL Mingti2L Big5" font working with the LaTeX macro "CJK" in local and UTF-8 environments. Homepage: ftp://ftp.gnu.org/non-gnu/chinese-fonts-truetype/ Tag: culture::chinese, made-of::font, role::data Section: tex Priority: optional Filename: pool/main/l/latex-cjk-chinese-arphic/latex-cjk-chinese-arphic-bsmi00lp_1.22_all.deb Package: latex-cjk-chinese-arphic-gbsn00lp Source: latex-cjk-chinese-arphic Version: 1.22 Installed-Size: 6060 Maintainer: Debian TeX maintainers Architecture: all Provides: tfm-arphic-gbsn00lp Depends: dpkg (>= 1.14.18), tex-common (>= 3) Suggests: fonts-arphic-gbsn00lp Size: 5296138 SHA256: 9f2c058c4f5aacdf44028d48a8e7fef1e13cd20ad5fa7270d0959c9bbaa83ade SHA1: e9a317180e6c3df857fe4fbdf9bc3c72332e51c4 MD5sum: f13c1ebc6e4784c2042c9a7de05d25c1 Description: traditional Chinese KaiTi fonts for CJK This package installs all necessary fonts (PFB, AFM, TFM, etc.) to get the "AR PL SungtiL GB" font working with the LaTeX macro "CJK" in local and UTF-8 environments. Homepage: ftp://ftp.gnu.org/non-gnu/chinese-fonts-truetype/ Tag: culture::chinese, made-of::font, role::data Section: tex Priority: optional Filename: pool/main/l/latex-cjk-chinese-arphic/latex-cjk-chinese-arphic-gbsn00lp_1.22_all.deb Package: latex-cjk-chinese-arphic-gkai00mp Source: latex-cjk-chinese-arphic Version: 1.22 Installed-Size: 6588 Maintainer: Debian TeX maintainers Architecture: all Provides: tfm-arphic-gkai00mp Depends: dpkg (>= 1.14.18), tex-common (>= 3) Suggests: fonts-arphic-gkai00mp Size: 5852492 SHA256: 2d6b3b4846135624316bbf525b7f603b522f936e218d62cef98436de0df59b14 SHA1: 1f8abd539fc8abb8d6f789030e7f4188d4a4ebf3 MD5sum: 7f1dacf78e0bbf58f811ab8b25a7ff80 Description: traditional Chinese KaiTi fonts for CJK This package installs all necessary fonts (PFB, AFM, TFM, etc.) to get the "AR PL KaitiM GB" font working with the LaTeX macro "CJK" in local and UTF-8 environments. Homepage: ftp://ftp.gnu.org/non-gnu/chinese-fonts-truetype/ Tag: culture::chinese, made-of::font, role::data Section: tex Priority: optional Filename: pool/main/l/latex-cjk-chinese-arphic/latex-cjk-chinese-arphic-gkai00mp_1.22_all.deb Package: latex-cjk-common Source: cjk Version: 4.8.3+git20120621-1 Architecture: armhf Maintainer: Debian TeX maintainers Installed-Size: 746 Depends: texlive-latex-base, texlive-font-utils (>= 2007.dfsg.2-1), tex-common (>= 3), dpkg (>= 1.14.18), libc6 (>= 2.13-28), libkpathsea6 Conflicts: cjk-latex (<= 4.5.1), latex-cjk Replaces: cjk-latex Provides: cjk-latex Homepage: http://cjk.ffii.org/ Priority: optional Section: tex Filename: pool/main/c/cjk/latex-cjk-common_4.8.3+git20120621-1_armhf.deb Size: 267044 SHA256: 56bef901b1125730485e2d5797c4d0cc1b0f94dcdcacb34fa0f8e9c1357bd7f0 SHA1: c8de7599d77dee60264e4638801470c214bb799b MD5sum: 449e62d9af0c38f676453e740dd145ae Description: LaTeX macro package for CJK (Chinese/Japanese/Korean) CJK is a macro package for LaTeX to enable typesetting in Chinese, Japanese, Korean and Thai, and it supports Vietnamese through the "vntex" macro. And you can still use Russian, Greek and other languages in the same document. It supports various CJK encodings, like UTF-8, Big5, GB, JIS, KS, CNS (through CEF) and Emacs MULE. . This package will install the common files, as well as a few GNU/Emacs Lisp files, and it provides support for furigana ("ruby text") and PinYin, either with or without tone marks. Package: latex-cjk-japanese Source: cjk Version: 4.8.3+git20120621-1 Architecture: armhf Maintainer: Debian TeX maintainers Installed-Size: 211 Depends: latex-cjk-common (= 4.8.3+git20120621-1), tex-common (>= 3), dpkg (>= 1.14.18), libc6 (>= 2.13-28) Recommends: latex-cjk-japanese-wadalab Suggests: hbf-kanji48 Conflicts: cjk-latex (<= 4.5.1) Homepage: http://cjk.ffii.org/ Priority: optional Section: tex Filename: pool/main/c/cjk/latex-cjk-japanese_4.8.3+git20120621-1_armhf.deb Size: 42240 SHA256: a532ff87a3608749b4f84c156d4433059a5b0139e8db5a33c8a188fa6e8857a1 SHA1: c407ec91f7052f12e62dc3681b65ee6dfc9ace44 MD5sum: 03297328802a3ab76003552623ce3dec Description: Japanese module of LaTeX CJK CJK is a macro package for LaTeX. This package gives you the possibility to include Japanese text in your (La)TeX documents. Install latex-cjk-japanese-wadalab for pretty printing. . Install hbf-kanji48 if you want to use bitmap fonts in your documents. . Have a look at latex-cjk-common for a more detailed description. Package: latex-cjk-japanese-wadalab Version: 0.20050817-16 Installed-Size: 19636 Maintainer: Debian TeX maintainers Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 3) Size: 18369318 SHA256: d9cb02fb57758c0538045c628b4ab9f2ad7e2013e43f23f21b7ad0c9abaa8639 SHA1: 48f0b8c8187992a60ecbbf413b734451e88c6030 MD5sum: 9582801771a7e9eb3df6d0419367a192 Description: type1 and tfm DNP Japanese fonts for latex-cjk This package installs Type1 and TFM fonts from a DNP font called "Wadalab". You'll get three flavours: Mincho, Gothic and Maru. . DNP stands for "Dai Nippon Printing" (a big printing company in Japan). DNP fonts are commercial fonts made by this company; if real DNP fonts have been already installed at your site, you should use them. But watanabe-dnp fonts (like Wadalab), which are customized from watanabe-jfonts to emulate DNP fonts, are freeware. The method of emulation is symbolic name linking. . These Type1 fonts differ from those in the gsfonts-wadalab package, because CJK author Werner Lemberg has introduced some fixes. Homepage: ftp://ftp.dante.de/pub/tex/support/ghostscript/3rdparty/fonts/kanji/Font/ Tag: culture::japanese, made-of::font, role::data Section: fonts Priority: optional Filename: pool/main/l/latex-cjk-japanese-wadalab/latex-cjk-japanese-wadalab_0.20050817-16_all.deb Package: latex-cjk-korean Source: cjk Version: 4.8.3+git20120621-1 Installed-Size: 224 Maintainer: Debian TeX maintainers Architecture: all Depends: latex-cjk-common (>= 4.8.3+git20120621-1), tex-common (>= 3), dpkg (>= 1.14.18) Recommends: ko.tex-extra-hlfont Conflicts: cjk-latex (<= 4.5.1) Size: 23316 SHA256: d5d615254b19afea02ee42ddb48a3837accf688244881846f89d5d45c66700e2 SHA1: a5db7c98b336a12475e747a54d50752d3e38221f MD5sum: a1566f17d324a58368c4a8fc4399c22c Description: Korean module of LaTeX CJK CJK is a macro package for LaTeX. This package gives you the possibility to include Korean text in your (La)TeX documents. Homepage: http://cjk.ffii.org/ Tag: culture::korean, role::plugin, use::text-formatting, use::typesetting, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/c/cjk/latex-cjk-korean_4.8.3+git20120621-1_all.deb Package: latex-cjk-thai Source: cjk Version: 4.8.3+git20120621-1 Installed-Size: 451 Maintainer: Debian TeX maintainers Architecture: all Depends: latex-cjk-common (>= 4.8.3+git20120621-1), texlive-latex-base, tex-common (>= 3), thailatex (>= 0.4.2), dpkg (>= 1.14.18) Conflicts: cjk-latex (<= 4.5.1) Size: 89896 SHA256: b759a2e2c1ed097967a32cf5af1b0571feb54a11fcb4b3139fd40f91f95379c8 SHA1: 419a5abe186bb74b139cb7006a636b218c89eea1 MD5sum: 10c4a210fddc25888d090d2680fe93cc Description: Thai module of LaTeX CJK CJK is a macro package for LaTeX. This package gives you the possibility to include Thai text in your (La)TeX documents. It uses the same Type1 fonts (Norasi and Garuda) from the ThaiLaTeX package. . Have a look at latex-cjk-common for a more detailed description. Homepage: http://cjk.ffii.org/ Tag: culture::thai, implemented-in::TODO, role::plugin, use::text-formatting, use::typesetting, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/c/cjk/latex-cjk-thai_4.8.3+git20120621-1_all.deb Package: latex-fonts-sipa-arundina Source: fonts-sipa-arundina Version: 0.2.0-5 Installed-Size: 1295 Maintainer: Theppitak Karoonboonyanan Architecture: all Depends: thailatex (>= 0.4.6), dpkg (>= 1.14.18), tex-common (>= 3) Size: 882352 SHA256: ad5a60b9862c18ccc2d1491012f033939cd1904c8e9ff33d142ef11b9dbfcf97 SHA1: 3910703e1367b8a015e4409102462ee93b190ff4 MD5sum: 71c2fc6e676577b722b188095ba7a2f0 Description: Thai DejaVu-compatible fonts for LaTeX Arundina fonts for typesetting Thai documents with TeX/LaTeX. . The fonts are designed to be compatible with Bitstream Vera or DejaVu fonts. Serif, sans-serif and monospace type faces are included. Homepage: http://linux.thai.net/projects/fonts-sipa-arundina Section: fonts Priority: optional Filename: pool/main/f/fonts-sipa-arundina/latex-fonts-sipa-arundina_0.2.0-5_all.deb Package: latex-fonts-thai-tlwg Source: fonts-tlwg Version: 1:0.5.0-5 Installed-Size: 4536 Maintainer: Theppitak Karoonboonyanan Architecture: all Replaces: thailatex (<< 0.4.6) Depends: thailatex (>= 0.4.6), dpkg (>= 1.14.18), tex-common (>= 3) Breaks: thailatex (<< 0.4.6) Size: 3205062 SHA256: 799900b4fe2454daf88fadabf360d946873956773802c13504725662e685624f SHA1: 272f44ecf1d85e7e58e85e69d430ee711d252f99 MD5sum: 06928866f15b035c9115a0409d9bd57a Description: Thai fonts for LaTeX from TLWG Thai fonts for TeX/LaTeX that are collected, enhanced and maintained by Thai Linux Working Group (TLWG). . It provides fonts from the National Font Project (kinnari, garuda, norasi), UI font from NECTEC (loma) and several developed by TLWG and contributors (ttype, ttypist, umpush, sawasdee, purisa, waree). Homepage: http://linux.thai.net/projects/fonts-tlwg Tag: culture::thai, iso15924::thai, made-of::font, role::data, x11::font Section: fonts Priority: optional Filename: pool/main/f/fonts-tlwg/latex-fonts-thai-tlwg_0.5.0-5_all.deb Package: latex-make Version: 2.1.18-2 Installed-Size: 1097 Maintainer: Vincent Danjean Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 3), transfig, ghostscript, make (>= 3.81), python Recommends: texlive-latex-recommended, inkscape (>= 0.48.2), texlive-latex-extra Size: 906530 SHA256: 1ce4a2b3858bc220fe9b353d6638501b175d954e2b6d85b1d94a2b0260fd7533 SHA1: 193b265c820274a5acd9886c825c43645ff3c2d1 MD5sum: 1c973dc40c74977ced44833768a1b158 Description: easy compiling of complex (and simple) LaTeX documents This package provides several tools that aim to simplify the compilation of LaTeX documents: . LaTeX.mk: a Makefile snippet to help compiling LaTeX documents in DVI, PDF, PS, ... format. Dependencies are automatically tracked: one should be able to compile documents with a one-line Makefile containing 'include LaTeX.mk'. Complex documents (with multiple bibliographies, indexes, glossaries, ...) should be correctly managed. . figlatex.sty: a LaTeX package to easily insert xfig figures (with \includegraphics{file.fig}). It can interact with LaTeX.mk so that the latter automatically invokes transfig if needed. . And various helper tools for LaTeX.mk Homepage: http://gforge.inria.fr/projects/latex-utils/ Tag: devel::buildtools, implemented-in::TODO, made-of::tex, role::devel-lib, role::source, use::text-formatting, works-with::text Section: tex Priority: optional Filename: pool/main/l/latex-make/latex-make_2.1.18-2_all.deb Package: latex-mk Version: 2.1-1.1 Installed-Size: 524 Maintainer: Wences Arana Architecture: all Depends: dpkg (>= 1.15.4) | install-info Recommends: make, texlive-latex-recommended, texlive-base Suggests: graphicsmagick-imagemagick-compat, gv, hevea, latex2rtf, cups-bsd, ghostscript, tgif, transfig Size: 293888 SHA256: d9f5a2df10e51513ded575220c13a2bb675fe5a2e179c74213ad5c54ab61e93a SHA1: 065453f6bee5cd610b46faf04d75988571569420 MD5sum: 796e757a14f949f6a303d28e3e25ed9f Description: tool for managing LaTeX projects LaTeX-Mk is a collection of Makefile fragments and shell scripts for managing small to large sized LaTeX projects. The typical LaTeX-Mk input file is simply a series of variable definitions in a Makefile for the project. After creating a simple Makefile the user can easily perform all required steps to do such tasks as: preview the document, print the document, or produce a PDF file. LaTeX-Mk will keep track of files that have changed and how to run the various programs that are needed to produce the output. Homepage: http://latex-mk.sourceforge.net/ Tag: use::typesetting Section: tex Priority: optional Filename: pool/main/l/latex-mk/latex-mk_2.1-1.1_all.deb Package: latex-sanskrit Version: 2.2-9 Architecture: armhf Maintainer: Debian-IN Team Installed-Size: 1439 Pre-Depends: dpkg (>= 1.15.7.2~) Depends: libc6 (>= 2.13-28), tex-common (>= 3), texlive-base Homepage: http://www.ctan.org/tex-archive/language/sanskrit/ Priority: optional Section: tex Filename: pool/main/l/latex-sanskrit/latex-sanskrit_2.2-9_armhf.deb Size: 1101974 SHA256: 8a90b8bfb272206ecda081897689d7ffed08824c5d26f7f3adc33d1d49de46ad SHA1: 967c164768673a230c24dbc80d4ce3e599542fe5 MD5sum: b59f7ff7049436b8629d7030d473d7c6 Description: pre-processor and fonts to typeset Sanskrit in TeX This package provides fonts and the `skt' pre-processor for typesetting Sanskrit from both Devanagari and transliterated Roman scripts, and support for Vedic accent marks. . The input is in Roman script; and output may be either Devanagari or Roman script. Package: latex-xcolor Version: 2.11-1.1 Installed-Size: 660 Maintainer: OHURA Makoto Architecture: all Depends: texlive-latex-recommended, dpkg (>= 1.14.18), tex-common (>= 3) Size: 609614 SHA256: e68522031842385f22fe4aef202cd3bcfe37ef916fcf817d94fa455da7d26292 SHA1: 57715db070a03e07ee7ea7e53bb71d3b35928dc0 MD5sum: e41a617019e902f181b76e7096fd632c Description: Easy driver-independent TeX class for color xcolor provides easy driver-independent access to several kinds of color tints, shades, tones, and mixes of arbitrary colors. It allows to select a document-wide target color model and offers tools for automatic color schemes, conversion between eight color models, and alternating table row colors. Tag: role::plugin, use::typesetting, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/l/latex-xcolor/latex-xcolor_2.11-1.1_all.deb Package: latex209-base Source: latex209 Version: 25.mar.1992-13 Installed-Size: 787 Maintainer: Debian TeX maintainers Architecture: all Depends: texlive-base, texlive-latex-base, dpkg (>= 1.14.18), tex-common (>= 3) Size: 213676 SHA256: 2a5019de50273318df12f9640ecd2f6d34267cdc9ee82f17cbb0fd0e8c784dc0 SHA1: 239e17a2b109fc7bb437e4deca0a511fe2163601 MD5sum: e42534e805e802545edc6fc304d7f076 Description: macro files of LaTeX 2.09 25-mar-1992 version LaTeX 2.09 is obsolete. Use LaTeX 2e. This package is for those who want to use old style files. Tag: role::plugin, use::typesetting, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/l/latex209/latex209-base_25.mar.1992-13_all.deb Package: latex209-bin Source: latex209 Version: 25.mar.1992-13 Installed-Size: 75 Maintainer: Debian TeX maintainers Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 3), latex209-base Size: 6698 SHA256: c2004a9a7122842797aff560d190bad14205952b4a4b0861f4dddaacb467e02e SHA1: e22edacd68bf4333651878dbbc1974273bd2e210 MD5sum: 53a55268741ea3a28f08228f963f4d95 Description: latex209 command for LaTeX 2.09 25-mar-1992 version LaTeX 2.09 is obsolete. Use LaTeX 2e. This package is for those who want to use old style files. Tag: interface::commandline, role::program, scope::utility, use::typesetting, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/l/latex209/latex209-bin_25.mar.1992-13_all.deb Package: latex209-src Source: latex209 Version: 25.mar.1992-13 Installed-Size: 340 Maintainer: Debian TeX maintainers Architecture: all Suggests: tetex-base (>= 2.0.2-15) | texlive-base Size: 68888 SHA256: 9b36bb1a69be427ab40d9d7faa7c2f6f0e31ba127f8efb45956efef93365d465 SHA1: 114b19f17ab12067c9e4bb5f0eeb4704ab649fcd MD5sum: a05ed70613477fef85b489b5352a1446 Description: source files of macros of LaTeX 2.09 25-mar-1992 version LaTeX 2.09 is obsolete. Use LaTeX 2e. This package is for those who want to use old style files. You don't need to install this package to use latex209. Tag: role::devel-lib, use::typesetting, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/l/latex209/latex209-src_25.mar.1992-13_all.deb Package: latex2html Version: 2008-debian1-7 Installed-Size: 5208 Maintainer: Roland Stigge Architecture: all Depends: dpkg (>= 1.14.18), tex-common (>= 3), perl, netpbm (>= 2:9.20), ghostscript-x, texlive-base-bin, texlive-latex-recommended, texlive-fonts-recommended, texlive-latex-extra, perl-doc Size: 2121144 SHA256: 3450593f1e30e2acc5988051f3e02f15a09a8da70efdf59ba27c5b89566cb508 SHA1: 83c4a98612f6898cd6c196e614b75aadcb532ff0 MD5sum: 82c33bdb35f81d745e78d575a336dc6a Description: LaTeX to HTML translator LaTeX2HTML is a conversion tool that converts documents written in LaTeX to HTML format. In addition, it offers an easy migration path towards authoring complex hypermedia documents using familiar word-processing concepts. . LaTeX2HTML replicates the basic structure of a LaTeX document as a set of interconnected HTML files which can be explored using automatically generated navigation panels. The cross-references, citations, footnotes, the table of contents and the lists of figures and tables, are also translated into hypertext links. Formatting information which has equivalent ``tags'' in HTML (lists, quotes, paragraph breaks, type styles, etc.) is also converted appropriately. The remaining heavily formatted items such as mathematical equations, pictures or tables are converted to images which are placed automatically at the correct positions in the final HTML document. . LaTeX2HTML extends LaTeX by supporting arbitrary hypertext links and symbolic cross-references between evolving remote documents. It also allows the specification of conditional text and the inclusion of raw HTML commands. These hypermedia extensions to LaTeX are available as new commands and environments from within a LaTeX document. . Pstoimg, the part of latex2html that produces bitmap images from the LaTeX source, can support both GIF and PNG format. . Hompage: http://www.latex2html.org/ Tag: interface::commandline, role::program, scope::utility, use::converting, works-with-format::html, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/l/latex2html/latex2html_2008-debian1-7_all.deb Package: latex2rtf Version: 1.9.19-4.2 Architecture: armhf Maintainer: Chris Lawrence Installed-Size: 325 Depends: libc6 (>= 2.13-28), imagemagick | graphicsmagick-imagemagick-compat, netpbm, texlive-base Suggests: latex2rtf-doc Enhances: tetex-base, texlive-base Homepage: http://latex2rtf.sourceforge.net/ Priority: optional Section: tex Filename: pool/main/l/latex2rtf/latex2rtf_1.9.19-4.2_armhf.deb Size: 136438 SHA256: 06ad7b8686ea3992125d2a305e66c73ac3c10e06036e03fac35c7edf3d349864 SHA1: 0d9695117edc3697629378932987025e08a70cae MD5sum: 5b0710c2ca4c6b3c71e43117fa2c8680 Description: Converts documents from LaTeX to RTF format Attempts to convert as much formatting information as possible from LaTeX to Microsoft's Rich Text Format (RTF). While RTF has limited support for mathematical markup, it is widely supported as a "least common denominator" word processing format. . The detailed documentation is in the latex2rtf-doc package. Package: latex2rtf-doc Source: latex2rtf Version: 1.9.19-4.2 Installed-Size: 644 Maintainer: Chris Lawrence Architecture: all Size: 426264 SHA256: 259116e187b5657a4b2bf7cee4217ded20290e6be65929bb36a6509a54780d27 SHA1: bf237d659d7414b4360f3cdc7f2985c6ee6abf77 MD5sum: 89ef6224ae43cfa2cb15e73d8f55a365 Description: Converts documents from LaTeX to RTF - documentation Attempts to convert as much formatting information as possible from LaTeX to Microsoft's Rich Text Format (RTF). While RTF has limited support for mathematical markup, it is widely supported as a "least common denominator" word processing format. . This package includes the documentation for LaTeX2RTF in HTML, Info and PDF formats. Homepage: http://latex2rtf.sourceforge.net/ Tag: made-of::html, made-of::info, made-of::pdf, role::documentation, use::text-formatting, works-with-format::tex, works-with::text Section: doc Priority: optional Filename: pool/main/l/latex2rtf/latex2rtf-doc_1.9.19-4.2_all.deb Package: latexdiff Version: 0.5-4 Installed-Size: 455 Maintainer: Debian Perl Group Architecture: all Depends: perl Recommends: texlive-latex-base, texlive-latex-extra Suggests: subversion | cvs | rcs Size: 322140 SHA256: bed3859ee0520e78afc02542cfe3be8c8e62737103b2c85e67a514840f8a3802 SHA1: ac53d80b356158e5b13e20950c0ff01c50122307 MD5sum: 157fc42efca9d66c3090a2754cc8b246 Description: utility to mark up significant differences between LaTeX files latexdiff compares two LaTeX files and marks up significant differences between them (i.e. a diff for LaTeX files). It generates a new LaTeX file containing the annotated differences. . Various options are available for visual markup using standard LaTeX packages such as 'color.sty'. Changes not directly affecting visible text, for example in formatting commands, are still marked in the LaTeX source. . A rudimentary revision facilility is provided by another Perl script, 'latexrevise', which accepts or rejects all changes. Manual editing of the difference file can be used to override this default behaviour and accept or reject selected changes only. Homepage: http://bullard.esc.cam.ac.uk/~tilmann/soft.html Tag: implemented-in::perl, role::program, use::comparing, works-with-format::tex, works-with::file Section: tex Priority: optional Filename: pool/main/l/latexdiff/latexdiff_0.5-4_all.deb Package: latexdraw Version: 2.0.8+1-3 Installed-Size: 1973 Maintainer: Stuart Prescott Architecture: all Depends: openjdk-7-jre | openjdk-6-jre | sun-java6-jre, libjiu-java, libjlibeps-java, java-wrappers Recommends: texlive-pstricks, texlive-base-bin, texlive-latex-base, ghostscript Suggests: ttf-mscorefonts-installer Size: 1415124 SHA256: 8f9ac6492e7b72b91fd78d446c56c49c78fefe2e97b575941effc0d436a01b2e SHA1: adc70e4f4d176f5ad33b36fd6f20f827d2aba712 MD5sum: 79e90419ebc46c329dba370056c00084 Description: vector drawing program for LaTeX using PSTricks LaTeXDraw is a free PSTricks code generator or PSTricks editor for LaTeX. It has the usual drawing tools (lines, rectangles, circles, Bezier curves) and can resize, rotate, move and join objects using vector transformations. LaTeXDraw uses SVG as its file format and figures can be exported as PSTricks code, pdf, eps, jpg, bmp, png, ppm. . PSTricks is an extension of LaTeX which allows the creation of drawings, diagrams and graphs in 2D or 3D. Homepage: http://latexdraw.sourceforge.net/ Tag: implemented-in::java, interface::x11, role::program, science::publishing, uitoolkit::TODO, use::editing, works-with-format::svg, works-with-format::tex, works-with::image, works-with::image:vector, works-with::text, x11::application Section: graphics Priority: optional Filename: pool/main/l/latexdraw/latexdraw_2.0.8+1-3_all.deb Package: latexila Version: 2.4.0-1 Architecture: armhf Maintainer: Tanguy Ortolo Installed-Size: 931 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.24.0), libgtksourceview2.0-0 (>= 2.10.0), libgtkspell0 (>= 2.0.10), libpango1.0-0 (>= 1.14.0), libunique-1.0-0 (>= 1.0.0), libx11-6, latexila-data (= 2.4.0-1) Recommends: texlive, latexmk | rubber Homepage: http://projects.gnome.org/latexila/ Priority: optional Section: tex Filename: pool/main/l/latexila/latexila_2.4.0-1_armhf.deb Size: 288900 SHA256: fd1719aaa1e467ec0413749b4512f29b12eb916da6d8152fb6ad3c2ac85c4766 SHA1: 47acd040422ccecd65bf813413edb5fab6a1e795 MD5sum: c30fb19eacdf23cad9bcddc5d728a868 Description: LaTeX editor designed for the GNOME desktop LaTeXila is a LaTeX editor for GNOME. It integrates the various tools required for processing LaTeX documents. It provides menus, buttons and templates to assist with the edition and the compilation of documents. Package: latexila-data Source: latexila Version: 2.4.0-1 Installed-Size: 1627 Maintainer: Tanguy Ortolo Architecture: all Depends: dconf-gsettings-backend | gsettings-backend Size: 429750 SHA256: 2b9598e8269f7f75c46c5e1488ec5a399c1e87bdb45b43a5b4beb2acf0e9af0d SHA1: 44527e264debf69a92c19e7dafad1b5d471d1ce4 MD5sum: 6da87e1b96ffe73597997880c1baebfb Description: LaTeXila editor -- arch-independent files LaTeXila is a LaTeX editor for GNOME. It integrates the various tools required for processing LaTeX documents. It provides menus, buttons and templates to assist with the edition and the compilation of documents. . This package contains documentation and arch-independent files for LaTeXila Homepage: http://projects.gnome.org/latexila/ Tag: role::app-data, role::documentation Section: tex Priority: optional Filename: pool/main/l/latexila/latexila-data_2.4.0-1_all.deb Package: latexmk Version: 1:4.24-1 Installed-Size: 368 Maintainer: OHURA Makoto Architecture: all Depends: perl, texlive-latex-base Recommends: xpdf-reader | pdf-viewer, gv | postscript-viewer Suggests: gs-common Size: 109990 SHA256: 36c45ffbf3727d52b35e5ddd0d006113ffaa3efcb38f90a3aa89e405d970e1a2 SHA1: 8d8f0148206017f46117f0b260dd87d28ef31629 MD5sum: f881ec04eeb08a0d0e952c7948574a06 Description: Perl script for running LaTeX the correct number of times Latexmk runs LaTeX the correct number of times to resolve cross references, etc; it also runs auxiliary programs (bibtex, makeindex if necessary, and dvips and/or a previewer as requested). It has a number of other useful capabilities, for example to start a previewer and then run latex whenever the source files are updated, so that the previewer gives an up-to-date view of the document. Tag: devel::buildtools, interface::commandline, role::program, scope::utility, use::typesetting, works-with-format::tex, works-with::text Section: tex Priority: optional Filename: pool/main/l/latexmk/latexmk_4.24-1_all.deb Package: latexml Version: 0.7.0-1 Installed-Size: 4972 Maintainer: Atsuhito KOHDA Architecture: all Depends: perl, libparse-recdescent-perl, libxml2, libxml-libxml-perl, libxslt1.1, libxml-libxslt-perl, imagemagick, perlmagick Size: 674344 SHA256: 28ffebc954df8d9e4bc82c177e633a5d8ecc41de3a302da5f50aa00a47e4d144 SHA1: b5181def696e8d46d09c50af0f45e6258923d547 MD5sum: 7a0ed71d0415ebb1d5707be2bd318d92 Description: LaTeX to XML Converter latexml is a program, written in Perl, that attempts to faithfully mimic TeX's behaviour, but produces XML instead of dvi. The document model of the target XML makes explicit the model implied by LaTeX. . The processing and model are both extensible; you can define the mapping between TeX constructs and the XML fragments to be created. A postprocessor, latexmlpost converts this XML into other formats such as HTML or XHTML, with options to convert the math into MathML (currently only presentation) or images. Homepage: http://dlmf.nist.gov/LaTeXML/ Tag: devel::lang:perl, implemented-in::perl, role::program, role::shared-lib, use::converting, works-with-format::tex, works-with-format::xml, works-with::text Section: tex Priority: extra Filename: pool/main/l/latexml/latexml_0.7.0-1_all.deb Package: latrace Version: 0.5.11-1 Architecture: armhf Maintainer: Riku Voipio Installed-Size: 248 Depends: libc6 (>= 2.13-28) Homepage: http://people.redhat.com/jolsa/latrace/index.shtml Priority: optional Section: utils Filename: pool/main/l/latrace/latrace_0.5.11-1_armhf.deb Size: 86198 SHA256: 27dd8e08c381d22bc3894316ac9232a892124d79e213daba549eab41b84d8f59 SHA1: 69003b598142802a2745a426c9592aa56297b596 MD5sum: dcbffd1e60ab03702e3d5f59f3426018 Description: traces library calls in dynamically linked programs latrace (similar to ltrace) displays dynamic library calls of a program using the LD_AUDIT feature of newer glibc versions. It is also capable to measure and display various statistics of dynamic calls. If a config file is provided, latrace will display function arguments with detailed output for structures. Package: latrine Version: 0.10.2-1 Architecture: armhf Maintainer: Martin A. Godisch Installed-Size: 287 Depends: libc6 (>= 2.4), libncursesw5 (>= 5.6+20070908), libtinfo5, zlib1g (>= 1:1.1.4) Homepage: http://alioth.debian.org/projects/latrine/ Priority: optional Section: text Filename: pool/main/l/latrine/latrine_0.10.2-1_armhf.deb Size: 57144 SHA256: bca364722e452d4fe460c9eb2d4e55afcb0b05d7bcd1f0fcce834cacc4bd0296 SHA1: f2742fb43292f426fff3acb676eeb54239fcd78f MD5sum: f6a56122953c7829001961988bf4574b Description: curses-based LAnguage TRaINEr LaTrine is a curses-based LAnguage TRaINEr. It takes a dictionary and asks you for a word or a phrase, and you try to give the correct answer. You can choose in what direction LaTrine shall ask, and the selection of the words or phrases will depend on how often you don't know the correct translation for the respective phrase. This is a conventional way to drill yourself in foreign language vocabulary. . LaTrine provides full UTF-8 support for non-ASCII characters, entering of digraphs, keyboard mappings for foreign alphabets, as well as right-to-left input and output, e.g. for Hebrew. The program features several localizations. LaTrine's upstream homepage can be found at http://people.debian.org/~godisch/latrine/. . In addition to this package you need an appropriate dictionary. Writing your own word list is the easiest and recommended way, but you may also download one from the internet. The Free Dictionaries Project at http://sourceforge.net/projects/freedict/, provides dictionaries for about 50 language combinations, which should be compatible with LaTrine. These dictionaries are also available via the Debian dict-freedict-*-* packages. Package: launchtool Version: 0.8-2 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 180 Depends: libc6 (>= 2.8), libgcc1 (>= 1:4.4.0), libpopt0 (>= 1.14), libstdc++6 (>= 4.3.0) Homepage: http://www.enricozini.org/sw/launchtool/ Priority: optional Section: admin Filename: pool/main/l/launchtool/launchtool_0.8-2_armhf.deb Size: 73114 SHA256: 0a707949a5977d9dad5c568d89243f44b4ab4b4bdfceea0735244278b6a8cdb8 SHA1: 295e0cb7c9c238454fdafffbd5437dd566365b55 MD5sum: 21954db8f15e34ec6a3457d5839a26fe Description: command launcher and supervisor Runs a user-supplied command supervising its execution in many ways: . * controlling the environment * blocking signals * logging the output * changing user and group permissions * limiting resource usage * running it chrooted * restarting it if it fails * logging execution statistics * running it continuously * turning it into a daemon Package: launchy Version: 2.5-1 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 689 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6 Suggests: launchy-plugins, launchy-skins Homepage: http://www.launchy.net Priority: optional Section: x11 Filename: pool/main/l/launchy/launchy_2.5-1_armhf.deb Size: 393362 SHA256: 1cffb2146f11ed3609cbc9de881f28273280832a0b403907b5b5370e48356756 SHA1: b826fb5297f74cab4b62a148c7eb7bab6d231f4a MD5sum: edef0c308ca5b4f376d0c1da8737eda2 Description: smart search launcher for installed programs or files Launchy is the most efficient way to open files, folders, websites, and programs on your computer. Instead of having to browse the menus to find an application, Launchy is a smart search program which tries to guess which program or file you are looking for and will launch it when you hit the enter key. It runs in background and appears when the configured key combination is pressed (Ctrl+Space by default) or the tray icon is clicked. Package: launchy-plugins Source: launchy Version: 2.5-1 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 481 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), launchy (= 2.5-1) Enhances: launchy Homepage: http://www.launchy.net Priority: optional Section: x11 Filename: pool/main/l/launchy/launchy-plugins_2.5-1_armhf.deb Size: 173226 SHA256: ceb9f49ec19e8be5739eacdc379e4c3b213320031b8029fea51f4ea09fece1b3 SHA1: a3f4946550cc8dd4a2a738bc0ffeb7333137cca1 MD5sum: 3f1a95bdd08656f56eb73f630fc0df1f Description: plugins for launchy, the smart search launcher Launchy is the most efficient way to open files, folders, websites, and programs on your computer. . These are the official plugins included with the main distribution: Runner, Calcy, Controly, GCalc, Verby and Weby. Package: launchy-skins Source: launchy Version: 2.5-1 Installed-Size: 300 Maintainer: Ricardo Mones Architecture: all Recommends: launchy, compiz Enhances: launchy Size: 186556 SHA256: 9ba254af279b3d22bd061c0911b3bcb91cc9b682dd158e7a4b5fe54e65a67446 SHA1: 98b8390221cf63d5921943917f1f7b4aa60607c5 MD5sum: c073e42ad298a3200edcdcf2f85bcf99 Description: more skins for launchy, the smart search launcher Launchy is the most efficient way to open files, folders, websites, and programs on your computer. . These are the non-default skins included with the main distribution: Note, Spotlight Wide, Simple, Black Glass, Black Glass Wide, Mercury, Mercury Wide and QuickSilver2. . You probably need a compositing window manager for these skins to work properly. Homepage: http://www.launchy.net Section: x11 Priority: optional Filename: pool/main/l/launchy/launchy-skins_2.5-1_all.deb Package: lazarus Version: 0.9.30.4-6 Installed-Size: 61 Maintainer: Carlos Laviola Architecture: all Depends: lazarus-0.9.30.4 (= 0.9.30.4-6) Size: 19784 SHA256: d3081583739380df3221aab5e10d05bcd0e292d1e4b780f5a628e468460cd7e3 SHA1: 4daf52d155980b00aba1573d9d4a47483b6f5f81 MD5sum: 2c038fce163ccd65ba76d3640ed7ab15 Description: IDE for Free Pascal - SDK dependency package Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This dependency package always depends on the latest available version of the metapackage pulling in all the packages needed to have a typical Lazarus installation. Homepage: http://www.lazarus.freepascal.org Tag: devel::ide, devel::lang:pascal, implemented-in::TODO, role::metapackage, works-with::software:source Section: devel Priority: optional Filename: pool/main/l/lazarus/lazarus_0.9.30.4-6_all.deb Package: lazarus-0.9.30.4 Source: lazarus Version: 0.9.30.4-6 Installed-Size: 61 Maintainer: Carlos Laviola Architecture: all Replaces: lazarus (<< 0.9.18.2-13) Provides: lazarus Depends: lazarus-ide-0.9.30.4 (>= 0.9.30.4-6), lazarus-src-0.9.30.4 (>= 0.9.30.4-6), lazarus-doc-0.9.30.4 (>= 0.9.30.4-6) Recommends: fpc, fpc-source Suggests: fp-utils, fp-docs Breaks: lazarus (<< 0.9.28.2-13) Size: 20210 SHA256: faa93902cd1c2d6877e069e0648973414bcaaa2ac3cea6af3fec253931705b10 SHA1: f99460104c9185e350df4796736db1dc5c0b32dc MD5sum: fd01151c56e8d502ba31a05b4602d575 Description: IDE for Free Pascal - suite Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This metapackage pulls in all the packages needed to have a typical Lazarus installation. Homepage: http://www.lazarus.freepascal.org Section: devel Priority: optional Filename: pool/main/l/lazarus/lazarus-0.9.30.4_0.9.30.4-6_all.deb Package: lazarus-doc Source: lazarus Version: 0.9.30.4-6 Installed-Size: 61 Maintainer: Carlos Laviola Architecture: all Depends: lazarus-doc-0.9.30.4 (= 0.9.30.4-6) Size: 19890 SHA256: f694f7849d143f0cde12b9199a8d95f32b3a30eecb5752b412869f545fb9181c SHA1: 4fc676b663f17301004ebe2bc578c17233758aa9 MD5sum: 57dde9082cf7d19a32eaf7a424d25ae7 Description: IDE for Free Pascal - documentation dependency package Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This dependency package always depends on the latest available version of the package pulling in the documentation for the Lazarus IDE and components. It can be viewed separately with any HTML browser or accessed from the IDE's integrated help (pressing on any LCL identifier will browse the help for that identifier). Homepage: http://www.lazarus.freepascal.org Tag: devel::doc, devel::lang:pascal, made-of::html, role::documentation, role::metapackage Section: doc Priority: optional Filename: pool/main/l/lazarus/lazarus-doc_0.9.30.4-6_all.deb Package: lazarus-doc-0.9.30.4 Source: lazarus Version: 0.9.30.4-6 Installed-Size: 68375 Maintainer: Carlos Laviola Architecture: all Replaces: lazarus-doc (<< 0.9.28.2-13) Provides: lazarus-doc Suggests: lazarus-ide-0.9.30.4 Breaks: lazarus-doc (<< 0.9.28.2-13) Size: 3004602 SHA256: 5675f1ea911e045b8f20ab09e0acb9c8ca1df830a5a9416e90a7dee4a3fda21b SHA1: eff697308819cedab32cfd60ab67907bb789897d MD5sum: a1a368ca208c0353e2048bba4c210c8f Description: IDE for Free Pascal - documentation Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This package contains documentation for the Lazarus IDE and components. It can be viewed separately with any HTML browser or accessed from the IDE's integrated help (pressing on any LCL identifier will browse the help of that identifier). Homepage: http://www.lazarus.freepascal.org Section: doc Priority: optional Filename: pool/main/l/lazarus/lazarus-doc-0.9.30.4_0.9.30.4-6_all.deb Package: lazarus-ide Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 61 Depends: lazarus-ide-0.9.30.4 (= 0.9.30.4-6) Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lazarus-ide_0.9.30.4-6_armhf.deb Size: 19842 SHA256: db2b42ab19384ce2e423d2cb3d5b169b17b850661ea681ef10d0b62680104343 SHA1: 57a960f08b427212bbf74183667a0f8a0d88eb73 MD5sum: 9e46fe445249037989d5b763c107b7a1 Description: IDE for Free Pascal - common IDE files dependency package Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This dependency package always depends on the latest available version of the package pulling in the common files required to run Lazarus IDE. Package: lazarus-ide-0.9.30.4 Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 24981 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, fp-compiler, lazarus-src-0.9.30.4, lazarus-ide-gtk-0.9.30.4 | lazarus-ide-qt-0.9.30.4 Recommends: fpc, lcl-0.9.30.4, gdb Suggests: fp-utils Breaks: lazarus-ide (<< 0.2.28.2-13) Replaces: lazarus-ide (<< 0.2.28.2-13) Provides: lazarus-ide Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lazarus-ide-0.9.30.4_0.9.30.4-6_armhf.deb Size: 4400366 SHA256: 2dee999de55cff5663b54286f9d1af3274037d64e51fa1ec51ed2b995f88b57b SHA1: bc26250050718b32f034c7f3e4672f5eee3916aa MD5sum: 596ae6684562795a993e09be9beff0cd Description: IDE for Free Pascal - common IDE files Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This package contains the common files required to run Lazarus IDE. Package: lazarus-ide-gtk2 Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 61 Depends: lazarus-ide-gtk2-0.9.30.4 (= 0.9.30.4-6) Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lazarus-ide-gtk2_0.9.30.4-6_armhf.deb Size: 19840 SHA256: 7c714c17d658fb4be140d0b656891a6fc0cd9414623d8d235c378060e7cc1886 SHA1: e3f426db68660b834f8c027a18d8fa9f53b67496 MD5sum: 9cd5fcd20805588a808b5287879587f5 Description: IDE for Free Pascal - GTK+ version Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This dependency package always depends on the latest available version of the package containing the GTK+ version of the Lazarus IDE. Package: lazarus-ide-gtk2-0.9.30.4 Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 16349 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, lazarus-ide-0.9.30.4 Recommends: fpc, lcl-0.9.30.4, gdb Suggests: fp-utils Breaks: lazarus-ide (<< 0.9.28.2-13), lazarus-ide-gtk2 (<< 0.2.28.2-13) Replaces: lazarus-ide (<< 0.9.28.2-13), lazarus-ide-gtk2 (<< 0.2.28.2-13) Provides: lazarus-ide, lazarus-ide-gtk-0.9.30.4, lazarus-ide-gtk2 Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lazarus-ide-gtk2-0.9.30.4_0.9.30.4-6_armhf.deb Size: 4892536 SHA256: ad10a1064ae37f517c1f205c7ff62f01ba10afffdba62cfd1a6c64e61a9c5068 SHA1: 861f56bb37e0ebb97e8f197136f548c416a01088 MD5sum: 50ec11f98242bf0272cb6232c7c8e370 Description: IDE for Free Pascal - GTK+ version Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This package contains the GTK+ version of the Lazarus IDE. Package: lazarus-ide-qt4 Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 61 Depends: lazarus-ide-qt4-0.9.30.4 (= 0.9.30.4-6) Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lazarus-ide-qt4_0.9.30.4-6_armhf.deb Size: 19858 SHA256: f178be03aaf524d32c9161bdaee0c27264d278f7eca149c3112ffa6187d93e66 SHA1: c97d4a6ad9ec27ae9e63697eafcd566220299c7f MD5sum: c9c2f16886f63a83b37e7f66bbadd760 Description: IDE for Free Pascal - IDE build on top of Qt backend dependency package Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This dependency package always depends on the latest available version of the placeholder package for the Qt version of the Lazarus IDE, which currently just depends on the GTK version. Package: lazarus-ide-qt4-0.9.30.4 Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 69 Depends: lazarus-ide-0.9.30.4, lazarus-ide-gtk-0.9.30.4 Recommends: fpc, lcl-0.9.30.4, gdb Suggests: fp-utils Breaks: lazarus-ide (<< 0.9.28.2-13), lazarus-ide-qt4 (<< 0.9.28.2-13) Replaces: lazarus-ide (<< 0.9.28.2-13), lazarus-ide-qt4 (<< 0.9.28.2-13) Provides: lazarus-ide, lazarus-ide-qt-0.9.30.4, lazarus-ide-qt4 Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lazarus-ide-qt4-0.9.30.4_0.9.30.4-6_armhf.deb Size: 20070 SHA256: 39e3d8dbb72b0ff9515947612d78b2dcf0f958acee8d3bda08d1d54002a23d4d SHA1: 5bf1e025858de4db4ade630e6b89e64174a3ad58 MD5sum: 5495d5f16b1c041be1760c72228ef5b0 Description: IDE for Free Pascal - Qt version Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This is the placeholder package for the Qt version of the Lazarus IDE, which currently just depends on the GTK version. Package: lazarus-src Source: lazarus Version: 0.9.30.4-6 Installed-Size: 61 Maintainer: Carlos Laviola Architecture: all Depends: lazarus-src-0.9.30.4 (= 0.9.30.4-6) Size: 19846 SHA256: d62f903e01deca72d025710a88cac607fb9ff9ae78d28c5dcf1af9a000fa99dc SHA1: d4d7cd7fe681907b4d63f2888e8cf321734eaf2f MD5sum: efc15ad779136eab5daacd109ab6dbf3 Description: IDE for Free Pascal - LCL source code dependency package Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This dependency package always depends on the latest available version of the package containing the IDE code necessary for the IDE code tool to work properly (retrieving declarations and navigating in code). Homepage: http://www.lazarus.freepascal.org Tag: devel::lang:pascal, implemented-in::TODO, role::metapackage, role::source Section: devel Priority: optional Filename: pool/main/l/lazarus/lazarus-src_0.9.30.4-6_all.deb Package: lazarus-src-0.9.30.4 Source: lazarus Version: 0.9.30.4-6 Installed-Size: 76761 Maintainer: Carlos Laviola Architecture: all Replaces: lazarus-src (<< 0.9.18.2-13) Provides: lazarus-src Suggests: lazarus-ide-0.9.30.4 Breaks: lazarus-src (<< 0.9.28.2-13) Size: 14054808 SHA256: c514775a5c7fad84b09c4db76e45cc8403ae8698305278003ea45ab4048b50a8 SHA1: f5af500f4d5d78909cca4809a9a6d9b8009a7d0f MD5sum: 3642cb65a9564d70246f36c4e845a4bb Description: IDE for Free Pascal - LCL source code Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This package contains the latest version of the source code necessary for the IDE code tool to work properly (retrieving declarations and navigating in code). Homepage: http://www.lazarus.freepascal.org Section: devel Priority: optional Filename: pool/main/l/lazarus/lazarus-src-0.9.30.4_0.9.30.4-6_all.deb Package: lazygal Version: 0.7.4-1 Installed-Size: 440 Maintainer: Michal Čihař Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-imaging, python-genshi, python-pyexiv2 Suggests: python-gst0.10, gstreamer0.10-plugins-base Size: 101418 SHA256: 0ba316acd28d60285f00d36df4bc5e2b60717fa9b682a8a22fb11992d5005b91 SHA1: 7ea460a485236fe89ab4e8727e11cd5723044eb7 MD5sum: ecdff38d2b0e405a9972264d68a043b5 Description: static web gallery generator Lazygal is another static web gallery generator written in Python. It is command line based, uses reusable engine and is lazy - it regenerates only parts that have to be regenerated. . There is support for many interesting features like subgalleries, EXIF information, theming and custom folder meta data. Included themes are pure XHTML + CSS. Homepage: http://sousmonlit.dyndns.org/~niol/playa/oss/projects/lazygal Tag: implemented-in::python, interface::commandline, role::program, use::organizing, works-with-format::html, works-with-format::jpg, works-with::image, works-with::image:raster, works-with::text Section: graphics Priority: optional Filename: pool/main/l/lazygal/lazygal_0.7.4-1_all.deb Package: lbcd Version: 3.3.0-1 Architecture: armhf Maintainer: Russ Allbery Installed-Size: 103 Depends: libc6 (>= 2.7), adduser Priority: optional Section: net Filename: pool/main/l/lbcd/lbcd_3.3.0-1_armhf.deb Size: 30378 SHA256: 8dde6d6239136761025d7179b991d0520c23ef2a13ba0248a2ac700ce3dac61d SHA1: 37f45604bd988050efe9e4e65b8c89e81f62fb16 MD5sum: 7a15af331bd2c6f3cb2964233b0b947d Description: Return system load via UDP for remote load balancers lbcd is a daemon that answers UDP queries for system load information and returns such information as uptime, load, number of logged-in users, percentage free of /tmp and /var/tmp, and whether there is a user on the console. It is intended for use with a load balancing system, and is particularly useful for such things as Unix clusters for remote login where a traditional hardware load balancing solution doesn't work as well. . No load balancing system is included in this package, only the client daemon and a simple Perl script to query it. No security or access control is done by the daemon, so access control must be done via iptables, a firewall, or an equivalent system. Package: lbdb Version: 0.38 Architecture: armhf Maintainer: Roland Rosenfeld Installed-Size: 258 Depends: libc6 (>= 2.4), libvformat0 (>= 1.13-6~), perl Suggests: mutt | mutt-ja, procmail, finger, abook, libpalm-perl, libnet-ldap-perl Homepage: http://www.spinnaker.de/lbdb/ Priority: optional Section: mail Filename: pool/main/l/lbdb/lbdb_0.38_armhf.deb Size: 83056 SHA256: e1ae2541dfd25ace130cf45c96dddd7f80d7c3b98c9f30c1f79c30d231c4bc9f SHA1: 98a5d8b443e5e2a495db170fc488b23e9ceb8295 MD5sum: 0f9cdadc9eb5951463ee381ad08ff0b9 Description: Little Brother's DataBase for the mutt mail reader This package consists of a set of small tools, which collect mail addresses from several sources and offer these addresses to the mutt external query feature. At the moment the following modules are supported: - m_finger (uses the finger(1) command) - m_inmail (scans incoming mail for addresses) - m_passwd (searches /etc/passwd) - m_yppasswd (searches the YP password database) - m_nispasswd (searches the NIS password database) - m_getent (searches the configured password database) - m_pgp2, m_pgp5, m_gpg (searches your PGP or GnuPG keyrings) - m_fido (searches the Fidonet nodelist) - m_abook (uses the address book application abook(1)) - m_addr_email (uses addr-email from the addressbook Tk program) - m_muttalias (searches your Mutt mail aliases) - m_pine (searches your Pine addressbook files) - m_wanderlust (search the WanderLust alias database) - m_palm (uses your Palm database; needs libpalm-perl package) - m_gnomecard (uses GnomeCard database files) - m_bbdb (search your BBDB (big brother database)) - m_ldap (query some LDAP server) - m_evolution (search in the Evolution addressbook) - m_vcf (search in vcard files using libvformat) Package: lbreakout2 Version: 2.6.3-1 Architecture: armhf Maintainer: Colin Tuckley Installed-Size: 887 Depends: libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4), lbreakout2-data (= 2.6.3-1) Homepage: http://lgames.sourceforge.net/index.php?project=LBreakout2 Priority: optional Section: games Filename: pool/main/l/lbreakout2/lbreakout2_2.6.3-1_armhf.deb Size: 335810 SHA256: d0ea58d3dfe4abb710e5cc743b03307d7de09464817d5056f426417e9c120a61 SHA1: bdfcb79c976eaaa12e5181f41179b400f6bdb9b2 MD5sum: 665a0c67980f763d6b47743a15f63c2b Description: ball-and-paddle game with nice graphics lbreakout2 is a game similar to the classics breakout and xboing, featuring a number of added graphical enhancements and effects. You control a paddle at the bottom of the playing-field, and must destroy bricks at the top by bouncing balls against them. . lbreakout2 is a complete rewrite of the game "lbreakout". Users of lbreakout probably want to install this. Package: lbreakout2-data Source: lbreakout2 Version: 2.6.3-1 Installed-Size: 3871 Maintainer: Colin Tuckley Architecture: all Size: 2606206 SHA256: 7836d3a0236afb1d6423dcec7f9fdd8c2694512f16063577344ff02c4aa5b98c SHA1: d6cabca6f23c1fb0a9407cadec34ba644eeeb4f8 MD5sum: ec2e51583eb45c76cf8af537ab7b1ac8 Description: ball-and-paddle game with nice graphics (DATA FILES) lbreakout2 is a game similar to the classics breakout and xboing, featuring a number of added graphical enhancements and effects. You control a paddle at the bottom of the playing-field, and must destroy bricks at the top by bouncing balls against them. . This package contains the data files for lbreakout2. Homepage: http://lgames.sourceforge.net/index.php?project=LBreakout2 Tag: game::arcade, role::app-data, use::gameplaying Section: games Priority: optional Filename: pool/main/l/lbreakout2/lbreakout2-data_2.6.3-1_all.deb Package: lbt Version: 1.2.2-5 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 99 Depends: libc6 (>= 2.7), libstdc++6 (>= 4.4.0) Recommends: graphviz Homepage: http://www.tcs.hut.fi/Software/maria/tools/lbt/ Priority: extra Section: science Filename: pool/main/l/lbt/lbt_1.2.2-5_armhf.deb Size: 29826 SHA256: b77a2caffd01a43de2b9edc8288fbb7e85f7f62f3b0c9799e006fcec8d1531e4 SHA1: 821888c95ed0f17c7534684afb81928be25e923a MD5sum: 8115fa8573aca25bcbb5662bdd2eab39 Description: converts from LTL formulas to Büchi automata This software converts a linear temporal logic (ltl) formula to a generalised Büchi automaton. The resulting automaton may be used, for instance, in model checking, where it represents a property to be verified from a model (e.g. a Petri net). Package: lbzip2 Version: 2.1-2 Architecture: armhf Maintainer: Mikolaj Izdebski Installed-Size: 37 Homepage: https://github.com/kjn/lbzip2 Priority: extra Section: utils Filename: pool/main/l/lbzip2/lbzip2_2.1-2_armhf.deb Size: 12100 SHA256: 6e4e2dd80f2686a68e98c182047d519d5d78bb6a348bfc8558a249f94137ac04 SHA1: 6e97fd1b0a544f1597421b17fb3793709adface9 MD5sum: ca6a32061c4a00f5131a8414103fe78b Description: fast, multi-threaded bzip2 utility lbzip2 is an independent, multi-threaded implementation of bzip2. It is commonly the fastest SMP (and uniprocessor) bzip2 compressor and decompressor. . lbzip2 integrates nicely with GNU tar. Package: lcab Version: 1.0b12-5 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 54 Depends: libc6 (>= 2.13-28) Suggests: cabextract Homepage: http://ohnopub.net/~ohnobinki/lcab Priority: extra Section: utils Filename: pool/main/l/lcab/lcab_1.0b12-5_armhf.deb Size: 11132 SHA256: dbaf0f649a7d0ffadf13680b33a24dfeff34ef2742d68ae6a5679badbfd17a16 SHA1: b522639679167a108cb98e0f288612581eeb2247 MD5sum: cb5ddf22b3e02515f4f7acbff447e4ef Description: create cabinet (.cab) archives lcab is a small program for Linux that creates a cabinet (.cab) archive from a set of input files. . CAB format represents the Microsoft Windows compressed archive format. It is used for compression and digital signing by a variety of Microsoft installation programs Package: lcalc Version: 0.0.20080205-1.2 Architecture: armhf Maintainer: Tim Abbott Installed-Size: 269 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libgmpxx4ldbl, libmpfr4 (>= 3.1.0), libpari-gmp3 (>= 2.5.0-1), libstdc++6 (>= 4.4.0) Homepage: http://pmmac03.math.uwaterloo.ca/~mrubinst/L_function_public/CODE/ Priority: optional Section: math Filename: pool/main/l/lcalc/lcalc_0.0.20080205-1.2_armhf.deb Size: 103056 SHA256: 52f2b6058feb6d87343bcdf4ea056e7a4c2a1805045ef1f59355446801cd8a54 SHA1: cb1d425d25d243b754874fd4a5125d20e139cbde MD5sum: d66d2140374dc972aaf1217d0a350cf3 Description: a program for calculating with L-functions lcalc is a program for computing zeros and values of L-functions. Supported L-functions include the Riemann zeta function, the L-function of the Ramanujan delta function, and L-functions of elliptic curves defined over the rationals. Package: lcd4linux Version: 0.11.0~svn1189-1 Architecture: armhf Maintainer: Reinhard Tartler Installed-Size: 461 Depends: lsb-base, libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), libftdi1 (>= 0.20), libgd2-xpm (>= 2.0.36~rc1~dfsg), libjpeg8 (>= 8c), libmpdclient2 (>= 2.0), libmysqlclient16 (>= 5.1.50-1), libncurses5 (>= 5.5-5~), libsqlite3-0 (>= 3.5.9), libtinfo5, libusb-0.1-4 (>= 2:0.1.12), libvncserver0, libx11-6 Homepage: http://ssl.bulix.org/projects/lcd4linux/ Priority: optional Section: utils Filename: pool/main/l/lcd4linux/lcd4linux_0.11.0~svn1189-1_armhf.deb Size: 235990 SHA256: d7d2065b4f41757c5a52aaad1f088b755a6063cbd2e0b459b18d4c74aa18d2dc SHA1: c213c133a23cb22da7d7461a3fa62bb659781060 MD5sum: 4e27c15721404ae2d9d0121422a18f48 Description: Grabs information and displays it on an external lcd Small program that grabs information from the kernel and some subsystems and displays it on an external liquid crystal display. . See http://ssl.bulix.org/projects/lcd4linux/ for Documentation Package: lcdf-typetools Version: 2.92+dfsg1-0.1~deb7u1 Architecture: armhf Maintainer: C.M. Connelly Installed-Size: 2952 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkpathsea6, libstdc++6 (>= 4.4.0), aglfn Recommends: texlive-font-utils | ptex-bin Conflicts: mminstance Homepage: http://www.lcdf.org/type/ Priority: optional Section: text Filename: pool/main/l/lcdf-typetools/lcdf-typetools_2.92+dfsg1-0.1~deb7u1_armhf.deb Size: 1456940 SHA256: 3697ae70e3ed6f5519fc7df7ea6edfaa9963576228616360dd7912a2e60b64fb SHA1: 2be2c1c49e7fce7158191e409ed629e559764b83 MD5sum: 2eb98b59e3dc3d4ff20ec5dede1e265b Description: Programs to manipulate OpenType and multiple-master fonts This package contains four tools for working with OpenType fonts: . cfftot1 allows you to translate Compact Font Format (CFF) or PostScript-flavored OpenType fonts into PostScript Type 1 font format . otfinfo reports information about OpenType fonts, such as the features they support and the contents of their ``size'' optical size option . otftotfm allows you to create TeX font metrics and encodings for using PostScript-flavored OpenType fonts . t1dotlessj creates a Type 1 font with a single character -- the dotless j corresponding to the specified design . t1lint checks a Type 1 font for correctness (preliminary) . t1testpage creates a PostScript test page for a specified font file (preliminary) . t1rawafm generates a "raw" (kernless and ligatureless) AFM file given a font file (PFB/PFA) . LCDF-TypeTools also includes the multiple-master font tools formerly distributed as mminstance. These tools allow you to use multiple-master fonts with programs that require single-master fonts (afm2tfm, ps2pk, fontinst, etc.). Both programs work fine with fonts that contain intermediate masters (e.g., Adobe Jenson MM and Adobe Kepler MM). . mmafm creates an AFM (Adobe font metric) file corresponding to a single instance of a multiple-master font. It reads (and therefore requires) the AMFM and AFM files distributed with the font. . mmpfb creates a normal, single-master font program that looks like an instance of a multiple-master font. It reads the multiple-master font program in PFA or PFB format. Package: lcdproc Version: 0.5.5-2 Architecture: armhf Maintainer: Dominique Dumont Installed-Size: 843 Depends: lsb-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5 Recommends: lcdproc-extra-drivers Homepage: http://www.lcdproc.org/ Priority: extra Section: utils Filename: pool/main/l/lcdproc/lcdproc_0.5.5-2_armhf.deb Size: 337814 SHA256: 96e6eda2b2e0eae4657841adeab5998349ea790a76d104d65ddaf27b4a4b6781 SHA1: b14b4947f3979444235db8e63ec7ff68df0146e4 MD5sum: 0789d11029663b57171e5dbc77ba2a35 Description: LCD display driver daemon and clients Lcdproc is a client/server suite including drivers for all kinds of nifty LCD displays. The server supports several serial devices: Matrix Orbital, Crystal Fontz, Bayrad, LB216, LCDM001 (kernelconcepts.de), Wirz-SLI and PIC-an-LCD; and some devices connected to the LPT port: HD44780, STV5730, T6963, SED1520 and SED1330. . Various clients are available that display things like CPU load, system load, memory usage, uptime, and a lot more. Custom clients can be written using the simple client-server protocol and provided example code. . This package contains the LCD drivers which need no extra libraries to run. In the lcdproc-extra-drivers package some USB and VGA on-screen displays are also supported. Package: lcdproc-dbg Source: lcdproc Version: 0.5.5-2 Architecture: armhf Maintainer: Dominique Dumont Installed-Size: 376 Depends: lcdproc (= 0.5.5-2) Homepage: http://www.lcdproc.org/ Priority: extra Section: debug Filename: pool/main/l/lcdproc/lcdproc-dbg_0.5.5-2_armhf.deb Size: 95012 SHA256: b5fc715ac5e3d229caf30c2d77d8e3984aea53b92b0bea9ba5d3ba54f4826f47 SHA1: bb1c0f297efa7fafdf7a60180145033d378b0e0c MD5sum: 46d610e124dad9772494df334f442075 Description: debugging symbols for lcdproc This is a client/server suite including drivers for all kinds of nifty LCD displays. . This package contains the debugging symbols associated with lcdproc. They will automatically be used by gdb for debugging libupnp-related issues. . Most people will not need this package. Package: lcdproc-extra-drivers Source: lcdproc Version: 0.5.5-2 Architecture: armhf Maintainer: Dominique Dumont Installed-Size: 254 Depends: lcdproc (= 0.5.5-2), libc6 (>= 2.13-28), libftdi1 (>= 0.20), libg15daemon-client1, libg15render1, liblircclient0, libusb-0.1-4 (>= 2:0.1.12), libx11-6, libxext6, libxinerama1, libxosd2 (>= 2.2.14) Homepage: http://www.lcdproc.org/ Priority: extra Section: utils Filename: pool/main/l/lcdproc/lcdproc-extra-drivers_0.5.5-2_armhf.deb Size: 90282 SHA256: 0b9bf6ea0c81822b20eff42a165517f92c1000e4a7774d222c7364ea3b284deb SHA1: f62625821583c155cdce3fc93570cc7dfbcdd5db MD5sum: f2709853d3390883339314c4109e397b Description: extra drivers for the LCD display driver daemon Lcdproc is a client/server suite including drivers for all kinds of nifty LCD displays. The server supports several serial devices: Matrix Orbital, Crystal Fontz, Bayrad, LB216, LCDM001 (kernelconcepts.de), Wirz-SLI and PIC-an-LCD; and some devices connected to the LPT port: HD44780, STV5730, T6963, SED1520 and SED1330. As of 0.4.4 some USB displays are also supported by the drivers CFonz633, CwLnx, and USBLCD. . This package contains the LCD drivers which need extra libraries to run such as USB, LIRC, SVGA, and the X Window System. Package: lcgdm-dbg Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 18853 Depends: liblcgdm1 (= 1.8.2-1) | liblfc1 (= 1.8.2-1) | lfc (= 1.8.2-1) | liblfc-perl (= 1.8.2-1) | python-lfc (= 1.8.2-1) | lfc-server-mysql (= 1.8.2-1) | lfc-server-postgres (= 1.8.2-1) | lfc-dli (= 1.8.2-1) | libdpm1 (= 1.8.2-1) | dpm (= 1.8.2-1) | libdpm-perl (= 1.8.2-1) | python-dpm (= 1.8.2-1) | dpm-server-mysql (= 1.8.2-1) | dpm-server-postgres (= 1.8.2-1) | dpm-name-server-mysql (= 1.8.2-1) | dpm-name-server-postgres (= 1.8.2-1) | dpm-copy-server-mysql (= 1.8.2-1) | dpm-copy-server-postgres (= 1.8.2-1) | dpm-srm-server-mysql (= 1.8.2-1) | dpm-srm-server-postgres (= 1.8.2-1) | dpm-rfio-server (= 1.8.2-1) Homepage: http://glite.web.cern.ch/glite/ Priority: extra Section: debug Filename: pool/main/l/lcgdm/lcgdm-dbg_1.8.2-1_armhf.deb Size: 6487196 SHA256: b95ecf1e63fad349ced108c39db212a09e685db65cbbd5081cbb634a5aae7285 SHA1: 6a2990411ed57ac1ff9ad720bbf30acb5f174a4b MD5sum: 7f52752e2f71a6efed9513e93cfeefee Description: LHC Computing Grid debuggng symbols This package provides dubug symbols for the LHC Computing Grid packages. Package: lcl Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 61 Depends: lcl-0.9.30.4 (= 0.9.30.4-6) Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lcl_0.9.30.4-6_armhf.deb Size: 19800 SHA256: ecabd99cb44675da7a11a0f0d06706e51ade70a41bd806c5336d4c729b86b48b SHA1: 4044e5b5292456ad625f847b4dcc42402b7950a3 MD5sum: 29913acfee13a7059b965144edbdff4d Description: Lazarus Components Library - LCL dependency package Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This dependency package always depends on the latest available version of the metapackage installing the full LCL and components. Package: lcl-0.9.30.4 Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 61 Depends: lcl-units-0.9.30.4, lcl-utils-0.9.30.4 Recommends: fpc, lazarus-ide-0.9.30.4 Suggests: gdb Breaks: lazarus-ide (<= 0.9.28.2-2), lcl (<< 0.9.28.2-13) Replaces: lazarus-ide (<= 0.9.28.2-2), lcl (<< 0.9.28.2-13) Provides: lcl Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lcl-0.9.30.4_0.9.30.4-6_armhf.deb Size: 19864 SHA256: b2c8b8486252e43a56170443c3356cf27b3f869063fbe3390019afd6c2ec4cf7 SHA1: 031b501920f3bd18ca40714506754ab7265e4c54 MD5sum: ffe5490f5e54330988b23bdf4a7c6793 Description: Lazarus Components Library - LCL suite Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This metapackage installs the full LCL and components. Package: lcl-gtk2 Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 61 Depends: lcl-gtk2-0.9.30.4 (= 0.9.30.4-6) Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lcl-gtk2_0.9.30.4-6_armhf.deb Size: 19830 SHA256: bace4bd02579ffe22454ef4e247b8397f4ca1542f548d70d8badc4670817d907 SHA1: 179b717f3e4f79ee26cf734c21936c080350c265 MD5sum: b4134ecf993d1b860122b284a10d8e6f Description: Lazarus Components Library - GTK+ backend dependency package Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This dependency package always depends on the latest available version of the package containing the part of the LCL for developing GTK+ applications. Package: lcl-gtk2-0.9.30.4 Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 7348 Depends: fpc-abi-2.6.0, fp-units-rtl, fp-units-base, fp-units-fcl, fp-units-gtk2 Recommends: lazarus-ide-0.9.30.4, fpc Suggests: gdb Breaks: lazarus-ide-0.9.30.4 (<= 0.9.28.2-2), lcl-gtk2 (<< 0.9.28.2) Replaces: lazarus-ide-0.9.30.4 (<= 0.9.28.2-2), lcl-gtk2 (<< 0.9.28.2) Provides: lcl-gtk, lcl-gtk2 Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lcl-gtk2-0.9.30.4_0.9.30.4-6_armhf.deb Size: 1630788 SHA256: 54d0187ea6340c293f0b9400f33faf186ac74225a0535e6807c77bdd094d2a7f SHA1: ed129982fcd11a54759ac09e89baf3c97c420e23 MD5sum: 52bd94a1ceb533610ae50f2296df36c0 Description: Lazarus Components Library - GTK+ backend Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This package contains the part of the LCL for developing GTK+ applications. Package: lcl-nogui Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 61 Depends: lcl-nogui-0.9.30.4 (= 0.9.30.4-6) Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lcl-nogui_0.9.30.4-6_armhf.deb Size: 19860 SHA256: 5a18fce8b1fbf3e5c4503782b9cdef6275342d14f265da48c9cfca4d104e71da SHA1: e572bf1db70f872523e5fd27e6efaf5367c2ab99 MD5sum: c92471a5e6207529524f7970559b7008 Description: Lazarus Components Library - no GUI backend dependency package Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This dependency package always depends on the latest available version of the package containing the part of the LCL for developing non-graphical applications and command line tools. Package: lcl-nogui-0.9.30.4 Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 236 Depends: fpc-abi-2.6.0, fp-units-rtl, fp-units-base, fp-units-fcl Recommends: lazarus-ide-0.9.30.4, fpc Suggests: gdb Breaks: lazarus-ide-0.9.30.4 (<= 0.9.28.2-2), lcl-nogui (<< 0.9.28.2) Replaces: lazarus-ide-0.9.30.4 (<= 0.9.28.2-2), lcl-nogui (<< 0.9.28.2) Provides: lcl-nogui Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lcl-nogui-0.9.30.4_0.9.30.4-6_armhf.deb Size: 52610 SHA256: 62bc4bdcb6180b063a6ca3b56ac2eb5e5bfc9a0fac97a32da20c1d236eb9114d SHA1: 33ad216867d3a25dfcf5604c47279e57aa2aea25 MD5sum: e6215003e9876dbd23e82e13a37a1190 Description: Lazarus Components Library - no GUI backend Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This package contains the part of the LCL for developing non-graphical applications and command line tools. Package: lcl-qt4 Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 61 Depends: lcl-qt4-0.9.30.4 (= 0.9.30.4-6) Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lcl-qt4_0.9.30.4-6_armhf.deb Size: 19828 SHA256: 30cc367ed5717207a7f2b2fffdd5ecbfe2ef493d03239b5820afd131ee7df0b5 SHA1: 595d3fce442b7687e1baf1f1398451ad18c05708 MD5sum: 85665066a3327b899b95e84c5dc5b301 Description: Lazarus Components Library - Qt backend dependency package Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This dependency package always depends on the latest available version of the package containing the part of the LCL for developing Qt applications. Package: lcl-qt4-0.9.30.4 Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 13681 Depends: fpc-abi-2.6.0, fp-units-rtl, fp-units-base, fp-units-fcl, libqt4pas-dev Recommends: lazarus-ide-0.9.30.4, fpc Suggests: gdb Provides: lcl-qt, lcl-qt4 Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lcl-qt4-0.9.30.4_0.9.30.4-6_armhf.deb Size: 2632296 SHA256: 9ce90fb801d6dc810933ef22ef7158ba7eb65b2f922e93e1d8ed27df6c8e6b1f SHA1: b2a5111cbe8c8105322170a5f5654ee06d76701e MD5sum: fb1ddddb016ec152b0fe407623114502 Description: Lazarus Components Library - Qt backend Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This package contains the part of the LCL for developing Qt applications. Package: lcl-units Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 61 Depends: lcl-units-0.9.30.4 (= 0.9.30.4-6) Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lcl-units_0.9.30.4-6_armhf.deb Size: 19840 SHA256: 7d888e9337e9456b5f42c7784d2048eafdad9d20a9c5af97f9ecefa6a6b5395b SHA1: cbe8c71ae19fa48e0243d615a81aa3574aa65373 MD5sum: fc3ee39407f6526dbe32cf958a6ad4bb Description: Lazarus Components Library - backend independent components dependency package Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This dependency package always depends on the latest available version of the package containing common components. Package: lcl-units-0.9.30.4 Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 199860 Depends: fpc-abi-2.6.0, lcl-gtk2-0.9.30.4 (= 0.9.30.4-6) | lcl-qt4-0.9.30.4 (= 0.9.30.4-6) Recommends: lazarus-ide-0.9.30.4, fpc Suggests: gdb Breaks: lazarus-ide-0.9.30.4 (<= 0.9.28.2-2), lcl-units (<< 0.9.28.2) Replaces: lazarus-ide-0.9.30.4 (<= 0.9.28.2-2), lcl-units (<< 0.9.28.2) Provides: lcl-0.9.30.4, lcl-units Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lcl-units-0.9.30.4_0.9.30.4-6_armhf.deb Size: 40591750 SHA256: da57f5bfb1d0e93c24ccaf3ba6db53f7b82d33be56ed0362d3d8d8f8241ac8f9 SHA1: 3b6260aae14724e358aee5306807b05fb3afd229 MD5sum: 42f1fcfbc0b3210230e3583952b77fe3 Description: Lazarus Components Library - backend independent components Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This package contains common components of the LCL. Package: lcl-utils Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 61 Depends: lcl-utils-0.9.30.4 (= 0.9.30.4-6) Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lcl-utils_0.9.30.4-6_armhf.deb Size: 19828 SHA256: 1fdd2f262468957488aff4b2721cb47db8395eff28039eddd5f22b9a46918b31 SHA1: f0e3e94479a49ccd505fed8999282a47ddd57e68 MD5sum: 96dfdb5074cd518f28b3e78fdd646b6e Description: Lazarus Components Library - command line build tools dependency package Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This dependency package always depends on the latest available version of the package pulling in the command line tools to ease building LCL applications. Package: lcl-utils-0.9.30.4 Source: lazarus Version: 0.9.30.4-6 Architecture: armhf Maintainer: Carlos Laviola Installed-Size: 9620 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), fp-compiler Recommends: lcl-0.9.30.4, lazarus-ide-0.9.30.4 Breaks: lazarus-ide (<= 0.9.28.2-2), lcl-utils (<< 0.9.28.2-13) Replaces: lazarus-ide-0.9.30.4 (<= 0.9.28.2-2), lcl-utils (<< 0.9.28.2-13) Provides: lcl-utils Homepage: http://www.lazarus.freepascal.org Priority: optional Section: devel Filename: pool/main/l/lazarus/lcl-utils-0.9.30.4_0.9.30.4-6_armhf.deb Size: 2761884 SHA256: b922286ee6c93715c29cd94711829e98bab8ba6fdecdf8bdf0eddd02693a8c13 SHA1: 825acecf61bb01a9a7549eb58a62332da5aac463 MD5sum: 4e94ac49aff4d60dae35bf78764b6917 Description: Lazarus Components Library - command line build tools Lazarus is an IDE to create (graphical and console) applications with Free Pascal, the (L)GPLed Pascal and Object Pascal compiler that runs on Windows, Linux, Mac OS X, FreeBSD and more. . Lazarus is the missing part of the puzzle that will allow you to develop programs for all of the above platforms in a Delphi-like environment. The IDE is a RAD tool that includes a form designer. . Unlike Java's "write once, run anywhere" motto, Lazarus and Free Pascal strive for "write once, compile anywhere". Since the exact same compiler is available on all of the above platforms you don't need to do any recoding to produce identical products for different platforms. . In short, Lazarus is a free RAD tool for Free Pascal using its Lazarus Component Library (LCL). . This package contains command line tools to ease building LCL based applications. Package: lcov Version: 1.9-3 Installed-Size: 391 Maintainer: Alastair McKinstry Architecture: all Depends: perl, gcc Recommends: libgd-gd2-perl Size: 108876 SHA256: 4e2ef57dde6830fb35a29f0b92165d5230013fd9955472dd6586d16238d08285 SHA1: 651cb7bc55433fe01a7c846b0d49bd7a7631b9b7 MD5sum: d6d10b9815ffdd17680eefe325b5ad5e Description: Summarise Code coverage information from GCOV LCOV is an extension of GCOV, a GNU tool which provides information about what parts of a program are actually executed (i.e. "covered") while running a particular test case. The extension consists of a set of Perl scripts which build on the textual GCOV output to implement the following enhanced functionality: . - HTML based output: coverage rates are additionally indicated using bar graphs and specific colors. - Support for large projects: overview pages allow quick browsing of coverage data by providing three levels of detail: directory view, file view and source code view. Homepage: http://ltp.sourceforge.net/coverage/lcov.php Tag: devel::testing-qa, implemented-in::perl, role::program, scope::utility, works-with-format::html, works-with::text Section: devel Priority: optional Filename: pool/main/l/lcov/lcov_1.9-3_all.deb Package: lcrack Version: 20040914-1 Architecture: armhf Maintainer: Tiago Bortoletto Vaz Installed-Size: 104 Depends: libc6 (>= 2.4) Homepage: http://usuarios.lycos.es/reinob/ Priority: optional Section: utils Filename: pool/main/l/lcrack/lcrack_20040914-1_armhf.deb Size: 39692 SHA256: b28e63ca780a94543eaf583c5375d5ae418c16c5a25078196ef828b58ede1c4d SHA1: d66192180d6e99b6c4e58f21cd3c2e0fd526af0c MD5sum: f6fb867fef300abeb9bc412d0f92477d Description: A generic password cracker Lepton's Crack is a generic password cracker, easily customizable with a simple plug-in system. It can perform a dictionary-based (wordlist) attack, as well as a brute-force (incremental) password scan. . For the incremental scan, the user can provide a regex-like expression that will be enumerated, thus checking every possible combination. This powerful feature effectively combines `shoulder-surfing' with standard brute-forcing. . By default it comes with the following modules: * md4 : standard MD4 hash * md5 : standard MD5 hash * nt4 : NT MD4/Unicode * dom : Lotus Domino HTTP password * sha1 : standard SHA-1 hash * null : trivial 1-byte hash Package: lcrt Version: 1.1.2-1 Architecture: armhf Maintainer: Asias He Installed-Size: 643 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0), libsqlite3-0 (>= 3.5.9), libvte9 (>= 1:0.28.0), libx11-6, openssh-client Homepage: http://code.google.com/p/lcrt Priority: optional Section: net Filename: pool/main/l/lcrt/lcrt_1.1.2-1_armhf.deb Size: 310226 SHA256: 36a0bd6f47145069e7072b55bc768aa4509f4aa2e92ae8856a4b7d546f39ee5a SHA1: f722090738719c416aacc900161cd2dda2829a67 MD5sum: 53869bbad377f20184d9c5fa1259adc8 Description: graphic Linux remote login tool lcrt is a Linux remote login tool. Just like ssh or telnet, but it has a GUI and can manage many connections. User can use it to save connection information such as hostname, username and, optionally, password, and auto login next time. lcrt also can clone a connected session, so user can open many connections quickly. Package: ld10k1 Source: alsa-tools Version: 1.0.25-2 Architecture: armhf Maintainer: Debian ALSA Maintainers Installed-Size: 307 Depends: lsb-base (>= 3.0-3), libasound2 (>= 1.0.16), libc6 (>= 2.13-28), liblo10k1-0 Suggests: alsa-tools Homepage: http://www.alsa-project.org/ Priority: extra Section: sound Filename: pool/main/a/alsa-tools/ld10k1_1.0.25-2_armhf.deb Size: 113380 SHA256: dc0fa6176d4aca4866b0663f4de3a8a42af6435c11724fe0cca1f34f0edc092f SHA1: 01d9e1d9327a29a9731e7d52413dce2eb89f60cb MD5sum: 97d5505a6ff5ee994c0aaee778c5b30e Description: ALSA emu10k1/2 patch loader A patch loader for use with the emu10k1 ALSA driver. Supports Sound Blaster Live!, Audigy and Audigy 2. Package: ldap-account-manager Version: 3.7-2+deb7u1 Installed-Size: 31005 Maintainer: Roland Gruber Architecture: all Depends: php5 (>= 5.2.4), php5-ldap, php5-gd, apache2 | httpd, php-fpdf (>= 1.7), debconf (>= 0.5) | debconf-2.0 Suggests: ldap-server, php5-mcrypt, ldap-account-manager-lamdaemon, perl Size: 9106286 SHA256: 33e40f485bb276e12c80480968ca80a69697ff90b6ddbea40ea1a1784dddb348 SHA1: b73fb14673b8457674adc8d4810d77cbfc7fdd8f MD5sum: 9a90fa989ae1525799f667fa42ee92b9 Description: webfrontend for managing accounts in an LDAP directory LDAP Account Manager (LAM) runs on an existing webserver. It manages user, group and host accounts. Currently LAM supports these account types: Samba 3, Unix, Kolab 2, address book entries, NIS mail aliases and MAC addresses. There is an integrated LDAP browser to allow access to the raw LDAP attributes. You can use templates for account creation and use multiple configuration profiles. Account information can be exported as PDF file. There is also a script included which manages quotas and homedirectories. Homepage: http://www.ldap-account-manager.org/ Section: web Priority: extra Filename: pool/main/l/ldap-account-manager/ldap-account-manager_3.7-2+deb7u1_all.deb Package: ldap-account-manager-lamdaemon Source: ldap-account-manager Version: 3.7-2+deb7u1 Installed-Size: 15088 Maintainer: Roland Gruber Architecture: all Depends: perl, sudo, libquota-perl, libsys-syslog-perl Size: 4932946 SHA256: f899cb0077d3e08757eff41fb49182622090e99233df8476e64dc65021c59e5c SHA1: 2d56646ff0b7dc151a8c7f3bbe887ae7c448aec7 MD5sum: 85e71438a754bc359a200d22183f85f1 Description: Quota and home directory management for LDAP Account Manager Lamdaemon is part of LDAP Account Manager. This package needs to be installed on the server where the home directories reside and/or quotas should be managed. Homepage: http://www.ldap-account-manager.org/ Section: web Priority: extra Filename: pool/main/l/ldap-account-manager/ldap-account-manager-lamdaemon_3.7-2+deb7u1_all.deb Package: ldap-haskell-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ldap-doc Size: 4068 SHA256: c374e469f69d84b24b94c89538a6cd0825389b1a71d2ef6f910b713e055aa306 SHA1: 7fba7f6f88c811f49b06aff71af2fc35af0569f0 MD5sum: 4b2e44ed6b4e58ba3a0d366b388d4ae7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/ldap-haskell-doc_8_all.deb Package: ldap-utils Source: openldap Version: 2.4.31-2+rpi1 Architecture: armhf Maintainer: Debian OpenLDAP Maintainers Installed-Size: 635 Depends: libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libldap-2.4-2 (= 2.4.31-2+rpi1), libsasl2-2 (>= 2.1.24) Recommends: libsasl2-modules Conflicts: ldap-client, openldap-utils, umich-ldap-utils Replaces: openldap-utils, openldapd, slapd (<< 2.2.23-0.pre6) Provides: ldap-client, openldap-utils Homepage: http://www.openldap.org/ Priority: optional Section: net Filename: pool/main/o/openldap/ldap-utils_2.4.31-2+rpi1_armhf.deb Size: 326226 SHA256: 47f9b323a9a2c8dd50ee242a477f80a6fd9dbdf469733315d72120ceb8a6f82d SHA1: 5bff6c944a8f71621a0e4c1495c011eb8d5da086 MD5sum: 534e3f09b4d3761adcd5800468cf7dac Description: OpenLDAP utilities This package provides utilities from the OpenLDAP (Lightweight Directory Access Protocol) package. These utilities can access a local or remote LDAP server and contain all the client programs required to access LDAP servers. Package: ldap2dns Version: 0.3.1-3.1 Architecture: armhf Maintainer: Igor Stroh Installed-Size: 104 Depends: libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7), bind | bind9 | djbdns | djbdns-installer Recommends: ldap-utils (>= 2.1.22-1) Priority: optional Section: admin Filename: pool/main/l/ldap2dns/ldap2dns_0.3.1-3.1_armhf.deb Size: 30532 SHA256: 08f9af25b03fcb8f4e7dfeddc16631dda2e534d7f80579b3333d454ad208a854 SHA1: 677712319cc3a232729fca9e97b885ff3731a43c MD5sum: cebc469cc933094de5837906811dd2de Description: LDAP based DNS management system. ldap2dns is a program to create DNS (Domain Name Service) records directly from an LDAP directory. It can and should be used to replace the secondary name-server by a second primary one. ldap2dns reduces all kind of administration overhead: No more flat file editing, no more zone file editing. After having installed ldap2dns, the administrator only has to access the LDAP directory. . ldap2dns is designed to write ASCII data files used by tinydns from the djbdns package, but also may be used to write .db-files used by named as found in the BIND package. Package: ldap2zone Version: 0.2-3.1 Architecture: armhf Maintainer: GOsa packages maintainers group Installed-Size: 77 Depends: libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7), bind9 Conflicts: ldap2dns, ldapdns Homepage: https://oss.gonicus.de/labs/gosa Priority: optional Section: utils Filename: pool/main/l/ldap2zone/ldap2zone_0.2-3.1_armhf.deb Size: 13390 SHA256: 40cf90c9afdb5921c7cb7c95aaf003d2565e9516c9b6b472e23ebe0434ea86b1 SHA1: d9a65e8223b8679153acd84660fe9b73287c7e51 MD5sum: 94f9e57c4af83df2a5cc7e2ccb05acac Description: Extract DNS zones from LDAP trees This is a tool that reads info for a zone from LDAP and constructs a standard plain ascii zone file. The LDAP information has to be stored using the dnszone schema. Package: ldapscripts Version: 2.0.1-1 Installed-Size: 114 Maintainer: Alexander GQ Gerasiov Architecture: all Depends: ldap-utils Recommends: sharutils, pwgen Suggests: libnss-ldap Size: 53444 SHA256: a9d90f6aeb031f25df629391e105f861b532bd7da219a4b73e7e3b0a15e66e5f SHA1: b5ecfa70bedb3e2b43b155ee5d32c181c1c6cb45 MD5sum: 76d7d19728cc2ba8688a49f08282e5d9 Description: Add and remove user and groups (stored in a LDAP directory) Ldapscripts are shell scripts that allow management of POSIX accounts (users, groups, machines) in a LDAP directory. They are similar to smbldap-tools but are written in shellscript, not Perl. . They only require OpenLDAP client commands (ldapadd, ldapdelete, ldapsearch, ldapmodify, ldappasswd) and make administrator's work a lot easier avoiding the need to configure PERL and each library dependency (e.g. Net::LDAP). . These scripts are very simple to configure by not requiring any Samba-related information (SID, profiles, homes, ...) : management of Samba attributes is entirely done by standard commands (net, smbpasswd et pdbedit) used together with the scripts. Moreover, most of the configuration is guessed from the one of libpam-ldap, and everything should work out from the box for most users. . The scripts may be used independently - within command lines - or automatically by Samba (like smbldap-tools), to handle POSIX information within accounts before adding Samba information. Homepage: http://ldapscripts.sourceforge.net/ Tag: admin::configuring, admin::user-management, implemented-in::shell, interface::commandline, protocol::ldap, role::program, suite::samba Section: admin Priority: optional Filename: pool/main/l/ldapscripts/ldapscripts_2.0.1-1_all.deb Package: ldaptor-doc Source: ldaptor Version: 0.0.43+debian1-7 Installed-Size: 17269 Maintainer: Debian Python Modules Team Architecture: all Size: 1346112 SHA256: 68c7d3bbf4055dd75548a606667f94b1efbdac39f25e95636b2a488e264b9a82 SHA1: f5837de565a028c12fe840bb5fc99e55ac61fc40 MD5sum: a1aed43b8548727959ff2aee52b2ebde Description: documentation for Ldaptor A collection of documentation about Ldaptor and LDAP, including: . * An introduction to LDAP * The Ldaptor library API * Slides for a talk "Creating a simple LDAP application" Homepage: http://www.inoi.fi/open/trac/ldaptor Tag: devel::doc, devel::lang:python, protocol::ldap, role::documentation, works-with::db Section: doc Priority: optional Filename: pool/main/l/ldaptor/ldaptor-doc_0.0.43+debian1-7_all.deb Package: ldaptor-utils Source: ldaptor Version: 0.0.43+debian1-7 Installed-Size: 119 Maintainer: Debian Python Modules Team Architecture: all Depends: python, python-ldaptor Size: 40342 SHA256: 270eb6602f24bff858e20797beb7f9b962fe017ab4c36a90d1e31325302258d2 SHA1: 16dddc85d320709099c4c51676da06e17b9639fb MD5sum: e7bb6d63d597f571bd3d2955db0aa729 Description: command-line LDAP utilities A set of LDAP utilities for use from the command line, including: . * ldaptor-search -- Search LDAP directories. * ldaptor-namingcontexts -- Fetch the naming contexts the server supports. * ldaptor-find-server -- Find the server that serves the wanted DN by looking at DNS SRV records. * ldaptor-passwd -- Change passwords. * ldaptor-rename -- Change object RDN and DNs. * ldaptor-ldap2passwd -- Generate passwd(5) format data from LDAP accounts. * ldaptor-getfreenumber -- Do an efficient scan for e.g. next free uidNumber. * ldaptor-ldap2dhcpconf -- Create dhcp.conf based on LDAP host info. * ldaptor-ldap2dnszones -- Create a DNS zone files based on LDAP host info. * ldaptor-ldap2maradns -- Create a maradns zone file based on LDAP host info. * ldaptor-ldap2pdns -- pdns pipe backend. * ldaptor-fetchschema -- Fetch schema from a server. Homepage: http://www.inoi.fi/open/trac/ldaptor Tag: implemented-in::python, interface::commandline, protocol::ldap, role::program, scope::utility, works-with::db Section: admin Priority: optional Filename: pool/main/l/ldaptor/ldaptor-utils_0.0.43+debian1-7_all.deb Package: ldapvi Version: 1.7-9 Architecture: armhf Maintainer: Gerfried Fuchs Installed-Size: 215 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libldap-2.4-2 (>= 2.4.7), libncurses5 (>= 5.5-5~), libpopt0 (>= 1.14), libreadline6 (>= 6.0), libtinfo5 Homepage: http://www.lichteblau.com/ldapvi/ Priority: optional Section: text Filename: pool/main/l/ldapvi/ldapvi_1.7-9_armhf.deb Size: 71336 SHA256: 4b50d8ad6caa22c13a70a4f2c682cdf2cf68f94cf1c3164a93c53122458f491b SHA1: 66a732849db5c3d1ecba4bd6f68ce86c212d12ff MD5sum: ed42f0e09c36565ee178291c2d0b736a Description: perform an LDAP search and update results using a text editor From a first glance ldapvi looks like ldapsearch: You search for entries in the ldap database. But the results get opened in your preferred editor, and you can change, add or delete entries from there. After you are done you quit the editor and ldapvi offers you several options: View your changes as LDIF, commit changes or discard them. Package: ldb-tools Source: ldb Version: 1:1.1.6-1 Architecture: armhf Maintainer: Jelmer Vernooij Installed-Size: 132 Depends: libc6 (>= 2.13-28), libldb1 (>= 1.1.5), libpopt0 (>= 1.14), libtalloc2 (>= 2.0.4~git20101213) Homepage: http://ldb.samba.org/ Priority: optional Section: utils Filename: pool/main/l/ldb/ldb-tools_1.1.6-1_armhf.deb Size: 37192 SHA256: 2fb651efa9c734f44f4aa0cb3a8bf3c71029c2daf6458ef2262243a86a9fee97 SHA1: d3bbf33cb4f1e64398a4f4c7d99b334851660ee7 MD5sum: db21582b1ea76047abeae4e45523ecdc Description: LDAP-like embedded database - tools ldb is a LDAP-like embedded database built on top of TDB. . What ldb does is provide a fast database with an LDAP-like API designed to be used within an application. In some ways it can be seen as a intermediate solution between key-value pair databases and a real LDAP database. . This package contains bundled test and utility binaries Package: ldirectord Source: resource-agents Version: 1:3.9.2-5+deb7u2 Installed-Size: 233 Maintainer: Debian HA Maintainers Architecture: all Depends: ipvsadm, libauthen-radius-perl, libcrypt-ssleay-perl, libdbi-perl, libdigest-hmac-perl, libdigest-md5-perl, libmail-pop3client-perl, libmailtools-perl, libnet-dns-perl, libnet-imap-simple-perl, libnet-imap-simple-ssl-perl, libnet-ldap-perl, libnet-perl, libsocket6-perl, libwww-perl, perl Recommends: logrotate, rsyslog | system-log-daemon Conflicts: libpils0 (<< 2.0.8-3), libstonith0 (<< 2.0.8-3), stonith (<< 2.0.8-3) Size: 65714 SHA256: 8b187e374698762532e63a3421bbcfa743135ad65105be353c50c8460500f635 SHA1: 056a243104f7d20583538413c81909313dd5d36a MD5sum: 253eb817a6c70c6bbd7cf57c0e72d71f Description: Monitors virtual services provided by LVS ldirectord is a stand-alone daemon to monitor services of real for virtual services provided by The Linux Virtual Server (LVS). and works with Linux-HA's heartbeat package. Homepage: https://github.com/ClusterLabs/resource-agents Tag: interface::daemon, network::server, role::program, use::monitor Section: admin Priority: extra Filename: pool/main/r/resource-agents/ldirectord_3.9.2-5+deb7u2_all.deb Package: ldm Version: 2:2.2.11-2 Architecture: armhf Maintainer: LTSP Debian Maintainers Installed-Size: 557 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.22.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxext6, openssh-client | ssh, xserver-xorg | xserver, gettext-base, python, procps Recommends: netcat-traditional | netcat, gtk2-engines, dmz-cursor-theme, ldm-themes (>= 12.07.1) Breaks: ltsp-client-core (<= 5.0.40~bzr20080121-2) Replaces: ltsp-client-core (<= 5.0.40~bzr20080121-2) Homepage: http://ltsp.org/ Priority: extra Section: misc Filename: pool/main/l/ldm/ldm_2.2.11-2_armhf.deb Size: 176984 SHA256: 164e5e6e1a25a1378b5a956bc32a0fd41976b87898687ac3a44b12420d184a4c SHA1: 7831abbea1536f8068b1af724f8ef3f0a1a1c0bf MD5sum: 73611ac67476a859a99c58eac6afa987 Description: LTSP display manager ldm is an X11 display manager similar to xdm, gdm and kdm, but unlike those it wraps the X11 traffic within an SSH tunnel to provide a secure login mechanism for remote X sessions. . LTSP stands for 'Linux Terminal Server Project'. Package: ldm-server Source: ldm Version: 2:2.2.11-2 Installed-Size: 70 Maintainer: LTSP Debian Maintainers Architecture: all Replaces: ltsp-server (<= 5.1.62) Depends: python, x11-common, openssh-server, update-inetd, openbsd-inetd | inet-superserver Recommends: ltspfs, gnome-session | x-session-manager | x-window-manager, libasound2-plugins, xauth Breaks: ltsp-server (<= 5.1.62) Size: 62014 SHA256: dfc13a4d413abd18cdfe5d019aa711dc97c9e9ff855c8c9faf6049ca645a25e2 SHA1: 4e6efd5cad7b835d1087a5bbac9eee6c1f6aecc2 MD5sum: 0520e3f34391746ee73a528f166be0c5 Description: server components for LTSP display manager ldm is an X11 display manager similar to xdm, gdm and kdm, but unlike those it wraps the X11 traffic within an SSH tunnel to provide a secure login mechanism for remote X sessions. . This package includes the server components used by ldm to discover available sessions and locales on the server. It also recommends packages relevent for local device access, a login session, and remote sound. . LTSP stands for 'Linux Terminal Server Project'. Homepage: http://ltsp.org/ Tag: implemented-in::c, interface::daemon, network::server, role::program Section: misc Priority: extra Filename: pool/main/l/ldm/ldm-server_2.2.11-2_all.deb Package: ldm-themes Version: 12.07.1 Installed-Size: 196 Maintainer: LTSP Debian/Ubuntu Maintainers Architecture: all Recommends: gtk2-engines Size: 194896 SHA256: e64832fea7a89d0847dc228243bd86e0876ae2527b4518cfdd6b9825e833aac1 SHA1: 057874b80101d967b2a294935691feac077eb195 MD5sum: 0182a810d8225fdb14fc28513547cc2a Description: Collection of themes for the LTSP login manager LDM is the LTSP Display Manager. It manages logins to sessions hosted on remote machines. . This package currently provides the following themes: - Joy Tag: role::app-data Section: misc Priority: optional Filename: pool/main/l/ldm-themes/ldm-themes_12.07.1_all.deb Package: ldnsutils Source: ldns Version: 1.6.13-1+deb7u2 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 440 Depends: libc6 (>= 2.13-28), libldns1 (>= 1.6.13), libpcap0.8 (>= 0.9.8), libssl1.0.0 (>= 1.0.0) Priority: extra Section: net Filename: pool/main/l/ldns/ldnsutils_1.6.13-1+deb7u2_armhf.deb Size: 159206 SHA256: f2e4742838dcd0da69c8f4c1ac27f9820ab1699f41a6a4027f75a947f57d369f SHA1: ecb647c7aeb1ec5f0d5ec943a637f4305cb72c48 MD5sum: df26beceb09312c183062c7109b57105 Description: ldns library for DNS programming The goal of ldns is to simplify DNS programming, it supports recent RFCs like the DNSSEC documents, and allows developers to easily create software conforming to current RFCs, and experimental software for current Internet Drafts. . This package contains various client programs related to DNS that are based on top of libldns library and DRILL tool which is similar to dig. These tools were designed with DNSSEC in mind and are useful for DNS and DNSSEC testing and debugging. Package: ldp-docbook-dsssl Source: ldp-docbook-stylesheets Version: 0.0.20040321-2 Installed-Size: 68 Maintainer: Debian XML/SGML Group Architecture: all Depends: docbook-dsssl Size: 6008 SHA256: e8fd91a5127123016aebb6c64c43a08722e578125906bc99aec142dedb8faea3 SHA1: e0e5ab2e232c529e6b54974391604a5afa8dfd01 MD5sum: 46106a72fa0f77040f800ae7cb211f65 Description: The Linux Documentation Project's DSSSL stylesheets This is a customized stylesheet authored by contributors to the Linux Documentation Project. It imports standard DocBook stylesheets and overrides certain components. . This package provides the DSSSL stylesheet; XSL versions can be found in ldp-docbook-xsl. Tag: made-of::xml, role::data, role::documentation Section: text Priority: optional Filename: pool/main/l/ldp-docbook-stylesheets/ldp-docbook-dsssl_0.0.20040321-2_all.deb Package: ldp-docbook-xsl Source: ldp-docbook-stylesheets Version: 0.0.20040321-2 Installed-Size: 172 Maintainer: Debian XML/SGML Group Architecture: all Depends: docbook-xsl Size: 29468 SHA256: e04239194803162ddeafe004fa3b29f59b4a14d631f5c2eec833a22644087b1e SHA1: 81214b57b1456e075fd73e6fd9cf2e88617dc4f3 MD5sum: e47e4ea449b32798009a732899113bb0 Description: The Linux Documentation Project's XSL stylesheets These are customized stylesheets authored by contributors to the Linux Documentation Project. They import standard DocBook stylesheets and override certain components. . This package provides the XSL stylesheets; a DSSSL version can be found in ldp-docbook-dsssl. Tag: made-of::xml, role::data, role::documentation Section: text Priority: optional Filename: pool/main/l/ldp-docbook-stylesheets/ldp-docbook-xsl_0.0.20040321-2_all.deb Package: ldtp Version: 2.3.1-1 Installed-Size: 342 Maintainer: Kartik Mistry Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-wnck-3.0, python-gobject, python-pyatspi2, python-twisted-web Size: 48098 SHA256: fe4dcb3cd1d339e36fc91144f0ed6786395b860208a0fb05d9300546d7f390b0 SHA1: 488d15a8552f7d6ea008d4145167269b9b6b18fb MD5sum: db2e6c15ec1553e18939c5131c2a892a Description: Linux Desktop Testing Project (LDTP) Linux Desktop Testing Project is aimed at producing high quality test automation framework and cutting-edge tools that can be used to test GNU/Linux Desktop and improve it. It uses the Accessibility libraries to poke through the applications user interface. Homepage: http://ldtp.freedesktop.org Tag: devel::testing-qa, implemented-in::python, interface::commandline, role::program Section: devel Priority: optional Filename: pool/main/l/ldtp/ldtp_2.3.1-1_all.deb Package: ldtp-doc Source: ldtp Version: 2.3.1-1 Installed-Size: 2234 Maintainer: Kartik Mistry Architecture: all Replaces: ldtp-doc (<< 0.8-5) Recommends: ldtp (>= 2.3.1) Size: 146710 SHA256: 9189fa09bf39fd4001614aba575d9231ddb99af0df94c73e2cd3070b53c83147 SHA1: b8b4996111884baf373f9c5c6f7a05f18a2bb16d MD5sum: e00b82056447926a396bf9f9f57e62a6 Description: Documentation for LDTP packages Linux Desktop Testing Project is aimed at producing high quality test automation framework and cutting-edge tools that can be used to test GNU/Linux Desktop and improve it. It uses the Accessibility libraries to poke through the applications user interface. . This package contains documentation for LDTP packages. Homepage: http://ldtp.freedesktop.org Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/l/ldtp/ldtp-doc_2.3.1-1_all.deb Package: le Version: 1.14.3-2 Architecture: armhf Maintainer: Raphael Geissert Installed-Size: 510 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncursesw5 (>= 5.6+20070908), libtinfo5 Provides: editor Homepage: http://freshmeat.net/projects/leeditor/ Priority: optional Section: editors Filename: pool/main/l/le/le_1.14.3-2_armhf.deb Size: 187094 SHA256: 52dcd9d0f5aa37267ba5bf3ad5841a92d79b7ab97aaf4b1d91e3ca45de7aa584 SHA1: c7de71326969e1c1bf8d6fee28f8c6c0405a6683 MD5sum: 7ade0b04ad666882d4f57e7e7e0f4072 Description: Text editor with block and binary operations LE has many block operations with stream and rectangular blocks, can edit both Unix and DOS style files (LF/CRLF), is binary clean, has hexadecimal mode, can edit large files and mmap'able devices, has tunable syntax highlighting, tunable key map, and tunable color scheme (but can use default colors). Package: le-dico-de-rene-cougnenc Version: 1.3-2.1 Architecture: armhf Maintainer: Eric Madesclair Installed-Size: 1756 Depends: libc6 (>= 2.13-28) Priority: optional Section: text Filename: pool/main/l/le-dico-de-rene-cougnenc/le-dico-de-rene-cougnenc_1.3-2.1_armhf.deb Size: 611652 SHA256: ffc4d2d889af11f198fffa551c544554a2d7cb1d165084648c37c6f7fb2edc06 SHA1: b1331b37f5d32a3b229dcad784920389a02ed452 MD5sum: 0b8e7e86ceed8ec66a757649783a61e0 Description: the "Dico" by Rene Cougnenc Le-dico-de-rene-coungnec is a French dictionary. This dictionary contains more than 100,000 words like nouns, verbs, conjugations, adjectives and complex plural forms as well as the name and the postal code of the French cities. . This list has been carefully elaborated by a team of French BBS users and put in the public domain in accented ASCII format either using the IBM MS/DOS charset or the ISO-8859-1 charset for other systems. Package: leafnode Version: 1.11.8-3 Architecture: armhf Maintainer: Robert Grimm Installed-Size: 791 Depends: netbase, openbsd-inetd | inet-superserver, tcpd, logrotate, libc6 (>= 2.13-28), libpcre3 (>= 8.10), debconf (>= 0.5) | debconf-2.0 Suggests: slrn | news-reader, perl Conflicts: news-transport-system, newsx Provides: news-transport-system Homepage: http://leafnode.org/ Priority: extra Section: news Filename: pool/main/l/leafnode/leafnode_1.11.8-3_armhf.deb Size: 365306 SHA256: 1d42a162ab72c9559f9b8e98b1024d03340aff211160a9aaf1ccd490c373ff65 SHA1: d6fe1c9c0fa61d198b94be79c80ade97aaf2dec0 MD5sum: bbbaba1d6b7d19c44d6e559c4df45736 Description: NNTP server for small sites Leafnode is a news server suitable for small, limited-bandwidth sites with only a few users ('leaf' sites). It keeps track of which groups are being read, and downloads only articles in those groups. Leafnode has been designed to require no maintenance and to be easy to set up. . Perl is required to use some optional features of the package for handling very low volume newsgroups. Package: leafpad Version: 0.8.18.1-3 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 696 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0) Suggests: evince-gtk Homepage: http://tarot.freeshell.org/leafpad/ Priority: optional Section: editors Filename: pool/main/l/leafpad/leafpad_0.8.18.1-3_armhf.deb Size: 108454 SHA256: 488f5093b98523e0646af403bbc9081e69274d548e66e0c0526287d6e844a69d SHA1: 27239617365dbba3d3c5dcb40d8486476049b930 MD5sum: 9e0c53cc3120f2201e2ed6493e314bc2 Description: GTK+ based simple text editor Leafpad is a simple GTK+ based text editor, the user interface is similar to Notepad. It aims to be lighter than GEdit and KWrite, and to be as useful as them. Package: leaktracer Version: 2.4-5 Architecture: armhf Maintainer: Yann Dirson Installed-Size: 81 Depends: gdb, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), perl Priority: optional Section: devel Filename: pool/main/l/leaktracer/leaktracer_2.4-5_armhf.deb Size: 21714 SHA256: e50d8c1a87069ff5f887aba962bcad24bfc888134f95fe44b788acc942fe0be0 SHA1: 821c42ddc69ab088f0bcca2b148993f0e0e73d99 MD5sum: 80f236ebf82be069c5d4c7bd43d78642 Description: Simple and efficient memory-leak tracer for C++ programs LeakTracer traces calls to new and delete, and reports inconsistencies in the C++-level memory-management. . It has limitations (eg. when you override the new and delete operators yourself), but is very easy to use (eg. compared to more complete tools like mpatrol), traces the C++ level (unlike most other tools), and gives pretty good results. . It uses gdb to display source-file information. Package: leave Version: 1.12-2.1 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 46 Depends: libc6 (>= 2.13-28) Priority: optional Section: utils Filename: pool/main/l/leave/leave_1.12-2.1_armhf.deb Size: 7832 SHA256: 7e058572cf6c4a07cc15056a18d998fcebe186eb99bb4c3d868b2b64f12b233f SHA1: c1b3d2f0524efa61899c35590e7f017988c1d0c5 MD5sum: 34bf079f871392d40d315bdd0a0578ba Description: Reminds you when you have to leave Leave waits until the specified time, then reminds you that you have to leave. You are reminded 5 minutes and 1 minute before the actual time, at the time, and every minute thereafter. When you log off, leave exits just before it would have printed the next message. Package: lebiniou Version: 3.18-1 Architecture: armhf Maintainer: Olivier Girondel Installed-Size: 1677 Depends: libasound2 (>= 1.0.16), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libfftw3-3, libglib2.0-0 (>= 2.24.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpulse0 (>= 0.99.1), libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libswscale2 (>= 5:0.8-2~), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), ttf-freefont, lebiniou-data (>= 3.10) Conflicts: lebiniou-gl Homepage: http://biniou.net Priority: extra Section: graphics Filename: pool/main/l/lebiniou/lebiniou_3.18-1_armhf.deb Size: 367906 SHA256: 784411993486cc87b3f8242326a030180cd4a0b094425d3e400d57e142d604d9 SHA1: ff1de00f7b6ec3811cf3f6cf903cae891ff5b36e MD5sum: a34f354af25aa3af28f4308271d5976a Description: displays images that evolve with sound Le Biniou works with music, voice, ambient sounds, whatever acoustic source you choose. . When you run Le Biniou it gives an evolutionary rendering of the sound you are playing. . You are given two options to run Le Biniou: You can manage entirely the sequences and choose your own series of pictures from the default library, your colour scales, the kind of alteration you want to apply or you can let Le Biniou's artificial intelligence run on its own. . Forget the old visualizations you are familiar with, discover a new multidimensional – spatial and chromatic – way of comprehending music and sounds for either artistic, recreational or didactic purposes. Package: lebiniou-data Version: 3.10-1 Installed-Size: 11844 Maintainer: Olivier Girondel Architecture: all Size: 7244260 SHA256: 22093d161a8bafa6d6c7aae5a679f82ee17a042ade19a555fbb393f803c263d6 SHA1: f192ed9a89bbd59aaca17e3780bf27f5df5fa16a MD5sum: b3be76caeb90360e1e22f492dcbe5d11 Description: Datafiles for Le Biniou This is where you will find the mandatory files that Le Biniou uses by default: . - a selection of free colormaps - a selection of pictures to see what Le Biniou is capable of Homepage: http://biniou.net Tag: role::app-data Section: graphics Priority: extra Filename: pool/main/l/lebiniou-data/lebiniou-data_3.10-1_all.deb Package: ledger Version: 2.6.2-3.1 Architecture: armhf Maintainer: Matt Palmer Installed-Size: 1260 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgmp10, libpcre3 (>= 8.10), libstdc++6 (>= 4.6), dpkg (>= 1.15.4) | install-info Homepage: http://newartisans.com/software/ledger.html Priority: optional Section: utils Filename: pool/main/l/ledger/ledger_2.6.2-3.1_armhf.deb Size: 676438 SHA256: 5127724bc3b1066d7d10af3837cc280253998bfa5b91a53dd5a11f65db4a5b36 SHA1: 60459899347678ec956464bde525ec83ea476f35 MD5sum: 36b63de4a5d057761b5e608c9db89bf7 Description: command-line double-entry accounting program Ledger is a powerful and flexible double-entry accounting system run entirely from the command line. Your accounts ledger is stored in one or more plain-text files with a very simple and readable format, and ledger does the hard work of balancing your books and reporting. Package: ledgersmb Version: 1.3.18-2 Installed-Size: 10462 Maintainer: Debian LedgerSMB Team Architecture: all Replaces: ledger-smb Depends: perl (>= 5.8.0-1), debconf (>= 0.5) | debconf-2.0, libconfig-std-perl, libconfig-any-perl, libmime-lite-perl, libdbi-perl, libdbd-pg-perl, liberror-perl, liblocale-maketext-lexicon-perl (>= 0.62-1), libtemplate-perl (>= 2.14-1), libhtml-parser-perl, liblog-log4perl-perl, libdatetime-perl, apache2 | httpd-cgi, libcgi-simple-perl, libfile-mimeinfo-perl, libio-stringy-perl, postgresql-client, postgresql-contrib, dbconfig-common Recommends: default-mta | mail-transport-agent, texlive-latex-recommended, libopenoffice-oodoc-perl, libmath-bigint-gmp-perl, libparse-recdescent-perl, libtemplate-plugin-latex-perl Suggests: postgresql, lpr, libnet-tclink-perl, latex-cjk-all, libimage-size-perl Conflicts: ledger-smb Size: 2440182 SHA256: 48d4099368ca8ec5e3a1e646590a6950ee9325caae7e4d8a1c0886326c0c6540 SHA1: ce59f4ff640628e8a4f75b969ae2acb554f5bda7 MD5sum: a7858dbb194b00e22d9347b50c5b4b0f Description: financial accounting and ERP program LedgerSMB is a double-entry financial accounting and Enterprise Resource Planning system which offers "Accounts Receivable", "Accounts Payable" and "General Ledger" tracking as well as inventory control and fixed assets handling. The LedgerSMB client can be a web browser or a programmed API call. The goal of the LedgerSMB project is to bring high quality ERP and accounting capabilities to Small and Midsize Businesses. Homepage: http://www.ledgersmb.org/ Section: web Priority: optional Filename: pool/main/l/ledgersmb/ledgersmb_1.3.18-2_all.deb Package: ledit Version: 2.03-1 Installed-Size: 200 Maintainer: Debian OCaml Maintainers Architecture: all Provides: readline-editor Depends: ocaml-base-nox-3.12.1 Size: 45440 SHA256: 0bedb1e47b19c2eefbea85979e6de1065d5b4a56c1b083cc87b7eeea7c657c60 SHA1: 20ab695b4a157088b8fed8669544d16a79ae64fb MD5sum: a8da0fb4e4c0e3a536e74ef9b855113b Description: line editor for interactive programs Ledit is a line editor, allowing to use control commands like in emacs or in shells (bash, tcsh). To be used with interactive commands. It is written in OCaml and Camlp4 and uses the library unix.cma. Homepage: http://cristal.inria.fr/~ddr/ledit/ Tag: implemented-in::ocaml, interface::shell, role::program, scope::utility Section: editors Priority: optional Filename: pool/main/l/ledit/ledit_2.03-1_all.deb Package: ledmon Version: 0.32-1 Architecture: armhf Maintainer: Daniel Jared Dominguez Installed-Size: 144 Depends: libc6 (>= 2.4), openipmi Homepage: http://ledmon.sourceforge.net/ Priority: extra Section: admin Filename: pool/main/l/ledmon/ledmon_0.32-1_armhf.deb Size: 46150 SHA256: f7edd108dc8afc6ca5069120ae7b48b4cb112e6d08869ecaca68ae9b8d69243f SHA1: 1362ddc272870c495a282fff889e4bedadb21512 MD5sum: 5d09e06c2093aa16c0190d3842614f5f Description: Enclosure LED Utilities ledmon and ledctl are userspace tools designed to control storage enclosure LEDs. The user must have root privileges to use these tools. . These tools use the SGPIO and SES-2 protocols to monitor and control LEDs. They been verified to work with Intel(R) storage controllers (i.e. the Intel(R) AHCI controller) and have not been tested with storage controllers of other vendors (especially SAS/SCSI controllers). Package: leds-alix-source Source: leds-alix Version: 0.0.1-1.1 Installed-Size: 35 Maintainer: Adam Cécile (Le_Vert) Architecture: all Depends: module-assistant, debhelper (>= 5), make, bzip2 Size: 7268 SHA256: 5e46dd6d52452865f9c0c55e3c509ddff3cf3c0a85d995126b0487748c8aa1f9 SHA1: 30c3668b7bddbf7044ba7a4800c7fa88685e2cb7 MD5sum: 02319a4198a438000545146a6eeacfb4 Description: Source for the LEDs driver of PCEngines ALIX 2/3 boards This package provides the source code for the leds-alix kernel module. . PCEngines ALIX boards (version 2/3) have three LEDs on front. . This driver allow you to power them on/off. Homepage: https://dev.openwrt.org/ Tag: role::source Section: kernel Priority: optional Filename: pool/main/l/leds-alix/leds-alix-source_0.0.1-1.1_all.deb Package: legit Version: 0.1.1-2 Installed-Size: 37 Maintainer: Python Applications Packaging Team Architecture: all Depends: python-clint (>= 0.2.4), python-git (>= 0.3.0), python-pkg-resources, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 12258 SHA256: c1eba988c3217136226f77793f9f63fa979eb708dae00507448eadb7315cb130 SHA1: 6c26661ce65f1fab3b65aa5d371a73cc6f981dfa MD5sum: eff430bc0e1b4dd928b2adb0828aaa2d Description: Git extension to assist in manipulating branches This program provides several commands that help to automate certain tasks with Git: seamless branch switching, syncing repository, creating and merging branches, manipulating remote branches. Homepage: https://github.com/kennethreitz/legit Section: vcs Priority: optional Filename: pool/main/l/legit/legit_0.1.1-2_all.deb Package: leiningen Version: 1.7.1-1 Installed-Size: 1272 Maintainer: Debian Java Maintainers Architecture: all Depends: ant, clojure1.2, libbackport-util-concurrent-java, libclassworlds-java, libclucy-clojure, libjaxp1.3-java, liblucene2-java, libmaven-ant-tasks-java, libmaven2-core-java, libplexus-container-default-java, libplexus-interpolation-java, libplexus-utils-java, librobert-hooke-clojure, libwagon-java, openjdk-6-jre | openjdk-7-jre, clojure-contrib (>= 1.2.0), rlwrap Size: 1100366 SHA256: b49c27d9d234d75f62c74e55ad595677741b4e09a7424031d44ca3df2a133e55 SHA1: 9882b47bafdf5f643b9bda4d929947be06ab20f6 MD5sum: d5bb55f553b32d82efd231b8c1982d86 Description: simple build system for Clojure Working on Clojure projects with tools designed for Java can be an exercise in frustration. With Leiningen, you describe your build with Clojure. Leiningen handles fetching dependencies, running tests, packaging your projects and can be easily extended with a number of plugins. Homepage: https://github.com/technomancy/leiningen Tag: devel::buildtools, devel::lang:java, role::program Section: java Priority: optional Filename: pool/main/l/leiningen/leiningen_1.7.1-1_all.deb Package: lekhonee-gnome Version: 0.11-3 Architecture: armhf Maintainer: Praveen Arimbrathodiyil Installed-Size: 398 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.18.0), libgtksourceview2.0-0 (>= 2.10.0), libgtkspell0 (>= 2.0.10), libjavascriptcoregtk-1.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libwebkitgtk-1.0-0 (>= 1.3.10), libxml2 (>= 2.7.4) Homepage: https://fedorahosted.org/lekhonee/ Priority: optional Section: net Filename: pool/main/l/lekhonee-gnome/lekhonee-gnome_0.11-3_armhf.deb Size: 87420 SHA256: 6c5ff804408b1d31682da8af63d71e1bfbe258179a76ef1a0c1408523e18b91f SHA1: 12f6f04fa871ede7f63d789b149a32fc8fb719ed MD5sum: 03c170baf0f73edc40e860bac5d4e4e1 Description: desktop client for wordpress blogs Lekhonee is a desktop client for publishing to Wordpress blogs. It talks to Wordpress blogging platform over xmlrpc and provides a better user experience for users of Wordpress blogs. It supports features like multiple Wordpress accounts, drafts, and categories. . It was originally written in Qt, and GNOME frontend, written in vala, came later. Package: leksah Source: haskell-leksah Version: 0.12.0.3-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 54627 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgmp10, libgtk2.0-0 (>= 2.24.0), libgtksourceview2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.18.0), zlib1g (>= 1:1.1.4), leksah-server (>= 0.12.0.4), leksah-server (<< 0.12.0.4+) Homepage: http://hackage.haskell.org/package/leksah Priority: extra Section: haskell Filename: pool/main/h/haskell-leksah/leksah_0.12.0.3-3_armhf.deb Size: 11626200 SHA256: 02297b86e525ea63910b785d32508429d2b55ffc10015b62b661212a33747836 SHA1: 415558143ec8cd571a4de9185c2812083370af02 MD5sum: ad4879034b15678c1bd8ee8223724442 Description: haskell editor - GHC interface Leksah is an Haskell IDE. It is written in Haskell and provides a graphical interface based on Gtk. Leksah is a practical tool to support the Haskell development process. Package: leksah-server Source: haskell-leksah-server Version: 0.12.0.4-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 60920 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgmp10, libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4) Homepage: http://hackage.haskell.org/package/leksah-server Priority: extra Section: haskell Filename: pool/main/h/haskell-leksah-server/leksah-server_0.12.0.4-3_armhf.deb Size: 13631166 SHA256: 704897707a23b1388511399ddad00c735aada1e0ea12d9aa672ef2a6838a0f9c SHA1: 57a6641cfd0e2ff6a9cf2833a6ee45d89dc22fc2 MD5sum: 283e9a73f93e19e7bbdf8966488a7bd1 Description: haskell editor - GHC interface Leksah is an Haskell IDE. It is written in Haskell and provides a graphical interface based on Gtk. Leksah is a practical tool to support the Haskell development process. . The leksah-server package contains the background daemon interfacing with the GHC compiler and usually is not started on its own. Package: lemon Source: sqlite3 Version: 3.7.13-1+deb7u4 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 174 Depends: libc6 (>= 2.13-28) Homepage: http://www.sqlite.org/ Priority: optional Section: devel Filename: pool/main/s/sqlite3/lemon_3.7.13-1+deb7u4_armhf.deb Size: 129420 SHA256: 6aa48e4f430ec4e3daba5bd57ed457fc04605970d96ffc07cf32c1cdcb170134 SHA1: bc10e1caee9a16ed2debf9b4ed61c298f39c2b02 MD5sum: a44635b477d91573a8e031ada894cc5f Description: LALR(1) Parser Generator for C or C++ Lemon is an LALR(1) parser generator for C or C++. It does the same job as bison and yacc. But lemon is not another bison or yacc clone. It uses a different grammar syntax which is designed to reduce the number of coding errors. Lemon also uses a more sophisticated parsing engine that is faster than yacc and bison and which is both reentrant and thread-safe. Furthermore, Lemon implements features that can be used to eliminate resource leaks, making is suitable for use in long-running programs such as graphical user interfaces or embedded controllers. Package: lemonldap-ng Version: 1.1.2-5+deb7u1 Installed-Size: 48 Maintainer: Xavier Guimard Architecture: all Depends: liblemonldap-ng-handler-perl (= 1.1.2-5+deb7u1), liblemonldap-ng-manager-perl (= 1.1.2-5+deb7u1), liblemonldap-ng-portal-perl (= 1.1.2-5+deb7u1) Size: 17938 SHA256: ea360df5854c6241f55e62f99be9a9633b87da5c0d753ab30280d14a2d3c5ed4 SHA1: 6379686157da0960566873ad1be7c0f247525b4b MD5sum: 10f78018c51a5f8a9ce6bdb68d22e6db Description: Lemonldap::NG Web-SSO system Lemonldap::NG is a complete Web-SSO system that can run with reverse-proxies or directly on application Apache servers. . This package is a metapackage that install handler, manager and portal. Homepage: http://lemonldap-ng.org/ Tag: implemented-in::perl, interface::web, protocol::http, role::metapackage, security::TODO, security::authentication, suite::apache, web::application Section: perl Priority: extra Filename: pool/main/l/lemonldap-ng/lemonldap-ng_1.1.2-5+deb7u1_all.deb Package: lemonldap-ng-doc Source: lemonldap-ng Version: 1.1.2-5+deb7u1 Installed-Size: 5627 Maintainer: Xavier Guimard Architecture: all Size: 4484980 SHA256: 35b6107b9ffc78aa69d8a5cd4a397e8ca9a04a6c4ad3bdd563885ece2dd314f2 SHA1: f432e69eac3eb461946a8091f4dccb23a6de1e59 MD5sum: 924e2d185afa795efee77876ce6391c6 Description: Lemonldap::NG Web-SSO system documentation Lemonldap::NG is a complete Web-SSO system that can run with reverse-proxies or directly on application Apache servers. . This package contains html documentation. Homepage: http://lemonldap-ng.org/ Tag: implemented-in::perl, made-of::html, protocol::http, role::documentation, suite::apache Section: doc Priority: extra Filename: pool/main/l/lemonldap-ng/lemonldap-ng-doc_1.1.2-5+deb7u1_all.deb Package: leptonica-progs Source: leptonlib Version: 1.69-3.1+deb7u2 Architecture: armhf Maintainer: Jeff Breidenbach Installed-Size: 658 Depends: libc6 (>= 2.13-28), liblept3 Priority: optional Section: graphics Filename: pool/main/l/leptonlib/leptonica-progs_1.69-3.1+deb7u2_armhf.deb Size: 187194 SHA256: b476078028423c1c45a1623f2528fdce9b79e1991993bf0c3c98f08195db3e85 SHA1: a040c93922ac0dad1cafa80daeb526367616b517 MD5sum: ff1c5ca1f4519a4fe35593d6b6d7c59a Description: sample programs for Leptonica image processing library Well-tested C library for some basic image processing operations, along with a description of the functions and some design methods. A full set of affine transformations (translation, shear, rotation, scaling) on images of all depths is included, with the exception that some of the scaling methods do not work at all depths. There are also implementations of binary morphology, grayscale morphology, convolution and rank order filters, and applications such as jbig2 image processing and color quantization. You will also find basic utilities for the safe and efficient handling of arrays (of strings, numbers, number pairs and image-related geometrical objects), byte queues, generic stacks, generic lists, and endian-independent indexing into 32-bit arrays. Package: less Version: 444-4 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 248 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libtinfo5, debianutils (>= 1.8) Homepage: http://www.greenwoodsoftware.com/less/ Priority: standard Section: text Filename: pool/main/l/less/less_444-4_armhf.deb Size: 126668 SHA256: d2e9693a02db3d2243ba3af88afe783dddba78dc78c1735f7b297e87d50c4544 SHA1: 01de5cb5c206405214486f8ef0587a5fc489bf98 MD5sum: 11e0a4fead9004dd91e5c5dd74575eec Description: pager program similar to more This package provides "less", a file pager (that is, a memory-efficient utility for displaying text one screenful at a time). Less has many more features than the basic pager "more". As part of the GNU project, it is widely regarded as the standard pager on UNIX-derived systems. . Also provided are "lessecho", a simple utility for ensuring arguments with spaces are correctly quoted; "lesskey", a tool for modifying the standard (vi-like) keybindings; and "lesspipe", a filter for specific types of input, such as .doc or .txt.gz files. Package: lesstif-bin Source: lesstif2 Version: 1:0.95.2-1.1 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 351 Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxp6, libxt6, zlib1g (>= 1:1.1.4) Provides: x-window-manager Homepage: http://www.lesstif.org/ Priority: optional Section: x11 Filename: pool/main/l/lesstif2/lesstif-bin_0.95.2-1.1_armhf.deb Size: 174396 SHA256: b31fb74314c9b837d94689f365d862e978ef0c4c14e49c3b9b4f5a37ca0eda57 SHA1: 35bc86839168601d2f360dcd14522433c3d8f2de MD5sum: 5cb15ecbdbb7d75a972afca8dbcd1580 Description: user binaries for LessTif Contains the LessTif user binaries. LessTif is the Hungry Programmers' version of the OSF/Motif graphical toolkit, including a clone of mwm, the Motif Window Manager. Package: lesstif-doc Source: lesstif2 Version: 1:0.95.2-1.1 Installed-Size: 841 Maintainer: Sam Hocevar Architecture: all Replaces: lesstifg (<< 1:0.89.0-1) Size: 358802 SHA256: aa65ae365682bd8d7045a187bc6249644ccd217af71fe7757efa1290ee717ece SHA1: 7b450d8e1e815da326b8b322d83968f111fa3bc2 MD5sum: b1698137dd614185f40a3e00ad2362a8 Description: documentation for LessTif Contains the LessTif documentation. LessTif is the Hungry Programmers' version of the OSF/Motif graphical toolkit, including a clone of mwm, the Motif Window Manager. Homepage: http://www.lesstif.org/ Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/l/lesstif2/lesstif-doc_0.95.2-1.1_all.deb Package: lesstif2 Version: 1:0.95.2-1.1 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 1350 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxp6, libxt6, zlib1g (>= 1:1.1.4) Conflicts: libmotif Replaces: libmotif Multi-Arch: same Homepage: http://www.lesstif.org/ Priority: optional Section: libs Filename: pool/main/l/lesstif2/lesstif2_0.95.2-1.1_armhf.deb Size: 595020 SHA256: 3069420d62040aef67a88d13e460a0caf21a99aa70bd90f2cd9952979ac5245e SHA1: 0f9f2a0ee114a6b1e1dccc3158c808b34161caa4 MD5sum: 093db568336cacbacf904573749b535a Description: OSF/Motif 2.1 implementation released under LGPL Contains runtime shared libraries for LessTif, the Hungry Programmers' version of OSF/Motif 2.1. . Contains runtime shared libraries for libXm and libMrm. Package: lesstif2-dbg Source: lesstif2 Version: 1:0.95.2-1.1 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 4421 Depends: lesstif2 (= 1:0.95.2-1.1) Homepage: http://www.lesstif.org/ Priority: extra Section: debug Filename: pool/main/l/lesstif2/lesstif2-dbg_0.95.2-1.1_armhf.deb Size: 1836796 SHA256: b9e4077f17aa30a2a2981f1aedb5b6cb87c56ebe0c00947d129a9341965c9242 SHA1: 35b63e7723d30d9d198e59584e2e51cc06ea1137 MD5sum: 1121910815697b37c5d1edf5092c5923 Description: lesstif2 debugging files LessTif, made by the Hungry Programmers, is a free (LGPL-ed) version of OSF/Motif; it aims ultimately at binary compatibility with Motif 2.1. . This package contains the debugging symbols for the libXm and libMrm libraries and the mwm window manager from the lesstif2 and lesstif-bin packages. Package: lesstif2-dev Source: lesstif2 Version: 1:0.95.2-1.1 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 2520 Depends: lesstif2, libice-dev, libsm-dev, libx11-dev, libxext-dev, libxp-dev, libxt-dev, libxrender-dev, libxft-dev, libfontconfig1-dev, libfreetype6-dev Conflicts: lesstif-dev, lesstifg-dev, libmotif-dev Replaces: lesstif-dev, lesstifg-dbg (<< 1:0.88.1-1), lesstifg-dev, libmotif-dev Homepage: http://www.lesstif.org/ Priority: optional Section: libdevel Filename: pool/main/l/lesstif2/lesstif2-dev_0.95.2-1.1_armhf.deb Size: 836696 SHA256: 466baa5f2d9711d3c0c6c6efa0def1a96a289bcd2b6c980a229c18e7a9138668 SHA1: 2fd64981715383a1e06464531dadd001473a692c MD5sum: 58cea618a6e6a020eec6d11520c42fe4 Description: development library and header files for LessTif 2.1 Contains C header files and development shared libraries for LessTif. LessTif, made by the Hungry Programmers, is a free (LGPL-ed) version of OSF/Motif; it aims ultimately at binary compatibility with Motif 2.1. . Contains static libraries and header files for libXm and libMrm. Package: letodms Version: 3.3.9+dfsg-1 Installed-Size: 2640 Maintainer: Francisco Manuel Garcia Claramonte Architecture: all Depends: debconf (>= 0.5) | debconf-2.0, apache2, php5-mysql, php5, php5-gd, libphp-adodb (>= 4.64-4), dbconfig-common (>= 1.8.4), php-letodms-core (>= 3.3.0-1), libjs-jquery, php-letodms-lucene, php-log Recommends: mysql-server Suggests: mysql-client Size: 408638 SHA256: 0c545794a8c8224d400d1f2992e738e29664beaa27a3c049af5cf28a91272577 SHA1: 38d25b48f02ca29baa429e6f12276021b68bb669 MD5sum: b24a0c7dd3e68e19141f313b20e265c2 Description: document management system based on PHP and MySQL LetoDMS combines all these features with a nice-looking web interface which makes it possible to access your documents not only via intranet in your office but worldwide via the Internet. . In detail LetoDMS offers you these features: * Upload files through web interface * Create folders to group your documents * Edit document and folder properties online * Detailed information on uploaded documents * Lock and unlock documents * Update documents - old versions are saved * Individual icons for different MIME types * Set expiration date for documents * Users are notified about new, updated or expired documents via email * Download documents or view them online within your browser * Control access via detailed ACLs (access control lists) * User and Group management * Powerful search engine * Multi language support * Template system * User can choose language and theme on login * Intuitive user interface * Should work with every browser * Automatic conversion of various document formats to HTML for online viewing * Supports multiple instances. Homepage: http://www.letodms.com/ Tag: interface::web, office::groupware, web::application, web::cms Section: web Priority: optional Filename: pool/main/l/letodms/letodms_3.3.9+dfsg-1_all.deb Package: letterize Version: 1.3-3 Architecture: armhf Maintainer: Francois Marier Installed-Size: 48 Depends: libc6 (>= 2.13-28) Homepage: http://www.catb.org/~esr/letterize/ Priority: extra Section: misc Filename: pool/main/l/letterize/letterize_1.3-3_armhf.deb Size: 7724 SHA256: 35ad507c8e279c0d03e0a7bdab12e29eb880fbac7060c1dc1fd28d7a3b014744 SHA1: 33dcfbc47343aecd1f8b5975d15512be940bd4c0 MD5sum: 18db339a66200599d2f6f67b8c1b2eae Description: phone digits to letter-mnemonics Tool which generates alphabetic mnemonics for a phone number, then filters them for phonetic plausibility in English. Package: levee Version: 3.5a-3 Architecture: armhf Maintainer: Jari Aalto Installed-Size: 117 Depends: libc6 (>= 2.4), libtinfo5 Provides: editor Homepage: http://www.pell.portland.or.us/~orc/Code/levee Priority: optional Section: editors Filename: pool/main/l/levee/levee_3.5a-3_armhf.deb Size: 49434 SHA256: d2296da2e99a51cf843e0249f844803dd512d44da5d2c4109dd8a7ede3fc8341 SHA1: f2a68b3d8d66d02b2f2e5726eb3ee48eae9f782c MD5sum: cb18bb1da1511fe28f50d08c10e16903 Description: very small vi clone Extremely small screen oriented editor based on the Unix editor "vi". It provides a terse, powerful way to enter and edit text. This programs dates back to 1980's; at the dawn of personal computing. Package: leveldb-doc Source: leveldb Version: 0+20120530.gitdd0d562-1 Installed-Size: 103 Maintainer: Alessio Treglia Architecture: all Size: 34900 SHA256: ab21b77b8101dc35625c738b53faa6977eb74f64107cba7aea6ae73bc31748da SHA1: 76ff312fd1a2ae28cbf45d4183eafca81bd97388 MD5sum: f68c6e30f0e645f62b6561ab73a9fc60 Description: LevelDB documentation LevelDB is a fast key-value storage library written at Google that provides an ordered mapping from string keys to string values. . This package provides the developers reference for LevelDB. Homepage: http://code.google.com/p/leveldb/ Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/l/leveldb/leveldb-doc_0+20120530.gitdd0d562-1_all.deb Package: lfc Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 245 Depends: liblfc1 (= 1.8.2-1), libc6 (>= 2.13-28), libgsoap2, liblcgdm1 Conflicts: lfc-client (<< 1.8.1.2-2~) Replaces: lfc-client (<< 1.8.1.2-2~) Provides: lfc-client Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: net Filename: pool/main/l/lcgdm/lfc_1.8.2-1_armhf.deb Size: 73642 SHA256: 0abacfd6e0dac5925912d551494f337bde95390a69ee48b601abecfdf74ce2dd SHA1: fab70c8783ed535d604f33bdb17d0f2b2d15c197 MD5sum: daef9f00c85c54c885ec0d8173974b51 Description: LCG File Catalog (LFC) client The LCG File Catalog (LFC) keeps track of the locations of the physical replicas of the logical files in a distributed storage system. This package provides the command line interfaces for the LFC. Package: lfc-dli Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 135 Depends: liblfc1 (= 1.8.2-1), adduser, libc6 (>= 2.13-28), libgsoap2, liblcgdm1 Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: net Filename: pool/main/l/lcgdm/lfc-dli_1.8.2-1_armhf.deb Size: 24620 SHA256: 68332c02b51b7b0f2b9229f74371b6d33198a1e8aac1e6ad7d4baabb042c5ef5 SHA1: 6bfcb44b7f0837e5b9be5ca5b29627371644b532 MD5sum: 72bf5dafc05e79c3f212a5cf16bdce47 Description: LCG File Catalog (LFC) data location interface (dli) server The LCG File Catalog (LFC) keeps track of the locations of the physical replicas of the logical files in a distributed storage system. This package provides the data location interface (dli) server for the LFC. Package: lfc-server-mysql Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 343 Depends: liblfc1 (= 1.8.2-1), adduser, libc6 (>= 2.13-28), liblcgdm1, libmysqlclient16 (>= 5.1.50-1), libuuid1 (>= 2.16), finger, mysql-client Conflicts: lfc-mysql (<< 1.8.1.2-2~) Replaces: lfc-mysql (<< 1.8.1.2-2~) Provides: lfc-mysql Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: net Filename: pool/main/l/lcgdm/lfc-server-mysql_1.8.2-1_armhf.deb Size: 129486 SHA256: 8b4e95c974784d24bd732c70459206e9994423e43eeea1bd2f72956d8f0b464b SHA1: f479782cc98a024a70f048f411d9ea423a36f089 MD5sum: b1d94ee3eb852c13a338a11c625c6984 Description: LCG File Catalog (LFC) server with MySQL database backend The LCG File Catalog (LFC) keeps track of the locations of the physical replicas of the logical files in a distributed storage system. This package provides an LFC server that uses MySQL as its database backend. Package: lfc-server-postgres Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 346 Depends: liblfc1 (= 1.8.2-1), adduser, libc6 (>= 2.13-28), liblcgdm1, libpq5, libuuid1 (>= 2.16), finger, postgresql-client Conflicts: lfc-postgres (<< 1.8.1.2-2~) Replaces: lfc-postgres (<< 1.8.1.2-2~) Provides: lfc-postgres Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: net Filename: pool/main/l/lcgdm/lfc-server-postgres_1.8.2-1_armhf.deb Size: 130440 SHA256: 25e7242f624928693d5d35859ba4303a9b143bcd8334d688ec07630a6ae4cf4e SHA1: 431ca3f893d36caa92c20609d3414332a6366c63 MD5sum: b5e94ef9c3e8252598175dba02199c31 Description: LCG File Catalog (LFC) server with postgres database backend The LCG File Catalog (LFC) keeps track of the locations of the physical replicas of the logical files in a distributed storage system. This package provides an LFC server that uses postgres as its database backend. Package: lfhex Version: 0.42-3.1 Architecture: armhf Maintainer: Tobias Klauser Installed-Size: 180 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6) Homepage: http://stoopidsimple.com/lfhex Priority: optional Section: editors Filename: pool/main/l/lfhex/lfhex_0.42-3.1_armhf.deb Size: 66446 SHA256: 8f64958ebff6efe37dd4828ce76ee099b51e73625f4245f0d289278578537c06 SHA1: 50619d6f11a9dfe3f11624c1231c690ca1c59306 MD5sum: 04bb9a4a87c0ce0da7e994112ff95c59 Description: large file hex editor lfhex is an application for viewing and editing files in hex, octal, binary, or ascii text. The main strength of lfhex is its ability to work with files much larger than system memory or address space. Package: lfm Version: 2.3-1 Installed-Size: 428 Maintainer: Daniel Echeverry Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0) Size: 111832 SHA256: d4c28b5450a5e612618386cfb463012b5114b27027acb653fa12f704f8643c77 SHA1: e9bcd22e0aebc1be9dd604c275f9df00f22d5e74 MD5sum: 42af5c948b6b6037a42823ac82918f59 Description: simple but powerful file manager for the UNIX console Last File Manager is a simple but powerful file manager for the UNIX console. It has been developed with the ol' good `Midnight Commander` as model. . lfm package also contains pyview, a text / hex file viewer to be used with or without lfm. Homepage: http://www.terra.es/personal7/inigoserna/lfm/ Tag: implemented-in::python, role::program, use::browsing, works-with::file Section: utils Priority: optional Filename: pool/main/l/lfm/lfm_2.3-1_all.deb Package: lft Version: 2.2-4 Architecture: armhf Maintainer: Vince Mulhollon Installed-Size: 71 Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8) Homepage: http://www.mainnerve.com/lft/index.html Priority: optional Section: net Filename: pool/main/l/lft/lft_2.2-4_armhf.deb Size: 25014 SHA256: 235dce40d048abbb02f2c1e57cd2f29ce6c9cf6ba60efc9725d324a08e7a9969 SHA1: aa558a13a18139dcc151a425f610aa87a1a9adcb MD5sum: 1f7c7bbc93767bd4d48e71526c788825 Description: layer-four traceroute lft sends various TCP SYN and FIN probes (differing from Van Jacobson's UDP-based method) utilizing the IP protocol "time to live" field and attempts to elicit an ICMP TIME_EXCEEDED response from each gateway along the path to some host. lft also listens for various TCP and ICMP messages along the way to assist network managers in ascertaining per-protocol heuristic routing information and can optionally retrieve various information about the networks it traverses. Package: lftp Version: 4.3.6-1+deb7u2 Architecture: armhf Maintainer: Noël Köthe Installed-Size: 1499 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0), libreadline6 (>= 6.0), libtinfo5, netbase Homepage: http://lftp.yar.ru/ Priority: optional Section: net Filename: pool/main/l/lftp/lftp_4.3.6-1+deb7u2_armhf.deb Size: 640734 SHA256: a41fa6bc9fbef0e1c28ed10f906457b905e025c62f848bb696c5ff97553845f3 SHA1: 31e3c6fa5366bf2250378df5bfb0f2765cab0d64 MD5sum: 83ab4e809df66fc0b2c56480a777faaa Description: Sophisticated command-line FTP/HTTP client programs Lftp is a file retrieving tool that supports FTP, HTTP, FISH, SFTP, HTTPS and FTPS protocols under both IPv4 and IPv6. Lftp has an amazing set of features, while preserving its interface as simple and easy as possible. . The main two advantages over other ftp clients are reliability and ability to perform tasks in background. It will reconnect and reget the file being transferred if the connection broke. You can start a transfer in background and continue browsing on the ftp site. It does this all in one process. When you have started background jobs and feel you are done, you can just exit lftp and it automatically moves to nohup mode and completes the transfers. It has also such nice features as reput and mirror. It can also download a file as soon as possible by using several connections at the same time. . Lftp can also be scriptable, it can be used to mirror sites, it lets you copy files among remote servers (even between FTP and HTTP). It has an extensive online help. It supports bookmarks, and connecting to several ftp/http sites at the same time. . This package also includes lftpget - A simple non-interactive tool for downloading files. Package: lhapdf-ccwrap-doc Source: lhapdf Version: 5.8.7+repack-1 Installed-Size: 450 Maintainer: Debian Science Maintainers Architecture: all Size: 79814 SHA256: 884c9bf8fc37cd8e94237881fc372ef49af7eafc3d0664421dfce0f5d9cc1015 SHA1: c12f1195f779487e331975b9e760828566235a10 MD5sum: 8d50fb5b632fd44f09235f9167a2f220 Description: C++ Bindings for LHAPDF - documentation LHAPDF provides a unified and easy to use interface to modern PDF sets. It is designed to work not only with individual PDF sets but also with the more recent multiple "error" sets. It can be viewed as the successor to PDFLIB, incorporating many of the older sets found in the latter, including pion and photon PDFs. In LHAPDF the computer code and input parameters/grids are separated thus allowing more easy updating and no limit to the expansion possibilities. . This package provides documentation of C++ bindings for LHAPDF. Homepage: http://projects.hepforge.org/lhapdf/ Section: doc Priority: optional Filename: pool/main/l/lhapdf/lhapdf-ccwrap-doc_5.8.7+repack-1_all.deb Package: lhapdf-pdfsets-minimal Source: lhapdf Version: 5.8.7+repack-1 Installed-Size: 12064 Maintainer: Debian Science Maintainers Architecture: all Depends: python-lhapdf, python Size: 3307032 SHA256: a2095e8f344cc0807f00a69fe664ee769709dda88a92dab46cbbe802f3f4befa SHA1: eae356ffbb97ba6c6dbd0a0190e457b4093ddff6 MD5sum: 9b22edad7c10b345db7fdfe71fac7636 Description: Minimal PDF Sets of LHAPDF LHAPDF provides a unified and easy to use interface to modern PDF sets. It is designed to work not only with individual PDF sets but also with the more recent multiple "error" sets. It can be viewed as the successor to PDFLIB, incorporating many of the older sets found in the latter, including pion and photon PDFs. In LHAPDF the computer code and input parameters/grids are separated thus allowing more easy updating and no limit to the expansion possibilities. . This package provides a minimal PDF sets required by the test suites of LHAPDF. Homepage: http://projects.hepforge.org/lhapdf/ Section: science Priority: optional Filename: pool/main/l/lhapdf/lhapdf-pdfsets-minimal_5.8.7+repack-1_all.deb Package: lhasa Version: 0.0.7-2+deb7u1 Architecture: armhf Maintainer: Jon Dowland Installed-Size: 19 Depends: libc6 (>= 2.13-28), liblhasa0 (= 0.0.7-2+deb7u1) Conflicts: lha (<< 1.14i-10.4) Homepage: http://fragglet.github.com/lhasa/ Priority: optional Section: utils Filename: pool/main/l/lhasa/lhasa_0.0.7-2+deb7u1_armhf.deb Size: 12664 SHA256: a77f44a8a6105f307d679fb133e1c42ad20639135b31f027a6857eadbf992014 SHA1: d2077823beaae8e6c6f52946d239d16f0ea653e3 MD5sum: 8ce34bfc2bfa5310097b88be9e2b9d0a Description: lzh archive decompressor Lhasa lha is a tool for parsing LHA (.lzh) archives and a free replacement for the Unix LHA tool. . Currently it is only possible to read from (ie. decompress) archives; generating (compressing) LHA archives may be an enhancement for future versions. The aim is to be compatible with as many different variants of the LHA file format as possible, including LArc (.lzs) and PMarc (.pma). . The command line tool aims to be interface-compatible with the non-free Unix LHA tool (command line syntax and output), for backwards compatibility with tools that expect particular output. Package: lhs2tex Version: 1.17-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 12322 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, dpkg (>= 1.14.18), tex-common (>= 3), texlive-latex-base, texlive-math-extra Homepage: http://people.cs.uu.nl/andres/lhs2tex/ Priority: optional Section: haskell Filename: pool/main/l/lhs2tex/lhs2tex_1.17-1_armhf.deb Size: 2339876 SHA256: 9beeb7f8e9f6b41aa7024339739d9680a7d625564d24888fd022ad4b626e3d99 SHA1: cac4243522184666425301c9438137e2321ae155 MD5sum: b11174706651ec356f0e23c69731c6e1 Description: Generates LaTeX code from literate Haskell sources lhs2TeX includes the following features: . Different styles to process your source file: for instance, "tt" style uses a monospaced font for the code while still allowing you to highlight keywords etc, whereas "poly" style uses proportional fonts for identifiers, handles indentation nicely, is able to replace binary operators by mathematical symbols and take care of complex horizontal alignments. . Formatting directives, which let you customize the way certain tokens in the source code should appear in the processed output. . A liberal parser that can handle most of the language extensions; you don't have to restrict yourself to Haskell 98. . Preprocessor-style conditionals that allow you to generate different versions of a document from a single source file (for instance, a paper and a presentation). . Active documents: you can use Haskell to generate parts of the document (useful for papers on Haskell). . A manual explaining all the important aspects of lhs2TeX. Package: lib3ds-1-3 Source: lib3ds Version: 1.3.0-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 129 Depends: libc6 (>= 2.13-28) Replaces: lib3ds-dev (<< 1.3.0-3) Homepage: http://www.lib3ds.org/ Priority: optional Section: libs Filename: pool/main/lib3/lib3ds/lib3ds-1-3_1.3.0-6_armhf.deb Size: 50464 SHA256: 8dfe0db3a166fa8afbaa5255a724422c78f45b902dbf63e88bd52bf60a0b18cb SHA1: 8c5360524f092b2b2cbcea0dad724d8f4b39b2c6 MD5sum: c5cbcb38d702091cb8578f14423ed8e3 Description: Autodesk 3D Studio file reader C library This library implements the functionality required to manage Autodesk's 3D Studio Release 3 and 4 format (.3DS) files. . It is a free alternative to Autodesk's 3DS File Toolkit for managing 3DS files. Package: lib3ds-dev Source: lib3ds Version: 1.3.0-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 286 Depends: lib3ds-1-3 (= 1.3.0-6), libc6 (>= 2.13-28) Conflicts: lib3ds-1.0-0 Homepage: http://www.lib3ds.org/ Priority: optional Section: libdevel Filename: pool/main/lib3/lib3ds/lib3ds-dev_1.3.0-6_armhf.deb Size: 82540 SHA256: 5e83bd0e96a390fb14d2efdc45d795f800f3d19211e28b352d10a32df973c201 SHA1: 6a4985f26cef9aecef53e119ff35cfffbea8d24b MD5sum: 31b9ef8eef3f8ab140e21cfd80825767 Description: Autodesk 3D Studio file reader development files This library implements the functionality required to manage Autodesk's 3D Studio Release 3 and 4 format (.3DS) files. . It is a free alternative to Autodesk's 3DS File Toolkit for managing 3DS files. . This package contains the development files (headers and libs). Package: lib4store-dev Source: 4store Version: 1.1.4-2 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 163 Depends: lib4store0 (= 1.1.4-2) Homepage: http://www.4store.org/ Priority: extra Section: libdevel Filename: pool/main/4/4store/lib4store-dev_1.1.4-2_armhf.deb Size: 58606 SHA256: 3600e32be5f3b9490ccd80c29ee1c2374c3d02cc9bd20f76736e5fb39d3be9eb SHA1: b652d956659bd0afc8cc498c07af1a7508cf7598 MD5sum: 5a3fe739d420ce0cac11aaad0726250b Description: RDF database storage and query engine -- development files 4store is a database storage and query engine that holds RDF data. . 4store's main strengths are its performance, scalability and stability. It does not provide many features over and above RDF storage and SPARQL queries, but if your are looking for a scalable, secure, fast and efficient RDF store, then 4store should be on your shortlist. . This package contains the development headers and static library. Package: lib4store0 Source: 4store Version: 1.1.4-2 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 127 Depends: libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libncurses5 (>= 5.5-5~), libpcre3 (>= 8.10), libreadline6 (>= 6.0), libtinfo5 Suggests: lib4store-dev Homepage: http://www.4store.org/ Priority: extra Section: libs Filename: pool/main/4/4store/lib4store0_1.1.4-2_armhf.deb Size: 55412 SHA256: c9d446e4be86540d76b314412d40ab01fcd0527d5c75ad0b65e56e1e2d6917da SHA1: 78254841d5e59c685ca4c2d1ea006e75f9b75d02 MD5sum: ce24233586ccbc8324206648703d1ba1 Description: RDF database storage and query engine -- shared library 4store is a database storage and query engine that holds RDF data. . 4store's main strengths are its performance, scalability and stability. It does not provide many features over and above RDF storage and SPARQL queries, but if your are looking for a scalable, secure, fast and efficient RDF store, then 4store should be on your shortlist. . This package contains the shared library lib4store. Package: liba52-0.7.4 Source: a52dec Version: 0.7.4-16 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 76 Depends: libc6 (>= 2.4) Homepage: http://liba52.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/a/a52dec/liba52-0.7.4_0.7.4-16_armhf.deb Size: 31368 SHA256: c3f8aadd71341c6f914d5600403e699b25bc28631cb3ce2a4d683d81b92f0d09 SHA1: dfcb6ceefeb90706cce67a796ec810001a07db8f MD5sum: 1cda58d7b828ab2d0661b4facf0f90d6 Description: library for decoding ATSC A/52 streams liba52 is a free library for decoding ATSC A/52 streams. The A/52 standard is used in a variety of applications, including digital television and DVD. It is also known as AC-3. Package: liba52-0.7.4-dev Source: a52dec Version: 0.7.4-16 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 140 Depends: liba52-0.7.4 (= 0.7.4-16), libc6 (>= 2.4) Conflicts: a52dec, a52dec-dev, liba52-dev Replaces: a52dec, a52dec-dev, liba52-dev Provides: a52dec, a52dec-dev, liba52-dev Homepage: http://liba52.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/a/a52dec/liba52-0.7.4-dev_0.7.4-16_armhf.deb Size: 48660 SHA256: 54f145f8ca85d3b21faa2bcd3c7abdfe0ae076adbc1e1adb5bbd45832d41e73d SHA1: 589d0290eeab825aa0baad38ba3c2a59b1f78bf8 MD5sum: 2cbb7818daaab543a8e802f92374cacd Description: library for decoding ATSC A/52 streams (development) liba52 is a free library for decoding ATSC A/52 streams. The A/52 standard is used in a variety of applications, including digital television and DVD. It is also known as AC-3. . This package contains the development files. Package: libaa-bin Source: aalib Version: 1.4p5-40 Architecture: armhf Maintainer: Gergely Nagy Installed-Size: 72 Depends: libaa1 (>= 1.4p5), libc6 (>= 2.13-28), libgpm2 (>= 1.20.4), libncurses5 (>= 5.5-5~), libslang2 (>= 2.2.4), libtinfo5, libx11-6 Conflicts: aalib-bin Replaces: aalib-bin Provides: aalib-bin Homepage: http://aa-project.sourceforge.net/aalib/ Priority: optional Section: text Filename: pool/main/a/aalib/libaa-bin_1.4p5-40_armhf.deb Size: 11708 SHA256: 6bbc417bb937afce8dcac8e5ca42038d832db15701bdc3872d51052f34ea4789 SHA1: 8e6393ef6babba8a92413126628f58f53501b1b1 MD5sum: 4763005270eef76fc7a8ad1e2ef5f18b Description: sample programs using aalib AAlib is a portable ASCII art graphics library. Internally, it works like a graphics display, but the output is rendered into gorgeous platform independent ASCII graphics. . This package contains a few sample programs that use aalib. Package: libaa1 Source: aalib Version: 1.4p5-40 Architecture: armhf Maintainer: Gergely Nagy Installed-Size: 149 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgpm2 (>= 1.20.4), libncurses5 (>= 5.5-5~), libslang2 (>= 2.2.4), libtinfo5, libx11-6 Replaces: aalib1 Provides: aalib1 Multi-Arch: same Homepage: http://aa-project.sourceforge.net/aalib/ Priority: optional Section: libs Filename: pool/main/a/aalib/libaa1_1.4p5-40_armhf.deb Size: 59302 SHA256: 07c138fdeda39b3b8ea467f1aa77df66f9e49474f6b6053084ee94bb0a41ede8 SHA1: f003b2d37d43878bf72568406e452ede74bc3207 MD5sum: 6d74f4c77434972d336a554aeef5a1c3 Description: ASCII art library AAlib is a portable ASCII art graphics library. Internally, it works like a graphics display, but the output is rendered into gorgeous platform independent ASCII graphics. Package: libaa1-dbg Source: aalib Version: 1.4p5-40 Architecture: armhf Maintainer: Gergely Nagy Installed-Size: 174 Depends: libaa1 (= 1.4p5-40) Multi-Arch: same Homepage: http://aa-project.sourceforge.net/aalib/ Priority: extra Section: debug Filename: pool/main/a/aalib/libaa1-dbg_1.4p5-40_armhf.deb Size: 92792 SHA256: d4073ad2932cc6d7de4b85e687036e5c1c30d2550274db01043fe0a0f178c8b1 SHA1: 387daafba17053f33288233f1b0b539e81e0b112 MD5sum: 53a092bb60ab424524e29b4a27ae6546 Description: ASCII art library, debugging symbols AAlib is a portable ASCII art graphics library. Internally, it works like a graphics display, but the output is rendered into gorgeous platform independent ASCII graphics. . This package contains the debugging symbols associated with AAlib. gdb will automatically use these symbols when debugging programs linked with AAlib. Package: libaa1-dev Source: aalib Version: 1.4p5-40 Architecture: armhf Maintainer: Gergely Nagy Installed-Size: 326 Depends: libaa1 (= 1.4p5-40), libx11-dev, libslang2-dev, libncurses5-dev, dpkg (>= 1.15.4) | install-info Conflicts: aalib1-dev Replaces: aalib1-dev Homepage: http://aa-project.sourceforge.net/aalib/ Priority: optional Section: libdevel Filename: pool/main/a/aalib/libaa1-dev_1.4p5-40_armhf.deb Size: 140642 SHA256: 8bc18bfca62c5adcd9192836f8e266e48093675d698149c4eea8357a84b20a0b SHA1: b5f6aca58371a6fa35a6b4c7a7827273ebcbbe7e MD5sum: ef33532ba1fccec6ad2e64eb9dcd4eb1 Description: ASCII art library, development kit AAlib is a portable ASCII art graphics library. Internally, it works like a graphics display, but the output is rendered into platform-independent ASCII graphics. . This package contains the static library and header files used in development, plus developer's documentation. Package: libaac-tactics-coq Source: aac-tactics Version: 0.2.pl2-7 Installed-Size: 2445 Maintainer: Debian OCaml Maintainers Architecture: all Provides: aac-tactics Depends: libaac-tactics-ocaml (>= 0.2.pl2-7), coq-8.3pl4+3.12.1 Size: 320756 SHA256: a08e22c0b660f3102b51dea9b6ae7b1d90a3b31528247a2493681b55e00b5db0 SHA1: ab3587301015e7df69abecbda02eedafda232bec MD5sum: 6f21314fa0b532ff876ee735bfac8827 Description: Coq tactics for reasoning modulo AC (theories) This Coq plugin provides tactics for rewriting universally quantified equations, modulo associative (and possibly commutative) operators. . This package provides the Coq support library. Homepage: http://sardes.inrialpes.fr/~braibant/aac_tactics/ Tag: role::shared-lib Section: math Priority: optional Filename: pool/main/a/aac-tactics/libaac-tactics-coq_0.2.pl2-7_all.deb Package: libaac-tactics-ocaml Source: aac-tactics Version: 0.2.pl2-7 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 174 Depends: libcoq-ocaml-x6al0, ocaml-base-nox-3.12.1 Recommends: libaac-tactics-coq Enhances: coq Provides: libaac-tactics-ocaml-jhie7 Homepage: http://sardes.inrialpes.fr/~braibant/aac_tactics/ Priority: optional Section: ocaml Filename: pool/main/a/aac-tactics/libaac-tactics-ocaml_0.2.pl2-7_armhf.deb Size: 33728 SHA256: beb821ea2d6101bcec5a8cc3bd5ec8c0702833a8c45cfa7e7ee492c4c32851f8 SHA1: 1ec68128830de98e35bac8e2daeed7c68647a98f MD5sum: dad153ff5422e60121163373ca69b3c8 Description: Coq tactics for reasoning modulo AC (plugin) This Coq plugin provides tactics for rewriting universally quantified equations, modulo associative (and possibly commutative) operators. . This package provides the plugin itself. Package: libaac-tactics-ocaml-dev Source: aac-tactics Version: 0.2.pl2-7 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 115 Depends: camlp5-1kaa3, libaac-tactics-ocaml-jhie7, libcoq-ocaml-dev-x6al0, ocaml-nox-3.12.1 Provides: libaac-tactics-ocaml-dev-jhie7 Homepage: http://sardes.inrialpes.fr/~braibant/aac_tactics/ Priority: optional Section: ocaml Filename: pool/main/a/aac-tactics/libaac-tactics-ocaml-dev_0.2.pl2-7_armhf.deb Size: 20344 SHA256: fd4dc65328feaf1eb573b58a6ff74ac671a6853ca0ab9821333eaeaf442ccf49 SHA1: 882906fb38b52f07e17a803c3bbc37b732f2fef2 MD5sum: 28dabfce5e76d8c5cbba82ff76d54648 Description: Coq tactics for reasoning modulo AC (devt files) This Coq plugin provides tactics for rewriting universally quantified equations, modulo associative (and possibly commutative) operators. . This package provides the static native-code library, needed to build custom toplevels, and the compiled interfaces. Package: libaacs-dev Source: libaacs Version: 0.4.0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 53 Depends: libaacs0 (= 0.4.0-1) Multi-Arch: same Homepage: http://www.videolan.org/developers/libaacs.html Priority: optional Section: libdevel Filename: pool/main/liba/libaacs/libaacs-dev_0.4.0-1_armhf.deb Size: 5454 SHA256: b4d7b7dde82637710f477cb8daef267f9dd873fe5d978b7b81de0ef608181b85 SHA1: f7a54e604cc4500218d48ca509c9263c24785859 MD5sum: ff0338bd0e9adb5484c98203c1fbf1cb Description: free-and-libre implementation of AACS (development files) libaacs is a research project to implement the Advanced Access Content System specification. It provides, through an open-source library, a way to understand how the AACS works. . This package DOES NOT provide any key or certificate that could be used to decode encrypted copyrighted material. It is based on the official public AACS specification only. . This package provides the development files for libaacs0. Package: libaacs0 Source: libaacs Version: 0.4.0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 108 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libgpg-error0 (>= 1.10) Enhances: libbluray1 Multi-Arch: same Homepage: http://www.videolan.org/developers/libaacs.html Priority: optional Section: video Filename: pool/main/liba/libaacs/libaacs0_0.4.0-1_armhf.deb Size: 41256 SHA256: 31b035e04f2770cdec9ec6a70951ce48e3f37c104cce313da2032e3e11ab7a6a SHA1: f3f6465de182244ba226fb702c80fd554a571e8f MD5sum: cf78f8083126435b05cab2be733c7c20 Description: free-and-libre implementation of AACS libaacs is a research project to implement the Advanced Access Content System specification. It provides, through an open-source library, a way to understand how the AACS works. . This package DOES NOT provide any key or certificate that could be used to decode encrypted copyrighted material. It is based on the official public AACS specification only. . This package provides the shared library. Package: libaal-dev Source: libaal Version: 1.0.5-5.1 Architecture: armhf Maintainer: Felix Zielcke Installed-Size: 138 Depends: libc6-dev | libc-dev Breaks: libreiser4-dev (<< 1.0.7-3) Priority: extra Section: libdevel Filename: pool/main/liba/libaal/libaal-dev_1.0.5-5.1_armhf.deb Size: 31362 SHA256: 21dcc857753cf9153ae662f9b0c996bf7984885056db3b27056f73c2e6707fa5 SHA1: b58495e7840eea1ac5d2175200e8e615315ab871 MD5sum: 7d0fd8b4f51a33f4968e3913ba10409a Description: Reiser4's application abstraction library These are the development files for libaal. Stand alone libraries are also provided to allow the build of grub with support for Reiser4. . They are part of the Reiser4's filesystem support tools. Package: libabiword-2.9 Source: abiword Version: 2.9.2+svn20120603-8+rpi1 Architecture: armhf Maintainer: Dmitry Smirnov Installed-Size: 6708 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libenchant1c2a (>= 1.6), libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgsf-1-114 (>= 1.14.9), libgtk-3-0 (>= 3.0.0), libjpeg8 (>= 8c), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libraptor2-0 (>= 2.0.8), librasqal3 (>= 0.9.29), librdf0 (>= 1.0.15), librsvg2-2 (>= 2.14.4), libstdc++6 (>= 4.6), libwmf0.2-7 (>= 0.2.8.4), libwv-1.2-4 (>= 1.2.9), libx11-6, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://www.abisource.com/ Priority: optional Section: libs Filename: pool/main/a/abiword/libabiword-2.9_2.9.2+svn20120603-8+rpi1_armhf.deb Size: 2391198 SHA256: fc64cc2886f34b5a1ed75070921c75d88714475159b120c508b57e476ef2dd29 SHA1: 877c5a684b338969873f555db42759ecf6fbc566 MD5sum: 55a5ed5d2a4f4894698f03205ff9b022 Description: efficient, featureful word processor with collaboration -- shared library AbiWord is a full-featured, efficient word processing application. It is suitable for a wide variety of word processing tasks, and is extensible with a variety of plugins. . This package contains the shared library, enabling other applications to integrate AbiWord functionality. Package: libabiword-2.9-dev Source: abiword Version: 2.9.2+svn20120603-8+rpi1 Architecture: armhf Maintainer: Dmitry Smirnov Installed-Size: 795 Depends: libabiword-2.9 (= 2.9.2+svn20120603-8+rpi1), libfribidi-dev, libglib2.0-dev, libgsf-1-dev, libwv-dev, libenchant-dev, librdf0-dev, librasqal3-dev, libcairo2-dev, libpango1.0-dev, libgtk-3-dev, librsvg2-dev Homepage: http://www.abisource.com/ Priority: optional Section: libdevel Filename: pool/main/a/abiword/libabiword-2.9-dev_2.9.2+svn20120603-8+rpi1_armhf.deb Size: 731252 SHA256: 6625a7d2fb99d14129cab85aeebd206ed25b6aa7f1a70b6b76db4e2f7f4acd32 SHA1: c4ba5a76e914de0dc71c5f430dd5103f2a3fffb9 MD5sum: 9e9d762feb3e9a917a9834435e858309 Description: efficient, featureful word processor with collaboration -- development files AbiWord is a full-featured, efficient word processing application. It is suitable for a wide variety of word processing tasks, and is extensible with a variety of plugins. . This package contains development files for software linking against libabiword-2.9. Package: libabstract-ruby Version: 1.0.0-2.1 Installed-Size: 36 Maintainer: Bryan McLellan Architecture: all Depends: libabstract-ruby1.8 Suggests: libabstract-ruby-doc Size: 2980 SHA256: af2224d6cdc72a9d01c9e5e1071492350f5725f16cdd72134aab4697088f7034 SHA1: 67c8e378022f9b8ca1c25f625e7ea9c4600db917 MD5sum: 89fb7d5568191abe3bba867c13d7d5ac Description: A library which enables you to define abstract method in Ruby An abstract method is a dummy method which is not implemented. It can be used as a placeholder to be overridden later to help build a framework. . This package is a dependency package, which depends on the package containing actual Ruby abstract library for the default Ruby version (currently 1.8). Tag: role::dummy, role::shared-lib Section: ruby Priority: extra Filename: pool/main/liba/libabstract-ruby/libabstract-ruby_1.0.0-2.1_all.deb Package: libabstract-ruby-doc Source: libabstract-ruby Version: 1.0.0-2.1 Installed-Size: 124 Maintainer: Bryan McLellan Architecture: all Depends: libabstract-ruby Size: 13850 SHA256: f447809c5ea99cf69b01d3fa56e324c1579afe0b7dadd5ba297268ae8cfa2309 SHA1: 6d993a28e6d58aa19d1ef27a9a0f3cd1832bae7a MD5sum: 3498c15d9a85a0e9b808b9b4ede734a0 Description: Documentation for libabstract-ruby An abstract method is a dummy method which is not implemented. It can be used as a placeholder to be overridden later to help build a framework. . This package provides generated HTML documentation for libabstract-ruby. Tag: devel::doc, devel::lang:ruby, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/liba/libabstract-ruby/libabstract-ruby-doc_1.0.0-2.1_all.deb Package: libabstract-ruby1.8 Source: libabstract-ruby Version: 1.0.0-2.1 Installed-Size: 52 Maintainer: Bryan McLellan Architecture: all Depends: ruby1.8 Size: 3620 SHA256: 0a65d0e029b2b91ef631041f7fe739d07aada5cb0b06b1de47765879f7a0408a SHA1: 42105883de57c147df1d0899aee28b52e72a2b9f MD5sum: 49814ed55c7142af2106caa47a132d8c Description: A library which enables you to define abstract method in Ruby 1.8 An abstract method is a dummy method which is not implemented. It can be used as a placeholder to be overridden later to help build a framework. . This package contains abstract library for Ruby 1.8. Tag: devel::lang:ruby, implemented-in::ruby, role::shared-lib Section: ruby Priority: extra Filename: pool/main/liba/libabstract-ruby/libabstract-ruby1.8_1.0.0-2.1_all.deb Package: libabstract-ruby1.9.1 Source: libabstract-ruby Version: 1.0.0-2.1 Installed-Size: 52 Maintainer: Bryan McLellan Architecture: all Depends: ruby1.9.1 Size: 3620 SHA256: 34c1c45aa4dd7683e37493f7fa02e3f10f74a6d72b4febda9d421669731edd40 SHA1: 54e2b380bfcf481d129ce09c0621f4a38fb5063b MD5sum: 9d7851c21c521d4951d0edf551fd7104 Description: A library which enables you to define abstract method in Ruby 1.9.1 An abstract method is a dummy method which is not implemented. It can be used as a placeholder to be overridden later to help build a framework. . This package contains abstract library for Ruby 1.9.1. Tag: devel::lang:ruby, implemented-in::ruby, role::shared-lib Section: libs Priority: extra Filename: pool/main/liba/libabstract-ruby/libabstract-ruby1.9.1_1.0.0-2.1_all.deb Package: libaccess-bridge-java Source: java-access-bridge Version: 1.26.2-9 Installed-Size: 493 Maintainer: Debian Java maintainers Architecture: all Recommends: libaccess-bridge-java-jni Size: 429828 SHA256: 852f7cc236b2d23bd20b39f891657509b238affebece25ef3cac9588b723ca7a SHA1: 01515631a1d266d045cda88ebef9cc12d4df858f MD5sum: 70b8a67ceba105427b4ab14bb5a95977 Description: Java Access Bridge for GNOME This module contains the Java Access Bridge for GNOME, which connects the built-in accessibility support in Java Swing apps to the GNOME Accessibility framework, specifically the Assistive Technology Service Provider Interface (AT-SPI). Multi-Arch: foreign Homepage: http://live.gnome.org/Java%20Access%20Bridge Tag: role::shared-lib Section: java Priority: optional Filename: pool/main/j/java-access-bridge/libaccess-bridge-java_1.26.2-9_all.deb Package: libaccess-bridge-java-jni Source: java-access-bridge Version: 1.26.2-9 Architecture: armhf Maintainer: Debian Java maintainers Installed-Size: 46 Pre-Depends: multiarch-support Depends: libaccess-bridge-java (>= 1.26.2-9), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Multi-Arch: same Homepage: http://live.gnome.org/Java%20Access%20Bridge Priority: optional Section: java Filename: pool/main/j/java-access-bridge/libaccess-bridge-java-jni_1.26.2-9_armhf.deb Size: 5992 SHA256: cd0dff75a1f7b084d5312e2ab648436cecdb6bb2cf833a5e856229f70ea4a25a SHA1: ae8a87c93460d4dc0c40dcaed5166186666e29d8 MD5sum: 5e701013a7ef13764189caf793e66b76 Description: Java Access Bridge for GNOME (jni bindings) This module contains the Java Access Bridge for GNOME, which connects the built-in accessibility support in Java Swing apps to the GNOME Accessibility framework, specifically the Assistive Technology Service Provider Interface (AT-SPI). . This package contains the JNI bindings. Package: libaccess-modifier-checker-java Source: access-modifier-checker Version: 1.0-4 Installed-Size: 136 Maintainer: Debian Java Maintainers Architecture: all Depends: libannotation-indexer-java, libasm3-java, libmaven2-core-java Recommends: libmetainf-services-java Size: 32282 SHA256: cba0b4d30585180dc214183c6dea79d3a46512069854d096a8272d84f0367b1d SHA1: d4ed482ea496df55627a69f986057f4ee58d7700 MD5sum: dead3f5203a8ebfd18a7bf15bc054e05 Description: Maven plugin for custom access modifier checking This maven plugin allows applications to define custom access modifiers programmatically, to be enforced at compile time in the opt-in basis. Obviously, there's no runtime check either --- this is strictly a voluntary annotation. . This mechanism is useful for actually making sure that deprecated features are not used (without actually removing such declarations, which would break binary compatibility.) Homepage: https://github.com/kohsuke/access-modifier Section: java Priority: optional Filename: pool/main/a/access-modifier-checker/libaccess-modifier-checker-java_1.0-4_all.deb Package: libaccess-modifier-checker-java-doc Source: access-modifier-checker Version: 1.0-4 Installed-Size: 715 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc, libannotation-indexer-java-doc, libmaven2-core-java-doc Recommends: libmetainf-services-java-doc Suggests: libaccess-modifier-checker-java Size: 135398 SHA256: 5370c0c2be7949423e1bb5f8bb881906a55de6ba2a1db395bd97c6cbe4d0c370 SHA1: 715069c47acef944a7d917aca4f35c7c26017822 MD5sum: 124b0818708f592c4d8dffd2e41701b6 Description: Documentation for libaccess-modifier-checker-java This maven plugin allows applications to define custom access modifiers programmatically, to be enforced at compile time in the opt-in basis. Obviously, there's no runtime check either --- this is strictly a voluntary annotation. . This mechanism is useful for actually making sure that deprecated features are not used (without actually removing such declarations, which would break binary compatibility.) . This package provides the API documentation for libaccess-modifier-checker-java. Homepage: https://github.com/kohsuke/access-modifier Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/access-modifier-checker/libaccess-modifier-checker-java-doc_1.0-4_all.deb Package: libaccessors-perl Version: 1.01-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 21048 SHA256: 2a9f92edc5e1c1b78a76210c49cf1e5d168cf02b8071d3666817990023592d29 SHA1: c682d235a2a2899c7a055ba02ed88e4d03073de0 MD5sum: 59e86fdff18c88e5546f440a572ba8db Description: Perl module to create accessor methods in caller's package The accessors pragma lets you create simple accessors at compile-time. . This saves you from writing them by hand, which tends to result in cut-n-paste errors and a mess of duplicated code. It can also help you reduce the ammount of unwanted direct-variable access that may creep into your codebase when you're feeling lazy. accessors was designed with laziness in mind. . Method-chaining accessors are generated by default. Note that you can still use accessors::chained directly for reasons of backwards compatibility. . See accessors::classic for accessors that always return the current value if you don't like method chaining. Homepage: http://search.cpan.org/dist/accessors/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libaccessors-perl/libaccessors-perl_1.01-1_all.deb Package: libaccountsservice-dbg Source: accountsservice Version: 0.6.21-8 Architecture: armhf Maintainer: Alessio Treglia Installed-Size: 483 Depends: libaccountsservice0 (= 0.6.21-8) Homepage: http://cgit.freedesktop.org/accountsservice/ Priority: extra Section: debug Filename: pool/main/a/accountsservice/libaccountsservice-dbg_0.6.21-8_armhf.deb Size: 147704 SHA256: 6f356d3fba5be587924c801b8f3d83e943747d39c81267e2db8b21871d8cc3dc SHA1: a7332a832b4e75a93587eedbcc82c8169ac9bb3c MD5sum: 6b845782cfe6aa9f11837f2aca36e5fc Description: query and manipulate user account information - debug The AccountService project provides a set of D-Bus interfaces for querying and manipulating user account information and an implementation of these interfaces, based on the useradd, usermod and userdel commands. . This package provides the debugging symbols for the AccountService library. Package: libaccountsservice-dev Source: accountsservice Version: 0.6.21-8 Architecture: armhf Maintainer: Alessio Treglia Installed-Size: 102 Depends: libaccountsservice0 (= 0.6.21-8), libgirepository1.0-dev (>= 0.9.12), libglib2.0-dev (>= 2.25.11), libpolkit-gobject-1-dev Homepage: http://cgit.freedesktop.org/accountsservice/ Priority: optional Section: libdevel Filename: pool/main/a/accountsservice/libaccountsservice-dev_0.6.21-8_armhf.deb Size: 15714 SHA256: cb01a35910dde6be1483360c405f2e80d55b9a7d80211401b9ae11938291be43 SHA1: 8e2f99245798fa0cde78973da44d9e2e36235699 MD5sum: 25ead6c559a320cf827a6bfcf992652c Description: query and manipulate user account information - header files The AccountService project provides a set of D-Bus interfaces for querying and manipulating user account information and an implementation of these interfaces, based on the useradd, usermod and userdel commands. . This package provides the development files for the AccountService library. Package: libaccountsservice0 Source: accountsservice Version: 0.6.21-8 Architecture: armhf Maintainer: Alessio Treglia Installed-Size: 239 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8) Breaks: accountsservice (<< 0.6.5) Homepage: http://cgit.freedesktop.org/accountsservice/ Priority: optional Section: libs Filename: pool/main/a/accountsservice/libaccountsservice0_0.6.21-8_armhf.deb Size: 71946 SHA256: dc8db0aa0ac6acac237d201f14a22d3718517ff028f5bd88e7c0d1dd57e6cad9 SHA1: 62f057d079fe21ccc780dbd16fde967412f6638a MD5sum: ddead7f7e2bc1b4f2ded6fbde3ccd7da Description: query and manipulate user account information - shared libraries The AccountService project provides a set of D-Bus interfaces for querying and manipulating user account information and an implementation of these interfaces, based on the useradd, usermod and userdel commands. . This package provides the shared libraries of the AccountService library. Package: libace-6.0.3 Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 1529 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libs Filename: pool/main/a/ace/libace-6.0.3_6.0.3+dfsg-0.1_armhf.deb Size: 644988 SHA256: 4c348b85b7d334a2402168be90baa3c28b734727e369e6bb17d1d0da1ac33c5c SHA1: 608eae86852bfd2ae6936ab83f003a72f7a1ad81 MD5sum: 81853531e473e4083cf07b34925383d3 Description: C++ network programming framework This package contains the ADAPTIVE Communication Environment (ACE) framework. . It provides platform independent C++ wrappers for all forms of IPC, thread- and process-management routines, and much more. . Moreover, it defines patterns for common communication tasks. * Reactor: handles event demultiplexing and dispatching * Proactor: for asynchronous I/O driven programs Package: libace-dev Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 6560 Depends: libace-6.0.3 (= 6.0.3+dfsg-0.1) Suggests: libace-doc, libtao-dev, pkg-config Replaces: mpc-ace (<< 5.6.3-4) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libdevel Filename: pool/main/a/ace/libace-dev_6.0.3+dfsg-0.1_armhf.deb Size: 1458318 SHA256: 295d116c7457556fd1557782097a17a895f567c00fd8ed11e4923278497df050 SHA1: a188d93d76bb23fa286470e0de17e1c5a06c964d MD5sum: 070bb796d954d2e77fa03678787ab94f Description: C++ network programming framework - development files This package contains the header files and static library for the ACE framework. Package: libace-doc Source: ace Version: 6.0.3+dfsg-0.1 Installed-Size: 60966 Maintainer: Debian ACE+TAO maintainers Architecture: all Recommends: doc-base Suggests: libace-dev Size: 15202702 SHA256: d19cbd8ab9e418c0cdc9e1728764b2b6b12a9475d9791f1214a95ebc6387d9cc SHA1: 02ceb5d82828fa3a1f3bb929e9894526f7ffaa77 MD5sum: a1b44acd1372eab99a74d617f365b766 Description: C++ network programming framework - documentation This package contains the ACE overview documentation, tutorials, examples, and information regarding upstream development. Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Tag: devel::doc, devel::lang:c++, devel::rpc, role::documentation Section: doc Priority: optional Filename: pool/main/a/ace/libace-doc_6.0.3+dfsg-0.1_all.deb Package: libace-flreactor-6.0.3 Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 150 Depends: libace-6.0.3, libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.6), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libstdc++6 (>= 4.3.0), libx11-6 Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libs Filename: pool/main/a/ace/libace-flreactor-6.0.3_6.0.3+dfsg-0.1_armhf.deb Size: 57260 SHA256: def60693c59f169c2564e355266401903f832509cac21695ee6c5a4175e33e67 SHA1: 76d89e2b60e21cae910a2204c11b772b2b031510 MD5sum: 8c6f8a464547740a7a9071501853ea5b Description: ACE-GUI reactor integration for Fl Recognizing the need to write reactor-based GUI applications, the ACE community has created several reactor extensions for use with X Window System. Each of these extends the ACE_Select_Reactor to work with a specific toolkit. By using these reactors, your GUI application can remain single threaded yet still respond to both GUI events, such as button presses, and your own application events. . The ACE_FlReactor integrates with the FastLight toolkit's Fl::wait() method. Package: libace-flreactor-dev Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 95 Depends: libace-flreactor-6.0.3 (= 6.0.3+dfsg-0.1), libace-dev (= 6.0.3+dfsg-0.1), libfltk1.1-dev (>= 1.1.4) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libdevel Filename: pool/main/a/ace/libace-flreactor-dev_6.0.3+dfsg-0.1_armhf.deb Size: 32176 SHA256: 2ac511b707a7f529305f596589d494137dd4413a8ecb2799bf6d955829205846 SHA1: 93df7c188e1c5f53fbe9b9a636194dc918cef20f MD5sum: 20c3cad714ad9436d02614826ff7e8dc Description: ACE-GUI reactor integration for Fl - development files This package contains header files and static library for the ACE-Fl reactor integration. Package: libace-foxreactor-6.0.3 Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 155 Depends: libace-6.0.3, libc6 (>= 2.13-28), libfox-1.6-0, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libs Filename: pool/main/a/ace/libace-foxreactor-6.0.3_6.0.3+dfsg-0.1_armhf.deb Size: 58404 SHA256: 1fc00de3b5f7be3c596b6386bf3ea5bf9f1a9de4a0dcbf179c3ed492c29d5feb SHA1: 1fc87b9923c38249c88c870015f37831d7e0be19 MD5sum: 312e656f62eb625cbb23912e7f137b04 Description: ACE-GUI reactor integration for FOX Recognizing the need to write reactor-based GUI applications, the ACE community has created several reactor extensions for use with X Window System. Each of these extends the ACE_Select_Reactor to work with a specific toolkit. By using these reactors, your GUI application can remain single threaded yet still respond to both GUI events, such as button presses, and your own application events. . The ACE_FoxReactor integrates with the FOX toolkit. Package: libace-foxreactor-dev Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 94 Depends: libace-foxreactor-6.0.3 (= 6.0.3+dfsg-0.1), libace-dev (= 6.0.3+dfsg-0.1), libfox-1.6-dev Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libdevel Filename: pool/main/a/ace/libace-foxreactor-dev_6.0.3+dfsg-0.1_armhf.deb Size: 32120 SHA256: 724b21c33a80636162c8a13846785acb0b633d9aa2757d7e8648472e1796df7f SHA1: 069918b24c9254184845105ab53a597be9c46a0e MD5sum: f202f128cb8ca057c480ddc0059285e2 Description: ACE-GUI reactor integration for FOX - development files This package contains header files and static library for the ACE-FOX reactor integration. Package: libace-htbp-6.0.3 Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 159 Depends: libace-6.0.3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libs Filename: pool/main/a/ace/libace-htbp-6.0.3_6.0.3+dfsg-0.1_armhf.deb Size: 62398 SHA256: 0eb482a16fa0f86fa907181405845948e6fdb44d9d5b3835cdbdcca680d03f42 SHA1: 08acc77dea67422b8f94bd3347f2e765e737ae27 MD5sum: 7c454b3f14742b4efdbb691185bb51ff Description: ACE protocol over HTTP tunneling library The HTTP Tunneling, Bidirectional, Protocol (HTBP) library enables the writing of stream-based protocols over HTTP. . This allows clients behind a firewall to establish a connection with outbound servers using the HTTP protocol. Package: libace-htbp-dev Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 142 Depends: libace-htbp-6.0.3 (= 6.0.3+dfsg-0.1), libace-dev (= 6.0.3+dfsg-0.1) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libdevel Filename: pool/main/a/ace/libace-htbp-dev_6.0.3+dfsg-0.1_armhf.deb Size: 45462 SHA256: 9a1caae0ebe321ff682665b0546a6ec02597347b7e2b1cee82706452012f6935 SHA1: 3ed05fbc326361b74134dee2123cb3ed415b9e95 MD5sum: 900e3994ecda55eaf2a9497eb7ad6fc0 Description: ACE protocol over HTTP tunneling library - development files This package contains the header files and static library for the ACE HTBP library. Package: libace-inet-6.0.3 Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 385 Depends: libace-6.0.3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libs Filename: pool/main/a/ace/libace-inet-6.0.3_6.0.3+dfsg-0.1_armhf.deb Size: 142548 SHA256: 34e33447c8e0094a6ee656e4f1c47233a4834d539570e55eaa3c8a9604bac55d SHA1: 350eb264b1ca79e536b6a23bd8ed46f69a2c85cf MD5sum: 527987c6358d53899774f98e9dbe959e Description: ACE Inet protocol library This package provides an ACE addon library for clients (and possibly servers at some point) using Inet protocols, such as HTTP or FTP. Package: libace-inet-dev Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 305 Depends: libace-inet-6.0.3 (= 6.0.3+dfsg-0.1), libace-dev (= 6.0.3+dfsg-0.1) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libdevel Filename: pool/main/a/ace/libace-inet-dev_6.0.3+dfsg-0.1_armhf.deb Size: 68306 SHA256: bde44220b6116f8f9be6cbecf72104c8345c9dcb6592195efc9beac42370d01a SHA1: e52f89edc5a69a593f0b9bc0bb5fa4bb4d31117e MD5sum: 7d901285f9370e6897995c989f05cabe Description: ACE Inet protocol library - development files This package contains the header files and static library for the ACE Inet protocol library. Package: libace-inet-ssl-6.0.3 Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 252 Depends: libace-inet-6.0.3, libace-ssl-6.0.3, libace-6.0.3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libs Filename: pool/main/a/ace/libace-inet-ssl-6.0.3_6.0.3+dfsg-0.1_armhf.deb Size: 85426 SHA256: 057bbb84b233809928290058073c274ae18394de3ca6db04c16cf63ecfa48c34 SHA1: 274846c193d3e60d2711fd0bcdb2bea8834bd27b MD5sum: 36ee7fa4106882a31855d00c663f16c9 Description: ACE SSL-enabled Inet protocol library This package provides an ACE addon library for clients (and possibly servers at some point) using Inet protocols which support SSL, such as HTTPS or FTPS. Package: libace-inet-ssl-dev Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 133 Depends: libace-inet-ssl-6.0.3 (= 6.0.3+dfsg-0.1), libace-inet-dev (= 6.0.3+dfsg-0.1), libace-ssl-dev (= 6.0.3+dfsg-0.1) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libdevel Filename: pool/main/a/ace/libace-inet-ssl-dev_6.0.3+dfsg-0.1_armhf.deb Size: 39006 SHA256: d69fa875ba3c6e28d43d24830c39db30aeac335f39a5748d06405ffa363fc951 SHA1: b76e76d346fc902b7100293bba518ead7ebc201b MD5sum: 2dfc085e86721a8a015fda26db1bc3af Description: ACE SSL-enabled Inet protocol library - development files This package contains the header files and static library for the ACE SSL-enabled Inet protocol library. Package: libace-perl Version: 1.92-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 811 Depends: libc6 (>= 2.7), perl (>= 5.14.2-9), perlapi-5.14.2, libcache-cache-perl Homepage: http://search.cpan.org/dist/AcePerl/ Priority: optional Section: perl Filename: pool/main/liba/libace-perl/libace-perl_1.92-2_armhf.deb Size: 343770 SHA256: 9d829bab0cb202ad325d057167754bc0d8871f45d571f05f78b9be104cc1b69f SHA1: 69be456bd2ff2172ae5150afcd5b22142c2de016 MD5sum: 786f9b16a6319efece45d1a0773d8abc Description: Object-Oriented Access to ACEDB Databases AcePerl is an object-oriented Perl interface for the AceDB database. It provides functionality for connecting to remote AceDB databases, performing queries, fetching ACE objects, and updating databases. The programmer's API is compatible with the JADE Java API, and interoperable with the API used by BoulderIO. . AceDB is a genome database system developed since 1989 primarily by Jean Thierry-Mieg (CNRS, Montpellier) and Richard Durbin (Sanger Institute). It was originally developed for the C.elegans genome project , from which its name was derived (A C. elegans DataBase). Package: libace-qtreactor-6.0.3 Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 167 Depends: libace-6.0.3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.3.0) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libs Filename: pool/main/a/ace/libace-qtreactor-6.0.3_6.0.3+dfsg-0.1_armhf.deb Size: 62586 SHA256: 77b89df182c4047e38e8ee59cef4b4685251c0f02ea56540a916a9b4362b7526 SHA1: 5d2331f0fd4f811c31677c44bc4eb316c4a84f52 MD5sum: 7f4fc3bd7256a66c9ed924d8e98133e0 Description: ACE-GUI reactor integration for Qt Recognizing the need to write reactor-based GUI applications, the ACE community has created several reactor extensions for use with X Window System. Each of these extends the ACE_Select_Reactor to work with a specific toolkit. By using these reactors, your GUI application can remain single threaded yet still respond to both GUI events, such as button presses, and your own application events. . The ACE_QtReactor extends both the ACE_Select_Reactor and the Trolltech Qt library's QObjects class. Rather than using select(), the QtWaitForMultipleEvents() function is used. Package: libace-qtreactor-dev Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 98 Depends: libace-qtreactor-6.0.3 (= 6.0.3+dfsg-0.1), libace-dev (= 6.0.3+dfsg-0.1), libqt4-dev Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libdevel Filename: pool/main/a/ace/libace-qtreactor-dev_6.0.3+dfsg-0.1_armhf.deb Size: 33540 SHA256: 678a25ea65bd22e48ab90fbc7b3c024ddfabd7202dbb45ffa9ea594d72137d58 SHA1: 03dc0701b81a811f1791c56066333204a86c0f6c MD5sum: d220c8e8b3240c6b7b6d75db3a35cbb8 Description: ACE-GUI reactor integration for Qt - development files This package contains header files and static library for the ACE-Qt reactor integration. Package: libace-rmcast-6.0.3 Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 195 Depends: libace-6.0.3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libs Filename: pool/main/a/ace/libace-rmcast-6.0.3_6.0.3+dfsg-0.1_armhf.deb Size: 78422 SHA256: 55472202f6b8816fcd349b71df3c4b427bb8c7401d9b9c85efd4873165d3c6fb SHA1: 23a3c97f1fa70ff2bb14716d181b9d9d3ff881e3 MD5sum: cda0c69628545f394f8944490ab84a54 Description: ACE reliable multicast library The RMCast library is a reliable source-ordered multicast protocol implementation. . It uses sequence numbers on messages to ensure ordering, loss detection, and suppression of duplicates. Package: libace-rmcast-dev Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 127 Depends: libace-rmcast-6.0.3 (= 6.0.3+dfsg-0.1), libace-dev (= 6.0.3+dfsg-0.1) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libdevel Filename: pool/main/a/ace/libace-rmcast-dev_6.0.3+dfsg-0.1_armhf.deb Size: 40452 SHA256: 7ae541c796a8cb536dc78ab9e272e0b243fb61fb6faf4b059cc6a11ae260b211 SHA1: 3e5dc6a1de67d7d27df121ce8cec1d31bfb2152a MD5sum: 3d3359abe04319f779b752b6ebfee00f Description: ACE reliable multicast library - development files This package contains the header files and static library for the ACE reliable multicast library. Package: libace-ssl-6.0.3 Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 147 Depends: libace-6.0.3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.3.0) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libs Filename: pool/main/a/ace/libace-ssl-6.0.3_6.0.3+dfsg-0.1_armhf.deb Size: 57896 SHA256: 5165db1d48504183ba9f6f895e7dfbf9fa7e44c0280b40f0061dac5ccc4ae426 SHA1: f09e97cba15b3a6abc6dc9b913dcdd74c9fca1f6 MD5sum: 9fce2c8e0acdd9343e461eecb0c71a37 Description: ACE secure socket layer library This package contains wrappers that integrate the OpenSSL library in the ACE framework. Package: libace-ssl-dev Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 174 Depends: libace-ssl-6.0.3 (= 6.0.3+dfsg-0.1), libace-dev (= 6.0.3+dfsg-0.1), libssl-dev (>= 0.9.7d) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libdevel Filename: pool/main/a/ace/libace-ssl-dev_6.0.3+dfsg-0.1_armhf.deb Size: 49242 SHA256: 8ee2c63d91f3f13b3c241346ea5bb2b8cae886db8279c215d1e151fea84752e7 SHA1: e87b80961a65ee18e8895c927603d1c2834d2883 MD5sum: eee42cb897e96941646e526984d05ec4 Description: ACE secure socket layer library - development files This package contains the header files and static library for the ACE SSL library. Package: libace-tkreactor-6.0.3 Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 150 Depends: libace-6.0.3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libs Filename: pool/main/a/ace/libace-tkreactor-6.0.3_6.0.3+dfsg-0.1_armhf.deb Size: 57670 SHA256: 2c4adcdbd626c97c70a4ae80060935d12c7da3e30cc757dfbca956d33092833c SHA1: 38ea7168315ff4a5068d732c5178347a36f1ddb0 MD5sum: b8938e91fcff79b8c5d8b813ef10b1f9 Description: ACE-GUI reactor integration for Tk Recognizing the need to write reactor-based GUI applications, the ACE community has created several reactor extensions for use with X Window System. Each of these extends the ACE_Select_Reactor to work with a specific toolkit. By using these reactors, your GUI application can remain single threaded yet still respond to both GUI events, such as button presses, and your own application events. . The ACE_TkReactor provides reactor functionality around the popular Tcl/Tk library. The underlying Tcl/Tk method used is Tcl_DoOneEvent(). Package: libace-tkreactor-dev Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 95 Depends: libace-tkreactor-6.0.3 (= 6.0.3+dfsg-0.1), libace-dev (= 6.0.3+dfsg-0.1), tk-dev (>= 8.5) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libdevel Filename: pool/main/a/ace/libace-tkreactor-dev_6.0.3+dfsg-0.1_armhf.deb Size: 32176 SHA256: 64f5bd0c2d5dfe756065e801768cac60d7b96afaf7ef6bf88ef345b84a6115dc SHA1: 05711f72406a51fa1836f7391cd43392d74d183e MD5sum: e6a8a448ec8067863fe8ab72c31adc68 Description: ACE-GUI reactor integration for Tk - development files This package contains header files and static library for the ACE-Tk reactor integration. Package: libace-tmcast-6.0.3 Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 122 Depends: libace-6.0.3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libs Filename: pool/main/a/ace/libace-tmcast-6.0.3_6.0.3+dfsg-0.1_armhf.deb Size: 48710 SHA256: cb89e0681fb9fdeceffb221a3a71cc47b4853b8961a183c9489cda35b510199b SHA1: e8cb3e9aaad88d46a95075931cf1aa23d59affb8 MD5sum: 78673b14a5188878449bdb439f8e51d2 Description: ACE transactional multicast library The TMCast library is a transactional multicast protocol implementation. . Each message is delivered to multicast group members as a transaction: an atomic, consistent, and isolated action. Package: libace-tmcast-dev Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 113 Depends: libace-tmcast-6.0.3 (= 6.0.3+dfsg-0.1), libace-dev (= 6.0.3+dfsg-0.1) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libdevel Filename: pool/main/a/ace/libace-tmcast-dev_6.0.3+dfsg-0.1_armhf.deb Size: 39274 SHA256: 87272aa5536a5374827d1655c220ee8809c864b16b307076d48ecc7fe3ac9fd1 SHA1: 0d48d4cba0b74bb4d11246e8fcc711693051df54 MD5sum: fc2f75c3181846cb7a1229840bc5eddd Description: ACE transactional multicast library - development files This package contains the header files and static library for the ACE transactional multicast library. Package: libace-xtreactor-6.0.3 Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 151 Depends: libace-6.0.3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libx11-6, libxt6 Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libs Filename: pool/main/a/ace/libace-xtreactor-6.0.3_6.0.3+dfsg-0.1_armhf.deb Size: 57752 SHA256: 11d138f34412c47d3566af59466f7fd7d4f72a9c7670328b3126c858cb259206 SHA1: ee040a24053c506e137266b5b713755ba84d5f18 MD5sum: 3a058ce8265030df576badf90fc6d1d9 Description: ACE-GUI reactor integration for Xt Recognizing the need to write reactor-based GUI applications, the ACE community has created several reactor extensions for use with X Window System. Each of these extends the ACE_Select_Reactor to work with a specific toolkit. By using these reactors, your GUI application can remain single threaded yet still respond to both GUI events, such as button presses, and your own application events. . The ACE_XtReactor extends both the ACE_Select_Reactor and the X Toolkit library function XtWaitForMultipleEvents(). Package: libace-xtreactor-dev Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 95 Depends: libace-xtreactor-6.0.3 (= 6.0.3+dfsg-0.1), libace-dev (= 6.0.3+dfsg-0.1), libxt-dev (>= 4.3.0) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libdevel Filename: pool/main/a/ace/libace-xtreactor-dev_6.0.3+dfsg-0.1_armhf.deb Size: 32360 SHA256: 7c282f3ac21e004ba931d8b3fa20efbef96c55608e0f983e78237f5e48be1a24 SHA1: 9a4d60c8d6da887fc96ef6c41f4344546dee0282 MD5sum: e10ba8c07850e7f8c3334ab298a3bd58 Description: ACE-GUI reactor integration for Xt - development files This package contains header files and static library for the ACE-Xt reactor integration. Package: libacegi-security-java Source: acegi-security Version: 1.0.7-3 Installed-Size: 603 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-codec-java, libcommons-collections3-java, libcommons-lang-java (>= 2.6), libcommons-logging-java, liblog4j1.2-java (>= 1.2.16), liboro-java, libspring-aop-java, libspring-context-java, libspring-context-support-java, libspring-core-java, libspring-jdbc-java Recommends: libaspectj-java, libehcache-java, libservlet2.5-java, libspring-ldap-java, libspring-test-java, libspring-web-java Suggests: libacegi-security-java-doc Size: 469422 SHA256: b4540e2503c0a26daad7e93a8ff60aacbdfcb6677cc0dda8407679ead5678d3a SHA1: 4df1eb77c613253552bcce080fdf5f4f59a72699 MD5sum: 2be6cbef9086c7dfbea224adc7f21d67 Description: Acegi Security System for Spring Acegi Security provides comprehensive security services for J2EE-based enterprise software applications. There is a particular emphasis on supporting projects built using The Spring Framework, which is the leading J2EE solution for enterprise software development. Homepage: http://acegisecurity.org/ Section: java Priority: optional Filename: pool/main/a/acegi-security/libacegi-security-java_1.0.7-3_all.deb Package: libacegi-security-java-doc Source: acegi-security Version: 1.0.7-3 Installed-Size: 16151 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc, libcommons-codec-java-doc, libcommons-logging-java-doc, liblog4j1.2-java-doc Recommends: libservlet2.5-java-doc, libspring-ldap-java-doc Suggests: libacegi-security-java Size: 3196460 SHA256: c4e57736c1843de8b602c0a09feec274c28671b6e9d94968c110ee93c503db79 SHA1: 39901df747f7bacf40857891a5f27878236186e3 MD5sum: def537e7323350cd3ad45194c7ba2987 Description: Documentation for Acegi Security Acegi Security provides comprehensive security services for J2EE-based enterprise software applications. There is a particular emphasis on supporting projects built using The Spring Framework, which is the leading J2EE solution for enterprise software development. . This package provides the API documentation for libacegi-security-java. Homepage: http://acegisecurity.org/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/a/acegi-security/libacegi-security-java-doc_1.0.7-3_all.deb Package: libacexml-6.0.3 Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 295 Depends: libace-6.0.3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libzzip-0-13 (>= 0.13.56), zlib1g (>= 1:1.1.4) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libs Filename: pool/main/a/ace/libacexml-6.0.3_6.0.3+dfsg-0.1_armhf.deb Size: 113542 SHA256: c01fad707327e4013a4fc8b6a3a9e65d4af4cdc30a8c5827738c793abe20bc9e SHA1: aafbfc07d5d7f6a147819b3bdb9ecf93eb4653f8 MD5sum: 2f8a3aa5cfb07449885cc1940ec14f3f Description: ACE SAX based XML parsing library This package provides interfaces for XML parsing based on Simple API for XML (SAX) 2.0, defined by David Megginson. This is an event-driven parsing approach. . ACEXML is a small footprint and portable library. It does not validate XML documents and supports only Unicode encoding. Package: libacexml-dev Source: ace Version: 6.0.3+dfsg-0.1 Architecture: armhf Maintainer: Debian ACE+TAO maintainers Installed-Size: 338 Depends: libacexml-6.0.3 (= 6.0.3+dfsg-0.1), libace-dev (= 6.0.3+dfsg-0.1) Replaces: libace-dev (<< 5.7.7-4) Homepage: http://www.cs.wustl.edu/~schmidt/ACE.html Priority: optional Section: libdevel Filename: pool/main/a/ace/libacexml-dev_6.0.3+dfsg-0.1_armhf.deb Size: 80732 SHA256: f14bed03adfd3f3575c34367a488c6be9e23143280a9b6339fb5ed15c96f7617 SHA1: 71603c9a84de469682da571758602291f40894ad MD5sum: 7ae8aff758f1b3ef0ed9b9160544aa01 Description: ACE SAX based XML parsing library - development files This package contains the header files and static library for the ACE XML parsing library. Package: libacl1 Source: acl Version: 2.2.51-8 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 71 Pre-Depends: multiarch-support Depends: libattr1 (>= 1:2.4.46-8), libc6 (>= 2.13-28) Conflicts: acl (<< 2.0.0), libacl1-kerberos4kth Multi-Arch: same Homepage: http://savannah.nongnu.org/projects/acl/ Priority: required Section: libs Filename: pool/main/a/acl/libacl1_2.2.51-8_armhf.deb Size: 27682 SHA256: e1cd313bc5dadef0bfb948c9222b58e29d690646d5cec7b2e067f5f7cb76e2df SHA1: 97e289c8b81bfccd2b57c10da689859af97a3d21 MD5sum: 55f693c83f19a4624034aa0e5cf6f2c1 Description: Access control list shared library This package contains the libacl.so dynamic library containing the POSIX 1003.1e draft standard 17 functions for manipulating access control lists. Package: libacl1-dev Source: acl Version: 2.2.51-8 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 204 Depends: libc6-dev | libc-dev, libacl1 (= 2.2.51-8), libattr1-dev (>= 1:2.4.46-8) Conflicts: acl (<< 2.0.0), acl-dev, kerberos4kth-dev (<< 1.2.2-4) Replaces: acl-dev Provides: acl-dev Homepage: http://savannah.nongnu.org/projects/acl/ Priority: extra Section: libdevel Filename: pool/main/a/acl/libacl1-dev_2.2.51-8_armhf.deb Size: 89942 SHA256: f29ad327bd94b79e4ab29394d56134b9dde42d7638c11d020c637bdaad41b0ec SHA1: fc8e1c2acee5d079fc6e892d888d6c21c27b1895 MD5sum: 789a618475e589e1209bb43fec4a0b14 Description: Access control list static libraries and headers This package contains the static libraries and header files needed for developing programs which make use of the access control list programming interface defined in POSIX 1003.1e draft standard 17. Package: libacme-bleach-perl Version: 1.13-1 Installed-Size: 116 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 13976 SHA256: 8a2b2c488760fef5445d1667641cb2fb9bccd526e80ba62d91fb554ef42311e7 SHA1: 1ebf4f90bac195c5edb96b3e7a0fc5c3905623c2 MD5sum: fb8728313ef30335ece987eb00d511d5 Description: Perl module for really clean programs The first time you run a program under use Acme::Bleach, the module removes all the unsightly printable characters from your source file. . This package also installs the Acme::DWIM and Acme::Morse modules. Acme::DWIM replaces all the unsightly operators et al. from your source file with the new DWIM operator: ... (pronounced "yadda yadda yadda"). Acme::Morse converts your program to Morse code. Homepage: http://search.cpan.org/dist/Acme-Bleach/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libacme-bleach-perl/libacme-bleach-perl_1.13-1_all.deb Package: libacme-brainfck-perl Version: 1.1.1 Installed-Size: 92 Maintainer: Jaldhar H. Vyas Architecture: all Provides: libacme-brainfuck-perl Depends: perl (>= 5.6.0-16) Size: 9864 SHA256: 1366996063d3e7577fa919a87f70031312caef70684421b7534ffe50d36d5108 SHA1: e89789aebbc1c577923129de29921653fa16375a MD5sum: 886d02044fb6d0f36eb2c9e7117c4c80 Description: Embed Brainf*ck in your perl code Brainf*ck (yes, there is a u there.) is about the tiniest Turing-complete programming language you can get. A language is Turing-complete if it can model the operations of a Turing machine--an abstract model of a computer defined by the British mathematician Alan Turing in 1936. A Turing machine consists only of an endless sequence of memory cells and a pointer to one particular memory cell. Yet it is theoretically capable of performing any computation. This module will allow you to mix Brainf*ck with your perl code. Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libacme-brainfck-perl/libacme-brainfck-perl_1.1.1_all.deb Package: libacme-damn-perl Version: 0.05-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 77 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Acme-Damn/ Priority: optional Section: perl Filename: pool/main/liba/libacme-damn-perl/libacme-damn-perl_0.05-1_armhf.deb Size: 12576 SHA256: b66afb7a1506e8de56ed06275f9257208d09dfc9a7509e23334ca5d2a165c4d0 SHA1: 2d35abf165178003d644ab1353bf32e5eabf997a MD5sum: acfcd1aa8b85aed271dc7360818d0497 Description: Perl module to unbless objects Acme::Damn provides a way to reverse the bless operation, which takes a variable and associates it with a given class. One can use this module to return the original reference; that is, prior to calling bless on it. . After using 'damn()' or custom aliases thereof, the blessed Perl object reference becomes a simple data type. Package: libacme-eyedrops-perl Version: 1.60-1 Installed-Size: 622 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 123846 SHA256: 32d78a9df30f326cb7ec63d96acbaf36d606d478c158ff12def13028548862fc SHA1: c79fdcd86a3be41a3203012c0d43d3fa9c56db30 MD5sum: d2ec856b18dca2ff4b4ab19c36187571 Description: funny way for visual programming in Perl Acme::EyeDrops converts a Perl program into an equivalent one, but without all those unsightly letters and numbers. . In a Visual Programming breakthrough, EyeDrops allows you to pour the generated program into various shapes, such as UML diagrams, enabling you to instantly understand how the program works just by glancing at its new and improved visual representation. . Unlike Acme::Bleach and Acme::Buffy, the generated program runs without requiring that Acme::EyeDrops be installed on the target system. Homepage: http://search.cpan.org/dist/Acme-EyeDrops/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libacme-eyedrops-perl/libacme-eyedrops-perl_1.60-1_all.deb Package: libacme-poe-knee-perl Version: 1.10-7 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libpoe-perl Size: 10430 SHA256: 46b7384ba40cc3e49d88b660f1e1f42a83e6a836a57ebc577e74f57d1cfd3975 SHA1: d5fd91c79f6738955e86cfefaa27bcb860796519 MD5sum: bc66dfc7dec81156d688ece44a96f668 Description: Time sliced pony race using the POE event loop POE::Knee is a homophone of "Pony". We all like ponies. And wouldn't we love to race ponies? Well, that's what Acme::POE::Knee is for! . Using the POE event driven component architecture, you specify a distance the ponies must run, and a maximum delay before the pony will reach the next step. So, the bigger the delay, the bigger the distance between multiple ponies can be. Homepage: http://search.cpan.org/dist/Acme-POE-Knee/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libacme-poe-knee-perl/libacme-poe-knee-perl_1.10-7_all.deb Package: libacr38u Source: acr38 Version: 1.7.11-1 Architecture: armhf Maintainer: Laurent Bigonville Installed-Size: 94 Depends: libc6 (>= 2.4), libusb-0.1-4 (>= 2:0.1.12) Recommends: pcscd Provides: pcsc-ifd-handler Homepage: http://www.acs.com.hk/ Priority: extra Section: libs Filename: pool/main/a/acr38/libacr38u_1.7.11-1_armhf.deb Size: 19410 SHA256: 4ec72b9f5d965697eea5e643eb1ba277e9a89042c19bf6379b5d1bee6b4731a6 SHA1: 644814d3eb0ab43a0edee4ad8a464ef6722a3aa1 MD5sum: 63091b7048ebe383c881c0491a2ddbd4 Description: PC/SC driver for the ACR38U smart card reader This library provides a PC/SC IFD handler implementation for the ACS ACR38U smart card readers. This driver is for the non-CCID version only. . This package is needed to communicate with the ACR38U smartcard readers through the PC/SC Lite resource manager (pcscd). Package: libacr38ucontrol-dev Source: acr38 Version: 1.7.11-1 Architecture: armhf Maintainer: Laurent Bigonville Installed-Size: 46 Depends: libacr38ucontrol0 (= 1.7.11-1), libpcsclite-dev Homepage: http://www.acs.com.hk/ Priority: extra Section: libdevel Filename: pool/main/a/acr38/libacr38ucontrol-dev_1.7.11-1_armhf.deb Size: 7400 SHA256: de964c33111ba7ba80f7e2082a155d357c3f837cb4c0895d312858f16f1f77f2 SHA1: 2d2ec1536f18b2d552489b9af3b6bef971c272fe MD5sum: a0b0f9458e7451ec6768e61ee34bd532 Description: Library for operational mode for ACR38U smartcard reader dev files This library sets the operational mode for a ACR38U smartcard reader to either processor or memory card. . This package contains development files. Package: libacr38ucontrol0 Source: acr38 Version: 1.7.11-1 Architecture: armhf Maintainer: Laurent Bigonville Installed-Size: 41 Depends: libc6 (>= 2.4), libpcsclite1 Recommends: libacr38u (= 1.7.11-1) Homepage: http://www.acs.com.hk/ Priority: extra Section: libs Filename: pool/main/a/acr38/libacr38ucontrol0_1.7.11-1_armhf.deb Size: 7960 SHA256: 502388dc0b8506c6c9865e1d81e6383d17fe6e215e7b42804b83565529c784c7 SHA1: c83c5f72d17e94cec0f0a570013670fd13e2a11c MD5sum: 38a79cc424d1ab87c41b158bc0713a07 Description: Library for operational mode for ACR38U smartcard reader This library sets the operational mode for a ACR38U smartcard reader to either processor or memory card. Package: libacsccid1 Source: acsccid Version: 1.0.3-1 Architecture: armhf Maintainer: Godfrey Chung Installed-Size: 176 Depends: libc6 (>= 2.7), libusb-0.1-4 (>= 2:0.1.12) Provides: pcsc-ifd-handler Homepage: http://acsccid.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/a/acsccid/libacsccid1_1.0.3-1_armhf.deb Size: 64880 SHA256: eae71453790a49247ec29926a3ab2fbb5aff02be5766b0a92703e8454d30cc18 SHA1: 3f780972366022625d9a053771cf71ba4a830beb MD5sum: 2a6e75f76cc7e939e34b41c0380ac911 Description: PC/SC driver for ACS USB CCID smart card readers This library provides a PC/SC IFD handler implementation for the ACS USB smart card readers compliant to the CCID protocol. . This package is needed to communicate with the ACS CCID smartcard readers through the PC/SC Lite resource manager (pcscd). . See README for a list of supported readers. Package: libactionmailer-ruby Source: rails Version: 2:2.3.14.2 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-actionmailer Size: 8990 SHA256: 9d79deb87eadb478ce8980162fa61fc1efe04001dd3e85f0223ac81e7b117015 SHA1: ef4c9e86004010e9548de9f03b33dbdd5567dca1 MD5sum: fc7ab311613870b535b09e7dbda36545 Description: Transitional package for ruby-actionmailer This is a transitional package to ease upgrades to the ruby-actionmailer package. It can safely be removed. Tag: role::dummy, role::shared-lib Section: oldlibs Priority: optional Filename: pool/main/r/rails/libactionmailer-ruby_2.3.14.2_all.deb Package: libactionmailer-ruby1.8 Source: rails Version: 2:2.3.14.2 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-actionmailer Size: 8996 SHA256: f069437d845e7cb56aff5ec6208bbccc1631a88727583dd7183a35c5b6e5f945 SHA1: 79eeda6846619990cafcd9d667848050243ec1da MD5sum: e782c17bf281a76d126027e9e3d4b3bb Description: Transitional package for ruby-actionmailer This is a transitional package to ease upgrades to the ruby-actionmailer package. It can safely be removed. Tag: devel::lang:ruby, implemented-in::ruby, role::shared-lib Section: oldlibs Priority: optional Filename: pool/main/r/rails/libactionmailer-ruby1.8_2.3.14.2_all.deb Package: libactionpack-ruby Source: rails Version: 2:2.3.14.2 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-actionpack Size: 8986 SHA256: d0d257f9ee7908b153d09feca0355992fdf45fc20888f381cd243e03f4b0151f SHA1: 094077e090acf1939549295b6b693a7944741984 MD5sum: f9588a7f9c3aa6bc746eb126d78a973a Description: Transitional package for ruby-actionpack This is a transitional package to ease upgrades to the ruby-actionpack package. It can safely be removed. Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: optional Filename: pool/main/r/rails/libactionpack-ruby_2.3.14.2_all.deb Package: libactionpack-ruby1.8 Source: rails Version: 2:2.3.14.2 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-actionpack Size: 8990 SHA256: 24a6c68c0cf550fc1513036ac4d6157b47f44a491a2e4c4161d13189842c322b SHA1: f28cf8b392f5ab0a86bd061e921d94399068928b MD5sum: 52797d19945f713f6803d01854758e89 Description: Transitional package for ruby-actionpack This is a transitional package to ease upgrades to the ruby-actionpack package. It can safely be removed. Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: optional Filename: pool/main/r/rails/libactionpack-ruby1.8_2.3.14.2_all.deb Package: libactiveldap-ruby Source: ruby-activeldap Version: 1.2.4-3 Installed-Size: 42 Maintainer: Marc Dequènes (Duck) Architecture: all Depends: ruby-activeldap Size: 16210 SHA256: 24d1c1920083cca98a83d17c36988beea4921ede44550d70f8f0055b1aa6b12e SHA1: 7901083bd5d05513d825edfb2291b1b15bca6d4e MD5sum: 338fc40ba49b1907825350d3626a30e4 Description: Transitional package for ruby-activeldap This is a transitional package to ease upgrades to the ruby-activeldap package. It can safely be removed. Homepage: http://ruby-activeldap.rubyforge.org/ Tag: devel::lang:ruby, implemented-in::ruby, protocol::ldap, role::dummy, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-activeldap/libactiveldap-ruby_1.2.4-3_all.deb Package: libactiveldap-ruby-doc Source: ruby-activeldap Version: 1.2.4-3 Installed-Size: 42 Maintainer: Marc Dequènes (Duck) Architecture: all Depends: ruby-activeldap-doc Size: 16218 SHA256: e3f98301d0fde1241461cd3490e01081c4d265e13cf8e342b40fb9016a950b0f SHA1: b186796631c85b94c92be80a888fb1580d0aa797 MD5sum: a4c743fbb19d339062b778388508a12b Description: Transitional package for ruby-activeldap This is a transitional package to ease upgrades to the ruby-activeldap package. It can safely be removed. Homepage: http://ruby-activeldap.rubyforge.org/ Tag: devel::lang:ruby, protocol::ldap, role::documentation Section: doc Priority: optional Filename: pool/main/r/ruby-activeldap/libactiveldap-ruby-doc_1.2.4-3_all.deb Package: libactiveldap-ruby1.8 Source: ruby-activeldap Version: 1.2.4-3 Installed-Size: 42 Maintainer: Marc Dequènes (Duck) Architecture: all Depends: ruby-activeldap Size: 16218 SHA256: 44d4cdd3bc0650b87bd09341e9363bc461a5b99e435829515da5c1d06748e89b SHA1: 9f3a46d7b9ea46c2d767df5ab078449c2fcaa16b MD5sum: aeaef2bcfe0ac852bb85a68b5d8d4d37 Description: Transitional package for ruby-activeldap This is a transitional package to ease upgrades to the ruby-activeldap package. It can safely be removed. Homepage: http://ruby-activeldap.rubyforge.org/ Tag: devel::lang:ruby, implemented-in::ruby, protocol::ldap, role::shared-lib, works-with-format::ldif Section: oldlibs Priority: extra Filename: pool/main/r/ruby-activeldap/libactiveldap-ruby1.8_1.2.4-3_all.deb Package: libactivemq-activeio-java Source: activemq-activeio Version: 3.1.1-1 Installed-Size: 196 Maintainer: Debian Java Maintainers Architecture: all Depends: glassfish-javaee, libcommons-logging-java Suggests: libactivemq-activeio-java-doc Size: 86800 SHA256: 72d36f116b38bf0ef18e1bdc52d9a7c1df3e7b8399ec4b2104d503166bafc35b SHA1: e7a4c21b4f863bbe843d2f96d631006af0c00aa5 MD5sum: 06de5d26562209d23eaae33ef7e13994 Description: ActiveMQ ActiveIO protocol implementation framework Apache ActiveMQ is a message broker built around Java Message Service (JMS) API : allow sending messages between two or more clients in a loosely coupled, reliable, and asynchronous way. . ActiveMQ ActiveIO provides a high performance IO framework for implementing network protocols. . This package, used by Apache ActiveMQ, contains a Java library for ActiveIO. Homepage: http://activemq.apache.org/ Section: java Priority: optional Filename: pool/main/a/activemq-activeio/libactivemq-activeio-java_3.1.1-1_all.deb Package: libactivemq-activeio-java-doc Source: activemq-activeio Version: 3.1.1-1 Installed-Size: 2384 Maintainer: Debian Java Maintainers Architecture: all Depends: glassfish-javaee, libcommons-logging-java Suggests: libactivemq-activeio-java Size: 117542 SHA256: 5426be89c6476fb53f339ecbe418a88bb7df8c00d5e33d9def2aa93606dc72eb SHA1: c5f2bc9cd492f2fee0c1aefd0ce500e894036beb MD5sum: 46b51d0c65f3162aac8c16075fe552cf Description: ActiveMQ ActiveIO protocol implementation framework - documentation Apache ActiveMQ is a message broker built around Java Message Service (JMS) API : allow sending messages between two or more clients in a loosely coupled, reliable, and asynchronous way. . ActiveMQ ActiveIO provides a high performance IO framework for implementing network protocols. . This package contains Javadoc API for libactivemq-activeio-java. Homepage: http://activemq.apache.org/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/a/activemq-activeio/libactivemq-activeio-java-doc_3.1.1-1_all.deb Package: libactivemq-java Source: activemq Version: 5.6.0+dfsg-1+deb7u3 Installed-Size: 4626 Maintainer: Debian Java Maintainers Architecture: all Depends: glassfish-javaee, libactivemq-activeio-java, libaopalliance-java, libcommons-collections3-java, libcommons-daemon-java, libcommons-lang-java (>= 2.6), libcommons-pool-java, libgentlyweb-utils-java (>= 1.5), libjasypt-java, libjosql-java (>= 1.5), liblog4j1.2-java (>= 1.2.16), libmaven2-core-java, libslf4j-java, libspring-beans-java, libspring-context-java, libspring-core-java, libspring-jms-java, libspring-test-java, libxbean-java, libxpp3-java, libxstream-java, velocity Suggests: libactivemq-java-doc Size: 4012526 SHA256: 0633e758d324299a349f67e4c62cad00f4bf8374d7e69d149de2f13a92b34c62 SHA1: cf92f843ef327497d160b3a74203893990c9b5d0 MD5sum: 930765153d429777a0589c07a5733973 Description: Java message broker core libraries Apache ActiveMQ is a message broker built around Java Message Service (JMS) API : allow sending messages between two or more clients in a loosely coupled, reliable, and asynchronous way. . This message broker supports : * JMS 1.1 and J2EE 1.4 with support for transient, persistent, transactional and XA messaging * Spring Framework, CXF and Axis integration * pluggable transport protocols such as in-VM, TCP, SSL, NIO, UDP, multicast, JGroups and JXTA * persistence using JDBC along with journaling * OpenWire (cross language wire protocol) and Stomp (Streaming Text Orientated Messaging Protocol) protocols . This package contains a core Java library for ActiveMQ. Homepage: http://activemq.apache.org Section: java Priority: optional Filename: pool/main/a/activemq/libactivemq-java_5.6.0+dfsg-1+deb7u3_all.deb Package: libactivemq-java-doc Source: activemq Version: 5.6.0+dfsg-1+deb7u3 Installed-Size: 116922 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc, libcommons-daemon-java, libcommons-pool-java-doc, libjasypt-java-doc, libjosql-java-doc, libxbean-java-doc, libxpp3-java Suggests: libactivemq-java Size: 9222544 SHA256: 506cb31e225b04b79d1d4525664e18466a71d30739f556f160a3e050120fc76c SHA1: c69be5dd28242dee046d44115b8b9a21e7505eed MD5sum: 599b4b84e1d8dd3be7d4680b72d21303 Description: Java message broker core libraries - documentation Apache ActiveMQ is a message broker built around Java Message Service (JMS) API : allow sending messages between two or more clients in a loosely coupled, reliable, and asynchronous way. . This message broker supports : * JMS 1.1 and J2EE 1.4 with support for transient, persistent, transactional and XA messaging * Spring Framework, CXF and Axis integration * pluggable transport protocols such as in-VM, TCP, SSL, NIO, UDP, multicast, JGroups and JXTA * persistence using JDBC along with journaling * OpenWire (cross language wire protocol) and Stomp (Streaming Text Orientated Messaging Protocol) protocols . This package contains Javadoc API for libactivemq-java. Homepage: http://activemq.apache.org Section: doc Priority: optional Filename: pool/main/a/activemq/libactivemq-java-doc_5.6.0+dfsg-1+deb7u3_all.deb Package: libactivemq-protobuf-java Source: activemq-protobuf Version: 1.1-3 Installed-Size: 429 Maintainer: Debian Java Maintainers Architecture: all Recommends: libmaven2-core-java Suggests: libactivemq-protobuf-java-doc Size: 329722 SHA256: 5fbeaae0920097cd0d6f0d66b237f110afe1ca5fb83f528cc7c5aa2708556fa4 SHA1: 3a34ccb2aa23efb5c231bd7a58aa71cdea0a0698 MD5sum: d580cdb70fa9c367adc51ab4a0924269 Description: ActiveMQ Protocol Buffers Maven plugin Apache ActiveMQ is a message broker built around Java Message Service (JMS) API : allow sending messages between two or more clients in a loosely coupled, reliable, and asynchronous way. . "Protocol Buffers" are language-neutral, platform-neutral, extensible way of serializing structured data for use in communications protocols or data storage. . This package, used by Apache ActiveMQ, provide a simple Protocol Buffer Java API. It comes with a built in proto file compiler and Java source code generator (as a Maven plugin). Homepage: http://activemq.apache.org/ Section: java Priority: optional Filename: pool/main/a/activemq-protobuf/libactivemq-protobuf-java_1.1-3_all.deb Package: libactivemq-protobuf-java-doc Source: activemq-protobuf Version: 1.1-3 Installed-Size: 1882 Maintainer: Debian Java Maintainers Architecture: all Recommends: libmaven2-core-java Suggests: libactivemq-protobuf-java Size: 111278 SHA256: ccc1b1eaa49cf55ff2a5208f1275445bdc41b52bcc2f002f17e1b6e4bb794d7d SHA1: 14870cecc8a1084fbdafdb2a362f8170637ffe0c MD5sum: 0da5aa8cb5eec3febd99956beb5065c3 Description: ActiveMQ Protocol Buffers Maven plugin - documentation Apache ActiveMQ is a message broker built around Java Message Service (JMS) API : allow sending messages between two or more clients in a loosely coupled, reliable, and asynchronous way. . "Protocol Buffers" are language-neutral, platform-neutral, extensible way of serializing structured data for use in communications protocols or data storage. . This package contains Javadoc API for libactivemq-protobuf-java. Homepage: http://activemq.apache.org/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/a/activemq-protobuf/libactivemq-protobuf-java-doc_1.1-3_all.deb Package: libactiverecord-ruby Source: rails Version: 2:2.3.14.2 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-activerecord Size: 8998 SHA256: 3f3d0480c12cd62af2d8d0af4899924d715944381cf9a46643cd2b856f28c850 SHA1: 1b6ceb9fe0fa8fdc637ecefad341a3814e4d86ad MD5sum: b22078c6960d7990d605eb33ac3426ec Description: Transitional package for ruby-activerecord This is a transitional package to ease upgrades to the ruby-activerecord package. It can safely be removed. Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: optional Filename: pool/main/r/rails/libactiverecord-ruby_2.3.14.2_all.deb Package: libactiverecord-ruby1.8 Source: rails Version: 2:2.3.14.2 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-activerecord Size: 8996 SHA256: 32dece3954a95bfc028d2f080db36d7bf58266abbfbc922e1e7488a74f4b3690 SHA1: 40a7728ef0ef0b3cd9df243ac29a7602dadecb0b MD5sum: 1e3b5c1bcafb1f6ea71cd7a67f8386f0 Description: Transitional package for ruby-activerecord This is a transitional package to ease upgrades to the ruby-activerecord package. It can safely be removed. Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: optional Filename: pool/main/r/rails/libactiverecord-ruby1.8_2.3.14.2_all.deb Package: libactiverecord-ruby1.9.1 Source: rails Version: 2:2.3.14.2 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-activerecord Size: 9004 SHA256: c36b4bb4a4f69df4ca5c85d1e19f5d418f8ef1d76ac42d8a3ede5499cdf60230 SHA1: bfceacba0078a144d38e7bc7ea115183be1d6e96 MD5sum: ece96614a1a411fe44daee1fb622d045 Description: Transitional package for ruby-activerecord This is a transitional package to ease upgrades to the rails package. It can safely be removed. Tag: devel::lang:ruby, implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: optional Filename: pool/main/r/rails/libactiverecord-ruby1.9.1_2.3.14.2_all.deb Package: libactiveresource-ruby Source: rails Version: 2:2.3.14.2 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-activeresource Size: 8992 SHA256: 9cec79a93b3762e4e1cadb57014dfe0c0f94048e69d1cf860d841e4d96d925df SHA1: 3cf214feaaa5918fb218001f69f94ba342881809 MD5sum: 6aaa8485ca47f9bd0ea64d57c33ab74d Description: Transitional package for ruby-activeresource This is a transitional package to ease upgrades to the ruby-activeresource package. It can safely be removed. Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: optional Filename: pool/main/r/rails/libactiveresource-ruby_2.3.14.2_all.deb Package: libactiveresource-ruby1.8 Source: rails Version: 2:2.3.14.2 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-activeresource Size: 9002 SHA256: 73b739e5bb5ff79872cded893c256f2cc6f91774242aa51fdf4ccc9f48f6965c SHA1: b913801ca8db1159bdc5257b19d7f524b6de60a6 MD5sum: c4aa293d787ceabffacf148808ce590c Description: Transitional package for ruby-activeresource This is a transitional package to ease upgrades to the ruby-activeresource package. It can safely be removed. Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: optional Filename: pool/main/r/rails/libactiveresource-ruby1.8_2.3.14.2_all.deb Package: libactivesupport-ruby Source: rails Version: 2:2.3.14.2 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-activesupport Size: 8996 SHA256: 5c768d3407389ef83690c10dc6660645e49f9feef70b843cac01eb6f5c4f47f7 SHA1: 2751555b555a5d19e79ce7f62d8fb3eb156c2ef7 MD5sum: eefa363163733902227a8d4481337f75 Description: Transitional package for ruby-activesupport This is a transitional package to ease upgrades to the ruby-activesupport package. It can safely be removed. Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: optional Filename: pool/main/r/rails/libactivesupport-ruby_2.3.14.2_all.deb Package: libactivesupport-ruby1.8 Source: rails Version: 2:2.3.14.2 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-activesupport Size: 9000 SHA256: e74011d64c9f60645ac38470f63996cf3c55fca56f41538390bd564611d51bb8 SHA1: 1a9334d2f6a36a7fda17dad2ea24467333697c7b MD5sum: a8afd1be4ccae46e8d4b7f8cba9d08fa Description: Transitional package for ruby-activesupport This is a transitional package to ease upgrades to the ruby-activesupport package. It can safely be removed. Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: optional Filename: pool/main/r/rails/libactivesupport-ruby1.8_2.3.14.2_all.deb Package: libactivesupport-ruby1.9.1 Source: rails Version: 2:2.3.14.2 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-activesupport Size: 9004 SHA256: 2cc74bcdaebbe46e9c2a0a142b70d1e8c8174169f5ad676fb2995c816a7d8cad SHA1: 0ce7f7a926528ad993eb3f27f69fa448bd80e23f MD5sum: fbb1e537b2852430bdde293707d3b341 Description: Transitional package for ruby-activesupport This is a transitional package to ease upgrades to the ruby-activesupport package. It can safely be removed. Tag: devel::lang:ruby, implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: optional Filename: pool/main/r/rails/libactivesupport-ruby1.9.1_2.3.14.2_all.deb Package: libactiviz.net-cil Source: activiz.net Version: 1:1.0~git20111123-6 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 27288 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libvtk5.8, cli-common (>= 0.5.1), libkitware-mummy-runtime1.0-cil, libmono-corlib4.0-cil (>= 2.10.1), libmono-system-drawing4.0-cil (>= 1.0), libmono-system-windows-forms4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7) Provides: libactiviz.net5.8-cil Homepage: http://www.kitware.com/products/avdownload.php Priority: optional Section: cli-mono Filename: pool/main/a/activiz.net/libactiviz.net-cil_1.0~git20111123-6_armhf.deb Size: 4196262 SHA256: a50472b0299315af3e417fd0f17ffa37a1f6bf8adcb9f88e82c466f8b5812bf3 SHA1: 220897822d5d099da10e65ab3fdc86387be586c6 MD5sum: 14cb1eb03a2697882068a4ddd694e131 Description: Tool for generating C# wrappers around VTK ActiViz provides a powerful interface to the Visualization Toolkit (VTK), an object-oriented software system encompassing thousands of algorithms that transform data into interactive 3D environments. ActiViz, which generates C# wrappers around VTK, enables developers to combine the power of VTK with the many .NET framework objects for web and database access. Available as source code or as a pre-built WinForms Control, ActiViz .NET includes examples, online documentation, and supports IntelliSense in the .NET Framework Package: libadasockets4 Source: adasockets Version: 1.8.10-2 Architecture: armhf Maintainer: Phil Brooke Installed-Size: 94 Depends: libc6 (>= 2.13-28) Priority: extra Section: libs Filename: pool/main/a/adasockets/libadasockets4_1.8.10-2_armhf.deb Size: 35462 SHA256: da617eed60d004c1f83221a1f72bf0c7306198c5f0f8d7af982067e0a1b54bd5 SHA1: b2c3fe357e6b6bbad01e86af66f87f5ddda63d46 MD5sum: 48f17fa4b77c760e067931d148e36210 Description: bindings for socket services in Ada This package provides a binding for socket services to be used with GNAT (the GNU Ada compiler). One can use it to write clients and servers that will talk with programs written in Ada or any other programming language. . This package contains the library needed to execute Ada program using sockets. Package: libadasockets4-dev Source: adasockets Version: 1.8.10-2 Architecture: armhf Maintainer: Phil Brooke Installed-Size: 595 Depends: gnat, gnat-4.6, libadasockets4 (= 1.8.10-2), dpkg (>= 1.15.4) | install-info Conflicts: adasockets, libadasockets-dev, libadasockets0-dev, libadasockets2-dev, libadasockets3-dev Replaces: adasockets, libadasockets-dev, libadasockets0-dev, libadasockets2-dev, libadasockets3-dev Priority: extra Section: libdevel Filename: pool/main/a/adasockets/libadasockets4-dev_1.8.10-2_armhf.deb Size: 329760 SHA256: e034ef2452cb7b33794218abedab5d214f3e8c70b014af328f51af4e76ff2af8 SHA1: 18439a75c1796c846f7e30fd24c75104fbae60e2 MD5sum: 16a51039e9e06d535490739ff1068cc7 Description: bindings for socket services in Ada This package provides a binding for socket services to be used with GNAT (the GNU Ada compiler). One can use it to write clients and servers that will talk with programs written in Ada or any other programming language. . This package provides the Ada programmer with headers and libraries used to build Ada programs that use sockets services. Package: libaddressable-ruby Source: ruby-addressable Version: 2.2.8-1 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-addressable Size: 2702 SHA256: 1aa1772c655d67f23cce1bfae4c473406aa497df2d6f4417ce918a736256d763 SHA1: cb9af62ba206803d0dcdbcbc21b5e3d5e422d95f MD5sum: 92cbda80aa77d961d9dd59f91b6d3ef2 Description: Transitional package for ruby-addressable This is a transitional package to ease upgrades to the ruby-addressable package. It can safely be removed. Homepage: http://addressable.rubyforge.org/ Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-addressable/libaddressable-ruby_2.2.8-1_all.deb Package: libaddressable-ruby1.8 Source: ruby-addressable Version: 2.2.8-1 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-addressable Size: 2710 SHA256: 9d88375c55757c032365a695577ff40a6d26c863b9f723f617964f4fe5e993cf SHA1: be78ae25c952bc535a5e0912d293039b0fc5d3cd MD5sum: 7e9322f8583e802b467038dfb99bee3c Description: Transitional package for ruby-addressable This is a transitional package to ease upgrades to the ruby-addressable package. It can safely be removed. Homepage: http://addressable.rubyforge.org/ Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-addressable/libaddressable-ruby1.8_2.2.8-1_all.deb Package: libaddressable-ruby1.9.1 Source: ruby-addressable Version: 2.2.8-1 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-addressable Size: 2710 SHA256: 58d51a0e09a50ef14f65d7e14de16a0c0f865d769406febe8b90d1f17a7e6388 SHA1: bea20316b3c8898abcdb810a4d189c6c12a9571b MD5sum: 56d1238154b5b66adb040ff62e882cad Description: Transitional package for ruby-addressable This is a transitional package to ease upgrades to the ruby-addressable package. It can safely be removed. Homepage: http://addressable.rubyforge.org/ Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-addressable/libaddressable-ruby1.9.1_2.2.8-1_all.deb Package: libaddresses-dev Source: addresses-for-gnustep Version: 0.4.7-1 Architecture: armhf Maintainer: Eric Heintzmann Installed-Size: 112 Depends: libaddresses0 (= 0.4.7-1), libgnustep-base-dev Conflicts: addresses-framework, addresses.framework (<< 0.4.6-5) Replaces: addresses-framework, addresses.framework (<< 0.4.6-5) Priority: optional Section: libdevel Filename: pool/main/a/addresses-for-gnustep/libaddresses-dev_0.4.7-1_armhf.deb Size: 16568 SHA256: 09921fce6acd1795e1efa1d515ab73d397af3363e97292c0461b2e499c8db6d0 SHA1: 8db17636d2a0476cf3bdc7b12fd4880d9f923d4c MD5sum: 42912f88c948368e5cc210a731878af2 Description: Database API backend framework for GNUstep (development files) This backend provides complete access to address information for applications. It is source-code compatible with Apple Corporation's AddressBook.framework. . This package contains the development files. Package: libaddresses0 Source: addresses-for-gnustep Version: 0.4.7-1 Architecture: armhf Maintainer: Eric Heintzmann Installed-Size: 279 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Conflicts: addresses-framework, addresses.framework (<< 0.4.6-5) Replaces: addresses-framework, addresses.framework (<< 0.4.6-5) Priority: optional Section: libs Filename: pool/main/a/addresses-for-gnustep/libaddresses0_0.4.7-1_armhf.deb Size: 72634 SHA256: a22c1a9acadd33022230c60c58a264447faccc6c6bc47725d5091a239cd47981 SHA1: 307bbb32295287e2e5a11ffff96399425452a7d1 MD5sum: 3ae6b9d18c9b98e0ce66005968ce0c5d Description: Database API backend framework for GNUstep (library files) This backend provides complete access to address information for applications. It is source-code compatible with Apple Corporation's AddressBook.framework. . This package contains the runtime libraries. Package: libaddressview-dev Source: addresses-for-gnustep Version: 0.4.7-1 Architecture: armhf Maintainer: Eric Heintzmann Installed-Size: 92 Depends: libaddressview0 (= 0.4.7-1), libgnustep-base-dev Conflicts: addressview-framework, addressview.framework (<< 0.4.6-5) Replaces: addressview-framework, addressview.framework (<< 0.4.6-5) Priority: optional Section: libdevel Filename: pool/main/a/addresses-for-gnustep/libaddressview-dev_0.4.7-1_armhf.deb Size: 12624 SHA256: 8b53e1e765b2b9ace72331aea5c21e54b4da5869b91ca1f54fd8dd9eb00727cd SHA1: dc06e7d0b9312851db02f58e3bf2849fb428ec5c MD5sum: cd84643bd8e7f3f0c9d7942f70e150fd Description: Address display/edit framework for GNUstep (development files) This framework provides specialized view classes to applications which want to display addresses to the user in a graphical form. . This package contains the development files. Package: libaddressview0 Source: addresses-for-gnustep Version: 0.4.7-1 Architecture: armhf Maintainer: Eric Heintzmann Installed-Size: 303 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Conflicts: addressview-framework, addressview.framework (<< 0.4.6-5) Replaces: addressview-framework, addressview.framework (<< 0.4.6-5) Priority: optional Section: libs Filename: pool/main/a/addresses-for-gnustep/libaddressview0_0.4.7-1_armhf.deb Size: 76628 SHA256: 5d26d65b37aa66115da18815dbd17fcf37df0b93633336d5a8a31d68142244a6 SHA1: a2cd3d59d287bbf3a6e430c7005b17f279293cc2 MD5sum: 2a88e5cf4dbcf037875e3a17c3ecf2ac Description: Address display/edit framework for GNUstep (library files) This framework provides specialized view classes to applications which want to display addresses to the user in a graphical form. . This package contains the runtime libraries. Package: libadios-dev Source: adios Version: 1.3-11 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 10394 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhdf5-openmpi-7 (>= 1.8.7), libibverbs1 (>= 1.1.2), libmxml1, libnetcdfc7, libopenmpi1.3, zlib1g (>= 1:1.1.4), python Multi-Arch: foreign Homepage: http://www.olcf.ornl.gov/center-projects/adios/ Priority: optional Section: libdevel Filename: pool/main/a/adios/libadios-dev_1.3-11_armhf.deb Size: 8439210 SHA256: 404d751147aa2c00f8b3b2050fd17ff509910b0a3ea0acd9e1c2571efdc1fb4b SHA1: 6b7c87d105630ddb6d448f9054572555a5bf4742 MD5sum: 984681f4321fcebca1bc845bfd2a8afc Description: ADIOS Adaptable IO system for simulations The Adaptable IO System (ADIOS) provides a simple, flexible way for scientists to describe the data in their code that may need to be written, read, or processed outside of the running simulation. By providing an external to the code XML file describing the various elements, their types, and how you wish to process them this run, the routines in the host code (either Fortran or C) can transparently change how they process the data. Package: libadminutil-data Source: 389-adminutil Version: 1.1.15-1 Architecture: armhf Maintainer: Debian 389ds Team Installed-Size: 39 Homepage: http://directory.fedoraproject.org Priority: extra Section: libs Filename: pool/main/3/389-adminutil/libadminutil-data_1.1.15-1_armhf.deb Size: 4138 SHA256: 4c6d95d4fc23a8c941a510370c340a70acd7aa02eeacecfb1bbd8584c530fd2a SHA1: c209f24387a01c7c0d4bdebaaa234ad0c2466e4a MD5sum: a530ff16de8b8fece87ae63da160ee32 Description: Utility library for 389ds administration -- data files Libraries of functions used to administer 389 directory servers, usually in conjunction with the admin server. . Adminutil is broken into two libraries - libadminutil contains the basic functionality, and libadmsslutil contains SSL versions and wrappers around the basic functions. The PSET functions allow applications to store their preferences and configuration parameters in LDAP, without having to know anything about LDAP. The configuration is cached in a local file, allowing applications to function even if the LDAP server is down. The other code is typically used by CGI programs used for directory server management, containing GET/POST processing code as well as resource handling (ICU ures API). . This package includes data files for the libraries. Package: libadminutil-dev Source: 389-adminutil Version: 1.1.15-1 Architecture: armhf Maintainer: Debian 389ds Team Installed-Size: 249 Depends: libadminutil0 (= 1.1.15-1), libnspr4-dev, libnss3-dev, libicu-dev, libldap2-dev (>= 2.4.28) Homepage: http://directory.fedoraproject.org Priority: extra Section: libdevel Filename: pool/main/3/389-adminutil/libadminutil-dev_1.1.15-1_armhf.deb Size: 67572 SHA256: 3c53c062a782dbf82c05ca62a4a32a66ec61a972a6920096ea6df9cec93c8ba7 SHA1: 8a8ee1491ff170854743096edd7aa33d9143d9f5 MD5sum: d3781d583e2ad6d8fc6975c488fc3c57 Description: Utility library for 389ds administration - development files Libraries of functions used to administer 389 directory servers, usually in conjunction with the admin server. . Adminutil is broken into two libraries - libadminutil contains the basic functionality, and libadmsslutil contains SSL versions and wrappers around the basic functions. The PSET functions allow applications to store their preferences and configuration parameters in LDAP, without having to know anything about LDAP. The configuration is cached in a local file, allowing applications to function even if the LDAP server is down. The other code is typically used by CGI programs used for directory server management, containing GET/POST processing code as well as resource handling (ICU ures API). . This package includes the development headers. Package: libadminutil0 Source: 389-adminutil Version: 1.1.15-1 Architecture: armhf Maintainer: Debian 389ds Team Installed-Size: 149 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libicu48 (>= 4.8-1), libldap-2.4-2 (>= 2.4.7), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libadminutil-data (>= 1.1.15-1) Multi-Arch: same Homepage: http://directory.fedoraproject.org Priority: extra Section: libs Filename: pool/main/3/389-adminutil/libadminutil0_1.1.15-1_armhf.deb Size: 52790 SHA256: eb392c94a0b3c9c203e8554e79509261306d2e5c2a77aa37c0a20865fbc2a97b SHA1: 8347bb2866633317d6ff5bc96b36a64442a2600d MD5sum: 341b4c92a2d939d8d22b5c85dd521d4b Description: Utility library for 389ds administration Libraries of functions used to administer 389 directory servers, usually in conjunction with the admin server. . Adminutil is broken into two libraries - libadminutil contains the basic functionality, and libadmsslutil contains SSL versions and wrappers around the basic functions. The PSET functions allow applications to store their preferences and configuration parameters in LDAP, without having to know anything about LDAP. The configuration is cached in a local file, allowing applications to function even if the LDAP server is down. The other code is typically used by CGI programs used for directory server management, containing GET/POST processing code as well as resource handling (ICU ures API). Package: libadns1 Source: adns Version: 1.4-2 Architecture: armhf Maintainer: Robert S. Edmonds Installed-Size: 121 Depends: libc6 (>= 2.4) Suggests: adns-tools Homepage: http://www.chiark.greenend.org.uk/~ian/adns/ Priority: optional Section: libs Filename: pool/main/a/adns/libadns1_1.4-2_armhf.deb Size: 56016 SHA256: 19631a5f099dc39bd6ddd7d562c65eed6eeb8763b5cffb750d9d282e9e18645b SHA1: a404c6e510a273b4022c4788e18a669cc9aaf442 MD5sum: 84040fff43cae387b58d126f10ffed73 Description: Asynchronous-capable DNS client library and utilities adns is a resolver library for C (and C++) programs. In contrast with the existing interfaces, gethostbyname et al and libresolv, it can be used in an asynchronous, non-blocking manner. Many queries can be handled simultaneously. Package: libadns1-dev Source: adns Version: 1.4-2 Architecture: armhf Maintainer: Robert S. Edmonds Installed-Size: 183 Depends: libadns1 (= 1.4-2), libc6-dev Conflicts: libadns0 Homepage: http://www.chiark.greenend.org.uk/~ian/adns/ Priority: optional Section: libdevel Filename: pool/main/a/adns/libadns1-dev_1.4-2_armhf.deb Size: 72950 SHA256: 1f63e12a0c6689aa4a376dcef339e672039b92d694166a3719f029e240e923ee SHA1: 1d7691b0be9a9e049531b1f717caac764d3bc184 MD5sum: d2977c32b1821d74109d408aa697ad77 Description: Asynchronous-capable DNS client library and utilities adns is a resolver library for C (and C++) programs. In contrast with the existing interfaces, gethostbyname et al and libresolv, it can be used in an asynchronous, non-blocking manner. Many queries can be handled simultaneously. . Development Version. Package: libadolc-dev Source: adolc Version: 2.3.0-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 1348 Depends: libadolc2 (= 2.3.0-1) Homepage: http://www.coin-or.org/projects/ADOL-C.xml Priority: optional Section: libdevel Filename: pool/main/a/adolc/libadolc-dev_2.3.0-1_armhf.deb Size: 901722 SHA256: 3f06216eb33899ec9d3faee50a889b73fd358f0bf81a941278efc7ab680a2f45 SHA1: 498f5bd17ba539b6f22520dfa5f941ef89e3a2b5 MD5sum: 2b9b43ca196b54662fa77bc7ea0289ec Description: ADOLC development libs and headers The ADOLC system automatically calculates exact derivatives of a C/C++ function. It uses C++ overloading to record arithmetic operations, which it plays back later in various ways to calculate the requested values. . This package contains the development library, header files, and user's manual. Package: libadolc2 Source: adolc Version: 2.3.0-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 367 Pre-Depends: multiarch-support Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.coin-or.org/projects/ADOL-C.xml Priority: optional Section: libs Filename: pool/main/a/adolc/libadolc2_2.3.0-1_armhf.deb Size: 150940 SHA256: 31f3b833ee5f958365005437e1a8099224bfa3026e9d62df7f8fbe07d0e45132 SHA1: dd88ad1fda9b3f28d90417476b0560139b245792 MD5sum: df349a0b555b7823fd21a75b64dd7f26 Description: ADOLC automatic differentiation system, runtime libs The ADOLC system automatically calculates exact derivatives of a C/C++ function. It uses C++ overloading to record arithmetic operations, which it plays back later in various ways to calculate the requested values. . This package contains the runtime library. Package: libadplug-2.2.1-0 Source: adplug Version: 2.2.1+dfsg3-0.1 Architecture: armhf Maintainer: Артём Попов Installed-Size: 406 Depends: libbinio1ldbl, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Suggests: adplug-utils Homepage: http://adplug.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/a/adplug/libadplug-2.2.1-0_2.2.1+dfsg3-0.1_armhf.deb Size: 194112 SHA256: a5dc27d5cfc5a46d841e58ff96336e3fc59154e06b32549e69f6e7758235c32e SHA1: 1940a73bd233e46e919287fef497f6275d2f492d MD5sum: a21503619a70c2c3e3051d14567bef45 Description: free AdLib sound library AdPlug is a free, cross-platform, hardware independent AdLib sound player library, mainly written in C++ and released under the LGPL. AdPlug plays sound data, originally created for the AdLib (OPL2) and Sound Blaster (Dual OPL2/OPL3) audio boards, directly from its original format on top of an emulator or by using the real hardware. No OPL chip is required for playback. Package: libadplug-dev Source: adplug Version: 2.2.1+dfsg3-0.1 Architecture: armhf Maintainer: Артём Попов Installed-Size: 1110 Depends: libadplug-2.2.1-0 (= 2.2.1+dfsg3-0.1), libbinio-dev Homepage: http://adplug.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/a/adplug/libadplug-dev_2.2.1+dfsg3-0.1_armhf.deb Size: 306994 SHA256: 848a643e45aec0f8526388898875e6b493fe7746446ba579982140c00e488260 SHA1: 255e33a6a3e6f746800912ab02061331ec3be875 MD5sum: 7d9f10943349ce521971633b7b650ee4 Description: free AdLib sound library (development) AdPlug is a free, cross-platform, hardware independent AdLib sound player library, mainly written in C++ and released under the LGPL. AdPlug plays sound data, originally created for the AdLib (OPL2) and Sound Blaster (Dual OPL2/OPL3) audio boards, directly from its original format on top of an emulator or by using the real hardware. No OPL chip is required for playback. . This package contains the development files. Package: libaether-java Source: aether Version: 1.13.1-2 Installed-Size: 739 Maintainer: Debian Java Maintainers Architecture: all Depends: junit4, libasync-http-client-java, libplexus-classworlds2-java (>= 2.4), libplexus-containers1.5-java (>= 1.5.5), libplexus-utils2-java (>= 2.0.5), libsisu-ioc-java (>= 2.3.0), libslf4j-java, libwagon-java Size: 495302 SHA256: 0b5536b4e8fdc500fcac2d338730a49a19ddabd7ddec7ee95adba1a88095e71b SHA1: 0cab38c8468910855e76a4b42817b35d40034036 MD5sum: 0f9853e61971f1959d55c5c8fa540f99 Description: Library to handle Java artifact repositories Aether is a library for interacting with Java artifact repositories. . This involves the specification of local repository formats, remote repository formats, workspaces, transports, and artifact resolution. . Aether is a dependency of Maven but Aether don't depends on anything Maven specific. For instance, with Aether you can specify your dependency metadata in a properties files or in a database. Homepage: http://aether.sonatype.org/ Section: java Priority: optional Filename: pool/main/a/aether/libaether-java_1.13.1-2_all.deb Package: libafflib-dev Source: afflib Version: 3.6.6-1.1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 738 Depends: libafflib0 (= 3.6.6-1.1) Recommends: afflib-tools Homepage: http://www.afflib.org/ Priority: optional Section: libdevel Filename: pool/main/a/afflib/libafflib-dev_3.6.6-1.1_armhf.deb Size: 267102 SHA256: 1c7d268c97b79ef15deb1ff19815a770859d665cae7df097962985318b062880 SHA1: 2c32bc92c60765aa485c72d3b491b5147d59e74c MD5sum: 92143e1e40679a3c923dc3a8a6486067 Description: support for Advanced Forensics format (development) libafflib is a library for reading and writing the Advanced Forensics format (AFF), an extensible open format for the storage of disk images and related forensic metadata. . This package contains the development files. Package: libafflib0 Source: afflib Version: 3.6.6-1.1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 433 Depends: libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Homepage: http://www.afflib.org/ Priority: optional Section: libs Filename: pool/main/a/afflib/libafflib0_3.6.6-1.1_armhf.deb Size: 210976 SHA256: 27b5b1a2178faed8bfc93febf36f74e3c68ed983528e0f4c799adef6934aada6 SHA1: e025208fed0498c58d7dc26185d662806e5dee17 MD5sum: 9a54213dc356b04887cdb8f4912bd6bc Description: support for Advanced Forensics format libafflib is a library for reading and writing the Advanced Forensics format (AFF), an extensible open format for the storage of disk images and related forensic metadata. Package: libafpclient-dev Source: afpfs-ng Version: 0.8.1-5 Architecture: armhf Maintainer: Andres Mejia Installed-Size: 226 Depends: libafpclient0 (= 0.8.1-5) Multi-Arch: same Homepage: http://sites.google.com/site/alexthepuffin/home Priority: optional Section: libdevel Filename: pool/main/a/afpfs-ng/libafpclient-dev_0.8.1-5_armhf.deb Size: 72846 SHA256: ffcaa20eba481bdf6737b554c824b568930c1caff9193340c662753b58aff0c4 SHA1: efa2eb52a8ff2fb06b37e42013e9cadf63ff7da7 MD5sum: 0c6df0ae7065362055e0142fb1a7e1a1 Description: Client for the Apple Filing Protocol (AFP) (development files) This is a client for the Apple Filing Protocol (AFP) which will let you mount and access shared volumes from Mac OS X (or netatalk) to Linux, BSD and Mac OS X systems. . This package provides the necessary files needed for development. Package: libafpclient0 Source: afpfs-ng Version: 0.8.1-5 Architecture: armhf Maintainer: Andres Mejia Installed-Size: 145 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgmp10, libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libtinfo5 Multi-Arch: same Homepage: http://sites.google.com/site/alexthepuffin/home Priority: optional Section: libs Filename: pool/main/a/afpfs-ng/libafpclient0_0.8.1-5_armhf.deb Size: 58446 SHA256: b8a51afa63c70f9757190f2a768f72cf06fb1f6f5f8323513f3817b329b0ec18 SHA1: 4d94a311c4a4b52967803f156878967f783a3783 MD5sum: 195355efb7de8aeb9f41f9a13223f995 Description: Client for the Apple Filing Protocol (AFP) (shared library) This is a client for the Apple Filing Protocol (AFP) which will let you mount and access shared volumes from Mac OS X (or netatalk) to Linux, BSD and Mac OS X systems. . This package provides the shared library. Package: libafrodite-0.12-2 Source: valatoys Version: 0.12.1-3 Architecture: armhf Maintainer: David Paleino Installed-Size: 252 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libvala-0.14-0 (>= 0.14.2) Homepage: http://code.google.com/p/vtg/ Priority: optional Section: libs Filename: pool/main/v/valatoys/libafrodite-0.12-2_0.12.1-3_armhf.deb Size: 84702 SHA256: 953cff14d4a47f89d27a70e72fe795fd1eb3bf25c8d2fc1954140cc3b1f71bec SHA1: a3ea04398d13cee95cb2bce576ea54d5f372fba1 MD5sum: bf901f0fea99f5998c3eb3acd1debf26 Description: Afrodite completion library Afrodite is a library to parse Vala sources and provide completion of method names and arguments, variable names, structure fields, context-sensitive in-editor documentation. . The library is developed for gedit-valatoys-plugin but can be used stand-alone to add advanced Vala support to other editors. . This package contains the shared library. Package: libafrodite-0.12-2-dbg Source: valatoys Version: 0.12.1-3 Architecture: armhf Maintainer: David Paleino Installed-Size: 822 Depends: libafrodite-0.12-2 (= 0.12.1-3) Homepage: http://code.google.com/p/vtg/ Priority: extra Section: debug Filename: pool/main/v/valatoys/libafrodite-0.12-2-dbg_0.12.1-3_armhf.deb Size: 287408 SHA256: 13b818c6207143c2b901a6cf6e1318a116ab89ecce3c5012c6a1c221fb0c3029 SHA1: 2dc705dcb972c0952849e12744d113773982aa7c MD5sum: 542930f858e643999544eb3e4cb7e000 Description: Afrodite completion library - debugging symbols Afrodite is a library to parse Vala sources and provide completion of method names and arguments, variable names, structure fields, context-sensitive in-editor documentation. . The library is developed for gedit-valatoys-plugin but can be used stand-alone to add advanced Vala support to other editors. . This package contains the debugging symbols. Package: libafrodite-0.12-dev Source: valatoys Version: 0.12.1-3 Architecture: armhf Maintainer: David Paleino Installed-Size: 110 Depends: libafrodite-0.12-2 (= 0.12.1-3) Homepage: http://code.google.com/p/vtg/ Priority: optional Section: libdevel Filename: pool/main/v/valatoys/libafrodite-0.12-dev_0.12.1-3_armhf.deb Size: 16106 SHA256: a6aee27424403f2bb4c80ce88398516f091d9604d0cd4b32446c4f8e4c9ff99c SHA1: 6024c6dbb7ffb993a38651be29bbbe6505fe288b MD5sum: c1dba95a5881c6bdf695e1273cc4aac5 Description: Afrodite completion library - development files Afrodite is a library to parse Vala sources and provide completion of method names and arguments, variable names, structure fields, context-sensitive in-editor documentation. . The library is developed for gedit-valatoys-plugin but can be used stand-alone to add advanced Vala support to other editors. . This package contains the development files. Package: libafsauthent1 Source: openafs Version: 1.6.1-3+deb7u8 Architecture: armhf Maintainer: Russ Allbery Installed-Size: 375 Depends: libafsrpc1 (>= 1.6.1-3+deb7u1~), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgcc1 (>= 1:4.4.0), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2) Homepage: http://www.openafs.org/ Priority: optional Section: libs Filename: pool/main/o/openafs/libafsauthent1_1.6.1-3+deb7u8_armhf.deb Size: 158564 SHA256: 21f9df57dae9b67e01a202643987f3f6be47a0020ae3e2f32674c7be26a7140c SHA1: dda2d0fdb65f29e0fa8d312f1d6c42871590f770 MD5sum: f4f25781241a77d1f49adff49122c046 Description: AFS distributed file system runtime library (authentication) AFS is a distributed filesystem allowing cross-platform sharing of files among multiple computers. Facilities are provided for access control, authentication, backup and administrative management. . This package provides the shared library implementing AFS client authentication and token management. Package: libafsrpc1 Source: openafs Version: 1.6.1-3+deb7u8 Architecture: armhf Maintainer: Russ Allbery Installed-Size: 561 Depends: libc6 (>= 2.13-28) Homepage: http://www.openafs.org/ Priority: optional Section: libs Filename: pool/main/o/openafs/libafsrpc1_1.6.1-3+deb7u8_armhf.deb Size: 215554 SHA256: 3badd715500b540f1f5b12f56deb846c61bac9968cff99e9aef84f62c644db9d SHA1: 639d9186c43b40be7c44c45ac8c1dd90f7a758e8 MD5sum: cc4dfec8a06e7f5758e6df7823ba98bc Description: AFS distributed file system runtime library (RPC layer) AFS is a distributed filesystem allowing cross-platform sharing of files among multiple computers. Facilities are provided for access control, authentication, backup and administrative management. . This package provides the shared library implementing the Rx protocol and other AFS RPC functionality. Package: libafterimage-dev Source: afterstep Version: 2.2.11-7 Architecture: armhf Maintainer: Robert Luberda Installed-Size: 1887 Depends: freeglut3-dev, libafterimage0 (= 2.2.11-7), libc6-dev | libc-dev, libfreetype6-dev, libgif-dev (>= 4.1.6-3~), libice-dev, libjpeg-dev, libpng-dev | libpng12-dev, librsvg2-dev, libsm-dev, libtiff4-dev, libxext-dev, libxinerama-dev, zlib1g-dev (>= 1:1.1.4) Homepage: http://www.afterstep.org/ Priority: optional Section: libdevel Filename: pool/main/a/afterstep/libafterimage-dev_2.2.11-7_armhf.deb Size: 802512 SHA256: c4f89c4c31fcc078e18c4e0e8cbe15efc3dbb7a899ad42cb88e2b3b32fe923f6 SHA1: 51d898c30b89d50a01e69154e191f9df71040073 MD5sum: 6498fb983e88e3f4b21538f614e5f7a2 Description: imaging library designed for AfterStep - development files libAfterImage is the imaging library implemented for AfterStep X Window Manager. It has been generalized to be suitable for any application in need of robust graphics engine. . It provides facilities for loading images from files of different formats, compressed in memory storage of images, scaling, tinting/shading, flipping and superimposition of arbitrary number of images over each other. In addition it allows for linear gradients drawing, and antialiased/smoothed text drawing using both FreeType library and X Window fonts. . This package contains the header files and static library needed to compile applications that use libAfterImage. Package: libafterimage0 Source: afterstep Version: 2.2.11-7 Architecture: armhf Maintainer: Robert Luberda Installed-Size: 567 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), librsvg2-2 (>= 2.14.4), libtiff4 (>> 3.9.5-3~), libx11-6, libxext6 Breaks: aterm (<< 1.0.1-7~), aterm-ml (<< 1.0.1-7~) Homepage: http://www.afterstep.org/ Priority: optional Section: libs Filename: pool/main/a/afterstep/libafterimage0_2.2.11-7_armhf.deb Size: 297130 SHA256: d245c6936b36cdfc213133779d974775c61de9d5cbf160a631721755f2c1931f SHA1: ee43cbb5b50dbea986abaa75fff57246847f2db6 MD5sum: db35a2462f406d22e11f8321f00c6a45 Description: imaging library designed for AfterStep - runtime files libAfterImage is the imaging library implemented for AfterStep X Window Manager. It has been generalized to be suitable for any application in need of robust graphics engine. . It provides facilities for loading images from files of different formats, compressed in memory storage of images, scaling, tinting/shading, flipping and superimposition of arbitrary number of images over each other. In addition it allows for linear gradients drawing, and antialiased/smoothed text drawing using both FreeType library and X Window fonts. . This package contains the libAfterImage runtime library. Package: libafterstep1 Source: afterstep Version: 2.2.11-7 Architecture: armhf Maintainer: Robert Luberda Installed-Size: 776 Pre-Depends: multiarch-support Depends: libafterimage0 (>= 2.2.11), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libx11-6, libxext6, libxinerama1 Homepage: http://www.afterstep.org/ Priority: optional Section: libs Filename: pool/main/a/afterstep/libafterstep1_2.2.11-7_armhf.deb Size: 393478 SHA256: 0ba75efc13e484085e894ae827937a2368ad53c9f6d548d4903ebef2a46a7bab SHA1: 415be3f042d29cbef7592e1b4fc08b6d14976361 MD5sum: 8fb5982bd2f056c21c63a30f6e10149d Description: shared libraries for the AfterStep window manager AfterStep is a window manager based on FVWM which attempts to emulate the NEXTSTEP look and feel, while retaining the configurability of its predecessor. Note that this window manager makes extensive use of the color palette. If you have only an 8-bit color display, it will run as packaged, but you may want to modify the configuration to use fewer colors. . This package contains runtime libraries needed by AfterStep. Package: libagg-dev Source: agg Version: 2.5+dfsg1-8 Architecture: armhf Maintainer: Andrea Veri Installed-Size: 2581 Conflicts: libagg23-dev Homepage: http://www.antigrain.com Priority: optional Section: libdevel Filename: pool/main/a/agg/libagg-dev_2.5+dfsg1-8_armhf.deb Size: 509466 SHA256: 50382df8bb4f1e182b30d0574f16dddf2e5ef601217a283c2ed007f108c0836d SHA1: 1b0902a0d7a90cd349b0ea921136f99cc7c98b9f MD5sum: fec27955728fb8c1b7a3ec30d3275a2f Description: AntiGrain Geometry graphical toolkit (development files) Anti-Grain Geometry (AGG) is a general purpose graphical toolkit written completely in standard and platform independent C++. It can be used in many areas of computer programming where high quality 2D graphics is an essential part of the project. . This package contains the development files for building applications using agg. Package: libagrep-ocaml Source: ocamlagrep Version: 1.0-11 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 46 Depends: ocaml-base-nox-3.12.1, libc6 (>= 2.13-28) Provides: libagrep-ocaml-x8fj5 Homepage: http://forge.ocamlcore.org/projects/ocamlagrep/ Priority: optional Section: ocaml Filename: pool/main/o/ocamlagrep/libagrep-ocaml_1.0-11_armhf.deb Size: 7706 SHA256: 7cad18624b345232192b26d1d21fa375e6e073f1076378d4a1d2c9f84360c82d SHA1: 13dda398f50acf6ae3924cfa51f8555238d03ba5 MD5sum: 819b3f64f726357199153ce9ab49844c Description: Wu-Manber algorithm for string searching with errors This OCaml library implements the Wu-Manber algorithm for string searching with errors, popularized by the "agrep" Unix command and the "glimpse" file indexing tool. It was developed as part of a search engine for a largish MP3 collection; the "with error" searching comes handy for those who can't spell Liszt or Shostakovitch. . Given a search pattern and a string, this algorithm determines whether the string contains a substring that matches the pattern up to a parameterizable number N of "errors". An "error" is either a substitution (replace a character of the string with another character), a deletion (remove a character) or an insertion (add a character to the string). In more scientific terms, the number of errors is the Levenshtein edit distance between the pattern and the matched substring. . The search patterns are roughly those of the Unix shell, including one-character wildcard (?), character classes ([0-9]) and multi-character wildcard (*). In addition, conjunction (&) and alternative (|) are supported. General regular expressions are not supported, however. . This package contains only the shared runtime stub libraries. Package: libagrep-ocaml-dev Source: ocamlagrep Version: 1.0-11 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 144 Depends: libagrep-ocaml (= 1.0-11), libagrep-ocaml-x8fj5, ocaml-nox-3.12.1 Provides: libagrep-ocaml-dev-x8fj5 Homepage: http://forge.ocamlcore.org/projects/ocamlagrep/ Priority: optional Section: ocaml Filename: pool/main/o/ocamlagrep/libagrep-ocaml-dev_1.0-11_armhf.deb Size: 29290 SHA256: d3be4ec1a1344ea734ddf6fe74ba511d14b0f31d5b1941422530a840799a105c SHA1: f4eb24208408b476da0161c816c617836940cf07 MD5sum: 86520f10ded9aad8e2b8b440e48e7abb Description: Wu-Manber algorithm for string searching with errors This OCaml library implements the Wu-Manber algorithm for string searching with errors, popularized by the "agrep" Unix command and the "glimpse" file indexing tool. It was developed as part of a search engine for a largish MP3 collection; the "with error" searching comes handy for those who can't spell Liszt or Shostakovitch. . Given a search pattern and a string, this algorithm determines whether the string contains a substring that matches the pattern up to a parameterizable number N of "errors". An "error" is either a substitution (replace a character of the string with another character), a deletion (remove a character) or an insertion (add a character to the string). In more scientific terms, the number of errors is the Levenshtein edit distance between the pattern and the matched substring. . The search patterns are roughly those of the Unix shell, including one-character wildcard (?), character classes ([0-9]) and multi-character wildcard (*). In addition, conjunction (&) and alternative (|) are supported. General regular expressions are not supported, however. . This package contains all the development stuff you need to use the agrep OCaml library in your programs. Package: libahven21.0 Source: ahven Version: 2.1-4 Architecture: armhf Maintainer: Reto Buerki Installed-Size: 273 Depends: libc6 (>= 2.13-28), libgnat-4.6 (>= 4.6.3-8+rpi1) Homepage: http://ahven.stronglytyped.org/ Priority: optional Section: libs Filename: pool/main/a/ahven/libahven21.0_2.1-4_armhf.deb Size: 78566 SHA256: 91b3f845405d038a7dd35e51df058ff433655b7b63d09bbb46a168e945a3b69a SHA1: 12c9e8d0d805c46d2e90ab67ade1e271ab62ba4b MD5sum: f22b23ff6938c93046fe5ed78ebaa7d0 Description: Unit test library for Ada Ahven is a simple unit test library for the Ada 95 programming language. It is loosely modeled after JUnit, and some ideas are taken from AUnit. Ahven is free software distributed under permissive ISC license and should work with any Ada 95 compiler. Package: libahven3-dev Source: ahven Version: 2.1-4 Architecture: armhf Maintainer: Reto Buerki Installed-Size: 645 Depends: libahven21.0 (= 2.1-4), gnat, gnat-4.6 Conflicts: libahven1-dev, libahven2-dev Replaces: libahven1-dev, libahven2-dev Homepage: http://ahven.stronglytyped.org/ Priority: optional Section: libdevel Filename: pool/main/a/ahven/libahven3-dev_2.1-4_armhf.deb Size: 156974 SHA256: c77580f615d722efd4758bca2c40ab7b27c37e418ae0afbd16721596f1dad10b SHA1: 31f17faeafc940951847fe4ec42ddd98f0a4ca0c MD5sum: 844c1af711d003c11f524295e30781a9 Description: Unit test library for Ada (development) Ahven is a simple unit test library for the Ada 95 programming language. It is loosely modeled after JUnit, and some ideas are taken from AUnit. Ahven is free software distributed under permissive ISC license and should work with any Ada 95 compiler. . This package contains the development files. Package: libai-fann-perl Version: 0.10-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 151 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libfann2 (>= 2.1.0~beta~dfsg) Homepage: http://leenissen.dk/fann/wp/ Priority: optional Section: perl Filename: pool/main/liba/libai-fann-perl/libai-fann-perl_0.10-1_armhf.deb Size: 31322 SHA256: 8cd22f2a5e249d6c463ec36a45b976c3e9d1fe3625a7b996b255f6d6bf2c1419 SHA1: a63b148c34dd2268ec66da1aa9a9c3555db2146d MD5sum: 47ce70a468c13be572c0b88abfab3c0b Description: Perl wrapper for the FANN library This module provides a Perl wrapper for the FANN library (http://leenissen.dk/fann/wp/). Package: libaiksaurus-1.2-0c2a Source: aiksaurus Version: 1.2.1+dev-0.12-6.1 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 67 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libaiksaurus-1.2-data Conflicts: libaiksaurus-1.2-0, libaiksaurus0, libaiksaurus0c102 Replaces: libaiksaurus-1.2-0, libaiksaurus0, libaiksaurus0c102 Priority: optional Section: libs Filename: pool/main/a/aiksaurus/libaiksaurus-1.2-0c2a_1.2.1+dev-0.12-6.1_armhf.deb Size: 21816 SHA256: 4849b58b7b15fbc9c9a4434a5533933c7b44fa71b023c162ec5827a844200dd2 SHA1: 8d7e4a6196f1550880b6911da8a9028c3996db7d MD5sum: ae2506cd3ec18c4ed5c6c49ac27125e5 Description: an English-language thesaurus (development) Aiksaurus is an English-language thesaurus that is suitable for integration with word processors, email composers, and other authoring software. . This package contains the shared library. Package: libaiksaurus-1.2-data Source: aiksaurus Version: 1.2.1+dev-0.12-6.1 Installed-Size: 560 Maintainer: Masayuki Hatta (mhatta) Architecture: all Provides: libaiksaurus-data Conflicts: libaiksaurus-data Size: 317372 SHA256: a9a79aaccf11a8f9b92228f16b6aa232f4cbec080a3a2394c1681fa27d93e442 SHA1: c0c2272100ef716c95ad60a14fc126d16de5f9b4 MD5sum: f08994000481bf3ca7032529810cf44e Description: an English-language thesaurus (data) Aiksaurus is an English-language thesaurus that is suitable for integration with word processors, email composers, and other authoring software. . This package contains thesaurus data. Tag: made-of::dictionary, role::app-data Section: libs Priority: optional Filename: pool/main/a/aiksaurus/libaiksaurus-1.2-data_1.2.1+dev-0.12-6.1_all.deb Package: libaiksaurus-1.2-dev Source: aiksaurus Version: 1.2.1+dev-0.12-6.1 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 96 Depends: libaiksaurus-1.2-0c2a (= 1.2.1+dev-0.12-6.1) Conflicts: libaiksaurus-dev Provides: libaiksaurus-dev Priority: optional Section: libdevel Filename: pool/main/a/aiksaurus/libaiksaurus-1.2-dev_1.2.1+dev-0.12-6.1_armhf.deb Size: 24236 SHA256: d8ae777ad23b999703b5c050b8c3b9d09a2da7e0cb31d1d8d3c93fd728ce41ac SHA1: 1db9b77430c4380580b2526815b0ab0b964ac8b6 MD5sum: e0ee90eef15bccd3ad106de7803219ba Description: an English-language thesaurus (development) Aiksaurus is an English-language thesaurus that is suitable for integration with word processors, email composers, and other authoring software. . This package contains files needed for development with Aiksaurus. Package: libaiksaurusgtk-1.2-0c2a Source: aiksaurus Version: 1.2.1+dev-0.12-6.1 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 88 Depends: libaiksaurus-1.2-0c2a (>= 1.2.1+dev-0.12), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0) Conflicts: libaiksaurusgtk-1.2-0, libaiksaurusgtk0, libaiksaurusgtk0c102 Replaces: libaiksaurusgtk-1.2-0, libaiksaurusgtk0, libaiksaurusgtk0c102 Priority: optional Section: libs Filename: pool/main/a/aiksaurus/libaiksaurusgtk-1.2-0c2a_1.2.1+dev-0.12-6.1_armhf.deb Size: 27750 SHA256: 8e703e5e75ab36c18a60e53431e94f2e66c098b33958993fc1876ccc077c2603 SHA1: afd2b71caf37e7e1ab3e6e38964da91ffa05d493 MD5sum: 72cf5780c751ad96a87751296be312b2 Description: graphical interface to the Aiksaurus toolkit (library) This is a graphical interface to the Aiksaurus English language thesaurus programming toolkit, meant to be embedded in other applications. A standalone AiksaurusGTK program is available in libaiksaursgtk-bin. . This is the runtime component of AiksaurusGTK, needed to run applications built with it. Package: libaiksaurusgtk-1.2-dev Source: aiksaurus Version: 1.2.1+dev-0.12-6.1 Architecture: armhf Maintainer: Masayuki Hatta (mhatta) Installed-Size: 132 Depends: libaiksaurusgtk-1.2-0c2a (= 1.2.1+dev-0.12-6.1) Conflicts: libaiksaurusgtk-dev Provides: libaiksaurusgtk-dev Priority: optional Section: libdevel Filename: pool/main/a/aiksaurus/libaiksaurusgtk-1.2-dev_1.2.1+dev-0.12-6.1_armhf.deb Size: 30042 SHA256: 3ff9075fcf058811852775f93898def0fb5e36dc67bd747e8aa4f3eebbc0ab0d SHA1: ad1ada0a2cc84f4c2c0b9950988fc61219c33507 MD5sum: 1dc6f6187b921cec5ee5fbc2df149cea Description: graphical interface to the Aiksaurus toolkit (development) This is a graphical interface to the Aiksaurus English language thesaurus programming toolkit, meant to be embedded in other applications. A standalone AiksaurusGTK program is available in libaiksaursgtk-bin. . This is the development component of AiksaurusGTK, needed to develop applications with AiksaurusGTK. Package: libaio-dev Source: libaio Version: 0.3.109-3 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 81 Depends: libaio1 (= 0.3.109-3) Homepage: http://www.kernel.org/pub/linux/libs/aio/ Priority: optional Section: libdevel Filename: pool/main/liba/libaio/libaio-dev_0.3.109-3_armhf.deb Size: 18862 SHA256: 63d2f31731b1f368c7164b2c6772574badfa8a524877344b05771a9b03016fb6 SHA1: 9286ad4022c4b002caa38f9c58a35d0d47eba828 MD5sum: ffd8ef0147f0f076f29cace21ef93c95 Description: Linux kernel AIO access library - development files This library enables userspace to use Linux kernel asynchronous I/O system calls, important for the performance of databases and other advanced applications. Package: libaio1 Source: libaio Version: 0.3.109-3 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 52 Pre-Depends: multiarch-support Multi-Arch: same Homepage: http://www.kernel.org/pub/linux/libs/aio/ Priority: optional Section: libs Filename: pool/main/liba/libaio/libaio1_0.3.109-3_armhf.deb Size: 8944 SHA256: 255c7fd662de69268b474d9501e3f4cfaf28015f123746322ca05e9c15ee7133 SHA1: 037b53a53ca33e722f5baab5351732af7bff8e2d MD5sum: ddc43710db3f102df9477a8a95f025ad Description: Linux kernel AIO access library - shared library This library enables userspace to use Linux kernel asynchronous I/O system calls, important for the performance of databases and other advanced applications. Package: libaio1-dbg Source: libaio Version: 0.3.109-3 Architecture: armhf Maintainer: Guillem Jover Installed-Size: 62 Depends: libaio1 (= 0.3.109-3) Multi-Arch: same Homepage: http://www.kernel.org/pub/linux/libs/aio/ Priority: extra Section: debug Filename: pool/main/liba/libaio/libaio1-dbg_0.3.109-3_armhf.deb Size: 11696 SHA256: debceae82af40a2e619151d0d2cca6ece66a413531419fc602306783ef40805e SHA1: d2701153e3d7c84759e89dfd7d75ea1404ab7a8b MD5sum: 57aac1ca99accdb23aedffb3528cf302 Description: Linux kernel AIO access library - debugging symbols This library enables userspace to use Linux kernel asynchronous I/O system calls, important for the performance of databases and other advanced applications. Package: libajaxtags-java Version: 1.5.1-1 Installed-Size: 172 Maintainer: Debian Java Maintainers Architecture: all Size: 48376 SHA256: 80ea425f598b0a31fccd1a4aaae7d5a9ee65584115079f017ba7e6fb63a4b2df SHA1: 8e02cb51958877a707a918e3dbcd167edf804f10 MD5sum: 6496a9460dac0d0e4a184feee67c8a5d Description: Java based library supporting AJAX in Java Server Pages The AJAX Tag Library is a set of JSP tags that simplify the use of Asynchronous JavaScript and XML (AJAX) technology in JavaServer Pages. . This tag library does not force J2EE developers to write the necessary JavaScript to implement an AJAX-capable web form. The tag library provides support for live form updates for the following use cases: - autocomplete based on character input to an input field - select box population based on selections made from another field - callout or balloon popups for highlighting content - refreshing form fields - toggling images and form field states on/off. Homepage: http://ajaxtags.sourceforge.net/ Tag: role::shared-lib Section: java Priority: optional Filename: pool/main/liba/libajaxtags-java/libajaxtags-java_1.5.1-1_all.deb Package: libakonadi-calendar4 Source: kdepimlibs Version: 4:4.8.4-2+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 101 Depends: libakonadi-kde4 (= 4:4.8.4-2+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcalcore4 (= 4:4.8.4-2+deb7u1), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.3.0) Homepage: http://pim.kde.org/ Priority: optional Section: libs Filename: pool/main/k/kdepimlibs/libakonadi-calendar4_4.8.4-2+deb7u1_armhf.deb Size: 35432 SHA256: fdb2f81af3b906ce874363b539a71e7e223937c2a2c531a7f3edd633a54c163d SHA1: f79e93c54f0abbd3b198e58787ef060f12dbf2bb MD5sum: d3a26404013baeb762ed03b251537712 Description: library providing calendar helpers for Akonadi items This library offers helper functions for integrate the use of Akonadi calendar items in applications. . This package is part of the KDE Development Platform PIM libraries module. Package: libakonadi-contact4 Source: kdepimlibs Version: 4:4.8.4-2+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 951 Depends: libakonadi-kde4 (= 4:4.8.4-2+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkabc4 (= 4:4.8.4-2+deb7u1), libkcalcore4 (= 4:4.8.4-2+deb7u1), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libkmime4 (= 4:4.8.4-2+deb7u1), libkpimutils4 (= 4:4.8.4-2+deb7u1), libnepomuk4 (>= 4:4.8), libphonon4 (>= 4:4.2.0), libprison0 (>= 1.0), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), phonon Breaks: kdepim-runtime (<< 4:4.4.0) Replaces: kdepim-runtime (<< 4:4.4.0) Homepage: http://pim.kde.org/ Priority: optional Section: libs Filename: pool/main/k/kdepimlibs/libakonadi-contact4_4.8.4-2+deb7u1_armhf.deb Size: 289536 SHA256: 1e5012687453e951c9fbe187f5ac591ff833db1a70cec6b4bf73305706a3de27 SHA1: d1fc539f5fb30ba4d0f6b38c0c3c041d15f288f5 MD5sum: 4d7ff62e49db3be4c1e182f433358e67 Description: library for using the Akonadi PIM data server This is the client access library for using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. Package: libakonadi-dev Source: akonadi Version: 1.7.2-3+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 65 Depends: libakonadiprotocolinternals1 (= 1.7.2-3+deb7u1), libboost-dev Suggests: pkg-config Homepage: http://pim.kde.org/akonadi Priority: extra Section: libdevel Filename: pool/main/a/akonadi/libakonadi-dev_1.7.2-3+deb7u1_armhf.deb Size: 18920 SHA256: 4f914984d7e4b6fbcf4eb1732d44b47aecae641cff9f3d0954b9764171cae8e6 SHA1: 3fa8ec5546b6f4feec23bb20c7756f019df1a01e MD5sum: acb5e4614195c4abfcd3a4f5af868e7c Description: development files for the Akonadi PIM storage service Akonadi is an extensible cross-desktop Personal Information Management (PIM) storage service. It provides a common framework for applications to store and access mail, calendars, addressbooks, and other PIM data. . This package contains development files for building software that uses the Akonadi PIM storage service. Package: libakonadi-kabc4 Source: kdepimlibs Version: 4:4.8.4-2+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 41 Depends: libc6 (>= 2.13-28) Breaks: akonadi-kde (<< 4:4.1.80), kdepimlibs5 (<< 4:4.4.0) Replaces: akonadi-kde (<< 4:4.1.80), kdepimlibs5 (<< 4:4.4.0) Homepage: http://pim.kde.org/ Priority: optional Section: libs Filename: pool/main/k/kdepimlibs/libakonadi-kabc4_4.8.4-2+deb7u1_armhf.deb Size: 16552 SHA256: 454152d487caec4e73fba08312bc590dbd7492b7f0de2c88c3f583df1e8c94fa SHA1: ed3e1faececf596c66c60fa9143b4f832a0b3cf8 MD5sum: 7ed85cba6113c2a1fee6daea144ec435 Description: library for using the Akonadi PIM data server This is the client access library for using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. Package: libakonadi-kcal4 Source: kdepimlibs Version: 4:4.8.4-2+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 55 Depends: libakonadi-kde4 (= 4:4.8.4-2+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcal4 (= 4:4.8.4-2+deb7u1), libkdecore5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.3.0) Breaks: akonadi-kde (<< 4:4.1.80), kdepim-runtime (<< 4:4.4.0) Replaces: akonadi-kde (<< 4:4.1.80), kdepim-runtime (<< 4:4.4.0) Homepage: http://pim.kde.org/ Priority: optional Section: libs Filename: pool/main/k/kdepimlibs/libakonadi-kcal4_4.8.4-2+deb7u1_armhf.deb Size: 21432 SHA256: b34e27241d175782892bea022e2ec0c3f3b7f325d179dae0f11a04c6d41835ed SHA1: bc4d1554aaa505a6d2325a4f26f393f17c689c48 MD5sum: b05ddf8ce669c14b7f571e436bc9ca83 Description: library for using the Akonadi PIM data server This is the client access library for using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. Package: libakonadi-kde4 Source: kdepimlibs Version: 4:4.8.4-2+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 2209 Depends: libakonadiprotocolinternals1 (>= 1.6.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqt4-dbus (>= 4:4.6.1), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsolid4 (>= 4:4.8), libstdc++6 (>= 4.6) Breaks: kdepimlibs-data, kdepimlibs5 (<< 4:4.4.0) Replaces: kdepimlibs-data, kdepimlibs5 (<< 4:4.4.0) Homepage: http://pim.kde.org/ Priority: optional Section: libs Filename: pool/main/k/kdepimlibs/libakonadi-kde4_4.8.4-2+deb7u1_armhf.deb Size: 611198 SHA256: 4789a99226cea6dc6b235976ba70d0817ec46afee77b2d8b52650512ac0b4450 SHA1: 6dda160b652d52953b2fd522b874dc64cebe977d MD5sum: 7f9beb71f8427d483f626311e7b6aced Description: library for using the Akonadi PIM data server This is the client access library for using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. Package: libakonadi-kmime4 Source: kdepimlibs Version: 4:4.8.4-2+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 300 Depends: libakonadi-kde4 (= 4:4.8.4-2+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libkmime4 (= 4:4.8.4-2+deb7u1), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0) Breaks: kdepimlibs-data, kdepimlibs5 (<< 4:4.4.0) Replaces: kdepimlibs-data, kdepimlibs5 (<< 4:4.4.0) Homepage: http://pim.kde.org/ Priority: optional Section: libs Filename: pool/main/k/kdepimlibs/libakonadi-kmime4_4.8.4-2+deb7u1_armhf.deb Size: 87152 SHA256: 2cbe9da7df05bb480fa0c077337ca0bb28ea7ae89e1d2221db17cc9007927849 SHA1: cbcbb3a2825f57d66985588bb041a157172ba472 MD5sum: 2edda5eaceb040765246cab9299632cd Description: library for using the Akonadi PIM data server This is the client access library for using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. Package: libakonadi-notes4 Source: kdepimlibs Version: 4:4.8.4-2+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 55 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkmime4 (= 4:4.8.4-2+deb7u1), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://pim.kde.org/ Priority: optional Section: libs Filename: pool/main/k/kdepimlibs/libakonadi-notes4_4.8.4-2+deb7u1_armhf.deb Size: 20876 SHA256: 61637cbcd7da9723168c482cda9b9342b7343b644cab94365339359db67fe043 SHA1: 5dc248b34727337195a6fb01988b185146cc686c MD5sum: 22ce04d0a752c229221db9fdbf37761a Description: library for using the Akonadi PIM data server This is the client access library for using the Akonadi PIM data server. . This package is part of the KDE Development Platform PIM libraries module. Package: libakonadi-ruby Source: korundum Version: 4:4.8.4-1 Installed-Size: 44 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: ruby-akonadi Size: 15636 SHA256: 46ef783ef0de7b5c08888a0c2df7ab9dcd56a1138841a03cd6d838eb658e01f9 SHA1: 6b44f7a244af969a18065cfb6fb23b8a77978dab MD5sum: 71de7dcea404009e0f1f0b9e85a5ca96 Description: transitional package for ruby-akonadi This is a transitional package to ease upgrades to the ruby-akonadi package. It can safely be removed. Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/korundum Tag: suite::kde Section: oldlibs Priority: extra Filename: pool/main/k/korundum/libakonadi-ruby_4.8.4-1_all.deb Package: libakonadi-ruby1.8 Source: korundum Version: 4:4.8.4-1 Installed-Size: 44 Maintainer: Debian Qt/KDE Maintainers Architecture: all Depends: ruby-akonadi Size: 15636 SHA256: 789dcf273be80f64297c919d512aed3d6e1eeac641071623a2565c5ad665cfd2 SHA1: 985128848ebb40a25f88221963a7df590c9118ae MD5sum: 5290c6f198c376809303306d5f61f382 Description: transitional package for ruby-akonadi This is a transitional package to ease upgrades to the ruby-akonadi package. It can safely be removed. Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/korundum Tag: devel::lang:ruby, implemented-in::c++, role::plugin, suite::kde, uitoolkit::qt Section: oldlibs Priority: extra Filename: pool/main/k/korundum/libakonadi-ruby1.8_4.8.4-1_all.deb Package: libakonadiprotocolinternals1 Source: akonadi Version: 1.7.2-3+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 103 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.6.0), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0) Suggests: akonadi-server (= 1.7.2-3+deb7u1) Breaks: libakonadiprivate1 (<< 1.4.90) Replaces: libakonadiprivate1 (<< 1.4.90) Homepage: http://pim.kde.org/akonadi Priority: extra Section: libs Filename: pool/main/a/akonadi/libakonadiprotocolinternals1_1.7.2-3+deb7u1_armhf.deb Size: 37554 SHA256: f35761e22a899624333249da54f1396979b95371bfb8d982942326bd717d7c69 SHA1: a118dd0d77c1c70947af8c416b4ec5b792ad9fc2 MD5sum: 6d98763b38a131c25fe2f97ecd3bf79b Description: libraries for the Akonadi PIM storage service Akonadi is an extensible cross-desktop Personal Information Management (PIM) storage service. It provides a common framework for applications to store and access mail, calendars, addressbooks, and other PIM data. . This package contains libraries used by the Akonadi PIM storage service. Package: libakuma-java Source: akuma Version: 1.8-1 Installed-Size: 95 Maintainer: Debian Java Maintainers Architecture: all Depends: libjna-java Size: 22034 SHA256: 31eb3fa36ab3124e34b760eeb0a9b552ff2c1deb82eef227855c6420f1df2ddc SHA1: 67427abb887e38fe9fc37b58066024409fdc382b MD5sum: 5a267d7198aa639edda8bdce09fa628f Description: Embeddable daemonization library for Java This is a Java library you can use in your application to support Unix daemonization. By taking advantages of POSIX API, this library lets you fork your process into background with proper daemonization steps. Homepage: http://java.net/projects/akuma Tag: role::shared-lib Section: java Priority: optional Filename: pool/main/a/akuma/libakuma-java_1.8-1_all.deb Package: libakuma-java-doc Source: akuma Version: 1.8-1 Installed-Size: 395 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: libakuma-java Size: 78344 SHA256: cb791fb15bfb33c1520ad12135409e657c82c1ac4499d3d4bb070893d845fc22 SHA1: 51be957915c5cf1df7d0f98a76471dc03f905fac MD5sum: 4d2a805de91e0b618d54759ae7c47be1 Description: Documentation for Embeddable daemonization library This is a Java library you can use in your application to support Unix daemonization. By taking advantages of POSIX API, this library lets you fork your process into background with proper daemonization steps. . This package provides the API documentation for libakuma-java. Homepage: http://java.net/projects/akuma Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/a/akuma/libakuma-java-doc_1.8-1_all.deb Package: libalberta2 Version: 2.0.1-5 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 2194 Depends: libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), libgl1-mesa-glx | libgl1, libx11-6 Homepage: http://www.alberta-fem.de Priority: extra Section: libs Filename: pool/main/liba/libalberta2/libalberta2_2.0.1-5_armhf.deb Size: 968988 SHA256: a87e252a6d8367262739b1f390c7d2fe0ad002e36f78360a7b697f6d8eff2a32 SHA1: c4b663d31374e5c64594a6609016b2775f90ac41 MD5sum: 31566e117eadebec3bd74ef12524f1fd Description: Adaptive finite element library (shared libraries) ALBERTA is an adaptive finite element library for solving partial differential equations (PDEs). . It offers a hierarchical mesh and methods for 1d, 2d and 3d stationary as well as time dependent problems. It is written in C with speed and flexibility in mind. . This package contains shared libraries. Package: libalberta2-dbg Source: libalberta2 Version: 2.0.1-5 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 4665 Depends: libalberta2 (= 2.0.1-5) Homepage: http://www.alberta-fem.de Priority: extra Section: debug Filename: pool/main/liba/libalberta2/libalberta2-dbg_2.0.1-5_armhf.deb Size: 1716888 SHA256: 40181736e3d442cade0eaef52af38c46590753b041c03959cda92d413b0ad549 SHA1: f56bd5f4edd3cb662225f22831bf621ce935e2ec MD5sum: aad344f0e37cb80314523ce30eb413da Description: Adaptive finite element library (debug symbols) ALBERTA is an adaptive finite element library for solving partial differential equations (PDEs). . It offers a hierarchical mesh and methods for 1d, 2d and 3d stationary as well as time dependent problems. It is written in C with speed and flexibility in mind. . This package contains the debugging symbols of the library. Package: libalberta2-dev Source: libalberta2 Version: 2.0.1-5 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 2774 Depends: libalberta2 (= 2.0.1-5), libblas-dev | libatlas-base-dev, libx11-dev, libgl1-mesa-dev Homepage: http://www.alberta-fem.de Priority: extra Section: libdevel Filename: pool/main/liba/libalberta2/libalberta2-dev_2.0.1-5_armhf.deb Size: 1068322 SHA256: 7fd9443ead627f76696f28c20481279024c3565a817204914da074e542f54178 SHA1: 734c99a1d210f4ccb2e647b6265da452264e4423 MD5sum: 0df52db400e402d6af7007c4aa3810d3 Description: Adaptive finite element library (development headers) ALBERTA is an adaptive finite element library for solving partial differential equations (PDEs). . It offers a hierarchical mesh and methods for 1d, 2d and 3d stationary as well as time dependent problems. It is written in C with speed and flexibility in mind. . This package contains development files. Package: libaldmb1 Source: libdumb Version: 1:0.9.3-5.4 Architecture: armhf Maintainer: Debian allegro packages maintainers Installed-Size: 161 Pre-Depends: multiarch-support Depends: liballegro4.4, libc6 (>= 2.13-28), libdumb1 Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libd/libdumb/libaldmb1_0.9.3-5.4_armhf.deb Size: 93798 SHA256: dfbc137c57cdbdd020ae5f9295466da263b19d7782387b323675b04b49e9a3ed SHA1: 651c3c26c7fa7023efc73a691ba7cf8164b1a604 MD5sum: a3be285c22f8475b93f2e22402ce6f64 Description: dynamic universal music bibliotheque, Allegro version DUMB is a tracker library with support for IT, XM, S3M and MOD files. It targets maximum accuracy to the original formats, with low-pass resonant filters for the IT files, accurate timing and pitching, and three resampling quality settings (aliasing, linear interpolation and cubic interpolation). . This package contains the libaldmb1 runtime library, a library for module playback that uses the Allegro library. If you do not plan to use Allegro with your project, please consider the libdumb1 library. Package: libaldmb1-dev Source: libdumb Version: 1:0.9.3-5.4 Architecture: armhf Maintainer: Debian allegro packages maintainers Installed-Size: 53 Depends: libaldmb1 (= 1:0.9.3-5.4), libdumb1-dev, liballegro4.2-dev Conflicts: libaldmb0-dev Multi-Arch: same Priority: optional Section: libdevel Filename: pool/main/libd/libdumb/libaldmb1-dev_0.9.3-5.4_armhf.deb Size: 5024 SHA256: 8b6eca68f706b51eee2c06da56c3c92b8444df905cb214c434581b406087e331 SHA1: 6433b9316f1e919aceb85d99eca97f0328907eeb MD5sum: 16fc17f2356cf8312805f0f3cd8c7e90 Description: development files for libaldmb1 DUMB is a tracker library with support for IT, XM, S3M and MOD files. It targets maximum accuracy to the original formats, with low-pass resonant filters for the IT files, accurate timing and pitching, and three resampling quality settings (aliasing, linear interpolation and cubic interpolation). . This package contains the header files and static library needed to compile applications that use libaldmb1. Package: libalglib-2.6.0 Source: alglib Version: 2.6.0-6 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1207 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: http://www.alglib.net/ Priority: optional Section: libs Filename: pool/main/a/alglib/libalglib-2.6.0_2.6.0-6_armhf.deb Size: 563888 SHA256: f4f45202988b611d9027bda530f43590feb6ad47036ffacec70360fda02dbd88 SHA1: 07f301e95424af0c1b2244779b4e6cdd6ccbb402 MD5sum: 3c3ddd3af04e369a8fa15b7cdca142b7 Description: Numerical analysis and data processing library ALGLIB is a cross-platform numerical analysis and data processing library. This package support C++. ALGLIB features include: . * Linear algebra (direct algorithms, EVD/SVD) * Solvers (linear and nonlinear) * Interpolation * Optimization * Fast Fourier transforms * Numerical integration * Linear and nonlinear least-squares fitting * Ordinary differential equations * Special functions * Statistics (descriptive statistics, hypothesis testing) * Data analysis (classification/regression, including neural networks) Package: libalglib-2.6.0-dbg Source: alglib Version: 2.6.0-6 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 5314 Depends: libalglib-2.6.0 (= 2.6.0-6) Homepage: http://www.alglib.net/ Priority: extra Section: debug Filename: pool/main/a/alglib/libalglib-2.6.0-dbg_2.6.0-6_armhf.deb Size: 1674464 SHA256: efcc3e0341dc3d67b201fb4f900558cb3ad067f3a7f9879c634ac051c212bcb1 SHA1: d1da918e299848ab2898766dc390f4f04228e8d9 MD5sum: 1722065258f64927be9e8e856c2f02aa Description: Debugging symbols for the alglib library ALGLIB is a cross-platform numerical analysis and data processing library. This package support C++. ALGLIB features include: . * Linear algebra (direct algorithms, EVD/SVD) * Solvers (linear and nonlinear) * Interpolation * Optimization * Fast Fourier transforms * Numerical integration * Linear and nonlinear least-squares fitting * Ordinary differential equations * Special functions * Statistics (descriptive statistics, hypothesis testing) * Data analysis (classification/regression, including neural networks) . This package contains the debugging symbols for ALGLIB. Package: libalglib-dev Source: alglib Version: 2.6.0-6 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 3348 Depends: libalglib-2.6.0 (= 2.6.0-6) Homepage: http://www.alglib.net/ Priority: extra Section: libdevel Filename: pool/main/a/alglib/libalglib-dev_2.6.0-6_armhf.deb Size: 905916 SHA256: 2601e60bd0c332826c1bfa150ab08dd471ad447adcc3709a90ad94cdc844f4b9 SHA1: 36cac3e8e9092c98f494edacbc2a2d187968ac77 MD5sum: d33326d90b583ea93cf3f1a2fe291672 Description: Development files for the alglib library ALGLIB is a cross-platform numerical analysis and data processing library. This package support C++. ALGLIB features include: . * Linear algebra (direct algorithms, EVD/SVD) * Solvers (linear and nonlinear) * Interpolation * Optimization * Fast Fourier transforms * Numerical integration * Linear and nonlinear least-squares fitting * Ordinary differential equations * Special functions * Statistics (descriptive statistics, hypothesis testing) * Data analysis (classification/regression, including neural networks) . This package contains the development files (headers and documentation) for ALGLIB. Package: libalgorithm-c3-perl Version: 0.08-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 11796 SHA256: 3ce01bcd0e79a8239c423835924021dfe96606f7bae91bbe5a3abcdaf203df8f SHA1: c4c17df62cd13e3ad922103144bc80174f626104 MD5sum: 003d9854288a982b46619e7bc001dd78 Description: Perl module for merging hierarchies using the C3 algorithm The Algorithm::C3 module implements the C3 algorithm. . C3 is the name of an algorithm which aims to provide a sane method resolution order under multiple inheritence. It was first introduced in the langauge Dylan, and then later adopted as the preferred MRO (Method Resolution Order) for the new-style classes in Python 2.3. Most recently it has been adopted as the 'canonical' MRO for Perl 6 classes, and the default MRO for Parrot objects as well. Homepage: http://search.cpan.org/dist/Algorithm-C3/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libalgorithm-c3-perl/libalgorithm-c3-perl_0.08-1_all.deb Package: libalgorithm-checkdigits-perl Version: 0.50-1 Installed-Size: 456 Maintainer: Jose Parrella Architecture: all Depends: perl (>= 5.6.0-16) Size: 139040 SHA256: 5cd8674ce0f64640c5eede19b587b3b4cf61acd3d336e66116d06f740072e159 SHA1: 92812a7c282188025dde3de18d1f19bae04a7242 MD5sum: a97c6cd59fdee8122155962ecb63f850 Description: Perl extension to generate and test check digits This module provides a number of methods to test and generate checksum digits such as carrier trackers, ISBNs, banknotes, credit cards and a lot of other identification numbers. . It will check validity, complete partial numbers, provide base check numbers and extract check digits from several sequence of numbers. Homepage: http://search.cpan.org/dist/Algorithm-CheckDigits/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libalgorithm-checkdigits-perl/libalgorithm-checkdigits-perl_0.50-1_all.deb Package: libalgorithm-combinatorics-perl Version: 0.26-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 121 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Algorithm-Combinatorics/ Priority: optional Section: perl Filename: pool/main/liba/libalgorithm-combinatorics-perl/libalgorithm-combinatorics-perl_0.26-1_armhf.deb Size: 26054 SHA256: 70c545c690c00ae64a018159f2efbeb9db90b5318f64855a750dce01ed474495 SHA1: c3b46cc90af9f14ff28a3209eb4560aa2d3b14cf MD5sum: e71ce6ed738843cadaa5a250b29c662b Description: module for the efficient generation of combinatorial sequences Algorithm::Combinatorics is an efficient generator of combinatorial sequences. Algorithms are selected from the literature (work in progress). Iterators do not use recursion, nor stacks, and are written in C. See Math::Combinatorics for a pure-Perl module with similar (if less efficient) features. Package: libalgorithm-dependency-perl Version: 1.110-1 Installed-Size: 144 Maintainer: Jonas Genannt Architecture: all Depends: perl, libparams-util-perl, libtest-classapi-perl, libpath-class-perl Size: 40222 SHA256: 9102b810542cc5733b9a086308ac3e454e7129160a6b87a3345361336ebcf8d8 SHA1: 9c96ccfecfad72bb51f1ffb1657b7a06a341c833 MD5sum: c1b8b2165b06c2c059ec385495c1de2f Description: Base class for implementing various dependency trees in Perl Algorithm::Dependency is a framework for creating simple read-only dependency heirachies, where you have a set of items that rely on other items in the set, and require actions on them as well. Homepage: http://search.cpan.org/dist/Algorithm-Dependency/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libalgorithm-dependency-perl/libalgorithm-dependency-perl_1.110-1_all.deb Package: libalgorithm-diff-perl Version: 1.19.02-2 Architecture: all Maintainer: Debian Perl Group Installed-Size: 136 Depends: perl Recommends: libalgorithm-diff-xs-perl Homepage: http://search.cpan.org/dist/Algorithm-Diff/ Priority: optional Section: perl Filename: pool/main/liba/libalgorithm-diff-perl/libalgorithm-diff-perl_1.19.02-2_all.deb Size: 51690 SHA256: a4bfc33db38e98a0f0c7ca028fc4140cc6bc43260eb587be55448d909c3bcce0 SHA1: 2e9b11a9138de653b03bc35ed1357e2aac966db9 MD5sum: 09c7ba34b555edc1cfed61966fcb4193 Description: module to find differences between files Algorithm::Diff is a Perl module that allows users to analyze text based on a Longest Common Subsequence (LCS) algorithm. It can compare two files and find the differences between them, which can produce the same information as the common Unix tool 'diff'. . There is an XS-optimized implementation of the core loop, which accelerates some types of diff output (see libalgorithm-diff-xs-perl). Package: libalgorithm-diff-ruby Source: ruby-algorithm-diff Version: 0.4-14 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-algorithm-diff Size: 2770 SHA256: 82f65e42c16fb61efffa3181a9fadef37a1f5e5b22785b095bcb3051b0af7ba9 SHA1: 84ee308ba8535143b4fd0c8f7c9eaca34ad6dd5a MD5sum: f8e6fb2c62c2af3e10f83801127a3e5f Description: Transitional package for ruby-algorithm-diff This is a transitional package to ease upgrades to the ruby-algorithm-diff package. It can safely be removed. Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::devel-lib, role::metapackage, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-algorithm-diff/libalgorithm-diff-ruby_0.4-14_all.deb Package: libalgorithm-diff-ruby1.8 Source: ruby-algorithm-diff Version: 0.4-14 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-algorithm-diff Size: 2770 SHA256: 625cffb0c480dba468f7ef9f48593bd03ed0ff40744cf0097a10cdaa71ea7a04 SHA1: a2c47f658b2559a23d3d74ecbcf6e908bbf69ae8 MD5sum: dc0aabdda305c8a51699cbe155d0e5e1 Description: Transitional package for ruby-algorithm-diff This is a transitional package to ease upgrades to the ruby-algorithm-diff package. It can safely be removed. Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-algorithm-diff/libalgorithm-diff-ruby1.8_0.4-14_all.deb Package: libalgorithm-diff-xs-perl Version: 0.04-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 82 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libalgorithm-diff-perl Homepage: http://search.cpan.org/dist/Algorithm-Diff-XS/ Priority: optional Section: perl Filename: pool/main/liba/libalgorithm-diff-xs-perl/libalgorithm-diff-xs-perl_0.04-2_armhf.deb Size: 12514 SHA256: 20d5579236dcf5f2d18ca67710d783d0ec05eb7a01ad4b76bf8c315ace7a652d SHA1: 173d5d86af15387d11f9ca56380042c8244c8968 MD5sum: 1d6e06ee48e988aab7d1826cfb56d284 Description: module to find differences between files (XS accelerated) Algorithm::Diff::XS is a Perl diff utility module based on Joe Schaefer's excellent but not very well-known Algorithm::LCS module, with a drop-in interface identical to Algorithm::Diff. . Note that only the LCSidx function is optimized in XS at the moment, which means only compact_diff will get significantly faster for large data sets, while diff and sdiff will run in identical speed as Algorithm::Diff. Package: libalgorithm-merge-perl Version: 0.08-2 Architecture: all Maintainer: Debian Perl Group Installed-Size: 71 Depends: perl, libalgorithm-diff-perl Homepage: http://search.cpan.org/dist/Algorithm-Merge/ Priority: optional Section: perl Filename: pool/main/liba/libalgorithm-merge-perl/libalgorithm-merge-perl_0.08-2_all.deb Size: 13452 SHA256: 78de1a97b62913774946caf36c62b1e118e5c37b61d465fc678ffac43d8b9087 SHA1: c0d900f3500efa6dc377f49de85395e931a9628c MD5sum: 748f563759a602d8d7a32a734bbad846 Description: Perl module for three-way merge of textual data Algorithm::Merge provides three-way merge and diff functions, complementing the functionality offered by Algorithm::Diff (libalgorithm-diff-perl). Given three sets of items, known as the original, left and right, this module can take a three-way difference or merge them. Taking a difference provides an array reference that is very similar to the behaviour of Algorithm::Diff. One can also implement custom conflict resolution using the CONFLICT callback. Package: libalgorithm-munkres-perl Version: 0.08-2 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 12476 SHA256: a7ac5eba57f2490ebb18f2f14ddd5ce05bdf9b44d9ee379b7557ffb76762664f SHA1: fe108986765f015af97a9fa10ea264c0708da75f MD5sum: ba544636fd5dcaa896be4f0aa7134c6f Description: extension for Munkres' solution to Assignment problem The Algorithm::Munkres module extends the solution of Assignment problem for square matrices to rectangular matrices by padding zeros. Thus a rectangular matrix is converted to square matrix by padding necessary zeros. . Assignment Problem: Given N jobs, N workers and the time taken by each worker to complete a job then how should the assignment of a Worker to a Job be done, so as to minimize the time taken. Homepage: http://search.cpan.org/dist/Algorithm-Munkres/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libalgorithm-munkres-perl/libalgorithm-munkres-perl_0.08-2_all.deb Package: libalgorithm-numerical-sample-perl Version: 2010011201-1 Installed-Size: 76 Maintainer: Dominic Hargreaves Architecture: all Depends: perl Size: 12460 SHA256: b93699bf3484957a56f0fad5d95b249da7501a54c1de7b36991a26c0dcbde733 SHA1: 3ab76b85263f41541f0d7c09608aa59a089c317f MD5sum: 185ca6668dac0fc9ec06eff1301c1c2a Description: Draw samples from a set This package gives two methods to draw fair, random samples from a set. There is a procedural interface for the case the entire set is known, and an object oriented interface when the a set with unknown size has to be processed. Homepage: http://search.cpan.org/dist/Algorithm-Numerical-Sample/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libalgorithm-numerical-sample-perl/libalgorithm-numerical-sample-perl_2010011201-1_all.deb Package: libalgorithm-permute-perl Version: 0.12-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 91 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Algorithm-Permute/ Priority: optional Section: perl Filename: pool/main/liba/libalgorithm-permute-perl/libalgorithm-permute-perl_0.12-1_armhf.deb Size: 18708 SHA256: 829b010bdc8323471bb82b30c86d764abb92bb2404efc9da91db1432e55cce4e SHA1: d4d6af9783298ef2002e8e8170f77534052fe4bc MD5sum: 6093be74e378b81855a9f19cd07eb5fc Description: module to perform permutations with object oriented interface Algorithm::Permute makes performing permutation in Perl easy and fast, although perhaps its algorithm is not the fastest on the earth. It supports permutation r of n objects where 0 < r <= n. Package: libalias-perl Version: 2.32-9 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 81 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Alias/ Priority: optional Section: perl Filename: pool/main/liba/libalias-perl/libalias-perl_2.32-9_armhf.deb Size: 20754 SHA256: a666e1cc53fe56df08592d5126d0f2c79852e5756046193e9288bbfe0651d534 SHA1: 75d82095b4b1fc0187d35ff41af6b2c2a49e6a42 MD5sum: a4f24446c84fefdcf64a4775bf36bccd Description: module for accessing perl variables through aliases You may find the Alias module useful if you: . * are tired of dereferencing hash-based object attributes . * wish perl could make-do with fewer $, -> and {} things . * are a little scared of using typeglobs . * want the freedom to put what you want, when you want in the symbol table without having to deal with weird syntax . * need to use scalar constants in your program since you don't trust yourself from changing $PI Package: libaliased-perl Version: 0.30-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 11022 SHA256: ff842e2c3af0c29a1d85411bc15b512dd03295c1d112ab9db41da443100ed165 SHA1: 195780e6e3df171d307eb98837493ca1fac9722e MD5sum: 43ad21978730e945a98add25c4f40f32 Description: Perl module to provide aliases of class names aliased is a module to alias class names to arbitrary aliases in the current module or script, which is especially useful with classes that are in deep class hierarchies. It is also handy if a class has been renamed. . Please note that this module only applies to loading object oriented modules, not for procedural modules in general. Fortunately this is rarely necessary in practise, since these modules support exporting of needed functions. Homepage: http://search.cpan.org/dist/aliased/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libaliased-perl/libaliased-perl_0.30-1_all.deb Package: libalien-sdl-dev-perl Source: libalien-sdl-perl Version: 1.430-4 Installed-Size: 30 Maintainer: Debian Perl Group Architecture: all Depends: freeglut3-dev, libalien-sdl-perl (= 1.430-4), libgl1-mesa-dev, libjpeg8-dev, libpng12-dev, libsdl-gfx1.2-dev, libsdl-image1.2-dev, libsdl-mixer1.2-dev, libsdl-net1.2-dev, libsdl-pango-dev, libsdl-ttf2.0-dev, libsdl1.2-dev, libsmpeg-dev, libtiff4-dev Size: 6150 SHA256: f26440d408fc40e67dfdfa645a9a5f1ec5cbed28e2f79c1f5ac80afb53b5d45d SHA1: 793ba9dc246f997d645a5073fcdca1561a76e75e MD5sum: 3acd1ab6cee84e8d5e6620d82c52f7d7 Description: helper to build Perl program using SDL libraries Perl's idea of a metapackage to provide C library dependencies for Perl SDL application. . When building from source, this package can be used to detect and get configuration settings from an installed SDL and related libraries. As a binary package, it provides a record of all native libs used when building this package. This record is queried by other Perl SDL application to know what native libraries are available. In order to actually provide these libraries, libalien-sdl-perl package will depend on a lot of lib packages (like libsdl-image1.2) even though it does not uses them directly. . Regarding the native C libraries, all packages built with libalien-sdl-perl must have at least the same build dependencies than libalien-sdl-perl. Since this list if not fun to maintain, this metapackage depends on all packages used to build libalien-sdl-perl (and on libalien-sdl-perl). Homepage: http://search.cpan.org/dist/Alien-SDL/ Tag: devel::lang:perl, devel::library, implemented-in::perl, uitoolkit::sdl Section: perl Priority: optional Filename: pool/main/liba/libalien-sdl-perl/libalien-sdl-dev-perl_1.430-4_all.deb Package: libalien-sdl-perl Version: 1.430-4 Installed-Size: 74 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcapture-tiny-perl, libfile-sharedir-perl (>= 1.00), libfile-which-perl, libgl1-mesa-glx, libjpeg8, libpng12-0, libsdl-gfx1.2-4, libsdl-image1.2, libsdl-mixer1.2, libsdl-net1.2, libsdl-pango1, libsdl-ttf2.0-0, libsdl1.2debian, libsmpeg0, libtiff4 Size: 22020 SHA256: 494f9a6b5487769afe3d0e9b37de216627ee7ab0a51b16184ff040368005814f SHA1: 8e0e05ff9d39e912b513cb0fffaaeceb8454622d MD5sum: cee7a2c2b5c34299ed8350b9032e0241 Description: helper to get, build and use SDL libraries Perl's idea of a metapackage to provide C library dependencies for Perl SDL application. . When building from source, this package can be used to detect and get configuration settings from an installed SDL and related libraries. As a binary package, it provides a record of all native libs used when building this package. This record is queried by other Perl SDL application to know what native libraries are available. In order to actually provide these libraries, this package will depend on a lot of lib packages (like libsdl-image1.2) even though it does not uses them directly. . This package provides Perl module Alien::SDL Homepage: http://search.cpan.org/dist/Alien-SDL/ Tag: devel::lang:perl, devel::library, implemented-in::perl, uitoolkit::sdl Section: perl Priority: optional Filename: pool/main/liba/libalien-sdl-perl/libalien-sdl-perl_1.430-4_all.deb Package: libalien-wxwidgets-perl Version: 0.59+dfsg-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 101 Depends: perl, libwxgtk2.8-dev (>= 2.8.12), libwxgtk2.8-dev (<< 2.8.13~) Homepage: http://search.cpan.org/dist/Alien-wxWidgets/ Priority: optional Section: perl Filename: pool/main/liba/libalien-wxwidgets-perl/libalien-wxwidgets-perl_0.59+dfsg-1_armhf.deb Size: 23770 SHA256: e21c86e793b7ac7b1a344c2c6a66a227ceb8376daeab7017d753e3660c7c7938 SHA1: 8e72264911abd3c16e25ec6429d35714cc7a0a74 MD5sum: 184109c3a0f46b7fbe8f41c7ec2a4090 Description: Perl module for locating wxWidgets binaries Alien::WxWidgets is a simple Perl module that detects configuration settings of an installed wxWidgets. It is useful to assist in the building of modules that require wxWidgets, providing indispensible compile-related information like relevant linker and compiler flags. Package: libalkimia-dev Source: libalkimia Version: 4.3.2-1.1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 56 Depends: libalkimia4 (= 4.3.2-1.1) Homepage: http://kde-apps.org/content/show.php?content=137323 Priority: extra Section: libdevel Filename: pool/main/liba/libalkimia/libalkimia-dev_4.3.2-1.1_armhf.deb Size: 6316 SHA256: 9bdf821337606fbd85e7955357060242723edfdcecfddbd98e3e2af16601ae17 SHA1: ae308bff089c61e2d6a070d06ba649d8f13481cb MD5sum: bdd84cb52d1bc39914636fd34acc0a6b Description: Financial library - Development files Libalkimia is a library with common classes and functionality used by finance applications for the KDE SC. Currently it supports a common class to represent monetary values with arbitrary precision. . This package contains development files needed for Libalkimia. Package: libalkimia4 Source: libalkimia Version: 4.3.2-1.1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 89 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://kde-apps.org/content/show.php?content=137323 Priority: optional Section: kde Filename: pool/main/liba/libalkimia/libalkimia4_4.3.2-1.1_armhf.deb Size: 19214 SHA256: 039d5f9d92ccc034d0514228ab5e26a9e756c30fa76abca9cf93d419dda36da4 SHA1: ff258ae53b13cd08e2111b7c17950aea7cb989f2 MD5sum: e494f8fc0ed63e81e8e7515f1dc0b77e Description: Financial library common classes for KDE SC Libalkimia is a library with common classes and functionality used by finance applications for the KDE SC. Currently it supports a common class to represent monetary values with arbitrary precision. Package: liballeggl4-dev Source: allegro4.4 Version: 2:4.4.2-2.1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 606 Depends: liballeggl4.4 (= 2:4.4.2-2.1), liballegro4.2-dev Homepage: http://www.liballeg.org Priority: optional Section: libdevel Filename: pool/main/a/allegro4.4/liballeggl4-dev_4.4.2-2.1_armhf.deb Size: 159880 SHA256: 326dcc67726df3881b5a7841bed4bf409785df9cee05920b05545f6305eb115b SHA1: 1b0728c14dc8668bb282695467dcda64d248c6e0 MD5sum: 8e5f8b0747624b90a7c666a5f316ce4b Description: development files for the allgrogl library This package contains the development headers for liballeggl. . AllegroGL is an Allegro add-on that allows you to use OpenGL alongside Allegro -- you use OpenGL for your rendering to the screen, and Allegro for miscellaneous tasks like gathering input, doing timers, getting cross-platform portability, loading data, and drawing your textures. So this library fills the same hole that things like glut do. . AllegroGL also automatically exposes most, if not all, OpenGL extensions available to user programs. This means you no longer have to manually load them; extension management is already done for you. . Allegro is a cross-platform library mainly aimed at video game and multimedia programming. It handles common, low-level tasks such as creating windows, accepting user input, loading data, drawing images, playing sounds, etc. and generally abstracting away the underlying platform. Package: liballeggl4.4 Source: allegro4.4 Version: 2:4.4.2-2.1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 489 Pre-Depends: multiarch-support Depends: liballegro4.4 (= 2:4.4.2-2.1), libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libx11-6, libxcursor1 (>> 1.1.2), libxpm4, libxxf86vm1 Multi-Arch: same Homepage: http://www.liballeg.org Priority: optional Section: libs Filename: pool/main/a/allegro4.4/liballeggl4.4_4.4.2-2.1_armhf.deb Size: 224856 SHA256: aedbda274834980f5da58a584aee5b88c4343f3b4c2900e798402cc2dec61b63 SHA1: fe16eb8c6e60933e0417f9256ef1cdd4067d013a MD5sum: eef8349a09ce69cd88666393d6f8845d Description: library to mix OpenGL graphics with Allegro routines AllegroGL is an Allegro add-on that allows you to use OpenGL alongside Allegro -- you use OpenGL for your rendering to the screen, and Allegro for miscellaneous tasks like gathering input, doing timers, getting cross-platform portability, loading data, and drawing your textures. So this library fills the same hole that things like glut do. . AllegroGL also automatically exposes most, if not all, OpenGL extensions available to user programs. This means you no longer have to manually load them; extension management is already done for you. . Allegro is a cross-platform library mainly aimed at video game and multimedia programming. It handles common, low-level tasks such as creating windows, accepting user input, loading data, drawing images, playing sounds, etc. and generally abstracting away the underlying platform. Package: liballegro-doc Source: allegro4.4 Version: 2:4.4.2-2.1 Installed-Size: 106 Maintainer: Debian Games Team Architecture: all Depends: allegro4-doc Size: 80790 SHA256: d2a4fdd697f65e87646743659277e223d1e304534e086ef3384f148d6676c12f SHA1: 8ec9f603b2b659e5574f34df7c343da007e5cec5 MD5sum: 3038f0e381ea653f5d8242f4bee6577c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Homepage: http://www.liballeg.org Tag: devel::doc, devel::library, made-of::html, made-of::info, made-of::man, role::documentation, use::gameplaying Section: doc Priority: optional Filename: pool/main/a/allegro4.4/liballegro-doc_4.4.2-2.1_all.deb Package: liballegro4.2 Source: allegro4.2 Version: 2:4.2.2-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 1274 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxpm4, libxxf86dga1, libxxf86vm1 Recommends: liballegro4.2-plugin-jack Suggests: liballegro4.2-plugin-esd Priority: optional Section: libs Filename: pool/main/a/allegro4.2/liballegro4.2_4.2.2-3_armhf.deb Size: 625674 SHA256: fc02efdaa22369bea25634755a61283a79dc1998e2969aee9a79cd1f3bb19e49 SHA1: a9ac904f7f49f6e9050e208c2c07938252dc1bab MD5sum: 3f20960293104687c33edc8866d4faf8 Description: portable library for cross-platform game and multimedia development Allegro is a cross-platform library intended for use in computer games and other types of multimedia programming. It is used by many DOS games and can be used to port them easily to Linux. Allegro supports many kinds of input and output interfaces, e.g. X11 (Xlib or DGA), framebuffer, SVGAlib. . NOTE: you should install XFree86 to use the display output plugins. Alternatively, you can use the framebuffer output, or install the liballegro-plugin-svgalib on i386. Package: liballegro4.2-dev Source: allegro4.4 Version: 2:4.4.2-2.1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1014 Depends: liballegro4.4 (= 2:4.4.2-2.1), libc6 (>= 2.13-28), libjpgalleg4.4, libxext-dev, libx11-dev, libxpm-dev, libxxf86vm-dev, libxcursor-dev Conflicts: liballegro-dev, liballegro-dev-common, liballegro4-dev, liballegro4a, liballegro5-dev Replaces: liballegro-dev, liballegro-dev-common, liballegro4a Provides: liballegro-dev, liballegro4-dev Homepage: http://www.liballeg.org Priority: optional Section: libdevel Filename: pool/main/a/allegro4.4/liballegro4.2-dev_4.4.2-2.1_armhf.deb Size: 370148 SHA256: ff3f7d715d9c8012d2c40336963efc4843350602151638648297eb7c99fc49d1 SHA1: d8c0e5b2e12d1468e963bde1c6e21c1ccad69906 MD5sum: aa47b4224eee37961c168667d9e2b60e Description: development files for the Allegro library This package contains the development headers for the Allegro library. . Allegro is a cross-platform library mainly aimed at video game and multimedia programming. It handles common, low-level tasks such as creating windows, accepting user input, loading data, drawing images, playing sounds, etc. and generally abstracting away the underlying platform. Package: liballegro4.2-plugin-esd Source: allegro4.2 Version: 2:4.2.2-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 41 Depends: libc6 (>= 2.13-28), libesd0 (>= 0.2.35), liballegro4.2 (= 2:4.2.2-3) Priority: optional Section: libs Filename: pool/main/a/allegro4.2/liballegro4.2-plugin-esd_4.2.2-3_armhf.deb Size: 5154 SHA256: d0f2d0f00eaab43fdca107e32367ea482a2c925140655939f3e2edf6a290c8c3 SHA1: 588b989837f03801fd14e80cfc7b09fc0469a88d MD5sum: a5fc8b6a76d5485ec1c530bac7a8122c Description: esd audio plugin for the Allegro library This plugin adds support for the Enlightened Sound Daemon to the Allegro library. Programs using Allegro will automatically use this plugin if esd is running. . Allegro is a cross-platform library intended for use in computer games and other types of multimedia programming. It is used by many DOS games and can be used to port them easily to Linux. Package: liballegro4.2-plugin-jack Source: allegro4.2 Version: 2:4.2.2-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 42 Depends: libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liballegro4.2 (= 2:4.2.2-3) Priority: optional Section: libs Filename: pool/main/a/allegro4.2/liballegro4.2-plugin-jack_4.2.2-3_armhf.deb Size: 5672 SHA256: d741addc15be7a651978fd4c5f84ea7fe6b71d4a2b05f280f1fbde2897e32d89 SHA1: e7add12d3f9fc33c548a1334db21de3f778463c8 MD5sum: 6d3cbdc943a6a776f0abcf3da9d64576 Description: JACK audio plugin for the Allegro library This plugin adds support for the JACK low-latency sound server to the Allegro library. Programs using Allegro will automatically use this plugin if JACK is running. . Allegro is a cross-platform library intended for use in computer games and other types of multimedia programming. It is used by many DOS games and can be used to port them easily to Linux. Package: liballegro4.4 Source: allegro4.4 Version: 2:4.4.2-2.1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 946 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxpm4, libxxf86dga1, libxxf86vm1 Recommends: liballegro4.4-plugin-alsa Multi-Arch: same Homepage: http://www.liballeg.org Priority: optional Section: libs Filename: pool/main/a/allegro4.4/liballegro4.4_4.4.2-2.1_armhf.deb Size: 454174 SHA256: 6a0ecc3c77c9d7b78bf05fe59660e2886b87d6e24c00daa6c4c8cfe248363a1f SHA1: 9df31475c579f97e00b25467ec0028d186220cc2 MD5sum: 2e4cbd4fdf3e01b65687a24bc2195035 Description: portable library for cross-platform game and multimedia development Allegro is a cross-platform library mainly aimed at video game and multimedia programming. It handles common, low-level tasks such as creating windows, accepting user input, loading data, drawing images, playing sounds, etc. and generally abstracting away the underlying platform. Package: liballegro4.4-plugin-alsa Source: allegro4.4 Version: 2:4.4.2-2.1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 143 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), liballegro4.4 (= 2:4.4.2-2.1) Multi-Arch: same Homepage: http://www.liballeg.org Priority: optional Section: libs Filename: pool/main/a/allegro4.4/liballegro4.4-plugin-alsa_4.4.2-2.1_armhf.deb Size: 90270 SHA256: fa0d819600d35a6bb5329c7ef46eb6983274a0c1c1a8ac7e6c2023fa9862c9a2 SHA1: b9616073416c99fef25d501b06abc31e4ccc99ff MD5sum: 433d228d62814ee8cafb524e69af9a90 Description: ALSA audio plugin for the Allegro library This plugin adds support for ALSA to the Allegro library. It is recommended on Linux. If no audio plugin package is installed, OSS is used for audio. . Allegro is a cross-platform library mainly aimed at video game and multimedia programming. It handles common, low-level tasks such as creating windows, accepting user input, loading data, drawing images, playing sounds, etc. and generally abstracting away the underlying platform. Package: libalog0.4.1-base Source: libalog Version: 0.4.1-2 Architecture: armhf Maintainer: Adrian-Ken Rueegsegger Installed-Size: 419 Depends: libc6 (>= 2.13-28), libgnat-4.6 (>= 4.6.3-4+rpi2), gnat-4.6 Conflicts: libalog0.4.1-full Replaces: libalog0.4.1-full Homepage: http://www.codelabs.ch/alog/ Priority: optional Section: libs Filename: pool/main/liba/libalog/libalog0.4.1-base_0.4.1-2_armhf.deb Size: 108078 SHA256: f1e8fa65215a58f6626964e8d165f48448117041164955991f2f481a17d463ab SHA1: cfa59279aae88c0172cc8a9964bd44a93e7ea16e MD5sum: 0b7e6bcb1bda7f332705c8dd60273f6d Description: Logging framework for Ada (base) Alog is a logging framework for Ada and aims to be straight forward to use and easily extendable. Alog base provides file-based and syslog logging facilities and log-level support. Package: libalog0.4.1-base-dbg Source: libalog Version: 0.4.1-2 Architecture: armhf Maintainer: Adrian-Ken Rueegsegger Installed-Size: 554 Depends: libalog0.4.1-base (= 0.4.1-2), libalog0.4.1-base-dev (= 0.4.1-2) Conflicts: libalog0.4.1-full-dbg Replaces: libalog0.4.1-full-dbg Homepage: http://www.codelabs.ch/alog/ Priority: extra Section: debug Filename: pool/main/liba/libalog/libalog0.4.1-base-dbg_0.4.1-2_armhf.deb Size: 169478 SHA256: 946764cbf65b6be4b8375e1976ad37e77c2ba4a5477b5eb13a79bca1c232d481 SHA1: 8963b407442ff9ac8e8c67a9b1cf8ceab0a441b1 MD5sum: f79b17d024f150b71fd06824a647093a Description: Logging framework for Ada (debug) Alog is a logging framework for Ada and aims to be straight forward to use and easily extendable. Alog base provides file-based and syslog logging facilities and log-level support. . This package contains the debugging symbols. Package: libalog0.4.1-base-dev Source: libalog Version: 0.4.1-2 Architecture: armhf Maintainer: Adrian-Ken Rueegsegger Installed-Size: 1737 Depends: libalog0.4.1-base (= 0.4.1-2), gnat, gnat-4.6 Conflicts: libalog0.4.1-full-dev Replaces: libalog0.4.1-full-dev Homepage: http://www.codelabs.ch/alog/ Priority: optional Section: libdevel Filename: pool/main/liba/libalog/libalog0.4.1-base-dev_0.4.1-2_armhf.deb Size: 323568 SHA256: fa18af6ae85ae4c1e9fb7f7d14d80009554e0bc083ebdd3d922c40fba6686082 SHA1: f54c043cd1510dbd0e0d829486d73b42cff370e3 MD5sum: 137a94d640195b4cdc0068eb6a99ec05 Description: Logging framework for Ada (development) Alog is a logging framework for Ada and aims to be straight forward to use and easily extendable. Alog base provides file-based and syslog logging facilities and log-level support. . This package contains the development files. Package: libalog0.4.1-full Source: libalog Version: 0.4.1-2 Architecture: armhf Maintainer: Adrian-Ken Rueegsegger Installed-Size: 513 Depends: libapq-postgresql3.2.0, libapq3.2.0, libaws2.10.2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnat-4.6 (>= 4.6.3-4+rpi2), libtemplates-parser11.6, libxmlada4.1, gnat-4.6 Conflicts: libalog0.4.1-base Replaces: libalog0.4.1-base Homepage: http://www.codelabs.ch/alog/ Priority: optional Section: libs Filename: pool/main/liba/libalog/libalog0.4.1-full_0.4.1-2_armhf.deb Size: 139366 SHA256: 8af6611cf9c027c3303eab1fdb5ae4f95ffbee15716003045fb11709e1782bf5 SHA1: 2a99d45a8a0e1895f9a10d54256daecee646283b MD5sum: 3199b59ee5ec53b1e3b1026752593b38 Description: Logging framework for Ada (full) Alog is a logging framework for Ada and aims to be straight forward to use and easily extendable. Alog full offers more facilities than Alog base, notably SMTP, PostgreSQL and XMPP/Jabber. Package: libalog0.4.1-full-dbg Source: libalog Version: 0.4.1-2 Architecture: armhf Maintainer: Adrian-Ken Rueegsegger Installed-Size: 654 Depends: libalog0.4.1-full (= 0.4.1-2), libalog0.4.1-full-dev (= 0.4.1-2) Conflicts: libalog0.4.1-base-dbg Replaces: libalog0.4.1-base-dbg Homepage: http://www.codelabs.ch/alog/ Priority: extra Section: debug Filename: pool/main/liba/libalog/libalog0.4.1-full-dbg_0.4.1-2_armhf.deb Size: 205412 SHA256: 0db95346ac4c34bda8e2d6f303e0c90bdacf3534a2f1b66600df56de311b289d SHA1: ec1d83a148044234f6017965c99154e817d0f178 MD5sum: 0849205547ba4287a72b41f10415ef06 Description: Logging framework for Ada (debug) Alog is a logging framework for Ada and aims to be straight forward to use and easily extendable. Alog full offers more facilities than Alog base, notably SMTP, PostgreSQL and XMPP/Jabber. . This package contains the debugging symbols. Package: libalog0.4.1-full-dev Source: libalog Version: 0.4.1-2 Architecture: armhf Maintainer: Adrian-Ken Rueegsegger Installed-Size: 1864 Depends: libalog0.4.1-full (= 0.4.1-2), gnat, gnat-4.6, libaws2.10.2-dev, libapq-postgresql3.2.0-dev Conflicts: libalog0.4.1-base-dev Replaces: libalog0.4.1-base-dev Homepage: http://www.codelabs.ch/alog/ Priority: optional Section: libdevel Filename: pool/main/liba/libalog/libalog0.4.1-full-dev_0.4.1-2_armhf.deb Size: 355036 SHA256: 907e5fe6c822bd1a96b8dd72d87362a73c99a1a13f9ea278d87d5f0861f65da5 SHA1: f32bfe9d9a3a30b4a28f7a25538021cff42a6a1a MD5sum: 47ab6a4d53f0133996494e31fb5cc57b Description: Logging framework for Ada (development) Alog is a logging framework for Ada and aims to be straight forward to use and easily extendable. Alog full offers more facilities than Alog base, notably SMTP, PostgreSQL and XMPP/Jabber. . This package contains the development files. Package: libalogg-dev Source: alogg Version: 1.3.7-1.1 Architecture: armhf Maintainer: Debian allegro packages maintainers Installed-Size: 150 Depends: libalogg1 (= 1.3.7-1.1), libvorbis-dev Priority: optional Section: libdevel Filename: pool/main/a/alogg/libalogg-dev_1.3.7-1.1_armhf.deb Size: 41618 SHA256: a8ee4e88f1cd0192021e9682b86921723faf9b6e5b846f86a1df60515ef796ad SHA1: 3c216a971af98c249ac50a5a704781517392235d MD5sum: 3e45a7ecb2980ed879411331b077725e Description: Ogg/Vorbis library for Allegro - development files alogg is a library which makes it easier to use Ogg/Vorbis streams with the Allegro gaming library. It offers facilities to decode, stream, and encode Ogg/Vorbis streams with URL and thread support, and integrates those facilities with Allegro's datafile and sample loading routines. . This package contains the development files. Package: libalogg1 Source: alogg Version: 1.3.7-1.1 Architecture: armhf Maintainer: Debian allegro packages maintainers Installed-Size: 53 Depends: liballegro4.2 (>= 2:4.2.2), libc6 (>= 2.13-28), libogg0 (>= 1.0rc3), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2) Conflicts: libalogg Replaces: libalogg Priority: optional Section: libs Filename: pool/main/a/alogg/libalogg1_1.3.7-1.1_armhf.deb Size: 16022 SHA256: 31cce824db8bc0f6af067168a122dc17f52e7c5d2e9443fafaf3f2a869a4d9f0 SHA1: 3c434135b82f790fefcc91bd96be16211c1fed64 MD5sum: f802df03b9b6d3971092a17bfb22845e Description: Ogg/Vorbis library for Allegro - shared libraries alogg is a library which makes it easier to use Ogg/Vorbis streams with the Allegro gaming library. It offers facilities to decode, stream, and encode Ogg/Vorbis streams with URL and thread support, and integrates those facilities with Allegro's datafile and sample loading routines. . This package contains the runtime shared libraries. Package: libalsa-ocaml Source: ocaml-alsa Version: 0.2.1-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 58 Depends: ocaml-base-nox-3.12.1, libasound2 (>= 1.0.16), libc6 (>= 2.13-28) Provides: libalsa-ocaml-01jd1 Priority: optional Section: ocaml Filename: pool/main/o/ocaml-alsa/libalsa-ocaml_0.2.1-1_armhf.deb Size: 11322 SHA256: ed5512aaeef33932738f7288f63b53d23e93ef0f910abe0474b7bd1ac2f3b6c1 SHA1: 9267efc9252b08798a55744d992f21690b73b481 MD5sum: a138ea495cdeb4be8322686b8f151dfc Description: OCaml bindings for the ALSA library This OCaml library interfaces the ALSA library libasound to access audio devices. . This package contains only the shared runtime stub libraries. Package: libalsa-ocaml-dev Source: ocaml-alsa Version: 0.2.1-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 192 Depends: libalsa-ocaml-01jd1, ocaml-nox-3.12.1, libasound2-dev, libalsa-ocaml (= 0.2.1-1), ocaml-findlib Provides: libalsa-ocaml-dev-01jd1 Priority: optional Section: ocaml Filename: pool/main/o/ocaml-alsa/libalsa-ocaml-dev_0.2.1-1_armhf.deb Size: 33258 SHA256: 27ed8f18b9c4bc343b2b14610f7117d6bd20f837b5396375d6d4f1d993228349 SHA1: 9de989fa55938fbf78f38e813cfb0b4382899080 MD5sum: 64507497f6832465f16120ec629b12d4 Description: OCaml bindings for the ALSA library This OCaml library interfaces the ALSA library libasound to access audio devices. . This package contains all the development stuff you need to use ocaml-alsa in your programs. Package: libalsaplayer-dev Source: alsaplayer Version: 0.99.80-5.1 Architecture: armhf Maintainer: Tony Palma Installed-Size: 452 Depends: libalsaplayer0 (= 0.99.80-5.1) Homepage: http://www.alsaplayer.org/ Priority: optional Section: libdevel Filename: pool/main/a/alsaplayer/libalsaplayer-dev_0.99.80-5.1_armhf.deb Size: 98930 SHA256: 081e1cceade4ffcdfd2fdeca8b5c36ea8d77b13e57c303034bf6072b7bade938 SHA1: ec5bbd61f094d46cf54756d93917f5374c52f16a MD5sum: fe6693108bc43def8e1c0fd16d3b6529 Description: PCM player designed for ALSA (interface library, development files) Alsaplayer is a PCM player designed specifically for use with ALSA, but works great with OSS or EsounD. It's heavily threaded which cuts down on skipping, offers optional and even simultaneous visual scopes, plays mp3, mp2, ogg, cdda, audiofs, and lots more. . This package contains the development files for the interface library to create your own alsaplayer plugins. Package: libalsaplayer0 Source: alsaplayer Version: 0.99.80-5.1 Architecture: armhf Maintainer: Tony Palma Installed-Size: 74 Depends: libc6 (>= 2.13-28) Homepage: http://www.alsaplayer.org/ Priority: optional Section: libs Filename: pool/main/a/alsaplayer/libalsaplayer0_0.99.80-5.1_armhf.deb Size: 36764 SHA256: 34c6f4bf7a6ad27b59ae20bf98dfc0b632aa8b3b8034942c2b7f3dae6ec08b08 SHA1: 19c56defab4ee51fd6fcb81d03ba8e049d7e847a MD5sum: 99f2ca9b76056af1f93c9a88fe4d0700 Description: PCM player designed for ALSA (interface library) Alsaplayer is a PCM player designed specifically for use with ALSA, but works great with OSS or EsounD. It's heavily threaded which cuts down on skipping, offers optional and even simultaneous visual scopes, plays mp3, mp2, ogg, cdda, audiofs, and lots more. . This package contains the interface library for external plugins. Package: libalure-dev Source: alure Version: 1.2-6 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 376 Depends: libopenal-dev, libalure1 (= 1.2-6) Suggests: alure-doc Multi-Arch: same Homepage: http://kcat.strangesoft.net/alure.html Priority: optional Section: libdevel Filename: pool/main/a/alure/libalure-dev_1.2-6_armhf.deb Size: 96688 SHA256: ad9e8dd8e16bcebfa99b1a3b5a56886fa367e194c65ace24be5954a471bb818a SHA1: 662f8d7420506be448e97b03bdf4247e6230d0cc MD5sum: 0a4ad93de6879e503bdfa874c652a07c Description: AL Utilities REtooled (development files) ALURE is a utility library to help manage common tasks with OpenAL applications. This includes device enumeration and initialization, file loading, and streaming. . The purpose of this library is to provide pre-made functionality that would otherwise be repetitive or difficult to (re)code for various projects and platforms, such as loading a sound file into an OpenAL buffer and streaming an audio file through a buffer queue. Support for different formats is consistant across platforms, so no special checks are needed when loading files, and all formats are handled through the same API. . Currently ALURE includes a basic .wav and .aif file reader, and can leverage external libraries such as libSndFile (for extended wave formats and several others), VorbisFile (for Ogg Vorbis), and FLAC (for FLAC and Ogg FLAC), and others. External libraries can also be dynamically loaded at run-time, or individually disabled outright at compile time. . This package contains the files necessary for development. Package: libalure1 Source: alure Version: 1.2-6 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 131 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenal1, libstdc++6 (>= 4.6) Recommends: libdumb1, libflac8 (>= 1.2.1), libfluidsynth1, libmodplug1, libmpg123-0 (>= 1.6.2), libsndfile1 (>= 1.0.20), libvorbisfile3 (>= 1.1.2) Multi-Arch: same Homepage: http://kcat.strangesoft.net/alure.html Priority: optional Section: libs Filename: pool/main/a/alure/libalure1_1.2-6_armhf.deb Size: 46870 SHA256: 4911709d622e1ca9cfb07534bb29a20b1d83f2e24881a5aaaa60c69f1fd2a3d7 SHA1: be97dacb2b9dad3891f571e33eabbd6f439fced4 MD5sum: ed9b181b50c392848a6ba6661bb62d8b Description: AL Utilities REtooled (shared library) ALURE is a utility library to help manage common tasks with OpenAL applications. This includes device enumeration and initialization, file loading, and streaming. . The purpose of this library is to provide pre-made functionality that would otherwise be repetitive or difficult to (re)code for various projects and platforms, such as loading a sound file into an OpenAL buffer and streaming an audio file through a buffer queue. Support for different formats is consistant across platforms, so no special checks are needed when loading files, and all formats are handled through the same API. . Currently ALURE includes a basic .wav and .aif file reader, and can leverage external libraries such as libSndFile (for extended wave formats and several others), VorbisFile (for Ogg Vorbis), and FLAC (for FLAC and Ogg FLAC), and others. External libraries can also be dynamically loaded at run-time, or individually disabled outright at compile time. . This package installs the ALURE shared library. Package: libalut-dev Source: freealut Version: 1.1.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 113 Depends: libalut0 (= 1.1.0-3), libopenal-dev (>= 1:0.0.8) Homepage: http://openal.org/ Priority: optional Section: libdevel Filename: pool/main/f/freealut/libalut-dev_1.1.0-3_armhf.deb Size: 37934 SHA256: e9e8c553b59e8514ddbc080fbb4e1e541cdba63820ff3a848ae64c07d013bae5 SHA1: e2c8ca50fe30383eab3f53bc44fe577cebb3499d MD5sum: 2637d37f0bb83a9f528b69daefef95d2 Description: OpenAL Utility Toolkit development files freealut is a free implementation of OpenAL's ALUT standard. ALUT is a set of portable functions which remove the annoying details of getting an audio application started. It is the OpenAL counterpart of what GLUT is for OpenGL. . This package contains libraries and headers suitable for software development with ALUT. Package: libalut0 Source: freealut Version: 1.1.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 70 Depends: libc6 (>= 2.13-28), libopenal1 Homepage: http://openal.org/ Priority: optional Section: libs Filename: pool/main/f/freealut/libalut0_1.1.0-3_armhf.deb Size: 32566 SHA256: 4ad936689189c6cc81f5148d2ca39c8924872fe6d00247f07f389ee24365e4a3 SHA1: a165a891ead234ac94964fc5d84b2e240aae3651 MD5sum: 87542233ff857380899fe3196db1d156 Description: OpenAL Utility Toolkit freealut is a free implementation of OpenAL's ALUT standard. ALUT is a set of portable functions which remove the annoying details of getting an audio application started. It is the OpenAL counterpart of what GLUT is for OpenGL. Package: libalzabo-perl Version: 0.92-2 Installed-Size: 1112 Maintainer: Debian Perl Group Architecture: all Depends: libclass-factory-util-perl, libdbd-mysql-perl, libdbd-pg-perl, libdbi-perl, libexception-class-perl, libparams-validate-perl, libtie-ixhash-perl, perl (>= 5.6.0-16) Size: 359104 SHA256: 85d455fd0c54812319c3dd6db06f781649a400100c4760d7168abe3f77a938ee SHA1: 1602f51dfacd683d52894bf91a7a049eb49bb981 MD5sum: 273935eb5d3209cdf4d9b3265994ee08 Description: Data modelling tool and RDBMS-OO mapper Alzabo is a suite of modules with two core functions. Its first use is as a data modelling tool. Through either a schema creation GUI, a perl program, or reverse engineering, you can create a set objects to represent a schema. . Its second function is as an RDBMS to object mapping system. Once you have created a schema, you can use the Alzabo::Runtime::Table and Alzabo::Runtime::Row classes to access its data. Homepage: http://www.alzabo.org/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, works-with::db Section: perl Priority: optional Filename: pool/main/liba/libalzabo-perl/libalzabo-perl_0.92-2_all.deb Package: libamazon-ruby Source: ruby-amazon Version: 0.9.2-1 Installed-Size: 1112 Maintainer: Dafydd Harries Architecture: all Depends: librexml-ruby1.8 Size: 84622 SHA256: e222fb2d2b05d17048b0057d5ca29dd9f1b450f293b67b8ed60606be08a1757f SHA1: b7c43b17318f05b95f1e02b304bc3cab0bf5c3a7 MD5sum: 878dfaa7e9d6c1a32726c845a8d9f301 Description: a Ruby library for programmatic access to the Amazon website Ruby/Amazon allows Ruby programs to fetch data from the popular Amazon website via the REST (XML over HTTP) based Amazon Web Services. In addition to the original amazon.com site, the amazon.co.uk, amazon.de and amazon.co.jp properties are also supported. Tag: devel::lang:ruby, devel::library, implemented-in::ruby, protocol::http, role::devel-lib, role::shared-lib Section: ruby Priority: extra Filename: pool/main/r/ruby-amazon/libamazon-ruby_0.9.2-1_all.deb Package: libamazon-sqs-simple-perl Version: 1.06-1 Installed-Size: 120 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdigest-hmac-perl, liburi-perl, libwww-perl, libxml-simple-perl Size: 23804 SHA256: 78c94374f11d9e6f98adce8a2a47566589439a365a6c690788ae9d685fd374f2 SHA1: 8a5a1b04d31472ee3b37f6e5dcf2df22a6657254 MD5sum: 2b3cf0f3bf841cbe8aed177a9a8cfb31 Description: Perl module for accessing the Amazon Simple Queue Amazon::SQS::Simple is a Perl library providing an object-oriented API for the Amazon Simple Queue Service. . This version of Amazon::SQS::Simple defaults to work against version 2009-02-01 of the SQS API. Earlier API versions may or may not work. Homepage: http://search.cpan.org/dist/Amazon-SQS-Simple/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libamazon-sqs-simple-perl/libamazon-sqs-simple-perl_1.06-1_all.deb Package: libamazonec2-ruby Source: ruby-amazon-ec2 Version: 0.9.17-2 Installed-Size: 40 Maintainer: Damien Raude-Morvan Architecture: all Depends: ruby-amazon-ec2 Size: 10640 SHA256: ab3abd3f68ff2a6592ebf7e8e68cb04166e055b7f0eefa9dd8a24168ee34c523 SHA1: 62e2db15da01e061547b1103413bd9406f0998aa MD5sum: 61f07d9cb9d8dfc51827c21571823a37 Description: Transitional package for ruby-amazon-ec2 This is a transitional package to ease upgrades to the ruby-amazon-ec2 package. It can safely be removed. Homepage: http://github.com/grempe/amazon-ec2 Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: ruby Priority: optional Filename: pool/main/r/ruby-amazon-ec2/libamazonec2-ruby_0.9.17-2_all.deb Package: libamazonec2-ruby-doc Source: ruby-amazon-ec2 Version: 0.9.17-2 Installed-Size: 40 Maintainer: Damien Raude-Morvan Architecture: all Depends: ruby-amazon-ec2 Size: 10642 SHA256: be8064bd94630427944650cf0b6ac9ad8d3c4434d2c9b9579eea49f18ec93f92 SHA1: f65c6d9215499241522df2f0e668e3b7664efb7a MD5sum: 74b490453e4d6bc226e8393dd1d652ec Description: Transitional package for ruby-amazon-ec2 This is a transitional package to ease upgrades to the ruby-amazon-ec2 package. It can safely be removed. Homepage: http://github.com/grempe/amazon-ec2 Tag: devel::lang:ruby, role::documentation, role::dummy Section: doc Priority: optional Filename: pool/main/r/ruby-amazon-ec2/libamazonec2-ruby-doc_0.9.17-2_all.deb Package: libamazonec2-ruby1.8 Source: ruby-amazon-ec2 Version: 0.9.17-2 Installed-Size: 40 Maintainer: Damien Raude-Morvan Architecture: all Depends: ruby-amazon-ec2 Size: 10642 SHA256: a16885192fb6c2cbc4a46e66b6adfc21dd95bfc2a1ac5aeb3ff23bd5e956c30f SHA1: 314cabd7b15263dd91ce00199a36264933f52604 MD5sum: 121273c91e7332b97fd0adf9443a7453 Description: Transitional package for ruby-amazon-ec2 This is a transitional package to ease upgrades to the ruby-amazon-ec2 package. It can safely be removed. Homepage: http://github.com/grempe/amazon-ec2 Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: ruby Priority: optional Filename: pool/main/r/ruby-amazon-ec2/libamazonec2-ruby1.8_0.9.17-2_all.deb Package: libamd2.2.0 Source: suitesparse Version: 1:3.4.0-3 Architecture: armhf Maintainer: Maintainer: Debian Science Maintainers Installed-Size: 69 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.cise.ufl.edu/research/sparse/SuiteSparse/ Priority: optional Section: libs Filename: pool/main/s/suitesparse/libamd2.2.0_3.4.0-3_armhf.deb Size: 21512 SHA256: fa517466e75b418922ed9224be61eb6e60fbf22e48d10efa72c0dcca573373fa SHA1: 094482eaf2bb8eddcb661bedc60f7695067ea7fd MD5sum: 6b3678a11e5f9b0071c6e003b1d3d928 Description: approximate minimum degree ordering library for sparse matrices Suitesparse is a collection of libraries for computations involving sparse matrices. This package includes the following dynamic library: . AMD approximate minimum degree ordering Package: libampsharp-cil-dev Source: ampsharp Version: 2.0.4-2 Installed-Size: 3 Maintainer: Debian CLI Libraries Team Architecture: all Depends: libampsharp2.0-cil (= 2.0.4-2) Size: 2436 SHA256: cc88a61bfab63f555c6d8d7f876f37a8f279eb3e2147babe0d6f9d1465ed9724 SHA1: ea1e07a75ff1a2280d5a0d7c65e3571ad43fba88 MD5sum: e22a5aea809aac96d07332c72fe5db77 Description: Asynchronous Messaging Protocol library for the CLI. AMP is an RPC protocol for sending multiple asynchronous request/response pairs over the same connection. Requests and responses are both collections of key/value pairs. AMP is simple, flexible and efficient, with implementations in many languages. . This package contains development headers for the ampsharp library. Homepage: http://amp-protocol.net/AmpSharp Tag: devel::library, role::devel-lib Section: libs Priority: optional Filename: pool/main/a/ampsharp/libampsharp-cil-dev_2.0.4-2_all.deb Package: libampsharp2.0-cil Source: ampsharp Version: 2.0.4-2 Installed-Size: 32 Maintainer: Debian CLI Libraries Team Architecture: all Depends: cli-common (>= 0.5.1), liblog4net1.2-cil (>= 1.2.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-windows-forms4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.1) Size: 16072 SHA256: 11b258137e507098de6b4f54539c1486eaaba8fb9d7897cb47142c568373b6cb SHA1: 53caa646e6bbbfc592ff53fc3e952fcd3ec668ab MD5sum: cfcb25c1fb29bfc558a7621af06c8d76 Description: Asynchronous Messaging Protocol library for the CLI. AMP is an RPC protocol for sending multiple asynchronous request/response pairs over the same connection. Requests and responses are both collections of key/value pairs. AMP is simple, flexible and efficient, with implementations in many languages. . This package contains the ampsharp library itself. Homepage: http://amp-protocol.net/AmpSharp Tag: role::shared-lib Section: cli-mono Priority: optional Filename: pool/main/a/ampsharp/libampsharp2.0-cil_2.0.4-2_all.deb Package: libamrita-ruby1.8 Source: ruby-amrita Version: 1.0.2-10 Installed-Size: 36 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-amrita Size: 5944 SHA256: 8914cdf62e8c272bdc7fc76c196dcd8fbc0967a58e4a6b55b8b251d576d669c7 SHA1: 1d4996322f130c731a4f77ee69933e719d852147 MD5sum: d2b320392cdd18fc74a5c479eb924699 Description: Transitional package for ruby-amrita This is a transitional package to ease upgrades to the ruby-amrita package. It can safely be removed. Homepage: http://amrita.sourceforge.jp/ Tag: devel::lang:ruby, devel::library, devel::web, implemented-in::ruby, role::devel-lib, role::shared-lib, works-with-format::html, works-with::text Section: oldlibs Priority: extra Filename: pool/main/r/ruby-amrita/libamrita-ruby1.8_1.0.2-10_all.deb Package: libamrita2-ruby Source: amrita2 Version: 2.0.2+dfsg.1-2 Installed-Size: 16 Maintainer: TANIGUCHI Takaki Architecture: all Depends: libhpricot-ruby, libamrita2-ruby1.8 Size: 4512 SHA256: 245de52f56dc2b1fe97802cb84762892334cf8193a720813451d1df79a1bc508 SHA1: f51c9f01b1c093e0fe89f6d982251a909d254742 MD5sum: 231f771639bdf3266a0c229fbad4b796 Description: a xml/xhtml template library for Ruby Amrita produces html documents from XML template and Ruby model data. . * The template for amrita2 is a pure html/xhtml document without any special tag like or <% .. %> . * The template can be written by designers using almost any xhtml/xml Editor. . * Need no change on Ruby code to change the view of _dynamic_ part (not only static part) of the template . * The model data may be standard Ruby data, Hash, Array, String... or an instance of classes you made. . * The output is controlled by _data_ not by logic. So It's easy to write, test, debug code. (Good for eXtreamPrograming) . Amrita2 mixes a template and model data up to a html document naturally matching the +id+ attribute of XML element to model data. . This is a dependency package which depends on Debian's default Ruby version. Homepage: http://rubyforge.org/projects/amrita2/ Tag: devel::lang:ruby, devel::library, devel::web, implemented-in::ruby, made-of::html, role::devel-lib, works-with-format::html, works-with::text Section: ruby Priority: optional Filename: pool/main/a/amrita2/libamrita2-ruby_2.0.2+dfsg.1-2_all.deb Package: libamrita2-ruby1.8 Source: ruby-amrita2 Version: 2.0.2+dfsg.1-3 Installed-Size: 52 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-amrita2 Size: 4964 SHA256: 84d90907ee9ea7987e3ace70d7c5f142fecbd63d64711ae15f4c1e3058c9485e SHA1: 5b2221a0faef72c62f9905fdc661ede1a2b4982e MD5sum: b3223cb7287209cfdc62f50a30bbe727 Description: Transitional package for ruby-amrita2 This is a transitional package to ease upgrades to the ruby-amrita2 package. It can safely be removed. Homepage: http://rubyforge.org/projects/amrita2/ Tag: devel::doc, devel::lang:ruby, devel::library, devel::web, implemented-in::ruby, role::devel-lib, works-with::text Section: oldlibs Priority: extra Filename: pool/main/r/ruby-amrita2/libamrita2-ruby1.8_2.0.2+dfsg.1-3_all.deb Package: libamrita2-ruby1.9.1 Source: ruby-amrita2 Version: 2.0.2+dfsg.1-3 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-amrita2 Size: 2920 SHA256: a8d6abcfbbaa919b781ae02a18fd78853ee379015ef5413b85bc174369cc2f25 SHA1: 465ea6d9e13284384377b8c4b938eb33dc322123 MD5sum: 99e04c371a1ee66383ad16b8687529ab Description: Transitional package for ruby-amrita2 This is a transitional package to ease upgrades to the ruby-amrita2 package. It can safely be removed. Homepage: http://rubyforge.org/projects/amrita2/ Tag: devel::lang:ruby, devel::library, devel::web, implemented-in::ruby, role::devel-lib, works-with::text Section: oldlibs Priority: extra Filename: pool/main/r/ruby-amrita2/libamrita2-ruby1.9.1_2.0.2+dfsg.1-3_all.deb Package: libamu-dev Source: am-utils Version: 6.2+rc20110530-3 Architecture: armhf Maintainer: Tim Cutts Installed-Size: 203 Depends: libamu4 (= 6.2+rc20110530-3), libc6-dev | libc-dev Conflicts: am-utils-dev Replaces: am-utils-dev, libamu2 Homepage: http://www.am-utils.org/ Priority: extra Section: libdevel Filename: pool/main/a/am-utils/libamu-dev_6.2+rc20110530-3_armhf.deb Size: 50676 SHA256: 44c1fe65b9158a328ac0fedaa8a6a554021ef5488be29551ae7af3e6aaf09ae3 SHA1: 3592890a6fc1070a66724910a163e9044824e3f6 MD5sum: dddcbcc268930a959eba611cc03ca43e Description: Support library for amd the 4.4BSD automounter (development) Libamu contains a support library needed by the am-utils automounter utilities from the am-utils package. . These are the files needed to compile against libamu. Package: libamu4 Source: am-utils Version: 6.2+rc20110530-3 Architecture: armhf Maintainer: Tim Cutts Installed-Size: 233 Depends: libc6 (>= 2.13-28), libgdbm3 (>= 1.8.3), libhesiod0, libldap-2.4-2 (>= 2.4.7) Homepage: http://www.am-utils.org/ Priority: extra Section: libs Filename: pool/main/a/am-utils/libamu4_6.2+rc20110530-3_armhf.deb Size: 178680 SHA256: 045916d9a3b41b8686b037321a00f039a94b84a6df5a798da8a22fd8570303c9 SHA1: bd553180c087434b2a1968866f4f4ec56c767188 MD5sum: bba9786cc31b06d8fd7f3a680c43c5c8 Description: Support library for amd the 4.4BSD automounter (runtime) Libamu contains a support library needed by the am-utils automounter utilities from the am-utils package. Package: libanalitza-dbg Source: analitza Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 8784 Depends: libanalitza4abi1 (= 4:4.8.4-2), libanalitzagui4 (= 4:4.8.4-2) Breaks: kalgebra-dbg (<< 4:4.8), kdeedu-dbg (<< 4:4.6.80) Replaces: kalgebra-dbg (<< 4:4.8), kdeedu-dbg (<< 4:4.6.80) Homepage: http://edu.kde.org/ Priority: extra Section: debug Filename: pool/main/a/analitza/libanalitza-dbg_4.8.4-2_armhf.deb Size: 3444294 SHA256: e5b654b0223163137167d3240c942d653c706add5e59ec51ef44e83062ca837b SHA1: 5be632256bd5535c891e59e65768bdc4b321961e MD5sum: 80a14f0e5281e318af6cda3090273f89 Description: debugging symbols for the KDE education module This package contains debugging files used to investigate problems with binaries included in kalgebra. . This package is part of the KDE education module. Package: libanalitza-dev Source: analitza Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 151 Depends: libanalitza4abi1 (= 4:4.8.4-2), libanalitzagui4 (= 4:4.8.4-2) Conflicts: kalgebra-dev Homepage: http://edu.kde.org/ Priority: optional Section: libdevel Filename: pool/main/a/analitza/libanalitza-dev_4.8.4-2_armhf.deb Size: 23520 SHA256: 14c4c6dfffa6d09b9eb74a9dc5079362d83d4148654ebb82e6f30e1ee2a7fe22 SHA1: e7f3ec944784fa6a0068ea4d35cbd5574f1e0fb3 MD5sum: d432210fc391d1cab4257ce8a1df78d3 Description: development files for analitza Analitza is a library to parse and work with mathematical expresssions. This library is being used by KAlgebra and Cantor and may be used in other programs. . This package is part of the KDE education module. Package: libanalitza4abi1 Source: analitza Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 475 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0) Breaks: kalgebra (<< 4:4.7.2) Replaces: kalgebra (<< 4:4.7.2) Homepage: http://edu.kde.org/ Priority: optional Section: libs Filename: pool/main/a/analitza/libanalitza4abi1_4.8.4-2_armhf.deb Size: 169346 SHA256: 7b49a830722a2ecfc60f86b1f4af471859597e2abd8d4b2cc4a045e2aa5a9105 SHA1: 88f60e0f1f01e5127a8a43d4d4c4b15d66cd5417 MD5sum: 25174c8c5c5fe00ffdf957be5c193198 Description: library to work with mathematical expressions This library is used by KAlgebra and may be used by other software to parse and work with mathematical expressions. . This package is part of the KDE education module. Package: libanalitzagui4 Source: analitza Version: 4:4.8.4-2 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 226 Depends: libanalitza4abi1 (>= 4:4.8.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0) Breaks: kalgebra (<< 4:4.7.2) Replaces: kalgebra (<< 4:4.7.2) Homepage: http://edu.kde.org/ Priority: optional Section: libs Filename: pool/main/a/analitza/libanalitzagui4_4.8.4-2_armhf.deb Size: 79732 SHA256: f1c1f0ebc6ff4ffb70fdae48103eda30ef29780e5604ab90c5901816e78aed15 SHA1: 0353ce2195dd294a8589e80679bfda82b3c0af39 MD5sum: 5c4ebcbfdac4c54c03c9660548bba406 Description: library to work with mathematical expressions - GUI routines This library is used by KAlgebra and may be used by other software to parse and work with mathematical expressions. This library provides the routines related to the graphical user interface. . This package is part of the KDE education module. Package: libanet0.1 Source: anet Version: 0.1-3 Architecture: armhf Maintainer: Reto Buerki Installed-Size: 199 Depends: libc6 (>= 2.13-28), libgnat-4.6 (>= 4.6.3-4+rpi2) Homepage: http://www.codelabs.ch/anet/ Priority: optional Section: libs Filename: pool/main/a/anet/libanet0.1_0.1-3_armhf.deb Size: 56280 SHA256: 158d78b46c3cddeb52852c7dfa48883f177dafdea10a4c43be52946ec461c646 SHA1: bf3541c7518d145ff8f6a163f85923a1b3de42f1 MD5sum: c7b56276fc804b600997a53e0d6476ce Description: Ada networking library Anet is a networking library for the Ada programming language. The library provides a BSD socket implementation and support for IPv4/UDP packet creation and validation. It can be used to implement custom protocols on top of IPv4. The library also provides a binding to the Linux Packet Filter (LPF) system. Package: libanet0.1-dbg Source: anet Version: 0.1-3 Architecture: armhf Maintainer: Reto Buerki Installed-Size: 131 Depends: libanet0.1 (= 0.1-3), libanet0.1-dev (= 0.1-3) Homepage: http://www.codelabs.ch/anet/ Priority: extra Section: debug Filename: pool/main/a/anet/libanet0.1-dbg_0.1-3_armhf.deb Size: 69770 SHA256: 186d6c5226c3e8a48b14af71f38e055e8dffaa7ab363f44d77830ffa6ce62ad3 SHA1: 3a5407507070e3a17ed32cd1936d4f255ac0c9f4 MD5sum: be79cd3d46921048f4f928e64df30fca Description: Ada networking library (debug) Anet is a networking library for the Ada programming language. The library provides a BSD socket implementation and support for IPv4/UDP packet creation and validation. It can be used to implement custom protocols on top of IPv4. The library also provides a binding to the Linux Packet Filter (LPF) system. . This package contains the debugging symbols. Package: libanet0.1-dev Source: anet Version: 0.1-3 Architecture: armhf Maintainer: Reto Buerki Installed-Size: 493 Depends: libanet0.1 (= 0.1-3), gnat, gnat-4.6 Homepage: http://www.codelabs.ch/anet/ Priority: optional Section: libdevel Filename: pool/main/a/anet/libanet0.1-dev_0.1-3_armhf.deb Size: 115270 SHA256: 23f5354b87746d2274203589c095e25c2a09b05cf5dbd502b76e3102d778a446 SHA1: 9af510b98214c2ba78fee9079c2ea9d18f73e0c6 MD5sum: bb260ef4a037982b05cb1ce4b9916b4f Description: Ada networking library (development) Anet is a networking library for the Ada programming language. The library provides a BSD socket implementation and support for IPv4/UDP packet creation and validation. It can be used to implement custom protocols on top of IPv4. The library also provides a binding to the Linux Packet Filter (LPF) system. . This package contains the development files. Package: libanimal-sniffer-java Source: animal-sniffer Version: 1.7-2 Installed-Size: 510 Maintainer: Debian Java Maintainers Architecture: all Depends: ant, libasm3-java, libmaven-common-artifact-filters-java, libmaven-enforcer-plugin-java, libmaven2-core-java, libplexus-container-default-java, libplexus-utils-java Suggests: libanimal-sniffer-java-doc Size: 317962 SHA256: c9db6d72c7a1455aac686eec58cb372e6d896552d704fec0003a60d5f36c3ec4 SHA1: e05a82c1bd25d9f9c39b3f31ac33d6e329a6235a MD5sum: 5d60c37e2d023c393e14a6cea5a16d62 Description: JDK/API verification tools Animal Sniffer provides tools to assist verifying that classes compiled with a newer JDK/API are compatible with an older JDK/API. . The Java Boot Classpath Detector is an executable jar file which tries to determine the boot classpath of the Java Runtime and outputs that classpath to the standard output. . Animal Sniffer Annotations provides Java 1.5+ annotations which allow marking methods which Animal Sniffer should ignore signature violations of. Animal Sniffer is designed to detect when you have used classes/methods/fields which are not part of the JRE that you are targeting. There are certain situations when you might be compiling with a newer JDK than the JRE you are targeting. . The Animal Sniffer ANT Tasks can be used to build signatures of APIs and to check your classes against previously generated signatures. . The Animal Sniffer Enforcer Rule is used to check your classes against previously generated signatures. . The Animal Sniffer Maven Plugin is used to build signatures of APIs and to check your classes against previously generated signatures. Homepage: http://mojo.codehaus.org/animal-sniffer/ Section: java Priority: optional Filename: pool/main/a/animal-sniffer/libanimal-sniffer-java_1.7-2_all.deb Package: libanimal-sniffer-java-doc Source: animal-sniffer Version: 1.7-2 Installed-Size: 1347 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: libanimal-sniffer-java Size: 361566 SHA256: cc6142b08af177b2fc51f05711fd5e4dd3ba7f3245fd443b0f2e458870551dcd SHA1: c401847af3c5741c9163e19e76f3de05abbcb544 MD5sum: 2d5fc827159e77d0f631cf73ad116ff8 Description: Documentation for Animal Sniffer Animal Sniffer provides tools to assist verifying that classes compiled with a newer JDK/API are compatible with an older JDK/API. . This package contains the API documentation of libanimal-sniffer-java. Homepage: http://mojo.codehaus.org/animal-sniffer/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/animal-sniffer/libanimal-sniffer-java-doc_1.7-2_all.deb Package: libanjuta-3-0 Source: anjuta Version: 2:3.4.3-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 924 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libgdl-3-2 (>= 3.0.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), anjuta-common (= 2:3.4.3-1) Breaks: anjuta (<< 2:2.28.1.0-2), anjuta-extras (<< 3.0.0.0) Replaces: anjuta (<< 2:2.28.1.0-2) Homepage: http://www.anjuta.org/ Priority: optional Section: libs Filename: pool/main/a/anjuta/libanjuta-3-0_3.4.3-1_armhf.deb Size: 565682 SHA256: d97592220ef1eb3e8d92551c7b8bd1f5dfb92a1cfe214911c9f729113a38fbea SHA1: 103ce1784214838cbdcaef6ac1f3d426c62b0de2 MD5sum: 8f760cac12074da399deefe6de6ba44a Description: GNOME development IDE, for C/C++ - shared libraries This IDE for C/C++ and GNOME/Gtk+ applications has features that enable easy debugging, management of code and GUI design by providing a simple and usable user interface. It also integrates with version control systems like CVS, Git or Subversion. . This package provides the shared libraries for Anjuta and plugins. Package: libanjuta-dev Source: anjuta Version: 2:3.4.3-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 3920 Depends: libanjuta-3-0 (= 2:3.4.3-1), gir1.2-anjuta-3.0 (= 2:3.4.3-1), libgtk-3-dev, libgdl-3-dev Breaks: anjuta-common (<< 2:3.4.0-1) Replaces: anjuta-common (<< 2:3.4.0-1) Homepage: http://www.anjuta.org/ Priority: optional Section: libdevel Filename: pool/main/a/anjuta/libanjuta-dev_3.4.3-1_armhf.deb Size: 768842 SHA256: c4afc3205e0a36f9fea5e0c64ac4d60c40576051527106b112fe3a674ca452c9 SHA1: 77928a9ee4a7a1ed184a0ac8200bec2588870f69 MD5sum: 5501cdcea223e229988b4dc9f759f1e7 Description: GNOME development IDE, for C/C++ - development files This IDE for C/C++ and GNOME/Gtk+ applications has features that enable easy debugging, management of code and GUI design by providing a simple and usable user interface. It also integrates with version control systems like CVS, Git or Subversion. . This package provides the development files for Anjuta. Package: libann-dev Source: ann Version: 1.1.2+doc-3 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 549 Depends: libann0 (= 1.1.2+doc-3) Homepage: http://www.cs.umd.edu/~mount/ANN/ Priority: optional Section: libdevel Filename: pool/main/a/ann/libann-dev_1.1.2+doc-3_armhf.deb Size: 373922 SHA256: 5e728572d362b13b0ee3ce9d0bf2eba2e60919e04457dd6b6014d703a54cb54f SHA1: 428fcb55e8420c97b28ac4109b349385f4462e68 MD5sum: 737dc694a1367a1de45ae1ff14cb6ae1 Description: Approximate Nearest Neighbor Searching library (development files) ANN is a library written in C++, which supports data structures and algorithms for both exact and approximate nearest neighbor searching in arbitrarily high dimensions. ANN assumes that distances are measured using any class of distance functions called Minkowski metrics. These include the well known Euclidean distance, Manhattan distance, and max distance. ANN performs quite efficiently for point sets ranging in size from thousands to hundreds of thousands, and in dimensions as high as 20. . This package contains the header files for developing applications with the ANN library. Package: libann0 Source: ann Version: 1.1.2+doc-3 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 87 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.cs.umd.edu/~mount/ANN/ Priority: optional Section: libs Filename: pool/main/a/ann/libann0_1.1.2+doc-3_armhf.deb Size: 27080 SHA256: b7b5c6fb7eadf051a97bfde32e90347614533e2f8f355d58d8970c6be0102a12 SHA1: 32922cd57e71366ea9485fc10a5b1f08dbcb176b MD5sum: 84f57013f164e7de3e1b47a3158ac92d Description: Approximate Nearest Neighbor Searching library ANN is a library written in C++, which supports data structures and algorithms for both exact and approximate nearest neighbor searching in arbitrarily high dimensions. ANN assumes that distances are measured using any class of distance functions called Minkowski metrics. These include the well known Euclidean distance, Manhattan distance, and max distance. ANN performs quite efficiently for point sets ranging in size from thousands to hundreds of thousands, and in dimensions as high as 20. Package: libannotation-indexer-java Source: annotation-indexer Version: 1.3-1 Installed-Size: 104 Maintainer: Debian Java Maintainers Architecture: all Recommends: libmetainf-services-java Size: 22816 SHA256: 7641f15316fd744056da35b35a76370aec6cadd8e5911d12950458063eac6887 SHA1: 23463a5a8e989b46557844d7d63eb72fe0c24991 MD5sum: 087d06fdcebbaaa42a93e1d4ea606a83 Description: Indexing and validation of Java annotations Annotation Indexer builds indices of Java code annotated with the @Indexed annotation at compile time and supports validation of indexes to allow for early error detection and querying of the indexed elements for specific annotations. Homepage: http://github.com/jenkinsci/lib-annotation-indexer Section: java Priority: optional Filename: pool/main/a/annotation-indexer/libannotation-indexer-java_1.3-1_all.deb Package: libannotation-indexer-java-doc Source: annotation-indexer Version: 1.3-1 Installed-Size: 259 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc, libmetainf-services-java-doc Suggests: libannotation-indexer-java Size: 50476 SHA256: 82d1854fef9c2691cb047e55dac0fa206623cee37614a348f87ce4288985a609 SHA1: 0068699ea5eae7b342aab0b761f8d6dd78331577 MD5sum: 6da21bfd3faf4129b7a0379f5d21eb97 Description: Documentation for libannotation-indexer-java Annotation Indexer builds indices of Java code annotated with the @Indexed annotation at compile time and supports validation of indexes to allow for early error detection and querying of the indexed elements for specific annotations. . This package provides the API documentation for libannotation-indexer-java. Homepage: http://github.com/jenkinsci/lib-annotation-indexer Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/a/annotation-indexer/libannotation-indexer-java-doc_1.3-1_all.deb Package: libantelope-java Source: antelope Version: 3.5.1-2 Installed-Size: 296 Maintainer: Damien Raude-Morvan Architecture: all Recommends: ant Size: 252730 SHA256: 40a27a6a3ac19f86fab21e58749461bc9f523606a32560c348fdb6112fd5058f SHA1: 80667d7f0fd0e584a9a60ffdc7b1db3efa3e5831 MD5sum: 332c850547f093b023800ec4d4b8eb96 Description: graphical user interface for Ant Antelope is a graphical user interface for running Ant. . It can run as a stand-alone GUI application and as a plugin to jedit. . Antelope Project also provides a set of additional tasks that provide functionality not found in the standard tasks distributed with Ant. Homepage: http://antelope.tigris.org/ Section: java Priority: optional Filename: pool/main/a/antelope/libantelope-java_3.5.1-2_all.deb Package: libantelope-java-doc Source: antelope Version: 3.5.1-2 Installed-Size: 2356 Maintainer: Damien Raude-Morvan Architecture: all Suggests: libantelope-java Size: 383810 SHA256: d4862d0dde93500fa3176021fa64e03c9571fe486ae634e20a4c54bd193e8d53 SHA1: 64058c7e9b1b013df63fd8f7d79b7af581f0c1f8 MD5sum: 6555e1944bae47e0a6f30508221bfb72 Description: graphical user interface for Ant - documentation Antelope is a graphical user interface for running Ant. . It can run as a stand-alone GUI application and as a plugin to jedit. . Antelope Project also provides a set of additional tasks that provide functionality not found in the standard tasks distributed with Ant. . This package contains API Javadoc for libantelope-java. Homepage: http://antelope.tigris.org/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/a/antelope/libantelope-java-doc_3.5.1-2_all.deb Package: libanthy-dev Source: anthy Version: 9100h-16 Architecture: armhf Maintainer: NOKUBI Takatsugu Installed-Size: 522 Depends: libanthy0 (= 9100h-16) Multi-Arch: same Homepage: http://anthy.sourceforge.jp/ Priority: optional Section: libdevel Filename: pool/main/a/anthy/libanthy-dev_9100h-16_armhf.deb Size: 197460 SHA256: eeecc776c7a3aea058b1bf44195153b86e79348fb1856023773cb86d904b3ad6 SHA1: a15aebf26d0747b14e4ea1139305a489c2d329e8 MD5sum: 9eb045b8539921b7bf8d5146703df011 Description: input method for Japanese - development files Anthy is a Japanese input method working on X11 and Emacs. It converts hiragana text to mixed kana and kanji. It is implemented as a library and stores private information securely in ~/.anthy/. Thus, Anthy is simple and secure (information is protected from spoofing and snooping). . This package provides the files necessary for compiling programs that depend on Anthy. Package: libanthy0 Source: anthy Version: 9100h-16 Architecture: armhf Maintainer: NOKUBI Takatsugu Installed-Size: 401 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), anthy-common Multi-Arch: same Homepage: http://anthy.sourceforge.jp/ Priority: optional Section: libs Filename: pool/main/a/anthy/libanthy0_9100h-16_armhf.deb Size: 163796 SHA256: e2793d0ca6eac3d8b010f1040199581974acee5126df65efa0222567231c91a1 SHA1: 420cc9ee588e0213666426417ae814e2c25b9a74 MD5sum: 956c47b7c4d83a26d99f9f9460df0751 Description: input method for Japanese - runtime library Anthy is a Japanese input method working on X11 and Emacs. It converts hiragana text to mixed kana and kanji. It is implemented as a library and stores private information securely in ~/.anthy/. Thus, Anthy is simple and secure (information is protected from spoofing and snooping). . This package provides shared libraries for Anthy. Package: libantlr-dev Source: antlr Version: 2.7.7+dfsg-4 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 1286 Recommends: antlr Homepage: http://www.antlr2.org/ Priority: optional Section: libdevel Filename: pool/main/a/antlr/libantlr-dev_2.7.7+dfsg-4_armhf.deb Size: 314600 SHA256: 3226635d3910b0557a8847f28b714d9ea89a18d61c686f1696d72622c09d3e21 SHA1: 9cc97c3e1ab1326c4b88b525eff6931b998a6f6b MD5sum: 76a14113353f15cd1be269c8f40c14da Description: language tool for constructing recognizers, compilers etc ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is a language tool that provides a framework for constructing recognizers, compilers, and translators from grammatical descriptions containing C++ or Java actions [You can use PCCTS 1.xx to generate C-based parsers]. . These are the static libraries for C++. Package: libantlr-java Source: antlr Version: 2.7.7+dfsg-4 Installed-Size: 448 Maintainer: Debian Java Maintainers Architecture: all Replaces: antlr (<< 2.7.7-8) Breaks: antlr (<< 2.7.7-8) Size: 434294 SHA256: 092de3df8000f41398a5403f66f72e7be7f15887c5aac05e00e8ca8b27dedc56 SHA1: 03d275aacc1597ce27181b256cd64336150d5935 MD5sum: 437b757d7d1c9c2eb6988dc62680f73f Description: language tool for constructing recognizers, compilers etc (java library) ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is a language tool that provides a framework for constructing recognizers, compilers, and translators from grammatical descriptions containing C++ or Java actions [You can use PCCTS 1.xx to generate C-based parsers]. . This package contains the java libraries without a dependency on any runtime to be able to bootstrap gcj without a dependency on a java runtime. Homepage: http://www.antlr2.org/ Tag: devel::code-generator, devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib, works-with::software:source Section: java Priority: optional Filename: pool/main/a/antlr/libantlr-java_2.7.7+dfsg-4_all.deb Package: libantlr-maven-plugin-java Source: antlr-maven-plugin Version: 2.1-2 Installed-Size: 80 Maintainer: Debian Java Maintainers Architecture: all Depends: libmaven-reporting-impl-java, libmaven2-core-java, libplexus-i18n-java, libplexus-utils-java, libwagon-java Size: 22996 SHA256: 048f77b3654a314574cd7fcfc721595e38420c384dfa1059679eded73b374aca SHA1: d70d1a0fa5adf557e0e7e304066e21fa17a6c5c8 MD5sum: 1f5c5858e56bd6a86f1cf95612837647 Description: Maven ANTLR Plugin Maven Plugin for Antlr v2. It can generate code from Antlr 2 scripts inside a Maven build. Homepage: http://mojo.codehaus.org/antlr-maven-plugin/ Section: java Priority: optional Filename: pool/main/a/antlr-maven-plugin/libantlr-maven-plugin-java_2.1-2_all.deb Package: libantlr2.7-cil Source: antlr Version: 2.7.7+dfsg-4 Installed-Size: 115 Maintainer: Debian Java Maintainers Architecture: all Depends: cli-common (>= 0.5.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-drawing4.0-cil (>= 1.0), libmono-system-windows-forms4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.1) Recommends: antlr Size: 51552 SHA256: 83300b00f29f55cd77465e454c25bbd2ca6fb38bea2734438de1ba90840b77c8 SHA1: d8e80fdcc7c4e2a3560cfd8223ad59dc5b2da1d8 MD5sum: f7232b14bce3e366ffb04acd3f8c8390 Description: language tool for constructing recognizers, compilers etc ANTLR, ANother Tool for Language Recognition, (formerly PCCTS) is a language tool that provides a framework for constructing recognizers, compilers, and translators from grammatical descriptions containing C++ or Java actions [You can use PCCTS 1.xx to generate C-based parsers]. . This package contains the C# (CLI) libraries. Homepage: http://www.antlr2.org/ Tag: devel::ecma-cli, devel::library, role::shared-lib Section: cli-mono Priority: optional Filename: pool/main/a/antlr/libantlr2.7-cil_2.7.7+dfsg-4_all.deb Package: libantlr3-gunit-java Source: antlr3 Version: 3.2-7 Installed-Size: 294 Maintainer: Debian Java Maintainers Architecture: all Depends: antlr3 (>= 3.2-7), junit4 Suggests: antlr3-gunit-maven-plugin Size: 267444 SHA256: 27ba87c2ade7c500f631a8b9936e0975be0547d5dbe95c3bdc21bb61b45b2b10 SHA1: 1176419ad6960bc4d57b85da4413f85af77c10de MD5sum: 0732d6d461fdb3db653703216b4d2442 Description: Unit Test framework for ANTLR grammars gUnit is a "Unit Test" framework for ANTLR grammars. It provides a simple way to write and run automated tests for ANTLR grammars in a manner similar to Java unit testing framework jUnit. The basic idea is to create a bunch of input/output pairs for rules in a grammar and gUnit will verify the expected output/result. The input can be a single line or multiple lines of strings or even an external file. The output can be simply success or failure, an abstract syntax tree (AST), a rule return value, or some text output which could be a rule's template return value. The current version of gUnit has 2 main functions, interpreter and jUnit generator. The interpreter interprets your gUnit script and runs unit tests using Java reflection to invoke methods in your parser objects. The generator, on the other hand, translates your gUnit script to jUnit Java code that you can compile and execute by hand. Homepage: http://www.antlr.org/ Section: java Priority: optional Filename: pool/main/a/antlr3/libantlr3-gunit-java_3.2-7_all.deb Package: libantlr3-gunit-java-doc Source: antlr3 Version: 3.2-7 Installed-Size: 1425 Maintainer: Debian Java Maintainers Architecture: all Depends: antlr3-doc, junit4-doc Suggests: libantlr3-gunit-java Size: 105074 SHA256: 059c415118cbe13804beb0ab37545b95024dc404a0539eaeb70f9c9621f17c02 SHA1: 84305269b603de38abe599c3eb0719caa16ef1e9 MD5sum: 48677ca6eff8eceb9fc14631121b1dec Description: API documentation for gUnit gUnit is a "Unit Test" framework for ANTLR grammars. It provides a simple way to write and run automated tests for ANTLR grammars in a manner similar to Java unit testing framework jUnit. The basic idea is to create a bunch of input/output pairs for rules in a grammar and gUnit will verify the expected output/result. The input can be a single line or multiple lines of strings or even an external file. The output can be simply success or failure, an abstract syntax tree (AST), a rule return value, or some text output which could be a rule's template return value. The current version of gUnit has 2 main functions, interpreter and jUnit generator. The interpreter interprets your gUnit script and runs unit tests using Java reflection to invoke methods in your parser objects. The generator, on the other hand, translates your gUnit script to jUnit Java code that you can compile and execute by hand. . This package provides the API documentation for gUnit for ANTLR 3 Homepage: http://www.antlr.org/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/antlr3/libantlr3-gunit-java-doc_3.2-7_all.deb Package: libantlr3c-3.2-0 Source: libantlr3c Version: 3.2-2 Architecture: armhf Maintainer: Julien BLACHE Installed-Size: 114 Pre-Depends: multiarch-support Depends: libc6 (>= 2.4) Multi-Arch: same Homepage: http://www.antlr.org/wiki/display/ANTLR3/ANTLR3+Code+Generation+-+C Priority: optional Section: libs Filename: pool/main/liba/libantlr3c/libantlr3c-3.2-0_3.2-2_armhf.deb Size: 44200 SHA256: f98d194f550ed8cad3191e0b7b6e71ece4ccae60067d3ded7abb750aa12436b3 SHA1: 8f6da77d35c79772a9ea993f096eff0d7f02403e MD5sum: 213843b7e650267260e090508981b4e2 Description: ANTLR v3 parser generator C runtime ANTLR, ANother Tool for Language Recognition, is a language tool that provides a framework for constructing recognizers, compilers, and translators from grammatical descriptions. . This package contains the C runtime needed for parsers generated by ANTLR v3 in the C language, with the ANTLR debugger disabled. Install libantlr3c0-antlrdbg if you want to use the ANTLR debugger. Package: libantlr3c-antlrdbg-3.2-0 Source: libantlr3c Version: 3.2-2 Architecture: armhf Maintainer: Julien BLACHE Installed-Size: 128 Pre-Depends: multiarch-support Depends: libc6 (>= 2.4) Conflicts: libantlr3c-3.2-0 Provides: libantlr3c-3.2-0 Multi-Arch: same Homepage: http://www.antlr.org/wiki/display/ANTLR3/ANTLR3+Code+Generation+-+C Priority: optional Section: libs Filename: pool/main/liba/libantlr3c/libantlr3c-antlrdbg-3.2-0_3.2-2_armhf.deb Size: 46850 SHA256: a6d47ccf70d8e9992e188429d86a7145d455419937abed2ce4db21b30395d0f1 SHA1: 84c7ad71678137932814cc97af879200b379f47d MD5sum: 050c9205c87324cf771c6c31ff21d658 Description: ANTLR v3 parser generator C runtime with ANTLR debugger ANTLR, ANother Tool for Language Recognition, is a language tool that provides a framework for constructing recognizers, compilers, and translators from grammatical descriptions. . This package contains the C runtime needed for parsers generated by ANTLR v3 in the C language, with the ANTLR debugger enabled. Package: libantlr3c-dev Source: libantlr3c Version: 3.2-2 Architecture: armhf Maintainer: Julien BLACHE Installed-Size: 553 Depends: libantlr3c-3.2-0 (= 3.2-2) | libantlr3c-antlrdbg-3.2-0 (= 3.2-2) Homepage: http://www.antlr.org/wiki/display/ANTLR3/ANTLR3+Code+Generation+-+C Priority: optional Section: libdevel Filename: pool/main/liba/libantlr3c/libantlr3c-dev_3.2-2_armhf.deb Size: 176856 SHA256: 04edcb30c64d182ab9fc432808a7904845aca60be9b23626896bac3231f24144 SHA1: 9222b5dd56e898c78a5f3f2239d190e80c43b360 MD5sum: 3bf94e7cd75b8d1b285aeb3c9285e269 Description: ANTLR v3 parser generator C runtime [development files] ANTLR, ANother Tool for Language Recognition, is a language tool that provides a framework for constructing recognizers, compilers, and translators from grammatical descriptions. . This package contains the development files needed to build your applications using parsers generated by ANTLR in the C language. Package: libany-moose-perl Version: 0.17-1 Installed-Size: 24 Maintainer: Debian Perl Group Architecture: all Depends: perl, libmouse-perl | libmoose-perl Size: 10808 SHA256: 233c1e2644e7cb0762691d56183c19f2853a4b07087cc9c95b86fea38e840c8d SHA1: 002792a9917490a25e52c4b539206453ef4273ef MD5sum: 8cd709911b2a4ae65410cd543079174e Description: module to use either Moose or Mouse, based on availability Any::Moose is a Perl module that intelligently loads either Moose or Mouse, which provide nearly identical interfaces to the same modern object system. This module takes advantage of the fact that anything that works with Mouse should also work with Moose. . Note that using this module may not always be appropriate because Mouse is a lightweight implementation of the Moose object system, which trades some of the less-used functionality for increased performance. Homepage: http://search.cpan.org/dist/Any-Moose/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libany-moose-perl/libany-moose-perl_0.17-1_all.deb Package: libany-template-processdir-perl Version: 0.07-1 Installed-Size: 57 Maintainer: Debian Perl Group Architecture: all Depends: perl, libfile-find-wanted-perl, libfile-slurp-perl, libfile-spec-perl, libmoose-perl, libtry-tiny-perl Size: 8464 SHA256: 0dcb2da20baf8db9110c61af590c624e94a0e17a718c41e35e8d0a0d1f6556bf SHA1: f17d7b34f1829e11f75305208c25325de6f0478b MD5sum: 43fd05511195d8c84e8a09e17b45a685 Description: Perl module for processing a directory of templates Any::Template::ProcessDir is a module to recursively processes a directory of templates, generating a set of result files in the same directory or in a parallel directory. Each file in the source directory may be template-processed, copied, or ignored depending on its pathname. Homepage: http://search.cpan.org/dist/Any-Template-ProcessDir/ Section: perl Priority: optional Filename: pool/main/liba/libany-template-processdir-perl/libany-template-processdir-perl_0.07-1_all.deb Package: libanydata-perl Version: 0.10-9 Installed-Size: 324 Maintainer: Debian Perl Group Architecture: all Depends: perl, libxml-twig-perl Suggests: libxml-parser-perl, libwww-perl Size: 90542 SHA256: fd94b8fd4dab4d80ea31359a9173483a65acd67945d9bc0b84768e9873979814 SHA1: e48dabebbeaa5c8c827f9e98e148b16d43cadfe0 MD5sum: c5b725390602f6bee96ad8526a0f682a Description: simple tied hash interface for files and data structures AnyData provides simple and uniform access to data from many sources -- perl arrays, local files, remote files retrievable via http or ftp -- and in many formats including flat files (CSV, Fixed Length, Tab Delimited, etc), standard format files (Web Logs, Passwd files, etc.), structured files (XML, HTML Tables) and binary files with parseable headers (mp3s, jpgs, pngs, etc). Homepage: http://search.cpan.org/dist/AnyData/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libanydata-perl/libanydata-perl_0.10-9_all.deb Package: libanyevent-aggressiveidle-perl Version: 0.04-1 Installed-Size: 68 Maintainer: Dmitry E. Oboukhov Architecture: all Depends: perl, libanyevent-perl Size: 7678 SHA256: 5dee812af8353d2e58e0bb07f80ae472d22f209c5d36e092672e51f121997d99 SHA1: f2de883972136fe998cdff470f81bddaba7fc23b MD5sum: dd67ad3b4efcd9b9932135e6c807ee34 Description: Aggressive idle processes for AnyEvent. The package contains the function that allows You to start idle AnyEvent processes that can be even done on overloaded system (as opposed to built-in AnyEvent::idle). Homepage: http://search.cpan.org/~unera/AnyEvent-AggressiveIdle/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: extra Filename: pool/main/liba/libanyevent-aggressiveidle-perl/libanyevent-aggressiveidle-perl_0.04-1_all.deb Package: libanyevent-callback-perl Version: 0.06-1 Installed-Size: 63 Maintainer: Dmitry E. Oboukhov Architecture: all Depends: perl Size: 11008 SHA256: 055516d5488ea164f7c85128735144c6a187e3d0a27004ce9ba82689a1901b9c SHA1: c83b88ca79501b67ecd18f1db488b4efcdd43843 MD5sum: ea6e0b34091e3574ff3e792235b13bd2 Description: callback aggregator for AnyEvent watchers The module allows You to create callback's hierarchy. Also the module groups error and result callbacks into one object. . Also the module checks if one callback was called by watcher or not. If a watcher doesn't call result or error callback, error callback will be called automatically. . Also the module checks if a callback was called reentrant. In the case the module will complain (using "carp" in Carp). . If a watcher touches error callback and if superior didn't define error callback, the module will call error callback upwards hierarchy. Homepage: http://search.cpan.org/dist/AnyEvent-Callback/ Section: perl Priority: extra Filename: pool/main/liba/libanyevent-callback-perl/libanyevent-callback-perl_0.06-1_all.deb Package: libanyevent-dbd-pg-perl Version: 0.03-3 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcommon-sense-perl, liblib-abs-perl, libdbi-perl, libdbd-pg-perl, libanyevent-perl Size: 9102 SHA256: 0542db6145d02eb55a422efbe032fe5f336339cd4e876350d20372dcd637518d SHA1: f7c30653a65599367bb5492681e7181875fb35a5 MD5sum: d2ac60ab653a39f918ae2553cc19059f Description: AnyEvent interface to DBD::Pg's async interface This perl-module can be used inside AnyEvent application to access postgresql database in non-blocking mode. Homepage: http://search.cpan.org/perldoc?AnyEvent::DBD::Pg Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: extra Filename: pool/main/liba/libanyevent-dbd-pg-perl/libanyevent-dbd-pg-perl_0.03-3_all.deb Package: libanyevent-dbi-perl Version: 2.2-1 Installed-Size: 66 Maintainer: Debian Perl Group Architecture: all Depends: perl, libanyevent-perl, libcommon-sense-perl, libdbi-perl Size: 16290 SHA256: 29da87b36b2f1e99b1410d1f7ce85bce692d73c992a904f627737e8704df26c1 SHA1: 7500f818a4d40731ab1beb948ca641f022c66942 MD5sum: ee568e7fd2d9d3a123b2062a588345af Description: module supporting asynchronous DBI access AnyEvent::DBI is an AnyEvent extension, you need to make sure that you use and run a supported event loop. This module implements asynchronous DBI access by forking or executing separate "DBI-Server" processes and sending them requests. It means that you can run DBI requests in parallel to other tasks. The overhead for very simple statements ("select 0") is somewhere around 100% to 120% (dual/single core CPU) compared to an explicit prepare_cached/execute/fetchrow_arrayref/finish combination. Homepage: http://search.cpan.org/dist/AnyEvent-DBI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libanyevent-dbi-perl/libanyevent-dbi-perl_2.2-1_all.deb Package: libanyevent-forkobject-perl Version: 0.09-1 Installed-Size: 72 Maintainer: Dmitry E. Oboukhov Architecture: all Depends: perl, libanyevent-tools-perl, libanyevent-serialize-perl, libdevel-globaldestruction-perl Size: 9894 SHA256: 1c3bbcda13e94a448cde36d5afc970cbd6437c246aa466be268cdf3d7de84cc7 SHA1: 99174246756bfc968b38f67b55f675bb8f3c66d4 MD5sum: 1523e12be40f5b1e1fe7a2f6de00bc79 Description: fork jail for AnyEvent The module provides non-blocking interface for perl objects. Homepage: http://search.cpan.org/perldoc?AnyEvent::ForkObject Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: extra Filename: pool/main/liba/libanyevent-forkobject-perl/libanyevent-forkobject-perl_0.09-1_all.deb Package: libanyevent-http-perl Version: 2.14-1 Installed-Size: 105 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcommon-sense-perl, libanyevent-perl (>= 5.330) Size: 35234 SHA256: cea0f7eb4bf0a462096a33f29cab0b46d1be915c7ef48ee493e4be6084c2b590 SHA1: a4c0c5af758746e16b69ec615c4fdffc6bc9abff MD5sum: 794e51753eac6d37aa0a8e6998b5cdef Description: simple non-blocking HTTP/HTTPS client AnyEvent::HTTP is an simple non-blocking HTTP/HTTPS client implementation, which uses AnyEvent under the hood for asynchronous I/O. It supports GET, POST and other request methods, cookies and more. It is well suited to most HTTP tasks, while retaining fine-grained control over request and response headers to cater to more complex requirements. Homepage: http://search.cpan.org/dist/AnyEvent-HTTP/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libanyevent-http-perl/libanyevent-http-perl_2.14-1_all.deb Package: libanyevent-httpd-perl Version: 0.93-3 Installed-Size: 102 Maintainer: Debian Perl Group Architecture: all Depends: perl, libanyevent-perl, libtime-local-perl, libcommon-sense-perl, libobject-event-perl Size: 36672 SHA256: 351c727ca74f82b45737db2def2bcc8d67461f04e5c479150cd486dc8889a221 SHA1: 2601de645510376fc5162ad14f33b770b28e6d3c MD5sum: d6969ec83a92dfad587e88895d0dd450 Description: simple lightweight event based web (application) server This module provides a simple HTTPD for serving simple web application interfaces. It's completelly event based and independend from any event loop by using the AnyEvent module. Homepage: http://search.cpan.org/~elmex/AnyEvent-HTTPD/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: extra Filename: pool/main/liba/libanyevent-httpd-perl/libanyevent-httpd-perl_0.93-3_all.deb Package: libanyevent-i3-perl Version: 0.08-1+deb7u1 Installed-Size: 19 Maintainer: Michael Stapelberg Architecture: all Depends: perl, libanyevent-perl, libjson-xs-perl Size: 11140 SHA256: 9f9bcb958faed661a203bb87f589c54dfd3eed48530ba2ccfcccb2022b478c17 SHA1: ecfcd5aa5ee9fa6829ae8f2c85f093327c1cd7a8 MD5sum: 3fba02ee65e23762f6d7cfa6fcd1dcc4 Description: communicate with the i3 window manager This perl module provides integration of the i3 IPC protocol into the AnyEvent framework. Use it to have an easy way of communicating with i3 using event-based (or blocking, if you like) programming. Homepage: http://search.cpan.org/dist/AnyEvent-I3/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libanyevent-i3-perl/libanyevent-i3-perl_0.08-1+deb7u1_all.deb Package: libanyevent-irc-perl Version: 0.96-1 Installed-Size: 196 Maintainer: Debian Perl Group Architecture: all Depends: perl, libanyevent-perl, libcommon-sense-perl, libobject-event-perl Size: 53828 SHA256: 9414e6604372dbc59b3bbf8368092a40a1853bc9fe00767353d0b08780efb48f SHA1: 9612d143adb8e62f6a80323c271514ba9cd2efdb MD5sum: 0d024af769a395b27dc21b60ace2f6d9 Description: Perl module for handling IRC connections AnyEvent::IRC is a Perl module that can be conceptualized as a toolbox for handling Internet Relay Chat (IRC) connections and communications. It won't do everything for you, and you still need to have a basic understanding of the internal protocol. Homepage: http://search.cpan.org/dist/AnyEvent-IRC/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libanyevent-irc-perl/libanyevent-irc-perl_0.96-1_all.deb Package: libanyevent-perl Version: 7.010-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 903 Depends: perl Suggests: libasync-interrupt-perl, libev-perl, libevent-perl, libglib-perl, libguard-perl, libio-async-perl, libjson-perl (>= 2.09) | libjson-xs-perl (>= 2.220), libnet-ssleay-perl, libpoe-perl, libtask-weaken-perl, perl-tk Homepage: http://search.cpan.org/dist/AnyEvent/ Priority: optional Section: perl Filename: pool/main/liba/libanyevent-perl/libanyevent-perl_7.010-1_armhf.deb Size: 440908 SHA256: 7c07c79362a07da3025640002731b58960a16c273291c88cf15b33f7d74c6d24 SHA1: 34c5b9e02254524c572835cbd421a9710d114714 MD5sum: fded7102428faa69dde0d2982d9b3a38 Description: event loop framework with multiple implementations AnyEvent is not an event model itself, it only interfaces to whatever event model the main program happens to use, in a pragmatic way. For event models, the statement "there can only be one" is a bitter reality: In general, only one event loop can be active at the same time in a process. This module cannot change this, but it can hide the differences between them. . The goal of AnyEvent is to offer module authors the ability to do event programming (waiting for I/O or timer events) without subscribing to a religion, a way of living, and most importantly: without forcing your module users into the same thing by forcing them to use the same event model you use. Package: libanyevent-redis-perl Version: 0.23-1 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl, libanyevent-perl, libtry-tiny-perl Size: 13938 SHA256: 46918486c3500107a58e5f293183abb4ae25a33512c693504f1a91940a549ebc SHA1: 4757ab93167ed50c73665fa2729995d0376b2e01 MD5sum: 281d32344cda550d5c2f8a54f10a42ba Description: Perl module that implements a non-blocking Redis client AnyEvent::Redis is a non-blocking (event-driven) Redis client based on the AnyEvent event loop framework. . Redis is a key-value database in a similar vein to memcache but the dataset is non-volatile. Redis additionally provides native support for atomically manipulating and querying data structures such as lists and sets. Homepage: http://search.cpan.org/dist/AnyEvent-Redis/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libanyevent-redis-perl/libanyevent-redis-perl_0.23-1_all.deb Package: libanyevent-serialize-perl Version: 0.04-1 Installed-Size: 68 Maintainer: Dmitry E. Oboukhov Architecture: all Depends: perl, libanyevent-perl, libdata-streamdeserializer-perl, libdata-streamserializer-perl, libanyevent-aggressiveidle-perl Size: 7250 SHA256: ffcc7cd6292695a6e0a54c60fe1066d073392a66cc9fafd4ad927517007ad8ee SHA1: 0ff4cf50f2a051fe1a6ea773066222ec71ab2276 MD5sum: 67a57e2d1c57c50d3da9153c919beea6 Description: serializer and deserializer for AnyEvent The package provides a few non-blocking methods to serialize and deserialize perl object. Homepage: http://search.cpan.org/~unera/AnyEvent-Serialize/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: extra Filename: pool/main/liba/libanyevent-serialize-perl/libanyevent-serialize-perl_0.04-1_all.deb Package: libanyevent-tools-perl Version: 0.12-1 Installed-Size: 104 Maintainer: Dmitry E. Oboukhov Architecture: all Depends: perl, libanyevent-aggressiveidle-perl Size: 15190 SHA256: ea909ab419184f23f647f3beca1148d157e390bb1a596a65192e5c4b5292a790 SHA1: 1e18d2fa52640a01e246b6f4d9dcbb88a1d8293f MD5sum: 678a8df1de5c9ba62638f1f78ed168e1 Description: instrument collection for AnyEvent The package contains a few instruments that can be used with AnyEvent: * mutexes/rw_mutexes - sharing one resource between many processes * foreaches - non-blocking cycles * pools - sharing many resources between many processes * buffers implementation, etc Homepage: http://search.cpan.org/~unera/AnyEvent-Tools/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: extra Filename: pool/main/liba/libanyevent-tools-perl/libanyevent-tools-perl_0.12-1_all.deb Package: libanyevent-xmpp-perl Version: 0.52-1 Installed-Size: 596 Maintainer: Debian Perl Group Architecture: all Depends: perl, libanyevent-perl, libxml-writer-perl, libxml-parser-perl, libnet-libidn-perl, libauthen-sasl-perl, libobject-event-perl Size: 271422 SHA256: 29dc52e36f3756b35b2be3c9801fd23559c629bd7e98fed32b300cad417d3dff SHA1: 3ed9d7cfdf869053c61dda4e619593f9ffd4bbcd MD5sum: d228347683a0c135c2f6267d3e38a9d5 Description: implementation of the XMPP Protocol AnyEvent::XMPP is an implementation of XMPP in Perl, formerly know under the name Net::XMPP2, it allows you to easily write Clients and Components. In comparsion to Net::XMPP it offers a event based API and is independent of an event loop with AnyEvent. . The following XEPs are implemented: * XEP-0004 - Data Forms * XEP-0030 - Service Discovery * XEP-0054 - vcard-temp (XMPP vCards) * XEP-0066 - Out of Band Data * XEP-0077 - In-Band Registration * XEP-0078 - Non-SASL Authentication * XEP-0082 - XMPP Date and Time Profiles * XEP-0086 - Error Condition Mappings * XEP-0091 - Delayed Delivery (legacy) * XEP-0092 - Software Version * XEP-0114 - Jabber Component Protocol * XEP-0153 - vCard-Based Avatars * XEP-0199 - XMPP Ping * XEP-0203 - Delayed Delivery (new) Homepage: http://search.cpan.org/dist/AnyEvent-XMPP/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libanyevent-xmpp-perl/libanyevent-xmpp-perl_0.52-1_all.deb Package: libao-common Source: libao Version: 1.1.0-2 Architecture: armhf Maintainer: Ron Lee Installed-Size: 48 Conflicts: libao2 Breaks: libao4 (<< 1.0.0-2) Replaces: libao4 (<< 1.0.0-2) Homepage: http://www.xiph.org/ao/ Priority: optional Section: libs Filename: pool/main/liba/libao/libao-common_1.1.0-2_armhf.deb Size: 11304 SHA256: 76977cf81b0c4b07ea61081386a507b241fbd03baf4d78101c3b8bbb596d4a6e SHA1: a80734201588d2032afe5c41e71832aba87218d7 MD5sum: 4baf402acb18d8ce85de7581956f4892 Description: Cross Platform Audio Output Library (Common files) Libao is a cross-platform audio library that allows programs to output audio using a simple API on a wide variety of platforms. It currently supports: . * Null output (handy for testing without a sound device) * WAV files * AU files * OSS (Open Sound System, used on Linux and FreeBSD) * ALSA (Advanced Linux Sound Architecture) * PulseAudio (next generation GNOME sound server) * esd (EsounD or Enlightened Sound Daemon) * and several others. . This package contains config files and man pages for libao. Package: libao-dbg Source: libao Version: 1.1.0-2 Architecture: armhf Maintainer: Ron Lee Installed-Size: 87 Depends: libao4 (= 1.1.0-2) Homepage: http://www.xiph.org/ao/ Priority: extra Section: debug Filename: pool/main/liba/libao/libao-dbg_1.1.0-2_armhf.deb Size: 16624 SHA256: 621f311c41bcee5a108dee2bb1262eda3ce6b0696d63a1ce16f33fa79f587215 SHA1: 70b929c40dfdc71c3ce80c1ae154c1b385013b83 MD5sum: 14556411e2cd017c117854e76ad56cb3 Description: Cross Platform Audio Output Library Debug Symbols Libao is a cross-platform audio library that allows programs to output audio using a simple API on a wide variety of platforms. It currently supports: . * Null output (handy for testing without a sound device) * WAV files * AU files * OSS (Open Sound System, used on Linux and FreeBSD) * ALSA (Advanced Linux Sound Architecture) * PulseAudio (next generation GNOME sound server) * esd (EsounD or Enlightened Sound Daemon) * and several others. . This package contains debugging symbols useful for tracing bugs in the libao package. Package: libao-dev Source: libao Version: 1.1.0-2 Architecture: armhf Maintainer: Ron Lee Installed-Size: 240 Depends: libao4 (= 1.1.0-2), libc6-dev Homepage: http://www.xiph.org/ao/ Priority: optional Section: libdevel Filename: pool/main/liba/libao/libao-dev_1.1.0-2_armhf.deb Size: 60070 SHA256: 35b9343307b90def49173e0a0d450ec5f87a24a77ba7529f3ce9685bc8d211ac SHA1: eef304cf812842b9b46712b38b8e7525f433939c MD5sum: 45600e2ca1adf5f9c7ef5d3680fc88a6 Description: Cross Platform Audio Output Library Development Libao is a cross-platform audio library that allows programs to output audio using a simple API on a wide variety of platforms. It currently supports: . * Null output (handy for testing without a sound device) * WAV files * AU files * OSS (Open Sound System, used on Linux and FreeBSD) * ALSA (Advanced Linux Sound Architecture) * PulseAudio (next generation GNOME sound server) * esd (EsounD or Enlightened Sound Daemon) * and several others. . This package contains the header files and static libraries required for developing applications that use libao. Package: libao-ocaml Source: ocaml-ao Version: 0.2.0-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 49 Depends: ocaml-base-nox-3.12.1, libao4 (>= 1.1.0), libc6 (>= 2.13-28) Provides: libao-ocaml-6x8p8 Priority: optional Section: ocaml Filename: pool/main/o/ocaml-ao/libao-ocaml_0.2.0-1_armhf.deb Size: 8408 SHA256: df3c99e901bcca8cb43494ce2c1d698051941a69ef72cecf3d4bcba7d1fb728a SHA1: c6016ffbe59f647706bd0fb62a5d1e36787b5766 MD5sum: f5385cc9ccdf2a9093bad8e1666ae907 Description: OCaml bindings for libao -- runtime files OCaml bindings for the cross platform audio output library. . Libao is a cross-platform audio library that allows programs to output audio using a simple API on a wide variety of platforms. . This package contains only the shared runtime stub libraries. Package: libao-ocaml-dev Source: ocaml-ao Version: 0.2.0-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 91 Depends: libao-ocaml-6x8p8, ocaml-nox-3.12.1, libao-dev, libao-ocaml (= 0.2.0-1), ocaml-findlib Provides: libao-ocaml-dev-6x8p8 Priority: optional Section: ocaml Filename: pool/main/o/ocaml-ao/libao-ocaml-dev_0.2.0-1_armhf.deb Size: 19890 SHA256: 62ceda66c1127a75cd532c68d598a2ee6deb3ce1d586a871f17920e3677b1fd0 SHA1: 86500e4edf21f4ec6cb5aeeed6e2bed4fa8e41b4 MD5sum: 9838092d66cb91393dc2346d61913a34 Description: OCaml bindings for libao -- development files OCaml bindings for the cross platform audio output library. . Libao is a cross-platform audio library that allows programs to output audio using a simple API on a wide variety of platforms. . This package contains all the development stuff you need to use ocaml-ao in your programs. Package: libao4 Source: libao Version: 1.1.0-2 Architecture: armhf Maintainer: Ron Lee Installed-Size: 123 Depends: libc6 (>= 2.13-28), libao-common Suggests: libasound2, libaudio2, libesd0 | libesd-alsa0, libpulse0 Replaces: libao-pulse Homepage: http://www.xiph.org/ao/ Priority: optional Section: libs Filename: pool/main/liba/libao/libao4_1.1.0-2_armhf.deb Size: 40092 SHA256: 0ddf3ce1dfb53126596e11617e06207cf6961d24afb52fe6782e01138c76d849 SHA1: 75b420d0c58874c32243c025e3d54f89e1a7b94a MD5sum: 91f756bfcc2df156eff0167258e0c8a1 Description: Cross Platform Audio Output Library Libao is a cross-platform audio library that allows programs to output audio using a simple API on a wide variety of platforms. It currently supports: . * Null output (handy for testing without a sound device) * WAV files * AU files * OSS (Open Sound System, used on Linux and FreeBSD) * ALSA (Advanced Linux Sound Architecture) * PulseAudio (next generation GNOME sound server) * esd (EsounD or Enlightened Sound Daemon) * and several others. Package: libaopalliance-java Version: 20070526-5 Installed-Size: 62 Maintainer: Debian Java Maintainers Architecture: all Suggests: libaopalliance-java-doc Size: 9192 SHA256: e925e026a2aa10aac5fe66192a2753fbbf1e61234ee67879f98c23e7fe8e9a20 SHA1: 871d6b6353065569920aa1c41bd5eb9112aae2a5 MD5sum: d2bb8528d2cf5d45223ed6b552980e7b Description: library for interoperability for Java AOP implementations Aspect-Oriented Programming (AOP) offers a better solution to many problems than do existing technologies such as EJB. . The AOP Alliance aims to ensure interoperability between Java AOP implementations by providing standard interfaces common among the different frameworks and implementations. Homepage: http://aopalliance.sourceforge.net/ Section: java Priority: extra Filename: pool/main/liba/libaopalliance-java/libaopalliance-java_20070526-5_all.deb Package: libaopalliance-java-doc Source: libaopalliance-java Version: 20070526-5 Installed-Size: 540 Maintainer: Debian Java Maintainers Architecture: all Replaces: libaopalliance-java (<< 20070526-5) Suggests: libaopalliance-java Breaks: libaopalliance-java (<< 20070526-5) Size: 40612 SHA256: a62f2e4b555fdd2414e2cd9646a8104b91600dceec8858f9f2a2ae3379d97f89 SHA1: 29b691d902f6ff5fe66998f7e701e57c52004d27 MD5sum: 2ac08047dfb89ee50074adc80b0edcfa Description: library for interoperability for Java AOP implementations - documentation Aspect-Oriented Programming (AOP) offers a better solution to many problems than do existing technologies such as EJB. . The AOP Alliance aims to ensure interoperability between Java AOP implementations by providing standard interfaces common among the different frameworks and implementations. . This package contains Javadoc HTML documentation for libaopalliance-java. Homepage: http://aopalliance.sourceforge.net/ Section: doc Priority: extra Filename: pool/main/liba/libaopalliance-java/libaopalliance-java-doc_20070526-5_all.deb Package: libaosd-dev Source: libaosd Version: 0.2.7-1 Architecture: armhf Maintainer: Eugene Paskevich Installed-Size: 49 Depends: libaosd2 (= 0.2.7-1), libaosd-text2 (= 0.2.7-1) Homepage: http://www.atheme.org/project/libaosd Priority: optional Section: libdevel Filename: pool/main/liba/libaosd/libaosd-dev_0.2.7-1_armhf.deb Size: 5904 SHA256: 5f258fd13e96914ef4136445b627652ddbb0709751649ebe66a49c1f56a1d364 SHA1: a0aeab8bf2a2d2c47ac1cec17ca840a5bbad62dc MD5sum: cb80dc0aa38f9f2914e14d55eb8c8175 Description: atheme.org's on screen display library - dev libaosd is an advanced on screen display library. It supports many modern features like anti-aliased text and composited rendering via XComposite, as well as support for rendering Cairo and Pango layouts. . This package contains the development headers. Package: libaosd-text2 Source: libaosd Version: 0.2.7-1 Architecture: armhf Maintainer: Eugene Paskevich Installed-Size: 40 Depends: libaosd2 (= 0.2.7-1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0) Homepage: http://www.atheme.org/project/libaosd Priority: optional Section: libs Filename: pool/main/liba/libaosd/libaosd-text2_0.2.7-1_armhf.deb Size: 7774 SHA256: 53f9fb6f88f20e237375cc89fb597e6d360a812cc868bc055297f49af9a53833 SHA1: c068572854d12101eb0c46bdfcaa0025f2e1add3 MD5sum: a64fc8813986c65ca9af1bb70d76e141 Description: atheme.org's on screen display library - text layout libaosd is an advanced on screen display library. It supports many modern features like anti-aliased text and composited rendering via XComposite, as well as support for rendering Cairo and Pango layouts. . This package contains the text layout library. Package: libaosd2 Source: libaosd Version: 0.2.7-1 Architecture: armhf Maintainer: Eugene Paskevich Installed-Size: 45 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libx11-6, libxcomposite1 (>= 1:0.3-1), libxrender1 Recommends: libaosd-text2 Homepage: http://www.atheme.org/project/libaosd Priority: optional Section: libs Filename: pool/main/liba/libaosd/libaosd2_0.2.7-1_armhf.deb Size: 10382 SHA256: 602d551c2e8af01e43504e21f08177f8ea0b8b979516aca60ae9424b5a3711cf SHA1: d1e905f740afc2a7ae4499f169d7ffb5ecc52db5 MD5sum: ae078391c5a526b34aac4ef3287ce55e Description: atheme.org's on screen display library - main libaosd is an advanced on screen display library. It supports many modern features like anti-aliased text and composited rendering via XComposite, as well as support for rendering Cairo and Pango layouts. . This package contains the main library. Package: libapache-admin-config-perl Version: 0.94-1.1 Installed-Size: 108 Maintainer: Raphaël Pinson Architecture: all Depends: perl (>= 5.6.0-16) Size: 25584 SHA256: e00be8d1d08d27c23224f376bcc7313224d55945f9ff4e78385293ba18efccdc SHA1: 8a3ac127d190337e168f0051e20587a34823aee3 MD5sum: 8fdb5ae3bc1eb84762f305a6929e6356 Description: a Perl module to read/write Apache like configuration files Apache::Admin::Config provides an object oriented interface to read and write Apache configuration files without affecting comments, indentation, or truncated lines. . You can easily extract information from Apache configuration files, or manage htaccess files. An advantage over Apache::ConfigFile is that you can modify configuration files on disk, and generate new ones. . The class was specifically written for a hosting provider, where it is used to add new clients, activate features, (un)lock directories using htaccess, etc. It can also come in handy for writing a one-shot migration script in a few lines. . Homepage: http://search.cpan.org/dist/Apache-Admin-Config/ Tag: devel::lang:perl, implemented-in::perl, suite::apache Section: perl Priority: optional Filename: pool/main/liba/libapache-admin-config-perl/libapache-admin-config-perl_0.94-1.1_all.deb Package: libapache-asp-perl Version: 2.62-1 Installed-Size: 1402 Maintainer: Debian Perl Group Architecture: all Depends: perl, libmldbm-perl, libmldbm-sync-perl, libwww-perl Recommends: libapache2-mod-perl2 Suggests: libapache-ssi-perl, libdevel-symdump-perl, libhtml-clean-perl, libhtml-fillinform-perl, libxml-libxslt-perl | libxml-xslt-perl Size: 599610 SHA256: c0c3357a6c265ae2addadddf1039f40d783ad49315638787cf9c1f00a7ca3006 SHA1: 1efdd7b6341e65b66a74549d1d017acceabd98ab MD5sum: 9e771c4abdf399b52581eb37dac862da Description: perl Apache::ASP - Active Server Pages for Apache with mod_perl Apache::ASP provides an Active Server Pages port to the Apache Web Server with perl as the host scripting language. Active Server Pages is a web application platform that originated with the Microsoft NT/IIS server. Under Apache for Unix and Win32 platforms it allows a developer to create dynamic web applications with session management and embedded perl code. . This module works under the Apache Web Server with the mod_perl module enabled. See http://www.apache.org and http://perl.apache.org for further information. It can also work under a standard CGI call interface using the asp-perl command. See README.Debian in this package for more details. . This is a portable solution, similar to ActiveState's PerlScript for NT/IIS ASP. Work has been done and will continue to make ports to and from this implementation as smooth as possible. Homepage: http://search.cpan.org/dist/Apache-ASP/ Tag: devel::lang:perl, devel::library, implemented-in::perl, protocol::http, suite::apache, web::appserver Section: perl Priority: optional Filename: pool/main/liba/libapache-asp-perl/libapache-asp-perl_2.62-1_all.deb Package: libapache-authenhook-perl Version: 2.00-04+pristine-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 79 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libapache2-mod-perl2 Homepage: http://search.cpan.org/dist/Apache-AuthenHook/ Priority: optional Section: perl Filename: pool/main/liba/libapache-authenhook-perl/libapache-authenhook-perl_2.00-04+pristine-2_armhf.deb Size: 14924 SHA256: 805853d51760f7940879a1d50e7e3b9bf8324b71b4c6a64ec1440996b0d89ffc SHA1: b51b393a992d5d274452fb48ef54b052cc6afe0f MD5sum: 8586656e4f80225e857493c502194de1 Description: Perl API for Apache 2.1 authentication Apache::AuthenHook offers access to the 2.1 Apache authentication API in Perl. This is different than the authentication API from Apache 1.3 or even Apache 2.0, but in its differences lies strength. Package: libapache-authznetldap-perl Version: 0.07-4 Installed-Size: 88 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libconvert-asn1-perl, libnet-ldap-perl, libmime-base64-urlsafe-perl, liburi-perl, libapache2-mod-perl2 Size: 11140 SHA256: 077e652075ddeccbffc7c8ffd37389d856ddf13cf5e7310ec960b973d8ec16d3 SHA1: 1168628e98d7f78ac68c75a232c677ab4e80d510 MD5sum: 239ec4d94571673f134a8b0a3f821414 Description: Apache-Perl module that enables to authorize a user with LDAP attributes After you have authenticated a user (perhaps with Apache::AuthNetLDAP ;) you can use Apache::AuthzNetLDAP to determine whether they are authorized to access the Web resource under this modules control. . You can control authorization via one of four methods. The first two are the pretty standard user and valid-user require, the second two are group or ldap-url which are unique to LDAP. Homepage: http://search.cpan.org/dist/Apache-AuthzNetLDAP/ Tag: devel::lang:perl, devel::library, implemented-in::perl, protocol::ldap, security::authentication, suite::apache, works-with::db Section: perl Priority: optional Filename: pool/main/liba/libapache-authznetldap-perl/libapache-authznetldap-perl_0.07-4_all.deb Package: libapache-db-perl Version: 0.14-3 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 439 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libapache2-mod-perl2, libdevel-dprof-perl | perl (<< 5.12.3-7) Homepage: http://search.cpan.org/dist/Apache-DB/ Priority: optional Section: perl Filename: pool/main/liba/libapache-db-perl/libapache-db-perl_0.14-3_armhf.deb Size: 156802 SHA256: d0c23daf17de4047ea5e9b4cd11b84214b118a759e705f649dfa387e86f75e1b SHA1: 3d6d20a0201e9ae33dd5dd13295af232fc5524f3 MD5sum: 4e5c980e9ad9f4650697d832fc480dd6 Description: module for running the interactive Perl debugger under mod_perl Perl ships with a very useful interactive debugger, however, it does not run "out-of-the-box" in the Apache/mod_perl environment. Apache::DB makes a few adjustments so the two will cooperate. Package: libapache-dbi-perl Version: 1.11-1 Installed-Size: 111 Maintainer: Debian Perl Group Architecture: all Depends: perl, libapache2-mod-perl2 | libapache-mod-perl, libdbi-perl Size: 54346 SHA256: f6475e2ed02582ff62f55d43027e6dacd3f489493f3b17ffa48e9e115cc9f42f SHA1: bfe0dde752f181506e9743fc936b9d3525dbdc2e MD5sum: bf2bb19af405ee4784fafbf138fadd85 Description: interface connecting apache server to database via perl's DBI Apache::DBI and Apache::AuthDBI are to be used with the Apache server and an embedded perl interpreter like mod_perl. They provide support for basic authentication and authorization as well as support for persistent database connections via Perl's Database Independent Interface (DBI). . o AuthDBI.pm provides authentication and authorization: - optional shared cache for passwords to minimize database load - configurable cleanup-handler deletes outdated entries from the cache . o DBI.pm provides persistent database connections: - connections can be established during server-startup - configurable rollback to ensure data integrity - configurable verification of the connections to avoid time-outs. Homepage: http://search.cpan.org/dist/Apache-DBI/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, suite::apache, works-with::db Section: perl Priority: optional Filename: pool/main/liba/libapache-dbi-perl/libapache-dbi-perl_1.11-1_all.deb Package: libapache-dbilogger-perl Version: 0.93-12 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl, libapache-dbi-perl, libapache2-mod-perl2, libdbi-perl, libtimedate-perl Size: 14204 SHA256: 27504598c7317d6fbef57ee7a3905a527ac52014043e7cd6f290ca13443a2d33 SHA1: 9bd58a638efd8939ea07bbe79c8b804b9a3d71e8 MD5sum: cc1153e780df6f4e38347708aefc2c75 Description: Perl module for tracking what's being transferred in a DBI database Apache::DBILogger tracks what's being transfered by the Apache web server in a SQL database (everything with a DBI/DBD driver). This allows one to get statistics (of almost everything) without having to parse the log files (like the Apache::Traffic module, just in a "real" database, and with a lot more logged information). Homepage: http://search.cpan.org/dist/Apache-DBILogger/ Tag: admin::monitoring, devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, role::devel-lib, suite::apache, works-with::db Section: perl Priority: optional Filename: pool/main/liba/libapache-dbilogger-perl/libapache-dbilogger-perl_0.93-12_all.deb Package: libapache-gallery-perl Version: 1.0.2-1 Installed-Size: 218 Maintainer: Don Armstrong Architecture: all Depends: perl, libapache2-mod-perl2 (>= 2.0) | libapache-request-perl, libimage-size-perl, libimage-info-perl, libtemplate-perl, libimage-imlib2-perl, libtext-template-perl Size: 99456 SHA256: 0dca3112443c50773da54609691ca0446e7d58c3e4bb6dcccdfcb0a72cd69cd2 SHA1: 9f6ce66ef97f7b991fbe0e3e2c1c5f285d43b1e1 MD5sum: 6cb031ff0f95c518ceef12812b099d30 Description: Apache module to create image galleries on-the-fly This package contains a Perl module for Apache to create galleries. . The images just need to be copied into a directory where Apache will pick them up and create a gallery page for you, with thumbnails and links to the full size images. . Thumbnail size and maximum image size can be defined, among others. Tag: devel::lang:perl, devel::library, implemented-in::perl, suite::apache, works-with::image, works-with::image:raster Section: perl Priority: optional Filename: pool/main/liba/libapache-gallery-perl/libapache-gallery-perl_1.0.2-1_all.deb Package: libapache-htgroup-perl Version: 1.23-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8130 SHA256: 1347b3602c91af39ef4ed0adc45c36a156996156541d78e5e524fcc09ea9d826 SHA1: 33057c854c17528df52b4c059f4897e69d55f279 MD5sum: 6e6b9f56fcd9c2609f2249fb754999f4 Description: interface to Apache authentication group files Apache::Htgroup provides methods to manage the membership of Apache authentication groups. . Please note that this is not a mod_perl module. Please also note that there is another module that does similar things (HTTPD::UserManage) and that this is a more simplistic module, not doing all the things that one does. Homepage: http://search.cpan.org/dist/Apache-Htgroup/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libapache-htgroup-perl/libapache-htgroup-perl_1.23-1_all.deb Package: libapache-htpasswd-perl Version: 1.8-1.1 Installed-Size: 80 Maintainer: Tatsuki Sugiura Architecture: all Depends: perl, libcrypt-passwdmd5-perl Size: 16334 SHA256: 01bfb54a5c4b9c4d6ff773b769bd6ecd9a3af6d3ea5266cc06f2e60d6af0ecc8 SHA1: 5be5ba85bc7551f04c2d87e34d7087a53fea0834 MD5sum: f59126bdf1fc49e2fcebe22d60ae7acb Description: Manage Unix crypt-style password file This module comes with a set of methods to use with htaccess password files. These files (and htaccess) are used to do Basic Authentication on a web server. . The passwords file is a flat-file with login name and their associated crypted password. You can use this for non-Apache files if you wish, but it was written specifically for .htaccess style files. Homepage: http://search.cpan.org/~kmeltz/Apache-Htpasswd/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::authentication, suite::apache Section: perl Priority: optional Filename: pool/main/liba/libapache-htpasswd-perl/libapache-htpasswd-perl_1.8-1.1_all.deb Package: libapache-mime4j-java Source: apache-mime4j Version: 0.6.1-2 Installed-Size: 408 Maintainer: David Paleino Architecture: all Depends: default-jre-headless, libcommons-logging-java Size: 311822 SHA256: d23429fad2e8e4ba08d61758808f6f38ee9e14838e11743599ee0d710c932220 SHA1: 8f493d5ef639deb9dc3c381990d969463ac340e9 MD5sum: 343ad52393c9aed8025dec5ba063106f Description: MIME and RFC822 parser for Java mime4j provides a parser, MimeStreamParser, for e-mail message streams in plain rfc822 and MIME format. The parser uses a callback mechanism to report parsing events such as the start of an entity header, the start of a body, etc. If you are familiar with the SAX XML parser interface you should have no problem getting started with mime4j. Homepage: http://james.apache.org/mime4j/ Section: java Priority: extra Filename: pool/main/a/apache-mime4j/libapache-mime4j-java_0.6.1-2_all.deb Package: libapache-mime4j-java-doc Source: apache-mime4j Version: 0.6.1-2 Installed-Size: 4220 Maintainer: David Paleino Architecture: all Size: 236292 SHA256: f7029a4fe8937e6de698b276789d74a77d9f01be8ce4f1feaf074cb1723a75a1 SHA1: 5018a346524cb29577e207e1809db2af5cc080d9 MD5sum: 40f47cb16871a6b03fd750991bcbd754 Description: MIME and RFC822 parser for Java - documentation mime4j provides a parser, MimeStreamParser, for e-mail message streams in plain rfc822 and MIME format. The parser uses a callback mechanism to report parsing events such as the start of an entity header, the start of a body, etc. If you are familiar with the SAX XML parser interface you should have no problem getting started with mime4j. . This package contains the API documentation. Homepage: http://james.apache.org/mime4j/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/a/apache-mime4j/libapache-mime4j-java-doc_0.6.1-2_all.deb Package: libapache-mod-jk-doc Source: libapache-mod-jk Version: 1:1.2.37-1+deb7u1 Installed-Size: 928 Maintainer: Debian Java Maintainers Architecture: all Replaces: libapache-mod-jk (<= 1.2.5-2) Suggests: libapache2-mod-jk Conflicts: libapache-mod-jk (<= 1.2.5-2) Size: 216000 SHA256: c306561370fbe8204fe55f2f1c04be8d7d9f89c3a7a38fb9f10aa84634d8df77 SHA1: 372e1e6650c5aa0344e1ffd3978a3b8373bbec7d MD5sum: dc94242db1ecc82c47a419175a235753 Description: Documentation of libapache2-mod-jk package Documentation and examples of the Apache jk connector for the Tomcat Java servlet engine. . For uptodate documentation about Tomcat connectors please take a look at the home page at http://tomcat.apache.org/connectors-doc/. Homepage: http://tomcat.apache.org/ Section: doc Priority: optional Filename: pool/main/liba/libapache-mod-jk/libapache-mod-jk-doc_1.2.37-1+deb7u1_all.deb Package: libapache-mod-security Source: modsecurity-apache Version: 2.6.6-6+deb7u2 Installed-Size: 42 Maintainer: Alberto Gonzalez Iniesta Architecture: all Depends: libapache2-modsecurity Size: 18538 SHA256: 6139a396887991433bbc15e11bc18e0ddb4388ad99a3707f3462ac19fd229c33 SHA1: 1292010d57fe27224b3a43aa387ad604916f136b MD5sum: ce90a6d74202cbf35aec6061980f23cc Description: Dummy transitional package This package is provided to ease the updating of modsecurity to the new package name. You may safely remove it. Homepage: http://www.modsecurity.org/ Section: web Priority: optional Filename: pool/main/m/modsecurity-apache/libapache-mod-security_2.6.6-6+deb7u2_all.deb Package: libapache-poi-java Version: 3.6+dfsg-2 Installed-Size: 2476 Maintainer: Debian Java Maintainers Architecture: all Replaces: libjakarta-poi-java Provides: libjakarta-poi-java Depends: libcommons-logging-java, liblog4j1.2-java, libxalan2-java, libcommons-beanutils-java, libcommons-lang-java Suggests: libapache-poi-java-doc Conflicts: libjakarta-poi-java Size: 2194272 SHA256: 318d1d05c5d44d24d72a01d2ef22fd75c7d72311634a9490cde4ada2df7eee7d SHA1: ae3e765fecf5891fc2a541b9d59ae13b30c52fd3 MD5sum: e12bebc1cc60516eb79a32591445d5ca Description: Poor Obfuscation Implementation The POI project consists of APIs for manipulating various file formats based upon Microsoft's OLE 2 Compound Document format using pure Java. . OLE 2 Compound Document Format based files include most Microsoft Office files such as XLS and DOC. Homepage: http://poi.apache.org Section: java Priority: optional Filename: pool/main/liba/libapache-poi-java/libapache-poi-java_3.6+dfsg-2_all.deb Package: libapache-poi-java-doc Source: libapache-poi-java Version: 3.6+dfsg-2 Installed-Size: 60700 Maintainer: Debian Java Maintainers Architecture: all Replaces: libjakarta-poi-java-doc Provides: libjakarta-poi-java-doc Suggests: libapache-poi-java Conflicts: libjakarta-poi-java-doc Size: 3407988 SHA256: 1077ff6cd598e66f88369372102eabb81ba778a708836f9994cfab9bccc25334 SHA1: f248827167fa69fce2ba78bae3997d7a2cc7e7af MD5sum: 2171ad632110e08258c93fb1a40fd8a6 Description: Poor Obfuscation Implementation Documentation The POI project consists of APIs for manipulating various file formats based upon Microsoft's OLE 2 Compound Document format using pure Java. . This package includes the documentation. Homepage: http://poi.apache.org Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/liba/libapache-poi-java/libapache-poi-java-doc_3.6+dfsg-2_all.deb Package: libapache-pom-java Source: apache-pom Version: 10-2 Installed-Size: 60 Maintainer: Debian Java Maintainers Architecture: all Size: 3870 SHA256: 65491cd89d72d1c276d7efe18b0f26980a1aa4e2394112f5df54f95eb878c23b SHA1: ae11146b979676f9cbb6ace3916fc13bb71d3f9b MD5sum: 9874861da43a13c9fc198ffa56e4de5c Description: Maven metadata for all Apache Software projects This package contains the Maven metadata shared between all Apache Software Foundation's projects. Homepage: http://maven.apache.org/pom/asf/ Section: java Priority: optional Filename: pool/main/a/apache-pom/libapache-pom-java_10-2_all.deb Package: libapache-ruby1.8 Source: mod-ruby Version: 1.2.6-2 Installed-Size: 84 Maintainer: Shugo Maeda Architecture: all Depends: libruby1.8 Recommends: libapache2-mod-ruby Conflicts: libapache-mod-ruby (<< 1.2.0) Size: 20454 SHA256: ac5a27df8a5dec573a9139bba4058cbed57145f54ecfdc03883aa5364ed95e66 SHA1: 70e566ac42a4ae9c6faf843ba01b5fa11641d64f MD5sum: 20028245a4b1f71f8cde80ed61aca1c5 Description: Ruby libraries for mod_ruby mod_ruby embeds the Ruby interpreter into the Apache2 web server, allowing Ruby CGI scripts to be executed natively. These scripts will start up much faster than without mod_ruby. . This package contains Ruby libraries for mod_ruby. Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::shared-lib, suite::apache Section: ruby Priority: optional Filename: pool/main/m/mod-ruby/libapache-ruby1.8_1.2.6-2_all.deb Package: libapache-session-browseable-perl Version: 0.7-1 Installed-Size: 103 Maintainer: Debian Perl Group Architecture: all Depends: perl, libapache-session-perl Size: 23786 SHA256: 7db5bf90ba53c2eb20eba12c9bb86a6c0c1dd9b9db002ac48315f305ebe0c5be SHA1: ff5d7f2e02f5391cfbfce15ff587756b420a23e5 MD5sum: f1f6099ff2476e51514a651432f17a67 Description: module adding index and search methods to Apache::Session Apache::Session::Browseable provides some class methods to manipulate all sessions and add the capability to index some fields to make research faster. . This module is recommended as session backend for LemonLDAP::NG. Homepage: http://search.cpan.org/dist/Apache-Session-Browseable/ Section: perl Priority: optional Filename: pool/main/liba/libapache-session-browseable-perl/libapache-session-browseable-perl_0.7-1_all.deb Package: libapache-session-ldap-perl Version: 0.2-1 Installed-Size: 61 Maintainer: Debian Perl Group Architecture: all Depends: perl, libapache-session-perl, libnet-ldap-perl Size: 8966 SHA256: 8e44b3a157b146b3148c77b072bb7f8114ef884830155c13b0e84b04c0e3e05a SHA1: ac0e754a4d814e6d72c7b0878a8000a4742a6db1 MD5sum: 7763bb8e9e79ea56cba55da3895ddf50 Description: LDAP implementation of Apache::Session Apache::Session makes maintaining user data across HTTP requests simple. . Apache::Session::LDAP is an implementation of Apache::Session that uses an LDAP directory to store datas. Homepage: http://search.cpan.org/dist/Apache-Session-LDAP/ Section: perl Priority: optional Filename: pool/main/liba/libapache-session-ldap-perl/libapache-session-ldap-perl_0.2-1_all.deb Package: libapache-session-perl Version: 1.89-1 Installed-Size: 360 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 104218 SHA256: 836fb8728ca107adcf31220ef24ff058cf993050ab5f0d193dbe5bba1a8a725b SHA1: 859b8562912bd3ccaa69f539c27413c40f9ac688 MD5sum: c7ceb58b9e5241412680a5f4ae3269cc Description: modules for keeping persistent user data across HTTP requests Apache::Session makes maintaining user data across HTTP requests simple. It's usable from both mod_perl scripts and more traditional Perl CGI scripts. Homepage: http://search.cpan.org/dist/Apache-Session/ Tag: devel::lang:perl, devel::library, implemented-in::perl, interface::web, protocol::http, role::devel-lib, suite::apache Section: perl Priority: optional Filename: pool/main/liba/libapache-session-perl/libapache-session-perl_1.89-1_all.deb Package: libapache-session-wrapper-perl Version: 0.34-1 Installed-Size: 100 Maintainer: Debian Perl Group Architecture: all Depends: perl, libapache-session-perl, libparams-validate-perl, libclass-container-perl, libexception-class-perl Size: 22488 SHA256: ddcda6c3748c36cbf562ac5cf56f4b36ffef37922e70bb35ab941b5b90a5e5c8 SHA1: e7f43f55c8dca46e1e1ac32474cfb8e1ad192aab MD5sum: 75d177ec85beb95c5a4fdb4ae7213dec Description: simple wrapper around Apache::Session Apache::Session::Wrapper module is a simple wrapper around Apache::Session which provides some methods to simplify getting and setting the session id. . It can uses cookies to store the session id, or it can look in a provided object for a specific parameter. Alternately, you can simply provide the session id yourself in the call to the session() method. . If you're using Mason, you should probably take a look at MasonX::Request::WithApacheSession first, which integrates this module directly into Mason. Homepage: http://search.cpan.org/dist/Apache-Session-Wrapper/ Tag: devel::lang:perl, devel::library, implemented-in::perl, interface::web, protocol::http, suite::apache Section: perl Priority: optional Filename: pool/main/liba/libapache-session-wrapper-perl/libapache-session-wrapper-perl_0.34-1_all.deb Package: libapache-sessionx-perl Version: 2.01-4 Installed-Size: 232 Maintainer: Debian Perl Group Architecture: all Depends: perl, debconf (>= 0.5) | debconf-2.0, libdigest-md5-perl, libstorable-perl, libapache-session-perl Suggests: libdbd-pg-perl, libdbd-mysql-perl, libdbd-sybase-perl, libmime-base64-perl Size: 44270 SHA256: 01f88262766057d8c5170da85723890e80ae72faf2ca7a450b5a0754a0c6917a SHA1: c881bdcc44d48be9db5a52d3a92f301312b3464b MD5sum: 3f12dca0a984cc27d638d33005891382 Description: extended persistence framework for session data Apache::SessionX extends Apache::Session. It was initially written to use Apache::Session inside HTML::Embperl, but it seems to be useful outside of Embperl as well, so here it is as a standalone module. Apache::SessionX provides lazy creation of sessions, and an easier configuration using canned locking and storage combinations. Homepage: http://search.cpan.org/dist/Apache-SessionX/ Tag: devel::lang:perl, devel::library, implemented-in::perl, interface::web, protocol::http, suite::apache Section: perl Priority: optional Filename: pool/main/liba/libapache-sessionx-perl/libapache-sessionx-perl_2.01-4_all.deb Package: libapache-singleton-perl Version: 0.15-1 Installed-Size: 61 Maintainer: Debian Perl Group Architecture: all Depends: perl, libapache2-mod-perl2 Size: 13336 SHA256: 1a54d44efeceb7bfd7a25fc2064ffdeddfb47a7a3134e6e1075f3f7b20322a24 SHA1: 9dc853e6de8319ac2e46d5ce21ca987151866039 MD5sum: 46c289184aada1040c98e3a51e5e34ff Description: Singleton class for mod_perl Apache::Singleton is a Perl module that provides a Singleton class suitable for use with mod_perl. . A Singleton describes an object class that can have only one instance in any system. An example of a Singleton might be a print spooler or system registry. . For a description and discussion of the Singleton class, see "Design Patterns", Gamma et al, Addison-Wesley, 1995, ISBN 0-201-63361-2. Homepage: http://search.cpan.org/dist/Apache-Singleton/ Tag: devel::lang:perl, devel::library, implemented-in::perl, suite::apache Section: perl Priority: optional Filename: pool/main/liba/libapache-singleton-perl/libapache-singleton-perl_0.15-1_all.deb Package: libapache2-authcassimple-perl Version: 0.10-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libapache-session-wrapper-perl, libapache2-mod-perl2, libauthen-cas-client-perl, libapache2-request-perl, libcrypt-ssleay-perl, liburi-perl Size: 12252 SHA256: febde525d063e58c24c5210e93b84a3ade892d6778e52cafb992ceee15dea2c2 SHA1: 3a72746b41f270e5df0d8f5ef2f37ee6414d204e MD5sum: 272639ede51947bb9dee39f889edddb6 Description: Apache2 module to authentificate trough a CAS server Apache2::AuthCASSimple is an authentication module for Apache2/mod_perl2. . It allows you to authenticate users through a Yale CAS server. It means you don't need to give login/password if you've already be authenticate by the CAS server, only tickets are exchanged between Web client, Apache2 server and CAS server. If you are not authenticated yet, you will be redirected on the CAS server login form. Homepage: http://search.cpan.org/dist/Apache2-AuthCASSimple/ Tag: devel::lang:perl, implemented-in::perl, security::authentication, suite::apache Section: perl Priority: optional Filename: pool/main/liba/libapache2-authcassimple-perl/libapache2-authcassimple-perl_0.10-1_all.deb Package: libapache2-authcookie-perl Version: 3.18-1 Installed-Size: 276 Maintainer: Debian Perl Group Architecture: all Depends: perl, libapache2-mod-perl2 (>= 2.0.5) Size: 59668 SHA256: de76b9cdd997f2eb1b3219c56fe84254fe57cabe32d32f4fdf3c571d4bcfc1cf SHA1: 6ab78f2d2b17b9274a26493abf14f55874874e2d MD5sum: d0d33a6720821a24f8b208537bc593d5 Description: Perl Authentication and Authorization via cookies This module is for mod_perl version 2. If you are running mod_perl version 1, you should be using Apache::AuthCookie instead. . Apache2::AuthCookie allows you to intercept a user's first unauthenticated access to a protected document. The user will be presented with a custom form where they can enter authentication credentials. The credentials are posted to the server where AuthCookie verifies them and returns a session key. . The session key is returned to the user's browser as a cookie. As a cookie, the browser will pass the session key on every subsequent accesses. AuthCookie will verify the session key and re-authenticate the user. Homepage: http://search.cpan.org/dist/Apache-AuthCookie Tag: devel::lang:perl, devel::library, implemented-in::perl, suite::apache Section: perl Priority: optional Filename: pool/main/liba/libapache2-authcookie-perl/libapache2-authcookie-perl_3.18-1_all.deb Package: libapache2-authenntlm-perl Version: 0.02-5 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 152 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libapache2-mod-perl2 Conflicts: libauthen-smb-perl (<= 0.96) Homepage: http://search.cpan.org/dist/Apache2-AuthenNTLM Priority: optional Section: perl Filename: pool/main/liba/libapache2-authenntlm-perl/libapache2-authenntlm-perl_0.02-5_armhf.deb Size: 46086 SHA256: 713104311daf2bac947a574cba0db5e1e3dd47833ce9cf74f16842eab76c7086 SHA1: 6e1b43d70ae15d47327738de4f4f654f7050545c MD5sum: fc03f4fcc485cd6722a2dd69dc7d66ee Description: Perform Microsoft NTLM and Basic User Authentication The purpose of this module is to perform a user authentication via Microsoft's NTLM protocol. This protocol is supported by all versions of the Internet Explorer and is mainly useful for intranets. Depending on your preferences setting IE will supply your windows logon credentials to the web server when the server asks for NTLM authentication. This saves the user to type in his/her password again. . The NTLM protocol performs a challenge/response to exchange a random number (nonce) and get back a md4 hash, which is built from the user's password and the nonce. This makes sure that no password goes over the wire in plain text. . The main advantage of the Perl implementation is, that it can be easily extended to verify the user/password against other sources than a windows domain controller. . The default implementation is to go to the domain controller for the given domain and verify the user. If you want to verify the user against another source, you can inherit from Apache2::AuthenNTLM and override it's methods. Package: libapache2-mod-apparmor Source: apparmor Version: 2.7.103-4+rpi1 Architecture: armhf Maintainer: Kees Cook Installed-Size: 126 Depends: apache2.2-common, libapparmor1 (>= 2.6~devel), libc6 (>= 2.13-28) Homepage: http://apparmor.net/ Priority: extra Section: libs Filename: pool/main/a/apparmor/libapache2-mod-apparmor_2.7.103-4+rpi1_armhf.deb Size: 35950 SHA256: 41b2676780c8114f819513c01a529574015a56f2deb819c737ea08972481ec16 SHA1: 39116f3da46a99243005b5889b3ac161c8673620 MD5sum: a7c3ecd819542f3f1cc4c0f415536a20 Description: changehat AppArmor library as an Apache module This provides the Apache module needed to declare various differing confinement policies when running virtual hosts in the webserver by using the changehat abilities exposed through libapparmor. Package: libapache2-mod-apreq2 Source: libapreq2 Version: 2.13-1 Architecture: armhf Maintainer: Steinar H. Gunderson Installed-Size: 162 Depends: libapr1 (>= 1.2.7), libapreq2 (= 2.13-1), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), apache2.2-common Replaces: libapache2-request-perl (<< 2.05-dev-3), libapreq2 (<< 2.05-dev-5) Priority: optional Section: web Filename: pool/main/liba/libapreq2/libapache2-mod-apreq2_2.13-1_armhf.deb Size: 61084 SHA256: 6b3cee9818e239a3298deeadc5ee7358c322ef07d54b137e3229ab5c33a81d62 SHA1: 97d90d6208b0d441956559a334f5465de32a24a3 MD5sum: 852d03312306c3b5627116935566915f Description: generic Apache request library - Apache module The generic Apache request library (APR) contains modules for manipulating client request data with the Apache API from Perl and C. Functionality includes: . - parsing of application/x-www-form-urlencoded data - parsing of multipart/form-data - parsing of HTTP Cookies . This package contains the Apache module itself. Package: libapache2-mod-auth-cas Version: 1.0.9.1-2 Architecture: armhf Maintainer: CAS packaging team Installed-Size: 128 Depends: apache2.2-common (>= 2.2.3-2), libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libssl1.0.0 (>= 1.0.0) Homepage: http://www.ja-sig.org/wiki/display/CASC/mod_auth_cas Priority: extra Section: httpd Filename: pool/main/liba/libapache2-mod-auth-cas/libapache2-mod-auth-cas_1.0.9.1-2_armhf.deb Size: 31798 SHA256: 8a7e35679e194861b44e10713f75d9c45ddcab8d45e58934bd51a1c3f821e418 SHA1: 36a204bc1a3d283296f65ea9b2244990e3aa0ad1 MD5sum: b912adfb9d8aa2cd3da5885424799d9c Description: CAS authentication module for Apache2 mod_auth_cas is an authentication module for Apache2, that allows the webserver to interact with an authentication server that conforms to the CAS (v1 or v2) protocol defined by Yale/JA-SIG. Package: libapache2-mod-auth-kerb Source: libapache-mod-auth-kerb Version: 5.4-2 Architecture: armhf Maintainer: Ghe Rivero Installed-Size: 91 Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.10+dfsg~), apache2.2-common, krb5-config Priority: optional Section: net Filename: pool/main/liba/libapache-mod-auth-kerb/libapache2-mod-auth-kerb_5.4-2_armhf.deb Size: 23906 SHA256: 5b750da3ed6953a8aac08dcbef114243c5bd3cf4f96b9ac0c0e8e959b15a2966 SHA1: 53bc6f08da1e7330a1d84aefa9a8212a5a19ca73 MD5sum: dd57d95089589d1e82f9eee9dcf1f2cf Description: apache2 module for Kerberos authentication An apache2 module for authenticating users in a Kerberos realm. It supports basic user and password authentication or a negotiate auth based on Kerberos tickets. Package: libapache2-mod-auth-memcookie Version: 1.0.2-5 Architecture: armhf Maintainer: Eva Ramon Salinas Installed-Size: 93 Depends: libc6 (>= 2.13-28), libmemcache0 (>= 1.4.0.rc2), apache2-mpm-prefork | apache2-mpm-itk, apache2.2-common Homepage: http://authmemcookie.sourceforge.net Priority: extra Section: libs Filename: pool/main/liba/libapache2-mod-auth-memcookie/libapache2-mod-auth-memcookie_1.0.2-5_armhf.deb Size: 16730 SHA256: 25b05c47c8a2bd5c6cfc3dc974101fa231917e010b67ce29e42de327bd20fbe7 SHA1: 3dd2f8c2c94b7387c47a092a4050d93a743bd9f8 MD5sum: 00687b2c5c3c80bd1f8d5526a1cbb597 Description: Apache2 authentication and authorization module. AuthMemCookie is an Apache v2.0 authentication and authorization module based on the cookie authentication mechanism. The module does not make authentication by itself; it verifies if the authentication cookie is valid for each url protected by the module. The module also validates if the authenticated user has authorization to access the url. Package: libapache2-mod-auth-mysql Source: mod-auth-mysql Version: 4.3.9-13.1 Architecture: armhf Maintainer: Joey Schulze Installed-Size: 92 Depends: libc6 (>= 2.13-28), libmysqlclient18 (>= 5.5.24+dfsg-1), apache2.2-common (>= 2.2.3-3) Priority: optional Section: httpd Filename: pool/main/m/mod-auth-mysql/libapache2-mod-auth-mysql_4.3.9-13.1_armhf.deb Size: 25944 SHA256: acf1b93cb612c0798d5afc10a2335741764049d3a34f60bfd297e0176a72bbd4 SHA1: 7dc55551ff559a2e846b373e97aa019392add9b9 MD5sum: 3fad25b0d2f52732bab61724ac09ddcb Description: Apache 2 module for MySQL authentication A module for the Apache 2 web server which enables HTTP authentication against information stored in a MySQL database. Package: libapache2-mod-auth-ntlm-winbind Source: apache-mod-auth-ntlm-winbind Version: 0.0.0.lorikeet+svn+801-1 Architecture: armhf Maintainer: Olly Betts Installed-Size: 67 Depends: libc6 (>= 2.13-28), apache2.2-common, winbind Homepage: http://adldap.sourceforge.net/wiki/doku.php?id=mod_auth_ntlm_winbind Priority: optional Section: web Filename: pool/main/a/apache-mod-auth-ntlm-winbind/libapache2-mod-auth-ntlm-winbind_0.0.0.lorikeet+svn+801-1_armhf.deb Size: 10278 SHA256: fd08a6000117aeb254e5178ea9f67657ee049e8cb8963e7ec9e7b45eb598eb16 SHA1: 1255699cf0eb72299429d1ffdd28c16f249cafb4 MD5sum: 6f4665fc3ff9c5050b55c15059e033c4 Description: apache2 module for NTLM authentication against Winbind The mod_auth_ntlm_winbind module provides authentication and authorisation over the web against a Microsoft Windows NT/2000/XP/etc or Samba Domain Controller using Samba's winbind daemon running on the same machine Apache is running on. Package: libapache2-mod-auth-openid Version: 0.7-0.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 193 Depends: apache2.2-common (>= 2.2.3-2), libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libopkele3, libpcre3 (>= 8.10), libsqlite3-0 (>= 3.5.9), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.3.0), libtidy-0.99-0, libuuid1 (>= 2.16) Homepage: http://findingscience.com/mod_auth_openid/ Priority: extra Section: httpd Filename: pool/main/liba/libapache2-mod-auth-openid/libapache2-mod-auth-openid_0.7-0.1_armhf.deb Size: 66426 SHA256: 241c95fb18a2bfba1ade710e706706ede7fa3d3113f6a3583c4432ee3be9878a SHA1: 75a2cda0c929d854119f407f176c6d3465a6056f MD5sum: 41e89bd9ec093d1e7227ff2c79bf7dab Description: OpenID authentication module for Apache2 mod_auth_openid is an authentication module for Apache2. It handles the functions of an OpenID consumer as specified in the OpenID 2.0 specification. Package: libapache2-mod-auth-pam Version: 1.1.1-9 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 68 Depends: apache2.2-common (>= 2.2.11-2), libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1) Priority: extra Section: web Filename: pool/main/liba/libapache2-mod-auth-pam/libapache2-mod-auth-pam_1.1.1-9_armhf.deb Size: 9080 SHA256: 6fac370f1578da2aea0d755fa3d0ea6d9e3806a471fb041c5499b998f25434a8 SHA1: 8ba9f2d1a669c68cc0ab92f92f6652f66b7094f2 MD5sum: a8a464e19b1f6c9e6fe493904eb6cd17 Description: module for Apache2 which authenticate using PAM mod_auth_pam implements authentication routines using PAM (Plugable Authentication Modules) for apache's authentication protocol. . This package provides the module for Apache 2.0 server. Package: libapache2-mod-auth-pgsql Version: 2.0.3-5 Architecture: armhf Maintainer: Marco Nenciarini Installed-Size: 106 Depends: apache2.2-common, libc6 (>= 2.13-28), libpq5 Priority: extra Section: web Filename: pool/main/liba/libapache2-mod-auth-pgsql/libapache2-mod-auth-pgsql_2.0.3-5_armhf.deb Size: 20172 SHA256: 44ead671945505fbf765dff7d5b5e9b19334bf98bb3f11c0ce86d2582dac64dd SHA1: 28580d7627067bfc211a3919f36ae65c7c4a20e2 MD5sum: 8ffe9ffb0e6ac8a5a33f014aacfd8b5a Description: Module for Apache2 which provides pgsql authentication mod_auth_pgsql implements authentication routines using postgresql tables for Apache's authentication protocol. . This package provides the module for Apache 2.0 server. Package: libapache2-mod-auth-plain Version: 2.0.51 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 66 Depends: apache2.2-common (>= 2.2.11-2), libc6 (>= 2.13-28) Priority: extra Section: web Filename: pool/main/liba/libapache2-mod-auth-plain/libapache2-mod-auth-plain_2.0.51_armhf.deb Size: 8392 SHA256: 729f502c1f471d85c452740f68213f1e1b8f0e0ed797687b2a44e9a709b39cb9 SHA1: 4a110eb5ca143e128167594d2909133acbd89395 MD5sum: 515d1685ca455670a47461a84a67203f Description: Module for Apache2 which provides plaintext authentication mod_auth_plain implements authentication routines using plain text files for Apache's authentication protocol. . This package provides the module for Apache 2.0 server. Package: libapache2-mod-auth-pubtkt Version: 0.7-1 Architecture: armhf Maintainer: Ivo De Decker Installed-Size: 137 Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), apache2.2-common Conflicts: libapache2-mod-auth-tkt Homepage: https://neon1.net/mod_auth_pubtkt/ Priority: extra Section: httpd Filename: pool/main/liba/libapache2-mod-auth-pubtkt/libapache2-mod-auth-pubtkt_0.7-1_armhf.deb Size: 33742 SHA256: 09e736bec0374d0ecc56b2258cff4c20a815cc70e3dc94f60d67451106541eeb SHA1: a3406f4911020150a420f936a996cdcda21bf747 MD5sum: d958f9b0ac7e3990725731228dc01abf Description: key-based single-sign-on authentication module for Apache mod_auth_pubtkt is an Apache module that authenticates a user based on a cookie with a ticket that has been issued by a central login server and digitally signed using either RSA or DSA. This means that only the trusted login server has the private key required to generate tickets, while web servers only need the corresponding public key to verify them. Package: libapache2-mod-auth-radius Source: libapache-mod-auth-radius Version: 1.5.8-1.1 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 87 Depends: apache2.2-common, libc6 (>= 2.13-28) Suggests: radius-server, apache2 Priority: optional Section: web Filename: pool/main/liba/libapache-mod-auth-radius/libapache2-mod-auth-radius_1.5.8-1.1_armhf.deb Size: 17634 SHA256: d607993f03ee6d800724625ee0c26292b6046047d7921fcae544c8616b72d166 SHA1: 33b5d7d8cef9b797b426a00144bd082630ec6754 MD5sum: 8cc429b8a8168fefd7f2cd464242fcae Description: Apache 2.x module for RADIUS authentication mod_auth_radius is an Apache 2.x module for authenticating users against information stored in a RADIUS server. Package: libapache2-mod-auth-sys-group Source: libapache2-mod-auth-pam Version: 1.1.1-9 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 57 Depends: apache2.2-common (>= 2.2.11-2), libc6 (>= 2.13-28) Priority: extra Section: web Filename: pool/main/liba/libapache2-mod-auth-pam/libapache2-mod-auth-sys-group_1.1.1-9_armhf.deb Size: 6182 SHA256: 30b74b544cd782a74e7129d3a47339a964d0e78b2d8d250e928caa777a8c008d SHA1: bbaa1c38719f368636ae2411d9414ade7a81b455 MD5sum: 77dd4556371ad96d7c177a08003d9f4f Description: Module for Apache2 which checks user against system group mod_auth_pam implements 'require group' functionality against system group database. . This package provides the module for Apache 2.0 server. Package: libapache2-mod-auth-tkt Version: 2.1.0-6 Architecture: armhf Maintainer: Ivo De Decker Installed-Size: 129 Depends: libc6 (>= 2.13-28), apache2.2-common Homepage: http://www.openfusion.com.au/labs/mod_auth_tkt/ Priority: extra Section: httpd Filename: pool/main/liba/libapache2-mod-auth-tkt/libapache2-mod-auth-tkt_2.1.0-6_armhf.deb Size: 53148 SHA256: bad2eac7ad51dbb5264d403c6c2be1239b4685d220df0bdedc587a466aaf37cb SHA1: 70f85298b7c8949f199250002bf7db4874f27ef6 MD5sum: 5de2e9acfa9ab75d80f009e4bae12949 Description: lightweight single-sign-on authentication module for Apache mod_auth_tkt is a lightweight single-sign-on authentication module for Apache. It uses secure cookie-based tickets to implement a single-signon framework that works across multiple Apache instances and servers. . mod_auth_tkt itself is completely repository-agnostic, as the actual authentication is done by a user-supplied CGI or script in your language of choice (examples are provided in Perl, with contrib libraries for use with Python and PHP). This allows authentication against virtually any kind of user repository you can imagine (password files, ldap directories, databases, etc.) Package: libapache2-mod-authn-sasl Version: 1.2-1 Architecture: armhf Maintainer: Ulises Vitulli Installed-Size: 153 Depends: libapr1 (>= 1.2.7), libc6 (>= 2.13-28), libsasl2-2 (>= 2.1.24), sasl2-bin Homepage: http://mod-authn-sasl.sourceforge.net/ Priority: extra Section: web Filename: pool/main/liba/libapache2-mod-authn-sasl/libapache2-mod-authn-sasl_1.2-1_armhf.deb Size: 40576 SHA256: d2e9ae1a3743d15015dcf03d989675ff54699a0b82dd27ec6cef282c1d789819 SHA1: a77da38f5f988307825794ce71e564a48188f12e MD5sum: 9bbe98df0f3c810d45cb6dc3c51fb979 Description: SASL authentication backend provider for Apache This module provides the mod_auth_basic authentication front-end a way to authenticate users by checking credentials via the Cyrus SASL library. . Username and password are checked against the SASL password checking backends, kerberos5, pam, rimap, shadow and ldap are some of the supported mechanisms. . One of the interesting parts of using a SASL backend is that you do not need to elevate Apache HTTPD's access rights to superuser privileges, even when validating through shadow database. Package: libapache2-mod-authn-webid Source: mod-authn-webid Version: 0~20110301-1 Architecture: armhf Maintainer: Clint Adams Installed-Size: 64 Depends: libc6 (>= 2.13-28), librdf0 (>= 1.0.15), libssl1.0.0 (>= 1.0.0) Homepage: http://dig.csail.mit.edu/2009/mod_authn_webid/ Priority: extra Section: httpd Filename: pool/main/m/mod-authn-webid/libapache2-mod-authn-webid_0~20110301-1_armhf.deb Size: 7596 SHA256: 1cd33c317da32947a02c73212af3466a8f4c7ea8943cab65187ea06e2ddce8ec SHA1: 32d53bb211cb8e7b15a09d276896a332e91c7925 MD5sum: c5a0d47a5b8948dd64c31f82b9fe637e Description: WebID FOAF+SSL authentication module for Apache This module provides a WebID FOAF+SSL authentication mechanism for Apache. . Clients are verified using a SPARQL query. Package: libapache2-mod-authn-yubikey Version: 1.0-1 Architecture: armhf Maintainer: Alexandre De Dommelin Installed-Size: 85 Depends: libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libgcc1 (>= 1:4.4.0), apache2 Homepage: http://www.coffeecrew.org/software/yubikey-apache-plugin/ Priority: extra Section: web Filename: pool/main/liba/libapache2-mod-authn-yubikey/libapache2-mod-authn-yubikey_1.0-1_armhf.deb Size: 20160 SHA256: df6ac973337a9ae75b33b9e9ccb459dfde8ef90de4023dc540b46d254e96796d SHA1: a321a2c80117129a5e8ea161a49acbb51689a746 MD5sum: b161adaf3dbd61d615447b3be8c13278 Description: Yubikey authentication provider for Apache The mod_authn_yubikey module is an authentication provider for the Apache platform. It leverages the YubiKey which is a small token that acts as an authentication device. . The mod_authn_yubikey module provides one and two factor authentication for your website and is completely independent from the technlogy that implements your website (like CGI, JSP or PHP). Package: libapache2-mod-authnz-external Version: 3.2.4-2.1 Architecture: armhf Maintainer: Hai Zaar Installed-Size: 80 Depends: libc6 (>= 2.13-28), apache2.2-common Recommends: pwauth Homepage: http://code.google.com/p/mod-auth-external Priority: optional Section: web Filename: pool/main/liba/libapache2-mod-authnz-external/libapache2-mod-authnz-external_3.2.4-2.1_armhf.deb Size: 23624 SHA256: 32e8215c2f1b2d6ec3ffb54c40f1fde442076d1a3ee9a0b96c4f7042c0282748 SHA1: 08355acf6ba9eb11515789e2cda5b5f855e22376 MD5sum: 620301ed0aa977eaa735f85012be446e Description: authenticate Apache against external authentication services Mod_Auth_External can be used to quickly construct secure, reliable authentication systems. It can also be mis-used to quickly open gaping holes in your security. Read the documentation, and use with extreme caution. . Notably, this module can be used to securely authenticate against PAM (without exposing /etc/shadow file), using, for example, pwauth authenticator. . This Package includes the mod-athnz-external Module for Apache Version 2.x Package: libapache2-mod-authz-unixgroup Version: 1.0.2-1 Architecture: armhf Maintainer: Hai Zaar Installed-Size: 59 Depends: libc6 (>= 2.13-28), apache2.2-common Homepage: http://www.unixpapa.com/mod_authz_unixgroup Priority: optional Section: web Filename: pool/main/liba/libapache2-mod-authz-unixgroup/libapache2-mod-authz-unixgroup_1.0.2-1_armhf.deb Size: 6400 SHA256: 34688bebc6e2f35ae9af8931bf15aaaf722046e8a86584da403ca2fa030da9f7 SHA1: 72a88fd120971322f61fcf6fcfde9efe38e5605b MD5sum: 4d8bf8fd85b33da94c4f8b165469389f Description: access control based on on unix group membership for Apache Mod_Authz_Unixgroup is a unix group access control module for Apache 2.1 and later. If you are having users authenticate with real Unix login ID over the net, using something like my mod_authnz_external / pwauth combination, and you want to do access control based on unix group membership, then mod_authz_unixgroup is exactly what you need. . This Package includes the mod-authn-unixgroup Module for Apache Version 2.2 Package: libapache2-mod-axis2c Source: axis2c Version: 1.6.0-5.1 Architecture: armhf Maintainer: Brian Thomason Installed-Size: 217 Depends: apache2.2-common, libaxis2c0, libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Homepage: http://ws.apache.org/axis2/c/ Priority: optional Section: web Filename: pool/main/a/axis2c/libapache2-mod-axis2c_1.6.0-5.1_armhf.deb Size: 60100 SHA256: 7f91f7237e8014daf1a860e5dc3e4a3d0b9d0d27a7382800f8aa9237cae6887c SHA1: 3438bf39c8bc617cf56d532a363a19e34f44f39d MD5sum: dc76930a43f2cec75cc6e6349c5ec8b4 Description: Apache web services engine - apache module Apache Axis2/C is a Web services engine implemented in the C programming language. It is based on the extensible and flexible Axis2 architecture. Apache Axis2/C can be used to provide and consume WebServices. It has been implemented with portability and ability to embed in mind, hence could be used as a Web services enabler in other software. . Apache Axis2/C supports SOAP 1.1 and SOAP 1.2, as well as REST style of Webservices. A single service could be exposed both as a SOAP style as well as a REST style service simultaneously. It also has built in MTOM support, that can be used to exchange binary data. . Apache Axis2/C is efficient, modular and is designed with extensibility. The extensible design allows it to support the full WS-* stack with the concept of modules. Apache Axis2/C is the Web services engine that supports the most number of WS-* specification implementations in C, with guaranteed interoperability. This enables using C in Service Oriented Architecture (SOA) implementations, and would be very useful when integrating legacy systems into SOA. Package: libapache2-mod-bw Version: 0.92-6 Architecture: armhf Maintainer: Sergey B Kirpichev Installed-Size: 96 Depends: libc6 (>= 2.13-28), apache2.2-common Homepage: http://apache.ivn.cl/#bandwidth Priority: extra Section: httpd Filename: pool/main/liba/libapache2-mod-bw/libapache2-mod-bw_0.92-6_armhf.deb Size: 24490 SHA256: 525ce4717c1a237e3bed89eaa0eeeb63a4f9ec2af866a34788a43f1810496b00 SHA1: c4c60afbc11ca7046348419adb4521bf4148c9a0 MD5sum: 21216cda5adc8cf5be8a0a100a4f9f8f Description: bandwidth limiting module for apache2 This module allows you to limit bandwidth usage on every virtual host or directory or to restrict the number of simultaneous connections. . The bandwidth control, for example, can be configured according to the criteria: origin of the connection, file extension, file size or user agent of the client. Package: libapache2-mod-dacs Source: dacs Version: 1.4.27b-2 Architecture: armhf Maintainer: Christoph Berg Installed-Size: 118 Depends: libc6 (>= 2.13-28), dacs (= 1.4.27b-2), apache2.2-common Homepage: http://dacs.dss.ca/ Priority: optional Section: httpd Filename: pool/main/d/dacs/libapache2-mod-dacs_1.4.27b-2_armhf.deb Size: 36554 SHA256: 8a38bb62969b24269ca060d0ed2606ef175bbf037c481000babcbda9d092612b SHA1: d8c67cbe2ca74d33fb05d8c028ede9a174aea9d5 MD5sum: 7a85460b2cbf957d0b7c2163047d7c0a Description: Distributed Access Control System (DACS) - Apache Module DACS is a light-weight single sign-on and role-based access control system for web servers and server-based software. It is also an authentication and authorization toolkit for programmers. DACS makes secure resource sharing and remote access via the web easier, safer, and more efficient. . This package contains the Apache2 module. Package: libapache2-mod-defensible Version: 1.4-3 Architecture: armhf Maintainer: Julien Danjou Installed-Size: 58 Depends: apache2.2-common, libc6 (>= 2.13-28) Priority: extra Section: web Filename: pool/main/liba/libapache2-mod-defensible/libapache2-mod-defensible_1.4-3_armhf.deb Size: 6414 SHA256: 7aabc47f096b5a90827000aad561f50ca286f968bfcd25c197814959dac4130e SHA1: 2713a1aba31088aaa2ffba4f72bf05eae4ea8f59 MD5sum: d517e49da11fbea2e4459dd8d1faeda3 Description: module for Apache2 which provides DNSBL usage mod_defensible implements usage of DNSBL servers to block access to a Web site or to specific locations. . This package provides the module for Apache 2 server. Package: libapache2-mod-dnssd Source: mod-dnssd Version: 0.6-3 Architecture: armhf Maintainer: Regis Boudin Installed-Size: 69 Depends: libapr1 (>= 1.2.7), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), avahi-daemon (>= 0.6.5), apache2.2-bin Homepage: http://0pointer.de/lennart/projects/mod_dnssd/ Priority: optional Section: net Filename: pool/main/m/mod-dnssd/libapache2-mod-dnssd_0.6-3_armhf.deb Size: 13820 SHA256: f94e63588b1b99419a399a67973ecb4cea6ceef5b070aea3db214251974fa7d7 SHA1: 33133566e025e636639e93ed37e3641be64a7863 MD5sum: bbc55df59e647c0b98fa6d7cdb300616 Description: Zeroconf support for Apache 2 via avahi mod_dnssd is an Apache HTTPD module which adds Zeroconf support via DNS-SD using Avahi. This allows Apache to advertise itself and the websites available to clients compatible with the protocol. Package: libapache2-mod-encoding Version: 20040616-5.1 Architecture: armhf Maintainer: Tatsuki Sugiura Installed-Size: 61 Depends: apache2.2-common, libc6 (>= 2.13-28), libiconv-hook1 Priority: optional Section: net Filename: pool/main/liba/libapache2-mod-encoding/libapache2-mod-encoding_20040616-5.1_armhf.deb Size: 7358 SHA256: 0d8eec63eefcaa88c2de6889c9707d1f8572800f54616f0d8f4dfd16252e13f8 SHA1: d6da76220c3c98e133c4ef5aba1569fda090e4cb MD5sum: e7587bf80fdd978dac547f4f74540fd3 Description: Apache2 module for non-ascii filename interoperability This module improves non-ascii filename interoperability of apache (and mod_dav). . It seems many WebDAV clients send filename in its platform-local encoding. But since mod_dav expects everything, even HTTP request line, to be in UTF-8, this causes an interoperability problem. . I believe this is a future issue for specification (RFC?) to standardize encoding used in HTTP request-line and HTTP header, but life would be much easier if mod_dav (and others) can handle various encodings sent by clients, TODAY. This module does just that. Package: libapache2-mod-evasive Source: libapache-mod-evasive Version: 1.10.1-1 Architecture: armhf Maintainer: Alberto Gonzalez Iniesta Installed-Size: 75 Depends: apache2.2-common, libc6 (>= 2.13-28) Priority: optional Section: web Filename: pool/main/liba/libapache-mod-evasive/libapache2-mod-evasive_1.10.1-1_armhf.deb Size: 15248 SHA256: 029c6c8089e9cce58509d47e2f38a625a3cd07dd3272965d263e3927ee700fc3 SHA1: 8264c4ab7200a42bb44d05603b3b943c5d2457e9 MD5sum: 7d840a986406d6b17c1376bc57d9020c Description: evasive module to minimize HTTP DoS or brute force attacks mod_evasive is an evasive maneuvers module for Apache to provide some protection in the event of an HTTP DoS or DDoS attack or brute force attack. . It is also designed to be a detection tool, and can be easily configured to talk to ipchains, firewalls, routers, and etcetera. . This module only works on Apache 2.x servers Package: libapache2-mod-fcgid Version: 1:2.3.6-1.2+deb7u1 Architecture: armhf Maintainer: Tatsuki Sugiura Installed-Size: 273 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), apache2.2-common Homepage: http://httpd.apache.org/mod_fcgid/ Priority: optional Section: httpd Filename: pool/main/liba/libapache2-mod-fcgid/libapache2-mod-fcgid_2.3.6-1.2+deb7u1_armhf.deb Size: 74108 SHA256: e0d7b7af590e39eb331fd773a374d2bb5c074bbfd51c696475a73803205eee47 SHA1: 08104612177742a10a49635d7dad85c74744e6ef MD5sum: 8a986859a3fa55a00b47840a69068d6c Description: an alternative module compat with mod_fastcgi mod_fcgid is a high performance alternative to mod_cgi or mod_cgid, which starts a sufficient number instances of the CGI program to handle concurrent requests, and these programs remain running to handle further incoming requests. It is favored by the PHP developers, for example, as a preferred alternative to running mod_php in-process, delivering very similar performance. . It is a binary compatibility alternative to Apache module mod_fastcgi. Package: libapache2-mod-fcgid-dbg Source: libapache2-mod-fcgid Version: 1:2.3.6-1.2+deb7u1 Architecture: armhf Maintainer: Tatsuki Sugiura Installed-Size: 76 Depends: libapache2-mod-fcgid (= 1:2.3.6-1.2+deb7u1) Homepage: http://httpd.apache.org/mod_fcgid/ Priority: extra Section: debug Filename: pool/main/liba/libapache2-mod-fcgid/libapache2-mod-fcgid-dbg_2.3.6-1.2+deb7u1_armhf.deb Size: 15078 SHA256: 0b0a8bf155e8e2544781ff5a70d78b8e0cd28478d07990f4962c928b1f7383a5 SHA1: 594a18976cfbd27cee82dcee3aa03c0a9723a4fe MD5sum: 64428cc6e5b1e27174d09a8b1e2b35f6 Description: debugging symbols for mod_fcgid mod_fcgid is a high performance alternative to mod_cgi or mod_cgid, which starts a sufficient number instances of the CGI program to handle concurrent requests, and these programs remain running to handle further incoming requests. It is favored by the PHP developers, for example, as a preferred alternative to running mod_php in-process, delivering very similar performance. . It is a binary compatibility alternative to Apache module mod_fastcgi. . This package contains the debugging symbols for mod_fcgid. Package: libapache2-mod-geoip Version: 1.2.7-1 Architecture: armhf Maintainer: Nigel Jones Installed-Size: 83 Depends: apache2.2-common, libc6 (>= 2.13-28), libgeoip1 (>= 1.4.8+dfsg) Homepage: http://www.maxmind.com/app/mod_geoip Priority: optional Section: httpd Filename: pool/main/liba/libapache2-mod-geoip/libapache2-mod-geoip_1.2.7-1_armhf.deb Size: 17344 SHA256: a862a57a191d94d972ed0af3bf0cc35481e5189447b54490d0fa7cc7a3d08187 SHA1: 8fe58b2a3de1fd12eaa36e21774ddfd3492cc133 MD5sum: 3f7c7ef2ca06e2c64aa08264faddf5c6 Description: GeoIP support for apache2 This is an apache2 module for finding the country that a web request originated from. It uses the GeoIP library and database to perform the lookup. The module allows manipulation of client requests from within Apache based on the country of origin. . This module only works on Apache 2 servers. Package: libapache2-mod-gnutls Source: mod-gnutls Version: 0.5.10-1.1+deb7u1 Architecture: armhf Maintainer: Jack Bates Installed-Size: 113 Depends: libapr-memcache0 (>= 0.7.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0) Homepage: http://www.outoforder.cc/projects/apache/mod_gnutls/ Priority: extra Section: httpd Filename: pool/main/m/mod-gnutls/libapache2-mod-gnutls_0.5.10-1.1+deb7u1_armhf.deb Size: 31116 SHA256: 699f5c104a640831f99c5abd541beaa2f204668593bc59dd49081c0cb7fdc041 SHA1: 0b9832fb734ea3071e6a674ff1c11f8f9372297c MD5sum: d6797407129127a39dc1c5f9a00f55d9 Description: Apache module for SSL and TLS encryption with GnuTLS mod_gnutls provides SSL 3.0, TLS 1.0, and TLS 1.1 encryption with the GnuTLS library. It's similar in purpose to mod_ssl, but doesn't use OpenSSL. Package: libapache2-mod-jk Source: libapache-mod-jk Version: 1:1.2.37-1+deb7u1 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 372 Depends: apache2.2-common, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Suggests: libapache-mod-jk-doc, tomcat6 Conflicts: libapache2-mod-jk2 Replaces: libapache2-mod-jk2 Homepage: http://tomcat.apache.org/ Priority: optional Section: httpd Filename: pool/main/liba/libapache-mod-jk/libapache2-mod-jk_1.2.37-1+deb7u1_armhf.deb Size: 175916 SHA256: 118cf7ded6b164b72a4ecfc39eb31cda43f34b7db1303ebc07fffee5d73192e5 SHA1: 3341c2aeaaeb0f1e444ac4913f4d28048e9dd2da MD5sum: 4677c1c08beeb8aa4dab9678bcb00d4f Description: Apache 2 connector for the Tomcat Java servlet engine Apache Tomcat is the reference implementation for the Java Servlet and JavaServer Pages (JSP) specification from the Apache Jakarta project. . This package contains an Apache 2 module (mod_jk) to forward requests from Apache to Tomcat using the AJP 1.3 or 1.4 protocol. It can either talk to Tomcat on the local machine or to a remote engine using TCP. Package: libapache2-mod-layout Source: libapache-mod-layout Version: 5.1-1 Architecture: armhf Maintainer: Andreas Wenning Installed-Size: 77 Depends: apache2.2-common, libc6 (>= 2.13-28) Homepage: http://tangent.org/362/mod_layout.html Priority: optional Section: web Filename: pool/main/liba/libapache-mod-layout/libapache2-mod-layout_5.1-1_armhf.deb Size: 13798 SHA256: 358815a453ab3dd56d158da6f6a4018d356cb439c6e38a8e1c9abe5c0265b34b SHA1: 13d1b89a0b088061dce919e2c3f3f6d5ae5df710 MD5sum: ab80e6ea2a60ca9772e16e612989a6ba Description: Apache web page content wrapper mod_layout allows you to create a single look and feel throughout a website without using server side includes to "automagically" wrap pages in standard headers and footers. . It can be used to to add standard disclaimers to all of the pages on a server, add banner ads, etc. Package: libapache2-mod-ldap-userdir Version: 1.1.19-1 Architecture: armhf Maintainer: John Morrissey Installed-Size: 83 Depends: apache2.2-common, libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7) Homepage: http://horde.net/~jwm/software/mod_ldap_userdir/ Priority: optional Section: httpd Filename: pool/main/liba/libapache2-mod-ldap-userdir/libapache2-mod-ldap-userdir_1.1.19-1_armhf.deb Size: 17444 SHA256: d5e9a019dbb5263952ff2a329a5df6ddd1af452cc20c1aefc68b61a92946e058 SHA1: 625c5f37626addfdc2ac987e6435407b300c1333 MD5sum: bfdfa8d914420b455f6ab3c4baf0b562 Description: Apache module that provides UserDir lookups via LDAP This module implements UserDir (~/public_html/) directory lookups using data from an LDAP directory. . This package provides the module for the Apache 2 server. Package: libapache2-mod-ldap-userdir-dbg Source: libapache2-mod-ldap-userdir Version: 1.1.19-1 Architecture: armhf Maintainer: John Morrissey Installed-Size: 57 Depends: libapache2-mod-ldap-userdir (= 1.1.19-1) Homepage: http://horde.net/~jwm/software/mod_ldap_userdir/ Priority: extra Section: debug Filename: pool/main/liba/libapache2-mod-ldap-userdir/libapache2-mod-ldap-userdir-dbg_1.1.19-1_armhf.deb Size: 5246 SHA256: c04893f058f1bc6ffb4076cab7fa1e377d67d701b70c95f586d82af99303f73c SHA1: f0885c6dcf62d2efc448df0fc8d8063e1d168964 MD5sum: 33057be1030856badc626742d024da2a Description: Debugging symbols for mod_ldap_userdir This package provides debugging symbols for mod_ldap_userdir, to assist in debugging, such as with gdb. It is not required for normal operation. Package: libapache2-mod-lisp Version: 1.3.1-1.2 Architecture: armhf Maintainer: Chris Hanson Installed-Size: 74 Depends: libc6 (>= 2.13-28), apache2.2-common Priority: optional Section: devel Filename: pool/main/liba/libapache2-mod-lisp/libapache2-mod-lisp_1.3.1-1.2_armhf.deb Size: 11232 SHA256: 7decc4fb7f0a6514b0afbc0078f47627c421342c34a7566f0456d1a4fc94dff5 SHA1: bb826842c2f098a0a8bbf2e202ba3ea118fa66c7 MD5sum: 6edf56415cb2b06e7e6199a6a251c8fe Description: An Apache2 module that interfaces with Lisp environments Establishes a link between the Apache2 web server and a Lisp environment that allows a Lisp program to generate dynamic content while letting Apache2 take care of the dirty details of HTTP serving. The protocol between Lisp and Apache2 is simple, making it easy to create WWW- accessible applications quickly. Package: libapache2-mod-log-sql Source: libapache-mod-log-sql Version: 1.100-14.2 Architecture: armhf Maintainer: Thomas Goirand Installed-Size: 242 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), apache2-mpm-prefork (>= 2.0.53) | apache2-mpm-itk Recommends: perl Homepage: http://www.outoforder.cc/projects/apache/mod_log_sql/ Priority: optional Section: libs Filename: pool/main/liba/libapache-mod-log-sql/libapache2-mod-log-sql_1.100-14.2_armhf.deb Size: 68180 SHA256: 0f45da43eba91c7169fa22354dab2257cf8035ad214e50b68504ac01742fdf79 SHA1: ec0ec54e36da257d38a27317b0b4f456ca3a9568 MD5sum: bf0f7011bfbf8a419b5cc466148f8082 Description: Use SQL to store/write your Apache queries logs - Base mod_log_sql is a log module for Apache 1.3 and 2 which logs all requests to a database. . Base package. . If you want to use make_combined_log and mysql_import_combined_log scripts you will need installed perl package. . Created for Apache 2. Use libapache-mod-log-sql for Apache 1.3. Package: libapache2-mod-log-sql-dbi Source: libapache-mod-log-sql Version: 1.100-14.2 Architecture: armhf Maintainer: Thomas Goirand Installed-Size: 73 Depends: libc6 (>= 2.13-28), libdbi1 (>= 0.8.4), libapache2-mod-log-sql (= 1.100-14.2) Recommends: libapache2-mod-log-sql-ssl Homepage: http://www.outoforder.cc/projects/apache/mod_log_sql/ Priority: optional Section: libs Filename: pool/main/liba/libapache-mod-log-sql/libapache2-mod-log-sql-dbi_1.100-14.2_armhf.deb Size: 18676 SHA256: 5a94b091ab496041f6c6d519c7324eea012965b07afb31a086bd77c1bc61f429 SHA1: f99215a4b257cc83b8a59e2b6c7fc57a583b2237 MD5sum: be02362f0d6079b36ba77cac998ac1b4 Description: Use SQL to store/write your Apache queries logs - DBI interface mod_log_sql is a log module for Apache 1.3 and 2 which logs all requests to a MySQL database. . DBI interface. . Created for Apache 2. Use libapache-mod-log-sql-dbi for Apache 1.3. Package: libapache2-mod-log-sql-mysql Source: libapache-mod-log-sql Version: 1.100-14.2 Architecture: armhf Maintainer: Thomas Goirand Installed-Size: 72 Depends: libc6 (>= 2.13-28), libmysqlclient16 (>= 5.1.50-1), zlib1g (>= 1:1.1.4), libapache2-mod-log-sql (= 1.100-14.2) Recommends: libapache2-mod-log-sql-ssl Homepage: http://www.outoforder.cc/projects/apache/mod_log_sql/ Priority: optional Section: libs Filename: pool/main/liba/libapache-mod-log-sql/libapache2-mod-log-sql-mysql_1.100-14.2_armhf.deb Size: 18512 SHA256: 4bfc0ca0e6cfde419ddb33719e592308fc3a293d820f5e159445c690a9fd15aa SHA1: 6e8c3c9c62a735aad7c71c4faeff42b8cfd483ec MD5sum: 043c586c840304c61e8f44fa133d852c Description: Use SQL to store/write your Apache queries logs - MySQL interface mod_log_sql is a log module for Apache 1.3 and 2.0 which logs all requests to a MySQL database. . MySQL interface. . Created for Apache 2. Use libapache-mod-log-sql-mysql for Apache 1.3. Package: libapache2-mod-log-sql-ssl Source: libapache-mod-log-sql Version: 1.100-14.2 Architecture: armhf Maintainer: Thomas Goirand Installed-Size: 69 Depends: libc6 (>= 2.13-28), libapache2-mod-log-sql (= 1.100-14.2), libapache2-mod-log-sql-mysql (= 1.100-14.2) | libapache2-mod-log-sql-dbi (= 1.100-14.2) Homepage: http://www.outoforder.cc/projects/apache/mod_log_sql/ Priority: optional Section: libs Filename: pool/main/liba/libapache-mod-log-sql/libapache2-mod-log-sql-ssl_1.100-14.2_armhf.deb Size: 17026 SHA256: 7f77011cf0658f99db532264d1db7eea77d603caa7b797f59747d4a64fbd1b03 SHA1: 658031e6d36ee01a550b26088e13d7138c1d154f MD5sum: 7cdb85b364dfa2c9465bee1ee3c42593 Description: Use SQL to store/write your Apache queries logs - SSL extension mod_log_sql is a log module for Apache 1.3 and 2 which logs all requests to a database. . For logging SSL connection information (cipher, hash, etc.). Package: libapache2-mod-macro Version: 1.1.11-2 Architecture: armhf Maintainer: Axel Beckert Installed-Size: 84 Depends: libc6 (>= 2.13-28), apache2.2-common Homepage: http://people.apache.org/~fabien/mod_macro/ Priority: extra Section: httpd Filename: pool/main/liba/libapache2-mod-macro/libapache2-mod-macro_1.1.11-2_armhf.deb Size: 16042 SHA256: d63540da068296089477e72cbdf83ff831e45ae0bacf25bec30c179039c69549 SHA1: 845a07b8e8b6a9dcfeae82bf1d48ab62f1a52041 MD5sum: b7edbd7c8a3f0ff9f4391749562138c0 Description: Create macros inside Apache config files mod_macro allows the definition and use of macros within Apache runtime configuration files. . The syntax is a natural extension to Apache HTML-like configuration style. Package: libapache2-mod-mime-xattr Source: mod-mime-xattr Version: 0.4-4 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 76 Depends: libapr1 (>= 1.2.7), libattr1 (>= 1:2.4.46-5), libc6 (>= 2.13-28) Priority: optional Section: web Filename: pool/main/m/mod-mime-xattr/libapache2-mod-mime-xattr_0.4-4_armhf.deb Size: 12054 SHA256: ce6946db32cf70346b250a8ffc2c2ebbc07452b0d5d11e611cd7df8cf2504c5d SHA1: cc93c28e656787f3fe89808b5fe4c977a4170d90 MD5sum: 98b0440695bcb2d73f589e67440dcbbb Description: Apache2 module to get MIME info from filesystem extended attributes This is a module for the Apache HTTPD 2.2 which may be used to set a range of MIME properties of files served from a document tree with extended attributes (EAs) as supported by the underlying file system. The current version of mod_mime_xattr has support for Linux style EAs which are supported by Linux 2.4 with the ACL/EA patches applied and vanilla Linux 2.6. The following attributes may be used: . - user.mime_type: set the MIME type of a file explicitly. This attribute is compatible with the shared MIME database specification as published by freedesktop.org. - user.charset: set the charset used in a file. - user.mime_encoding: set the MIME encoding of a file (e.g. gzip). - user.apache_handler: set the apache handler of a file explicitly. Package: libapache2-mod-mono Source: mod-mono Version: 2.10-3.2 Architecture: armhf Maintainer: Debian Mono Group Installed-Size: 144 Depends: debconf (>= 1.2.0), libc6 (>= 2.13-28), apache2.2-common, mono-runtime (>= 2.10), mono-apache-server (>= 2.10) | mono-apache-server4 (>= 2.10) | mono-apache-server2 (>= 2.10), mono-apache-server (<< 2.10.1) | mono-apache-server4 (<< 2.10.1) | mono-apache-server2 (<< 2.10.1) Homepage: http://www.mono-project.com/Mod_mono Priority: optional Section: web Filename: pool/main/m/mod-mono/libapache2-mod-mono_2.10-3.2_armhf.deb Size: 55524 SHA256: a1d06f841f8cbe095d27a6f8cb1038d74b8c9c2b766c269fb72a4435a783f4d7 SHA1: cfc2924084f6e0ad1938d489b68b8bb7c6d44c43 MD5sum: 38be5b5ba1def58303ee5ccd7400c7a6 Description: Apache module for running ASP.NET applications on Mono The mod_mono module for Apache allows ASP.NET web applications to be run with the Mono .NET implementation. . This package provides the Apache 2.2 version of the module. Package: libapache2-mod-musicindex Source: libapache-mod-musicindex Version: 1.3.7-2 Architecture: armhf Maintainer: Thibaut VARENE Installed-Size: 123 Depends: mod-musicindex-common (= 1.3.7-2), apache2.2-common, libapr1 (>= 1.2.7), libarchive12, libc6 (>= 2.13-28), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libid3tag0 (>= 0.15.1b), libmad0 (>= 0.15.1b-3), libmp4v2-2, libmysqlclient16 (>= 5.1.50-1), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2) Homepage: http://www.parisc-linux.org/~varenet/musicindex/ Priority: optional Section: httpd Filename: pool/main/liba/libapache-mod-musicindex/libapache2-mod-musicindex_1.3.7-2_armhf.deb Size: 37600 SHA256: b4a0e08e83b8ec534b3c62aa6eb60f2332fd5d336d038a8349daa80a8ac86dca SHA1: b615cd81bf6f31375f7cea3d8b54a9be201d259f MD5sum: 7325089738320c0e811a285cfd55aad1 Description: Browse, stream, download and search through MP3/Ogg/FLAC/MP4 files mod_musicindex is aimed at being a C alternative to the Perl module Apache::MP3 (http://search.cpan.org/dist/Apache-MP3/). It allows nice displaying of directories containing MP3, Ogg Vorbis, FLAC or MP4/AAC audio files, including sorting them on various fields, streaming and downloading them, or constructing playlists and searching. . This package contains the module for Apache 2.2. Package: libapache2-mod-neko Source: neko Version: 1.8.1-6 Installed-Size: 72 Maintainer: Jens Peter Secher Architecture: all Depends: neko (>= 1.8.1-6), apache2-mpm-prefork Size: 10740 SHA256: b7607530f5e64a9e7abff28d202ff50b83f9a139fcd0dae3efd0b8a0d6d0e6f7 SHA1: bcdbe86e61304127156df4cb0d06b547482d2baa MD5sum: 70d71399b1c5ec822d29582224e98534 Description: Apache module for running server-side Neko programs Neko provides a common runtime for several different languages, including JavaScript and haXe. . This package includes a module for Apache 2 so that you can dynamically generate server-side web pages from Apache. . Neko was written by Nicolas Cannasse. Homepage: http://nekovm.org Tag: role::shared-lib, suite::apache Section: httpd Priority: optional Filename: pool/main/n/neko/libapache2-mod-neko_1.8.1-6_all.deb Package: libapache2-mod-nss Version: 1.0.8-2 Architecture: armhf Maintainer: Debian 389ds Team Installed-Size: 255 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), apache2.2-common (>= 2.2.3-2), libnss3-tools Homepage: http://directory.fedoraproject.org Priority: extra Section: web Filename: pool/main/liba/libapache2-mod-nss/libapache2-mod-nss_1.0.8-2_armhf.deb Size: 80154 SHA256: 6c4f1dd9073fc5a230729c193e05148a5381ac44e602d7375c6dd85ec7d053b6 SHA1: c59e03333629c9f981c5d56efc8589b221aa69fc MD5sum: ed55f0ca7b0d1d986652bfb9810c4caa Description: NSS-based SSL module for Apache2 This Apache module provides strong cryptography for the Apache 2.0 webserver via the Secure Sockets Layer (SSL v2/v3) and Transport Layer Security (TLS v1) protocols by the help of the SSL/TLS implementation library NSS . This module is based heavily on the mod_ssl package. In fact, it's more a conversion than anything else. Package: libapache2-mod-ocamlnet Source: ocamlnet Version: 3.5.1-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 573 Depends: apache2.2-common, libocamlnet-ocaml-dev (= 3.5.1-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libtinfo5 Homepage: http://projects.camlcity.org/projects/ocamlnet.html Priority: optional Section: httpd Filename: pool/main/o/ocamlnet/libapache2-mod-ocamlnet_3.5.1-1_armhf.deb Size: 141858 SHA256: c6ddb55c62634cf85ac76560111cb9fc8410109d2d8c573759c0d4089de22d35 SHA1: c1800d3d154746e0437de9fcc87511beb36635fb MD5sum: 7bd294f1ed4fd0bbe09cbfac4d493ab4 Description: OCaml application-level Internet libraries - netcgi2 Apache2 connector Ocamlnet is a collection of OCaml libraries focusing focus on application-level Internet protocols and conventions. . Ocamlnet consists of the following libraries: * netstring: processing of strings that occur in network context * netcgi1 and netcgi2: portable web applications * nethttpd: is a web server component (HTTP server implementation) * netplex: generic server framework * rpc: OncRPC implementation * netclient: clients for HTTP, FTP, and Telnet * equeue: event queue used for many protocol implementations * shell: external commands invocation * netshm: shared memory for IPC purposes * netsys: bindings for system functions * smtp and pop: two further client implementations . A number of ideas and concepts are used throughout the libraries: * netchannels are a way to abstract sequential I/O channels * event queues provided by equeue and unixqueue make asynchronous protocol interpreters possible . This package contains the mod_netcgi_apache Apache module. You will need this module to run web applications built using the netcgi2 Apache connector of OCamlnet. With this package Apache can serve them directly as OCaml compiled modules. Package: libapache2-mod-parser3 Source: parser Version: 3.4.2-2 Architecture: armhf Maintainer: Sergey B Kirpichev Installed-Size: 1094 Depends: libc6 (>= 2.13-28), libgc1c2 (>= 1:7.1), libgcc1 (>= 1:4.4.0), libltdl7 (>= 2.4.2), libpcre3 (>= 8.10), libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), parser3-common (= 3.4.2-2), apache2.2-common Homepage: http://www.parser.ru/en/ Priority: optional Section: web Filename: pool/main/p/parser/libapache2-mod-parser3_3.4.2-2_armhf.deb Size: 469156 SHA256: b8aa90336c3f96fa7cb5a07efee029d21f31b143faa61b456268494d4fb06207 SHA1: 9f0208f3731cfa5bb24e70140836ea9a7b9ebb38 MD5sum: 03f42d218e5cf6abb262b8e768c53637 Description: Parser 3, HTML-embedded scripting language (Apache2 module) This package provides the Apache Parser3 module. . Parser 3 - simple and convenient object-oriented language which allows creating good sites in short time. . Available features: * XML, XSL, XPath and DOM support * Available in documented source code * Uniformed database support * Support of object-oriented programmers * Detailed language documentation (160 pages!) * UTF-8 support Package: libapache2-mod-passenger Source: ruby-passenger Version: 3.0.13debian-1+deb7u2 Architecture: armhf Maintainer: Debian Ruby Extras Maintainers Installed-Size: 797 Depends: libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), apache2-mpm-worker (>= 2.2.9-9) | apache2-mpm-prefork (>= 2.2.9-9) | apache2-mpm-itk (>= 2.2.9-9) | apache2-mpm-event (>= 2.2.9-9), ruby-passenger (= 3.0.13debian-1+deb7u2) Homepage: http://www.modrails.com/ Priority: optional Section: web Filename: pool/main/r/ruby-passenger/libapache2-mod-passenger_3.0.13debian-1+deb7u2_armhf.deb Size: 227748 SHA256: a0ac0d5645c2d0ceb62f0712f170aa3a38fc03680747197fcd16a9ce4007f2b0 SHA1: e4a2e71ba1083e2848f0b88fa4a677978b513fca MD5sum: a35bc47e8815d6d0501567e881ae06f4 Description: Rails and Rack support for Apache2 Phusion Passenger — a.k.a. mod_rails or mod_rack — makes deployment of Ruby web applications, such as those built on the revolutionary Ruby on Rails web framework, a breeze. . This package contains the Apache 2 module required by Phusion Passenger. Package: libapache2-mod-perl2 Version: 2.0.7-3 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 2953 Depends: perl (>= 5.14.2-20+rpi1), perlapi-5.14.2, libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libperl5.14 (>= 5.14.2), apache2 | apache2-mpm-itk, apache2.2-common, libdevel-symdump-perl, liburi-perl, libwww-perl, netbase Recommends: libbsd-resource-perl, libapache2-reload-perl Homepage: http://perl.apache.org/ Priority: optional Section: httpd Filename: pool/main/liba/libapache2-mod-perl2/libapache2-mod-perl2_2.0.7-3_armhf.deb Size: 1043258 SHA256: a47960905e3e1206803d1ac777c255e094268e1f752938dd0df152ac92bac83c SHA1: 7b4abcc4e1343ff0787af8d90bc757e379feee55 MD5sum: b302afe8a44e227feac3c333e9c67777 Description: Integration of perl with the Apache2 web server mod_perl allows the use of Perl for just about anything Apache-related, including sections in the config files and the famous Apache::Registry module for caching compiled scripts. . It can produce anywhere from a 400% to 2000% speed increase on sites using perl scripts, and is used on many large script- based web sites - for example, http://slashdot.org. Package: libapache2-mod-perl2-dev Source: libapache2-mod-perl2 Version: 2.0.7-3 Installed-Size: 274 Maintainer: Debian Perl Group Architecture: all Depends: libapache2-mod-perl2 (>= 2.0.7-3), libapache2-mod-perl2 (<< 2.0.7-3.1~) Size: 84808 SHA256: 2d217e1cc8d8c9fdf6e62c09f57e45d46633975ae8cf231c68c39ef18fb22544 SHA1: 2f96a3e93c98ae31cba649d87649222b7cbb126d MD5sum: ede63c484d261df62d9f064505c77d4b Description: Integration of perl with the Apache2 web server - development files mod_perl allows the use of Perl for just about anything Apache-related, including sections in the config files and the famous Apache::Registry module for caching compiled scripts. . This package contains the header files required for building C-based modules integrating with mod_perl. Homepage: http://perl.apache.org/ Tag: devel::lang:c, devel::lang:perl, devel::library, role::devel-lib, suite::apache Section: libdevel Priority: optional Filename: pool/main/liba/libapache2-mod-perl2/libapache2-mod-perl2-dev_2.0.7-3_all.deb Package: libapache2-mod-perl2-doc Source: libapache2-mod-perl2 Version: 2.0.7-3 Installed-Size: 3566 Maintainer: Debian Perl Group Architecture: all Recommends: dwww Size: 1917348 SHA256: bc03a66cb305511b7d6e1679aaf02c2d2cb3091a5efe1dd42c377139930cedaf SHA1: 9994bfe6a69197cadfef1174127e81a72a143d7b MD5sum: 939deb2d3bc71491b2ec7110ac3a530c Description: Integration of perl with the Apache2 web server - documentation mod_perl allows the use of Perl for just about anything Apache-related, including sections in the config files and the famous Apache::Registry module for caching compiled scripts. . This package contains additional documentation for mod_perl tailored to be indexed by the doc-base system. Homepage: http://perl.apache.org/ Tag: role::documentation, suite::apache, web::appserver, web::cgi Section: doc Priority: optional Filename: pool/main/liba/libapache2-mod-perl2/libapache2-mod-perl2-doc_2.0.7-3_all.deb Package: libapache2-mod-php5 Source: php5 Version: 5.4.45-0+deb7u14 Architecture: armhf Maintainer: Debian PHP Maintainers Installed-Size: 7758 Depends: libbz2-1.0, libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libdb5.1, libgcc1 (>= 1:4.4.0), libgssapi-krb5-2 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libonig2 (>= 5.2.0), libpcre3 (>= 8.10), libqdbm14 (>= 1.8.74), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.1.1), libxml2 (>= 2.8.0), zlib1g (>= 1:1.1.4), mime-support, apache2-mpm-prefork (>> 2.0.52) | apache2-mpm-itk, apache2.2-common, php5-common (= 5.4.45-0+deb7u14), libmagic1, ucf, tzdata Recommends: php5-cli Suggests: php-pear Conflicts: libapache2-mod-php4, libapache2-mod-php5filter Provides: phpapi-20100525+lfs Homepage: http://www.php.net/ Priority: optional Section: httpd Filename: pool/main/p/php5/libapache2-mod-php5_5.4.45-0+deb7u14_armhf.deb Size: 2500882 SHA256: cd46fc66d24b592e995d545139dff7e2eb50c67e8f46cc3807136261cfce8b17 SHA1: 5edc2a0495a21ba2a371956c4ddb7d4ceb2ce548 MD5sum: d73aea637d1f24dcf1bd8cfa33a3e8ee Description: server-side, HTML-embedded scripting language (Apache 2 module) This package provides the PHP5 module for the Apache 2 webserver (as found in the apache2-mpm-prefork package). Please note that this package ONLY works with Apache's prefork MPM, as it is not compiled thread-safe. The following extensions are built in: bcmath bz2 calendar Core ctype date dba dom ereg exif fileinfo filter ftp gettext hash iconv json libxml mbstring mhash openssl pcre Phar posix Reflection session shmop SimpleXML soap sockets SPL standard sysvmsg sysvsem sysvshm tokenizer wddx xml xmlreader xmlwriter zip zlib. . PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used open source general-purpose scripting language that is especially suited for web development and can be embedded into HTML. Package: libapache2-mod-php5filter Source: php5 Version: 5.4.45-0+deb7u14 Architecture: armhf Maintainer: Debian PHP Maintainers Installed-Size: 7757 Depends: libbz2-1.0, libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libdb5.1, libgcc1 (>= 1:4.4.0), libgssapi-krb5-2 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libonig2 (>= 5.2.0), libpcre3 (>= 8.10), libqdbm14 (>= 1.8.74), libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.8.0), zlib1g (>= 1:1.1.4), mime-support, apache2-mpm-prefork (>> 2.0.52) | apache2-mpm-itk, apache2.2-common, php5-common (= 5.4.45-0+deb7u14), libmagic1, ucf, tzdata Suggests: php-pear Conflicts: libapache2-mod-php4, libapache2-mod-php5 Provides: phpapi-20100525+lfs Homepage: http://www.php.net/ Priority: extra Section: httpd Filename: pool/main/p/php5/libapache2-mod-php5filter_5.4.45-0+deb7u14_armhf.deb Size: 2499824 SHA256: a44da68ed80a8237f9acd2a8f5e384aa78e4998b5f655839fca9a3e76c3e6ca1 SHA1: 5e22b3317711f5a6e5353e42d217c52f8acf8592 MD5sum: 390b4f2ca0551ae4bff99cf0a9b6f5aa Description: server-side, HTML-embedded scripting language (apache 2 filter module) This package provides the PHP5 Filter module for the Apache 2 webserver (as found in the apache2-mpm-prefork package). Please note that this package ONLY works with Apache's prefork MPM, as it is not compiled thread-safe. Unless you specifically need filter-module support, you most likely should instead install libapache2-mod-php5. . The following extensions are built in: bcmath bz2 calendar Core ctype date dba dom ereg exif fileinfo filter ftp gettext hash iconv json libxml mbstring mhash openssl pcre Phar posix Reflection session shmop SimpleXML soap sockets SPL standard sysvmsg sysvsem sysvshm tokenizer wddx xml xmlreader xmlwriter zip zlib. . PHP (recursive acronym for PHP: Hypertext Preprocessor) is a widely-used open source general-purpose scripting language that is especially suited for web development and can be embedded into HTML. Package: libapache2-mod-proxy-html Source: mod-proxy-html Version: 3.0.1-1.1 Architecture: armhf Maintainer: Emmanuel Lacour Installed-Size: 122 Depends: libc6 (>= 2.13-28), apache2 (>= 2.2.22-5~), apache2.2-common, libxml2 Priority: optional Section: web Filename: pool/main/m/mod-proxy-html/libapache2-mod-proxy-html_3.0.1-1.1_armhf.deb Size: 27550 SHA256: 5a574e2712d336fa3150b2d6e99b5e89e8c884af943dfb4088acb6a9268022c1 SHA1: d18588674ab6116722d28936847e4801bf553cf9 MD5sum: 4ad64a73cf7468d4963923571c4d5c9f Description: Apache2 filter module for HTML links rewriting mod_proxy_html is an output filter to rewrite HTML links in a proxy situation, to ensure that links work for users outside the proxy. It serves the same purpose as Apache's ProxyPassReverse directive does for HTTP headers, and is an essential component of a reverse proxy. Package: libapache2-mod-python Version: 3.3.1-9 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 467 Depends: python (<< 2.8), python (>= 2.7), python-central (>= 0.6.11), libc6 (>= 2.13-28), libpython2.7 (>= 2.7), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), apache2.2-common, apache2 Suggests: libapache2-mod-python-doc Conflicts: libapache-mod-python, libapache-mod-python2.1, libapache-mod-python2.2, libapache-mod-python2.3, libapache2-mod-python2.2, libapache2-mod-python2.3, libapache2-mod-python2.4 Replaces: libapache2-mod-python2.3 (<< 3.2.8-3), libapache2-mod-python2.4 (<< 3.2.8-3) Provides: libapache2-mod-python2.7 Homepage: http://www.modpython.org/ Priority: optional Section: httpd Filename: pool/main/liba/libapache2-mod-python/libapache2-mod-python_3.3.1-9_armhf.deb Size: 125004 SHA256: 9ed6100b59af79772b19c144806d224b4228be757fdad7f412b4c8d13ee1161e SHA1: 38beaf5b4c6bf2e2fde0d6b5736de62734612d4d MD5sum: ffb668b4713124a991a50bd338cceada Description: Python-embedding module for Apache 2 The mod_python module supports web applications written in Python. Because the parser is embedded in the server as an Apache module, it will run much faster than traditional CGI. . This package is built for the current Python version. Python-Version: 2.7 Package: libapache2-mod-python-doc Source: libapache2-mod-python Version: 3.3.1-9 Installed-Size: 1272 Maintainer: Debian Python Modules Team Architecture: all Suggests: www-browser Size: 158682 SHA256: 3e7e9524fccc0115eeb8ba69e2b3cd195d6a5fd2f4d8a9da7ab036a626b65d8b SHA1: 0e0c24291ef0382b1a9396eeba19192be6c66a46 MD5sum: 123333cbe13dc1553d8d52b20d7547ec Description: Python-embedding module for Apache 2 - documentation The mod_python module supports web applications written in Python. Because the parser is embedded in the server as an Apache module, it will run much faster than traditional CGI. . This package contains the module documentation in HTML format. Homepage: http://www.modpython.org/ Tag: devel::lang:python, devel::library, made-of::html, role::documentation, suite::apache, web::cgi Section: doc Priority: optional Filename: pool/main/liba/libapache2-mod-python/libapache2-mod-python-doc_3.3.1-9_all.deb Package: libapache2-mod-qos Version: 10.8-1 Architecture: armhf Maintainer: Sergey B Kirpichev Installed-Size: 716 Depends: libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libpng12-0 (>= 1.2.13-4), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), apache2.2-common Homepage: http://mod-qos.sourceforge.net/ Priority: extra Section: httpd Filename: pool/main/liba/libapache2-mod-qos/libapache2-mod-qos_10.8-1_armhf.deb Size: 376690 SHA256: d01b54358175206019d2aa742cdc92eab083c3a8ff79120e6e7bbad71acb4739 SHA1: 7075edd5b915b570e9f77d5dd3420e6d7426329c MD5sum: dda3a15deaf7e1ddadff9a06c3ff7750 Description: quality of service module for the apache2 This is a quality of service module (QoS) for the Apache web server implementing control mechanisms that can provide different priority to different HTTP requests. . It may be used to determine which requests should be served and which shouldn't in order to avoid resource oversubscription. The module collects different attributes such as the request URL, HTTP request and response headers, the IP source address, the HTTP response code, history data (user session and on per source IP address basis), the number of concurrent requests to the server (total or requests having similar attributes), the number of concurrent TCP connections (total or from a single source IP), and so forth. . Counteractive measures to enforce the defined rules are: request blocking, dynamic timeout adjustment, request delay, response throttling, and dropping of TCP connections. Package: libapache2-mod-random Source: libapache-mod-random Version: 2.1-1 Architecture: armhf Maintainer: Andreas Wenning Installed-Size: 72 Depends: apache2.2-common, libc6 (>= 2.13-28) Homepage: http://tangent.org/394/mod_random.html Priority: optional Section: web Filename: pool/main/liba/libapache-mod-random/libapache2-mod-random_2.1-1_armhf.deb Size: 12212 SHA256: a9031a67990189a7be19caf899821d1be77bf048de5849d6bdeebbbe3bd22cde SHA1: 7194aee457a5187867cd1beff041921d12e4f7e1 MD5sum: 73464a0cf9cda1f4c7d4995ec091cf58 Description: Create random ads, quotes and redirects This module creates randomized content for your website -- random quotes for your scripts, randomly chosen files and redirects to random URLs. It's most useful for inserting various ads. Package: libapache2-mod-removeip Source: libapache-mod-removeip Version: 1.0b-5 Architecture: armhf Maintainer: Mod_removeip Packaging Group Installed-Size: 68 Depends: apache2.2-common (>= 2.2.3), libc6 (>= 2.13-28) Priority: optional Section: web Filename: pool/main/liba/libapache-mod-removeip/libapache2-mod-removeip_1.0b-5_armhf.deb Size: 10262 SHA256: affa5ec4e4a466317d139840bd63059867d4b530a36e8a02fd65926616f94e63 SHA1: 5e18a12ade7b38308908f86bce5045d6fec07f2c MD5sum: 740c31fb254dd3a1e62f0776e50d8acd Description: Module to remove IP from apache2's logs This package provides the removeip module for the Apache 2 webserver. This module allows for overwriting Apache's environment variable REMOTE_ADDR with a fixed value. This is necessary to anonymize all logs written by Apache and to prevent web applications from accessing the original IP address. . Please be advised that activating this module may cause unexpected side effects to the behavior of your web applications. . Homepage: http://dev.riseup.net/privacy/apache/ Package: libapache2-mod-rivet Version: 2.0.5-1 Architecture: armhf Maintainer: Massimo Manghi Installed-Size: 411 Depends: libc6 (>= 2.13-28), tcl8.5 (>= 8.5.0), itcl3, apache2-mpm-prefork, tcllib Suggests: libapache2-mod-rivet-doc Homepage: http://tcl.apache.org/rivet/ Priority: extra Section: web Filename: pool/main/liba/libapache2-mod-rivet/libapache2-mod-rivet_2.0.5-1_armhf.deb Size: 130232 SHA256: 52d2f408c24f620c46b66cbaadb16f04519c342e32652033f0fd8444d9cf769f SHA1: a0f9c01de8d967d29913c9a5f00651639c5c0119 MD5sum: dc09b9b64e6a8d74e584ccc8035ef525 Description: Server-side Tcl programming system combining ease of use and power Apache Rivet is a system for creating dynamic web content via the Tcl programming language integrated with Apache Web Server. It is designed to be fast, powerful and extensible, consume few system resources, be easy to learn, and to provide the user with a platform that can also be used for other programming tasks outside the web (GUI's, system administration tasks, text processing, database manipulation, XML, and so on). In order to meet these goals Tcl programming language was chosen to combine with the Apache HTTP Server. Package: libapache2-mod-rivet-doc Source: libapache2-mod-rivet Version: 2.0.5-1 Installed-Size: 564 Maintainer: Massimo Manghi Architecture: all Size: 151986 SHA256: ec5e930a203d7334d70f1e93b33eef8fcb9cb32f4998792975af6b205becb22d SHA1: 88b318da69f92a0f1c179ae149ca5a3b1304df55 MD5sum: 78c9b6a406d21c02a0e4fb4411ea956a Description: Documentation for Rivet, a server-side Tcl programming system Apache Rivet is a system for creating dynamic web content via the Tcl programming language integrated with Apache Web Server. It is designed to be fast, powerful and extensible, consume few system resources, be easy to learn, and to provide the user with a platform that can also be used for other programming tasks outside the web (GUI's, system administration tasks, text processing, database manipulation, XML, and so on). In order to meet these goals Tcl programming language was chosen to combine with the Apache HTTP Server. This package contains the HTML configuration and programming manual. Homepage: http://tcl.apache.org/rivet/ Tag: devel::doc, devel::lang:tcl, devel::web, made-of::html, role::documentation, suite::apache Section: doc Priority: extra Filename: pool/main/liba/libapache2-mod-rivet/libapache2-mod-rivet-doc_2.0.5-1_all.deb Package: libapache2-mod-rpaf Version: 0.6-7+wheezy1 Architecture: armhf Maintainer: Sergey B Kirpichev Installed-Size: 66 Depends: apache2 | apache2-mpm, libc6 (>= 2.13-28) Homepage: http://stderr.net/apache/rpaf/ Priority: extra Section: httpd Filename: pool/main/liba/libapache2-mod-rpaf/libapache2-mod-rpaf_0.6-7+wheezy1_armhf.deb Size: 9708 SHA256: 462d63839e031b98208e3d47db2b07b56ec67d3b284198bd1706ebac06fb1362 SHA1: c8385a56fac8beecfe4e29f9d2b056c18924e411 MD5sum: dc36f20914104bc8edc8ac779af61c1c Description: module for Apache2 which takes the last IP from the 'X-Forwarded-For' header rpaf is short for reverse proxy add forward. . rpaf is for backend Apache servers what mod_proxy_add_forward is for frontend Apache servers. It does exactly the opposite of mod_proxy_add_forward written by Ask Bjorn Hansen. . It changes the remote address of the client visible to other Apache modules when two conditions are satisfied. First condition is that the remote client is actually a proxy that is defined in httpd.conf. Secondly if there is an incoming X-Forwarded-For header and the proxy is in its list of known proxies it takes the last IP from the incoming X-Forwarded-For header and changes the remote address of the client in the request structure. Package: libapache2-mod-ruby Source: mod-ruby Version: 1.2.6-2 Architecture: armhf Maintainer: Shugo Maeda Installed-Size: 173 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libruby1.8 (>= 1.8.7.352), apache2.2-common, libapache-ruby1.8 (= 1.2.6-2) Suggests: liberuby (>= 0.9.4) Priority: optional Section: web Filename: pool/main/m/mod-ruby/libapache2-mod-ruby_1.2.6-2_armhf.deb Size: 59350 SHA256: 7f68c7348ad4549c593a25adb6612016ee1466d2656f70e395b705270ae7be0b SHA1: 8eab060d6900dad337d8852d97fff27fb9dc1c74 MD5sum: c7b1f7988ec79e7037db4bc73ae2987d Description: Embedding Ruby in the Apache2 web server mod_ruby embeds the Ruby interpreter into the Apache2 web server, allowing Ruby CGI scripts to be executed natively. These scripts will start up much faster than without mod_ruby. Package: libapache2-mod-ruid2 Version: 0.9.7-1 Architecture: armhf Maintainer: Alessandro De Zorzi Installed-Size: 74 Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10) Conflicts: libapache2-mod-suphp Homepage: http://sourceforge.net/projects/mod-ruid/ Priority: extra Section: web Filename: pool/main/liba/libapache2-mod-ruid2/libapache2-mod-ruid2_0.9.7-1_armhf.deb Size: 10384 SHA256: 39e4165a39152973d4e98dbfb39ccf7d6ea7a72882dea916d434de06ed5c1d2e SHA1: d1fe155d441b9b1ba2ccb3ccfa69707734907049 MD5sum: b1ef2e04f2d1c72e70bbe1accde1ac15 Description: suexec module for Apache 2 ruid2 is based on mod_ruid and mod_suid2 but it has better performance than mod_suid2 because it doesn't need to kill httpd children after one request. . It makes use of kernel capabilities and after receiving a new request suids again. There are some security issues, for instance if attacker successfully exploits the httpd process, he can set effective capabilities and setuid to root. For this reason, it is recommended to be used in conjunction with a kernel security patch (eg. grsec). Package: libapache2-mod-ruwsgi Source: uwsgi Version: 1.2.3+dfsg-5+deb7u2 Architecture: armhf Maintainer: Janos Guljas Installed-Size: 40 Depends: libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), apache2 Conflicts: libapache2-mod-uwsgi Replaces: libapache2-mod-uwsgi Homepage: http://projects.unbit.it/uwsgi/ Priority: extra Section: web Filename: pool/main/u/uwsgi/libapache2-mod-ruwsgi_1.2.3+dfsg-5+deb7u2_armhf.deb Size: 24468 SHA256: aa3f0ea8e4aaf66b6aea248fbb88ee4dee57ea7bd775fcf49141a33f81bd17a2 SHA1: 0d6169f259d338af0b8ec7f7412748bb1aea7db8 MD5sum: dae4e5dc2a432179e14fee2cf44e7a03 Description: uwsgi module for Apache2 (mod_Ruwsgi) uWSGI presents a complete stack for networked/clustered web applications, implementing message/object passing, caching, RPC and process management. It uses the uwsgi protocol for all the networking/interprocess communications. . This package provides Apache2 module for communicating with uWSGI. . This is the second module developed and it's more Apache2 API friendly. Package: libapache2-mod-ruwsgi-dbg Source: uwsgi Version: 1.2.3+dfsg-5+deb7u2 Architecture: armhf Maintainer: Janos Guljas Installed-Size: 22 Depends: libapache2-mod-ruwsgi (= 1.2.3+dfsg-5+deb7u2) Homepage: http://projects.unbit.it/uwsgi/ Priority: extra Section: debug Filename: pool/main/u/uwsgi/libapache2-mod-ruwsgi-dbg_1.2.3+dfsg-5+deb7u2_armhf.deb Size: 17922 SHA256: 6420388b6486b4f776c23b3fd7ba1b683c5cc6391a0e5f3e7b9613dea07802c5 SHA1: bfb7fd5b60863b9ee127e1c637e7ae04a46f2319 MD5sum: b68403c50e912d284cfc39fca127f46e Description: debugging symbols for Apache2 mod_Ruwsgi uWSGI presents a complete stack for networked/clustered web applications, implementing message/object passing, caching, RPC and process management. . This package contains the debug symbols for Apache2 mod_Ruwsgi. Install this package if you need to debug module or if you need a useful backtrace of a crash. Package: libapache2-mod-scgi Source: scgi Version: 1.13-1 Architecture: armhf Maintainer: Neil Schemenauer Installed-Size: 74 Depends: apache2.2-common, libc6 (>= 2.13-28) Homepage: http://python.ca/scgi/ Priority: optional Section: web Filename: pool/main/s/scgi/libapache2-mod-scgi_1.13-1_armhf.deb Size: 13956 SHA256: 6c37df387112d200b149134de7d576f2700c54baa3f53b193f72a244eb471e57 SHA1: 84b44c6166e94d30e0efaff9ff6064b6fa0e0f75 MD5sum: f7335f2cd5da2031be7477a23791b9ec Description: Apache module implementing the SCGI protocol The SCGI protocol is a replacement for the Common Gateway Interface (CGI) protocol. It is a proposed standard for applications to interface with HTTP servers. It is similar to FastCGI but is designed to be easier to implement. . This package is an Apache module implementing the client side of the protocol. Package: libapache2-mod-shib2 Source: shibboleth-sp2 Version: 2.4.3+dfsg-5+deb7u2 Architecture: armhf Maintainer: Debian Shib Team Installed-Size: 700 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblog4cpp5, libmemcached10, libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libsaml7, libshibsp5 (>= 2.4.3+dfsg-4~), libstdc++6 (>= 4.6), libxerces-c3.1, libxmltooling5, adduser Recommends: apache2, openssl Conflicts: libapache2-mod-shib Homepage: http://shibboleth.internet2.edu/ Priority: extra Section: httpd Filename: pool/main/s/shibboleth-sp2/libapache2-mod-shib2_2.4.3+dfsg-5+deb7u2_armhf.deb Size: 236824 SHA256: 3e60a85e9f410fcc58a68b62098e3ec9bda7ab3a52b18ab899a8f66d45bd6bd3 SHA1: dd38757d081c725b4c7f5172774db1a33a92b5ae MD5sum: c281f75b89eb2a734669a264e5356a96 Description: Federated web single sign-on system (Apache module) The Shibboleth System is a standards based software package for web single sign-on across or within organizational boundaries. It supports authorization and attribute exchange using the OASIS SAML 2.0 protocol. Shibboleth allows sites to make informed authorization decisions for individual access of protected online resources while allowing users to establish their identities with their local authentication systems. . This package contains the Shibboleth Apache module for service providers (web servers providing resources protected by Shibboleth) and the supporting shibd daemon. Package: libapache2-mod-spamhaus Source: mod-spamhaus Version: 0.7-1 Architecture: armhf Maintainer: Giuseppe Iuculano Installed-Size: 68 Depends: libc6 (>= 2.13-28), apache2.2-common Homepage: http://sourceforge.net/projects/mod-spamhaus/ Priority: extra Section: web Filename: pool/main/m/mod-spamhaus/libapache2-mod-spamhaus_0.7-1_armhf.deb Size: 8824 SHA256: 082437aae6fa5c2807fd49f3e34e8433f019499b14f00d41bf9feb9b1e0be66e SHA1: 9ce858ed44ea8ae4f86597ed84b9fa795e8b9a50 MD5sum: c744b42929b7d323027042c77d8df3ef Description: Apache DNSBL module that blocks listed IP addresses mod_spamhaus is an Apache module for DNS Block Listing that protects web services by denying access to particular IP addresses. It can stop spam relaying via web form URL injection, and block HTTP DDoS attacks from bot-nets. . It queries sbl-xbl.spamhaus.org, taking advantage of the Spamhaus Block List (SBL) and the Exploits Block List (XBL). Package: libapache2-mod-speedycgi Source: speedy-cgi-perl Version: 2.22-13 Architecture: armhf Maintainer: Niko Tyni Installed-Size: 102 Depends: speedy-cgi-perl (= 2.22-13), apache2-mpm-prefork (>= 2.0.50-10) | apache2-mpm-itk, apache2.2-common, libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28) Homepage: http://daemoninc.com/SpeedyCGI/ Priority: optional Section: httpd Filename: pool/main/s/speedy-cgi-perl/libapache2-mod-speedycgi_2.22-13_armhf.deb Size: 33570 SHA256: 96a6740204b378342aca56bbf442a7fc273ec7aaa1eba38fc5a23a547e657f0d SHA1: 17badd07c9e5a8c0aebb8e7c7fc969330024c377 MD5sum: 8f346bf28635404cbb413f6b3f332656 Description: apache2 module to speed up perl scripts by making them persistent SpeedyCGI is a way to run perl scripts persistently, which usually makes them run much more quickly because it avoids the overhead of starting up a new perl interpreter and compiling the perl code. . This package provides an Apache2 module so that SpeedyCGI scripts can be run without the overhead of doing a fork/exec for each request. Please note that this package only works with Apache2's prefork MPM or the ITK MPM, as it is not thread-safe. Package: libapache2-mod-suphp Source: suphp Version: 0.7.1-3 Architecture: armhf Maintainer: Emmanuel Lacour Installed-Size: 81 Depends: libc6 (>= 2.13-28), suphp-common (= 0.7.1-3), apache2.2-common Priority: optional Section: httpd Filename: pool/main/s/suphp/libapache2-mod-suphp_0.7.1-3_armhf.deb Size: 19318 SHA256: 3f4d4368afbc80b4630c818c1e51599b0fe62b9144c2469c12c95a3b44021e24 SHA1: 505db1aca736bfb94afc553253b50e1bdb497d25 MD5sum: c96738c9d4f3d21c03ffe14f939fe401 Description: Apache2 module to run PHP scripts with the owner permissions With the use of the suphp setuid root binary (from suphp-common package), this Apache2 module change the uid of the process executing the PHP interpreter to the owner of the php script. Package: libapache2-mod-upload-progress Source: apache-upload-progress-module Version: 0.1+git20110718-1 Architecture: armhf Maintainer: Jérémy Bobbio Installed-Size: 81 Depends: apache2.2-common, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://github.com/drogus/apache-upload-progress-module/ Priority: optional Section: web Filename: pool/main/a/apache-upload-progress-module/libapache2-mod-upload-progress_0.1+git20110718-1_armhf.deb Size: 14700 SHA256: 5d9940bde712995dc754cbabf3a4e09d36ff556fe62d1a318f54891d5de7a4fa SHA1: de411982070f4ba00394c520001146d491a77dbb MD5sum: a10be7f589534de69114b5a3b60c51ae Description: upload progress support for the Apache web server mod_upload_progress enables monitoring the status of HTTP file uploads. . Website authors can then query the server using Javascript to provide better feedback (e.g. progress bar, throughput) while the browser is uploading. . This module produces output similar to the equivalent modules for other webservers. Package: libapache2-mod-uwsgi Source: uwsgi Version: 1.2.3+dfsg-5+deb7u2 Architecture: armhf Maintainer: Janos Guljas Installed-Size: 40 Depends: libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), apache2 Conflicts: libapache2-mod-ruwsgi Replaces: libapache2-mod-ruwsgi Homepage: http://projects.unbit.it/uwsgi/ Priority: extra Section: web Filename: pool/main/u/uwsgi/libapache2-mod-uwsgi_1.2.3+dfsg-5+deb7u2_armhf.deb Size: 23438 SHA256: f4e39c55b12709340e41872c3d8d8a8e4cb08b5633261ef1820c34367e45f5ba SHA1: ec358e8c95cc88d7409e10f2925bd37483799bde MD5sum: a45312ad08dc6bff5d6b5692d8a65a89 Description: uwsgi module for Apache2 (mod_uwsgi) uWSGI presents a complete stack for networked/clustered web applications, implementing message/object passing, caching, RPC and process management. It uses the uwsgi protocol for all the networking/interprocess communications. . This package provides Apache2 module for communicating with uWSGI. . This is the first module developed, it is stable but a bit hacky (it does not fully use the Apache2 API). Package: libapache2-mod-uwsgi-dbg Source: uwsgi Version: 1.2.3+dfsg-5+deb7u2 Architecture: armhf Maintainer: Janos Guljas Installed-Size: 21 Depends: libapache2-mod-uwsgi (= 1.2.3+dfsg-5+deb7u2) Homepage: http://projects.unbit.it/uwsgi/ Priority: extra Section: debug Filename: pool/main/u/uwsgi/libapache2-mod-uwsgi-dbg_1.2.3+dfsg-5+deb7u2_armhf.deb Size: 17442 SHA256: 9049f8359e6c4eb74c6a52980d71bf930357e1f5992da22be4b32d598bac3a08 SHA1: 60879d7448d308b607baf8f12429efb2238d7cc8 MD5sum: 0dfe16280d034a0dbce67f6da5c3da87 Description: debugging symbols for Apache2 mod_uwsgi uWSGI presents a complete stack for networked/clustered web applications, implementing message/object passing, caching, RPC and process management. . This package contains the debug symbols for Apache2 mod_uwsgi. Install this package if you need to debug module or if you need a useful backtrace of a crash. Package: libapache2-mod-vhost-hash-alias Source: mod-vhost-hash-alias Version: 1.0-2 Architecture: armhf Maintainer: Julien Danjou Installed-Size: 74 Depends: apache2.2-common (>= 2.0.50-10), libapr1 (>= 1.2.7), libc6 (>= 2.13-28), libmhash2 Priority: extra Section: web Filename: pool/main/m/mod-vhost-hash-alias/libapache2-mod-vhost-hash-alias_1.0-2_armhf.deb Size: 13458 SHA256: 78451c5ce0506b28859b80c128f8fe405f2b929d4d8de86c4e2f8fb5af75be8e SHA1: 44e6aea83d404749b5ae68bdbbe849e60b759fa4 MD5sum: 9109279ada989a08fcb43c32218c7df6 Description: Fast and efficient way to manage virtual hosting mod_vhost_hash_alias is a simple, fast and efficient way to automatically manage virtual hosting. It allow administrator to build massive virtual web servers, without the need to describe each virtualhost document root. It use the servername extract from the HTTP request to build a path to the real document root. It build a digest based on the servername and split it according to a configurable directory scheme. As digest could collide, mod_vhost_hash_alias add the servername to distinguish between hash value (and allow human to do inverse lookup on directory path). . For a given servername, it could give path like that: /var/lib/www/6/ae/fa93/weuh.org/htdocs Package: libapache2-mod-vhost-ldap Source: mod-vhost-ldap Version: 2.0.8-1 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 75 Depends: libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7), apache2.2-common Priority: optional Section: web Filename: pool/main/m/mod-vhost-ldap/libapache2-mod-vhost-ldap_2.0.8-1_armhf.deb Size: 14570 SHA256: 7b38c1c9aafbd4f903caaa04ef5d0fd659e2ea0aeff1071feba6b160d8525810 SHA1: 9aad6805fa1c0d61790fb52776d5ff09d977dbfb MD5sum: b858b1878b93b2c3eab459e1269ae65d Description: Apache 2 module for Virtual Hosting from LDAP mod-vhost-ldap is an Apache 2 module for storing and configuring virtual hosts from LDAP. It supports the DocumentRoot, ScriptAlias, ServerName, ServerAlias, ServerAdmin and SuexecUserGroup directives. . It is built on top of mod_ldap, so it uses its caching capabilities and can be used with a threaded Apache MPM. Package: libapache2-mod-wsgi Source: mod-wsgi Version: 3.3-4+deb7u1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 436 Depends: apache2, apache2.2-common, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), python (>= 2.6), python (<< 2.8) Suggests: apache2-mpm-worker | apache2-mpm-event Provides: httpd-wsgi Homepage: http://www.modwsgi.org/ Priority: optional Section: httpd Filename: pool/main/m/mod-wsgi/libapache2-mod-wsgi_3.3-4+deb7u1_armhf.deb Size: 131854 SHA256: bcaffa9f783fc6b5fc32f0a4b6ead1d9c7aa3a5e586aa1fbc06a0ee0bee4e9d2 SHA1: bcb0bbad60e91385e284cfa162b51d3950f8a24d MD5sum: 85f50f66ce5fe3f4d090b29782638385 Description: Python WSGI adapter module for Apache The mod_wsgi adapter is an Apache module that provides a WSGI (Web Server Gateway Interface, a standard interface between web server software and web applications written in Python) compliant interface for hosting Python based web applications within Apache. The adapter provides significantly better performance than using existing WSGI adapters for mod_python or CGI. . This package provides module for Python 2.X. Package: libapache2-mod-wsgi-py3 Source: mod-wsgi Version: 3.3-4+deb7u1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 259 Depends: apache2, apache2.2-common, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpython3.2 (>= 3.2~a4), python3 (>= 3.2), python3 (<< 3.3) Suggests: apache2-mpm-worker | apache2-mpm-event Conflicts: libapache2-mod-wsgi Provides: httpd-wsgi Homepage: http://www.modwsgi.org/ Priority: optional Section: httpd Filename: pool/main/m/mod-wsgi/libapache2-mod-wsgi-py3_3.3-4+deb7u1_armhf.deb Size: 75840 SHA256: 5acd32c4474f1af6b61435617f2c90e8cfe01ef752348f9642c36f01482cd7ca SHA1: e499a409c1fa1fd83b0299e0340a0dfdbe68ba69 MD5sum: 1853e1e9cf54024a83602e60b1696a88 Description: Python 3 WSGI adapter module for Apache The mod_wsgi adapter is an Apache module that provides a WSGI (Web Server Gateway Interface, a standard interface between web server software and web applications written in Python) compliant interface for hosting Python based web applications within Apache. The adapter provides significantly better performance than using existing WSGI adapters for mod_python or CGI. . This package provides module for Python 3.X. Package: libapache2-mod-xsendfile Version: 0.12-1 Architecture: armhf Maintainer: Marco Nenciarini Installed-Size: 76 Depends: apache2.2-common (>= 2.2.3), libc6 (>= 2.13-28) Homepage: http://tn123.org/mod_xsendfile/ Priority: extra Section: web Filename: pool/main/liba/libapache2-mod-xsendfile/libapache2-mod-xsendfile_0.12-1_armhf.deb Size: 12354 SHA256: 0d8d8908bf963309bdefb16e00b960a6ee3354debc79f8dce7ddc2e668517323 SHA1: 60663b766051a7597e1528b6e0416f773c2cee10 MD5sum: 5e4209dee6a7e9738e3cbcd4bc4be58d Description: Serve large static files efficiently from web applications This package provides the xsendfile module for the Apache 2 webserver. xsendfile is a small Apache2 module that processes X-SENDFILE header sent by the original output handler. . If it encounters the presence of such header it will discard all output and send the file specified by that header instead using Apache internals including all optimizations like caching-headers and sendfile or mmap if configured. . It is useful for processing script-output of e.g. php, perl or any cgi. Package: libapache2-modsecurity Source: modsecurity-apache Version: 2.6.6-6+deb7u2 Architecture: armhf Maintainer: Alberto Gonzalez Iniesta Installed-Size: 792 Depends: apache2.2-common, libxml2 (>= 2.8.0), libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), liblua5.1-0, libpcre3 (>= 8.10) Recommends: modsecurity-crs Conflicts: libapache-mod-security (<< 2.5.13-1), mod-security-common (<< 2.5.13-1) Replaces: libapache-mod-security (<< 2.5.13-1), mod-security-common (<< 2.5.13-1) Homepage: http://www.modsecurity.org/ Priority: optional Section: httpd Filename: pool/main/m/modsecurity-apache/libapache2-modsecurity_2.6.6-6+deb7u2_armhf.deb Size: 289006 SHA256: f676f98fb476423a642966d28b033625c72bf14112e803760c87ee46c57ecd3c SHA1: 9fbc23570fea6eab03ae80ea5c96f0b4d4e98092 MD5sum: 8e36ecd6cd3d4fa1fae6e307f627c840 Description: Tighten web applications security for Apache Modsecurity is an Apache module whose purpose is to tighten the Web application security. Effectively, it is an intrusion detection and prevention system for the web server. . At the moment its main features are: * Audit log; store full request details in a separate file, including POST payloads. * Request filtering; incoming requests can be analysed and offensive requests can be rejected (or simply logged, if that is what you want). This feature can be used to prevent many types of attacks (e.g. XSS attacks, SQL injection, ...) and even allow you to run insecure applications on your servers (if you have no other choice, of course). Package: libapache2-reload-perl Version: 0.12-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libapache2-mod-perl2 Size: 17940 SHA256: bd926d5818d9f0fe90e0e381d5e900d01fda1cc58bde88b151766f160090505f SHA1: c85532687086e05e837a7ef9f6503488a37e4507 MD5sum: 91b17943c2b67c7ededdbe947074abea Description: module for reloading Perl modules when changed on disk Apache2::Reload reloads modules that change on the disk. . When Perl pulls a file via "require", it stores the filename in the global hash %INC. The next time Perl tries to "require" the same file, it sees the file in %INC and does not reload from disk. This module's handler can be configured to iterate over the modules in %INC and reload those that have changed on disk. It can also monitor only specific modules that have registered themselves with Apache2::Reload. It is possible to trigger the check only when a special touch-file has been modified, minimizing the number of stat() calls per request. Homepage: http://search.cpan.org/dist/Apache-Reload/ Tag: devel::lang:perl, implemented-in::perl, suite::apache Section: perl Priority: optional Filename: pool/main/liba/libapache2-reload-perl/libapache2-reload-perl_0.12-1_all.deb Package: libapache2-request-perl Source: libapreq2 Version: 2.13-1 Architecture: armhf Maintainer: Steinar H. Gunderson Installed-Size: 314 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libapr1 (>= 1.2.7), libapreq2 (= 2.13-1), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libapache2-mod-perl2 (>= 1.99.23-1), libapache2-mod-apreq2 (= 2.13-1) Priority: optional Section: perl Filename: pool/main/liba/libapreq2/libapache2-request-perl_2.13-1_armhf.deb Size: 82530 SHA256: ad4bfe7be049de8db08f665b27058dbc83a3addf87e9c6034ecebca0a6209c46 SHA1: a594bebdadd6e733e5ae784e6e6e4154cd9b9bf8 MD5sum: 5004ccd72fab4546948db1810a4c34d5 Description: generic Apache request library - Perl modules The generic Apache request library (APR) contains modules for manipulating client request data with the Apache API from Perl and C. Functionality includes: . - parsing of application/x-www-form-urlencoded data - parsing of multipart/form-data - parsing of HTTP Cookies . This package contains the Perl bindings, which are simply a thin XS layer on top of libapreq, making them a lighter and faster alternative to CGI.pm and CGI::Cookie. Package: libapache2-sitecontrol-perl Version: 1.05-1 Installed-Size: 134 Maintainer: Debian Perl Group Architecture: all Depends: perl, libapache-session-perl, libapache2-authcookie-perl, libapache2-request-perl, libcrypt-cast5-perl, libcrypt-cbc-perl Size: 48398 SHA256: c7be4be68e25ce8594c7a41291066b98fb9891b3bca6fcd7a9a33826764c2863 SHA1: 311188cdbc55b2235edf1ccc599ae586d5950a3d MD5sum: 2aa4b3b1d8ea969cca37ac55fe324a9b Description: perl web site authentication/authorization system Apache2::SiteControl is a set of perl object-oriented classes that implement a fine-grained security control system for a web-based application. The intent is to provide a clear, easy-to-integrate system that does not require the policies to be written into your application components. It attempts to separate the concerns of how to show and manipulate data from the concerns of who is allowed to view and manipulate data and why. . For example, say your web application is written in HTML::Mason. Your individual "screens" are composed of Mason modules, and you would like to keep those as clean as possible, but decisions have to be made about what to allow as the component is processed. SiteControl attempts to make that as easy as possible. Homepage: http://search.cpan.org/dist/Apache2-SiteControl/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libapache2-sitecontrol-perl/libapache2-sitecontrol-perl_1.05-1_all.deb Package: libapache2-svn Source: subversion Version: 1.7.5-1+rpi4 Architecture: armhf Maintainer: Peter Samuelson Installed-Size: 336 Depends: apache2.2-common, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsvn1 (>= 1.7) Suggests: db5.1-util Homepage: http://subversion.apache.org/ Priority: optional Section: httpd Filename: pool/main/s/subversion/libapache2-svn_1.7.5-1+rpi4_armhf.deb Size: 178442 SHA256: 733255f02e6b57aa49b1e7f6385e243583414981075e1a945a57269ffc4a4305 SHA1: 1a1ba5e062cf95bf25d5af1ce5c7f52ecb5c7805 MD5sum: 88dc96b80e7edb2d1f8e140648d8dc64 Description: Apache Subversion server modules for Apache httpd This package provides the mod_dav_svn and mod_authz_svn modules for the Apache 2.2 web server. These modules provide Apache Subversion's WebDAV server backend, to serve repositories over the http and https protocols. See the 'subversion' package for more information. Package: libapache2-webauth Source: webauth Version: 4.1.1-2 Architecture: armhf Maintainer: Russ Allbery Installed-Size: 531 Depends: libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libkrb5-3 (>= 1.6.dfsg.2), libldap-2.4-2 (>= 2.4.7), libwebauth6 (>= 4.1.0), apache2.2-common Homepage: http://webauth.stanford.edu/ Priority: optional Section: httpd Filename: pool/main/w/webauth/libapache2-webauth_4.1.1-2_armhf.deb Size: 220044 SHA256: b014b8460d7d5bdf756600cff62ba2af6526a1e877fb2ed99faa193dc167a02a SHA1: b33020c644d56704e5858ec63dffd83e9ab738f3 MD5sum: c4e622b37896b88133ccb95480360959 Description: Apache 2 modules for WebAuth authentication WebAuth is a cookie-based web authentication system built on top of Kerberos. It relies on a central authentication server that handles all user authentication for a domain and creates user authentication credentials for any web server that needs strong authentication. . This package contains the Apache 2 modules to do basic authentication for individual web servers and to look up LDAP information using LDAP v3 with GSSAPI binds about the authenticated user. Directory information can be used for authorization control and to populate environment variables. These modules should be installed on any web server using WebAuth. Package: libapache2-webkdc Source: webauth Version: 4.1.1-2 Architecture: armhf Maintainer: Russ Allbery Installed-Size: 220 Depends: libc6 (>= 2.13-28), libwebauth6 (>= 4.1.0), apache2.2-common Homepage: http://webauth.stanford.edu/ Priority: optional Section: httpd Filename: pool/main/w/webauth/libapache2-webkdc_4.1.1-2_armhf.deb Size: 94110 SHA256: 7d4ef600c2e26df02c668ca1c9cca76301f3f009abfd036fccf95a443e3dd5cc SHA1: 63d271ce416a2f1ebfbe3f9f6d440558e1688bc6 MD5sum: bfa6462c9a0414d3d14856e9ff780829 Description: Apache 2 modules for a WebAuth authentication KDC WebAuth is a cookie-based web authentication system built on top of Kerberos. It relies on a central authentication server that handles all user authentication for a domain and creates user authentication credentials for any web server that needs strong authentication. . This package contains the Apache 2 module for the central authentication server for a particular site. Only one such server is needed; all web servers that use WebAuth will talk to this server to obtain and verify authentication credentials. Package: libapertium3-3.1-0 Source: apertium Version: 3.1.0-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1300 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblttoolbox3-3.1-0, libpcre3 (>= 8.10), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4) Conflicts: libapertium3 Provides: libapertium3 Homepage: http://www.apertium.org Priority: optional Section: libs Filename: pool/main/a/apertium/libapertium3-3.1-0_3.1.0-2_armhf.deb Size: 332146 SHA256: 156d7bacbe32d76ae8134911736bdf14b712f2907cdc0a8bc12b79c7c0de45cd SHA1: e5c5235bd7bf00ca23b64dfab5510fe958b376c7 MD5sum: c22382274684e8ae8a9553f592640020 Description: Shared library for Apertium Contains shared library for the Apertium shallow-transfer machine translation engine. Package: libapertium3-3.1-0-dev Source: apertium Version: 3.1.0-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 7367 Depends: libapertium3-3.1-0 (= 3.1.0-2) Conflicts: libapertium3-dev Provides: libapertium3-dev Homepage: http://www.apertium.org Priority: optional Section: libdevel Filename: pool/main/a/apertium/libapertium3-3.1-0-dev_3.1.0-2_armhf.deb Size: 1153454 SHA256: da758d4c0f56213d127163fad69c44f20f14d15675ee545e0a8ab5925dfccd01 SHA1: ec390c111d8ce03c30835796287dd44de1d5c166 MD5sum: a66a14994a3d9aeb629b05fe69185055 Description: Development library for Apertium Contains development files for the Apertium shallow-transfer machine translation engine. Package: libapiextractor-dbg Source: apiextractor Version: 0.10.10-1 Architecture: armhf Maintainer: Didier Raboud Installed-Size: 3662 Depends: libapiextractor0.10 (= 0.10.10-1) Homepage: http://www.pyside.org/home-binding/api-extractor/ Priority: extra Section: debug Filename: pool/main/a/apiextractor/libapiextractor-dbg_0.10.10-1_armhf.deb Size: 3518726 SHA256: 58e61c4c8bc637264c67eb75341fdd82e514974968354b8f94e3f75d91ed2cb7 SHA1: c7960171e1d77896c53abbeff5d58eef839240ec MD5sum: 83562a5fd1a22b780801cf93bd8be7f0 Description: debugging symbols for the library headers parser The API Extractor library is used by the binding generator to parse headers of a given library and merge this data with information provided by typesystem (XML) files, resulting in a representation of how the API should be exported to the chosen target language. The generation of source code for the bindings is performed by specific generators using the API Extractor library. . This package contains the debugging symbols. Package: libapiextractor-dev Source: apiextractor Version: 0.10.10-1 Architecture: armhf Maintainer: Didier Raboud Installed-Size: 208 Depends: libapiextractor0.10 (= 0.10.10-1), libqt4-dev (>= 4:4.7.0) Homepage: http://www.pyside.org/home-binding/api-extractor/ Priority: optional Section: libdevel Filename: pool/main/a/apiextractor/libapiextractor-dev_0.10.10-1_armhf.deb Size: 62662 SHA256: 7e9180f2aea9ddab6fc03aa55071fbcebcff39160e5f347822c4f86cd3ecb9f3 SHA1: dfb778c94162ab44ab6a4e68f93d64aaf5f2fa4e MD5sum: 3f78002212969bbf75e6bb8f150a4fcc Description: development files for the library headers parser The API Extractor library is used by the binding generator to parse headers of a given library and merge this data with information provided by typesystem (XML) files, resulting in a representation of how the API should be exported to the chosen target language. The generation of source code for the bindings is performed by specific generators using the API Extractor library. . This package contains the development files. Package: libapiextractor0.10 Source: apiextractor Version: 0.10.10-1 Architecture: armhf Maintainer: Didier Raboud Installed-Size: 1030 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-xml (>= 4:4.7.0), libqt4-xmlpatterns (>= 4:4.7.0), libqtcore4 (>= 4:4.7.0), libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25) Multi-Arch: same Homepage: http://www.pyside.org/home-binding/api-extractor/ Priority: optional Section: libs Filename: pool/main/a/apiextractor/libapiextractor0.10_0.10.10-1_armhf.deb Size: 439498 SHA256: 30ca638055a41f9bf2a3dc3b7a4636d9f9068599eb1837be70b87080feefb244 SHA1: 68f96b2250032c99584c75ae54b97cccdf460a80 MD5sum: a4175e0c37fe305c0f1dc753dcc1146e Description: library headers parser that creates an API abstract representation The API Extractor library is used by the binding generator to parse headers of a given library and merge this data with information provided by typesystem (XML) files, resulting in a representation of how the API should be exported to the chosen target language. The generation of source code for the bindings is performed by specific generators using the API Extractor library. . This package contains the runtime libraries. Package: libapm-dev Source: apmd Version: 3.2.2-14 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 64 Depends: libapm1 (= 3.2.2-14) Conflicts: apmd (<< 3.0.2-1.6) Homepage: http://alumnit.ca/~apenwarr/apmd/ Priority: optional Section: libdevel Filename: pool/main/a/apmd/libapm-dev_3.2.2-14_armhf.deb Size: 27022 SHA256: fa8913b589567383deed2e5f396767241c48416bb02bdbbdcb142d78c3985a3d SHA1: 5f79c81349644325cd460fdc677b1044a29fc14c MD5sum: df65098b600ca57376fa145d211a97fa Description: Library for interacting with APM driver in kernel On laptop computers, the Advanced Power Management (APM) support provides access to battery status information and may help you to conserve battery power, depending on your laptop and the APM implementation. . This package contains a library and header files needed to write programs that interact with the APM driver in the kernel. Package: libapm1 Source: apmd Version: 3.2.2-14 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 58 Depends: libc6 (>= 2.13-28) Conflicts: apmd (<< 3.0.2-1.9), libapm-dev (<< 3.0.2-1.9) Homepage: http://alumnit.ca/~apenwarr/apmd/ Priority: optional Section: libs Filename: pool/main/a/apmd/libapm1_3.2.2-14_armhf.deb Size: 26262 SHA256: 2910caebc2d78a1c55248fd596656f7f65de1cb5526315208a38dce2e63082db SHA1: f7d35ecf095b8e36274b8b587bddb27b681879c8 MD5sum: d13c873f0d2288ebfe9e1fd90cfceee4 Description: Library for interacting with APM driver in kernel On laptop computers, the Advanced Power Management (APM) support provides access to battery status information and may help you to conserve battery power, depending on your laptop and the APM implementation. . This package contains a shared library that provides support for interacting with the APM driver in the kernel. Package: libapol-dev Source: setools Version: 3.3.7-3 Architecture: armhf Maintainer: Debian SELinux maintainers Installed-Size: 612 Depends: libapol4 (= 3.3.7-3), libqpol-dev Homepage: http://oss.tresys.com/projects/setools Priority: optional Section: libdevel Filename: pool/main/s/setools/libapol-dev_3.3.7-3_armhf.deb Size: 156890 SHA256: e19d1be730b249adeef26a0fa58391a9646e9a927ecad9eba29cd1ebfe3b3935 SHA1: 9ba6ccd5295f8b1f82ea92c48967e26cdac24990 MD5sum: 4c1f5ad7e5c447e79a10b7cd69c11633 Description: Security Enhanced Linux policy analysis development Security-enhanced Linux is a patch of the Linux kernel and a number of utilities with enhanced security functionality designed to add mandatory access controls to Linux. This package contains the headers and archives used for linking to the library routines used in the setools policy analysis library. Package: libapol4 Source: setools Version: 3.3.7-3 Architecture: armhf Maintainer: Debian SELinux maintainers Installed-Size: 225 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libqpol1 Multi-Arch: same Homepage: http://oss.tresys.com/projects/setools Priority: optional Section: libs Filename: pool/main/s/setools/libapol4_3.3.7-3_armhf.deb Size: 98490 SHA256: 313db8ebc7eb8023b805c650bfcfe43dc87f976f04cb186ce8c91f774424b911 SHA1: 91a91e17527fc7f5a9bae0526380b99a420822aa MD5sum: 0d53cda2d082050923805a8fc1fa03f3 Description: Security Enhanced Linux policy analysis library Security-enhanced Linux is a patch of the Linux kernel and a number of utilities with enhanced security functionality designed to add mandatory access controls to Linux. This is the setools policy analysis library. Package: libapp-cache-perl Version: 0.37-1 Installed-Size: 68 Maintainer: Jonas Genannt Architecture: all Depends: perl, libfile-find-rule-perl, libwww-perl, libclass-accessor-chained-perl, libpath-class-perl, libfile-homedir-perl Size: 11236 SHA256: 046e00a0286bf71d3acd6fa9feebcf1878b731adc0232d094cd6008f5bd6d40e SHA1: be3b96767d0d8c40f6403ea9b3d186bf691b239d MD5sum: 712b2bbd8f861c4b2f7872a4ce854a1c Description: Easy application-level caching library for perl The App::Cache module lets an application cache data locally. There are a few times an application would need to cache data: when it is retrieving information from the network or when it has to complete a large calculation. Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libapp-cache-perl/libapp-cache-perl_0.37-1_all.deb Package: libapp-cli-perl Version: 0.313-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 16452 SHA256: 4fdc87b85802c2ca9bbdcf803675d0000e94c0777f44add8138674ff11638934 SHA1: 122d05bfd6a05082cd4209b3d510a5e70dd417f7 MD5sum: abb181980231dc92ba87c338b66c2fc0 Description: Dispatcher module for command line interface programs App::CLI dispatches CLI (command line interface) based commands into command classes. It also supports subcommand and per-command options. Homepage: http://search.cpan.org/dist/App-CLI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libapp-cli-perl/libapp-cli-perl_0.313-1_all.deb Package: libapp-cmd-perl Version: 0.318-1 Installed-Size: 152 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcapture-tiny-perl (>= 0.13), libclass-load-perl, libgetopt-long-descriptive-perl, libio-tiecombine-perl, libstring-rewriteprefix-perl, libsub-exporter-perl, libsub-install-perl Size: 61664 SHA256: 6d11f07e182f4d6c8a72c46bd98dfdc3e4779401ca1aad61401e4bc4928d454c SHA1: 4f82c95cdbe98f0d73d6fcb0996ca45117d372d0 MD5sum: a615c2ee4a8eb44f6e083f3a2193fcaf Description: Perl interface to write command line apps with less suffering App::Cmd is intended to make it easy to write complex command-line applications without having to think about most of the annoying things usually involved. . For information on how to start using App::Cmd, see App::Cmd::Tutorial. Homepage: http://search.cpan.org/dist/App-Cmd/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libapp-cmd-perl/libapp-cmd-perl_0.318-1_all.deb Package: libapp-control-perl Version: 1.02-2 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 9448 SHA256: 150e9d7f162d9d0723cd7cd4db64883bb01485ba1c2f92a08ccd6a647062905e SHA1: befbb15708a6adc6b6c5ad6b34e844125a1b1f6e MD5sum: 6d61d188933ee4703201cb71268e19de Description: Perl module for apachectl style control of another executable App::Control is a simple module to replicate the kind of functionality you get with apachectl to control apache, but for any script or executable. There is a very simple OO interface, where the constructor is used to specify the executable, command line arguments, and pidfile, and various methods (start, stop, etc.) are used to control the executable in the obvious way. The module is intended to be used in a simple wrapper control script. Currently the module does a fork and exec to start the executable, and sets the signal handler for SIGCHLD to 'IGNORE' to avoid zombie processes. Homepage: http://search.cpan.org/dist/App-Control Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libapp-control-perl/libapp-control-perl_1.02-2_all.deb Package: libapp-daemon-perl Version: 0.15-1 Installed-Size: 73 Maintainer: Debian Perl Group Architecture: all Depends: perl, libfile-pid-perl, liblog-log4perl-perl, libproc-processtable-perl Size: 17622 SHA256: 3ebff4bca88401a317c269ba35d688cdb835b89d4958abed1bbb71bebd44d90c SHA1: 411570a96c1273562647cdba708de1e279fd601a MD5sum: 8568ceca0fd6a6dfd18ce5302ea07be7 Description: Perl module to start an Application as a Daemon App::Daemon helps running an application as a daemon. The idea to prepend scripts with functions provided by this module to 'daemonize' it. . Along with the common features offered by similar modules on CPAN, it * supports logging with Log4perl: In background mode, it logs to a logfile. In foreground mode, log messages go directly to the screen. * detects if another instance is already running and ends itself automatically in this case. * shows with the 'status' command if an instance is already running and which PID it has. Homepage: http://search.cpan.org/dist/App-Daemon/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libapp-daemon-perl/libapp-daemon-perl_0.15-1_all.deb Package: libapp-info-perl Version: 0.56-1 Installed-Size: 476 Maintainer: Nacho Barrientos Arias Architecture: all Depends: perl Size: 139218 SHA256: 4eb4e7982bb2479fc0451403327b004171cccb7b8b858df46392d37547c52160 SHA1: f1bd8d98313441ec3b6f389f0d2d6d9f7ce09a21 MD5sum: 845a78456c2a73b81e1aae719f0d4ad4 Description: Provide metadata about software packages installed App::Info provides a generalized interface for providing metadata about software packages installed on a system. The idea is that App::Info subclasses can be used in Perl application installers in order to determine whether software dependencies have been fulfilled, and to get necessary metadata about those software packages. Homepage: http://search.cpan.org/dist/App-Info/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, role::source Section: perl Priority: optional Filename: pool/main/liba/libapp-info-perl/libapp-info-perl_0.56-1_all.deb Package: libapp-nopaste-perl Version: 0.33-1 Installed-Size: 121 Maintainer: Debian Perl Group Architecture: all Provides: nopaste Depends: perl, libbrowser-open-perl, libclass-load-perl, libmoose-perl (>= 0.74), libmoosex-getopt-perl (>= 0.17), liburi-perl, libwww-mechanize-perl Suggests: git (>= 1:1.7.0.4-2~) | git-core | libconfig-gitlike-perl Size: 44156 SHA256: 3e5e1ee002d37fb3af30626b23752c1c569899ce88a8db35578460f5cc78e0c2 SHA1: 81acdcca9c9c6609fdecfb975d87a8789cfba325 MD5sum: c805c3ed78630445bae89045f958a788 Description: application for easy access to any pastebin App::Nopaste is a Perl module and application collection to upload arbitrary text, usually code, to pastebins (also known as nopaste sites) for public viewing. They're used a lot in IRC channels to show code that would normally be too long to give directly in the channel. . Each pastebin is slightly different; this program attempts to encapsulate the differences between them and provide a unified, redundant interface to upload code. If one site doesn't work, it simply tries a different one. Homepage: http://search.cpan.org/dist/App-Nopaste/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libapp-nopaste-perl/libapp-nopaste-perl_0.33-1_all.deb Package: libapp-options-perl Version: 1.12-1 Installed-Size: 224 Maintainer: Debian Perl Group Architecture: all Depends: perl Recommends: libtimedate-perl Size: 61034 SHA256: 435ae13d133f167448e0bad7101b61f5b91ab7b598227c2d939cc9df2d2466f6 SHA1: 950ce28465da0468a1ad8232c02c74ee4fd0927e MD5sum: c127ff4bcaa103728e92b15801bc0f6f Description: command-line option values processing system App::Options combines command-line arguments, environment variables, option files, and program defaults to produce a hash of option values. . Furthermore, its special treatment of the "perlinc" option facilitates the inclusion ("use") of application-specific perl modules from special places to enable the installation of multiple versions of an application on the same system (i.e. /usr/myproduct/version). Homepage: http://search.cpan.org/dist/App-Options/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libapp-options-perl/libapp-options-perl_1.12-1_all.deb Package: libapp-rad-perl Version: 1.04-1 Installed-Size: 168 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 53982 SHA256: da5f57d6c7072aef21ab60c6de73b9ff5b30bbe8135ce416739fac49cc86edca SHA1: 656528854529194c0c363e97dee83b628eca7ebc MD5sum: 0127837710399dd7595b8b3f80402331 Description: Perl module for rapid and easy creation of command line applications App::Rad aims to be a simple yet powerful framework for developing your command-line applications. It can easily transform your Perl one-liners into reusable subroutines than can be called directly by the user of your program. . It also tries to provide a handy interface for your common command-line tasks. Homepage: http://search.cpan.org/dist/App-Rad/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libapp-rad-perl/libapp-rad-perl_1.04-1_all.deb Package: libapp-repl-perl Version: 0.012-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl, libpadwalker-perl (>= 1.5), libppi-perl (>= 1.118) Size: 10546 SHA256: 085430f96748561f30d8cc00296b6c3fa44d7743416acd3c8991e1b7f54ab2b1 SHA1: b2762cfbbd605400598df84737787c61f92f2087 MD5sum: 0a1e3a8c4e7e59f77007587e454e4467 Description: container for functions for the iperl program App::REPL module contains functions that the iperl program automatically imports into any package it enters, for interactive convenience. Homepage: http://search.cpan.org/dist/App-REPL/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libapp-repl-perl/libapp-repl-perl_0.012-1_all.deb Package: libapp-termcast-perl Version: 0.12-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Provides: termcast Depends: perl, libjson-perl, libmoose-perl, libmoosex-getopt-perl, libterm-filter-perl, libterm-readkey-perl, libtry-tiny-perl Recommends: libterm-ttyrec-plus-perl Size: 16236 SHA256: d84929276aa1c91d401314c97f3c16862aef1ca635ac0aead09e0a7641bca7eb SHA1: 4581ae3f2f8aed2488ef3db32b2f67c5df2cc8bb MD5sum: 1f926fd1f4d07e2236cc263505ed6b2a Description: termcasting module and client App::Termcast is a client for the http://termcast.org/ service, which allows broadcasting of a terminal session for remote viewing. . The package contains the module and a wrapper script for easily starting a termcast. . Potential viewers just have to `telnet termcast.org' (or any other used server). Homepage: http://search.cpan.org/dist/App-Termcast/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libapp-termcast-perl/libapp-termcast-perl_0.12-1_all.deb Package: libapparmor-dev Source: apparmor Version: 2.7.103-4+rpi1 Architecture: armhf Maintainer: Kees Cook Installed-Size: 116 Depends: libapparmor1 (= 2.7.103-4+rpi1) Homepage: http://apparmor.net/ Priority: extra Section: libdevel Filename: pool/main/a/apparmor/libapparmor-dev_2.7.103-4+rpi1_armhf.deb Size: 46548 SHA256: 126c7269f59a311d520aa3229b02b4172494ed47ea7a99bd05de8e5dee6a087b SHA1: df66f87457ee983d3edc9c5d546042580edfe17c MD5sum: 50dca312b058d83f2b60ac96a3045824 Description: AppArmor development libraries and header files This package provides the develpment libraries and header files needed to link against the AppArmor changehat and log parsing functions. Also includes the manpages for library functions. Package: libapparmor-perl Source: apparmor Version: 2.7.103-4+rpi1 Architecture: armhf Maintainer: Kees Cook Installed-Size: 158 Depends: perl (>= 5.14.2-12+rpi1), perlapi-5.14.2, libapparmor1 (>= 2.7.0~beta1+bzr1772), libc6 (>= 2.13-28) Homepage: http://apparmor.net/ Priority: extra Section: perl Filename: pool/main/a/apparmor/libapparmor-perl_2.7.103-4+rpi1_armhf.deb Size: 54282 SHA256: 0d19ddcc77fa27b84c0df01aa29845d329c0a14fcd14e661abed2b43fae74767 SHA1: d9996f43718252a59cb276ffdc08c6d752d842a7 MD5sum: 843821551cbfcce1a103c7df8420157b Description: AppArmor library Perl bindings This provides the Perl module that contains the language bindings for the AppArmor library, libapparmor, which were autogenerated via SWIG. Package: libapparmor1 Source: apparmor Version: 2.7.103-4+rpi1 Architecture: armhf Maintainer: Kees Cook Installed-Size: 113 Depends: libc6 (>= 2.13-28) Homepage: http://apparmor.net/ Priority: extra Section: libs Filename: pool/main/a/apparmor/libapparmor1_2.7.103-4+rpi1_armhf.deb Size: 56862 SHA256: 7dcdae4aa433dda7a60fd965f14eb569609b01fd68db02239846b8f2cee1ea8f SHA1: 3d012fff36b371a2f918623576ada66f500a4408 MD5sum: 3ac6cb746d59d1cae637bb889ce6dc94 Description: changehat AppArmor library This package provides the shared library used for making use of the AppArmor profile and changehat functionality, as well as common log parsing routines. Package: libappconfig-perl Source: appconfig Version: 1.66-1 Installed-Size: 200 Maintainer: Stefan Hornburg (Racke) Architecture: all Depends: perl Size: 77832 SHA256: 7a1085df565d59e485163a74429c7b081f2b85b3221513b53d28d4149479f24f SHA1: 4ae6b1593584a28b33dbe7b495e003b9dd856868 MD5sum: bcc1cd8483e9727b1319c3865fefb05d Description: Perl module for configuration file and command line handling AppConfig is a bundle of Perl5 modules for reading configuration files and parsing command line arguments. Note that writing configuration files is not supported at this time. . AppConfig supports a relaxed configuration file syntax with support for flags (booleans), single and multivalue (lists or hashes) items. A defined default and validation routine or regex can be defined for each option. . Environment variable, file variable and tilde (~) are supported and may be applied to selected configuration options. For long blocks of text you can also use Perl HEREDOC syntax. Additionally there is also a mechanism to utilise all these same features via a CGI. Tag: admin::configuring, devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/a/appconfig/libappconfig-perl_1.66-1_all.deb Package: libappindicator-dev Source: libappindicator Version: 0.4.92-2 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 155 Depends: libdbusmenu-glib-dev (>= 0.1.8), libdbus-glib-1-dev (>= 0.76), libappindicator1 (= 0.4.92-2) Homepage: https://launchpad.net/libappindicator Priority: optional Section: libdevel Filename: pool/main/liba/libappindicator/libappindicator-dev_0.4.92-2_armhf.deb Size: 47484 SHA256: b58ee792cfe0c41f21958a2b4e4ab6796f518fd597ddd6b9859e0101b17a817a SHA1: dfdab2438ea8d151144bbf4b5aee430b00be0e41 MD5sum: bfb615a4672206ad5c6a5eaa49db42e5 Description: allow applications to export a menu into the panel -- development A library to allow applications to export a menu into the panel. Based on KSNI it also works in KDE and will fallback to generic Systray support if none of those are available. . This package contains files that are needed to build applications. Package: libappindicator-doc Source: libappindicator Version: 0.4.92-2 Installed-Size: 236 Maintainer: The Ayatana Packagers Architecture: all Size: 55744 SHA256: 1b30b51a958abf6eee3f206e8188903178b7f97d753952b94de36f393adedb84 SHA1: b1ba2f395bad595c5e9f5dfca5993337461768b0 MD5sum: 11d475ee5a48a91458f680b8b38566f1 Description: allow applications to export a menu into the panel -- documentation A library to allow applications to export a menu into the panel. Based on KSNI it also works in KDE and will fallback to generic Systray support if none of those are available. . This package contains developer documentation. Homepage: https://launchpad.net/libappindicator Section: doc Priority: optional Filename: pool/main/liba/libappindicator/libappindicator-doc_0.4.92-2_all.deb Package: libappindicator0.1-cil Source: libappindicator Version: 0.4.92-2 Installed-Size: 108 Maintainer: The Ayatana Packagers Architecture: all Replaces: libappindicator0-cil (<= 0.0.19-0ubuntu4), libappindicator0.0-cil (<= 0.2.0-0ubuntu4) Provides: libappindicator0.0-cil Depends: cli-common (>= 0.5.1), libappindicator1, libglib2.0-cil (>= 2.12.10-1ubuntu1), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-corlib4.0-cil (>= 2.10.1) Conflicts: libappindicator0-cil (<= 0.0.19-0ubuntu4) Size: 46174 SHA256: 02ea30b77c70db785bd84b18192913297e8935e07b60e8c9f7646081fe200481 SHA1: 57a3d0a5ba04aff959af40b3cdc76a0459ee3129 MD5sum: 733eb2f4adb04cbb55d5a769cff05170 Description: allow applications to export a menu into the panel -- CLI bindings A library to allow applications to export a menu into the panel. Based on KSNI it also works in KDE and will fallback to generic Systray support if none of those are available. . This package provides the appindicator-sharp assembly that allows CLI (.NET) programs to use libappindicator. Homepage: https://launchpad.net/libappindicator Section: cli-mono Priority: optional Filename: pool/main/liba/libappindicator/libappindicator0.1-cil_0.4.92-2_all.deb Package: libappindicator0.1-cil-dev Source: libappindicator Version: 0.4.92-2 Installed-Size: 67 Maintainer: The Ayatana Packagers Architecture: all Replaces: libappindicator-cil-dev (<= 0.0.19-0ubuntu4) Depends: libappindicator0.1-cil (= 0.4.92-2) Conflicts: libappindicator-cil-dev (<= 0.0.19-0ubuntu4) Size: 36230 SHA256: 713dad285b3ce6eefd9f764a97abec7885f73142b1a9951a028b688ab05b5f6c SHA1: cdc524f4c44cafb4569b31ec263bedf0e5f9dd85 MD5sum: 450fdab6cfe5896199573a38dc513512 Description: allow applications to export a menu into the panel -- CLI development A library to allow applications to export a menu into the panel. Based on KSNI it also works in KDE and will fallback to generic Systray support if none of those are available. . This package contains files that are needed to build CLI (.NET) applications. Homepage: https://launchpad.net/libappindicator Section: libdevel Priority: optional Filename: pool/main/liba/libappindicator/libappindicator0.1-cil-dev_0.4.92-2_all.deb Package: libappindicator1 Source: libappindicator Version: 0.4.92-2 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 107 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbusmenu-glib4 (>= 0.4.2), libdbusmenu-gtk4 (>= 0.4.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk2.0-0 (>= 2.20.0), libindicator7 (>= 0.4.90), libpango1.0-0 (>= 1.14.0) Recommends: indicator-application (>= 0.2.93) Homepage: https://launchpad.net/libappindicator Priority: optional Section: libs Filename: pool/main/liba/libappindicator/libappindicator1_0.4.92-2_armhf.deb Size: 52906 SHA256: 231f7289acabe7b4a49cc113bfba522acdbdd7effb6804d485a31625ca8a2952 SHA1: 9288e904f0f5ee42684415dbb96fa6cbb84236c0 MD5sum: f076e9f8543437ad4c2003960c6320de Description: allow applications to export a menu into the panel A library to allow applications to export a menu into the panel. Based on KSNI it also works in KDE and will fallback to generic Systray support if none of those are available. . This package contains shared libraries to be used by applications. Package: libappindicator3-1 Source: libappindicator Version: 0.4.92-2 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 106 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbusmenu-glib4 (>= 0.4.2), libdbusmenu-gtk3-4 (>= 0.4.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libindicator3-7, libpango1.0-0 (>= 1.14.0) Recommends: indicator-application (>= 0.2.93) Homepage: https://launchpad.net/libappindicator Priority: optional Section: libs Filename: pool/main/liba/libappindicator/libappindicator3-1_0.4.92-2_armhf.deb Size: 52894 SHA256: 47ef7a4d2eb9a80abe1446e00e3eb180bcbbdfe39814ac818aba73e21e510bc2 SHA1: b6067ef0f8cbd3f21ec6204deaecfdb9ac213785 MD5sum: 96bbfc3bb652015d4fc6f906a38affbb Description: allow applications to export a menu into the panel -- GTK3 version A library to allow applications to export a menu into the panel. Based on KSNI it also works in KDE and will fallback to generic Systray support if none of those are available. . This package contains shared libraries to be used by GTK3 applications. Package: libappindicator3-dev Source: libappindicator Version: 0.4.92-2 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 155 Depends: libdbusmenu-glib-dev (>= 0.1.8), libdbus-glib-1-dev (>= 0.76), libappindicator3-1 (= 0.4.92-2) Homepage: https://launchpad.net/libappindicator Priority: optional Section: libdevel Filename: pool/main/liba/libappindicator/libappindicator3-dev_0.4.92-2_armhf.deb Size: 47508 SHA256: 37e1a6e8cc3b74951a674fa632acf64b7032fcd239b5163da2fac62b292ade9a SHA1: ba3a1e1a9899d287ac94ebc02b6ab123a9e5184e MD5sum: a5538c64028adccb2e7441b2524a937f Description: allow applications to export a menu into the panel -- GTK3 development A library to allow applications to export a menu into the panel. Based on KSNI it also works in KDE and will fallback to generic Systray support if none of those are available. . This package contains files that are needed to build GTK3 applications. Package: libapq-postgresql3.2.0 Source: apq-postgresql Version: 3.2.0-2 Architecture: armhf Maintainer: Adrian-Ken Rueegsegger Installed-Size: 141 Depends: libapq3.2.0, libc6 (>= 2.13-28), libgnat-4.6 (>= 4.6.3-4+rpi2), libpq5 Homepage: http://framework.kow.com.br/ Priority: optional Section: libs Filename: pool/main/a/apq-postgresql/libapq-postgresql3.2.0_3.2.0-2_armhf.deb Size: 47832 SHA256: c7499863ad89a1d854178634c25705ae427ce389518dde4b56d19bf034af00d1 SHA1: 5fa0b3bd27e080f7846828c3ec47dcbcd986c746 MD5sum: 15244e397e1e5ce28228a8f80e13041d Description: APQ Ada 95 Postgresql Binding Plugin (library) APQ is a pluggable Ada 95 Binding to various database systems. This Plugin provides the Binding to PostgreSQL. Package: libapq-postgresql3.2.0-dbg Source: apq-postgresql Version: 3.2.0-2 Architecture: armhf Maintainer: Adrian-Ken Rueegsegger Installed-Size: 222 Depends: libapq-postgresql3.2.0 (= 3.2.0-2), libapq-postgresql3.2.0-dev (= 3.2.0-2) Homepage: http://framework.kow.com.br/ Priority: extra Section: debug Filename: pool/main/a/apq-postgresql/libapq-postgresql3.2.0-dbg_3.2.0-2_armhf.deb Size: 70698 SHA256: 6148bdcf22d2cd75191239cddb2fc2b6375002f62bc1dd93876c211e29e3726e SHA1: 3b86cd22f79dd8aca913a6391a475c784dad7c55 MD5sum: d72e5f49f7d3116193a91e4cc7fe7a8e Description: APQ Ada 95 Postgresql Binding Plugin (debug) APQ is a pluggable Ada 95 Binding to various database systems. This Plugin provides the Binding to PostgreSQL. . This package contains the debugging symbols. Package: libapq-postgresql3.2.0-dev Source: apq-postgresql Version: 3.2.0-2 Architecture: armhf Maintainer: Adrian-Ken Rueegsegger Installed-Size: 447 Depends: libapq-postgresql3.2.0 (= 3.2.0-2), libpq-dev, libapq3.2.0-dev, gnat, gnat-4.6 Conflicts: libapq-postgresql-dev Replaces: libapq-postgresql-dev Homepage: http://framework.kow.com.br/ Priority: optional Section: libdevel Filename: pool/main/a/apq-postgresql/libapq-postgresql3.2.0-dev_3.2.0-2_armhf.deb Size: 114274 SHA256: f9f7f4c37732b9cdd652a9f6df0c768204e29019b13e53b4738bf59abd6308e9 SHA1: 7b0ee85092eb29cbc87819fb6eb494babc6b67b7 MD5sum: 218edbed4711ba37e914e6dd57a9743e Description: APQ Ada 95 Postgresql Binding Plugin (development) APQ is a pluggable Ada 95 Binding to various database systems. This Plugin provides the Binding to PostgreSQL. . This package contains the development files. Package: libapq3.2.0 Source: apq Version: 3.2.0-1 Architecture: armhf Maintainer: Adrian-Ken Rueegsegger Installed-Size: 117 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnat-4.6 (>= 4.6.3-4+rpi2) Homepage: http://framework.kow.com.br/ Priority: optional Section: libs Filename: pool/main/a/apq/libapq3.2.0_3.2.0-1_armhf.deb Size: 46288 SHA256: 595f42fbd7100d08bdf079e263f6a655273d5a824ef7e0a5993422bcba4b5fe3 SHA1: dcad8e2a8b25a7339adabab3b77f15810f6886d8 MD5sum: 3b16202442610f2643442c3a19177b67 Description: Pluggable Ada 95 Binding to various database systems (library) APQ is a pluggable Ada 95 Binding to various database systems like PostgreSQL or MySQL. This is the core package providing the plugin loading support. Package: libapq3.2.0-dbg Source: apq Version: 3.2.0-1 Architecture: armhf Maintainer: Adrian-Ken Rueegsegger Installed-Size: 173 Depends: libapq3.2.0 (= 3.2.0-1), libapq3.2.0-dev (= 3.2.0-1) Homepage: http://framework.kow.com.br/ Priority: extra Section: debug Filename: pool/main/a/apq/libapq3.2.0-dbg_3.2.0-1_armhf.deb Size: 55290 SHA256: 8edf6e712b65c8798ed96e3d5004a6992b6c60403dadd34a53b583aaeb117ddc SHA1: 6b81f00f9d0e9cea51cbc04ead4eff949ece319a MD5sum: f50670dd646cd9d7ad76a0cb0b175781 Description: Pluggable Ada 95 Binding to various database systems (debug) APQ is a pluggable Ada 95 Binding to various database systems like PostgreSQL or MySQL. This is the core package providing the plugin loading support. . This package contains the debugging symbols. Package: libapq3.2.0-dev Source: apq Version: 3.2.0-1 Architecture: armhf Maintainer: Adrian-Ken Rueegsegger Installed-Size: 955 Depends: libapq3.2.0 (= 3.2.0-1), gnat, gnat-4.6 Homepage: http://framework.kow.com.br/ Priority: optional Section: libdevel Filename: pool/main/a/apq/libapq3.2.0-dev_3.2.0-1_armhf.deb Size: 713100 SHA256: 01628d2690b439979e2030308240df6d719aac1526208d5211025c1034180399 SHA1: c1f2fd12060ab584d13d6be8cb8dcb1401beb3f6 MD5sum: 049e6417edd841fdbe08fdf4230a226b Description: Pluggable Ada 95 Binding to various database systems (development) APQ is a pluggable Ada 95 Binding to various database systems like PostgreSQL or MySQL. This is the core package providing the plugin loading support. . This package contains the development files. Package: libapr-memcache-dev Source: libapr-memcache Version: 0.7.0-1 Architecture: armhf Maintainer: Bastiaan Franciscus van den Dikkenberg Installed-Size: 63 Depends: libapr-memcache0 (= 0.7.0-1) Homepage: http://www.outoforder.cc/projects/libs/apr_memcache/ Priority: extra Section: libdevel Filename: pool/main/liba/libapr-memcache/libapr-memcache-dev_0.7.0-1_armhf.deb Size: 11756 SHA256: 469d06d242ade3b3a840c6a009a35c5db70ff7b60ee02def2dcc89bc4c965e2f SHA1: 1d212f7036178df032ee7391e48698be2ea23c9e MD5sum: 976e2657642a3ee0e7745120dfbc8c8f Description: memcache client library development files apr_memcache is a client for memcache written in C, using APR and APR-Util. It provides pooled client connections and is thread safe, making it perfect for use inside Apache Modules. libapr-memcache0 is used with serval Apache modules as libapache2-mod-gnutls (with memcache support) development files Package: libapr-memcache0 Source: libapr-memcache Version: 0.7.0-1 Architecture: armhf Maintainer: Bastiaan Franciscus van den Dikkenberg Installed-Size: 46 Depends: libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28) Homepage: http://www.outoforder.cc/projects/libs/apr_memcache/ Priority: extra Section: libs Filename: pool/main/liba/libapr-memcache/libapr-memcache0_0.7.0-1_armhf.deb Size: 10568 SHA256: b400ac2fdb62ab5a08aea0f53b03c76fc619602d2e0e72bd06727f1ff64c8b14 SHA1: 558d1d73c2cb963b6192f03010c3d69dc34f6742 MD5sum: d1f538b5cded7145e5c0ac5cef523564 Description: memcache client library apr_memcache is a client for memcache written in C, using APR and APR-Util. It provides pooled client connections and is thread safe, making it perfect for use inside Apache Modules. libapr-memcache0 is used with serval Apache modules as libapache2-mod-gnutls (with memcache support) Package: libapr1 Source: apr Version: 1.4.6-3+deb7u1 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 214 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libuuid1 (>= 2.16) Conflicts: libapr1.0 Homepage: http://apr.apache.org/ Priority: optional Section: libs Filename: pool/main/a/apr/libapr1_1.4.6-3+deb7u1_armhf.deb Size: 90876 SHA256: a8561dd865a247ac26598e79b1e8c9ca2cfd34f2addbcff333115343e3e28131 SHA1: 5f5b20df2eec89a935db801844c804a64d2f9764 MD5sum: 472f678d9fd2a2e169771dfeaf2780dc Description: Apache Portable Runtime Library APR is Apache's Portable Runtime Library, designed to be a support library that provides a predictable and consistent interface to underlying platform-specific implementations. Package: libapr1-dbg Source: apr Version: 1.4.6-3+deb7u1 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 255 Depends: libapr1 (= 1.4.6-3+deb7u1) Homepage: http://apr.apache.org/ Priority: extra Section: debug Filename: pool/main/a/apr/libapr1-dbg_1.4.6-3+deb7u1_armhf.deb Size: 183978 SHA256: 51187423c08fa7c0cff67a6df17e1b74f5efa7c9c09c8648266b3b1d2e49d746 SHA1: 2506dd71cb837e55276addd0c446706989c02a36 MD5sum: e5240c1eeb8a6abc04edc1412f399a99 Description: Apache Portable Runtime Library - Debugging Symbols APR is Apache's Portable Runtime Library, designed to be a support library that provides a predictable and consistent interface to underlying platform-specific implementations. . This package contains the debugging symbols for APR. Package: libapr1-dev Source: apr Version: 1.4.6-3+deb7u1 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 6917 Depends: libapr1 (= 1.4.6-3+deb7u1), uuid-dev Suggests: python Conflicts: libapr0-dev, libapr1.0-dev Homepage: http://apr.apache.org/ Priority: optional Section: libdevel Filename: pool/main/a/apr/libapr1-dev_1.4.6-3+deb7u1_armhf.deb Size: 1108652 SHA256: 2df5aada222dafeb31af4b313d51f7a1e3f64167819ab66eb3a98065e00b1329 SHA1: d7d3dd39745710b35769633f39f8a9b36fdbdb66 MD5sum: 32b93683840ec6d2456593c11bd240b0 Description: Apache Portable Runtime Library - Development Headers APR is Apache's Portable Runtime Library, designed to be a support library that provides a predictable and consistent interface to underlying platform-specific implementations. . This package contains development headers for APR. Package: libapreq2 Version: 2.13-1 Architecture: armhf Maintainer: Steinar H. Gunderson Installed-Size: 93 Depends: libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Recommends: libapache2-mod-apreq2 Replaces: libapache2-request-perl (<< 2.05-dev-3) Priority: optional Section: libs Filename: pool/main/liba/libapreq2/libapreq2_2.13-1_armhf.deb Size: 42332 SHA256: 1cdca4f099b8335bab3bcd926fb19bc7ef915f4806ea442a07c87f59829c1461 SHA1: 335de584bf9c7845a9b93c4a0a499fed797abaf1 MD5sum: 975b62afdff334d123b761db9d61e98a Description: generic Apache request library The generic Apache request library (APR) contains modules for manipulating client request data with the Apache API from Perl and C. Functionality includes: . - parsing of application/x-www-form-urlencoded data - parsing of multipart/form-data - parsing of HTTP Cookies . This package contains the main library. Package: libapreq2-dev Source: libapreq2 Version: 2.13-1 Architecture: armhf Maintainer: Steinar H. Gunderson Installed-Size: 210 Depends: libapreq2 (= 2.13-1), libapr1-dev Recommends: libapreq2-doc (= 2.13-1) Replaces: libapache2-request-perl (<< 2.05-dev-3) Priority: optional Section: libdevel Filename: pool/main/liba/libapreq2/libapreq2-dev_2.13-1_armhf.deb Size: 63648 SHA256: edd1db4634a938b3ae847947431789b4ce9af799a6f7420ba580e710f3856c5c SHA1: 6e06f74f3df16cf1246f3f4062472f747194cf0c MD5sum: f806eb988923bca22d8cf51765b9cab3 Description: generic Apache request library - development files The generic Apache request library (APR) contains modules for manipulating client request data with the Apache API from Perl and C. Functionality includes: . - parsing of application/x-www-form-urlencoded data - parsing of multipart/form-data - parsing of HTTP Cookies . This package contains the development library and C headers required for compiling programs against the library. Package: libapreq2-doc Source: libapreq2 Version: 2.13-1 Installed-Size: 1156 Maintainer: Steinar H. Gunderson Architecture: all Recommends: libapreq2-dev (= 2.13-1) Size: 203048 SHA256: 30cd9c5eaf5652ac20bdb6e1e78211b9ec5d716bd23d142a528d91709d9705fc SHA1: 7bbe9fb7a96240527b13535c7d6712077cf8b7e7 MD5sum: 8f9901132d1cea90490c059d04ec0f15 Description: generic Apache request library - documentation The generic Apache request library (APR) contains modules for manipulating client request data with the Apache API from Perl and C. Functionality includes: . - parsing of application/x-www-form-urlencoded data - parsing of multipart/form-data - parsing of HTTP Cookies . This package contains the programming documentation for the library. Tag: devel::doc, made-of::html, made-of::man, protocol::http, role::documentation, suite::apache Section: doc Priority: optional Filename: pool/main/liba/libapreq2/libapreq2-doc_2.13-1_all.deb Package: libapron Source: apron Version: 0.9.10-5.2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 1387 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libmpfr4 (>= 3.1.0), libppl9, libstdc++6 (>= 4.4.0) Homepage: http://apron.cri.ensmp.fr/library/ Priority: optional Section: libs Filename: pool/main/a/apron/libapron_0.9.10-5.2_armhf.deb Size: 613318 SHA256: fc690d5c2a5265527b4990051a83ea92a37008b54c98ebfe7e8b7d82cb6f4657 SHA1: 8da11999746c109ffcfce0daaa4f8da48f6bdae5 MD5sum: 01a60da73e5c1ab782d85e2c3dc95179 Description: runtime libraries for APRON The APRON library is dedicated to the static analysis of the numerical variables of a program by Abstract Interpretation. The aim of such an analysis is to infer invariants about these variables. It is intended to be a common interface to various underlying libraries/abstract domains and to provide additional services that can be implemented independently from the underlying library/abstract domain. . This package contains the shared libraries needed at runtime. Package: libapron-dev Source: apron Version: 0.9.10-5.2 Installed-Size: 1636 Maintainer: Debian OCaml Maintainers Architecture: all Depends: libgmp3-dev, libmpfr-dev, libppl-dev Size: 197276 SHA256: a90655c195bce8c9d99ba0157323ced36e7c915faf0d8ba3507aa41cd4e216b1 SHA1: 99567f0270f91fdbd3af944986f73e3fa491f039 MD5sum: 69593e88e4b4970a2fcd67c0e575d221 Description: an abstract interpretation library The APRON library is dedicated to the static analysis of the numerical variables of a program by Abstract Interpretation. The aim of such an analysis is to infer invariants about these variables. It is intended to be a common interface to various underlying libraries/abstract domains and to provide additional services that can be implemented independently from the underlying library/abstract domain. . This package contains the files necessary to use the APRON library in C. Homepage: http://apron.cri.ensmp.fr/library/ Tag: devel::lang:c, devel::library, devel::testing-qa, implemented-in::c, role::devel-lib, use::analysing, use::checking Section: libdevel Priority: optional Filename: pool/main/a/apron/libapron-dev_0.9.10-5.2_all.deb Package: libapron-ocaml Source: apron Version: 0.9.10-5.2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 249 Depends: ocaml-base-nox-3.12.1, libapron, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgmp10, libmpfr4 (>= 3.1.0), libstdc++6 (>= 4.1.1) Provides: libapron-ocaml-mmdo7 Homepage: http://apron.cri.ensmp.fr/library/ Priority: optional Section: ocaml Filename: pool/main/a/apron/libapron-ocaml_0.9.10-5.2_armhf.deb Size: 70122 SHA256: 3d5c777584e358428efcd5516e3bd3a5c30c8c37ff4dcd89c66490e253d8784d SHA1: 6c198658db25b560ca1f7d7e7dc6f7dc2eeaa296 MD5sum: 836c76af4bba425870173cf34c1e4b79 Description: runtime libraries for APRON (OCaml interface) The APRON library is dedicated to the static analysis of the numerical variables of a program by Abstract Interpretation. The aim of such an analysis is to infer invariants about these variables. It is intended to be a common interface to various underlying libraries/abstract domains and to provide additional services that can be implemented independently from the underlying library/abstract domain. . This package include only the dynamic libraries needed for running dynamic bytecode executables. Package: libapron-ocaml-dev Source: apron Version: 0.9.10-5.2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 11144 Depends: libapron-ocaml-mmdo7, ocaml-nox-3.12.1, libapron-dev Provides: libapron-ocaml-dev-mmdo7 Homepage: http://apron.cri.ensmp.fr/library/ Priority: optional Section: ocaml Filename: pool/main/a/apron/libapron-ocaml-dev_0.9.10-5.2_armhf.deb Size: 2956112 SHA256: 0eae3f24a13c5aa7328602e214b8bbbc126c43c27bdbffe3bc8d23cc792782c3 SHA1: 5110737bceb225092a38fa36435d07b0b3deab6d MD5sum: 0214541c44089725338a6e991cca0653 Description: an abstract interpretation library (OCaml interface) The APRON library is dedicated to the static analysis of the numerical variables of a program by Abstract Interpretation. The aim of such an analysis is to infer invariants about these variables. It is intended to be a common interface to various underlying libraries/abstract domains and to provide additional services that can be implemented independently from the underlying library/abstract domain. . This package contains the files necessary to use the APRON library in OCaml. Package: libaprutil1 Source: apr-util Version: 1.4.1-3+deb7u1 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 198 Depends: libapr1 (>= 1.3.2), libc6 (>= 2.13-28), libdb5.1, libexpat1 (>= 2.0.1), libssl1.0.0 (>= 1.0.0), libuuid1 (>= 2.16) Homepage: http://apr.apache.org/ Priority: optional Section: libs Filename: pool/main/a/apr-util/libaprutil1_1.4.1-3+deb7u1_armhf.deb Size: 77330 SHA256: 6e6f9a01ea8c564271bd5f12c092fae555db7ac73c66418f9d9ada015fa78be0 SHA1: aa35a19c9bc356b1f5b242eb76f074d083d2288d MD5sum: 7b817f2d0506abcf76924f970c6aaf60 Description: Apache Portable Runtime Utility Library APR is Apache's Portable Runtime Library, designed to be a support library that provides a predictable and consistent interface to underlying platform-specific implementations. . APR Util is a utilities library implemented on top of apr, providing database access, xml parsing, and other useful functionality. Package: libaprutil1-dbd-freetds Source: apr-util Version: 1.4.1-3+deb7u1 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 62 Depends: libaprutil1 (= 1.4.1-3+deb7u1), libc6 (>= 2.13-28), libsybdb5 (>= 0.63) Homepage: http://apr.apache.org/ Priority: optional Section: libs Filename: pool/main/a/apr-util/libaprutil1-dbd-freetds_1.4.1-3+deb7u1_armhf.deb Size: 17884 SHA256: bfca52bfe3886ea1f83d04136442fb4db2d9d03a968fbbd727629c15fef9fad6 SHA1: 1ae8ff472d7b586d84733cc6e4baa34fc850a89a MD5sum: 67a6537fc7b4a38edc8481880973571a Description: Apache Portable Runtime Utility Library - FreeTDS Driver APR is Apache's Portable Runtime Library, designed to be a support library that provides a predictable and consistent interface to underlying platform-specific implementations. . APR Util is a utilities library implemented on top of apr, providing database access, xml parsing, and other useful functionality. . This package contains the FreeTDS (MS SQL and Sybase) database driver. Package: libaprutil1-dbd-mysql Source: apr-util Version: 1.4.1-3+deb7u1 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 66 Depends: libaprutil1 (= 1.4.1-3+deb7u1), libc6 (>= 2.13-28), libmysqlclient18 (>= 5.5.24+dfsg-1), zlib1g (>= 1:1.1.4) Homepage: http://apr.apache.org/ Priority: optional Section: libs Filename: pool/main/a/apr-util/libaprutil1-dbd-mysql_1.4.1-3+deb7u1_armhf.deb Size: 19538 SHA256: aad498d353b5871d8dbc423fe4f5d02c0ebcd40a44a5bfad169d0c9ee39147bf SHA1: dfdab0cda7b870c78ae18e6b42c587a057a480a4 MD5sum: 00918340b5a2bc5ad29f11dcd161bf2e Description: Apache Portable Runtime Utility Library - MySQL Driver APR is Apache's Portable Runtime Library, designed to be a support library that provides a predictable and consistent interface to underlying platform-specific implementations. . APR Util is a utilities library implemented on top of apr, providing database access, xml parsing, and other useful functionality. . This package contains the MySQL database driver. Package: libaprutil1-dbd-odbc Source: apr-util Version: 1.4.1-3+deb7u1 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 74 Depends: libaprutil1 (= 1.4.1-3+deb7u1), libc6 (>= 2.13-28), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11) Homepage: http://apr.apache.org/ Priority: optional Section: libs Filename: pool/main/a/apr-util/libaprutil1-dbd-odbc_1.4.1-3+deb7u1_armhf.deb Size: 23556 SHA256: 6e6711690e9adc00802b008241f05049ff6176b60389fcaa583ba71f1c409f2b SHA1: 5a242bca1d7ec957e75d273954212e2c7a42c39f MD5sum: b9b0fe4399064aee67a3216cece0de2d Description: Apache Portable Runtime Utility Library - ODBC Driver APR is Apache's Portable Runtime Library, designed to be a support library that provides a predictable and consistent interface to underlying platform-specific implementations. . APR Util is a utilities library implemented on top of apr, providing database access, xml parsing, and other useful functionality. . This package contains the ODBC database driver. Package: libaprutil1-dbd-pgsql Source: apr-util Version: 1.4.1-3+deb7u1 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 66 Depends: libaprutil1 (= 1.4.1-3+deb7u1), libc6 (>= 2.13-28), libpq5 Homepage: http://apr.apache.org/ Priority: optional Section: libs Filename: pool/main/a/apr-util/libaprutil1-dbd-pgsql_1.4.1-3+deb7u1_armhf.deb Size: 19384 SHA256: 7c6ea6cc1ccdf16c1601e8113d6c289a83a4c4f2bcfc706ffb60b9c70687fb9e SHA1: 06bd1ba9c2fdf2f876b394d8bab8c7cf1ad84691 MD5sum: fa7c102b1f6c478d3654c87a4ee0159f Description: Apache Portable Runtime Utility Library - PostgreSQL Driver APR is Apache's Portable Runtime Library, designed to be a support library that provides a predictable and consistent interface to underlying platform-specific implementations. . APR Util is a utilities library implemented on top of apr, providing database access, xml parsing, and other useful functionality. . This package contains the PostgreSQL database driver. Package: libaprutil1-dbd-sqlite3 Source: apr-util Version: 1.4.1-3+deb7u1 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 62 Depends: libaprutil1 (= 1.4.1-3+deb7u1), libc6 (>= 2.13-28), libsqlite3-0 (>= 3.5.9) Homepage: http://apr.apache.org/ Priority: optional Section: libs Filename: pool/main/a/apr-util/libaprutil1-dbd-sqlite3_1.4.1-3+deb7u1_armhf.deb Size: 17426 SHA256: 1667ca2db0b024f02ebb36b722bcf49d62b9fcdd1110e844b747522ee97435a6 SHA1: 290f452ddf4a83d14b10ea3ad9d9adb7a33d786c MD5sum: 7647664322dbe0f6e4be9d94a0f57f7b Description: Apache Portable Runtime Utility Library - SQLite3 Driver APR is Apache's Portable Runtime Library, designed to be a support library that provides a predictable and consistent interface to underlying platform-specific implementations. . APR Util is a utilities library implemented on top of apr, providing database access, xml parsing, and other useful functionality. . This package contains the SQLite3 database driver. Package: libaprutil1-dbg Source: apr-util Version: 1.4.1-3+deb7u1 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 371 Depends: libaprutil1 (= 1.4.1-3+deb7u1) Homepage: http://apr.apache.org/ Priority: extra Section: debug Filename: pool/main/a/apr-util/libaprutil1-dbg_1.4.1-3+deb7u1_armhf.deb Size: 239540 SHA256: ae00b5f4c8046c34a501986ad26ff5f9fff696c508eb50752e36a349b8c33be3 SHA1: aadbabe8e5a57893af2ce8a6cb0585337590c95d MD5sum: d744fdd2ff981d22210bfaa9e880b5ea Description: Apache Portable Runtime Utility Library - Debugging Symbols APR is Apache's Portable Runtime Library, designed to be a support library that provides a predictable and consistent interface to underlying platform-specific implementations. . APR Util is a utilities library implemented on top of apr, providing database access, xml parsing, and other useful functionality. . This package contains the debugging symbols for APR util. Package: libaprutil1-dev Source: apr-util Version: 1.4.1-3+deb7u1 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 4801 Depends: libaprutil1 (= 1.4.1-3+deb7u1), libldap2-dev, libexpat1-dev, libpcre3-dev, libapr1-dev (>= 1.2.2-1), libsqlite3-dev, libpq-dev, libmysqlclient-dev Homepage: http://apr.apache.org/ Priority: optional Section: libdevel Filename: pool/main/a/apr-util/libaprutil1-dev_1.4.1-3+deb7u1_armhf.deb Size: 703386 SHA256: 572b54b524697648416c4f96a800775e790e1c81e9bbb886617c63f1e74d9731 SHA1: b4b18c7e9f552a90c74bfe3a600438bf5a9bd592 MD5sum: cb368a97a7549cee83fa60a407391c6e Description: Apache Portable Runtime Utility Library - Development Headers APR is Apache's Portable Runtime Library, designed to be a support library that provides a predictable and consistent interface to underlying platform-specific implementations. . APR Util is a utilities library implemented on top of apr, providing database access, xml parsing, and other useful functionality. . This package contains development headers for APR util. Package: libaprutil1-ldap Source: apr-util Version: 1.4.1-3+deb7u1 Architecture: armhf Maintainer: Debian Apache Maintainers Installed-Size: 58 Depends: libaprutil1 (= 1.4.1-3+deb7u1), libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7) Homepage: http://apr.apache.org/ Priority: optional Section: libs Filename: pool/main/a/apr-util/libaprutil1-ldap_1.4.1-3+deb7u1_armhf.deb Size: 16242 SHA256: 0ea9fe0abfa9e1553753149936299b1baefc5fd68e0836a04f6a4b1630daf514 SHA1: 1f29d1a3274c697a7b1f8a94e6eb987bc08c6405 MD5sum: 665318f1c160ca9f9f4114d68e50dbaf Description: Apache Portable Runtime Utility Library - LDAP Driver APR is Apache's Portable Runtime Library, designed to be a support library that provides a predictable and consistent interface to underlying platform-specific implementations. . APR Util is a utilities library implemented on top of apr, providing database access, xml parsing, and other useful functionality. . This package contains the LDAP driver. Package: libapt-inst1.5 Source: apt Version: 0.9.7.9+rpi1+deb7u7 Architecture: armhf Maintainer: APT Development Team Installed-Size: 691 Pre-Depends: multiarch-support Depends: libapt-pkg4.12 (>= 0.8.16~exp9), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Multi-Arch: same Priority: important Section: libs Filename: pool/main/a/apt/libapt-inst1.5_0.9.7.9+rpi1+deb7u7_armhf.deb Size: 164346 SHA256: 60460ebab96c93ebda955face1d5b8dba1cff77d801e697d79f437fa450aed1e SHA1: 5f58835d8c9c3e5bee2d7ddf89aa5b89337cca4d MD5sum: c25a3936c91126860d910a737f00f3d5 Description: deb package format runtime library This library provides methods to query and extract information from deb packages. This includes the control data and the package file content. Package: libapt-pkg-dev Source: apt Version: 0.9.7.9+rpi1+deb7u7 Architecture: armhf Maintainer: APT Development Team Installed-Size: 477 Depends: libapt-pkg4.12 (= 0.9.7.9+rpi1+deb7u7), libapt-inst1.5 (= 0.9.7.9+rpi1+deb7u7), zlib1g-dev Multi-Arch: same Priority: optional Section: libdevel Filename: pool/main/a/apt/libapt-pkg-dev_0.9.7.9+rpi1+deb7u7_armhf.deb Size: 186780 SHA256: 588d30a685572fa6b03712f89b686dcdb864fbe29a8a3179c17bfd448748694d SHA1: da55edcbaa63fa4bb24aad545cc5d1df612c5aed MD5sum: fb494f8881878361192dbbf86de10114 Description: development files for APT's libapt-pkg and libapt-inst This package contains the header files and libraries for developing with APT's libapt-pkg Debian package manipulation library and the libapt-inst deb/tar/ar library. Package: libapt-pkg-doc Source: apt Version: 0.9.7.9+rpi1+deb7u7 Architecture: all Maintainer: APT Development Team Installed-Size: 6444 Priority: optional Section: doc Filename: pool/main/a/apt/libapt-pkg-doc_0.9.7.9+rpi1+deb7u7_all.deb Size: 960878 SHA256: 757b07c2ad4c392dded010b80708442bb93ce57036963b3adeb0a955c1a07d2c SHA1: 26007eb70d1913f34c91581f559999e6b133aa4a MD5sum: d20f9c57c11ef7f925ee666dafd03750 Description: documentation for APT development This package contains documentation for development of the APT Debian package manipulation program and its libraries. . This includes the source code documentation generated by doxygen in html format. Package: libapt-pkg-perl Source: libapt-pkg-perl (0.1.26) Version: 0.1.26+b1 Architecture: armhf Maintainer: Brendan O'Dea Installed-Size: 234 Depends: perl-base (>= 5.14.2-9), perlapi-5.14.2, libapt-pkg4.12 (>= 0.8.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Priority: optional Section: perl Filename: pool/main/liba/libapt-pkg-perl/libapt-pkg-perl_0.1.26+b1_armhf.deb Size: 80944 SHA256: affa04358026f5a6acd2cc9b0227c87c059646f7b009a708bad2003bac10795f SHA1: d0c9b3705902aaf2500f4426f1baee519de1f9dd MD5sum: d4890c553f4fae537c75c0b94808e713 Description: Perl interface to libapt-pkg A Perl interface to APT's libapt-pkg which provides modules for configuration file/command line parsing, version comparison, inspection of the binary package cache and source package details. Package: libapt-pkg4.12 Source: apt Version: 0.9.7.9+rpi1+deb7u7 Architecture: armhf Maintainer: APT Development Team Installed-Size: 2645 Pre-Depends: multiarch-support Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.2.3.3) Breaks: apt (<< 0.9.4~) Multi-Arch: same Priority: important Section: libs Filename: pool/main/a/apt/libapt-pkg4.12_0.9.7.9+rpi1+deb7u7_armhf.deb Size: 858950 SHA256: 97a78014ffc50ecefbfb4817e4848f389a486838c4c42684773c3e11fdb3b5c7 SHA1: d69bc8555a4565fafffd55596e54c8135571a983 MD5sum: b463a2c6a77e79e3c26259a166af69a6 Description: package managment runtime library This library provides the common functionality for searching and managing packages as well as information about packages. Higher-level package managers can depend upon this library. . This includes: * retrieval of information about packages from multiple sources * retrieval of packages and all dependent packages needed to satisfy a request either through an internal solver or by interfacing with an external one * authenticating the sources and validating the retrieved data * installation and removal of packages in the system * providing different transports to retrieve data over cdrom, ftp, http, rsh as well as an interface to add more transports like https (apt-transport-https) and debtorrent (apt-transport-debtorrent). Package: libaqbanking-data Source: libaqbanking Version: 5.0.24-3 Installed-Size: 15704 Maintainer: Micha Lenk Architecture: all Recommends: libaqbanking34 Size: 2513634 SHA256: 0ddec6f2eb76884f5ea470397a8e865953fec9ebaf06af8d11438ab5d00193c6 SHA1: 47cdbd67b945c47999e53bb2b823b7b6ef419858 MD5sum: 4d99098c21ad04727bcbb16ef86ad036 Description: configuration files for libaqbanking AqBanking provides a middle layer between the applications and online banking libraries implementing various file formats and protocols. Plugins supporting OFX, DTAUS, and HBCI are available. . This package contains data files for AqBanking. Homepage: http://www.aquamaniac.de/aqbanking/ Tag: role::app-data Section: libs Priority: optional Filename: pool/main/liba/libaqbanking/libaqbanking-data_5.0.24-3_all.deb Package: libaqbanking-doc Source: libaqbanking Version: 5.0.24-3 Installed-Size: 8148 Maintainer: Micha Lenk Architecture: all Depends: libjs-jquery Enhances: libaqbanking34-dev Size: 929562 SHA256: 1cd7a7776745d4e520984bf463d8ab3901c4cc03c2851fcc8e465c22f300093f SHA1: a9a664f0afba67e6568064fddd65b1d5182d1a49 MD5sum: a257155f0e76d30ea7b1fa88d5780cc4 Description: library for online banking applications AqBanking provides a middle layer between the applications and online banking libraries implementing various file formats and protocols. Plugins supporting OFX, DTAUS, and HBCI are available. . This package contains the API documentation. Homepage: http://www.aquamaniac.de/aqbanking/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/liba/libaqbanking/libaqbanking-doc_5.0.24-3_all.deb Package: libaqbanking-plugins-libgwenhywfar60 Source: libaqbanking Version: 5.0.24-3 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 155 Depends: libaqbanking34 (>= 4.0.0), libc6 (>= 2.13-28), libgwenhywfar60 (>= 4.3.1) Provides: libaqbanking-plugins-libgwenhywfar Homepage: http://www.aquamaniac.de/aqbanking/ Priority: optional Section: libs Filename: pool/main/liba/libaqbanking/libaqbanking-plugins-libgwenhywfar60_5.0.24-3_armhf.deb Size: 66692 SHA256: a2e68aac7bd2fdcfe9742c01405e7f172c6927378653bc898b4313bc520df4a6 SHA1: a9d86663523d822ec15941a3ed5016e29f828241 MD5sum: 95d5d6c9720031f8fda4d54980119480 Description: library for online banking applications plugins to libgwenhywfar AqBanking provides a middle layer between the applications and online banking libraries implementing various file formats and protocols. Plugins supporting OFX, DTAUS, and HBCI are available. . This package contains plugins to libgwenhywfar. Package: libaqbanking34 Source: libaqbanking Version: 5.0.24-3 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 645 Depends: libc6 (>= 2.13-28), libgmp10, libgwenhywfar60 (>= 4.3.1), libaqbanking-data (>= 5.0.24-3) Recommends: libaqbanking34-plugins, libaqbanking-plugins-libgwenhywfar60 Suggests: aqbanking-tools Homepage: http://www.aquamaniac.de/aqbanking/ Priority: optional Section: libs Filename: pool/main/liba/libaqbanking/libaqbanking34_5.0.24-3_armhf.deb Size: 228974 SHA256: f812952c0c6668869aff0941386482254264d78220e76d2e4ca6265c1fdc0650 SHA1: 982629f4ef39e8328dc0c5907c1506dda995dfe0 MD5sum: e510977711cb7ded4c172e1a62daf1b6 Description: library for online banking applications AqBanking provides a middle layer between the applications and online banking libraries implementing various file formats and protocols. Plugins supporting OFX, DTAUS, and HBCI are available. Package: libaqbanking34-dbg Source: libaqbanking Version: 5.0.24-3 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 3298 Depends: libaqbanking34 (= 5.0.24-3), libaqbanking34-plugins (= 5.0.24-3), libaqbanking-plugins-libgwenhywfar60 (= 5.0.24-3), libaqhbci20 (= 5.0.24-3), libaqofxconnect7 (= 5.0.24-3), aqbanking-tools (= 5.0.24-3) Suggests: libgwenhywfar60-dbg, libktoblzcheck-dbg Conflicts: libaqbanking-dbg Provides: libaqbanking-dbg Homepage: http://www.aquamaniac.de/aqbanking/ Priority: extra Section: debug Filename: pool/main/liba/libaqbanking/libaqbanking34-dbg_5.0.24-3_armhf.deb Size: 1084012 SHA256: 458aa9861d16b9c01a478134e4ebf94591a123e990887f6403cc510806d19d63 SHA1: 549142795089c1bc53e3e079890d8104ba15879f MD5sum: a956fc823d88b50edc64a7c20fefaba7 Description: library for online banking applications - debug symbols AqBanking provides a middle layer between the applications and online banking libraries implementing various file formats and protocols. Plugins supporting OFX, DTAUS, and HBCI are available. . This package contains the debug symbols needed for debugging AqBanking. Package: libaqbanking34-dev Source: libaqbanking Version: 5.0.24-3 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 528 Depends: libaqbanking34 (= 5.0.24-3), libaqhbci20 (= 5.0.24-3), libaqofxconnect7 (= 5.0.24-3), libgwenhywfar60-dev, libktoblzcheck1-dev Suggests: libaqbanking-doc Conflicts: libaqbanking-dev Provides: libaqbanking-dev Homepage: http://www.aquamaniac.de/aqbanking/ Priority: optional Section: libdevel Filename: pool/main/liba/libaqbanking/libaqbanking34-dev_5.0.24-3_armhf.deb Size: 107148 SHA256: 635dfc08a3788ee57bad0c30902d3cd78739c9e2aa448009f85e1dc8c78793ba SHA1: 03af1729c436472af3654776549692eb7130166c MD5sum: 9de269e592f11ed39c876ee5b647b4ea Description: library for online banking applications AqBanking provides a middle layer between the applications and online banking libraries implementing various file formats and protocols. Plugins supporting OFX, DTAUS, and HBCI are available. . This package contains the development files for AqBanking. Package: libaqbanking34-plugins Source: libaqbanking Version: 5.0.24-3 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 442 Depends: libaqbanking34 (>= 4.2.6), libaqhbci20 (>= 4.1.8), libaqofxconnect7 (>= 4.0.0), libc6 (>= 2.13-28), libgmp10, libgwenhywfar60 (>= 4.3.1), libktoblzcheck1c2a (>= 1.19) Homepage: http://www.aquamaniac.de/aqbanking/ Priority: optional Section: libs Filename: pool/main/liba/libaqbanking/libaqbanking34-plugins_5.0.24-3_armhf.deb Size: 142650 SHA256: a84f97f1de3af4ac5a0d653d82bf63fac9900ccb4b644d39141a51fd28f94d30 SHA1: 3810fbcf47d3cc100764b1718254372e49471b7b MD5sum: 2304134a19d3b413c4971c5ec82f3636 Description: plugins needed by libaqbanking34 AqBanking provides a middle layer between the applications and online banking libraries implementing various file formats and protocols. Plugins supporting OFX, DTAUS, and HBCI are available. . This package provides several plugins to AqBanking needed for features like: * HBCI support * OFX support * import/export of data in various formats (CSV, DTAUS, OFX, OpenHBCI1, SWIFT and others) * integrated debugging and setup wizard GUIs Package: libaqhbci20 Source: libaqbanking Version: 5.0.24-3 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 751 Depends: libaqbanking34 (>= 5.0.6), libc6 (>= 2.13-28), libgwenhywfar60 (>= 4.3.1), libaqbanking-data (>= 5.0.24-3) Suggests: libchipcard-libgwenhywfar60-plugins Enhances: libaqbanking34 Provides: libaqbanking-backend Homepage: http://www.aquamaniac.de/aqbanking/ Priority: optional Section: libs Filename: pool/main/liba/libaqbanking/libaqhbci20_5.0.24-3_armhf.deb Size: 303774 SHA256: 16232f926bbf66ca7fd1ecc56960c0b679337c19b779535bd00ed8e7773ccd85 SHA1: 64644803544bd6defbba9a1b27ecb5a8c532ba12 MD5sum: b9361fb11d49c9319552e163d90f847c Description: library for HBCI online banking This library provides an API implementing the Home Banking Computer Interface, HBCI, a protocol popular with German banks. It is best used in conjunction with AqBanking, see the libaqbanking packages. . If you intend to use HBCI with digital signatures stored and/or processed on chipcards you will also need to install the package libchipcard-libgwenhywfar60-plugins. Package: libaqofxconnect7 Source: libaqbanking Version: 5.0.24-3 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 213 Depends: libaqbanking34 (>= 4.99.20), libc6 (>= 2.13-28), libgwenhywfar60 (>= 4.3.1) Homepage: http://www.aquamaniac.de/aqbanking/ Priority: optional Section: libs Filename: pool/main/liba/libaqbanking/libaqofxconnect7_5.0.24-3_armhf.deb Size: 87948 SHA256: 6f209094235b50f1054387be418a3da2146fbbef77db155551122e583bddbf8f SHA1: 5d70ad8275bf3de81c6abc483d8477b0722add28 MD5sum: fd9fc8257c330c60a834737c718c15ca Description: library for OFX online banking AqBanking provides a middle layer between the applications and online banking libraries implementing various file formats and protocols. Plugins supporting OFX, DTAUS, and HBCI are available. . This package provides support for Open Financial Exchange (OFX) in AqBanking. OFX is a specification for the electronic exchange of financial data between financial institutions, business and consumers via the Internet. Package: libaqsis-dev Source: aqsis Version: 1.8.1-3 Architecture: armhf Maintainer: Manuel A. Fernandez Montecelo Installed-Size: 123 Depends: libaqsis1 (= 1.8.1-3) Breaks: aqsis-libs-dev (<< 1.6.0) Replaces: aqsis-libs-dev (<< 1.6.0) Homepage: http://www.aqsis.org/ Priority: optional Section: libdevel Filename: pool/main/a/aqsis/libaqsis-dev_1.8.1-3_armhf.deb Size: 28590 SHA256: 183047c9d106ff213a32aac8b5844b4e604bf3502282d9706522b31040b5fbfe SHA1: a23153211b6d934e6533c61565d417eea01fb4a8 MD5sum: 270a0f5f0d2f12a6d59dd600e67b25b8 Description: 3D rendering solution adhering to the RenderMan(R) standard, development files Aqsis is a high quality, photorealistic, 3D rendering solution. It complies with the RenderMan(R) interface standard defined by Pixar. . The RenderMan(R) standard has been used in film and television visual effects since its introduction in 1989. Pixar has used their own implementation for all of their award winning CG features, and provided their implementation for use in the visual effects of most major blockbuster films over the last 2 decades. The Aqsis project offers a way for individuals and organisations alike to gain experience with the RenderMan(R) interface without the cost of commercial software licenses. . This package contains the Aqsis development files. Package: libaqsis1 Source: aqsis Version: 1.8.1-3 Architecture: armhf Maintainer: Manuel A. Fernandez Montecelo Installed-Size: 6616 Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libilmbase6 (>= 1.0.1), libopenexr6 (>= 1.6.1), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libtiffxx0c2 (>> 3.9.5-3~), libtinyxml2.6.2, zlib1g (>= 1:1.1.4) Breaks: aqsis-libs (<< 1.6.0), aqsis-libsc2a (<< 1.6.0) Replaces: aqsis-libs (<< 1.6.0), aqsis-libsc2a (<< 1.6.0) Homepage: http://www.aqsis.org/ Priority: optional Section: libs Filename: pool/main/a/aqsis/libaqsis1_1.8.1-3_armhf.deb Size: 2323322 SHA256: 1d61c0e1ab356ecc03e450e448570a4003f46a0a4bd0777dd4317f6229a9c803 SHA1: 4ea3b474ed6e9da94b66a306bcf9d0c6def1fd1e MD5sum: 0a065d93c108e4b1ef44e8dad8ccc3c6 Description: 3D rendering solution adhering to the RenderMan(R) standard, shared libs Aqsis is a high quality, photorealistic, 3D rendering solution. It complies with the RenderMan(R) interface standard defined by Pixar. . The RenderMan(R) standard has been used in film and television visual effects since its introduction in 1989. Pixar has used their own implementation for all of their award winning CG features, and provided their implementation for use in the visual effects of most major blockbuster films over the last 2 decades. The Aqsis project offers a way for individuals and organisations alike to gain experience with the RenderMan(R) interface without the cost of commercial software licenses. . This package contains the Aqsis shared libraries. Package: libarc-php Source: php-arc Version: 2~20101006-2 Installed-Size: 712 Maintainer: Olivier Berger Architecture: all Depends: php5 Suggests: php5-mysql Size: 106758 SHA256: a7d7f453abca0569c3f5e40d90506c4d9029c3074e15ff1bc40807aebc8397b9 SHA1: e91b21ea4d3eb2a1efc717f2bb198ce4ba23cabd MD5sum: ce6bad1e9f10c49c7aef53397d7806c3 Description: Flexible RDF system for semantic web and PHP practitioners ARC is a flexible RDF system for semantic web and PHP practitioners. . It offers the following features : Various RDF parsers and extractors (RDF/XML, Turtle, RSS, microformats, eRDF, RDFa, ...); Serializers (N-Triples, RDF/JSON, RDF/XML, Turtle); RDF Storage, SPARQL Query, and Update; Turtle templating and Plugins and Triggers. Homepage: http://arc.semsol.org/ Section: php Priority: optional Filename: pool/main/p/php-arc/libarc-php_2~20101006-2_all.deb Package: libarccommon2 Source: nordugrid-arc Version: 2.0.0-3 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 4218 Depends: libc6 (>= 2.13-28), libdb5.1++, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libsigc++-2.0-0c2a (>= 2.0.2), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libuuid1 (>= 2.16), libxml2 (>= 2.7.4), libxmlsec1 (>= 1.2.18), libxmlsec1-openssl (>= 1.2.18), libxslt1.1 (>= 1.1.25), zlib1g (>= 1:1.1.4), perl Conflicts: libarccommon0, libarccommon1, nordugrid-arc-chelonia (<< 2.0.0~), nordugrid-arc-hed (<< 1.0.1~rc2~), nordugrid-arc-hopi (<< 2.0.0~), nordugrid-arc-httpsd (<< 0.8.2~), nordugrid-arc-isis (<< 2.0.0~), nordugrid-arc-janitor (<< 2.0.0~), nordugrid-arc-libs (<< 1.0.0~), nordugrid-arc-logger-server (<< 0.8.2~), nordugrid-arc-nox (<< 1.3.0~), nordugrid-arc-nox-charon (<< 1.3.0~), nordugrid-arc-nox-chelonia (<< 1.3.0~), nordugrid-arc-nox-compiler (<< 1.3.0~), nordugrid-arc-nox-delegation (<< 1.3.0~), nordugrid-arc-nox-hopi (<< 1.3.0~), nordugrid-arc-nox-isis (<< 1.3.0~), nordugrid-arc-nox-janitor (<< 1.3.0~), nordugrid-arc-nox-paul (<< 1.3.0~), nordugrid-arc-nox-saml2sp (<< 1.3.0~), nordugrid-arc-nox-slcs (<< 1.3.0~), nordugrid-arc1 (<< 1.0.0~), nordugrid-arc1-janitor (<< 1.0.0~) Replaces: libarccommon0, libarccommon1, nordugrid-arc-libs (<< 1.0.0~), nordugrid-arc-nox (<< 1.3.0~), nordugrid-arc1 (<< 1.0.0~) Provides: nordugrid-arc-libs, nordugrid-arc-nox, nordugrid-arc1 Homepage: http://www.nordugrid.org Priority: optional Section: net Filename: pool/main/n/nordugrid-arc/libarccommon2_2.0.0-3_armhf.deb Size: 1587678 SHA256: 4ea7eea427020864ea09dcc7af23b73ef1e84d9b61470ed00e9f3f6d4cfcef47 SHA1: eb59710559f1d2cd57b3b9b8e8797b8626ff5eb8 MD5sum: 5f9065aceb3cae87a46ad1c6d8cfce24 Description: ARC Grid middleware NorduGrid is a collaboration aiming at development, maintenance and support of the free Grid middleware, known as the Advanced Resource Connector (ARC). . The Advanced Resource Connector (ARC) is a software suite that makes use of Grid technologies to federate heterogeneous computing and data resources across administrative domains. The resulting infrastructure is commonly referred to as a computational or a data Grid, depending on prevalence of CPU-intensive or data-intensive applications. ARC is developed and supported by the NorduGrid Consortium. . Just like the Web, ARC has its roots in the IT infrastructure that was erected to analyze data collected by high energy physics experiments at CERN. While first versions of ARC made heavy use of the Globus Toolkit, the current release does not require Globus as such, though maintains backward compatibility. Package: libarch-perl Version: 0.5.2-1 Installed-Size: 488 Maintainer: Debian Perl Group Architecture: all Depends: perl, tla Size: 161798 SHA256: 1f3bfb144384f34d56e3f2103504b2bb0c01241dce50dbcb6c32ba17f352d6a3 SHA1: 7224c8ef65f7029e14a7d3ce4e7f49d07dffa2bc MD5sum: 0451887a676534d8e2438fc8aa1b1b43 Description: high-level interface to GNU Arch Arch is a Perl module that provides a pragmatic high-level interface around tla or baz. It can be used to design and create new object-oriented frontends for GNU Arch. Homepage: http://search.cpan.org/dist/Arch/ Tag: devel::lang:perl, devel::library, devel::rcs, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libarch-perl/libarch-perl_0.5.2-1_all.deb Package: libarchive-any-perl Version: 0.0932-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), perl-modules (>= 5.10), libarchive-zip-perl (>= 1.07), libmodule-find-perl (>= 0.05), libfile-mmagic-perl (>= 1.27), libmime-types-perl (>= 1.16) Size: 16660 SHA256: 16f697d906a761d7cc564b39db19c00f13ad303082bb9fbdfbc3b8f698169fe5 SHA1: 0c7cc7afe42267db500f834ebfe27f62a043711b MD5sum: 190242488d96610bbc85a3dadd244d45 Description: Perl module to deal with file archives in any format The Archive::Any module allows a Perl program to create, manipulate, read, and write different archive formats (tarballs and Zip files) through a single API. Homepage: http://search.cpan.org/dist/Archive-Any/ Tag: devel::lang:perl, devel::library, implemented-in::perl, works-with::archive Section: perl Priority: optional Filename: pool/main/liba/libarchive-any-perl/libarchive-any-perl_0.0932-1_all.deb Package: libarchive-ar-perl Version: 1.14-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 15360 SHA256: 171ae5d60f63cbb47a54d4d662375ac42741f83e659f1718cf417c0252f4d7a3 SHA1: 1fc0f716805e9e7035a3c413412d8a89e7cd4024 MD5sum: df8707bd04a6dea3cf2009e8011c305a Description: Perl interface for manipulating ar archives Archive::Ar is a pure-perl way to handle standard ar archives. . This is useful if you have those types of old archives on the system, but it is also useful because .deb packages for the Debian GNU/Linux distribution are ar archives. This is one building block in a future chain of modules to build, manipulate, extract, and test Debian modules with no platform or architecture dependence. . You may notice that the API to Archive::Ar is similar to Archive::Tar, and this was done intentionally to keep similarity between the Archive::* modules. Homepage: http://search.cpan.org/dist/Archive-Ar/ Tag: devel::lang:perl, implemented-in::perl, works-with::archive Section: perl Priority: optional Filename: pool/main/liba/libarchive-ar-perl/libarchive-ar-perl_1.14-1_all.deb Package: libarchive-dev Source: libarchive Version: 3.0.4-3+wheezy6+deb7u1 Architecture: armhf Maintainer: Debian Libarchive Maintainers Installed-Size: 942 Depends: libarchive12 (= 3.0.4-3+wheezy6+deb7u1) Breaks: libarchive1 (<< 2.8.5-3) Replaces: libarchive1 (<< 2.8.5-3) Multi-Arch: same Homepage: http://libarchive.github.com/ Priority: optional Section: libdevel Filename: pool/main/liba/libarchive/libarchive-dev_3.0.4-3+wheezy6+deb7u1_armhf.deb Size: 440140 SHA256: 5d9b1ea23f761931a523bd630845f3426b1fde00a2db074a16d005ba2ccced78 SHA1: e487c86c345ba5df1b9f18ed7d099407a4fa2a75 MD5sum: 3f5ca5e3defdee346d9a5281b07bcfde Description: Multi-format archive and compression library (development files) The libarchive library provides a flexible interface for reading and writing archives in various formats such as tar and cpio. libarchive also supports reading and writing archives compressed using various compression filters such as gzip and bzip2. The library is inherently stream-oriented; readers serially iterate through the archive, writers serially add things to the archive. . Archive formats supported are: . * tar (read and write, including GNU extensions) * pax (read and write, including GNU and star extensions) * cpio (read and write, including odc and newc variants) * iso9660 (read and write, including Joliet and Rockridge extensions, with some limitations) * zip (read only, with some limitations, uses zlib) * mtree (read and write) * shar (write only) * ar (read and write, including BSD and GNU/SysV variants) * empty (read only; in particular, note that no other format will accept an empty file) * raw (read only) * xar (read only) * rar (read only, with some limitations) * 7zip (read and write, with some limitations) . Filters supported are: . * gzip (read and write, uses zlib) * bzip2 (read and write, uses bzlib) * compress (read and write, uses an internal implementation) * uudecode (read only) * separate command-line compressors with fixed-signature auto-detection * xz and lzma (read and write using liblzma) . This package provides the files necessary for development with libarchive. Package: libarchive-peek-perl Version: 0.35-1 Installed-Size: 51 Maintainer: Debian Perl Group Architecture: all Depends: perl, libarchive-zip-perl, libmoose-perl, libmoosex-types-path-class-perl, perl (>= 5.10.1) | libio-compress-perl Size: 6146 SHA256: 16e97190a302e69ca9fec6affcd1991b30cb673b870ccdba141a7f2d0f2bd51b SHA1: e69ffeefb112276e85592fa4727e2b9799f90800 MD5sum: 6cecfb8367289b12e67c6796c7be6992 Description: Perl module for peeking into archives without extracting them Archive::Peek lets you peek into archives without extracting them. It currently supports tar files and zip files. It offers methods for returning the list of files within the archive and the contents of single files. Homepage: http://search.cpan.org/dist/Archive-Peek/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libarchive-peek-perl/libarchive-peek-perl_0.35-1_all.deb Package: libarchive-tar-wrapper-perl Version: 0.16-1 Installed-Size: 37 Maintainer: Debian Perl Group Architecture: all Depends: perl, libipc-run-perl, liblog-log4perl-perl Size: 20730 SHA256: 2d27ce34dbc6eaee18aa1d04c5eaa86e2c735e08eb708a8e9b9dfabba92af239 SHA1: 37ba68bb0349e57752e77f432ab89cbc97ef5c70 MD5sum: 0e3faf9b799ac9785704f6b5658685e2 Description: API wrapper around the 'tar' utility Archive::Tar::Wrapper is an API wrapper around the 'tar' command line utility. It never stores anything in memory, but works on temporary directory structures on disk instead. It provides a mapping between the logical paths in the tarball and the 'real' files in the temporary directory on disk. Homepage: http://search.cpan.org/dist/Archive-Tar-Wrapper/ Section: perl Priority: optional Filename: pool/main/liba/libarchive-tar-wrapper-perl/libarchive-tar-wrapper-perl_0.16-1_all.deb Package: libarchive-zip-perl Version: 1.30-6 Installed-Size: 279 Maintainer: Debian Perl Group Architecture: all Depends: perl, perl (>= 5.10.1) | libcompress-raw-zlib-perl (>= 2.017) Size: 97596 SHA256: 926be450d19bbf4160a38876e6dc27b1208df5ff55076421d0b32824cb748eca SHA1: 3c13f84ae68dd6a39a68622fe3a2201e22235b57 MD5sum: 1ca0806dd02001c18627ebb6d00ce527 Description: Perl module for manipulation of ZIP archives The Archive::Zip module allows a Perl program to create, manipulate, read, and write Zip archive files. . Zip archives can be created, or you can read from existing zip files. Once created, they can be written to files, streams, or strings. . Members can be added, removed, extracted, replaced, rearranged, and enumerated. They can also be renamed or have their dates, comments, or other attributes queried or modified. Their data can be compressed or uncompressed as needed. Members can be created from members in existing Zip files, or from existing directories, files, or strings. Homepage: http://search.cpan.org/dist/Archive-Zip/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::compressing, use::storing, works-with-format::zip, works-with::archive Section: perl Priority: optional Filename: pool/main/liba/libarchive-zip-perl/libarchive-zip-perl_1.30-6_all.deb Package: libarchive12 Source: libarchive Version: 3.0.4-3+wheezy6+deb7u1 Architecture: armhf Maintainer: Debian Libarchive Maintainers Installed-Size: 541 Pre-Depends: multiarch-support Depends: libacl1 (>= 2.2.51-8), libattr1 (>= 1:2.4.46-8), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblzma5 (>= 5.1.1alpha+20120614), libnettle4 (>= 2.3), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://libarchive.github.com/ Priority: optional Section: libs Filename: pool/main/liba/libarchive/libarchive12_3.0.4-3+wheezy6+deb7u1_armhf.deb Size: 282948 SHA256: 4288976d8b58a5de659066612d541fad4b088c8aabe2a16e0808ea57e82b85b5 SHA1: d390009cb9843d7c86c387432c977c82f3c4b9f3 MD5sum: a6b89b972763ddd0b00452246b9afda3 Description: Multi-format archive and compression library (shared library) The libarchive library provides a flexible interface for reading and writing archives in various formats such as tar and cpio. libarchive also supports reading and writing archives compressed using various compression filters such as gzip and bzip2. The library is inherently stream-oriented; readers serially iterate through the archive, writers serially add things to the archive. . Archive formats supported are: . * tar (read and write, including GNU extensions) * pax (read and write, including GNU and star extensions) * cpio (read and write, including odc and newc variants) * iso9660 (read and write, including Joliet and Rockridge extensions, with some limitations) * zip (read only, with some limitations, uses zlib) * mtree (read and write) * shar (write only) * ar (read and write, including BSD and GNU/SysV variants) * empty (read only; in particular, note that no other format will accept an empty file) * raw (read only) * xar (read only) * rar (read only, with some limitations) * 7zip (read and write, with some limitations) . Filters supported are: . * gzip (read and write, uses zlib) * bzip2 (read and write, uses bzlib) * compress (read and write, uses an internal implementation) * uudecode (read only) * separate command-line compressors with fixed-signature auto-detection * xz and lzma (read and write using liblzma) . This package provides the libarchive shared library. Package: libargs4j-java Source: args4j Version: 2.0.16-2 Installed-Size: 154 Maintainer: Debian Java Maintainers Architecture: all Depends: ant Size: 67056 SHA256: 89c388986447e2d7a3a41c57506658b067fe6ac53ec05c3e49a0e72176b9e948 SHA1: b3edff626ca1340b5c2817b7d983e5d01e61c539 MD5sum: 0900b8ff5363f25c26509c790e5f93fa Description: Java command line arguments parser args4j is a small Java class library that makes it easy to parse command line options/arguments in your CUI application. Homepage: http://args4j.java.net/ Section: java Priority: optional Filename: pool/main/a/args4j/libargs4j-java_2.0.16-2_all.deb Package: libargs4j-java-doc Source: args4j Version: 2.0.16-2 Installed-Size: 1283 Maintainer: Debian Java Maintainers Architecture: all Depends: ant-doc, default-jdk-doc Suggests: libargs4j-java Size: 149760 SHA256: 1ba4d311ae121ff7ce00987bc3ff45060336079af567a90770f24e8edf828ec3 SHA1: 22bae4c93b10cc3b6d8752806723fcb1aa73fe86 MD5sum: cc2566d27136e87354cd6ba7fc25c817 Description: Documentation for Java command line arguments parser args4j is a small Java class library that makes it easy to parse command line options/arguments in your CUI application. . This package provides the API documentation for libargs4j-java. Homepage: http://args4j.java.net/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/a/args4j/libargs4j-java-doc_2.0.16-2_all.deb Package: libargtable2-0 Source: argtable2 Version: 12-1 Architecture: armhf Maintainer: Shachar Shemesh Installed-Size: 54 Depends: libc6 (>= 2.4) Conflicts: argtable, argtable2 Homepage: http://argtable.sourceforge.net Priority: optional Section: libs Filename: pool/main/a/argtable2/libargtable2-0_12-1_armhf.deb Size: 17046 SHA256: 7795addb013f07c4ffa214ddb84891ea8b7a4661b5c618c87dee85358d9893b7 SHA1: 7690e987a524094f93e01d5d4f9adc358fb52d39 MD5sum: 87693fc82f8a0fa05dbc45d62f9800f7 Description: A library for parsing GNU style command line arguments Argtable is an ANSI C library for parsing GNU style command line arguments. It enables a program's command line syntax to be defined in the source code as an array of argtable structs. The command line is then parsed according to that specification and the resulting values are returned in those same structs where they are accessible to the main program. Both tagged (-v, --verbose, --foo=bar) and untagged arguments are supported, as are multiple instances of each argument. Syntax error handling is automatic and the library also provides the means for displaying the command line syntax directly from the array of argument specifications. . argtable can function as a "getopt_long" replacement, without the user of the program noticing the difference. Unlike "getopt_long", however, argtable is cross platform, working on all Posix systems, as well as Windows and Mac. Package: libargtable2-dev Source: argtable2 Version: 12-1 Architecture: armhf Maintainer: Shachar Shemesh Installed-Size: 138 Depends: libargtable2-0 (= 12-1), libargtable2-docs (= 12-1) Conflicts: argtable, argtable2 Homepage: http://argtable.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/a/argtable2/libargtable2-dev_12-1_armhf.deb Size: 57882 SHA256: 0d0c6ea5914a56d9cad113967f25e628c8b3b3f5d3857b4a3f8b736d0e1b2c9a SHA1: c39225723d6e273e266c893c749d1da5f43096f5 MD5sum: a8e8eca5b169d628d3bb66b780d11bc0 Description: A library for parsing GNU style command line arguments Argtable is an ANSI C library for parsing GNU style command line arguments. It enables a program's command line syntax to be defined in the source code as an array of argtable structs. The command line is then parsed according to that specification and the resulting values are returned in those same structs where they are accessible to the main program. Both tagged (-v, --verbose, --foo=bar) and untagged arguments are supported, as are multiple instances of each argument. Syntax error handling is automatic and the library also provides the means for displaying the command line syntax directly from the array of argument specifications. . argtable can function as a "getopt_long" replacement, without the user of the program noticing the difference. Unlike "getopt_long", however, argtable is cross platform, working on all Posix systems, as well as Windows and Mac. . This package contains the files needed to develop applications that use argtable. Package: libargtable2-docs Source: argtable2 Version: 12-1 Installed-Size: 3044 Maintainer: Shachar Shemesh Architecture: all Suggests: libargtable2-dev (= 12-1) Size: 2957588 SHA256: 2aa5e98f0547194fe6f7731c659987c8a38500551c3ed3cfe5674a025c5239f2 SHA1: 294fc26b2ef3c6eaed1aff01b5b9f3b0583b05fd MD5sum: c964346342eb72ce8167bf141d532aa9 Description: A library for parsing GNU style command line arguments Argtable is an ANSI C library for parsing GNU style command line arguments. It enables a program's command line syntax to be defined in the source code as an array of argtable structs. The command line is then parsed according to that specification and the resulting values are returned in those same structs where they are accessible to the main program. Both tagged (-v, --verbose, --foo=bar) and untagged arguments are supported, as are multiple instances of each argument. Syntax error handling is automatic and the library also provides the means for displaying the command line syntax directly from the array of argument specifications. . This package contains the documentation for the development libraries for the libargtable2-dev package. Homepage: http://argtable.sourceforge.net Tag: devel::doc, made-of::html, made-of::pdf, made-of::postscript, role::documentation Section: doc Priority: optional Filename: pool/main/a/argtable2/libargtable2-docs_12-1_all.deb Package: libarmadillo-dev Source: armadillo Version: 1:3.2.3+dfsg-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 2169 Depends: libarmadillo3 (= 1:3.2.3+dfsg-1) Suggests: libitpp-dev Conflicts: libarmadillo-doc Replaces: libarmadillo-doc (<= 1.1.2-1) Homepage: http://arma.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/a/armadillo/libarmadillo-dev_3.2.3+dfsg-1_armhf.deb Size: 292324 SHA256: 2b8e835afe958ed4747ce40a9cefe3596fbb0ed8a2d7ec9246795015d0eecb9d SHA1: 15c832ba0d0521260366423dd342e6e3c9843f7d MD5sum: 0be44a46750fbb063b9f4e4de8173ea3 Description: streamlined C++ linear algebra library - Headers Armadillo is a streamlined C++ linear algebra library (matrix maths) aiming towards a good balance between speed and ease of use. Integer, floating point and complex numbers are supported, as well as a subset of trigonometric and statistics functions. Optional integration with LAPACK and ATLAS libraries is also provided. . This package has the development libraries and headers for Armadillo. Package: libarmadillo3 Source: armadillo Version: 1:3.2.3+dfsg-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 66 Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), liblapack3 | liblapack.so.3 | libatlas3-base, libstdc++6 (>= 4.1.1) Homepage: http://arma.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/a/armadillo/libarmadillo3_3.2.3+dfsg-1_armhf.deb Size: 17252 SHA256: 3db7cd8c1e21ac9b9449ff13113be3265fad764f23d888437d9cc98d86333457 SHA1: 74604a74fd520ca1124e0aa6e97fb4416ff5fbbd MD5sum: 8b6bc81df949399e4423696beb6566c3 Description: streamlined C++ linear algebra library Armadillo is a streamlined C++ linear algebra library (matrix maths) aiming towards a good balance between speed and ease of use. Integer, floating point and complex numbers are supported, as well as a subset of trigonometric and statistics functions. Optional integration with LAPACK and ATLAS libraries is also provided. Package: libarpack++2-dev Source: arpack++ Version: 2.3-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1452 Depends: libarpack++2c2a (= 2.3-2), libarpack2-dev Homepage: http://ljk.imag.fr/membres/Christophe.Prudhomme/software.php Priority: optional Section: libdevel Filename: pool/main/a/arpack++/libarpack++2-dev_2.3-2_armhf.deb Size: 503626 SHA256: 80e6323d920fd62b49a79711cede79f2924a308f0c64a9c7cd693f18543dba4b SHA1: 4e34437c6e746a72bd5275de6a0dfe40a4149a65 MD5sum: edb8f3f155a06c0d8263822d5ceb83ae Description: Object-oriented version of the ARPACK package (development) ARPACK++ is an object-oriented version of the ARPACK package. It consists a collection of classes that offers c++ programmers an interface to ARPACK. It preserves the full capability, performance, accuracy and low memory requirements of the FORTRAN package, but takes advantage of the c++ object-oriented programming environment. . ARPACK stands for ARnoldi PACKage and provides standard and generalized eigenvalue solvers for sparse matrices that arises typically from finite element codes. See the corresponding Debian package. Package: libarpack++2c2a Source: arpack++ Version: 2.3-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 43 Depends: libarpack2 (>= 2.1), libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libstdc++6 (>= 4.4.0) Conflicts: arpack++, libarpack++2, libarpack++2c2 Replaces: libarpack++2, libarpack++2c2 Homepage: http://ljk.imag.fr/membres/Christophe.Prudhomme/software.php Priority: optional Section: libs Filename: pool/main/a/arpack++/libarpack++2c2a_2.3-2_armhf.deb Size: 11332 SHA256: 0872981fc9c5bf3cbc0555376f6b419bac684790d1725ecb70296fdbe491b3bc SHA1: 3296c4b5fac009a50f0b0db54ae9886eeaddc21a MD5sum: f78ec2b8602aecb54ee4af273ce29e53 Description: Object-oriented version of the ARPACK package (runtime) ARPACK++ is an object-oriented version of the ARPACK package. It consists a collection of classes that offers c++ programmers an interface to ARPACK. It preserves the full capability, performance, accuracy and low memory requirements of the FORTRAN package, but takes advantage of the c++ object-oriented programming environment. . ARPACK stands for ARnoldi PACKage and provides standard and generalized eigenvalue solvers for sparse matrices that arises typically from finite element codes. See the corresponding Debian package. Package: libarpack2 Source: arpack Version: 3.1.1-2.1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 308 Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.6), liblapack3 | liblapack.so.3 | libatlas3-base, libparpack2 Conflicts: arpack2 Breaks: octave3.2 Replaces: arpack2 Homepage: http://forge.scilab.org/index.php/p/arpack-ng/ Priority: optional Section: libs Filename: pool/main/a/arpack/libarpack2_3.1.1-2.1_armhf.deb Size: 127610 SHA256: c33b47a854aca2f411bfcacf0370e71383ca03c1d57c0bc6d9fea0c78c8bc9ea SHA1: f1b6d50ace7b84c7455bb421352117fe48e7bae2 MD5sum: 2258b1a6a612858de83108e24e498f9b Description: Fortran77 subroutines to solve large scale eigenvalue problems ARPACK software is capable of solving large scale symmetric, nonsymmetric, and generalized eigenproblems from significant application areas. The software is designed to compute a few (k) eigenvalues with user specified features such as those of largest real part or largest magnitude. Storage requirements are on the order of n*k locations. No auxiliary storage is required. A set of Schur basis vectors for the desired k-dimensional eigen-space is computed which is numerically orthogonal to working precision. Numerically accurate eigenvectors are available on request. . Important Features: . * Reverse Communication Interface. * Single and Double Precision Real Arithmetic Versions for Symmetric, Non-symmetric, * Standard or Generalized Problems. * Single and Double Precision Complex Arithmetic Versions for Standard or Generalized Problems. * Routines for Banded Matrices - Standard or Generalized Problems. * Routines for The Singular Value Decomposition. * Example driver routines that may be used as templates to implement numerous Shift-Invert strategies for all problem types, data types and precision. . This package contains the shared library. Package: libarpack2-dbg Source: arpack Version: 3.1.1-2.1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 387 Depends: libarpack2 (= 3.1.1-2.1) Homepage: http://forge.scilab.org/index.php/p/arpack-ng/ Priority: extra Section: debug Filename: pool/main/a/arpack/libarpack2-dbg_3.1.1-2.1_armhf.deb Size: 114982 SHA256: 190b6849944da26b13b68bc0808ad62a731b28c928ad2201730302e7370961b3 SHA1: 268e21a1438ded46a36bcab584da1dac83e704cb MD5sum: 81a4287cf26382a6301ac116b48f350e Description: Fortran77 subroutines to solve large scale eigenvalue problems (debug) ARPACK software is capable of solving large scale symmetric, nonsymmetric, and generalized eigenproblems from significant application areas. The software is designed to compute a few (k) eigenvalues with user specified features such as those of largest real part or largest magnitude. Storage requirements are on the order of n*k locations. No auxiliary storage is required. A set of Schur basis vectors for the desired k-dimensional eigen-space is computed which is numerically orthogonal to working precision. Numerically accurate eigenvectors are available on request. . This package contains the debugging symbols for libarpack and libparpack. Package: libarpack2-dev Source: arpack Version: 3.1.1-2.1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 453 Depends: libarpack2 (= 3.1.1-2.1), libblas-dev, liblapack-dev, libparpack2-dev Conflicts: arpack2-dev Replaces: arpack2-dev Homepage: http://forge.scilab.org/index.php/p/arpack-ng/ Priority: extra Section: libdevel Filename: pool/main/a/arpack/libarpack2-dev_3.1.1-2.1_armhf.deb Size: 172288 SHA256: 125ea9986d261ae37faccafefc89581b5498e6256984ab303f61dbd8b1631527 SHA1: 229ce50d8fca99a08f69b0efae5f36643f4e031c MD5sum: dba660da9f27fa347332edbb82feabd2 Description: Fortran77 subroutines to solve large scale eigenvalue problems (development) ARPACK software is capable of solving large scale symmetric, nonsymmetric, and generalized eigenproblems from significant application areas. The software is designed to compute a few (k) eigenvalues with user specified features such as those of largest real part or largest magnitude. Storage requirements are on the order of n*k locations. No auxiliary storage is required. A set of Schur basis vectors for the desired k-dimensional eigen-space is computed which is numerically orthogonal to working precision. Numerically accurate eigenvectors are available on request. . This package contains the static libraries and the documentation for development with libarpack (including examples). Package: libarray-compare-perl Version: 2.02-1 Installed-Size: 22 Maintainer: Debian Perl Group Architecture: all Depends: perl, libmoose-perl Size: 14552 SHA256: c6fcbdef245da16e6569f250186d557aab3aca7f04dcb28201d87ddf6cf78c05 SHA1: 80a55534233d3e59c168970a7981efe7d527facd MD5sum: cda04537f7a2a396a38187dfd4f935ad Description: Perl module to easily compare arrays Array::Compare can easily compare two arrays, in a variety of flexible ways, such as whitespace-ignorant, case-insensitive, and with certain elements skipped. Homepage: http://search.cpan.org/dist/Array-Compare/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libarray-compare-perl/libarray-compare-perl_2.02-1_all.deb Package: libarray-diff-perl Version: 0.07-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl, libalgorithm-diff-perl, libclass-accessor-perl Size: 7330 SHA256: 9314636307b30358a8da0e3719d3bdb5cccee61939fe90ac437bd30436b20b5c SHA1: 326caab41a9c2e7b4999634ae619d148aa246ece MD5sum: 51757a136e1bcb6a04b48bed37e2806a Description: Perl module to find the differences between two arrays The Array::Diff module compares two arrays and determines which elements have been removed or added. Its interface is straightforward, solving a simple problem in a simple way; for those who need more features, there is always the Array::Compare module. Homepage: http://search.cpan.org/dist/Array-Diff/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libarray-diff-perl/libarray-diff-perl_0.07-1_all.deb Package: libarray-printcols-perl Version: 2.1-9 Installed-Size: 20 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 8848 SHA256: e0a927ae34906af60095c07bcc06b015e0aee3870c1b50ab96652e8c09189e4d SHA1: e864994a92e1f733f3070c79cdd859e5a09d4ddc MD5sum: 1516b73b10933fdb220f0b76a5f8bc69 Description: Print or format array elements in vertically sorted columns Array::PrintCols, version 2.1, is a Perl 5 module which defines a subroutine to print arrays of elements in alphabetically, vertically sorted columns. Optional arguments can be given to control either the width or number of the columns, the total width of the output, and the amount of indentation. Homepage: http://search.cpan.org/dist/Array-PrintCols/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libarray-printcols-perl/libarray-printcols-perl_2.1-9_all.deb Package: libarray-refelem-perl Version: 1.00-1.1 Architecture: armhf Maintainer: Matthias Urlichs Installed-Size: 67 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Priority: optional Section: perl Filename: pool/main/liba/libarray-refelem-perl/libarray-refelem-perl_1.00-1.1_armhf.deb Size: 8238 SHA256: 29652482dd26249527a96cb834e68127b6bff7bfbe5401527553f5d6c8096e26 SHA1: ea29ef900d5f45944c4b3dbf13d188825fd02b0c MD5sum: d4e954af35f7a776892d475790940624 Description: Set up array elements as aliases This module gives you direct access to the internal perl routines that let you store reference to things in arrays and hashes. Package: libarray-unique-perl Version: 0.08-1 Installed-Size: 32 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 11192 SHA256: 3c5edf8ddaac7582b3ea170015059127fbcc09bd934a37319a69a2911250fcf2 SHA1: 9a0ce4ba71f93265df075268be660a4d5d5ebd4f MD5sum: d4b3de7067c973580d7f6fd31e5adb38 Description: Tie-able array that allows only unique values Array::Unique lets you create an array which will allow only one occurrence of any value. In other words, no matter how many times you put in 42, it will keep only the first occurrence and the rest will be dropped. . Uniqueness is checked with the 'eq' operator so among other things it is case sensitive. As a side effect, the module does not allow undef as a value in the array. Homepage: http://search.cpan.org/dist/Array-Unique/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libarray-unique-perl/libarray-unique-perl_0.08-1_all.deb Package: libart-2.0-2 Source: libart-lgpl Version: 2.3.21-2 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 132 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/liba/libart-lgpl/libart-2.0-2_2.3.21-2_armhf.deb Size: 63802 SHA256: aca40f6dd47ec79603b4770c2891ed0c10f8295bf171ccacb7f595d2312b488b SHA1: 1b189d3918053bf3083197720ef7b3bc7bf7bdae MD5sum: 23344f207d4b9f08015c218a66ce8ec7 Description: Library of functions for 2D graphics - runtime files A library of functions for 2D graphics supporting a superset of the PostScript imaging model, designed to be integrated with graphics, artwork, and illustration programs. It is written in optimized C, and is fully compatible with C++. With a small footprint of 10,000 lines of code, it is especially suitable for embedded applications. Package: libart-2.0-dev Source: libart-lgpl Version: 2.3.21-2 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 269 Depends: libart-2.0-2 (= 2.3.21-2), pkg-config Priority: optional Section: libdevel Filename: pool/main/liba/libart-lgpl/libart-2.0-dev_2.3.21-2_armhf.deb Size: 81958 SHA256: 3fe237cddb3f8f47b9aa9d6bae9f48aef68bcc83250b829a1fd97cff87a57fab SHA1: b8dcf8e69353b7a6c8e30c44f99baaa45e1f1351 MD5sum: 54c92969f9d72d518f4f960f16601d30 Description: Library of functions for 2D graphics - development files A library of functions for 2D graphics supporting a superset of the PostScript imaging model, designed to be integrated with graphics, artwork, and illustration programs. It is written in optimized C, and is fully compatible with C++. With a small footprint of 10,000 lines of code, it is especially suitable for embedded applications. Package: libart2.0-cil Source: gnome-sharp2 Version: 2.24.2-3 Installed-Size: 215 Maintainer: Debian CLI Libraries Team Architecture: all Replaces: gtk-sharp2-gapi (<< 2.10.0-1), libart2.24-cil, libgnome2.0-cil (<< 2.16.0-4) Depends: cli-common (>= 0.5.1), libart-2.0-2, libglib2.0-cil (>= 2.12.10-1ubuntu1), libmono-corlib4.0-cil (>= 2.10.1) Suggests: monodoc-gtk2.0-manual Conflicts: libart2.24-cil Size: 139508 SHA256: 4d1b950494a686c0da449455cf5801e6597d946e599fa998acf48d93aad3dc84 SHA1: 80ffe4d4451e0aeafc1d6159ee2571ae2a4b6d48 MD5sum: eb6dfeb8d5497e6604c5a1549a85c182 Description: CLI binding for libart 2.3 This package provides the art-sharp assembly that allows CLI (.NET) programs to use the libart library 2.3. . GNOME# 2.24 is a CLI (.NET) language binding for the GNOME 2.20 platform libraries. . This package contains the art-sharp assembly itself. Homepage: http://www.mono-project.com/GtkSharp Tag: devel::ecma-cli, devel::library, implemented-in::c-sharp, role::devel-lib, role::shared-lib, uitoolkit::gtk Section: cli-mono Priority: optional Filename: pool/main/g/gnome-sharp2/libart2.0-cil_2.24.2-3_all.deb Package: libart2.0-cil-dev Source: gnome-sharp2 Version: 2.24.2-3 Installed-Size: 201 Maintainer: Debian CLI Libraries Team Architecture: all Replaces: libart2.0-cil (<< 2.24.1-5), libart2.24-cil Depends: libart2.0-cil (= 2.24.2-3) Size: 128610 SHA256: 605fa53b08b3c04b3e54d6b2a5f179e57f53bd6223ba63e74cf54af6ad2d606b SHA1: 63f8bec53bec402f3053c5269b4d55f1640eda69 MD5sum: 1398e4496bf85a33e8df9c65a1ed0969 Description: CLI binding for libart 2.3 This package provides the art-sharp assembly that allows CLI (.NET) programs to use the libart library 2.3. . GNOME# 2.24 is a CLI (.NET) language binding for the GNOME 2.20 platform libraries. . This package contains development files for the art-sharp library, and should be used for compilation Homepage: http://www.mono-project.com/GtkSharp Tag: devel::ecma-cli, devel::library, role::devel-lib Section: cli-mono Priority: optional Filename: pool/main/g/gnome-sharp2/libart2.0-cil-dev_2.24.2-3_all.deb Package: libasa-perl Version: 0.02-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 9440 SHA256: d0f2de8550d7c4439706f49b1b0a170e934345fc2797735cd6fc45f4f8463cf0 SHA1: 3d5a01776444016521430e4cf5418084d3fd4671 MD5sum: e4a27154a6c4d791b40ad33693cd6c81 Description: Perl module for expanding a class or object's list of base classes The asa pragma attempts a new approach to bringing Java-style interfaces or Perl 6-style roles to Perl 5. It allows a class or object to look like a derivative of another class without actually specifying it in the @ISA array. Homepage: http://search.cpan.org/dist/asa/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libasa-perl/libasa-perl_0.02-1_all.deb Package: libasedrive-serial Source: asedriveiiie Version: 3.7-3 Architecture: armhf Maintainer: Ludovic Rousseau Installed-Size: 114 Depends: libc6 (>= 2.4), debconf (>= 0.5) | debconf-2.0, pcscd Provides: pcsc-ifd-handler Homepage: http://www.athena-scs.com/ Priority: optional Section: libs Filename: pool/main/a/asedriveiiie/libasedrive-serial_3.7-3_armhf.deb Size: 27682 SHA256: 270de2d51b94a1a01c30374d8760681dc64dfadd384730e48273b44ec9780aaa SHA1: 0f7e4c3202da9b385b996e44b684928699ac04db MD5sum: 40e9bb68234a49c040e97fe109271953 Description: PC/SC driver for the Athena ASEDrive IIIe serial smart card reader This package provides a PC/SC IFD handler bundle for the Athena ASEDrive IIIe serial smart card readers. This driver is necessary to use one of these devices with the PC/SC Lite resource manager (pcscd). Package: libasedrive-usb Source: asedriveiiie Version: 3.7-3 Architecture: armhf Maintainer: Ludovic Rousseau Installed-Size: 130 Depends: libc6 (>= 2.4), libusb-0.1-4 (>= 2:0.1.12), pcscd Provides: pcsc-ifd-handler Homepage: http://www.athena-scs.com/ Priority: optional Section: libs Filename: pool/main/a/asedriveiiie/libasedrive-usb_3.7-3_armhf.deb Size: 26808 SHA256: ae3d7e2f6172ab9e3904b93953df94a497eb651a22b1a23a7143f0dd5c9b70f5 SHA1: 2c45ff86b32e2569fefa583162c20b8f77d9eec3 MD5sum: 79b024bb1a7e33a392a4802f9f168b9b Description: PC/SC driver for the Athena ASEDrive IIIe USB smart card reader This package provides a PC/SC IFD handler bundle for the Athena ASEDrive IIIe USB smart card readers. This driver is necessary to use one of these devices with the PC/SC Lite resource manager (pcscd). Package: libasio-dev Source: asio Version: 1.4.1-3.2 Installed-Size: 1553 Maintainer: Simon Richter Architecture: all Depends: libboost-dev, libboost-date-time-dev Recommends: libboost-regex-dev, libssl-dev Size: 219642 SHA256: 74842bc82c54b26149ebf5fc77469f8d41840e92acbe6e1e69f2e0905f9326e8 SHA1: 150658923be46fe83b4a325ce7935c5fef666269 MD5sum: 68b449a5ebb5f58b77a5c06a0e194816 Description: cross-platform C++ library for network programming asio is a cross-platform C++ library for network programming that provides developers with a consistent asynchronous I/O model using a modern C++ approach. It has recently been accepted into Boost. . This package contains the development header files. Tag: devel::lang:c++, devel::library, implemented-in::c++, protocol::dns, protocol::http, protocol::ip, protocol::ipv6, protocol::tcp, protocol::udp, role::devel-lib, use::transmission Section: libdevel Priority: optional Filename: pool/main/a/asio/libasio-dev_1.4.1-3.2_all.deb Package: libasio-doc Source: asio Version: 1.4.1-3.2 Installed-Size: 12037 Maintainer: Simon Richter Architecture: all Recommends: libasio-dev Size: 910354 SHA256: db05ce22f2d482be19020e2a65f0ef0238babd304c213122eec67664a36a8b3c SHA1: 42dd855bb4667cd89af14af1ba17bf2e66ac852b MD5sum: 7fe9ffaf508ad4fb98c7cf851ffefe20 Description: cross-platform C++ library for network programming asio is a cross-platform C++ library for network programming that provides developers with a consistent asynchronous I/O model using a modern C++ approach. It has recently been accepted into Boost. . This package contains the documentation. Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/asio/libasio-doc_1.4.1-3.2_all.deb Package: libasis2010 Source: asis Version: 2010-5 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 1516 Pre-Depends: multiarch-support Depends: gnat, gnat-4.6, libc6 (>= 2.13-28), libgnat-4.6 (>= 4.6.3-4+rpi2), libgnatvsn4.6 (>= 4.6.3-4+rpi2) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/a/asis/libasis2010_2010-5_armhf.deb Size: 650462 SHA256: 0242f03ff98e85506a141e05784c881bb15d3e926dfb66d5a6da7b1b0584b553 SHA1: 75f44fdbe06daa7fbc52bc20c077ff7922b643f7 MD5sum: c34caf22ea198cf638545e8a0e591f18 Description: Ada Semantic Interface Specification (ASIS) runtime library ASIS (Ada Semantic Interface Specification) lets you develop applications to walk through the sources of your Ada programs and examine the semantic constructs. . This package contains the libraries necessary to execute ASIS programs. Package: libasis2010-dbg Source: asis Version: 2010-5 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 2660 Depends: libasis2010 (= 2010-5) Recommends: libasis2010-dev Suggests: gnat Priority: extra Section: debug Filename: pool/main/a/asis/libasis2010-dbg_2010-5_armhf.deb Size: 1026580 SHA256: 75a75c3a9beded4b34c50e64fa4c0cfee0ee5df8a82f09c0387f48625cecc299 SHA1: a1976cf98d5df7f70df2a27447a6d9ef0d7509e3 MD5sum: a413530dfabccea12a3cfd1439c71890 Description: Ada Semantic Interface Specification (ASIS) runtime library - debugging symbols ASIS (Ada Semantic Interface Specification) lets you develop applications to walk through the sources of your Ada programs and examine the semantic constructs. . This package contains the symbols necessary to debug ASIS programs using gdb. Package: libasis2010-dev Source: asis Version: 2010-5 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 12563 Depends: libasis2010 (= 2010-5), gnat, gnat-4.6, libgnatvsn4.6-dev Suggests: libasis-doc, libasis2010-dbg Conflicts: libasis-3.15p-1-dev, libasis-dev, libasis2008-dev Replaces: libasis-dev Priority: optional Section: libdevel Filename: pool/main/a/asis/libasis2010-dev_2010-5_armhf.deb Size: 3625920 SHA256: 32e15d3e03466b87066677b1d344ec561fc76d7d8d2a7ccb3c260a0a7d6d139c SHA1: 9f0a323d5a18f5477a2f671a04c0ddb86b71f052 MD5sum: 09214215fbceeed3eca94af3f1c0c03e Description: Ada Semantic Interface Specification (ASIS) headers and libraries ASIS (Ada Semantic Interface Specification) lets you develop applications to walk through the sources of your Ada programs and examine the semantic constructs. . This package lets you build ASIS applications with GNAT. Package: libasm-dev Source: elfutils Version: 0.152-1+wheezy1 Architecture: armhf Maintainer: Kurt Roeckx Installed-Size: 108 Depends: libasm1 (= 0.152-1+wheezy1), libelf-dev Conflicts: libasm0-dev, libelfsh0-dev Homepage: https://fedorahosted.org/elfutils/ Priority: optional Section: libdevel Filename: pool/main/e/elfutils/libasm-dev_0.152-1+wheezy1_armhf.deb Size: 26150 SHA256: 73117384c1dbe8a347cbef3c8a4f2458789e6353a13cfa178d15bab1e2f02eb2 SHA1: 963e00264f53ea26c4ae5de70dbd99fccf7fa3c5 MD5sum: a8a4a7660cef9b308670ade14c68a2ad Description: libasm development libraries and header files libasm1 allows you to create ELF files on a low level. . This package contains development libraries and header files for libasm1. Package: libasm-java Source: asm Version: 1.5.3-7 Installed-Size: 220 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk | java2-runtime-headless Suggests: libasm-java-doc Size: 132414 SHA256: fb8da3723de6fffe4498e8a612e7d8b7341e4483bf47b278ffc31dfe965c6e0e SHA1: 8cb0762344b95946491ec78877da66a9e9095a3e MD5sum: b052f3103a7b6ab3bac724a6be1b22e4 Description: Java bytecode manipulation framework It can be used to dynamically generate stub classes or other proxy classes, directly in binary form, or to dynamically modify classes at load time, i.e., just before they are loaded into the Java Virtual Machine. . ASM offers similar functionalities as BCEL or SERP, but is much smaller (25KB instead of 350KB for BCEL and 150KB for SERP) and faster than these tools (the overhead of a load time class transformation is on the order of 60% with ASM, 700% or more with BCEL, and 1100% or more with SERP). ASM was designed to be used in a dynamic and static way and was therefore designed and implemented to be as small and as fast as possible. Homepage: http://asm.objectweb.org/ Tag: devel::lang:java Section: java Priority: optional Filename: pool/main/a/asm/libasm-java_1.5.3-7_all.deb Package: libasm-java-doc Source: asm Version: 1.5.3-7 Installed-Size: 3660 Maintainer: Debian Java Maintainers Architecture: all Suggests: libasm-java Size: 268628 SHA256: a179e9ebadc98b8686ecf72e84e48b2d702895887c3771bca132bb8a790dc6fd SHA1: 6ea5b994576d693a0a2912d3852193d4aa1f36ca MD5sum: 490c89ea2dd13fb8341918a591d77757 Description: Documentation for ASM, the Java(TM) bytecode manipulation framework It can be used to dynamically generate stub classes or other proxy classes, directly in binary form, or to dynamically modify classes at load time, i.e., just before they are loaded into a Java(TM) Virtual Machine. . This package includes the API Javadoc and examples. Homepage: http://asm.objectweb.org/ Tag: devel::doc, devel::examples, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/asm/libasm-java-doc_1.5.3-7_all.deb Package: libasm1 Source: elfutils Version: 0.152-1+wheezy1 Architecture: armhf Maintainer: Kurt Roeckx Installed-Size: 67 Depends: libc6 (>= 2.13-28), libelf1 (>= 0.132), libgcc1 (>= 1:4.4.0) Homepage: https://fedorahosted.org/elfutils/ Priority: optional Section: libs Filename: pool/main/e/elfutils/libasm1_0.152-1+wheezy1_armhf.deb Size: 24644 SHA256: 965601b45578f10ad9b5eb9dfd1f12239c653144b8dbc16b26b47ce533e8eaf5 SHA1: 629a929387b085f70dbbe18e7a6d9908e1938835 MD5sum: 698f50bf9737c35cd969de2476e80420 Description: library with a programmable assembler interface The libasm1 package provides a library with a programmable assembler interface. It allows you to create ELF files on a low level. . This library is part of elfutils. Package: libasm2-java Source: asm2 Version: 2.2.3-6 Installed-Size: 197 Maintainer: Debian Java Maintainers Architecture: all Size: 163216 SHA256: 0ddcafdd0b9a61c5d05bfefe585db48ef7b3016cc3d4126c95a1bf6766dc88f1 SHA1: e0a4ea15ae99bf8e585a46458d3cda92a4252bcf MD5sum: 211aea0d8b918d18bb6f4479e07a7771 Description: Java bytecode manipulation framework It can be used to dynamically generate stub classes or other proxy classes, directly in binary form, or to dynamically modify classes at load time, i.e., just before they are loaded into the Java Virtual Machine. . ASM offers similar functionalities as BCEL or SERP, but is much smaller (25KB instead of 350KB for BCEL and 150KB for SERP) and faster than these tools (the overhead of a load time class transformation is on the order of 60% with ASM, 700% or more with BCEL, and 1100% or more with SERP). ASM was designed to be used in a dynamic and static way and was therefore designed and implemented to be as small and as fast as possible. Homepage: http://asm.objectweb.org/ Tag: devel::lang:java, implemented-in::java, role::shared-lib Section: java Priority: optional Filename: pool/main/a/asm2/libasm2-java_2.2.3-6_all.deb Package: libasm2-java-doc Source: asm2 Version: 2.2.3-6 Installed-Size: 3684 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc Suggests: libasm2-java Size: 349892 SHA256: c7da3bf689bf3439f0ab8b802eccee881798a28ef2b6c3eb85e0860d067f6160 SHA1: cd020b4b2a77207999d7084da05f7a9fe5743ea9 MD5sum: ebd28e25df380d0db3124ae7e7cfc4d7 Description: Documentation for ASM, the Java(TM) bytecode manipulation framework It can be used to dynamically generate stub classes or other proxy classes, directly in binary form, or to dynamically modify classes at load time, i.e., just before they are loaded into a Java(TM) Virtual Machine. . This package includes the API Javadoc and examples. Homepage: http://asm.objectweb.org/ Tag: devel::doc, devel::examples, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/asm2/libasm2-java-doc_2.2.3-6_all.deb Package: libasm3-java Source: asm3 Version: 3.3.2-1 Installed-Size: 964 Maintainer: Debian Java Maintainers Architecture: all Size: 669044 SHA256: 614808058957e04e19988f1921e7e6d8756b4ae6546570881b197abaa44daed7 SHA1: ae1875c58665b405e74e8393dd9a72faadf7186b MD5sum: 25ed49cb352c25cfa79fcae3042d33e6 Description: Java bytecode manipulation framework It can be used to dynamically generate stub classes or other proxy classes, directly in binary form, or to dynamically modify classes at load time, i.e., just before they are loaded into the Java Virtual Machine. . ASM offers similar functionalities as BCEL or SERP, but is much smaller (25KB instead of 350KB for BCEL and 150KB for SERP) and faster than these tools (the overhead of a load time class transformation is on the order of 60% with ASM, 700% or more with BCEL, and 1100% or more with SERP). ASM was designed to be used in a dynamic and static way and was therefore designed and implemented to be as small and as fast as possible. Homepage: http://asm.objectweb.org/ Tag: devel::lang:java, devel::library, devel::machinecode, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/a/asm3/libasm3-java_3.3.2-1_all.deb Package: libasm3-java-doc Source: asm3 Version: 3.3.2-1 Installed-Size: 6864 Maintainer: Debian Java Maintainers Architecture: all Suggests: libasm3-java Size: 491216 SHA256: f91736b9eefba8527db85f1fd000be2c2d2fd578ae11293523b95a0afcab4183 SHA1: ec98956d2f99691d52f9688d50ad7126b1acbcf8 MD5sum: 4cb8f0ff9887f61a1244ef88b3f09ec9 Description: Documentation for ASM, the Java(TM) bytecode manipulation framework It can be used to dynamically generate stub classes or other proxy classes, directly in binary form, or to dynamically modify classes at load time, i.e., just before they are loaded into a Java(TM) Virtual Machine. . This package includes the API Javadoc and examples. Homepage: http://asm.objectweb.org/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/asm3/libasm3-java-doc_3.3.2-1_all.deb Package: libasn1-8-heimdal Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Architecture: armhf Maintainer: Brian May Installed-Size: 639 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libroken18-heimdal (>= 1.4.0+git20110226) Multi-Arch: same Homepage: http://www.h5l.org/ Priority: optional Section: libs Filename: pool/main/h/heimdal/libasn1-8-heimdal_1.6~git20120403+dfsg1-2+deb7u1_armhf.deb Size: 202914 SHA256: 6e335bc2adb9f8d71ae540f2b245d4f4a522790030af5257050a56d51b629e00 SHA1: 7d98808acbfc5fd48bf505db3f9e2b640f2a07da MD5sum: 009fcce8a2683a321c4bc067619d0df4 Description: Heimdal Kerberos - ASN.1 library Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. . This package contains the ASN.1 parser required for Heimdal. Package: libasound2 Source: alsa-lib Version: 1.0.25-4 Architecture: armhf Maintainer: Debian ALSA Maintainers Installed-Size: 1087 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Suggests: libasound2-plugins (>= 1.0.18) Breaks: bluez-alsa (<= 4.94-2), libasound2-plugin-equal (<= 0.6-1), libasound2-plugins (<< 1.0.24) Multi-Arch: same Homepage: http://www.alsa-project.org/ Priority: optional Section: libs Filename: pool/main/a/alsa-lib/libasound2_1.0.25-4_armhf.deb Size: 409980 SHA256: 90b4aa5feb01b70d76108083c90a6856819b92314d560387f0548d053473f932 SHA1: a79ccc91dac56d886ceac7b7294521f12993a8fc MD5sum: 05094fbf01bca0baee5641f207eabddb Description: shared library for ALSA applications This package contains the ALSA library and its standard plugins, as well as the required configuration files. . ALSA is the Advanced Linux Sound Architecture. Package: libasound2-dbg Source: alsa-lib Version: 1.0.25-4 Architecture: armhf Maintainer: Debian ALSA Maintainers Installed-Size: 1055 Depends: libasound2 (= 1.0.25-4) Homepage: http://www.alsa-project.org/ Priority: extra Section: debug Filename: pool/main/a/alsa-lib/libasound2-dbg_1.0.25-4_armhf.deb Size: 837818 SHA256: 3db544788693d66d7e5e15be470410b2c0f5e78b9fd3c0a1033adc9d0b477e1b SHA1: bd29d64a9301bfce5005507e2f4d7d65c5f079bb MD5sum: 45fee0a767c4f55fa3f76c096bb11079 Description: debugging symbols for libasound2 This package contains the debugging symbols for the ALSA library. . Most people will not need this package. . ALSA is the Advanced Linux Sound Architecture. Package: libasound2-dev Source: alsa-lib Version: 1.0.25-4 Architecture: armhf Maintainer: Debian ALSA Maintainers Installed-Size: 408 Depends: libasound2 (= 1.0.25-4), libc6-dev | libc-dev Suggests: libasound2-doc Provides: libasound-dev Multi-Arch: same Homepage: http://www.alsa-project.org/ Priority: optional Section: libdevel Filename: pool/main/a/alsa-lib/libasound2-dev_1.0.25-4_armhf.deb Size: 70694 SHA256: d6da8e0d27017e0e8cf969acd1410769c2ed3c4bcd16bc4317b071627c578ae8 SHA1: 613bd4789a104acecbd17af2940192b05ffbce2b MD5sum: dd470335743a863ff3c0a833106fa5df Description: shared library for ALSA applications -- development files This package contains files required for developing software that makes use of libasound2, the ALSA library. . ALSA is the Advanced Linux Sound Architecture. Package: libasound2-doc Source: alsa-lib Version: 1.0.25-4 Installed-Size: 11262 Maintainer: Debian ALSA Maintainers Architecture: all Depends: libjs-jquery Suggests: libasound2-dev Size: 1420798 SHA256: 4dcae822139e43ad5308d4f020feeaf4cadb7a97dd9dd6518bc9355f881198a8 SHA1: efdd7d3b6e7ffcc82ba0f3e0a77015eb1eff889f MD5sum: 9a6363438ab496a3966f49172d4947ce Description: documentation for user-space ALSA application programming This package contains the HTML documentation for the ALSA library, which describes the development API for user-space applications that want to use ALSA. . ALSA is the Advanced Linux Sound Architecture. Homepage: http://www.alsa-project.org/ Tag: devel::doc, devel::library, made-of::html, role::documentation, works-with::audio Section: doc Priority: optional Filename: pool/main/a/alsa-lib/libasound2-doc_1.0.25-4_all.deb Package: libasound2-plugin-equal Source: alsaequal Version: 0.6-4 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 73 Pre-Depends: multiarch-support Depends: caps, libasound2 (>= 1.0.16), libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://www.thedigitalmachine.net/alsaequal.html Priority: optional Section: libs Filename: pool/main/a/alsaequal/libasound2-plugin-equal_0.6-4_armhf.deb Size: 14638 SHA256: 81459669c95615d22e32ca3a868b7d130b8f83ccc62941547106f6c3ecf3db75 SHA1: 43ae552a8f4ec0074ab8489098fe960cb2b4ccf0 MD5sum: 24cd792ad2c56c03b16ead7d2cd57e20 Description: equalizer plugin for ALSA Alsaequal is a real-time adjustable equalizer plugin for ALSA. It can be adjusted using an ALSA compatible mixer, like alsamixergui or alsamixer. . Alsaequal uses the Eq CAPS LADSPA Plugin for audio processing, actually alsaequal is a generic LADSPA plugin interface with real-time access to the LADSPA controls (the LADSPA plugin included with alsa doesn't allow for real-time controls) but it was developed for and only tested with Eq CAPS LADSPA plugin. Package: libasound2-plugins Source: alsa-plugins Version: 1.0.25-2 Architecture: armhf Maintainer: Debian ALSA Maintainers Installed-Size: 253 Pre-Depends: multiarch-support Depends: libasound2 (>= 1.0.25), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpulse0 (>= 0.99.1), libsamplerate0 (>= 0.1.7), libspeexdsp1 (>= 1.2~beta3.2-1) Multi-Arch: same Homepage: http://www.alsa-project.org/ Priority: optional Section: libs Filename: pool/main/a/alsa-plugins/libasound2-plugins_1.0.25-2_armhf.deb Size: 79910 SHA256: dbb89866fa1d3263fff198bb475fa86d9633f79829dc41f2363a3280fd3f002d SHA1: 0a8a5424d6e7fda205a339e26b0d5e91484a6534 MD5sum: 4f193bd61f76a7b4a5c3380f7d88e054 Description: ALSA library additional plugins This package contains plugins for the ALSA library that are not included in the main libasound2 package. . The following plugins are included, among other: - a52: S16 to A52 stream converter - jack: play or capture via JACK - oss: run native ALSA apps on OSS drivers - pulse: play or capture via Pulse Audio - lavcrate, samplerate and speexrate: rate converters - upmix and vdownmix: convert from/to 2 and 4/6 channel streams . ALSA is the Advanced Linux Sound Architecture. Package: libaspect-perl Version: 1.02-1 Installed-Size: 346 Maintainer: Debian Perl Group Architecture: all Depends: perl, libparams-util-perl (>= 1.00), libsub-install-perl, libsub-uplevel-perl (>= 0.2002), libtask-weaken-perl Suggests: libbenchmark-timer-perl Size: 158250 SHA256: 60bef70075ad7412819deb9b1c7148e6f0c5d5e935e671ba2f421d78c8bc68da SHA1: f25cc3389b5ae331478b6eba269850f109881f28 MD5sum: 2d6da55933f717f8e2727dc4a8607b24 Description: module for Aspect-Oriented Programming in Perl Aspect-oriented Programming (AOP) is a programming method developed by Xerox PARC and others. The basic idea is that in complex class systems there are certain aspects or behaviors that cannot normally be expressed in a coherent, concise and precise way. One example of such aspects are design patterns, which combine various kinds of classes to produce a common type of behavior. Another is logging. For more information, see . . The Perl Aspect module is focused on subroutine matching and wrapping. It allows you to select collections of subroutines using a flexible pointcut language, and modify their behavior in any way you want. Homepage: http://search.cpan.org/dist/Aspect/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libaspect-perl/libaspect-perl_1.02-1_all.deb Package: libaspectj-java Source: aspectj Version: 1.6.12+dfsg-3 Installed-Size: 11711 Maintainer: Debian Java Maintainers Architecture: all Replaces: aspectj (<< 1.6.10+dfsg-1) Suggests: aspectj Conflicts: aspectj (<< 1.6.10+dfsg-1) Size: 11149188 SHA256: 02367c429619eadd0693a1bd2a3f765d752ee9218437be93c4019e2433bd3268 SHA1: 3c031f1c931244ea69d2e79de7340065db3cca9f MD5sum: aa19a8ffe57f958ed355175655146d8f Description: aspect-oriented extension for Java - library AspectJ enables the clean modularization of crosscutting concerns such as: error checking and handling, synchronization, context-sensitive behavior, performance optimizations, monitoring and logging, debugging support, multi-object protocols. . This package provides the JAR libraries of aspectj. Homepage: http://www.eclipse.org/aspectj Tag: devel::lang:java, implemented-in::java, role::shared-lib Section: java Priority: optional Filename: pool/main/a/aspectj/libaspectj-java_1.6.12+dfsg-3_all.deb Package: libaspectj-java-doc Source: aspectj Version: 1.6.12+dfsg-3 Installed-Size: 2010 Maintainer: Debian Java Maintainers Architecture: all Replaces: aspectj-doc (<< 1.6.10+dfsg-1) Recommends: default-jdk-doc, libasm3-java-doc Suggests: aspectj-doc Conflicts: aspectj-doc (<< 1.6.10+dfsg-1) Size: 174418 SHA256: d72622dc593a31b28bf82877298b0b971dba3eb266724c301f0f3212a31eb005 SHA1: 150b3e884a2400cbae60142ec050e6b16a68cba9 MD5sum: 5134f4c8229ca59541fa85329758a236 Description: aspect-oriented extension for Java - API documentation AspectJ enables the clean modularization of crosscutting concerns such as: error checking and handling, synchronization, context-sensitive behavior, performance optimizations, monitoring and logging, debugging support, multi-object protocols. . This package provides the Javadoc of JAR libraries of aspectj. Homepage: http://www.eclipse.org/aspectj Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/a/aspectj/libaspectj-java-doc_1.6.12+dfsg-3_all.deb Package: libaspectwerkz2-java Source: aspectwerkz2 Version: 2.0.dfsg.2-3 Installed-Size: 752 Maintainer: Debian QA Group Architecture: all Depends: default-jre-headless | java2-runtime-headless, libasm-java, libdom4j-java, libjrexx-java Size: 645356 SHA256: e2fa1158f050fb5705b7bcec94d441cb28afbb6e178b2a63c1654a614b585776 SHA1: 6e79db7a6d02e0cd7e47c7df7e465362ecec8d05 MD5sum: 8f071f4c21086e3eaa08ef17c603b1b6 Description: AOP framework for Java AspectWerkz is a dynamic, lightweight and high-performant AOP framework for Java. . AspectWerkz offers both power and simplicity and will help you to easily integrate AOP in both new and existing projects. . AspectWerkz utilizes bytecode modification to weave your classes at project build-time, class load time or runtime. It hooks in using standardized JVM level APIs. It has a rich and highly orthogonal join point model. Aspects, advices and introductions are written in plain Java and your target classes can be regular POJOs. You have the possibility to add, remove and re-structure advice as well as swapping the implementation of your introductions at runtime. Your aspects can be defined using either Java 5 annotations, Java 1.3/1.4 custom doclets or a simple XML definition file. . AspectWerkz provides an API to use the very same aspects for proxies, hence providing a transparent experience, allowing a smooth transition for users familiar with proxies. Homepage: http://aspectwerkz.codehaus.org/ Section: java Priority: optional Filename: pool/main/a/aspectwerkz2/libaspectwerkz2-java_2.0.dfsg.2-3_all.deb Package: libaspell-dev Source: aspell Version: 0.60.7~20110707-1 Architecture: armhf Maintainer: Brian Nelson Installed-Size: 103 Depends: libaspell15 (= 0.60.7~20110707-1) Suggests: aspell-doc Homepage: http://aspell.net/ Priority: optional Section: libdevel Filename: pool/main/a/aspell/libaspell-dev_0.60.7~20110707-1_armhf.deb Size: 52338 SHA256: de585267cbd5fd01950a82749d58bf4d131344c7684c1fb27282c58c60a15ccb SHA1: 6f0dc0feb131cee98d9e03798309039baac23179 MD5sum: 73d2be4d14e595b5f8f74581fd55b362 Description: Development files for applications with GNU Aspell support This package contains the headers and static libraries for developing applications with Aspell spell-checking support. . You need this package ONLY if you plan to build applications that link against the Aspell libraries. You do not have to install this package if all you want to do is use the Aspell program to spell-check documents. Package: libaspell15 Source: aspell Version: 0.60.7~20110707-1 Architecture: armhf Maintainer: Brian Nelson Installed-Size: 2128 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Recommends: aspell-en | aspell-dictionary | aspell6a-dictionary Suggests: aspell Conflicts: aspell6-dictionary Breaks: aspell-bin (<< 0.60.3-2) Homepage: http://aspell.net/ Priority: optional Section: libs Filename: pool/main/a/aspell/libaspell15_0.60.7~20110707-1_armhf.deb Size: 622730 SHA256: 0b2b249483de16b00f010aad6b285c61a0f7443bfd6ebd7ce26b62e2652065b2 SHA1: 8f86774bc416eaf49bc895fe3b39b51174e5d53a MD5sum: 1903ba6ff27ae54b815da3245c301e56 Description: GNU Aspell spell-checker runtime library These are the runtime parts of the Aspell and pspell spell-checking toolkits, needed by applications that use the toolkits at runtime. . For a standalone spell-checker, install aspell as well. Package: libasprintf0c2 Source: gettext Version: 0.18.1.1-9 Architecture: armhf Maintainer: Santiago Vila Installed-Size: 62 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Breaks: gettext-base (<< 0.18.1.1-6) Replaces: gettext-base (<< 0.18.1.1-6) Multi-Arch: same Homepage: http://www.gnu.org/software/gettext/ Priority: standard Section: libs Filename: pool/main/g/gettext/libasprintf0c2_0.18.1.1-9_armhf.deb Size: 26418 SHA256: ebe2bed490cad6d88b157483efa34e01091530c4ec2ea2b83646bf55e54aaa7e SHA1: 8d726fde11e9aa747658aab7daae1915fb8cd262 MD5sum: f63e16f4622d20ad4103af142000192e Description: GNU library to use fprintf and friends in C++ This package contains the libasprintf shared library which makes the C formatted output routines (fprintf et al.) usable in C++ programs, for use with the strings and the streams. Package: libass-dev Source: libass Version: 0.10.0-3+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 189 Depends: libass4 (= 0.10.0-3+deb7u1), libenca-dev, libfreetype6-dev, libfribidi-dev, libfontconfig1-dev Multi-Arch: same Homepage: http://code.google.com/p/libass/ Priority: extra Section: libdevel Filename: pool/main/liba/libass/libass-dev_0.10.0-3+deb7u1_armhf.deb Size: 66076 SHA256: 245855d8baecbd7ce3c2016775b4d3d069b20eff74064bc11c9cf475b27cced8 SHA1: 5826700899242956eeabf600189997870e120ea2 MD5sum: bccc547fc9c4b2ccec42e2cc924cf8b7 Description: development files for libass SubStation Alpha (SSA) is a subtitle file format that allows more advanced subtitles than the conventional SRT and similar formats. . This package contains the header files and static libraries needed to compile applications or shared objects that use libass. Package: libass4 Source: libass Version: 0.10.0-3+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 150 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libenca0 (>= 1.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0) Multi-Arch: same Homepage: http://code.google.com/p/libass/ Priority: extra Section: libs Filename: pool/main/liba/libass/libass4_0.10.0-3+deb7u1_armhf.deb Size: 56910 SHA256: fa79845d1b0eab7179bf0310b064ec5c9de46c55db74ba69ae04432318cce08b SHA1: 95e7ee4cbff3a903fd7a9d5b7006406c88859957 MD5sum: d456d472b6e04f3af9f0ac73c2a6f1a5 Description: library for SSA/ASS subtitles rendering SubStation Alpha (SSA) is a subtitle file format that allows more advanced subtitles than the conventional SRT and similar formats. . This package contains the shared library for libass. Package: libassa3.5-5 Source: libassa Version: 3.5.1-2 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 1002 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Conflicts: libassa0 Replaces: libassa0 Multi-Arch: same Homepage: http://libassa.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/liba/libassa/libassa3.5-5_3.5.1-2_armhf.deb Size: 376680 SHA256: aa034b1ce75428d68b6cc1c55eeb1613e6fb7d99f4fe54b77b54cfdb49297820 SHA1: 9f8ff4f39f4827450e1a91f3e4badafd4be64fc5 MD5sum: 534f90de6354fec82d280cae359f126e Description: object-oriented C++ networking library libASSA is an object-oriented C++ networking library based on Adaptive Communication Patterns. It features a simplistic implementation of the set of communication patterns such as Service Configurator, Reactor, Acceptor, Connector, and others described in various papers published by Dr. D. C. Schmidt. . libASSA happily co-exists with other frameworks such as GUI toolkits and various CORBA implementations . This package contains the shared libraries. Package: libassa3.5-5-dbg Source: libassa Version: 3.5.1-2 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 2972 Depends: libassa3.5-5 (= 3.5.1-2) Multi-Arch: same Homepage: http://libassa.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/liba/libassa/libassa3.5-5-dbg_3.5.1-2_armhf.deb Size: 2881292 SHA256: 716a25944703ae5d5cef438ec58558453463465cbb5ddd09d7bd6677332e66e1 SHA1: b666eeb6e100221d2ecb4c267d880875b7abb27d MD5sum: dfdb797336d0854fe48e9160df8ba162 Description: debugging symbols for libassa3.5-5 libASSA is an object-oriented C++ networking library based on Adaptive Communication Patterns. It features a simplistic implementation of the set of communication patterns such as Service Configurator, Reactor, Acceptor, Connector, and others described in various papers published by Dr. D. C. Schmidt. . libASSA happily co-exists with other frameworks such as GUI toolkits and various CORBA implementations . This package contains the debugging symbols for libassa3.5-5. Package: libassa3.5-5-dev Source: libassa Version: 3.5.1-2 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 12410 Depends: libtirpc-dev, libassa3.5-5 (= 3.5.1-2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: libassa0-dev, libassa3.4-0-dev Replaces: libassa0-dev, libassa3.4-0-dev Homepage: http://libassa.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/liba/libassa/libassa3.5-5-dev_3.5.1-2_armhf.deb Size: 2000514 SHA256: 4d90f15f9d99338f829d7f5ef56242930a530e9f3a45d6b7b3a642ecc62f3762 SHA1: b31aa00318cac65bddc688b86f6a17756d6f7e3e MD5sum: fa1ba67cf0c22079eda6aae71ce2cbc8 Description: object-oriented C++ networking library libASSA is an object-oriented C++ networking library based on Adaptive Communication Patterns. It features a simplistic implementation of the set of communication patterns such as Service Configurator, Reactor, Acceptor, Connector, and others described in various papers published by Dr. D. C. Schmidt. . libASSA happily co-exists with other frameworks such as GUI toolkits and various CORBA implementations . This package contains the header files and static libraries which are needed for developing applications and a program that generates skeleton files for RAD development with ASSA library. Package: libassimp-dev Source: assimp Version: 3.0~dfsg-1 Architecture: armhf Maintainer: IOhannes m zmoelnig (gpg-key at iem) Installed-Size: 523 Depends: libassimp3 (>= 3.0~dfsg-1), libassimp3 (<< 3.0~dfsg+1~) Homepage: http://assimp.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/a/assimp/libassimp-dev_3.0~dfsg-1_armhf.deb Size: 112348 SHA256: 2b859118fcf1c3302d1557bcbf7ee82519f997e57b6a6957d1fe848e25d56dea SHA1: 4fc0f64fdadee6d014d15fe81233a4fcca983efb MD5sum: e2514da01a60e194965f70c0c61bdfd8 Description: 3D model import library (development) Assimp is a library to import various well-known 3D model formats ("assets") in a uniform manner. Assimp aims at providing a full asset conversion pipeline for use in game engines / realtime rendering systems of any kind but is not limited to this audience. . This package provides the files necessary to compile an application using Assimp Package: libassimp-doc Source: assimp Version: 3.0~dfsg-1 Installed-Size: 3331 Maintainer: IOhannes m zmoelnig (gpg-key at iem) Architecture: all Suggests: libassimp-dev Size: 1156764 SHA256: 0588ff01ccbfcd455542e363483f37fe16d070bd32fe696d40518ba1587408b7 SHA1: 320e9ab44a75031eee245314bb4ddc12afd7f499 MD5sum: 6c4804aa0e2f03dc818eb7d111890238 Description: 3D model import library (documentation) Assimp is a library to import various well-known 3D model formats ("assets") in a uniform manner. Assimp aims at providing a full asset conversion pipeline for use in game engines / realtime rendering systems of any kind but is not limited to this audience. . This package contains html documentation for the Assimp API. Homepage: http://assimp.sourceforge.net/ Tag: devel::doc, role::documentation Section: doc Priority: extra Filename: pool/main/a/assimp/libassimp-doc_3.0~dfsg-1_all.deb Package: libassimp3 Source: assimp Version: 3.0~dfsg-1 Architecture: armhf Maintainer: IOhannes m zmoelnig (gpg-key at iem) Installed-Size: 4706 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Homepage: http://assimp.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/a/assimp/libassimp3_3.0~dfsg-1_armhf.deb Size: 1863108 SHA256: 372aacfc9f3777ec5faaa15ea594f8b6967b7ef0874cde19f7e9333f95d369c4 SHA1: 77e144401f097a834e336abd0ee1e1ea876b1c47 MD5sum: 9c33fd690c7c8052254b4652d9f78018 Description: 3D model import library Assimp is a library to import various well-known 3D model formats ("assets") in a uniform manner. Assimp aims at providing a full asset conversion pipeline for use in game engines / realtime rendering systems of any kind but is not limited to this audience. . The library is designed for maximum stability and flexibility. While written in C++, a pure C API exists, as well as bindings to various other languages, including Python, D and Blitzmax. Assimp loads models into a straightforward data structure for easy processing by your application. Various post processing steps (such as general optimization or computation of extra data like normal or tangent vectors) can be executed on the imported data to suit your needs. . This package provides the shared object necessary to run an application using Assimp. Package: libassuan-dev Source: libassuan Version: 2.0.3-1 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 229 Depends: libassuan0 (= 2.0.3-1), dpkg (>= 1.15.4) | install-info Homepage: http://www.gnupg.org/(en)/related_software/libassuan/index.html Priority: optional Section: libdevel Filename: pool/main/liba/libassuan/libassuan-dev_2.0.3-1_armhf.deb Size: 90768 SHA256: 6bd5a20e30edda9a3d475f10f0c543283166a3e2013edaa0d18e76f7a96843aa SHA1: 8cc3b4064f7ba5a65cd84c1bbcdc929249beb395 MD5sum: 01dacabc5692f9f4c4a44d81522d54e2 Description: IPC library for the GnuPG components An IPC library used by the next generation of GnuPG and its various components. . This package contains header files and static libraries for libassuan. Package: libassuan0 Source: libassuan Version: 2.0.3-1 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 90 Pre-Depends: multiarch-support Depends: libc6 (>= 2.8), libgpg-error0 (>= 1.10) Multi-Arch: same Homepage: http://www.gnupg.org/(en)/related_software/libassuan/index.html Priority: optional Section: libs Filename: pool/main/liba/libassuan/libassuan0_2.0.3-1_armhf.deb Size: 29992 SHA256: 4d05410b8a26c22f12091206b9cf19cd4a18dab1806114ef5ff9f5f891fbc8a6 SHA1: 7a73b3064df6d838045f280c97f184115bd1e50d MD5sum: 022cedd8adfdd82e5eb70fc030aec7fa Description: IPC library for the GnuPG components An IPC library used by the next generation of GnuPG and its various components. Package: libassuan0-dbg Source: libassuan Version: 2.0.3-1 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 147 Depends: libassuan0 (= 2.0.3-1) Multi-Arch: same Homepage: http://www.gnupg.org/(en)/related_software/libassuan/index.html Priority: extra Section: debug Filename: pool/main/liba/libassuan/libassuan0-dbg_2.0.3-1_armhf.deb Size: 89740 SHA256: 2ea0e5cd3b5297ee47ec487aafa3d397da414a1ea1cae82fb857cdb708fa7c1d SHA1: 18d5bb410ef1d3cf0114dfa232577dc491066799 MD5sum: 05b2c0317603eba3baf9129846b0f58d Description: IPC library for the GnuPG components -- debugging symbols An IPC library used by the next generation of GnuPG and its various components. . This package contains debugging symbols for libassuan. Package: libast2 Source: libast Version: 0.7-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 257 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libice6 (>= 1:1.0.0), libimlib2, libsm6, libx11-6, libxext6, zlib1g (>= 1:1.1.4) Conflicts: libast1 Replaces: libast1 Homepage: http://www.eterm.org/ Priority: optional Section: libs Filename: pool/main/liba/libast/libast2_0.7-6_armhf.deb Size: 100064 SHA256: c850eedba4fc29def1720b14f01ef951c6b7a97cc97070223ba7f537a679f5e1 SHA1: 47d2ed9ae70e26852cc7113269207d4bd4e6d6aa MD5sum: ce54293ace5620b9651083e8650791e8 Description: Library of Assorted Spiffy Things LibAST is the Library of Assorted Spiffy Things. It contains many spiffy things, and it is a library. Thus, the ever-so-creative name. LibAST has been previously known as libmej, the Eterm helper library which nobody really understood and certainly never used. The plan is to gradually remove some of the neat stuff from Eterm that could be made generic (things like the theme parsing engine, the command-line options parser, perhaps the event engine, ...) and place it here in the hopes that others will find them useful. Package: libast2-dev Source: libast Version: 0.7-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 591 Depends: libimlib2-dev, libc6-dev, libast2 (= 0.7-6) Conflicts: libast-dev, libast1-dev Provides: libast-dev, libast1-dev Homepage: http://www.eterm.org/ Priority: optional Section: libdevel Filename: pool/main/liba/libast/libast2-dev_0.7-6_armhf.deb Size: 154930 SHA256: 40b720c0ee86a7f8e38bac4c58599d67bc88a28d8c9b6cad7dd5dd300465f0dc SHA1: 95db6fd03cdd78420793110e7f086d5e4736b8de MD5sum: 21216bde7180f8f765f1e919373a9e0a Description: libast2 development files Headers, static libraries and documentation for developing software that uses libast2. . LibAST is the Library of Assorted Spiffy Things. It contains many spiffy things, and it is a library. Thus, the ever-so-creative name. LibAST has been previously known as libmej, the Eterm helper library which nobody really understood and certainly never used. The plan is to gradually remove some of the neat stuff from Eterm that could be made generic (things like the theme parsing engine, the command-line options parser, perhaps the event engine, ...) and place it here in the hopes that others will find them useful. Package: libasterisk-agi-perl Version: 1.01-2 Installed-Size: 156 Maintainer: Debian VoIP Team Architecture: all Depends: perl Size: 42154 SHA256: efe2901f3f99010c7c70710d3dcd79244247c88d6870cc84197ce698b2f018d3 SHA1: 0bfae72c48998651a49427f486b44a202d723dbc MD5sum: 598d61bffb4bb3c714f6b528c4c08fa2 Description: Collections of Perl modules to be used with Asterisk PBX AGI This module provides a simple interface to several operations related to the Asterisk PBX, including: . * Asterisk::AGI - simplifies writing AGI scripts for the Asterisk dialplan. * Asterisk::Outgoing - create calls through the outgoing spool. * Asterisk::Manager - control Asterisk through the Manager interface socket. Homepage: http://asterisk.gnuinter.net/ Tag: devel::lang:perl, devel::library, implemented-in::perl, protocol::voip, role::devel-lib, role::plugin Section: perl Priority: optional Filename: pool/main/liba/libasterisk-agi-perl/libasterisk-agi-perl_1.01-2_all.deb Package: libastro-fits-cfitsio-perl Version: 1.08-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 1321 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libcfitsio3 (>= 3.280) Homepage: http://search.cpan.org/dist/Astro-FITS-CFITSIO/ Priority: optional Section: perl Filename: pool/main/liba/libastro-fits-cfitsio-perl/libastro-fits-cfitsio-perl_1.08-1_armhf.deb Size: 540094 SHA256: 629b0923af12fcf4dda5ce43d8ab8abc2b76b00ce3c15916fa62f775622d7f34 SHA1: 51db5555fdeb3ffb1091b1ffc13687a01133746a MD5sum: 209c3bd7207a9017b940d75f648ace91 Description: Perl extension for using the cfitsio library Perl interface to William Pence's cfitsio subroutine library. For more information on cfitsio, see http://heasarc.gsfc.nasa.gov/fitsio. . This module attempts to provide a wrapper for nearly every cfitsio routine, while retaining as much cfitsio behavior as possible. As such, one should be aware that it is still somewhat low-level, in the sense that handing an array which is not the correct size to a routine like fits_write_img() may cause SIGSEGVs. . The goal is to eventually use these routines to build a more Perl-like interface to many common tasks such as reading and writing of images and ASCII and binary tables. Package: libastro-fits-header-perl Version: 3.05-1 Installed-Size: 160 Maintainer: Debian Perl Group Architecture: all Provides: libastro-fits-header-cfitsio, libastro-fits-header-item Depends: perl, libastro-fits-cfitsio-perl Size: 58004 SHA256: 96b00229982557e2dc83f4f0dbc87752c5bfa8276d192b5219097e4c8bec2217 SHA1: 73e6f0d461fefcb388ba9312a2ca83fb4f11b657 MD5sum: 30dde647b6bb37a9dd1e539cde8f97f9 Description: module for reading, modifying and writing FITS headers Astro::FITS::Header is a set of Perl modules that provide a more high-level approach than the straightforward CFITSIO to reading, modifying and then writing out FITS standard header blocks to FITS files. Homepage: http://search.cpan.org/dist/Astro-FITS-Header/ Tag: devel::lang:perl, devel::library, field::astronomy, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/liba/libastro-fits-header-perl/libastro-fits-header-perl_3.05-1_all.deb Package: libasync-http-client-java Source: async-http-client Version: 1.6.5-1 Installed-Size: 398 Maintainer: Debian Java Maintainers Architecture: all Depends: libnetty-java (>= 3.2.6.Final), libslf4j-java Recommends: libcommons-httpclient-java, libcommons-lang-java, libcommons-logging-java Suggests: libasync-http-client-java-doc Size: 312576 SHA256: 8dc9f3b147c52b2ae1fb9a12601ca334cb7beafd6b3373edf008ad33e873d368 SHA1: 45c0f312e687cc1890d960afad28303e48e11b67 MD5sum: 331282edf80b5d46ad2aba289ffeff46 Description: Java Asynchronous HTTP Client Async HTTP Client library purpose is to allow Java applications to easily execute HTTP requests and asynchronously process the HTTP responses. Homepage: http://github.com/sonatype/async-http-client Tag: devel::lang:java, implemented-in::java, network::client, protocol::http, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/a/async-http-client/libasync-http-client-java_1.6.5-1_all.deb Package: libasync-http-client-java-doc Source: async-http-client Version: 1.6.5-1 Installed-Size: 6208 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Recommends: libcommons-logging-java-doc Suggests: libasync-http-client-java Size: 673270 SHA256: 3bb0249bbe0deb052f6c717da74971b55ca23b1e0bb765f5249b419c11f76129 SHA1: e953df5c3010ac5fb9d8a80acbf9eb5e7e642424 MD5sum: 95495fa99c97c4180a55cc78102774bc Description: Documentation for Java Asynchronous HTTP Client Async HTTP Client library purpose is to allow Java applications to easily execute HTTP requests and asynchronously process the HTTP responses. . This package contains the API documentation of libasync-http-client-java. Homepage: http://github.com/sonatype/async-http-client Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/a/async-http-client/libasync-http-client-java-doc_1.6.5-1_all.deb Package: libasync-interrupt-perl Version: 1.10-1+rpi1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 129 Depends: perl (>= 5.14.2-12+rpi1), perlapi-5.14.2, libc6 (>= 2.13-28), libcommon-sense-perl Homepage: http://search.cpan.org/dist/Async-Interrupt/ Priority: optional Section: perl Filename: pool/main/liba/libasync-interrupt-perl/libasync-interrupt-perl_1.10-1+rpi1_armhf.deb Size: 35674 SHA256: 66879fa4aa994db57dd6b39395e6d09102ba09c87ef24359c629b6d528a61cdb SHA1: 09f5eeb0d5714c1a190c89f76d2279767031864c MD5sum: 501e9a37134e9c3b1c6f38b3a433da17 Description: module to allow C/XS libraries to interrupt perl Async::Interrupt is a Perl module that implements asynchronous interruptions, similar in nature to UNIX signals, in a cross-platform manner. Modules might want to run code asynchronously (in another thread or from a signal handler) and then signal the interpreter on certain events. One common way is to write data to a pipe and use an event handling toolkit to watch for I/O events. Another way is to send a signal. Those methods are slow, and in the case of a pipe, also not asynchronous - it won't interrupt a running Perl interpreter. . This module implements asynchronous notifications that enable you to signal running Perl code from another thread, asynchronously, and sometimes even without using a single syscall. Package: libasync-mergepoint-perl Version: 0.04-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 10530 SHA256: 50fb43973c9c15cf45d5109860eeab3be737010aaed2553320f2d2bb8b197905 SHA1: 3a69843728423288197f61894b549dbebebffc5d MD5sum: cbda1506085d68227a0b4c01d286c7a2 Description: Perl module to resynchronize multiple control flows Async::Mergepoint is a module designed to provide a way to resynchronize multiple parallel control flows. Often in program logic, multiple different steps need to be taken that are independent of each other, but their total result is needed before the next step can be taken. In synchronous code, the usual approach is to do them sequentially. . An asynchronous or event-based program could do this, but if each step involves some IO idle time, better overall performance can often be gained by running the steps in parallel. A Async::MergePoint object can then be used to wait for all of the steps to complete, before passing the combined result of each step on to the next stage. Homepage: http://search.cpan.org/dist/Async-MergePoint/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libasync-mergepoint-perl/libasync-mergepoint-perl_0.04-1_all.deb Package: libasyncns-dev Source: libasyncns Version: 0.8-4 Architecture: armhf Maintainer: Tanguy Ortolo Installed-Size: 320 Depends: libasyncns0 (= 0.8-4) Homepage: http://0pointer.de/lennart/projects/libasyncns/ Priority: optional Section: libdevel Filename: pool/main/liba/libasyncns/libasyncns-dev_0.8-4_armhf.deb Size: 84468 SHA256: 83e27fe10e3a2f4fdccb7ccd4d1ff1b27fe801c18df363a7dae171d4b866ec03 SHA1: 0c15cffe1475aba57f7f49c958f0fdad6abca300 MD5sum: b80fa9283b907fc53569dfabfac44779 Description: Asynchronous name service query library development files libasyncns is a C library for Linux/Unix for executing name service queries asynchronously. It is an asynchronous wrapper around getaddrinfo(3), getnameinfo(3), res_query(3) and res_search(3) from libc and libresolv. . This package contains development headers and libraries. Package: libasyncns0 Source: libasyncns Version: 0.8-4 Architecture: armhf Maintainer: Tanguy Ortolo Installed-Size: 53 Pre-Depends: multiarch-support Depends: libc6 (>= 2.4) Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libasyncns/ Priority: optional Section: libs Filename: pool/main/liba/libasyncns/libasyncns0_0.8-4_armhf.deb Size: 12776 SHA256: 6aa698353a600d645aa4537c60f3130896626caac3c3d75a080928ca137320cd SHA1: ed8fb62a0b3ba2e58c775f5a685d669784e8cf62 MD5sum: 5e4306522dd680d56d4e95b75a082029 Description: Asynchronous name service query library libasyncns is a C library for Linux/Unix for executing name service queries asynchronously. It is an asynchronous wrapper around getaddrinfo(3), getnameinfo(3), res_query(3) and res_search(3) from libc and libresolv. Package: libatasmart-bin Source: libatasmart Version: 0.19-1 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 49 Depends: libatasmart4 (>= 0.13), libc6 (>= 2.13-28) Multi-Arch: foreign Homepage: http://0pointer.de/blog/projects/being-smart.html Priority: optional Section: utils Filename: pool/main/liba/libatasmart/libatasmart-bin_0.19-1_armhf.deb Size: 10946 SHA256: 539c68c574b8c78fa36c3ecb594cf79feabd6e89da5347fb55d79ef5613aa806 SHA1: 0fe00ca1ee5068b146716f5279759e75ba97e181 MD5sum: 851e1ab84a5f222ba6d734a8c8d2137a Description: ATA S.M.A.R.T. reading and parsing library - utilities A small and lightweight parser library for ATA S.M.A.R.T. hard disk health monitoring. . This package contains utilities which allow to query the S.M.A.R.T. information. Package: libatasmart-dev Source: libatasmart Version: 0.19-1 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 69 Depends: libatasmart4 (= 0.19-1) Homepage: http://0pointer.de/blog/projects/being-smart.html Priority: optional Section: libdevel Filename: pool/main/liba/libatasmart/libatasmart-dev_0.19-1_armhf.deb Size: 8794 SHA256: b79ce67e89bad1ee900665d0f5899e11a47a79c92b30cec8383dda2d37a51fae SHA1: 1965ac494a0dee45f073080ab11b077488e80284 MD5sum: a737383467697667a19fab93eecf3c75 Description: ATA S.M.A.R.T. reading and parsing library - development files A small and lightweight parser library for ATA S.M.A.R.T. hard disk health monitoring. . This package contains the development files. Package: libatasmart4 Source: libatasmart Version: 0.19-1 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 89 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libudev0 (>= 0.140) Multi-Arch: same Homepage: http://0pointer.de/blog/projects/being-smart.html Priority: optional Section: libs Filename: pool/main/liba/libatasmart/libatasmart4_0.19-1_armhf.deb Size: 28502 SHA256: fc18bd08d4b6cc613ba9e119a9cad571595e1137887f598fc2cf6605efc794ab SHA1: 933e51336a65db6d9317327a94248d65181d0e8c MD5sum: 3eb2e4fb4134f4e831379b7107a3c0dc Description: ATA S.M.A.R.T. reading and parsing library A small and lightweight parser library for ATA S.M.A.R.T. hard disk health monitoring. . This package contains the shared library. Package: libatd-ocaml Source: ocaml-atd Version: 1.0.1-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 744 Depends: libeasy-format-ocaml-olwe5, ocaml-base-nox-3.12.1 Recommends: ocaml-findlib Provides: libatd-ocaml-t5vd6 Homepage: http://oss.wink.com/atd/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-atd/libatd-ocaml_1.0.1-1_armhf.deb Size: 303648 SHA256: 6fa685adb7b568eda2fde4e26b9d022981991f9a69eb2ae8f3c393c4896c655f SHA1: 6c5b494a738ef8bfda7add89c7a668ac8c3c8446 MD5sum: 0a569705fcb8d6b668e0d9911600bf32 Description: syntax for cross-language data types in OCaml ATD stands for Adjustable Type Definitions. It is a type definition language designed to accommodate a variety of programming languages and data formats by the means of target-specific annotations. It supports sum types, parametrized types and inheritance. The library provides a parser and other tools useful for manipulating ATD type definitions. . This package contains the shared runtime libraries. Package: libatd-ocaml-dev Source: ocaml-atd Version: 1.0.1-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 2289 Depends: libatd-ocaml-t5vd6, libeasy-format-ocaml-dev-olwe5, ocaml-nox-3.12.1 Recommends: ocaml-findlib Provides: libatd-ocaml-dev-t5vd6 Homepage: http://oss.wink.com/atd/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-atd/libatd-ocaml-dev_1.0.1-1_armhf.deb Size: 914208 SHA256: 1104ba27d6c6583773daca224086dfc3521739c69eca07fa526fe1c343ed1884 SHA1: 2c2bc26e932abb11eb665f7ba70230f7586f9604 MD5sum: 9c31c6d98c2f473209a20ea982321912 Description: syntax for cross-language data types in OCaml ATD stands for Adjustable Type Definitions. It is a type definition language designed to accommodate a variety of programming languages and data formats by the means of target-specific annotations. It supports sum types, parametrized types and inheritance. The library provides a parser and other tools useful for manipulating ATD type definitions. Package: libatdgen-ocaml Source: atdgen Version: 1.2.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 778 Depends: libatd-ocaml-t5vd6, libbiniou-ocaml-6i1k1, libeasy-format-ocaml-olwe5, libyojson-ocaml-i2i47, ocaml-base-nox-3.12.1 Recommends: ocaml-findlib Provides: libatdgen-ocaml-ux3x0 Homepage: http://oss.wink.com/atdgen/ Priority: optional Section: ocaml Filename: pool/main/a/atdgen/libatdgen-ocaml_1.2.2-1_armhf.deb Size: 320036 SHA256: a1a7538cd20dd07e7d46a940e53e0fde4a92cac83d41a245a13ad4b0085912f0 SHA1: 5850678f8c40a8673aebc12195b0654aa43e72a8 MD5sum: 472277ac15db60b2aac0a651dd19d612 Description: code generator for biniou and JSON serialization in OCaml Atdgen is a command-line program that takes as input type definitions in the ATD syntax and produces OCaml code suitable for data serialization and deserialization. Two data formats are currently supported, these are biniou and JSON. . This package contains the shared runtime libraries. Package: libatdgen-ocaml-dev Source: atdgen Version: 1.2.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 3438 Depends: libatd-ocaml-dev-t5vd6, libatdgen-ocaml-ux3x0, libbiniou-ocaml-dev-6i1k1, libeasy-format-ocaml-dev-olwe5, libyojson-ocaml-dev-i2i47, ocaml-nox-3.12.1 Recommends: ocaml-findlib Provides: libatdgen-ocaml-dev-ux3x0 Homepage: http://oss.wink.com/atdgen/ Priority: optional Section: ocaml Filename: pool/main/a/atdgen/libatdgen-ocaml-dev_1.2.2-1_armhf.deb Size: 1336670 SHA256: 097ec2d8bff96443be9104214aa0e7e83c0e4ef21d2650fa56589d56b6f84bae SHA1: 06c0e177afbecb8d1a0b1e25973cde099d5df3af MD5sum: 15de41f6083399177f801b193a2f2cef Description: code generator for biniou and JSON serialization in OCaml Atdgen is a command-line program that takes as input type definitions in the ATD syntax and produces OCaml code suitable for data serialization and deserialization. Two data formats are currently supported, these are biniou and JSON. Package: libatfs1 Source: shapetools Version: 1.4pl6-11 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 251 Depends: libc6 (>= 2.12) Homepage: http://swt.cs.tu-berlin.de/~shape/index.html Priority: optional Section: libs Filename: pool/main/s/shapetools/libatfs1_1.4pl6-11_armhf.deb Size: 111000 SHA256: 7c38a6bfcc22d541d798558b62bd35ce9e9e161c8b15ab9bacaff1b514cc26e2 SHA1: 03d54ee877479671dfd8a76c812589da07fdc15b MD5sum: f9b692683d37bf18a10f7990d2c28ad5 Description: The Attributed File System (AtFS runtime libraries) AtFS is a storage system supporting multiple versions of files and associating an arbitrary number of application defined attributes of the form "name=value" with each version. It is part of ShapeTools, a software configuration management system. . This package contains the runtime libararies. Package: libatinject-jsr330-api-java Source: atinject-jsr330 Version: 1.0-2 Installed-Size: 72 Maintainer: Debian Java Maintainers Architecture: all Suggests: libatinject-jsr330-api-java-doc (= 1.0-2) Size: 4748 SHA256: 189dc0722923a3aa2eeceb8a5de82815c0113d1a7a1982a3d1bb92acc255a2de SHA1: 25a6dc65003a0d87c2963271370fec4ace295bc1 MD5sum: 08ee2cb510345af8836d31c5cf4033c9 Description: Java API for JSR-330 Dependency Injection AtInject is the Java API build by the JSR-330 Expert Group: . . It's a set of API aiming to standardize an extensible dependency injection paradigm, as those provided by Guice, Spring or Plexus projects. Homepage: http://code.google.com/p/atinject/ Section: java Priority: optional Filename: pool/main/a/atinject-jsr330/libatinject-jsr330-api-java_1.0-2_all.deb Package: libatinject-jsr330-api-java-doc Source: atinject-jsr330 Version: 1.0-2 Installed-Size: 216 Maintainer: Debian Java Maintainers Architecture: all Suggests: libatinject-jsr330-api-java (= 1.0-2), default-jdk-doc Size: 15778 SHA256: 59d64c009684b92992dc60f5ed3ade806d1e36c41f5cbc04698922dcf880f140 SHA1: dcc105c4efa76b465c6814516ec07814f394a20b MD5sum: 1a84cedc5506d807c27b4d936ba9da43 Description: Documentation for libatinject-jsr330-api-java Documentation for AtInject that is the Java API build by the JSR-330 Expert Group: . . It's a set of API aiming to standardize an extensible dependency injection paradigm, as those provided by Guice, Spring or Plexus projects. Homepage: http://code.google.com/p/atinject/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/atinject-jsr330/libatinject-jsr330-api-java-doc_1.0-2_all.deb Package: libatk-adaptor Source: at-spi2-atk Version: 2.5.3-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 75 Depends: libatk-bridge2.0-0 (>= 2.5.3), libatk1.0-0 (>= 1.12.4), libatspi2.0-0 (>= 1.91.92), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libglib2.0-0 (>= 2.12.0) Recommends: libatk-adaptor-data Conflicts: at-spi Replaces: at-spi Provides: at-spi Multi-Arch: same Homepage: http://live.gnome.org/Accessibility Priority: optional Section: misc Filename: pool/main/a/at-spi2-atk/libatk-adaptor_2.5.3-2_armhf.deb Size: 5584 SHA256: 53f73903048e2bda0710e6b06d4e3374644cbea10188cf321b3686cc541b4ac1 SHA1: 61fa2e68e03ea05e1e183e07c36fb0117bff5fef MD5sum: a094f183c030d89887209954e3a529d3 Description: AT-SPI 2 toolkit bridge This package includes a gtk-module that bridges ATK to the new D-Bus based AT-SPI. Package: libatk-adaptor-data Source: at-spi2-atk Version: 2.5.3-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 654 Depends: dconf-gsettings-backend | gsettings-backend Conflicts: libatk-adaptor-schemas Replaces: libatk-adaptor-schemas Homepage: http://live.gnome.org/Accessibility Priority: optional Section: misc Filename: pool/main/a/at-spi2-atk/libatk-adaptor-data_2.5.3-2_armhf.deb Size: 21726 SHA256: ae7c4add584bce3c97cc4cae9a44c8acb664377e2138a7fed200231d9982e888 SHA1: 644251b871f81c2c8edd51fb577376c88b8d6dd0 MD5sum: 61237ce4008bdd59a868e28eed474089 Description: AT-SPI 2 toolkit bridge - data This package contains the GSettings schemas needed by non-GTK applications like clutter and unity to find the atk bridge module, as well as message translations. Package: libatk-adaptor-dbg Source: at-spi2-atk Version: 2.5.3-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 62 Depends: libatk-adaptor (= 2.5.3-2) Multi-Arch: same Homepage: http://live.gnome.org/Accessibility Priority: extra Section: debug Filename: pool/main/a/at-spi2-atk/libatk-adaptor-dbg_2.5.3-2_armhf.deb Size: 6418 SHA256: acecd69f795658b472edce7674575a301127b069906c17914a52bdfcab536bac SHA1: 0948e3f373ee3de24928279af3f0600e52cde2a4 MD5sum: 061453268f5552d9c0bcd0883d22bebe Description: AT-SPI 2 toolkit bridge - debugging symbols This package provides debugging symbols for the ATK to AT-SPI bridge. Package: libatk-bridge2.0-0 Source: at-spi2-atk Version: 2.5.3-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 176 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.32.0), libatspi2.0-0 (>= 1.91.92), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libglib2.0-0 (>= 2.30.0) Multi-Arch: same Homepage: http://live.gnome.org/Accessibility Priority: optional Section: libs Filename: pool/main/a/at-spi2-atk/libatk-bridge2.0-0_2.5.3-2_armhf.deb Size: 49748 SHA256: 172ac4e4b27603826312de6214c92b80db130afe089e9b50c3add4d38e232ffe SHA1: e548c90c676ac28b7e6f61d8c1097e9ef3722a4f MD5sum: 6b7d71e15bf7ef1cf96e33d8df1735f8 Description: AT-SPI 2 toolkit bridge - shared library This package contains the ATK bridge shared library. Package: libatk-bridge2.0-0-dbg Source: at-spi2-atk Version: 2.5.3-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 209 Depends: libatk-bridge2.0-0 (= 2.5.3-2) Multi-Arch: same Homepage: http://live.gnome.org/Accessibility Priority: extra Section: debug Filename: pool/main/a/at-spi2-atk/libatk-bridge2.0-0-dbg_2.5.3-2_armhf.deb Size: 129454 SHA256: 4102ef6d8bbd5ecb4a5dd9fe2f8bbfbe841f179c3e2a3425101225e9bc0a9200 SHA1: 27a82c963db7baaac6125e1661600476d3eccf5d MD5sum: 51f1a93eff3fc32b65701862161f0b85 Description: AT-SPI 2 toolkit bridge - debugging symbols This package contains the debugging symbols for the shared library for applications that wish to use the atk bridge interface. Package: libatk-bridge2.0-dev Source: at-spi2-atk Version: 2.5.3-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 58 Depends: libatk-bridge2.0-0 (= 2.5.3-2), libglib2.0-dev (>= 2.4.1-2) Homepage: http://live.gnome.org/Accessibility Priority: optional Section: libdevel Filename: pool/main/a/at-spi2-atk/libatk-bridge2.0-dev_2.5.3-2_armhf.deb Size: 4318 SHA256: 807f3749dfa2248dc9dc9d79e03d397d85166d307b33baed3f7919962d104272 SHA1: 429360785e42bc0281043beb4706e6102f6102dc MD5sum: 1fb86757a52116f8937bac51cb8a21eb Description: Development files for the AT-SPI 2 toolkit bridge These are the development files for libatk-bridge2.0, needed for compilation of programs which use it. Package: libatk-wrapper-java Source: java-atk-wrapper Version: 0.30.4-3 Installed-Size: 62 Maintainer: Debian Accessibility Team Architecture: all Recommends: libatk-wrapper-java-jni Size: 31222 SHA256: a6ad75f691ccda4daff999894c0c7bdcf9923a1d88ff4e7b00cdd903acbb7206 SHA1: 237da9dab279f8f89b6cb8fc5000663ddc2e28b3 MD5sum: f20b00a4c66116eedc9a6880907b0f80 Description: ATK implementation for Java using JNI Java ATK Wrapper is an implementation of ATK by using JNI. It converts Java Swing events into ATK events, and send these events to ATK-Bridge. Multi-Arch: foreign Homepage: http://ftp.gnome.org/pub/GNOME/sources/java-atk-wrapper/ Section: java Priority: optional Filename: pool/main/j/java-atk-wrapper/libatk-wrapper-java_0.30.4-3_all.deb Package: libatk-wrapper-java-jni Source: java-atk-wrapper Version: 0.30.4-3 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 105 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.18.0), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libatk-wrapper-java (>= 0.30.4-3) Multi-Arch: same Homepage: http://ftp.gnome.org/pub/GNOME/sources/java-atk-wrapper/ Priority: optional Section: java Filename: pool/main/j/java-atk-wrapper/libatk-wrapper-java-jni_0.30.4-3_armhf.deb Size: 25726 SHA256: 5624b9e5ea16462a04b163247f851dadd48d3122ab456443a9edfe8729028eb5 SHA1: e0fda65ef5f5d06eaec4f70b0962d97d0f18c381 MD5sum: 22ec860e975012fb4f1065d356fa9c08 Description: ATK implementation for Java using JNI (JNI bindings) Java ATK Wrapper is an implementation of ATK by using JNI. It converts Java Swing events into ATK events, and send these events to ATK-Bridge. . This package contains the JNI bindings. Package: libatk1-ruby Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-atk Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libatk1-ruby_1.1.3-2_all.deb Size: 10110 SHA256: 7ebf3be678ddb1bb873ac039453c9eac106375fd6275854bfb68b2130aaf3831 SHA1: 552317189f5fe13c5177563b907b43147c8176c5 MD5sum: 80d24e2bf0ae1075160c7334ce43a8c5 Description: Transitional package for ruby-atk This is a transitional package to ease upgrades to the ruby-atk package. It can safely be removed. Package: libatk1-ruby1.8 Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-atk Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libatk1-ruby1.8_1.1.3-2_all.deb Size: 10114 SHA256: 13fe387c9189618242bcd5779c22898b261287d0d3dfdc5a27f6fed20601bab6 SHA1: edf2d2f4fee007ecd39ec051a2f9940f2f10b5f5 MD5sum: 6f5da0dc8184a78281f460d4bb939839 Description: Transitional package for ruby-atk This is a transitional package to ease upgrades to the ruby-atk package. It can safely be removed. Package: libatk1-ruby1.8-dbg Source: ruby-gnome2 (1.1.3-2) Version: 1.1.3-2+b1 Architecture: armhf Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-atk-dbg Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libatk1-ruby1.8-dbg_1.1.3-2+b1_armhf.deb Size: 10196 SHA256: f31e2b956df1d7b2f6819557ca902eaf9a0a9bad113bf3410c49191230f8455e SHA1: 425327a4c5120a75ba57b3cc30999dd4d4fef217 MD5sum: 744dfd97425700afb96b85687f8ff909 Description: Transitional package for ruby-atk-dbg This is a transitional package to ease upgrades to the ruby-atk-dbg package. It can safely be removed. Package: libatk1.0-0 Source: atk1.0 Version: 2.4.0-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 197 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.2), libatk1.0-data (= 2.4.0-2) Multi-Arch: same Homepage: http://www.gtk.org/ Priority: optional Section: libs Filename: pool/main/a/atk1.0/libatk1.0-0_2.4.0-2_armhf.deb Size: 86768 SHA256: 8772c62f3b8b8fcd47cb1c38c2532b0d96902cd2651af3244e4a9ba815ab9c20 SHA1: 5eeb156c0883945dc30e04a66e8dc955196ac57f MD5sum: 7df935e55e53362bc4cf5f1d3a69e8f4 Description: ATK accessibility toolkit ATK is a toolkit providing accessibility interfaces for applications or other toolkits. By implementing these interfaces, those other toolkits or applications can be used with tools such as screen readers, magnifiers, and other alternative input devices. . This is the runtime part of ATK, needed to run applications built with it. Package: libatk1.0-data Source: atk1.0 Version: 2.4.0-2 Installed-Size: 1674 Maintainer: Debian GNOME Maintainers Architecture: all Size: 240122 SHA256: 2d90c745b0948f9cec4e8cbbf54471dcbb5672470c0e132d41e3c6ed9c2ffdcd SHA1: 129deb2515f08fe2c577d97c01409044718fa897 MD5sum: 57914b572390add7e139a6fad23eb96f Description: Common files for the ATK accessibility toolkit ATK is a toolkit providing accessibility interfaces for applications or other toolkits. By implementing these interfaces, those other toolkits or applications can be used with tools such as screen readers, magnifiers, and other alternative input devices. . This contains the common files which the runtime libraries need. Multi-Arch: foreign Homepage: http://www.gtk.org/ Tag: role::app-data Section: misc Priority: optional Filename: pool/main/a/atk1.0/libatk1.0-data_2.4.0-2_all.deb Package: libatk1.0-dbg Source: atk1.0 Version: 2.4.0-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 224 Depends: libatk1.0-0 (= 2.4.0-2) Multi-Arch: same Homepage: http://www.gtk.org/ Priority: extra Section: debug Filename: pool/main/a/atk1.0/libatk1.0-dbg_2.4.0-2_armhf.deb Size: 154262 SHA256: defe1140f8bbc79ed04d33696bc4c172292bab81d6511a239072fbc33f74e4eb SHA1: cee622e2c075fbc17a24c4afc021aebbed635eb1 MD5sum: d0d566d8865592c53da49af22f05b300 Description: ATK libraries and debugging symbols ATK is a toolkit providing accessibility interfaces for applications or other toolkits. By implementing these interfaces, those other toolkits or applications can be used with tools such as screen readers, magnifiers, and other alternative input devices. . This package contains detached debugging symbols. . Most people will not need this package. Package: libatk1.0-dev Source: atk1.0 Version: 2.4.0-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 746 Depends: libatk1.0-0 (= 2.4.0-2), gir1.2-atk-1.0 (= 2.4.0-2), pkg-config, libglib2.0-dev (>= 2.31.2) Replaces: gir-repository-dev Homepage: http://www.gtk.org/ Priority: optional Section: libdevel Filename: pool/main/a/atk1.0/libatk1.0-dev_2.4.0-2_armhf.deb Size: 116730 SHA256: 668d40d55926e4af9de1046e375f3eda054cd31fcd5b4887a230cecac1d970b8 SHA1: c457a164ed53c23bc8e8b0736f07a57d638a47ff MD5sum: 267be2cf33d1d33de044f7942c0e26fe Description: Development files for the ATK accessibility toolkit ATK is a toolkit providing accessibility interfaces for applications or other toolkits. By implementing these interfaces, those other toolkits or applications can be used with tools such as screen readers, magnifiers, and other alternative input devices. . These are the development files for ATK, needed for compilation of programs or toolkits which use it. Package: libatk1.0-doc Source: atk1.0 Version: 2.4.0-2 Installed-Size: 1002 Maintainer: Debian GNOME Maintainers Architecture: all Suggests: libglib2.0-doc, libgtk2.0-doc, devhelp Size: 150454 SHA256: 7ad607e0e2945a0211055de80f8cea26256ce7db9b0490cfef064943c5988446 SHA1: e2aa1f8c48c90ff9c5f6f931051aeb6ff4d8698e MD5sum: e8121431d9b03f2a8bff21ebf3798c6e Description: Documentation files for the ATK toolkit ATK is a toolkit providing accessibility interfaces for applications or other toolkits. By implementing these interfaces, those other toolkits or applications can be used with tools such as screen readers, magnifiers, and other alternative input devices. . This contains the HTML documentation for the ATK library in /usr/share/doc/libatk1.0-doc/ . Homepage: http://www.gtk.org/ Tag: devel::doc, devel::library, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/atk1.0/libatk1.0-doc_2.4.0-2_all.deb Package: libatkmm-1.6-1 Source: atkmm1.6 Version: 2.22.6-1 Architecture: armhf Maintainer: Krzysztof Klimonda Installed-Size: 253 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.3.0) Breaks: libgtkmm-2.4-1c2a (<< 1:2.22.0) Replaces: libgtkmm-2.4-1c2a (<< 1:2.22.0) Homepage: http://www.gtkmm.org/ Priority: optional Section: libs Filename: pool/main/a/atkmm1.6/libatkmm-1.6-1_2.22.6-1_armhf.deb Size: 70698 SHA256: 40453423fb47c6046207ba0595bcefc77d00e2c53c1b207e4e8f0a7a297b86a0 SHA1: 05e9e2123a7d67b0fa0b2d40707667cf14e9d1a7 MD5sum: 544611901b7026ae206f88cc82e99557 Description: C++ wrappers for ATK accessibility toolkit (shared libraries) Atkmm is a C++ interface for ATK, accessibility toolkit used by Gtk+ library. It provides a familiar interface for C++ programmers to add accessibility features to their applications. . This package contains shared libraries. Package: libatkmm-1.6-dbg Source: atkmm1.6 Version: 2.22.6-1 Architecture: armhf Maintainer: Krzysztof Klimonda Installed-Size: 1019 Depends: libatkmm-1.6-1 (= 2.22.6-1) Breaks: libgtkmm-2.4-dbg (<< 1:2.22.0) Replaces: libgtkmm-2.4-dbg (<< 1:2.22.0) Homepage: http://www.gtkmm.org/ Priority: extra Section: debug Filename: pool/main/a/atkmm1.6/libatkmm-1.6-dbg_2.22.6-1_armhf.deb Size: 365604 SHA256: 91d80363777575dcd5c7d17198d75a15831d7784f5523ff8afedef3d726f1349 SHA1: 866e555c922dc8dbcd133e1f301ec7bd1733785e MD5sum: f9b6a3dd8a17b0012dcdb2835f3a1d55 Description: C++ wrappers for ATK accessibility toolkit (debug symbols) Atkmm is a C++ interface for ATK, accessibility toolkit used by Gtk+ library. It provides a familiar interface for C++ programmers to add accessibility features to their applications. . This package contains debug symbols for debugging applications using gtkmm. Package: libatkmm-1.6-dev Source: atkmm1.6 Version: 2.22.6-1 Architecture: armhf Maintainer: Krzysztof Klimonda Installed-Size: 267 Depends: libatkmm-1.6-1 (= 2.22.6-1), libglibmm-2.4-dev (>= 2.24.0), libatk1.0-dev (>= 1.12.0) Suggests: libatkmm-1.6-doc Breaks: libgtkmm-2.4-dev (<< 1:2.22.0) Replaces: libgtkmm-2.4-dev (<< 1:2.22.0) Homepage: http://www.gtkmm.org/ Priority: optional Section: libdevel Filename: pool/main/a/atkmm1.6/libatkmm-1.6-dev_2.22.6-1_armhf.deb Size: 36086 SHA256: 11a5950273516bce6bb2d38afaf309bdf499618a7a553d731dc5782872ce8b8a SHA1: 917e72070eb066cf9cc5aea305c866c4ae24629a MD5sum: fd30943fff42140d8d879a55de4321e6 Description: C++ wrappers for ATK accessibility toolkit (development files) Atkmm is a C++ interface for ATK, accessibility toolkit used by Gtk+ library. It provides a familiar interface for C++ programmers to add accessibility features to their applications. . This package contains development files. Package: libatkmm-1.6-doc Source: atkmm1.6 Version: 2.22.6-1 Installed-Size: 1251 Maintainer: Krzysztof Klimonda Architecture: all Depends: lynx | www-browser, doc-base Recommends: gtkmm-documentation Size: 359508 SHA256: 031322f19145163f088f0067fd8e24044e180a5dea225d4568346c0a405d1d55 SHA1: fc9216fb3bfd8e4d0b34df5e325761b0894955c4 MD5sum: 2576ae5c1c4c531b0261bebabdc3cb7f Description: C++ wrappers for ATK accessibility toolkit (documentation) Atkmm is a C++ interface for ATK, accessibility toolkit used by Gtk+ library. It provides a familiar interface for C++ programmers to add accessibility features to their applications. . This package contains tutorial, FAQ, and reference documentation. Homepage: http://www.gtkmm.org/ Tag: devel::doc, devel::lang:c++, role::documentation Section: doc Priority: optional Filename: pool/main/a/atkmm1.6/libatkmm-1.6-doc_2.22.6-1_all.deb Package: libatlas-base-dev Source: atlas Version: 3.8.4-9+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 22720 Depends: libatlas3-base (= 3.8.4-9+deb7u1+rpi1), libatlas-dev Suggests: libblas-doc, liblapack-doc Conflicts: atlas2-base-dev, atlas2-dev (<< 3.2.1-3), libatlas-2.0-dev (<< 3.8.3-25), libatlas-altivec-dev (<< 3.8.3-25), libatlas-amd64sse3-dev (<< 3.8.3-25), libatlas-core2sse3-dev (<< 3.8.3-25), libatlas-corei7sse3-dev (<< 3.8.3-25), libatlas-ev6-dev (<< 3.8.3-25), libatlas-sse-dev (<< 3.8.3-25), libatlas-sse2-dev (<< 3.8.3-25), libatlas-sse3-dev (<< 3.8.3-25), libatlas-v9-dev (<< 3.8.3-25), libblas-dev (<< 1.2-5), liblapack-dev (<< 3.2.1-4) Replaces: atlas2-base-dev, atlas2-dev (<< 3.2.1-3), libatlas-2.0-dev (<< 3.8.3-25), libatlas-altivec-dev (<< 3.8.3-25), libatlas-amd64sse3-dev (<< 3.8.3-25), libatlas-core2sse3-dev (<< 3.8.3-25), libatlas-corei7sse3-dev (<< 3.8.3-25), libatlas-ev6-dev (<< 3.8.3-25), libatlas-sse-dev, libatlas-sse2-dev (<< 3.8.3-25), libatlas-sse3-dev (<< 3.8.3-25), libatlas-v9-dev (<< 3.8.3-25) Provides: libatlas-3.so, libatlas-3gf.so, libblas-3gf.so, liblapack-3.so, liblapack-3gf.so Homepage: http://math-atlas.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/a/atlas/libatlas-base-dev_3.8.4-9+deb7u1+rpi1_armhf.deb Size: 6095300 SHA256: bb6878432fe364b2b07682f4b1bec3c7aac2eb80ee489970279c3f3d211458b3 SHA1: e506c6b9665f54e31bab149aeb444c087b860611 MD5sum: fc881898db41f58414fb7de352005256 Description: Automatically Tuned Linear Algebra Software, generic static ATLAS is an approach for the automatic generation and optimization of numerical software. Currently ATLAS supplies optimized versions for the complete set of linear algebra kernels known as the Basic Linear Algebra Subroutines (BLAS), and a subset of the linear algebra routines in the LAPACK library. . This package includes the static libraries and symbolic links needed for program development. Package: libatlas-cpp-0.6-1 Source: atlas-cpp Version: 0.6.2-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 594 Pre-Depends: dpkg (>= 1.15.6~), multiarch-support Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://www.worldforge.org/ Priority: optional Section: libs Filename: pool/main/a/atlas-cpp/libatlas-cpp-0.6-1_0.6.2-3_armhf.deb Size: 136020 SHA256: 98aa451db166d7952cd69cb3229b86dd07f8742c89020622ddf134af59d3c436 SHA1: 5d952d35bdd930725f2b559134310244526cec3a MD5sum: a9962c7dac8610cddf5305a2c6db72a1 Description: World Forge wire protocol library - runtime libs This library implements the Atlas protocol, for use in client-server game applications. It can be used in either clients or servers. . This is the runtime component of the Atlas-C++ toolkit. Package: libatlas-cpp-0.6-1-dbg Source: atlas-cpp Version: 0.6.2-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1558 Pre-Depends: dpkg (>= 1.15.6~) Depends: libatlas-cpp-0.6-1 (= 0.6.2-3) Multi-Arch: same Homepage: http://www.worldforge.org/ Priority: extra Section: debug Filename: pool/main/a/atlas-cpp/libatlas-cpp-0.6-1-dbg_0.6.2-3_armhf.deb Size: 1376650 SHA256: b42ecd88438df41e2ad0081eb4c7ca293f3d420604796d7b93e234e844ee4c16 SHA1: 0816411ea2ea951512bdb28d9b84f1a72e19d62e MD5sum: dccdcde10bf796a992d75bd83175e167 Description: World Forge wire protocol library - debugging libs This library implements the Atlas protocol, for use in client-server game applications. It can be used in either clients or servers. . This is the debugging component of the Atlas-C++ toolkit. Package: libatlas-cpp-0.6-dev Source: atlas-cpp Version: 0.6.2-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 315 Pre-Depends: dpkg (>= 1.15.6~) Depends: libatlas-cpp-0.6-1 (= 0.6.2-3), pkg-config, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: libatlas-cpp-0.6-1-dbg (= 0.6.2-3), libatlas-cpp-doc (>= 0.6.2-3) Conflicts: libatlas-cpp-0.6-0-dev Replaces: libatlas-cpp-0.6-0-dev Homepage: http://www.worldforge.org/ Priority: optional Section: libdevel Filename: pool/main/a/atlas-cpp/libatlas-cpp-0.6-dev_0.6.2-3_armhf.deb Size: 54568 SHA256: a9337b5c8691a531926d5a6a0fad6a0d9f461ef7623b79642a790a8a36e6f405 SHA1: 08acbb0340bdb2b4acab50e06260f129d3ad6804 MD5sum: f43d9ab2115d4ffefdce81780ba82b5a Description: World Forge wire protocol library - developer files This library implements the Atlas protocol, for use in client-server game applications. It can be used in either clients or servers. . This contains the development files needed to compile and link programs with the Atlas-C++ toolkit. Package: libatlas-cpp-doc Source: atlas-cpp Version: 0.6.2-3 Installed-Size: 6968 Maintainer: Debian Games Team Architecture: all Pre-Depends: dpkg (>= 1.15.6~) Suggests: libatlas-cpp-0.6-dev (>= 0.6.2-3) Size: 351194 SHA256: 8b2d7905595a717c223b827d408a069f21e7ba7813718b10ae795f1c490987b5 SHA1: 41b668b34f8cd57b33ff921a3505f50d2fc0368f MD5sum: 0ab42b4d0b2f6eba692da8169c6e2203 Description: World Forge wire protocol library - documentation This library implements the Atlas protocol, for use in client-server game applications. It can be used in either clients or servers. . This is the API documentation of the Atlas-C++ toolkit. Multi-Arch: foreign Homepage: http://www.worldforge.org/ Tag: devel::doc, devel::lang:c++, devel::library, game::mud, role::documentation, use::gameplaying Section: doc Priority: optional Filename: pool/main/a/atlas-cpp/libatlas-cpp-doc_0.6.2-3_all.deb Package: libatlas-dev Source: atlas Version: 3.8.4-9+deb7u1+rpi1 Architecture: all Maintainer: Debian Science Team Installed-Size: 135 Depends: libc6-dev, libblas-dev Suggests: liblapack-dev, liblapack-doc Conflicts: atlas-dev, atlas2-dev (<< 3.2.1-3), atlas2-headers, atlas3-headers, libblas-dev (<< 1.2-5), liblapack-dev (<< 3.2.1-4) Replaces: atlas2-dev (<< 3.2.1-3), atlas2-headers, atlas3-headers Homepage: http://math-atlas.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/a/atlas/libatlas-dev_3.8.4-9+deb7u1+rpi1_all.deb Size: 45098 SHA256: 36a611989dfb5411ee261528d93176ecb049dbb58691c00510dc3d78ae2cf3f4 SHA1: 251d48a43e179af9f456e4040a666a391fc55dd2 MD5sum: 7649835adea79bf827fb17653c801e7d Description: Automatically Tuned Linear Algebra Software, C header files ATLAS is an approach for the automatic generation and optimization of numerical software. Currently ATLAS supplies optimized versions for the complete set of linear algebra kernels known as the Basic Linear Algebra Subroutines (BLAS), and a subset of the linear algebra routines in the LAPACK library. . This package provides the headers needed to compile against the libraries provided by ATLAS. Package: libatlas-doc Source: atlas Version: 3.8.4-9+deb7u1+rpi1 Architecture: all Maintainer: Debian Science Team Installed-Size: 1149 Conflicts: atlas-doc, atlas3-doc Replaces: atlas-doc, atlas3-doc Homepage: http://math-atlas.sourceforge.net/ Priority: optional Section: doc Filename: pool/main/a/atlas/libatlas-doc_3.8.4-9+deb7u1+rpi1_all.deb Size: 1129396 SHA256: 1c51ad317ef3b58e99e51a05378fe721a845efdbf6717285f5b3e2b683aaa383 SHA1: f7fccc74a71cdecb89461942322a58241d5d8f81 MD5sum: 6e42e733dfe00a8f6698e16ab9b7a18f Description: Automatically Tuned Linear Algebra Software, documentation ATLAS is an approach for the automatic generation and optimization of numerical software. Currently ATLAS supplies optimized versions for the complete set of linear algebra kernels known as the Basic Linear Algebra Subroutines (BLAS), and a subset of the linear algebra routines in the LAPACK library. . This package provides documentation on the atlas libraries useful for developers. Package: libatlas-test Source: atlas Version: 3.8.4-9+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 36362 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6) Recommends: liblapack3 Replaces: atlas-test, atlas3-test Homepage: http://math-atlas.sourceforge.net/ Priority: extra Section: devel Filename: pool/main/a/atlas/libatlas-test_3.8.4-9+deb7u1+rpi1_armhf.deb Size: 9903192 SHA256: 038f60f3dd008191b1422d721dee470baa1e99875e2fcaa57fbe2722b0cde096 SHA1: a287abe9de616875c2e8a63c2ed7eec5365df603 MD5sum: 32b4f7d81fe9e22a761fd22da6bd1531 Description: Automatically Tuned Linear Algebra Software, test programs ATLAS is an approach for the automatic generation and optimization of numerical software. Currently ATLAS supplies optimized versions for the complete set of linear algebra kernels known as the Basic Linear Algebra Subroutines (BLAS), and a subset of the linear algebra routines in the LAPACK library. . This package provides dynamically linked testing and bench-marking binaries to compare the blas and atlas libraries on your system. Package: libatlas3-base Source: atlas Version: 3.8.4-9+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 12845 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6) Suggests: liblapack3 Conflicts: atlas2 (<< 3.2.1-1), libatlas3gf-2.0 (<< 3.8.3-25), libatlas3gf-altivec (<< 3.8.3-25), libatlas3gf-amd64sse3 (<< 3.8.3-25), libatlas3gf-base (<< 3.8.4-4), libatlas3gf-core2sse3 (<< 3.8.3-25), libatlas3gf-corei7sse3 (<< 3.8.3-25), libatlas3gf-ev6 (<< 3.8.3-25), libatlas3gf-sse (<< 3.8.3-25), libatlas3gf-sse2 (<< 3.8.3-25), libatlas3gf-sse3 (<< 3.8.3-25), libatlas3gf-v9 (<< 3.8.3-25), libblas3gf (<< 1.2-5), liblapack3gf (<< 3.2.1-4) Breaks: libatlas3gf-base (<< 3.8.4-4), libblas3gf (<< 1.2.20110419-3), liblapack3gf (<< 3.4.0-1), libopenblas-base (<< 0.1.1-3) Replaces: atlas2 (<< 3.2.1-1), libatlas3gf-2.0 (<< 3.8.3-25), libatlas3gf-altivec (<< 3.8.3-25), libatlas3gf-amd64sse3 (<< 3.8.3-25), libatlas3gf-base (<< 3.8.4-4), libatlas3gf-core2sse3 (<< 3.8.3-25), libatlas3gf-corei7sse3 (<< 3.8.3-25), libatlas3gf-ev6 (<< 3.8.3-25), libatlas3gf-sse (<< 3.8.3-25), libatlas3gf-sse2 (<< 3.8.3-25), libatlas3gf-sse3 (<< 3.8.3-25), libatlas3gf-v9 (<< 3.8.3-25), libblas3gf (<< 1.2.20110419-3), liblapack3gf (<< 3.4.0-1), libopenblas-base (<< 0.1.1-3) Provides: libatlas.so.3, libatlas.so.3gf, libblas.so.3, libblas.so.3gf, liblapack.so.3, liblapack.so.3gf Homepage: http://math-atlas.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/a/atlas/libatlas3-base_3.8.4-9+deb7u1+rpi1_armhf.deb Size: 5185948 SHA256: 6919e204b75ebe6549b524a0f5e93b54db704302452c4ad8280e4847556712f2 SHA1: ed228bbf5a7b55a3a340678d9656653618f8e84d MD5sum: 15b47d5a68e161e15c65383cd3ae3978 Description: Automatically Tuned Linear Algebra Software, generic shared ATLAS is an approach for the automatic generation and optimization of numerical software. Currently ATLAS supplies optimized versions for the complete set of linear algebra kernels known as the Basic Linear Algebra Subroutines (BLAS), and a subset of the linear algebra routines in the LAPACK library. . The libraries in this package are built without any processor extension instructions, and should run on all processors of this general architecture, albeit less than optimally. . Before version 3.8.3-25, optimized binary packages were provided. However, due to the fact that most Atlas optimisation are done at build time, it has been decided to remove them and give priority to locally built packages. . For more information on how to rebuild locally atlas, see the section: "Building Optimized Atlas Packages on your ARCH" in README.Debian Package: libatlas3gf-base Source: atlas Version: 3.8.4-9+deb7u1+rpi1 Architecture: all Maintainer: Debian Science Team Installed-Size: 60 Depends: libatlas3-base Breaks: octave3.2 Homepage: http://math-atlas.sourceforge.net/ Priority: optional Section: oldlibs Filename: pool/main/a/atlas/libatlas3gf-base_3.8.4-9+deb7u1+rpi1_all.deb Size: 34452 SHA256: d9a064cef42e0d98f27dafe5be82eb86664f500efe8553741e08247e34bff2cb SHA1: 8fab57eafaecd08d836ee26a2a9086005f3ade80 MD5sum: 2f97746fe2a25c4e70b713d6e7070e9a Description: Transitional package to libatlas3-base ATLAS is an approach for the automatic generation and optimization of numerical software. Currently ATLAS supplies optimized versions for the complete set of linear algebra kernels known as the Basic Linear Algebra Subroutines (BLAS), and a subset of the linear algebra routines in the LAPACK library. Package: libatm1 Source: linux-atm Version: 1:2.5.1-1.5 Architecture: armhf Maintainer: Peter De Schrijver (p2) Installed-Size: 119 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Conflicts: atm-tools (<< 2.4.1-6) Multi-Arch: same Homepage: http://linux-atm.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/l/linux-atm/libatm1_2.5.1-1.5_armhf.deb Size: 71122 SHA256: 318fec2dc4d715261c9e2002406ed2626c898361915ce165aed56bec13ad3685 SHA1: 986822919499e95ac9c55dbe9bd5358b7a42971d MD5sum: 25a37e174638621607a90219221638e2 Description: shared library for ATM (Asynchronous Transfer Mode) Shared libraries needed by ATM (Asynchronous Transfer Mode) related programs Package: libatm1-dev Source: linux-atm Version: 1:2.5.1-1.5 Architecture: armhf Maintainer: Peter De Schrijver (p2) Installed-Size: 112 Depends: libatm1 (= 1:2.5.1-1.5) Conflicts: atm-dev (<< 2.4.1-7) Replaces: atm-dev (<< 2.4.1-7) Provides: libatm-dev Homepage: http://linux-atm.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/l/linux-atm/libatm1-dev_2.5.1-1.5_armhf.deb Size: 41932 SHA256: 4cb0a63a757f1b4de30e0cf76bbede37cb094588377f397c82e50514c322a937 SHA1: bdb35b906388f44a2f782126fd5b711962c723d3 MD5sum: 649b7f5676daf912df5b61d1c64dae39 Description: Development files for compiling ATM programs Header files and development libraries for compiling ATM (Asynchronous Transfer Mode) related programs. Package: libatombus-perl Version: 1.0405-1 Installed-Size: 101 Maintainer: Debian Perl Group Architecture: all Depends: perl, libatompub-perl, libcapture-tiny-perl, libdancer-perl, libdancer-plugin-dbic-perl, libdbd-sqlite3-perl, libdbix-class-perl, libsql-translator-perl, libuuid-tiny-perl, libxml-atom-perl, libxml-xpath-perl Size: 32148 SHA256: 7121d1df6a894176be6101ddc042b75e0bb71d2149353cacd4ac948ec6b6c462 SHA1: cd4722c28c167ed92768260dd4724aea3617d378 MD5sum: 76c2587fadd19f140a72a5239868393b Description: AtomPub server for messaging. The Atom Publishing Protocol (Atompub) is a protocol for publishing and editing Web resources described at http://www.ietf.org/rfc/rfc5023.txt. . AtomBus is an AtomPub server that can be used for messaging. The idea is that atom feeds can correspond to conceptual queues or buses. AtomBus is built on top of the Dancer framework. It is also PubSubHubbub (PuSH) friendly. Homepage: http://search.cpan.org/dist/AtomBus/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libatombus-perl/libatombus-perl_1.0405-1_all.deb Package: libatomic-ops-dev Source: libatomic-ops Version: 7.2~alpha5+cvs20101124-1+rpi1+deb7u1 Architecture: armhf Maintainer: Ian Wienand Installed-Size: 425 Replaces: libatomic-ops Priority: optional Section: libdevel Filename: pool/main/liba/libatomic-ops/libatomic-ops-dev_7.2~alpha5+cvs20101124-1+rpi1+deb7u1_armhf.deb Size: 83732 SHA256: d48a9162f2e82a5db48ce72287c2f9ceb2d1000d9843c3f9ff134256f483d23e SHA1: 59bef814c054e741ce1be629fec00adb07a3ea95 MD5sum: 019e89f1e3d354b5fa8f35bbe7e21b37 Description: A library for atomic operations (development files) Libatomic-ops implements a large collection of operations, each one of which is a combination of an (optional) atomic memory operation, and a memory barrier. It also implements associated feature-test macros that determine whether a particular operation is available on the current target hardware (either directly or by synthesis). Libatomic-ops attempts to replace various existing files with similar goals, since they usually do not handle differences in memory barrier styles with sufficient generality. . This package provides the header files and documentation needed by developers. Package: libatomicparsley-dev Source: gtkpod Version: 2.1.2-1 Architecture: armhf Maintainer: gtkpod Maintainers Installed-Size: 180 Depends: libatomicparsley0 (= 2.1.2-1) Homepage: http://www.gtkpod.org Priority: extra Section: libdevel Filename: pool/main/g/gtkpod/libatomicparsley-dev_2.1.2-1_armhf.deb Size: 148270 SHA256: 0623cf0c35365ab18b8b5876ca84f1636cb763e9051adbad84cfc67e455737ae SHA1: 821777db8be461d074246a7ddc88816654a298ee MD5sum: 0c2c04464edb5865c2fbddec67b64935 Description: main library for atomic parsley in gtkpod, development kit This is the core library for atomic parsley of mp4 files in gtkpod. Library including read/write lyric support for the first time and write metadata function. . This package contains the static library and headers for use by developers. Package: libatomicparsley0 Source: gtkpod Version: 2.1.2-1 Architecture: armhf Maintainer: gtkpod Maintainers Installed-Size: 308 Pre-Depends: multiarch-support Depends: libanjuta-3-0 (>= 2:3.2.0), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgdl-3-2 (>= 3.0.0), libglib2.0-0 (>= 2.18.0), libgpod4 (>= 0.7.0), libgstreamer-plugins-base0.10-0 (>= 0.10.12), libgstreamer0.10-0 (>= 0.10.0), libgtk-3-0 (>= 3.0.0), libgtkpod1 (>= 2.1.0), libid3tag0 (>= 0.15.1b), libimobiledevice2 (>= 0.9.7), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.1.1), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://www.gtkpod.org Priority: extra Section: libs Filename: pool/main/g/gtkpod/libatomicparsley0_2.1.2-1_armhf.deb Size: 212008 SHA256: 0bf64e309a876a748cec6768a9dbb9b70352a37f95783f0c760354cc629db3a8 SHA1: bea67c8bb5544f306dcb9eb76e1ab274f3598f35 MD5sum: 089675ac911bc8029b92cac323f54a69 Description: main library for atomic parsley in gtkpod, shared library This is the core library for atomic parsley of mp4 files in gtkpod. Library including read/write lyric support for the first time and write metadata function. Package: libatompub-perl Version: 0.3.7-1 Installed-Size: 126 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-accessor-perl, libclass-data-inheritable-perl, libdatetime-perl, libdatetime-format-w3cdtf-perl, libdatetime-timezone-perl, libfile-slurp-perl, libhttp-date-perl | libwww-perl (<< 6), libhttp-message-perl | libwww-perl (<< 6), libmime-types-perl, libperl6-export-attrs-perl, perl (>= 5.10.1-10) | libtime-local-perl, libxml-atom-service-perl Size: 45598 SHA256: 225b73f63c7182374542af12d6f4be32e5199d3416a8c150ccd3c454e93823c2 SHA1: a9f403b0d227cff851e8b4eb341154af00843225 MD5sum: 95662b4f185832160b14e02f19af52bc Description: implementation of Atom Publishing Protocol The Atom Publishing Protocol (Atompub) is a protocol for publishing and editing Web resources described at http://www.ietf.org/rfc/rfc5023.txt. . Atompub implements client Atompub::Client and server Atompub::Server for the protocol. XML formats used in the protocol are implemented in XML::Atom and XML::Atom::Service. Catalyst extension Catalyst::Controller::Atompub is also available. Homepage: http://search.cpan.org/dist/Atompub/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libatompub-perl/libatompub-perl_0.3.7-1_all.deb Package: libatspi-dbg Source: at-spi Version: 1.32.0-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1445 Depends: libatspi1.0-0 (= 1.32.0-2) Priority: extra Section: debug Filename: pool/main/a/at-spi/libatspi-dbg_1.32.0-2_armhf.deb Size: 417986 SHA256: faf17ec3f681a4fff19f205223c99eae269bbb78d868a82cc379ca183f516a85 SHA1: ce06cdc4d3188114837bcac62ef7492346859c7f MD5sum: adff1b2d478163e921473f9cad238686 Description: at-spi libraries and debugging symbols at-spi is the "Assistive Technology Service Provider Interface". . This package contains detached debugging symbols. . Most people will not need this package. Package: libatspi-dev Source: at-spi Version: 1.32.0-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 739 Depends: libatspi1.0-0 (= 1.32.0-2), libbonobo2-dev (>= 2.4.0), libatk1.0-dev (>= 1.17.0), libx11-dev Suggests: at-spi-doc Priority: optional Section: libdevel Filename: pool/main/a/at-spi/libatspi-dev_1.32.0-2_armhf.deb Size: 147494 SHA256: df9ef52c9437264bcf9c209b3f94e8b04ddc34be56358246c4993cb0b8faed2d SHA1: b9d75db43aba1f455ef80edb2b04b7c7dcbaa985 MD5sum: 3b2daaaadaea5a36a6f31a705e6c16b8 Description: Development files of at-spi for GNOME Accessibility at-spi is the "Assistive Technology Service Provider Interface". . Accessibility is enabling people with disabilities to participate in substantial life activities that include work and the use of services, products and information. . This package contains the development files for at-spi. Package: libatspi1.0-0 Source: at-spi Version: 1.32.0-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 523 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.19.7), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libx11-6 Conflicts: libatspi0 Priority: optional Section: libs Filename: pool/main/a/at-spi/libatspi1.0-0_1.32.0-2_armhf.deb Size: 183154 SHA256: 878138c18d6178698ccadc90abb5c13c8065dc64dcaa11ad19b09d0b26ad1ce6 SHA1: 4368a2f76a5417602784ecfe7eb273a4ed63078a MD5sum: 61eb391f8a7963c80e77bb6ef0ee8e2a Description: C binding libraries of at-spi for GNOME Accessibility at-spi is the "Assistive Technology Service Provider Interface". . Accessibility is enabling people with disabilities to participate in substantial life activities that include work and the use of services, products, and information. . This package contains the C binding libraries for at-spi. Package: libatspi2.0-0 Source: at-spi2-core Version: 2.5.3-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 152 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), libglib2.0-0 (>= 2.22.0), libx11-6 Recommends: at-spi2-core (= 2.5.3-2) Multi-Arch: same Homepage: http://live.gnome.org/Accessibility Priority: optional Section: libs Filename: pool/main/a/at-spi2-core/libatspi2.0-0_2.5.3-2_armhf.deb Size: 50200 SHA256: aed9904410a85ac1e413c9e0e35e9b5dd19a68a38142c4e16817e41407beb527 SHA1: ab47727019f2f3a94ac200a0f33515252f46de33 MD5sum: 26da36195d36e3c1b193541ad0b73d4a Description: Assistive Technology Service Provider Interface - shared library This package contains the shared library for applications that wish to use the at-spi interface. Package: libatspi2.0-0-dbg Source: at-spi2-core Version: 2.5.3-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 199 Depends: libatspi2.0-0 (= 2.5.3-2) Multi-Arch: same Homepage: http://live.gnome.org/Accessibility Priority: extra Section: debug Filename: pool/main/a/at-spi2-core/libatspi2.0-0-dbg_2.5.3-2_armhf.deb Size: 122704 SHA256: 0852b0b328994e4d6f0cf87eb7199923dc07f1fdf0d15829b9aa9d9d8c96e6a9 SHA1: 713bb2b9074fc6db903c83f4e4ab6191fef84370 MD5sum: c28b9b193da24b557d1d85314ac67832 Description: Assistive Technology Service Provider Interface - debugging symbols This package contains the debugging symbols for the shared library for applications that wish to use the at-spi interface. Package: libatspi2.0-dev Source: at-spi2-core Version: 2.5.3-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 420 Depends: gir1.2-atspi-2.0 (= 2.5.3-2), libatspi2.0-0 (= 2.5.3-2), libglib2.0-dev (>= 2.4.1-2), libdbus-1-dev, libdbus-glib-1-dev, dbus, libxtst-dev Replaces: gir-repository-dev Homepage: http://live.gnome.org/Accessibility Priority: optional Section: libdevel Filename: pool/main/a/at-spi2-core/libatspi2.0-dev_2.5.3-2_armhf.deb Size: 61244 SHA256: 14962c6ba69753994136354f57211c5f60903aa11cc12965a05798e54c2f29c9 SHA1: 70579272c558f9da8db813029b24212ffbefbc4b MD5sum: 42772076ef22303b2f066f096f8dc5cd Description: Development files for the assistive technology serice provider These are the development files for at-spi2, needed for compilation of programs which use it. Package: libattica-dev Source: attica Version: 0.2.0-1 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 142 Depends: libattica0 (= 0.2.0-1) Homepage: http://www.kde.org Priority: optional Section: libdevel Filename: pool/main/a/attica/libattica-dev_0.2.0-1_armhf.deb Size: 20522 SHA256: 78d1a74965a4f9550713cc7324685a668a7c448efc181900c56f1f986d423a5d SHA1: 0231393b9ee33a8ca8b78c3f0341ccbc8ccd4bc0 MD5sum: a789950b742cd788569ff1b5615b6ce8 Description: development files for libattica Attica is a Qt library that implements the Open Collaboration Services API version 1.4. It grants easy access to the services such as querying information about persons and contents. . This package contains the development header files. Package: libattica0 Source: attica Version: 0.2.0-1 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 543 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.3.0) Homepage: http://www.kde.org Priority: optional Section: libs Filename: pool/main/a/attica/libattica0_0.2.0-1_armhf.deb Size: 136828 SHA256: 309339b948dcee98abab9c11d382a5601a1d4a4bccc95f11888f75dcd45c7ff2 SHA1: b267d2ed1d7ddc7e7324c75a24b1c0c6aa0b8b43 MD5sum: 52f2db31a512dac7d29b35bd3bf3fdf4 Description: Qt library that implements the Open Collaboration Services API Attica is a Qt library that implements the Open Collaboration Services API version 1.4. It grants easy access to the services such as querying information about persons and contents. Package: libattr1 Source: attr Version: 1:2.4.46-8 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 60 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Conflicts: attr (<< 2.0.0) Multi-Arch: same Homepage: http://savannah.nongnu.org/projects/attr/ Priority: required Section: libs Filename: pool/main/a/attr/libattr1_2.4.46-8_armhf.deb Size: 18510 SHA256: d1c611d054fc4007416974ae473fcf0e8681103d7afcbfc56ec50a5d85ba3db7 SHA1: 23f5af2016cd7f7804c7aafa076fe47b0a456b82 MD5sum: a9dd77ef75615006931a2e6d6622ed08 Description: Extended attribute shared library Contains the runtime environment required by programs that make use of extended attributes. Package: libattr1-dev Source: attr Version: 1:2.4.46-8 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 118 Depends: libc6-dev | libc-dev, libattr1 (= 1:2.4.46-8) Conflicts: attr (<< 2.0.0), attr-dev Replaces: attr-dev Provides: attr-dev Multi-Arch: same Homepage: http://savannah.nongnu.org/projects/attr/ Priority: extra Section: libdevel Filename: pool/main/a/attr/libattr1-dev_2.4.46-8_armhf.deb Size: 42232 SHA256: 520d3afb9a66c2af96fa078101d09dd46f58b94aaaaa1bb7efd775c307b8e60a SHA1: 9151a7f6e5f00555bc7d9e170a102783e8797c3b MD5sum: 11d19b861f258b7252ae17744d2c8d03 Description: Extended attribute static libraries and headers attr-dev contains the libraries and header files needed to develop programs which make use of extended attributes. For Linux programs, the documented system call API is the recommended interface, but an SGI IRIX compatibility interface is also provided. . Currently only ext2, ext3, JFS and XFS support extended attributes. The SGI IRIX compatibility API built above the Linux system calls is used by programs such as xfsdump(8), xfsrestore(8) and xfs_fsr(8). Package: libaubio-dev Source: aubio Version: 0.3.2-4.2 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 256 Depends: libaubio2 (= 0.3.2-4.2) Priority: optional Section: libdevel Filename: pool/main/a/aubio/libaubio-dev_0.3.2-4.2_armhf.deb Size: 65086 SHA256: 8d1e6e0c51a2370161a90ef822c3e319cc56918f51a63b7fb0dc308836a45d8e SHA1: 3e381d20a334ec81627f7fe021cd9478ed4a941a MD5sum: 6c75af075b54d015fbde9f9bc3add6b0 Description: a library for audio segmentation aubio gathers a set of functions for audio signal segmentation and labelling. The library contains a phase vocoder, onset and pitch detection functions, a beat tracking algorithm and other sound processing utilities. . This package contains the development files for libaubio. Package: libaubio-doc Source: aubio Version: 0.3.2-4.2 Installed-Size: 2076 Maintainer: Paul Brossier Architecture: all Size: 359314 SHA256: a47681305b2cfa1abb259de81242e980b20e6216d5dcc24f0f0f594b9e4e6b17 SHA1: b74672e422ff8daa2df33e567e0f19d1e95fb80c MD5sum: fbc156b4d86c3e676721ea6199ee8e9c Description: a library for audio segmentation -- documentation aubio gathers a set of functions for audio signal segmentation and labelling. The library contains a phase vocoder, onset and pitch detection functions, a beat tracking algorithm and other sound processing utilities. . This package provides the documentation for the C interface. Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/a/aubio/libaubio-doc_0.3.2-4.2_all.deb Package: libaubio2 Source: aubio Version: 0.3.2-4.2 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 113 Depends: libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20) Replaces: libaubio1 Priority: optional Section: libs Filename: pool/main/a/aubio/libaubio2_0.3.2-4.2_armhf.deb Size: 45334 SHA256: 85a26f55326d742526e8a356b5e7d72d337e5a44536ca7db47cdd2fdfe9fc084 SHA1: 6ca1ab59054752f3d3f0ca50b79f12d3481bd30c MD5sum: 8957377f932b3486adeec1a2ac13ee2d Description: a library for audio segmentation aubio gathers a set of functions for audio signal segmentation and labelling. The library contains a phase vocoder, onset and pitch detection functions, a beat tracking algorithm and other sound processing utilities. . This package provides the shared library libaubio. Package: libaudclient2 Source: audacious Version: 3.2.4-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 69 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.12.0) Multi-Arch: same Homepage: http://www.audacious-media-player.org/ Priority: optional Section: sound Filename: pool/main/a/audacious/libaudclient2_3.2.4-1_armhf.deb Size: 19196 SHA256: 05880d12e69071b7ade765691b5c0d33fa192b114f5799548316cb34a40817e7 SHA1: 0e80c07166154c787f08e33149b76a94e5459ebe MD5sum: 3a79037f5db41181868b91ae69bed55e Description: audacious dbus remote control library Audacious is a fork of beep-media-player which supports winamp skins and many codecs. . In the default install, the following codecs are supported: . * MP3 * Ogg Vorbis / Theora * AAC and AAC+ * FLAC * ALAC * Windows Media (WMA) * WAVE . Additionally, Audacious is extendable through plugins, and contains other useful features like LIRC support. Support for many more codecs can also be added through plugins. . This package contains a library used to remote control audacious. Package: libaudcore1 Source: audacious Version: 3.2.4-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 221 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0) Multi-Arch: same Homepage: http://www.audacious-media-player.org/ Priority: optional Section: sound Filename: pool/main/a/audacious/libaudcore1_3.2.4-1_armhf.deb Size: 88704 SHA256: 44c74b6fbfa92fbdccc7b110d1834dc2b64842858653e13d1a4c0b285018c6cc SHA1: 71680904cb7fff3b5411291e1c7ec643c24d0676 MD5sum: 894ec695ecf9bf597bebad31fc3fad7d Description: audacious core engine library Audacious is a fork of beep-media-player which supports winamp skins and many codecs. . In the default install, the following codecs are supported: . * MP3 * Ogg Vorbis / Theora * AAC and AAC+ * FLAC * ALAC * Windows Media (WMA) * WAVE . Additionally, Audacious is extendable through plugins, and contains other useful features like LIRC support. Support for many more codecs can also be added through plugins. . This package contains a library which provides core functionality of Audacious. Package: libaudio-cd-perl Version: 0.05-9 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 167 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libcdaudio1 (>= 0.99.12p2) Homepage: http://www.vanhemert.co.uk/disc-cover.html Priority: optional Section: perl Filename: pool/main/liba/libaudio-cd-perl/libaudio-cd-perl_0.05-9_armhf.deb Size: 30784 SHA256: 1a25f6ab1a9e3cd813a59921fd133eee380190115334495efc22e56ff32ce326 SHA1: b4450b11f1b5ac64f637cf82213c9bba23d944ed MD5sum: 4933d95f347412fb07880b4b1915fc71 Description: library to handle CDDB and low-level cd io calls Audio::CD supplies the CDDB functionality and low level calls to CD players. Package: libaudio-dev Source: nas Version: 1.9.3-5wheezy1 Architecture: armhf Maintainer: Steve McIntyre <93sam@debian.org> Installed-Size: 1319 Depends: libaudio2 (= 1.9.3-5wheezy1), libc6 (>= 2.13-28), libxau6, libxt6 Conflicts: nas-dev Replaces: nas-dev Provides: nas-dev Multi-Arch: same Priority: optional Section: libdevel Filename: pool/main/n/nas/libaudio-dev_1.9.3-5wheezy1_armhf.deb Size: 514166 SHA256: 90492f7de6224bfbfcdcd7ac748f6dc4c673295efad8ea612cd096f9b8938d4a SHA1: 60fe78c68fbe8ec5421c771a5c5832508440768e MD5sum: 5d52d076da3ae77f2fede4a25ead749d Description: Network Audio System - development files The Network Audio System (NAS) was developed by NCD for playing, recording, and manipulating audio data over a network. Like the X Window System, it uses the client/server model to separate applications from the specific drivers that control audio input and output devices. . This package contains the header files and static library (libaudio.a), needed for development of programs using NAS. Package: libaudio-ecasound-perl Version: 1.01-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 129 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libecasoundc1, ecasound Homepage: http://search.cpan.org/dist/Audio-Ecasound/ Priority: optional Section: perl Filename: pool/main/liba/libaudio-ecasound-perl/libaudio-ecasound-perl_1.01-2_armhf.deb Size: 28868 SHA256: e4aeb0ff314c3d3e1b7249afd74b3ac36389e10761db5157633b7ae438187b12 SHA1: 97e82baab319b8e918c4bda736206541b741ef10 MD5sum: 1f5cc6eaf91fa987678fb14c23c73e52 Description: Perl binding to the ecasound sampler, recorder, fx-processor Audio::Ecasound provides perl bindings to the ecasound control interface of the ecasound program. You can use perl to automate or interact with ecasound so you don't have to turn you back on the adoring masses packed into Wembly Stadium. . Ecasound is a software package designed for multitrack audio processing. It can be used for audio playback, recording, format conversions, effects processing, mixing, as a LADSPA plugin host and JACK node. Version >= 2.2.X must be installed to use this package. SEE ALSO for more info. Package: libaudio-file-perl Version: 0.11-3 Installed-Size: 140 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libaudio-flac-header-perl, libogg-vorbis-header-pureperl-perl (>= 1.0-2), libmp3-tag-perl, libmp3-info-perl Size: 21128 SHA256: 528a0cf0ccffe39bd1fd91d4aebf88d6a7d654f9918874d2176dda84254be638 SHA1: d291e7a87e1370a1ea30cbd083f0728dbfefded0 MD5sum: 6d17db17376ff36c06e4c348e2318860 Description: Perl audio file abstraction library Audio::File abstracts a single audio file, independent of its format. Using this module you can access a files meta-info like title, album, etc. as well as the files audio-properties like its length and bitrate. . Currently only the formats flac, ogg vorbis and mp3 are supported, but support for other formats may be easily added. Homepage: http://search.cpan.org/dist/Audio-File/ Tag: devel::lang:perl, devel::library, implemented-in::perl, works-with::audio Section: perl Priority: optional Filename: pool/main/liba/libaudio-file-perl/libaudio-file-perl_0.11-3_all.deb Package: libaudio-flac-decoder-perl Version: 0.3+dfsg-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 102 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0) Homepage: http://search.cpan.org/dist/Audio-FLAC-Decoder/ Priority: optional Section: perl Filename: pool/main/liba/libaudio-flac-decoder-perl/libaudio-flac-decoder-perl_0.3+dfsg-1_armhf.deb Size: 20138 SHA256: 3c5a7b413dc5f9dfc6c7c9de372fe80c537ebf6c98793961399dced2fe2f08ab SHA1: 6848c7ad71caa8271b4fe4ddb8737e62c79c02c9 MD5sum: cb3aca326751c0e68f7dc15ca44d7979 Description: Perl module providing an object-oriented FLAC decoder The Audio::FLAC::Decoder module provides users with Decoder objects for FLAC files. One can read data in PCM format from the stream, seek by pcm samples, or time. . FLAC stands for Free Lossless Audio Codec. Grossly oversimplified, FLAC is similar to MP3, but lossless, meaning that audio is compressed in FLAC without any loss in quality. This is similar to how Zip works, except with FLAC you will get much better compression because it is designed specifically for audio and you can play back compressed FLAC files in your favorite player just like you would an MP3 file. Package: libaudio-flac-header-perl Version: 2.4-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 135 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0) Homepage: http://search.cpan.org/dist/Audio-FLAC-Header/ Priority: optional Section: perl Filename: pool/main/liba/libaudio-flac-header-perl/libaudio-flac-header-perl_2.4-1_armhf.deb Size: 33224 SHA256: 3ed3bdbc54f336c5cb1cb5a65127a1d07ddfba324e4deb40627c44d2ecca718b SHA1: 70ca7b288d26c88c55cbc20a0d3e1731f30386cf MD5sum: bf8cd4ddcfc759f664b947723a9b112d Description: Perl interface to FLAC file header metadata Audio::FLAC::Header provides an object-oriented interface to FLAC file header and metadata, it returns a hash containing basic information about a FLAC file, a representation of the embedded cue sheet if one exists, as well as tag information contained in the FLAC file's Vorbis tags. . There is no complete list of tag keys for Vorbis tags, as they can be defined by the user; the basic set of tags used for FLAC files include: ALBUM, ARTIST, TITLE, DATE, GENRE, TRACKNUMBER, COMMENT. Package: libaudio-mixer-perl Version: 0.7-3 Architecture: armhf Maintainer: Jonas Genannt Installed-Size: 82 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Priority: optional Section: perl Filename: pool/main/liba/libaudio-mixer-perl/libaudio-mixer-perl_0.7-3_armhf.deb Size: 15458 SHA256: 9adc0f0ff436d4b6f465603b18fb458aa988bb471660c2c95432bd60086c4bdc SHA1: 9a5244b2b40a70949a62ec03371e783a0829f267 MD5sum: b5328e8e0ec8e8a272fd8e0686e4a95d Description: perl extension for Sound Mixer control Library to query / set various sound mixer parameters. . This is just a very simple Perl interface which allows to set various sound mixer parameters. The most important probably 'vol' (volume). The list of all mixer parameters can be obtained using get_mixer_params() function. Package: libaudio-moosic-perl Version: 0.10-2 Installed-Size: 48 Maintainer: Debian Perl Group Architecture: all Depends: perl, librpc-xml-perl Recommends: liblwp-protocol-http-socketunix-perl Suggests: moosic (>= 1.4.0) Size: 18860 SHA256: 7cd3f3b0233bcd96a59f9265464b4f8db8032be385a93971841c10abf6405026 SHA1: 2d9c29615e16d280c4adddcfdaea6c65a3c9fe30 MD5sum: 94f0777358c6b78958d2c3c1434d1c8e Description: Moosic client library for Perl Audio::Moosic acts as a client for the musical jukebox program Moosic. . Using Audio::Moosic you can connect to a moosic server and execute arbitrary commands to control the moosic server. . Find out more about moosic at http://nanoo.org/~daniel/moosic/. Homepage: http://search.cpan.org/dist/Audio-Moosic/ Tag: devel::lang:perl, devel::library, implemented-in::perl, network::client, role::program, works-with::audio Section: perl Priority: optional Filename: pool/main/liba/libaudio-moosic-perl/libaudio-moosic-perl_0.10-2_all.deb Package: libaudio-mpd-common-perl Version: 1.120881-1 Installed-Size: 105 Maintainer: Debian Perl Group Architecture: all Depends: perl, libmoose-perl (>= 0.92), libmoosex-has-sugar-perl, libmoosex-types-perl, libreadonly-perl, libstring-formatter-perl Suggests: mpd Size: 35578 SHA256: 204d2eb8bc08d3ef40989b7db9fee16bdd9779c297e1b2f009d2333c0ab8562a SHA1: e35190f47f753644ba305999523383c718973163 MD5sum: 56b48e99a05de3831c6c98b119af278e Description: collection of common perl helper classes for MPD Audio::MPD::Common is a Perl module which provides some base classes to represent MPD (Music Player Daemon) states and information. This module is a dependency of other, more useful modules such as Audio::MPD. Homepage: http://search.cpan.org/dist/Audio-MPD-Common Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libaudio-mpd-common-perl/libaudio-mpd-common-perl_1.120881-1_all.deb Package: libaudio-mpd-perl Version: 1.120610-1 Installed-Size: 132 Maintainer: Debian Perl Group Architecture: all Depends: perl, libaudio-mpd-common-perl (>= 1.110550), libgetopt-euclid-perl, libio-socket-ip-perl, libmoose-perl, libmoosex-has-sugar-perl, libmoosex-semiaffordanceaccessor-perl, libproc-daemon-perl Suggests: mpd Size: 46376 SHA256: c55eaa0a838a52ee30248fff9afb4d0e7ba195353958760e6d3580aec70132f5 SHA1: 2e5e51bc4b8578375cb61f954b58e2c47f537337 MD5sum: 296ce663009cd852631d746df0f13f81 Description: module to communicate with MPD servers Audio::MPD is a Perl module that provides an object-oriented interface for communicating with and controlling MPD (Music Player Daemon) servers. It also includes an example control daemon, mpd-dynamic, which implements a dynamic playlist for MPD. Homepage: http://search.cpan.org/dist/Audio-MPD/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libaudio-mpd-perl/libaudio-mpd-perl_1.120610-1_all.deb Package: libaudio-musepack-perl Version: 1.0.1-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl, libaudio-scan-perl Size: 10224 SHA256: 219a50ba82e4129deb6cdfa28c7538f8d3438ecb7558526a4e2f5a45886442ef SHA1: 00e29c3d297d0ffbed13af6dae4f449b6d267d71 MD5sum: 0c74a3c85887878a8c3798749ebe293c Description: object-oriented interface to Musepack file information and APE tag fields The Audio::Musepack and Audio::APETags perl modules provide methods for extracting basic information about a Musepack file, as well as tag information contained in the file's APE tags. . The Audio::APE module provides methods for extracting information from header and APE tags of a Monkey audio file. Homepage: http://search.cpan.org/dist/Audio-Musepack/ Tag: devel::library, implemented-in::perl, works-with-format::mpc, works-with::audio Section: perl Priority: optional Filename: pool/main/liba/libaudio-musepack-perl/libaudio-musepack-perl_1.0.1-1_all.deb Package: libaudio-rpld-perl Version: 0.004-1 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Depends: perl Suggests: roarplaylistd Size: 25924 SHA256: 62f084a877a04c576447b3c4cbb98461015831939a930b9690f94950194d170e SHA1: 2773ac8b2ebc75714de30c02d782cab3fa694aa1 MD5sum: 20f89e26b4e0dfe4ec29a2f0f5e88695 Description: module to communicate with RoarAudio PlayList Daemon Audio::RPLD is used to communicate with a RoarAudio PlayList Daemon (rpld). It includes support for nearly all of the protocol. . This includes commands to control playback, the Main Queue, playlists and pointer mangement. Homepage: http://search.cpan.org/dist/Audio-RPLD/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libaudio-rpld-perl/libaudio-rpld-perl_0.004-1_all.deb Package: libaudio-scan-perl Version: 0.93+dfsg-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 292 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4), perl (>= 5.14.2-9), perlapi-5.14.2 Homepage: http://search.cpan.org/dist/Audio-Scan/ Priority: optional Section: perl Filename: pool/main/liba/libaudio-scan-perl/libaudio-scan-perl_0.93+dfsg-2_armhf.deb Size: 123724 SHA256: 70c467d16eb0a350fe8e007a7dacc637bcdc18bd5ec04f7e5bca4d3296b67fe2 SHA1: 5ec7a7b58769342524a2fbe73969308e26e37bd7 MD5sum: 81e7ce99f57155694579158eea327d82 Description: module for reading metadata from common audio file formats Audio::Scan is a Perl module for extracting file metadata and tag information from several of the most common file formats, including: MP3, MP4, Musepack, Ogg Vorbis, FLAC, ASF, WAV, AIFF, Monkey's Audio, and WavPack. Package: libaudio-scrobbler-perl Version: 0.01-2.1 Installed-Size: 100 Maintainer: Michael Ablassmeier Architecture: all Depends: libconfig-inifiles-perl, libwww-perl, perl (>= 5.6.0-16) Size: 15860 SHA256: 32f1c6499e4346f822aa7babaa6497abfcf938493f54f1c24d88d4b886c0c128 SHA1: 8e15015ec553e6f45e104a2f8788eb5f5aa438f4 MD5sum: 8273e6d5a32a47b629f3b7fa0bcdda10 Description: perl interface to audioscrobbler.com/last.fm The Audio::Scrobbler module provides a Perl interface to the track submission API of Last.fm's AudioScrobbler - http://www.last.fm. So far, only track submissions are handled; the future plans include access to the various statistics. Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libaudio-scrobbler-perl/libaudio-scrobbler-perl_0.01-2.1_all.deb Package: libaudio-tagger-perl Version: 0.08-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 130 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libtag1c2a (>= 1.5) Homepage: http://search.cpan.org/dist/Audio-Tagger/ Priority: optional Section: perl Filename: pool/main/liba/libaudio-tagger-perl/libaudio-tagger-perl_0.08-1_armhf.deb Size: 29880 SHA256: 4932e3515e4b1ad1fa7d4bb6a46a5f341313f7dd6c14445d67931a7beecafe76 SHA1: e2c4212217b12692ae15c6ad26ecdcd6bc2ee48b MD5sum: df617a232d9791e4d2170bf9611f7d5f Description: Perl module to handle audio metadata Audio::Tagger is a module to read and write metadata from various types of different audio formats. It is currently based on taglib, a library written in C++ which is quite fast and complete. Package: libaudio-wav-perl Version: 0.13-1 Installed-Size: 117 Maintainer: Debian Perl Group Architecture: all Depends: perl Recommends: libinline-perl Size: 32580 SHA256: 2d853e99e2638a9ffd0e82e9e08e80a73d5fe8fba30a77fd77584bcd8e6ba534 SHA1: c40c7a73cd88ae10887f8b1759774e2b4f473b20 MD5sum: 8cd07a142db867440c4c104810e3d35f Description: modules for manipulating WAV Audio files Audio::Wav is a collection of Perl modules that provide mechanisms to read, parse and write uncompressed Microsoft WAV audio files. It is a Pure Perl module, so it is extremely portable at the cost of performance. Homepage: http://search.cpan.org/dist/Audio-Wav/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, works-with-format::wav, works-with::audio Section: perl Priority: optional Filename: pool/main/liba/libaudio-wav-perl/libaudio-wav-perl_0.13-1_all.deb Package: libaudio-wma-perl Version: 1.3-1 Installed-Size: 104 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 18404 SHA256: 34f2b83974694139e5f02e113d3847bec9853b79300f2356d24740a275ef5d66 SHA1: 788ff4fda4f8bee1aff47da75dbcffe6cc35b171 MD5sum: 94ab307459e0b7b175cd819b42c3cb3b Description: perl extension for reading WMA/ASF Metadata Audio::WMA implements several methods which provide access to metadata/tag informations contained in WMA files. Homepage: http://search.cpan.org/dist/Audio-WMA/ Tag: devel::lang:perl, implemented-in::perl, works-with::audio Section: perl Priority: optional Filename: pool/main/liba/libaudio-wma-perl/libaudio-wma-perl_1.3-1_all.deb Package: libaudio-xmmsclient-perl Source: xmms2 Version: 0.8+dfsg-4+deb7u1 Architecture: armhf Maintainer: Benjamin Drung Installed-Size: 294 Depends: perl (>= 5.14.2-21+rpi2+deb7u1), perlapi-5.14.2, libc6 (>= 2.13-28), libxmmsclient6 (>= 0.8) Homepage: http://xmms2.org/ Priority: optional Section: perl Filename: pool/main/x/xmms2/libaudio-xmmsclient-perl_0.8+dfsg-4+deb7u1_armhf.deb Size: 66916 SHA256: d4fcfbfc00dc39b963f5b1974502e1e2a7d1772ce9a169c9372a87a250378272 SHA1: 1e7e2c7e47b12f668d4e6e014df45403f79d144b MD5sum: 96f8261f0b2d6277fc57be39270a9cf5 Description: XMMS2 - Perl client library XMMS2 is a redesign of the XMMS music player. It features a client-server model, allowing multiple (even simultaneous!) user interfaces, both textual and graphical. All common audio formats are supported using plug-ins. On top of this, there is a flexible media library to organize your music. . This package contains a Perl library that allows you do write XMMS2 clients. It's a language binding of libxmmsclient0. Package: libaudio2 Source: nas Version: 1.9.3-5wheezy1 Architecture: armhf Maintainer: Steve McIntyre <93sam@debian.org> Installed-Size: 155 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libxau6, libxt6 Suggests: nas Replaces: nas-lib Provides: nas-lib Multi-Arch: same Priority: optional Section: libs Filename: pool/main/n/nas/libaudio2_1.9.3-5wheezy1_armhf.deb Size: 80004 SHA256: 954aee398090380cda4c5b759a07cf04bc49f72aab7751e65f2254ba25f5d378 SHA1: 61800207e2bdf19fc5b8907bcd8f8de63d96c124 MD5sum: c3d949746b6f022123973472e7481f84 Description: Network Audio System - shared libraries The Network Audio System (NAS) was developed by NCD for playing, recording, and manipulating audio data over a network. Like the X Window System, it uses the client/server model to separate applications from the specific drivers that control audio input and output devices. . This package contains the NAS library (libaudio), needed for both remote and local output. Package: libaudiofile-dbg Source: audiofile Version: 0.3.4-2+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 428 Depends: libaudiofile1 (= 0.3.4-2+deb7u1) Multi-Arch: same Homepage: http://audiofile.68k.org/ Priority: extra Section: debug Filename: pool/main/a/audiofile/libaudiofile-dbg_0.3.4-2+deb7u1_armhf.deb Size: 416658 SHA256: c6033e0307f1abe3927f3834ad99a0cb28fe9d971d569f15c1ff42c65e7edda5 SHA1: c83fe2b913f9a78f667d603b84f268bead8e71a8 MD5sum: cb2c3a6a3b34a797918eb948f9b505fc Description: Open-source version of SGI's audiofile library (debug) The audiofile library allows the processing of audio data to and from audio files of many common formats (currently AIFF, AIFF-C, WAVE, NeXT/Sun, BICS, and raw data). . This package contains debugging symbols for the audiofile shared library. Tools like gdb and ltrace make use of these symbols. Package: libaudiofile-dev Source: audiofile Version: 0.3.4-2+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 107 Depends: libaudiofile1 (= 0.3.4-2+deb7u1) Recommends: pkg-config Multi-Arch: same Homepage: http://audiofile.68k.org/ Priority: optional Section: libdevel Filename: pool/main/a/audiofile/libaudiofile-dev_0.3.4-2+deb7u1_armhf.deb Size: 43156 SHA256: cb85c205a1af3c69409fe100ec4596d0750ec3db3bd9b2813987bbd59e79e3a7 SHA1: b7dbdabc93db868fc670d296b6da7f8411a6bc46 MD5sum: 1295502b1efa0659ea51ac540ea6f176 Description: Open-source version of SGI's audiofile library (header files) The audiofile library allows the processing of audio data to and from audio files of many common formats (currently AIFF, AIFF-C, WAVE, NeXT/Sun, BICS, and raw data). . This package contains the development headers and library files needed to compile programs using libaudiofile, as well as example programs for identifying and converting audio files. Package: libaudiofile1 Source: audiofile Version: 0.3.4-2+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 166 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Multi-Arch: same Homepage: http://audiofile.68k.org/ Priority: optional Section: libs Filename: pool/main/a/audiofile/libaudiofile1_0.3.4-2+deb7u1_armhf.deb Size: 86006 SHA256: fa6cfff22f6a61fb227df43e08970e9e7d0798cf0c8dc3548c2eeabaa4115b43 SHA1: 1f89dd9117c9a0c9328ebbffcba6be4d505db5e9 MD5sum: 4bebfe2f73ac0dfb6554efd714fd9a36 Description: Open-source version of SGI's audiofile library The audiofile library allows the processing of audio data to and from audio files of many common formats (currently AIFF, AIFF-C, WAVE, NeXT/Sun, BICS, and raw data). . This package contains the library needed to run executables using libaudiofile. Package: libaudiomask-dev Source: libaudiomask Version: 1.0-2 Architecture: armhf Maintainer: mffm Matt Flax (2003) Installed-Size: 3724 Depends: mffm-fftw-dev, libfftw3-dev, libaudiomask1 (= 1.0-2) Priority: extra Section: libdevel Filename: pool/main/liba/libaudiomask/libaudiomask-dev_1.0-2_armhf.deb Size: 3455360 SHA256: be1baa73e3835af91fd5058258019c25de78d3a0260e8ac2a006c0a697fe2477 SHA1: a19f25ee3c01dc64ad51c5b890bdd9f760f0035e MD5sum: 201b5ef9ecb94aefca3a5728111e501c Description: Audio masking threshold estimation lib headers, docs and examples Evaluates the simultaneous masking threshold for audio. The masking threshold is a psychoacoustics property of the perception of sound. This threshold determines the cut-off in perception. Any Fourier signal below the threshold is not perceived by listeners. . Simultaneous masking is the basis of mp3 audio compression. This GPL implementation allows arbitrary sample rate and window sizes. It is extremely simple to use, rather cheap in computation complexity and gives great results. To alter the degree of masking (quality of the playback after compression), simply shift the threshold this library generates up and down. . The development files are found in the documentation directory under /usr/share/doc. It includes a good API, as well as examples. The examples include C++ and an octave file to view the result. Package: libaudiomask1 Source: libaudiomask Version: 1.0-2 Architecture: armhf Maintainer: mffm Matt Flax (2003) Installed-Size: 45 Depends: mffm-fftw1 | mffm-fftw1c2, fftw3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Priority: extra Section: libs Filename: pool/main/liba/libaudiomask/libaudiomask1_1.0-2_armhf.deb Size: 9820 SHA256: dbf2f50d02739a76eda1d0bc2ef8fbb4575457fcd416f0dcc8b231632a32e500 SHA1: b06238d99d696561ef0af6499b77f8f1417d19f1 MD5sum: aa87c333f89272eb1a8fc8b1943d6970 Description: Audio masking threshold estimation library Evaluates the simultaneous masking threshold for audio. The masking threshold is a psychoacoustics property of the perception of sound. This threshold determins the cut-off in perception. Any Fourier signal below the threshold is not perceived by listeners. . Simultaneous masking is the basis of mp3 audio compression. This GPL implementation allows arbitrary sample rate and window sizes. It is extremely simple to use, rather cheap in computation complexity and gives great results. To alter the degree of masking (quality of the playback after compression), simply shift the threshold this library generates up and down. Package: libaudit-dev Source: audit Version: 1:1.7.18-1.1 Architecture: armhf Maintainer: Philipp Matthias Hahn Installed-Size: 288 Depends: libaudit0 (= 1:1.7.18-1.1) Homepage: http://people.redhat.com/sgrubb/audit/ Priority: extra Section: libdevel Filename: pool/main/a/audit/libaudit-dev_1.7.18-1.1_armhf.deb Size: 121146 SHA256: 07c8cdff5b1de3b609ff46f7e16b1f41cd9ef392725a3eff3a22d45f24130635 SHA1: d953d9c088643b74c1321b9ec70c6bd68c83c9dc MD5sum: 2d45b86fdf81ef98248e812f52363de0 Description: Header files and static library for security auditing The audit-libs-devel package contains the static libraries and header files needed for developing applications that need to use the audit framework libraries. Package: libaudit0 Source: audit Version: 1:1.7.18-1.1 Architecture: armhf Maintainer: Philipp Matthias Hahn Installed-Size: 171 Depends: libc6 (>= 2.13-28) Homepage: http://people.redhat.com/sgrubb/audit/ Priority: optional Section: libs Filename: pool/main/a/audit/libaudit0_1.7.18-1.1_armhf.deb Size: 60974 SHA256: fa53aac484487ced0552acc259bd598079a2cca751764caae2f9d0180d11b364 SHA1: 5ed82ab8dd6955a93b93859209897bd122853958 MD5sum: 96c6d7737fa84f90d937eaca5d9fe1f2 Description: Dynamic library for security auditing The audit-libs package contains the dynamic libraries needed for applications to use the audit framework. It is used to monitor systems for security related events. Package: libaugeas-dev Source: augeas Version: 0.10.0-1+deb7u1 Architecture: armhf Maintainer: Nicolas Valcárcel Scerpella Installed-Size: 85 Depends: libaugeas0 (= 0.10.0-1+deb7u1), libxml2-dev Homepage: http://augeas.net/ Priority: optional Section: libdevel Filename: pool/main/a/augeas/libaugeas-dev_0.10.0-1+deb7u1_armhf.deb Size: 30292 SHA256: 7a0e87f2db14ee1738ab4bf0c48d957131ceaa7e60f04aab56a0e8930d69fac2 SHA1: d1e5a93e368a0c5b4ceb51c31b0740f5daf44fcb MD5sum: f9e99557e2a2770aa4b26dc514834cba Description: Development files for writing applications based on libaugeas0 Augeas is a configuration editing tool. It parses configuration files in their native formats and transforms them into a tree. Configuration changes are made by manipulating this tree and saving it back into native config files. . This package includes the development files to write programs using the Augeas API. Package: libaugeas-ruby Version: 0.4.1-1.1 Installed-Size: 26 Maintainer: Matt Palmer Architecture: all Depends: libaugeas-ruby1.9.1 Size: 2496 SHA256: c4d693dab790ba5a905e2b0289353e2cec389a33045d136e0ae25c7875cc97bd SHA1: 82c896228a65c4a4403ee3ac1ca78fe4e8f6cbb0 MD5sum: 8e5c7a5182bf5fd9cb6604296f47b95f Description: Augeas bindings for the Ruby language Augeas is a configuration editing tool. It parses configuration files in their native formats and transforms them into a tree. Configuration changes are made by populating this tree and saving it back into native config files. . This is a dependency package which depends on Debian's default Ruby version (currently 1.9.1). Homepage: http://augeas.net/ Section: ruby Priority: optional Filename: pool/main/liba/libaugeas-ruby/libaugeas-ruby_0.4.1-1.1_all.deb Package: libaugeas-ruby1.8 Source: libaugeas-ruby Version: 0.4.1-1.1 Architecture: armhf Maintainer: Matt Palmer Installed-Size: 61 Depends: ruby1.8, libaugeas0 (>= 0.8.1), libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) Homepage: http://augeas.net/ Priority: optional Section: ruby Filename: pool/main/liba/libaugeas-ruby/libaugeas-ruby1.8_0.4.1-1.1_armhf.deb Size: 9672 SHA256: 65ca4ad7d07eec3e27ff2740b85b05a0f33cfc25cb5d5d6063eb39141deab733 SHA1: 156adde90c57366d2e92ff2e7baef6d85c14678f MD5sum: 605e0aecd5f31d1eec1664bfa1791b68 Description: Augeas bindings for the Ruby language Augeas is a configuration editing tool. It parses configuration files in their native formats and transforms them into a tree. Configuration changes are made by populating this tree and saving it back into native config files. . This package provides a Ruby 1.8 interface to the Augeas API. Package: libaugeas-ruby1.9.1 Source: libaugeas-ruby Version: 0.4.1-1.1 Architecture: armhf Maintainer: Matt Palmer Installed-Size: 61 Depends: ruby1.9.1, libaugeas0 (>= 0.8.1), libc6 (>= 2.13-28), libruby1.9.1 (>= 1.9.2.0) Homepage: http://augeas.net/ Priority: optional Section: ruby Filename: pool/main/liba/libaugeas-ruby/libaugeas-ruby1.9.1_0.4.1-1.1_armhf.deb Size: 9696 SHA256: 5934ed8fe3c7e541bbbde47f1b1cf69209b4b25fb206571179e78c13e40bc572 SHA1: afc95d6b9152fb2b1fbfc005352199699a3a8036 MD5sum: 05a02af0bbca47183def64ffe3f6f67a Description: Augeas bindings for the Ruby language Augeas is a configuration editing tool. It parses configuration files in their native formats and transforms them into a tree. Configuration changes are made by populating this tree and saving it back into native config files. . This package provides a Ruby 1.9.1 interface to the Augeas API. Package: libaugeas0 Source: augeas Version: 0.10.0-1+deb7u1 Architecture: armhf Maintainer: Nicolas Valcárcel Scerpella Installed-Size: 524 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libxml2 (>= 2.7.4), augeas-lenses Suggests: augeas-tools Homepage: http://augeas.net/ Priority: optional Section: libs Filename: pool/main/a/augeas/libaugeas0_0.10.0-1+deb7u1_armhf.deb Size: 171698 SHA256: 1d342ccfd3bce82b2211707624c7c31b9648a77a0978ee6a016d1070c4086f8c SHA1: e5fdacd83153440d4c73417d351095874425ea55 MD5sum: 4aaaa5bacfacecc8ef47567363c1e3f9 Description: Augeas configuration editing library and API Augeas is a configuration editing tool. It parses configuration files in their native formats and transforms them into a tree. Configuration changes are made by manipulating this tree and saving it back into native config files. . The transformation works very hard to preserve comments and formatting details. It is controlled by ``lens'' definitions that describe the file format and the transformation into a tree. Package: libaunit-dbg Source: libaunit Version: 1.03-7 Architecture: armhf Maintainer: Stephen Leake Installed-Size: 186 Depends: libaunit3 (= 1.03-7) Suggests: gnat, ada-compiler Homepage: http://libre.adacore.com/libre/ Priority: extra Section: debug Filename: pool/main/liba/libaunit/libaunit-dbg_1.03-7_armhf.deb Size: 53286 SHA256: ce3535e3f7bb6f7fb57b0f2c36ec0f7eb6d5dd6e365eae46fa78c8f17c434f5b SHA1: b233f6fce7a2af72e9eb32a76d60ac1f36bda624 MD5sum: d897f9bbcbeed3d9bf30fa810c01c0f1 Description: AUnit, a unit testing framework for Ada AUnit is a set of Ada packages based on the xUnit family of unit test frameworks. It's intended as a developer's tool to facilitate confident writing and evolution of Ada software. It is purposely lightweight, as one of its main goals is to make it easy to develop and run unit tests, rather than to generate artifacts for process management. The framework supports easy composition of sets of unit tests to provide flexibility in determining what tests to run for a given purpose. . This package contains the debugging symbols for AUnit. Package: libaunit2-dev Source: libaunit Version: 1.03-7 Architecture: armhf Maintainer: Stephen Leake Installed-Size: 501 Depends: gnat, ada-compiler, gnat-4.6, libaunit3 (= 1.03-7) Suggests: libaunit-dbg Conflicts: libaunit-dev, libaunit1-dev Replaces: libaunit-dev, libaunit1-dev Homepage: http://libre.adacore.com/libre/ Priority: optional Section: libdevel Filename: pool/main/liba/libaunit/libaunit2-dev_1.03-7_armhf.deb Size: 134570 SHA256: 11a0b38d546324c90aebf23c4a15eb54940d43bd8de611f284443929fa5d8460 SHA1: 4fc1668643063fa9ac4f06f02655839f45445176 MD5sum: 7ddce5940bc2ac32c4173a8c49ff104f Description: AUnit, a unit testing framework for Ada AUnit is a set of Ada packages based on the xUnit family of unit test frameworks. It's intended as a developer's tool to facilitate confident writing and evolution of Ada software. It is purposely lightweight, as one of its main goals is to make it easy to develop and run unit tests, rather than to generate artifacts for process management. The framework supports easy composition of sets of unit tests to provide flexibility in determining what tests to run for a given purpose. . This package contains the development files for AUnit. Package: libaunit3 Source: libaunit Version: 1.03-7 Architecture: armhf Maintainer: Stephen Leake Installed-Size: 107 Depends: libc6 (>= 2.13-28), libgnat-4.6 (>= 4.6.3-4+rpi2) Suggests: gnat, ada-compiler Homepage: http://libre.adacore.com/libre/ Priority: optional Section: libs Filename: pool/main/liba/libaunit/libaunit3_1.03-7_armhf.deb Size: 29346 SHA256: d5fa6f057a69d836ad104590bd63b4eb86f3dffd2579260221feb052974d8604 SHA1: 5ebedbd82f353601334c3721ea01ce66baadd0e5 MD5sum: 53482897e0fb34d2432a42a3007a0f8a Description: AUnit, a unit testing framework for Ada AUnit is a set of Ada packages based on the xUnit family of unit test frameworks. It's intended as a developer's tool to facilitate confident writing and evolution of Ada software. It is purposely lightweight, as one of its main goals is to make it easy to develop and run unit tests, rather than to generate artifacts for process management. The framework supports easy composition of sets of unit tests to provide flexibility in determining what tests to run for a given purpose. . This package contains the runtime shared library for AUnit. Package: libauth-yubikey-decrypter-perl Version: 0.07-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcrypt-rijndael-perl Size: 7856 SHA256: 0c9544690cb98e875c9afac83d18af2ace7e071b732b673ccb40020bd4eac215 SHA1: 7b5a79e30503e3fc91dacc8411f84e5014012592 MD5sum: 8fda703dcf261c2e2a827f47234e3a51 Description: yubikey token output decryptor Auth::Yubikey_Decrypter is a Perl module to decrypt the AES output of Yubikey tokens. . Please note that this module does not perform authentication. It is a required component to decrypt the token first before authentication can be performed. Homepage: http://search.cpan.org/dist/Auth-Yubikey_Decrypter/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libauth-yubikey-decrypter-perl/libauth-yubikey-decrypter-perl_0.07-1_all.deb Package: libauth-yubikey-webclient-perl Version: 3.00-1 Installed-Size: 54 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdigest-hmac-perl, liburi-perl, libwww-perl Size: 9412 SHA256: 3e50854a638eca60699b097d54b5ffa911b2ee7713f5de53aa5fbab100b3d802 SHA1: 52518b55b9358fdf16de24549c8ad612d2bfe017 MD5sum: 38091d0ebd213dc7671f31c8b88bd703 Description: Perl module to authenticate Yubikey against the Yubico Web API Auth::Yubikey_WebClient is a Perl module to authenticate Yubikey against the public Yubico Web API. Homepage: http://search.cpan.org/dist/Auth-Yubikey_WebClient/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libauth-yubikey-webclient-perl/libauth-yubikey-webclient-perl_3.00-1_all.deb Package: libauthcas-perl Version: 1.5-1 Installed-Size: 36 Maintainer: CAS packaging team Architecture: all Depends: perl, libio-socket-ssl-perl, libwww-perl Size: 12026 SHA256: b8e2a64cf710af0e975a1f94c55ea6ad7509011b2a6105a85e6cd33f71fa665c SHA1: 08e64502967e08841dcb5597bbf053ebd3657cbd MD5sum: 3959acad69e4dd04ec84eba5681082f5 Description: Client library for CAS 2.0 JA-SIG Central Authentication Service (CAS) is a web authentication and Single-Sign-On system. . This AuthCAS Perl module provides required subroutines to validate and retrieve CAS tickets, allowing perl applications to become clients of a CAS server. Homepage: http://sourcesup.cru.fr/projects/perlcas/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libauthcas-perl/libauthcas-perl_1.5-1_all.deb Package: libauthen-bitcard-perl Version: 0.90-1 Installed-Size: 36 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-errorhandler-perl, libjson-perl (>= 2.12), liblwp-protocol-https-perl, libmath-bigint-gmp-perl, liburi-perl (>= 1.22), libwww-perl Size: 13116 SHA256: 5defd9912a3c6677c2825d96b9f58e303ebc7c4f3ed08a51a6180833dde12e5e SHA1: 8e3c6c73ccb19eaff81aa33febe9830aec20594d MD5sum: d0426441a35fc9dcfb4d4713a1eba3b7 Description: Perl module providing authentication using the Bitcard service Authen::Bitcard is an implementation of verification for signatures generated by Bitcard authentication. For information on the Bitcard protocol and using Bitcard in other applications, see . The module and the protocol are heavily based on Authen::Typekey. (In fact, the Bitcard authentication server also supports the TypeKey API!) Homepage: http://search.cpan.org/dist/Authen-Bitcard/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libauthen-bitcard-perl/libauthen-bitcard-perl_0.90-1_all.deb Package: libauthen-captcha-perl Version: 1.023-5 Installed-Size: 292 Maintainer: Debian Perl Group Architecture: all Depends: libgd-gd2-noxpm-perl | libgd-gd2-perl, perl (>= 5.6.0-16) Size: 68128 SHA256: c2b270feb6a57d742b479ab3e47da219bd643c7f55ecba4ddcd138ecc4a0d0bf SHA1: 517d6d43ec6bbecf734241573628e3922932fc0b MD5sum: f74291c0042b80bfbb7786aca32d43b3 Description: Extension for creating captcha's to verify the human element in transactions Authen::Captcha provides an object oriented interface to captcha file creations. Captcha stands for Completely Automated Public Turing test to tell Computers and Humans Apart. A Captcha is a program that can generate and grade tests that: . - Most humans can pass. - Current computer programs can't pass. . The most common form is an image file containing distorted text, which humans are adept at reading, and computers (generally) do a poor job. This module currently implements that method. We plan to add other methods, such as distorted sound files, and plain text riddles. Homepage: http://search.cpan.org/dist/Authen-Captcha/ Tag: devel::lang:perl, implemented-in::perl, security::authentication Section: perl Priority: extra Filename: pool/main/liba/libauthen-captcha-perl/libauthen-captcha-perl_1.023-5_all.deb Package: libauthen-cas-client-perl Version: 0.05-1 Installed-Size: 104 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libxml-libxml-perl, libwww-perl, liburi-perl Size: 19610 SHA256: 6e4491dbc0d6ecfa190f8ba5f27059c094aa02c7af98ef716aa5d0b23e018676 SHA1: 2dad0e8c0b8595e8d1de83901078b969da6f14c7 MD5sum: f35f5c152d58eef3f1c9ff680562cd52 Description: Perl module for authentication using CAS Authen::CAS::Client module provides a simple interface for authenticating users using JA-SIG's Central Authentication Service (CAS) Single Sign On protocol. Both CAS protocol version 1.0 and 2.0 are supported. Homepage: http://search.cpan.org/dist/Authen-CAS-Client/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::authentication Section: perl Priority: optional Filename: pool/main/liba/libauthen-cas-client-perl/libauthen-cas-client-perl_0.05-1_all.deb Package: libauthen-dechpwd-perl Version: 2.006-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 85 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libdata-integer-perl, libscalar-string-perl, libdigest-crc-perl Homepage: http://search.cpan.org/dist/Authen-DecHpwd/ Priority: optional Section: perl Filename: pool/main/liba/libauthen-dechpwd-perl/libauthen-dechpwd-perl_2.006-1_armhf.deb Size: 17820 SHA256: 19400aa337617be9cb7ff9c695e8066e2d3a5eb706f562b2a82e859b0a4f314c SHA1: c845f76e01c08d2b33bc3b2ecac561493b776833 MD5sum: 68ffcab802047776041da9014c8f0885 Description: Perl module for DEC VMS password hashing Authen::DecHpwd implements the LGI$HPWD password hashing function from VMS, and some associated VMS username and password handling functions. Package: libauthen-krb5-admin-perl Version: 0.13-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 171 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libkadm5clnt-mit8, libauthen-krb5-perl (>= 1.9-2) Homepage: http://search.cpan.org/dist/Authen-Krb5-Admin/ Priority: optional Section: perl Filename: pool/main/liba/libauthen-krb5-admin-perl/libauthen-krb5-admin-perl_0.13-1_armhf.deb Size: 47044 SHA256: a559d4fcf1b62f7b52422fe89cf1d5cbed30f7d5d21e83c9c2fc42dd8332438a SHA1: 74aac61db7644c6077ec3bd6500e19f7e891cd75 MD5sum: 8c7c78098c7b2b1751b5f2bd9472e5d9 Description: Perl extension for MIT Kerberos 5 admin interface The Authen::Krb5::Admin Perl module is an object-oriented interface to the MIT Kerberos 5 admin server. This allows creation, deletion, renaming, and examination of Kerberos principals, changing passwords or keys, and changing policy settings in the KDC. . This module only supports the MIT Kerberos admin protocol. For Heimdal KDCs, see libheimdal-kadm5-perl instead. Package: libauthen-krb5-perl Version: 1.9-3 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 283 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libcomerr2 (>= 1.01), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.7dfsg) Homepage: http://search.cpan.org/dist/Krb5/ Priority: optional Section: perl Filename: pool/main/liba/libauthen-krb5-perl/libauthen-krb5-perl_1.9-3_armhf.deb Size: 65474 SHA256: a0cdb580e41fc15a0ae4b837f8c896b2e24b20c4c25e8b3511084cfc05683100 SHA1: 06221774d323a7f695603196df8a8ef21d1c35b1 MD5sum: 3f4eba8ce4dafdb829c1606e2ba9f71a Description: Perl interface to Kerberos 5 API Authen::Krb5 is a Perl module providing an object-oriented interface to the Kerberos 5 API. It rearranges the API slightly to provide an object-oriented view, but otherwise closely matches the C interface. Use may require previous experience with Kerberos 5 programming or reference to the Kerberos 5 API documentation. . This package is built against the MIT Kerberos 5 libraries. Package: libauthen-krb5-simple-perl Version: 0.43-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 86 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libkrb5-3 (>= 1.6.dfsg.2) Homepage: http://search.cpan.org/dist/Authen-Krb5-Simple/ Priority: optional Section: perl Filename: pool/main/liba/libauthen-krb5-simple-perl/libauthen-krb5-simple-perl_0.43-1_armhf.deb Size: 12854 SHA256: e7b7e448cd62758e02bf772bff29c21a57830e32addc40461df1e1765e7e7278 SHA1: ff94a34fcd4e1102ea5fbfdf2519b32a84958cc9 MD5sum: a06e23af5ac9090c69fafe194f7350df Description: Perl module for authentication using Kerberos 5 Authen::Krb5::Simple is a Perl module that provides a way to authenticate a username/password combination using Kerberos 5. Simply use this module and call its authentication function (or user@KRB_REALM) and a password. Package: libauthen-ntlm-perl Version: 1.09-1 Installed-Size: 88 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdigest-hmac-perl Size: 15152 SHA256: 10d182d85c286d2774677a13f5f9db89a22a0f66ac427413ce34d3f4c1e0530d SHA1: 919662472e63331f1a6246e4a4e884bfd7c0c738 MD5sum: 09afcdb96680d6e862edb06c03141a83 Description: authentication module for NTLM Authen::NTLM provides methods to use NTLM authentication. It can be used as an authenticate method with the Mail::IMAPClient module to perform the challenge/response mechanism for NTLM connections or it can be used on its own for NTLM authentication with other protocols (eg. HTTP). . The implementation is a direct port of the code from fetchmail which, itself, has based its NTLM implementation on samba. As such, this code is not especially efficient, however it will still take a fraction of a second to negotiate a login on a PII which is likely to be good enough for most situations. Homepage: http://search.cpan.org/dist/Authen-NTLM/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::authentication Section: perl Priority: optional Filename: pool/main/liba/libauthen-ntlm-perl/libauthen-ntlm-perl_1.09-1_all.deb Package: libauthen-oath-perl Version: 1.0.0-1 Installed-Size: 51 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdigest-hmac-perl, libmoose-perl Size: 7696 SHA256: 61da388f07943a1f13156ecd9b97be39fe42a22660f482b793b1317dc0ca603f SHA1: bcb48b9b8ac039af0926272d17c6b44d0b570d5a MD5sum: 64c29e00b3bbbb4bb318fae5ed40531f Description: Perl module for OATH One Time Passwords Authen::OATH is an implementation of the HOTP and TOTP One Time Password algorithms as defined by OATH (http://www.openautentication.org). . All necessary parameters are set by default, though these can be overridden. Both totp() and htop() have passed all of the test vectors defined in the RFC documents for TOTP and HOTP. . totp() and hotp() both default to returning 6 digits and using SHA. As such, both can be called by passing only the secret key and a valid OTP will be returned. Homepage: http://search.cpan.org/dist/Authen-OATH/ Section: perl Priority: optional Filename: pool/main/liba/libauthen-oath-perl/libauthen-oath-perl_1.0.0-1_all.deb Package: libauthen-pam-perl Version: 0.16-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 128 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libpam0g (>= 0.99.7.1) Homepage: http://search.cpan.org/dist/Authen-PAM/ Priority: optional Section: perl Filename: pool/main/liba/libauthen-pam-perl/libauthen-pam-perl_0.16-2_armhf.deb Size: 31192 SHA256: 0f737fe862f175708752c5acc7634f587321e76c428d4129acb579d9998a8afc SHA1: 4782f696dbc3e313bd37910d253751c8518182aa MD5sum: b74e0e5cbaa5b1f31113f8edc285e3f1 Description: Perl interface to PAM library The Authen::PAM module provides a Perl interface to the PAM library. Package: libauthen-passphrase-perl Version: 0.008-1 Installed-Size: 261 Maintainer: Debian Perl Group Architecture: all Depends: perl, libauthen-dechpwd-perl, libcrypt-des-perl, libcrypt-eksblowfish-perl, libcrypt-mysql-perl, libcrypt-passwdmd5-perl, libcrypt-unixcrypt-xs-perl, libdata-entropy-perl, libdigest-md4-perl, libmodule-runtime-perl (>= 0.011), libparams-classify-perl Size: 110340 SHA256: ac2a6a7941aae07fecf632a78a3053b8651e3cd29f45f0d3d5c62834fa35b8ec SHA1: 42e434237356e914585df2e74935563b7a74c3b4 MD5sum: 0415abff9a01384ed79f711709e2f93a Description: Perl module encapsulating hashed passwords/passphrases as objects Authen::Passphrase is the base class for a system of objects that encapsulate passphrases. An object of this type is a passphrase recogniser: its job is to recognise whether an offered passphrase is the right one. For security, such passphrase recognisers usually do not themselves know the passphrase they are looking for; they can merely recognise it when they see it. There are many schemes in use to achieve this effect, and the intent of this class is to provide a consistent interface to them all, hiding the details. . This package contains implementations of several specific passphrase schemes in addition to the base class. Homepage: http://search.cpan.org/dist/Authen-Passphrase/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::authentication Section: perl Priority: optional Filename: pool/main/liba/libauthen-passphrase-perl/libauthen-passphrase-perl_0.008-1_all.deb Package: libauthen-radius-perl Version: 0.20-1 Installed-Size: 168 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 50488 SHA256: be5a790059d849eb15239e2bd7930b090b461177b85d3a7e2b0b745d50b77625 SHA1: 5f764c9af1f8a8d5d962b99057483313601afc2e MD5sum: 79ab3a54e466f93b825c89c6f6aad627 Description: module to authenticate users using RADIUS Authen::Radius is a Perl module that supports authentication of credentials against a RADIUS database. It also permits retrieval and modification of a user's settings. Name/value attribute pairs are automatically translated by the loaded dictionary. Homepage: http://search.cpan.org/dist/RadiusPerl/ Tag: devel::lang:perl, devel::library, implemented-in::perl, protocol::radius, security::authentication Section: perl Priority: extra Filename: pool/main/liba/libauthen-radius-perl/libauthen-radius-perl_0.20-1_all.deb Package: libauthen-sasl-cyrus-perl Version: 0.13-server-8 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 161 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libsasl2-2 (>= 2.1.24), libauthen-sasl-perl Homepage: http://www.wi-bw.tfh-wildau.de/~pboettch/home/index.php?site=asc Priority: extra Section: perl Filename: pool/main/liba/libauthen-sasl-cyrus-perl/libauthen-sasl-cyrus-perl_0.13-server-8_armhf.deb Size: 39646 SHA256: c0bcaf69ab8da90322b80d5be2ed30e656d8fa43e0ebc470da8516fa9046cc6d SHA1: a5ef75e60f0e6fbba715d401f3fbe3ca010e39bf MD5sum: 777e41cb657c09b93dbcb90e2caf4964 Description: Perl extension for Cyrus SASL library This module provides an Perl interface to the Cyrus implementation of SASL. It works with the existing Authen::SASL implementation, has been tested with Net::LDAP, and provides the GSSAPI authentication layer. . This version of the Authen::SASL::Cyrus includes an interface to the server-side functionality of the SASL library. Package: libauthen-sasl-perl Version: 2.1500-1 Installed-Size: 212 Maintainer: Debian Perl Group Architecture: all Depends: perl Suggests: libdigest-hmac-perl, libgssapi-perl Size: 54032 SHA256: 9c698420fa28b43cbb77ff706ee4f813f2aa84b651ba3750668164c4afd00da0 SHA1: f0823859a322a5b9bd36f65590cdd5ef0c961460 MD5sum: 058ee8a9ed58e84fd303e163a9fdee19 Description: Authen::SASL - SASL Authentication framework SASL is a generic mechanism for authentication used by several network protocols. Authen::SASL provides an implementation framework that all protocols should be able to share. . The framework allows different implementations of the connection class to be plugged in. At the time of writing there were two such plugins: - Authen::SASL::Perl This module implements several mechanisms and is implemented entirely in Perl. - Authen::SASL::Cyrus This module uses the Cyrus SASL C-library (both version 1 and 2 are supported). Homepage: http://search.cpan.org/dist/Authen-SASL/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::authentication Section: perl Priority: optional Filename: pool/main/liba/libauthen-sasl-perl/libauthen-sasl-perl_2.1500-1_all.deb Package: libauthen-simple-cdbi-perl Version: 0.2-2 Installed-Size: 20 Maintainer: Debian Perl Group Architecture: all Depends: libauthen-simple-perl, libclass-dbi-perl, libdbd-sqlite3-perl, perl (>= 5.6.0-16) Size: 6578 SHA256: 6d00a4b3d967de565580a5df457586fb2ee519d53a7cef3e26416c2a2ca5e48b SHA1: 2e97dd7f168088ed406550d4d00b0024872290fd MD5sum: f0a74a2bf392ff724648799b0d1d2716 Description: Simple CDBI authentication This package allow to use CDBI authentication methods. . It uses the libauthen-simple-perl framework. Homepage: http://search.cpan.org/dist/Authen-Simple-CDBI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libauthen-simple-cdbi-perl/libauthen-simple-cdbi-perl_0.2-2_all.deb Package: libauthen-simple-dbi-perl Version: 0.2-2 Installed-Size: 24 Maintainer: Debian Perl Group Architecture: all Depends: libauthen-simple-perl, libdbd-sqlite3-perl, perl (>= 5.6.0-16) Size: 6928 SHA256: 7b94c4fb4ef56e41346a9c90983a2aeac4f1e83fdb97adb738bf4e73fcc0080d SHA1: 4007d20034ec18b2651ac77a4f64ce8c9df916b3 MD5sum: aec1a00ee20a6dc15124ec41ae17289f Description: Simple DBI authentication This package allow to use DBI authentication methods. . It uses the libauthen-simple-perl framework. Homepage: http://search.cpan.org/dist/Authen-Simple-DBI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libauthen-simple-dbi-perl/libauthen-simple-dbi-perl_0.2-2_all.deb Package: libauthen-simple-dbm-perl Version: 0.2-2 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: libauthen-simple-perl, perl (>= 5.6.0-16) Size: 7506 SHA256: e227d833c4be4d60a2ac82ea7b37291fc489319eba03c425298d2948d6dffbb8 SHA1: 4f360cf1f73d6d4c79ff3f615c41defb94ffd54b MD5sum: bc101d99905083cd574521c0864613a3 Description: Simple DBM authentication This package allow to use DBM authentication methods. . It uses the libauthen-simple-perl framework. Homepage: http://search.cpan.org/dist/Authen-Simple-DBM/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libauthen-simple-dbm-perl/libauthen-simple-dbm-perl_0.2-2_all.deb Package: libauthen-simple-http-perl Version: 0.2-3 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: libauthen-simple-perl, libwww-perl, perl (>= 5.6.0-16) Size: 7066 SHA256: 54e90ad63dde0d745882df0ee6758969fbbcdecefdb3226dfa72dd34a327543c SHA1: 47c003449cb970e7f4a005e4a030f3c62522dbd1 MD5sum: 4a8b58d18e14123099d5dfee593b9cb5 Description: Simple HTTP authentication This package allow to use HTTP authentication methods. . It uses the libauthen-simple-perl framework. Homepage: http://search.cpan.org/dist/Authen-Simple-HTTP/ Tag: devel::lang:perl, devel::library, implemented-in::perl, protocol::http, role::devel-lib, security::authentication Section: perl Priority: optional Filename: pool/main/liba/libauthen-simple-http-perl/libauthen-simple-http-perl_0.2-3_all.deb Package: libauthen-simple-kerberos-perl Version: 0.1-3 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: libauthen-krb5-simple-perl, libauthen-simple-perl, perl (>= 5.6.0-16) Size: 6478 SHA256: 29a3f88b7384a5b32a5764dc1ab34b7134871657632f843e0b279045e5235c5d SHA1: d439c80f9dfa736fcd688f1807a4fed00b475c4d MD5sum: 711f652b881f989bba1bd7c359eb42a8 Description: Simple Kerberos authentication This package allow to use Kerberos authentication methods. . It uses the libauthen-simple-perl framework. Homepage: http://search.cpan.org/dist/Authen-Simple-Kerberos/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libauthen-simple-kerberos-perl/libauthen-simple-kerberos-perl_0.1-3_all.deb Package: libauthen-simple-ldap-perl Version: 0.3-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl, libauthen-simple-perl, libnet-ldap-perl Size: 12400 SHA256: 283902ce0718de2dc29a318978f2861f7e848496bff315b5added3e60691108f SHA1: 8fb30b5565986ab9d46f340887f64e3b67f1c876 MD5sum: 48e7417bd3101924885bcc5d85d2047f Description: module for simple LDAP authentication Authen::Simple::LDAP is part of the Authen::Simple framework for simple and consistent authentication. It provides a module for authentication against an LDAP service, including Apple Open Directory and Microsoft Active Directory servers. Homepage: http://search.cpan.org/dist/Authen-Simple-LDAP/ Tag: devel::lang:perl, devel::library, implemented-in::perl, protocol::ldap, security::authentication Section: perl Priority: optional Filename: pool/main/liba/libauthen-simple-ldap-perl/libauthen-simple-ldap-perl_0.3-1_all.deb Package: libauthen-simple-net-perl Version: 0.2-3 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: libauthen-simple-perl, perl (>= 5.6.0-16) Size: 12448 SHA256: 34326d341e86e1c27e8257d2a4097946476d8b91245cb43059afbc6e4cfdf4af SHA1: 9d6a4f845eca3091c17103b4c432ac08928d0101 MD5sum: 2afeb38bc6f00c6147ccc69d911eb459 Description: Simple NET authentication This package allow to use NET authentication methods. . It supports: * Simple FTP authentication, * Simple POP3 authentication, * Simple SMTP authentication. . It uses the libauthen-simple-perl framework. Homepage: http://search.cpan.org/dist/Authen-Simple-Net/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libauthen-simple-net-perl/libauthen-simple-net-perl_0.2-3_all.deb Package: libauthen-simple-pam-perl Version: 0.2-3 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libauthen-pam-perl, libauthen-simple-perl Size: 6586 SHA256: d8d0add2cf3837b07c51c9d2eddacbe6e3d25de9a12eccde20264f266abe39ab SHA1: b34456540edfc07f34d4ef0a1deecbcb9e1cb7a3 MD5sum: 5c75eae7423fc25eea417a5513bc1dd8 Description: simple PAM authentication module Authen::Simple::PAM allows to use PAM authentication methods. . It uses the libauthen-simple-perl framework. Homepage: http://search.cpan.org/dist/Authen-Simple-PAM/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libauthen-simple-pam-perl/libauthen-simple-pam-perl_0.2-3_all.deb Package: libauthen-simple-passwd-perl Version: 0.6-2 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: libauthen-simple-perl, perl (>= 5.6.0-16) Size: 7346 SHA256: b0498cd0f9793941d539ff95d60377257a3c0c9186260026fd25fddfe3ce11d2 SHA1: b4c59c7727bbb798e7121eced176273f01cbd7d4 MD5sum: 00cf2571124ace915381eeee959a6054 Description: Simple Passwd authentication This package allow to authenticate against a passwd file. . It uses the libauthen-simple-perl framework. Homepage: http://search.cpan.org/dist/Authen-Simple-Passwd/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, security::authentication Section: perl Priority: optional Filename: pool/main/liba/libauthen-simple-passwd-perl/libauthen-simple-passwd-perl_0.6-2_all.deb Package: libauthen-simple-perl Version: 0.5-1 Installed-Size: 78 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-accessor-perl, libclass-data-inheritable-perl, libcrypt-passwdmd5-perl, libparams-validate-perl Recommends: libauthen-simple-pam-perl | libauthen-simple-passwd-perl | libauthen-simple-http-perl | libauthen-simple-ldap-perl | libauthen-simple-smb-perl | libauthen-simple-kerberos-perl Suggests: libauthen-simple-cdbi-perl | libauthen-simple-dbi-perl | libauthen-simple-dbm-perl | libauthen-simple-net-perl | libauthen-simple-radius-perl Size: 21630 SHA256: 0c6a1fa371cf36eb226fe315b94d0f530463302cc1209e8775a63b87c196da60 SHA1: 72e433d4dd45e0be761dc4a86f305b681fee6fa1 MD5sum: 08746b8678a5369353d637b18453cb86 Description: simple and consistent perl framework for authentication Authen::Simple is the base package for various authentication methods written by the same author and available in the following packages: . * libauthen-simple-pam-perl * libauthen-simple-passwd-perl * libauthen-simple-http-perl * libauthen-simple-ldap-perl * libauthen-simple-smb-perl * libauthen-simple-kerberos-perl Homepage: http://search.cpan.org/dist/Authen-Simple/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libauthen-simple-perl/libauthen-simple-perl_0.5-1_all.deb Package: libauthen-simple-radius-perl Version: 0.1-2 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: libauthen-radius-perl, libauthen-simple-perl, perl (>= 5.6.0-16) Size: 6482 SHA256: b5327a56d00b1a069d648fea583327997e2a731e95e6ca595b3e7618385dccbc SHA1: 1af1086f9dcf4958aa6674eb1ea89f6f7928ed5c MD5sum: 6fa745a94adaf4f5667891f5384764cc Description: Simple RADIUS authentication This package allow to use RADIUS authentication methods. . It uses the libauthen-simple-perl framework. Homepage: http://search.cpan.org/dist/Authen-Simple-RADIUS/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libauthen-simple-radius-perl/libauthen-simple-radius-perl_0.1-2_all.deb Package: libauthen-simple-smb-perl Version: 0.1-3 Installed-Size: 20 Maintainer: Debian Perl Group Architecture: all Depends: libauthen-simple-perl, libauthen-smb-perl, perl (>= 5.6.0-16) Size: 6398 SHA256: 858913091367ba352244b0a73983ecbc91df2509adc2515a87ce309675836e8f SHA1: 5cab279aadbbc2cb38c2fb2ed29c9527c876f78b MD5sum: 8dca4482ed318f9172a9f4d990d16b80 Description: Simple SMB authentication This package allow to authenticate against a SMB server. . It uses the libauthen-simple-perl framework. Homepage: http://search.cpan.org/dist/Authen-Simple-SMB/ Tag: devel::lang:perl, devel::library, implemented-in::perl, network::client, protocol::smb, security::authentication Section: perl Priority: optional Filename: pool/main/liba/libauthen-simple-smb-perl/libauthen-simple-smb-perl_0.1-3_all.deb Package: libauthen-smb-perl Version: 0.91-4 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 103 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Conflicts: libapache2-authenntlm-perl (<= 0.02) Homepage: http://search.cpan.org/dist/Authen-Smb/ Priority: optional Section: perl Filename: pool/main/liba/libauthen-smb-perl/libauthen-smb-perl_0.91-4_armhf.deb Size: 26638 SHA256: 17fd5b741a531b463e3d5f111ef1e5f7cc1b665c49bf5e0baad3525682d6c44d SHA1: 49e0c799eecd965632757ebef5cb2cb07acaced5 MD5sum: 79d7d7c0db84be0360396b365e694951 Description: SMB authentication module for Perl This package supplies a perl module for authenticating against an SMB password server. Package: libauthen-tacacsplus-perl Version: 0.22-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 101 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Authen-TacacsPlus/ Priority: optional Section: perl Filename: pool/main/liba/libauthen-tacacsplus-perl/libauthen-tacacsplus-perl_0.22-1_armhf.deb Size: 21482 SHA256: a323b50979529c020f5309a424e233b619056be4907119e98cc3f6fe6e2d5bf6 SHA1: e314d7cf113967c0db79670be186b0741ddef8cb MD5sum: 3b0aac292ac29d8dfee1702ca4f7d4ad Description: Perl module for authentication using TACACS+ server Authen::TacacsPlus is a Perl module that provides authentication services using the TACACS+ authentication protocol, optionally supporting various authentication methods including plain text (ASCII), Password Authentication Protocol (PAP) and Challenge-Handshake Authentication Protocol (CHAP). Package: libauthority-shared-perl Version: 0.006-1 Installed-Size: 54 Maintainer: Debian Perl Group Architecture: all Depends: perl, libobject-authority-perl, libobject-role-perl Size: 8342 SHA256: 27c66c5abd3a16619a8892810d8f6894f38a06c742bc52a77c66c0abd9497408 SHA1: aab2467cc727cf27b32ee64292fe1e5e67c76270 MD5sum: 38a2be20c2a8483511fce929505f0def Description: multi-AUTHORITY method for your classes authority::shared allows you to indicate that your module is issued by multiple authorities. The main use case for shared authorities is for team projects. The team would designate a URI to represent the team as a whole, e.g. http://www.perlrdf.org/. Releases can then be officially stamped with the authority of the team using: . use authority::shared q; . And users can check they have a module released by the official team using: . RDF::TakeOverTheWorld->AUTHORITY(q); . which will croak if package RDF::TakeOverTheWorld doesn't have the specified authority. Homepage: http://search.cpan.org/dist/authority-shared/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libauthority-shared-perl/libauthority-shared-perl_0.006-1_all.deb Package: libautobox-core-perl Version: 1.21-1 Installed-Size: 99 Maintainer: Debian Perl Group Architecture: all Depends: perl, libautobox-perl (>= 2.71) Size: 32760 SHA256: 4812afcbc54c34bc43ddac21fcd7ea28a3afe6d8e678e34fd98abdaa86a55f24 SHA1: fe0f44d0f0d53178f2f0268d2da64c7651faa89f MD5sum: ead82c2961a74721ab7f0ff8ef33148c Description: module providing automatic methods for core functions This module provides automatic methods which wrap perl's built-in functions for minipulating numbers, strings, arrays, hashes, and code references. It can be handy to use built-in functions as methods to avoid messy dereferencing syntaxes and parentheses pile ups. . autobox::Core is what you'd call a stub module. It is merely glue, presenting existing functions with a new interface. Most of the methods read like sub hex ($) { hex($_[0]) }. Besides built-ins that operate on hashes, arrays, scalars, and code references, some Perl 6-ish things were thrown in, and some keywords like foreach have been turned into methods. Homepage: http://search.cpan.org/dist/autobox-Core/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libautobox-core-perl/libautobox-core-perl_1.21-1_all.deb Package: libautobox-dump-perl Version: 20090426.1746-1 Installed-Size: 64 Maintainer: Ivan Kohler Architecture: all Depends: perl Size: 7380 SHA256: f9ab214b4e8559f9dcc21f4694e0a9aaefe30b93c58b90869407286130a69ead SHA1: b4f014510d30ab157195377e5ec74653385aced2 MD5sum: d882a15b3cc2d02f681ba9d68f83ffaa Description: Human/perl readable strings from the results of an EXPR The autobox::dump pragma adds, via the autobox pragma, a method to normal expression (such as scalars, arrays, hashes, math, literals, etc.) that produces a human/perl readable representation of the value of that expression. Homepage: http://search.cpan.org/dist/autobox-dump/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libautobox-dump-perl/libautobox-dump-perl_20090426.1746-1_all.deb Package: libautobox-list-util-perl Version: 20090629-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 6900 SHA256: c3bf344fa66062d3a1d7fb98e987d336e7e6fa9c1cc4d31daf378e929e20dc70 SHA1: badf9de3e909f9523bd228aefda61d6d39dec8c4 MD5sum: 7a4f55f7f8166de548b020e29b5c8c06 Description: Perl module providing List::Util functions as methods on arrays The autobox pragma allows methods to be called on integers, floats, strings, arrays, hashes, and code references in exactly the same manner as blessed references. . autobox::List::Util brings all of the functions from List::Util to arrays as methods. Homepage: http://search.cpan.org/dist/autobox-List-Util/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libautobox-list-util-perl/libautobox-list-util-perl_20090629-1_all.deb Package: libautobox-perl Version: 2.75-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 106 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libscope-guard-perl (>= 0.20) Homepage: http://search.cpan.org/dist/autobox/ Priority: optional Section: perl Filename: pool/main/liba/libautobox-perl/libautobox-perl_2.75-1_armhf.deb Size: 30838 SHA256: 7e724bf7d68b97506aab68b79b7239301b0c8547ae7e59af8919a52b35cd29cc SHA1: 8303d3d421bbf01d6c23bde51da511d164844ff5 MD5sum: 9d7a2096722cbd2284f096dde2e690ce Description: Perl pragma for method calls on native types autobox is a Perl pragma that enables method calls on Perl's native data types including numeric values (integers and floats), strings, arrays, hashes and even code references in a simple and consistent way. . When a method is invoked on something which is not a blessed object reference, autobox looks for the method in a package whose name corresponds to the ref() type of the value. This design means extending the default functionality for new methods on a type-by-type basis is very simple. Package: libautodie-perl Version: 2.12-1 Installed-Size: 178 Maintainer: Debian Perl Group Architecture: all Depends: perl, libsub-identify-perl (>= 0.04) Recommends: libipc-system-simple-perl Size: 67338 SHA256: 854fd937c4ceb8145921c0e3761d019f71ff686ae7afdf09af6b2f5c5d378188 SHA1: 540fc1be3b05c7cec5928325f5fcf5671d97c749 MD5sum: e93fab54009dea46f5c22a87b27e822b Description: Perl pragma to make certain failures fatal autodie is a Perl pragma that changes the behaviour of many built-in functions so that exceptions are thrown on failure rather than simply returning a false value. It understands lexical scope, meaning functions and subroutines altered with this module will only have this behaviour until the end of the enclosing eval, file or block, so it is useful when some code is out of your control. . By throwing exceptions on failure rather than simply returning false, programs are more robust and problems are easier to troubleshoot. . This module can also use IPC::System::Simple (see libipc-system-simple-perl) to do all the heavy lifting. Homepage: http://search.cpan.org/dist/autodie/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/liba/libautodie-perl/libautodie-perl_2.12-1_all.deb Package: libautomaton-java Source: automaton Version: 1.11-8-1 Installed-Size: 821 Maintainer: Debian Java Maintainers Architecture: all Size: 212768 SHA256: 10aa443b3a82581a4223a3273364dd004dc0b78d80d948939140ecc3cff7c265 SHA1: d87eee6338262a461ba3e7bc96c13df2d22ed80f MD5sum: 4b5d22c482feb153b04249bfe5588f71 Description: Finite-State Automaton for Regular Expressions Automaton is a DFA/NFA (finite-state automata) implementation with Unicode alphabet (UTF16) and support for the standard regular expression operations (concatenation, union, Kleene star) and a number of non-standard ones (intersection, complement, etc.). Homepage: http://www.brics.dk/automaton/index.html Section: java Priority: optional Filename: pool/main/a/automaton/libautomaton-java_1.11-8-1_all.deb Package: libautotrace-dev Source: autotrace Version: 0.31.1-16+deb7u1 Architecture: armhf Maintainer: Edgar Antonio Palma de la Cruz Installed-Size: 273 Depends: libautotrace3 (= 0.31.1-16+deb7u1), libc6-dev | libc-dev, libpng12-dev, libpstoedit-dev (>= 3.42-1.1), libmagickcore-dev Recommends: pkg-config (>= 0.18) Homepage: http://autotrace.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/a/autotrace/libautotrace-dev_0.31.1-16+deb7u1_armhf.deb Size: 125062 SHA256: 16c9b1ca29f02ff89fc16a43ccb683d0cec54d7ac66b122cdd21bc777196fcad SHA1: 59d8d927675eed3d6eb97936f5588aa971434a4e MD5sum: 56208b9f26ae252815d9f8bced7d725b Description: bitmap to vector graphics converter, development files Static library and header include files needed for developing programs using the AutoTrace bitmap-to-vector graphics converter. Here is a sample program that uses libautotrace. Package: libautotrace3 Source: autotrace Version: 0.31.1-16+deb7u1 Architecture: armhf Maintainer: Edgar Antonio Palma de la Cruz Installed-Size: 189 Depends: libc6 (>= 2.13-28), libgomp1 (>= 4.2.1), libmagickcore5 (>= 8:6.7.7.10), libpng12-0 (>= 1.2.13-4), libpstoedit0c2a Homepage: http://autotrace.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/a/autotrace/libautotrace3_0.31.1-16+deb7u1_armhf.deb Size: 107354 SHA256: 0f1e9428eec132f78306c81e2969c5e3d65ab8e1933f3853abd06eb80e7d10fd SHA1: 63d2b007b2a758c9c5672843e9c6e418b8d84558 MD5sum: 76f57c7d69b3fd2652b765039e7833ec Description: bitmap to vector graphics converter, shared library files Runtime shared library files needed by programs that link with the AutoTrace bitmap-to-vector graphics converter. About the usage of the library see http://autotrace.sourceforge.net/frontline Package: libautounit-dev Source: autounit Version: 0.20.1-4 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 91 Depends: libautounit2 (= 0.20.1-4), libc6-dev, libglib2.0-dev Suggests: pkg-config Homepage: http://www.recursism.com/pub/software/testing/gnu-autounit Priority: optional Section: libdevel Filename: pool/main/a/autounit/libautounit-dev_0.20.1-4_armhf.deb Size: 26898 SHA256: c6f20784d0b7bd14f447e60e51fc15096fc050eb7d1c0692146c92bcea4e95fe SHA1: e3c9b6a9bf2845ee36f5476d48e192fbfb37eb78 MD5sum: 1a7f7f8f1fb24a39781eea57c346697e Description: Development files for C unit testing framework This package provides the development environment to write unit tests in the C Programming Language. Package: libautounit2 Source: autounit Version: 0.20.1-4 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 61 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0) Homepage: http://www.recursism.com/pub/software/testing/gnu-autounit Priority: optional Section: libs Filename: pool/main/a/autounit/libautounit2_0.20.1-4_armhf.deb Size: 16256 SHA256: fe69ad4a683935d49c78e712b79c9b98931ca031c2791871ea11c02ab1999a0b SHA1: 43949e8f5d96bee1e703e2229ab601e38ed3e7d8 MD5sum: 6c0790921ab49d569f2333d6371075d2 Description: C unit testing framework interfacing well with autotools This package provides the libraries to run programs that have c unit tests in them. It will rarely be useful without the development package. Package: libautovivification-perl Version: 0.10-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 93 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/autovivification/ Priority: optional Section: perl Filename: pool/main/liba/libautovivification-perl/libautovivification-perl_0.10-1_armhf.deb Size: 22044 SHA256: d57ae45a9107d9843c773b31f2f4564b9e8280f16eb7dc41fbcc3081bae52595 SHA1: 4c0aec20f03efef9b3efdee94c75a66f8c48e58d MD5sum: c2edb3c0f87c02eff84d5d36fd45a286 Description: pragma for lexically disabling autovivification autovivication is a Perl pragma that enables developers to control whether variables can be created automatically on their first use, rather than requiring them to be defined beforehand. While occasionally useful, this behaviour can result in subtle bugs that are difficult to debug. . This pragma lets you disable autovivification for some constructs and can optionally also emit a warning or error when it would have happened. Package: libav-dbg Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 18191 Depends: ffmpeg (= 6:0.8.21-0+deb7u1+rpi1), libav-tools (= 6:0.8.21-0+deb7u1+rpi1), libavcodec53 (= 6:0.8.21-0+deb7u1+rpi1) | libavcodec-extra-53 (= 6:0.8.21-0+deb7u1+rpi1), libavdevice53 (= 6:0.8.21-0+deb7u1+rpi1), libavformat53 (= 6:0.8.21-0+deb7u1+rpi1), libavutil51 (= 6:0.8.21-0+deb7u1+rpi1), libpostproc52 (= 6:0.8.21-0+deb7u1+rpi1), libswscale2 (= 6:0.8.21-0+deb7u1+rpi1) Breaks: ffmpeg-dbg (<< 6:0.8.3-5), libav-extra-dbg (<< 6:0.8.3-5) Replaces: ffmpeg-dbg (<< 6:0.8.3-5), libav-extra-dbg (<< 6:0.8.3-5) Homepage: http://libav.org/ Priority: extra Section: debug Filename: pool/main/liba/libav/libav-dbg_0.8.21-0+deb7u1+rpi1_armhf.deb Size: 16249850 SHA256: 82974d834cbfbd7fb88beebe82cf31a9c8a8f8e753b12eb65f74d0ec800c294f SHA1: f5d38a339e614391c2ac220dd2edc9c1548eb054 MD5sum: c4676bb8d9d5db7f19ad8a3bb33b0f26 Description: Debug symbols for Libav related packages Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This package contains debug data of the Libav related shared libraries. . Most people will not need this package. Please install it to produce useful stacktraces to help debugging the Libav library. Package: libav-doc Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: all Maintainer: Debian Multimedia Maintainers Installed-Size: 175671 Breaks: ffmpeg-doc (<< 6:0.8.21-0+deb7u1+rpi1) Replaces: ffmpeg-doc (<< 6:0.8.21-0+deb7u1+rpi1) Homepage: http://libav.org/ Priority: optional Section: doc Filename: pool/main/liba/libav/libav-doc_0.8.21-0+deb7u1+rpi1_all.deb Size: 12454458 SHA256: 626c015e0bc0773ffee1ff120d49055df812f0884a991369678505759d8a2a55 SHA1: 767ac6dcb3665673afd2d27cf3fed6a1b2a0c02e MD5sum: cfee20e37e8068b4e287f3ac27152b2d Description: Documentation of the Libav API Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This package contains the html doxygen documentation of the Libav API. . Only application developers will find this package useful. Package: libav-extra-dbg Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: all Maintainer: Debian Multimedia Maintainers Installed-Size: 80 Depends: libav-dbg Homepage: http://libav.org/ Priority: extra Section: oldlibs Filename: pool/main/liba/libav/libav-extra-dbg_0.8.21-0+deb7u1+rpi1_all.deb Size: 56594 SHA256: e00955b56c8630cc8952fb659e4d891e50d677e22820235a01d5dd19c01ebb54 SHA1: 87b8d07ca5d3f67ef0aea2637dd6327e58fe4f91 MD5sum: 962b619dc00fce7565cceb20815e2f6b Description: Debug symbols for Libav related packages (transitional package) Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This package serves as a transitional package to libav-dbg. Package: libav-tools Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1513 Pre-Depends: dpkg (>= 1.15.7.2~) Depends: libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.21), libavdevice53 (>= 6:0.8.3-1~), libavfilter2 (>= 6:0.8.3-1~), libavformat53 (>= 6:0.8.3-1~), libavutil51 (>= 6:0.8.3-1~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpostproc52 (>= 6:0.8.3-1~), libsdl1.2debian (>= 1.2.11), libswscale2 (>= 6:0.8.3-1~) Conflicts: ffprobe Breaks: ffmpeg (<< 4:0.8~) Replaces: ffmpeg (<< 4:0.8~), libavcodec-extra-53 (<< 4:0.6~), libavcodec53 (<< 6:0.8.21-0+deb7u1+rpi1) Homepage: http://libav.org/ Priority: optional Section: video Filename: pool/main/liba/libav/libav-tools_0.8.21-0+deb7u1+rpi1_armhf.deb Size: 370624 SHA256: 6ca5aa8cc1aab517c7de4d459186a31634132d467b7a6f7056fdc0141cf57cfa SHA1: 166e17a24c5df21e9dac40b636ec02dd0abab4dd MD5sum: 980cad329e83739166604efdbb2b1951 Description: Multimedia player, server, encoder and transcoder Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This package contains the avplay multimedia player, the avserver streaming server, the avconv audio and video encoder, and the avprobe stream analyzer. They support most existing file formats (AVI, MPEG, OGG, Matroska, ASF...) and encoding formats (MPEG, DivX, MPEG4, AC3, DV...). Additionally, it contains the qt-faststart utility which rearranges Quicktime files to facilitate network streaming. Package: libavahi-cil-dev Source: avahi-sharp Version: 0.6.19-4.2 Installed-Size: 43 Maintainer: Utopia Maintenance Team Architecture: all Replaces: libavahi-cil, libavahi1.0-cil (<< 0.6.19-4.1~) Depends: libavahi1.0-cil (= 0.6.19-4.2) Size: 8556 SHA256: ddcc2e847e6bc587284459fa04311bc36410393bd5f4937ce0d7e819f485240b SHA1: 0bc69961b0b4886eba579727bfef30f5cffbc921 MD5sum: 48b3e10967056553546f06a144eac603 Description: CLI bindings for Avahi Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains development files for the avahi-sharp library, and should be used for compilation. Tag: devel::ecma-cli, devel::library, role::devel-lib Section: libdevel Priority: optional Filename: pool/main/a/avahi-sharp/libavahi-cil-dev_0.6.19-4.2_all.deb Package: libavahi-client-dev Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 179 Depends: libavahi-client3 (= 0.6.31-2), libavahi-common-dev, libdbus-1-dev (>= 0.60) Homepage: http://avahi.org/ Priority: optional Section: libdevel Filename: pool/main/a/avahi/libavahi-client-dev_0.6.31-2_armhf.deb Size: 62334 SHA256: 71812f04a5404738b9da8caaade8f3240ba5ce2a0ddedc61d527d3257326bd1b SHA1: 79c74f8ba38b2be32cd0f72aacc351b702d7c2d7 MD5sum: bd9e1212b710759ff392ae072f644915 Description: Development files for the Avahi client library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains the development headers for Avahi's C API which allows you to integrate mDNS/DNS-SD functionality into your application. Package: libavahi-client3 Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 126 Pre-Depends: multiarch-support Depends: libavahi-common3 (>= 0.6.22), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1) Multi-Arch: same Homepage: http://avahi.org/ Priority: optional Section: libs Filename: pool/main/a/avahi/libavahi-client3_0.6.31-2_armhf.deb Size: 55444 SHA256: 7c11b5cae4e431c595cea81372125e2057b251501f7dc87d3873924a140ebd1d SHA1: 2dff7dd9e365fcf8c495e99dc88d95378b70ac29 MD5sum: 9108b1c1eb6f0bed94d18eab57b7e206 Description: Avahi client library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains the library for Avahi's C API which allows you to integrate mDNS/DNS-SD functionality into your application. Package: libavahi-common-data Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 793 Multi-Arch: same Homepage: http://avahi.org/ Priority: optional Section: libs Filename: pool/main/a/avahi/libavahi-common-data_0.6.31-2_armhf.deb Size: 136894 SHA256: a715c0147a26725236d828a671e090edd8fc20aa345ab7e953b28ebbd8a4aff0 SHA1: 3ac6b97cdc82dac06a9f49696fbc7b832ee392d0 MD5sum: 28f4aeadf60b70b7a135df888618a7da Description: Avahi common data files Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains common data files for avahi. Package: libavahi-common-dev Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 194 Depends: libavahi-common3 (= 0.6.31-2) Homepage: http://avahi.org/ Priority: optional Section: libdevel Filename: pool/main/a/avahi/libavahi-common-dev_0.6.31-2_armhf.deb Size: 68474 SHA256: 1360e9cdf1ee81527a046cb3656f748a9850802dc2157adf6e94f7a34415390d SHA1: eec77b249cdb4a08a95ccafbc4b435283c2dd52b MD5sum: 0e5dc2d3656e01364a04e39ce56a195e Description: Development files for the Avahi common library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains the development headers for the Avahi common library, which is a set of common functions and definitions used by many of Avahis components and client applications. Package: libavahi-common3 Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 115 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libavahi-common-data Multi-Arch: same Homepage: http://avahi.org/ Priority: optional Section: libs Filename: pool/main/a/avahi/libavahi-common3_0.6.31-2_armhf.deb Size: 52570 SHA256: d29bd3fc27f082607faccf169158f20fb0fa01b69ce9843908493f345ba84939 SHA1: 7bc1d496a8fbf883d95ea63a0628e95da4b7623d MD5sum: 91a71e65cbb986eb9da614f96e3a18ce Description: Avahi common library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains the Avahi common library, which is a set of common functions used by many of Avahis components and client applications. Package: libavahi-compat-libdnssd-dev Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 186 Depends: libavahi-compat-libdnssd1 (= 0.6.31-2), libavahi-client-dev Homepage: http://avahi.org/ Priority: optional Section: libdevel Filename: pool/main/a/avahi/libavahi-compat-libdnssd-dev_0.6.31-2_armhf.deb Size: 62810 SHA256: c7c5d4d4b8da710452af25e0af4fce8a6d4a64e1c71055e69887c80c4df7183c SHA1: 00d4d0f07e661eb9bba2a9419a565bdc1a8d86f6 MD5sum: c7dccbbf2ed6576f1298335bc0be6150 Description: Development headers for the Avahi Apple Bonjour compatibility library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains the development headers for Apple Bonjour compatibility library of Avahi. Package: libavahi-compat-libdnssd1 Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 96 Pre-Depends: multiarch-support Depends: libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2) Recommends: libnss-mdns Multi-Arch: same Homepage: http://avahi.org/ Priority: optional Section: libs Filename: pool/main/a/avahi/libavahi-compat-libdnssd1_0.6.31-2_armhf.deb Size: 45132 SHA256: cfcd8653d00f0388a9de23c0fcd69aae2a4769a3e42b4621686f5ae56c1d77ea SHA1: cdcd2f7ff0d36b47cebc00b7aec712d4bd9d4c2b MD5sum: 7871e0201b3d57e012ed4b263079d81f Description: Avahi Apple Bonjour compatibility library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This library contains the Apple Bonjour compatibility library of Avahi. Package: libavahi-core-dev Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 409 Depends: libavahi-core7 (= 0.6.31-2), libavahi-common-dev Homepage: http://avahi.org/ Priority: optional Section: libdevel Filename: pool/main/a/avahi/libavahi-core-dev_0.6.31-2_armhf.deb Size: 141308 SHA256: 632ee62dabae0d3b3bb2e73e543023a5e35c6e49657d6e33117ae94bab31116b SHA1: 992701641a22ef0f85d035016dd7b17cba079064 MD5sum: 4144cc69efaf5d0f61fbc8e136eb18aa Description: Development files for Avahi's embeddable mDNS/DNS-SD library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains the development files for Avahi's embeddable mDNS/DNS-SD stack. This can be used by embedded application developers to integrate mDNS/DNS-SD functionality into their applications. . You should not however use this library for non-embedded applications, you shoudl use libavahi-client-dev which interacts with the avahi daemon. Package: libavahi-core7 Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 272 Pre-Depends: multiarch-support Depends: libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Multi-Arch: same Homepage: http://avahi.org/ Priority: optional Section: libs Filename: pool/main/a/avahi/libavahi-core7_0.6.31-2_armhf.deb Size: 119484 SHA256: 7e65cfb372579eb67af52531b8a6a0a3089b5a7e781e775761afb1714a349738 SHA1: 1298fd3156d5d2a2cbc52e05e8e82c86ac476548 MD5sum: de97c2e3ad96d179d9f5c6982d90e845 Description: Avahi's embeddable mDNS/DNS-SD library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains the library for Avahi's embeddable mDNS/DNS-SD stack. This can be used by embedded applications to integrate mDNS/DNS-SD functionality into their applications. . You should not however use this library for non-embedded applications, you should use libavahi-client which interacts with the avahi daemon. Package: libavahi-glib-dev Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 90 Depends: libavahi-glib1 (= 0.6.31-2), libglib2.0-dev, libavahi-common-dev Homepage: http://avahi.org/ Priority: optional Section: libdevel Filename: pool/main/a/avahi/libavahi-glib-dev_0.6.31-2_armhf.deb Size: 36592 SHA256: 08d0f5e1c1b1c9731d50b4340ee0caa9621965bc0ae773c4ae07f9bf8d3ab4b6 SHA1: 0b6e7546e3e156eaf6241e9d642d2262da2b3833 MD5sum: 55a7450ef97b9f2088c9548538c1c7cb Description: Development headers for the Avahi GLib integration library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains the development headers for the interface to integrate the Avahi libraries into a GLib main loop application, including GTK+ applications. Package: libavahi-glib1 Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 79 Pre-Depends: multiarch-support Depends: libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0) Multi-Arch: same Homepage: http://avahi.org/ Priority: optional Section: libs Filename: pool/main/a/avahi/libavahi-glib1_0.6.31-2_armhf.deb Size: 38046 SHA256: d3b283d59ca0f995156594aa52011d1f54e22d6d1dc7fd365359230792f4b34a SHA1: 2e59434dc6cae2cb91d9e7e7e274ec7c111b465a MD5sum: c8a72fc474c62abffa93e21523d77088 Description: Avahi GLib integration library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This library contains the interface to integrate the Avahi libraries into a GLib main loop application, including GTK+ applications. Package: libavahi-gobject-dev Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 155 Depends: libavahi-gobject0 (= 0.6.31-2), libglib2.0-dev, libavahi-client-dev, libavahi-glib-dev Homepage: http://avahi.org/ Priority: optional Section: libdevel Filename: pool/main/a/avahi/libavahi-gobject-dev_0.6.31-2_armhf.deb Size: 51740 SHA256: 3bb5e41e8452e0cf2f3a3381fc61565ec73afc31d5e2609ca70b929c959845e8 SHA1: f9d9eeb0146b25e9e39f58a639cb7c51bce813c7 MD5sum: ff7c22eb19eac0a6d5b415c58fa490f0 Description: Development headers for the Avahi GObject library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains the development headers for a GObject interface to Avahi Package: libavahi-gobject0 Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 101 Pre-Depends: multiarch-support Depends: libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libglib2.0-0 (>= 2.16.0) Multi-Arch: same Homepage: http://avahi.org/ Priority: optional Section: libs Filename: pool/main/a/avahi/libavahi-gobject0_0.6.31-2_armhf.deb Size: 45856 SHA256: 743db2489f5a0b7dad9859bb570f18f62b3b2de55d5d97e6163bed50c0f4760a SHA1: 2ff64d6232d93b342b236cb5767e132786fdcab9 MD5sum: ce0aec44c301a46655d1da39ef9b9471 Description: Avahi GObject library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This library contains the GObject wrapper for Avahi. Package: libavahi-qt4-1 Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 75 Pre-Depends: multiarch-support Depends: libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.5.3), libstdc++6 (>= 4.3.0) Multi-Arch: same Homepage: http://avahi.org/ Priority: optional Section: libs Filename: pool/main/a/avahi/libavahi-qt4-1_0.6.31-2_armhf.deb Size: 36048 SHA256: a83ddb753e6331a5425b2588ff90ecd64a2206efd455cc7e9697d032febd74c3 SHA1: 198ad5ab01b10a5d16359ea04115729c35e0fea8 MD5sum: 34ee52a4e590d39253b7441236a16bdd Description: Avahi Qt 4 integration library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This library contains the interface to integrate the Avahi libraries into a Qt 4 main loop application. Package: libavahi-qt4-dev Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 92 Depends: libavahi-qt4-1 (= 0.6.31-2), libqt4-dev, libavahi-common-dev Homepage: http://avahi.org/ Priority: optional Section: libdevel Filename: pool/main/a/avahi/libavahi-qt4-dev_0.6.31-2_armhf.deb Size: 36760 SHA256: ea1e0c7fd9f26b751e2bd31e0c412890fb1fa12825512fafc42fbb9f84a50fca SHA1: b8749c16536865cf3feff403b96ead27dadf2177 MD5sum: 7bc399787ddc11d7592ae6c3371b1273 Description: Development headers for the Avahi Qt 4 integration library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains the development headers for the interface to integrate the Avahi libraries into a Qt 4 main loop application. Package: libavahi-ui-cil-dev Source: avahi-sharp Version: 0.6.19-4.2 Installed-Size: 43 Maintainer: Utopia Maintenance Team Architecture: all Replaces: libavahi-ui0.0-cil (<< 0.6.19-4.1~) Depends: libavahi-ui0.0-cil (= 0.6.19-4.2), libgtk2.0-cil-dev Size: 8584 SHA256: 18c55b6a53fc79a7f518ae6c8ba6fca09b34b83f02c9eece021a3122f9beab11 SHA1: a995c8a877fa32fc997dd8887456d28125ce37e7 MD5sum: 8fad00c82a0fbcb007c7c634d65c8773 Description: CLI bindings for Avahi Ui Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains development files for the avahi-ui-sharp library, and should be used for compilation. Tag: devel::ecma-cli, devel::library, role::devel-lib Section: libdevel Priority: optional Filename: pool/main/a/avahi-sharp/libavahi-ui-cil-dev_0.6.19-4.2_all.deb Package: libavahi-ui-dev Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 127 Depends: libavahi-ui0 (= 0.6.31-2), libavahi-client-dev, libavahi-glib-dev, libgtk2.0-dev Homepage: http://avahi.org/ Priority: optional Section: libdevel Filename: pool/main/a/avahi/libavahi-ui-dev_0.6.31-2_armhf.deb Size: 49272 SHA256: cb9fd15506e66183b4ab79143b2482cb034b309449d24b2922d9bf91a113c21d SHA1: c4052f8255aef1d7adad19e7791c79c11d4f2b64 MD5sum: bb36fe037de2c0b0c65adef934fb3791 Description: Development headers for the Avahi GTK+ User interface library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains the development headers for the GTK+ library of Avahi. Package: libavahi-ui-gtk3-0 Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 109 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.22), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libgdbm3 (>= 1.8.3), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0) Multi-Arch: same Homepage: http://avahi.org/ Priority: optional Section: libs Filename: pool/main/a/avahi/libavahi-ui-gtk3-0_0.6.31-2_armhf.deb Size: 47918 SHA256: add0921cc2db11e5537790e81b523fb7b3e4f4825bec33a927ad0935af11b7d2 SHA1: e6dd883c3b28ce9fb895dd0c89e0321c93ba5b07 MD5sum: 2f6546ca4746737f10fea51393e4e3a2 Description: Avahi GTK+ User interface library for GTK3 Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This library contains a GTK+ widget for browsing services. Package: libavahi-ui-gtk3-dev Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 127 Depends: libavahi-ui-gtk3-0 (= 0.6.31-2), libavahi-client-dev, libavahi-glib-dev, libgtk-3-dev Conflicts: libavahi-ui-dev Homepage: http://avahi.org/ Priority: optional Section: libdevel Filename: pool/main/a/avahi/libavahi-ui-gtk3-dev_0.6.31-2_armhf.deb Size: 49282 SHA256: 9aa29f343930ab79646409a0a056974c12e86527b132ffca32b8ec29ff19d6ed SHA1: b9c890439ba1c7748be348b08f6c1a537bb3cd8e MD5sum: 43ecff3abf7c73d55f54b7c77ad5516a Description: Development headers for the Avahi GTK+ User interface library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains the development headers for the GTK+ library of Avahi. Package: libavahi-ui0 Source: avahi Version: 0.6.31-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 109 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.22), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdbm3 (>= 1.8.3), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0) Multi-Arch: same Homepage: http://avahi.org/ Priority: optional Section: libs Filename: pool/main/a/avahi/libavahi-ui0_0.6.31-2_armhf.deb Size: 47946 SHA256: 2c2a37f580a6df125075d00c585f85b0cd04de4242f1e57dea934704aace1ccf SHA1: c49713f2d86a1a1d01795372c92c3b86c180f661 MD5sum: 310ca98f233444d885ff92f217fc3568 Description: Avahi GTK+ User interface library Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This library contains a GTK+ widget for browsing services. Package: libavahi-ui0.0-cil Source: avahi-sharp Version: 0.6.19-4.2 Installed-Size: 64 Maintainer: Utopia Maintenance Team Architecture: all Depends: cli-common (>= 0.5.1), libavahi-common3 (>= 0.6.16), libavahi-ui0 (>= 0.6.22), libavahi1.0-cil (>= 0.6.10), libglib2.0-cil (>= 2.12.10), libgtk2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.1) Size: 13304 SHA256: f74ad5429e16ddc6801a0bd73e675cfa366799d4aeefe42971d388a9442e8059 SHA1: c38f2e1a8d3d69f6545305d0260a59ced236202e MD5sum: 272878751100d53ce3c8cf81213de68c Description: CLI bindings for Avahi Ui Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains the CLI bindings to Avahi Ui, allowing you to use Avahi Ui from Mono languages (e.g. C# and Boo). Tag: devel::ecma-cli, devel::library, role::shared-lib, uitoolkit::gtk Section: cli-mono Priority: optional Filename: pool/main/a/avahi-sharp/libavahi-ui0.0-cil_0.6.19-4.2_all.deb Package: libavahi1.0-cil Source: avahi-sharp Version: 0.6.19-4.2 Installed-Size: 105 Maintainer: Utopia Maintenance Team Architecture: all Replaces: libavahi-cil Provides: libavahi-cil Depends: cli-common (>= 0.5.1), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libc6 (>= 2.13) | libc6.1 (>= 2.13) | libc0.1 (>= 2.13), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.1) Conflicts: libavahi-cil Size: 29960 SHA256: db536f6e884bfa0cd4e65ade896ab5912368a3fc02ee3ed89998385ae4bc74a3 SHA1: 68d8d553907bebf0f43ae9f138795d4fb104f392 MD5sum: 651f959f6ae29539714d3a82bce126ea Description: CLI bindings for Avahi Avahi is a fully LGPL framework for Multicast DNS Service Discovery. It allows programs to publish and discover services and hosts running on a local network with no specific configuration. For example you can plug into a network and instantly find printers to print to, files to look at and people to talk to. . This package contains the CLI bindings to Avahi, allowing you to use Avahi from Mono languages (e.g. C# and Boo). Tag: devel::ecma-cli, devel::lang:c-sharp, devel::runtime, role::devel-lib, use::browsing Section: cli-mono Priority: optional Filename: pool/main/a/avahi-sharp/libavahi1.0-cil_0.6.19-4.2_all.deb Package: libavalon-framework-java Source: avalon-framework Version: 4.2.0-8 Installed-Size: 196 Maintainer: Debian Java Maintainers Architecture: all Suggests: libavalon-framework-java-doc Size: 70438 SHA256: 9dcb0f24dbed1123ef268f5350ab6d02bf623aede6d1571a9407b2db3770b52d SHA1: 15f3ab86626bc06c676ad407e7491b38a86a5cb6 MD5sum: 52540fc6d4f0283dd0b781d193efb506 Description: Common framework for Java server applications The Avalon framework consists of interfaces that define relationships between commonly used application components, best-of-practice pattern enforcement, and several lightweight convenience implementations of the generic components. Homepage: http://excalibur.apache.org/ Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/a/avalon-framework/libavalon-framework-java_4.2.0-8_all.deb Package: libavalon-framework-java-doc Source: avalon-framework Version: 4.2.0-8 Installed-Size: 2152 Maintainer: Debian Java Maintainers Architecture: all Suggests: libavalon-framework-java Size: 139472 SHA256: b6e84e1b6df8cffc3698d8be5667fe8c85024e8e3e0e2470ba859f2e99adede2 SHA1: a1c87a5dc2a708ead35f14f7e888955d8d103cf5 MD5sum: ec3d8327b6c5bec9e612fa3e8734f706 Description: Common framework for Java server applications (API) The Avalon framework consists of interfaces that define relationships between commonly used application components, best-of-practice pattern enforcement, and several lightweight convenience implementations of the generic components. . This is the API documentation for Avalon which is a common framework for Java server applications. Homepage: http://excalibur.apache.org/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/avalon-framework/libavalon-framework-java-doc_4.2.0-8_all.deb Package: libavbin-dev Source: avbin Version: 7-1.3 Architecture: armhf Maintainer: Andrew Straw Installed-Size: 202 Depends: libavbin0 (= 7-1.3) Homepage: http://code.google.com/p/avbin/ Priority: extra Section: libdevel Filename: pool/main/a/avbin/libavbin-dev_7-1.3_armhf.deb Size: 35108 SHA256: d52347e4d497d26894c1fda44702b77b123eb85a574a2b505a4fdc116ade6e39 SHA1: c451c9e5bfd89700be66f186ec6c2a87acbb67ba MD5sum: ca56f1a3c8fc03057f77ac1be01304d5 Description: development files for libavbin AVbin is a thin wrapper around FFmpeg, providing binary compatibility for applications and languages that need it. . This package contains the header files and static libraries needed to compile applications or shared objects that use libavbin. Package: libavbin0 Source: avbin Version: 7-1.3 Architecture: armhf Maintainer: Andrew Straw Installed-Size: 39 Depends: libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libswscale2 (>= 5:0.8-2~), ffmpeg Homepage: http://code.google.com/p/avbin/ Priority: extra Section: libs Filename: pool/main/a/avbin/libavbin0_7-1.3_armhf.deb Size: 7374 SHA256: f800dde5c758ae8fb3caa175bd3b20a2f0265252203d46d0e730a86948a01ecd SHA1: 99ea000b0e753768324f962b426c0e26c8d404cc MD5sum: 3ed1f0cb0704a7d56f1770bde08c3d88 Description: cross-platform media decoding library AVbin is a thin wrapper around FFmpeg, providing binary compatibility for applications and languages that need it. . FFmpeg is a collection of audio and video codecs widely used in projects such as mplayer, xine, GStreamer and VLC. It is under continuous development; so much so that its developers rarely provide a release, and SVN snapshots of the library must be statically linked to avoid version incompatibilities. Package: libavc1394-0 Source: libavc1394 Version: 0.5.4-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 71 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libraw1394-11 Multi-Arch: same Homepage: http://sourceforge.net/projects/libavc1394/ Priority: optional Section: libs Filename: pool/main/liba/libavc1394/libavc1394-0_0.5.4-2_armhf.deb Size: 21784 SHA256: 622425cee3a6ed5ddce31380afd0d52889f566339f7e99933f83f0ec0ab52432 SHA1: 8a3ccb535e9863e80a8d33c9bd396481b1a0d430 MD5sum: d526d34864b6e8214c2a678c1af79708 Description: control IEEE 1394 audio/video devices libavc1394 is a programming interface for the 1394 Trade Association AV/C (Audio/Video Control) Digital Interface Command Set. It allows you to remote control camcorders and similar devices connected to your computer via an IEEE 1394 (aka Firewire) link. . This package includes the libraries needed to run executables using libavc1394. Package: libavc1394-dev Source: libavc1394 Version: 0.5.4-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 80 Depends: libavc1394-0 (= 0.5.4-2) Suggests: pkg-config Multi-Arch: same Homepage: http://sourceforge.net/projects/libavc1394/ Priority: optional Section: libdevel Filename: pool/main/liba/libavc1394/libavc1394-dev_0.5.4-2_armhf.deb Size: 14154 SHA256: 323e4d3dbe5f625831e8dfd0fab49b62b2f0f9a79222cde581457ac2c9e295e1 SHA1: 44ad10efc92af65c32be782da7b1b3adbf87c338 MD5sum: 6e6fe9f238274dee6b0f93bc93979b84 Description: control IEEE 1394 audio/video devices (development files) libavc1394 is a programming interface for the 1394 Trade Association AV/C (Audio/Video Control) Digital Interface Command Set. It allows you to remote control camcorders and similar devices connected to your computer via an IEEE 1394 (aka Firewire) link. . This package includes the libraries and header files needed to compile programs using libavc1394, as well as the command line tool dvcont that acts as a simple remote control, the tool mkrfc2734 that is needed to advertise IP over IEEE 1394 (RFC 2734) capabilities with the Linux 2.4 kernel series, and the utility panelctl, a remote control for set-top boxes. Package: libavc1394-tools Source: libavc1394 Version: 0.5.4-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 82 Depends: libavc1394-0 (>= 0.5.3), libc6 (>= 2.13-28), libraw1394-11 Breaks: libavc1394-dev (<= 0.5.3-2~) Replaces: libavc1394-dev (<= 0.5.3-2~) Homepage: http://sourceforge.net/projects/libavc1394/ Priority: optional Section: utils Filename: pool/main/liba/libavc1394/libavc1394-tools_0.5.4-2_armhf.deb Size: 23642 SHA256: 290ba31be96ed427516b2e43518af67699fd6ea877ef38e7a65361024e2838dd SHA1: b535bfc5b58d1479f413295aeb7e45a0b400bbb4 MD5sum: 5a0483b476d34f115356e0a5291cd62b Description: control IEEE 1394 audio/video devices (utilities) libavc1394 is a programming interface for the 1394 Trade Association AV/C (Audio/Video Control) Digital Interface Command Set. It allows you to remote control camcorders and similar devices connected to your computer via an IEEE 1394 (aka Firewire) link. . This package provides the command line tool dvcont that acts as a simple remote control, the tool mkrfc2734 that is needed to advertise IP over IEEE 1394 (RFC 2734) capabilities with the Linux 2.4 kernel series, and the utility panelctl, a remote control for set-top boxes. Package: libavcodec-dev Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 7302 Depends: libavcodec53 (<= 6:0.8.21-99) | libavcodec-extra-53 (<= 6:0.8.21-99), libavcodec53 (>= 6:0.8.21-0+deb7u1+rpi1) | libavcodec-extra-53 (>= 6:0.8.21-0+deb7u1+rpi1), libavutil-dev (= 6:0.8.21-0+deb7u1+rpi1) Suggests: libdc1394-22-dev, libgsm1-dev, libogg-dev, libraw1394-dev, libschroedinger-dev, libspeex-dev, libtheora-dev (>> 0.0.0.alpha4), libvorbis-dev, libx11-dev, libxext-dev, zlib1g-dev Homepage: http://libav.org/ Priority: optional Section: libdevel Filename: pool/main/liba/libav/libavcodec-dev_0.8.21-0+deb7u1+rpi1_armhf.deb Size: 2551256 SHA256: 437b4bad8e5b91b73b26308de9513533dcb295f9afb5457cdce444b805c2913c SHA1: c11854cd0825f96e6347440028384e8820b284c1 MD5sum: 3c82e44d052a45ab5e5b808c0b91ebdb Description: Development files for libavcodec Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This is the codec library from Libav. It supports most existing encoding formats (MPEG, DivX, MPEG4, AC3, DV...). . This package contains the header files and static libraries needed to compile applications or shared objects that use libavcodec. Package: libavcodec-extra-53 Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 5968 Pre-Depends: multiarch-support Depends: libavutil51 (>= 6:0.8.3-1~), libc6 (>= 2.13-28), libdirac-encoder0, libgcc1 (>= 1:4.4.0), libgsm1 (>= 1.0.13), libmp3lame0, libopencore-amrnb0, libopencore-amrwb0, libopenjpeg2, libschroedinger-1.0-0 (>= 1.0.0), libspeex1 (>= 1.2~beta3-1), libtheora0 (>= 1.0), libva1 (>> 1.0.15~), libvo-aacenc0, libvo-amrwbenc0, libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvpx1 (>= 1.0.0), libx264-123, libxvidcore4 (>= 1.2.2), zlib1g (>= 1:1.2.0) Breaks: libavcodec53, mplayer (<< 2:1.0~rc4.dfsg1+svn34540-1~) Replaces: libavcodec53 Multi-Arch: same Homepage: http://libav.org/ Priority: optional Section: libs Filename: pool/main/liba/libav/libavcodec-extra-53_0.8.21-0+deb7u1+rpi1_armhf.deb Size: 2310316 SHA256: bce0b791c536dce33f42c913a802879ba28d2fe53becc68f4d0bdb0f45346345 SHA1: 9277328e41f2cd36068da9a862a9e2a6ba518cbc MD5sum: f3aa13d00aab26922150200cd4ecbe61 Description: Libav codec library (additional codecs) Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This is the codec library from Libav (both encoding and decoding). . This package is a replacement for the regular libavcodec53 library package; it contains the following additional codecs: . * OpenCORE Adaptive Multi-Rate (AMR) Narrow-Band (Encoder/Decoder) * OpenCORE Adaptive Multi-Rate (AMR) Wide-Band (Decoder) * Android VisualOn AAC (Encoder) * Android VisualOn Adaptive Multi-Rate (AMR) Wide-Band (Encoder) . Because this package links against libraries that are licensed under Apache License 2.0, the resulting binaries are distributed under the GPL version 3 or later. Package: libavcodec53 Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 5967 Pre-Depends: multiarch-support Depends: libavutil51 (>= 6:0.8.3-1~), libc6 (>= 2.13-28), libdirac-encoder0, libgcc1 (>= 1:4.4.0), libgsm1 (>= 1.0.13), libmp3lame0, libopenjpeg2, libschroedinger-1.0-0 (>= 1.0.0), libspeex1 (>= 1.2~beta3-1), libtheora0 (>= 1.0), libva1 (>> 1.0.15~), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvpx1 (>= 1.0.0), libx264-123, libxvidcore4 (>= 1.2.2), zlib1g (>= 1:1.2.0) Breaks: libavcodec-extra-53, mplayer (<< 2:1.0~rc4.dfsg1+svn34540-1~) Multi-Arch: same Homepage: http://libav.org/ Priority: optional Section: libs Filename: pool/main/liba/libav/libavcodec53_0.8.21-0+deb7u1+rpi1_armhf.deb Size: 2307762 SHA256: 95601719a72b658f37fd1541f34815383fd26937ede11399c87c809f3cb3dced SHA1: 08e5aa424b8a26b9dce024e5d7e5446297d233e7 MD5sum: 7e0b42d7c652342e123174f3e0740def Description: Libav codec library Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This is the codec library from Libav (both encoding and decoding). . It supports most existing codecs (MPEG, MPEG2, MPEG4, AC3, DV...). Package: libavdevice-dev Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 180 Depends: libavdevice53 (= 6:0.8.21-0+deb7u1+rpi1), libavformat-dev (= 6:0.8.21-0+deb7u1+rpi1) Homepage: http://libav.org/ Priority: optional Section: libdevel Filename: pool/main/liba/libav/libavdevice-dev_0.8.21-0+deb7u1+rpi1_armhf.deb Size: 80232 SHA256: a049de84fad89c5e95c503107164c4aa585e3b3cea2101c6a62ab740f2db4e04 SHA1: c06cf8a8accb27f052a7fa266f0ff3bb43f0125d MD5sum: 11e754daa65b1e90fded35e045869139 Description: Development files for libavdevice Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This is the device handling library from Libav. . This package contains the header files and static libraries needed to compile applications or shared objects that use libavdevice. Package: libavdevice-extra-53 Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: all Maintainer: Debian Multimedia Maintainers Installed-Size: 80 Depends: libavdevice53 Homepage: http://libav.org/ Priority: extra Section: oldlibs Filename: pool/main/liba/libav/libavdevice-extra-53_0.8.21-0+deb7u1+rpi1_all.deb Size: 56646 SHA256: d74202f2250ad991f988cf67148dc11d3555fb31316672f5d3556bae76536114 SHA1: 49a8f508fa1c440f2eaedd55b88c87fb102bd01b MD5sum: 683f693ce54cdbc691352f2e025ffca1 Description: Libav device handling library (transitional package) Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This package is only used for transitional purposes and can be safely removed when no other packages depend on this package. Package: libavdevice53 Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 160 Pre-Depends: multiarch-support Depends: libasound2 (>= 1.0.16), libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.21), libavformat53 (>= 6:0.8.3-1~), libavutil51 (>= 6:0.8.3-1~), libc6 (>= 2.13-28), libcdio-cdda1 (>= 0.83), libcdio-paranoia1 (>= 0.83), libdc1394-22, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpulse0 (>= 0.99.1), libx11-6 (>= 2:1.4.99.1), libxext6, libxfixes3 Breaks: libavdevice-extra-53 (<< 5:0.8.1-2) Replaces: libavdevice-extra-53 Provides: libavdevice-extra-53 Multi-Arch: same Homepage: http://libav.org/ Priority: optional Section: libs Filename: pool/main/liba/libav/libavdevice53_0.8.21-0+deb7u1+rpi1_armhf.deb Size: 79584 SHA256: 25b986d4d4a5d95ba5e5c0ddff818117c0eb9b8f04d320d32e92afac50430f14 SHA1: 8ffd05a6b6d2b16216b25b1c43746ae31bc16d90 MD5sum: 8b25d496d62a59800babb84a58693da9 Description: Libav device handling library Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This is the device handling library from Libav. Package: libavfilter-dev Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 390 Depends: libavcodec-dev (= 6:0.8.21-0+deb7u1+rpi1), libavfilter2 (= 6:0.8.21-0+deb7u1+rpi1) Homepage: http://libav.org/ Priority: optional Section: libdevel Filename: pool/main/liba/libav/libavfilter-dev_0.8.21-0+deb7u1+rpi1_armhf.deb Size: 134092 SHA256: e8d9a0cc6b74cedadbc568e0d11c6de86fe0cf532585c89d42e8120f3418b40f SHA1: 2b3ff65fcde1f9a53ae5184cc3fbfcf1b52f2526 MD5sum: 7fc24c6bc6a7ac60187f346ba077ca55 Description: Development files for libavfilter Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This is the video filtering library from Libav. . This package contains the header files and static libraries needed to compile applications or shared objects that use libavfilter. Package: libavfilter-extra-2 Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: all Maintainer: Debian Multimedia Maintainers Installed-Size: 80 Depends: libavfilter2 Homepage: http://libav.org/ Priority: extra Section: oldlibs Filename: pool/main/liba/libav/libavfilter-extra-2_0.8.21-0+deb7u1+rpi1_all.deb Size: 56638 SHA256: bd62da67934bee2063dec7835d5f1b1a6b9b69234b2e6dc77110e758bd66a268 SHA1: 407a5d6e62c11ed49ea37f7923c3417c42f6f240 MD5sum: 6e230b7f0217c8baf667f8e0a46e92b3 Description: Libav filter library (transitional package) Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This package is only used for transitional purposes and can be safely removed when no other packages depend on this package. Package: libavfilter2 Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 254 Pre-Depends: multiarch-support Depends: libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.21), libavformat53 (>= 6:0.8.3-1~), libavutil51 (>= 6:0.8.3-1~), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libopencv-core2.3, libopencv-imgproc2.3, libswscale2 (>= 6:0.8.3-1~) Breaks: libavfilter-extra-2 (<< 5:0.8.1-2) Replaces: libavfilter-extra-2 Provides: libavfilter-extra-2 Multi-Arch: same Homepage: http://libav.org/ Priority: optional Section: libs Filename: pool/main/liba/libav/libavfilter2_0.8.21-0+deb7u1+rpi1_armhf.deb Size: 116278 SHA256: 803463cdc7d978c75747e8258065b09997b6f4f0271fb6138439f0ffa71bc7a0 SHA1: 0891aefec7a970be58f74b964de996add8b15af7 MD5sum: 158a460eefaa9553d6b99ac9819ae166 Description: Libav video filtering library Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This is the video filtering library from Libav. Package: libavformat-dev Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1658 Depends: libavcodec-dev (= 6:0.8.21-0+deb7u1+rpi1), libavformat53 (= 6:0.8.21-0+deb7u1+rpi1), libavutil-dev (= 6:0.8.21-0+deb7u1+rpi1) Homepage: http://libav.org/ Priority: optional Section: libdevel Filename: pool/main/liba/libav/libavformat-dev_0.8.21-0+deb7u1+rpi1_armhf.deb Size: 506202 SHA256: 9c39c63843e8c65ba4301fbedfa902620aa5de50655dec0c28799be0f1504049 SHA1: 4fdacf819b8626316f3b556c08be6aa5d8d3fea2 MD5sum: bec821bb7748afd858b57abf1fc55e1f Description: Development files for libavformat Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This is the demuxer library from Libav. It supports most existing file formats (AVI, MPEG, OGG, Matroska, ASF...). . This package contains the header files and static libraries needed to compile applications or shared objects that use libavformat. Package: libavformat-extra-53 Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: all Maintainer: Debian Multimedia Maintainers Installed-Size: 80 Depends: libavformat53 Homepage: http://libav.org/ Priority: extra Section: oldlibs Filename: pool/main/liba/libav/libavformat-extra-53_0.8.21-0+deb7u1+rpi1_all.deb Size: 56644 SHA256: def655b57a7a888d6dd243ae875c6afd26bacf46c7461bc8e89afbfd5b97cc09 SHA1: e7dea7a8021b2ab437b57f97e46832dc647254ab MD5sum: dcfbc509bb8109eebfa286977b60dc99 Description: Libav video postprocessing library (transitional package) Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This package is only used for transitional purposes and can be safely removed when no other packages depend on this package. Package: libavformat53 Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 977 Pre-Depends: multiarch-support Depends: libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.21), libavutil51 (>= 6:0.8.3-1~), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0), librtmp0 (>= 2.3), zlib1g (>= 1:1.1.4) Breaks: libavformat-extra-53 (<< 5:0.8.1-2) Replaces: libavformat-extra-53 Provides: libavformat-extra-53 Multi-Arch: same Homepage: http://libav.org/ Priority: optional Section: libs Filename: pool/main/liba/libav/libavformat53_0.8.21-0+deb7u1+rpi1_armhf.deb Size: 416006 SHA256: c22bcb08e633e959d966ec26d1fa836ebe67cc692e34241f8d8e6c4acf104bf3 SHA1: 3fef6c4e6ac847a8a884bb344c415c27c09c93e5 MD5sum: a702cfcfb4f4ac16199eda92953d9906 Description: Libav file format library Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This is the library for handling file formats from Libav. . It supports most existing file formats (AVI, MPEG, OGG, Matroska, ASF...). Package: libavifile-0.7-bin Source: avifile Version: 1:0.7.48~20090503.ds-13 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 76 Depends: libavifile-0.7-common, libavifile-0.7c2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libstdc++6 (>= 4.4.0), libx11-6 Conflicts: libavifile-0.7c2 (<< 1:0.7.48~20090503.ds-10) Replaces: libavifile-0.7c2 (<< 1:0.7.48~20090503.ds-10) Homepage: http://avifile.sf.net Priority: optional Section: misc Filename: pool/main/a/avifile/libavifile-0.7-bin_0.7.48~20090503.ds-13_armhf.deb Size: 23638 SHA256: 61227b796471c56f644082e682c41536f44e6f44b483eca080dc8f00f808c140 SHA1: fe4594901bb36d56c71ba225e02cc4ef5245e981 MD5sum: 8422d55f2f8b9e1e118eb3bc14df08e5 Description: toolkit for libavifile Library that allows programs to read and write compressed AVI files (Indeo Video, DivX ;-), etc.) under GNU. (De)Compression is performed with various audio/video plugins (FFMpeg, Vorbis, Win32, ...). Formats like mpeg, mov are partly supported. For more info about usage of Win32, Lame and OpenDivX plugin see README.debian. . This package contains the toolkit for libavifile. Package: libavifile-0.7-common Source: avifile Version: 1:0.7.48~20090503.ds-13 Installed-Size: 184 Maintainer: Ying-Chun Liu (PaulLiu) Architecture: all Replaces: libavifile-0.7c2 (<< 1:0.7.48~20090503.ds-10) Breaks: libavifile-0.7c2 (<< 1:0.7.48~20090503.ds-10) Size: 91346 SHA256: f7d45141ca9ef1f04a280321a9513a699d8d54e1c2e92f7549f7167ef619f47e SHA1: 7e6cc74e8d72c1fb1947d53c4d6e73e0f1059593 MD5sum: 910696a3b2dd414f10f03aead89fdb92 Description: toolkit for libavifile Library that allows programs to read and write compressed AVI files (Indeo Video, DivX ;-), etc.) under GNU. (De)Compression is performed with various audio/video plugins (FFMpeg, Vorbis, Win32, ...). Formats like mpeg, mov are partly supported. For more info about usage of Win32, Lame and OpenDivX plugin see README.debian. . This package contains the common files which libavifile needed. Multi-Arch: foreign Homepage: http://avifile.sf.net Section: misc Priority: optional Filename: pool/main/a/avifile/libavifile-0.7-common_0.7.48~20090503.ds-13_all.deb Package: libavifile-0.7-dev Source: avifile Version: 1:0.7.48~20090503.ds-13 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 221 Depends: libavifile-0.7c2 (= 1:0.7.48~20090503.ds-13), libavifile-0.7-common Breaks: avifile-divx-plugin (<< 1:0.7.48~20090503.ds-5), avifile-mad-plugin (<< 1:0.7.48~20090503.ds-5), avifile-mjpeg-plugin (<< 1:0.7.48~20090503.ds-5), avifile-player (<< 1:0.7.48~20090503.ds-5), avifile-utils (<< 1:0.7.48~20090503.ds-5), avifile-vorbis-plugin (<< 1:0.7.48~20090503.ds-5), avifile-win32-plugin (<< 1:0.7.48~20090503.ds-5), avifile-xvid-plugin (<< 1:0.7.48~20090503.ds-5), libavifile-0.7c2 (<< 1:0.7.48~20090503.ds-10) Replaces: libavifile-0.7c2 (<< 1:0.7.48~20090503.ds-10) Homepage: http://avifile.sf.net Priority: optional Section: libdevel Filename: pool/main/a/avifile/libavifile-0.7-dev_0.7.48~20090503.ds-13_armhf.deb Size: 53606 SHA256: fd42277c261eb5cf18c3205887a1983642bbbfefa9781b9caf35d86ad839acf4 SHA1: dc882a39387e764a5c0577fccc374e26494959a1 MD5sum: eea6c3f0e12882a43a1af873ac3adb7a Description: development header files for libavifile Contains the symlinks, headers and object files needed to compile and link programs which use aviplay library. Package: libavifile-0.7c2 Source: avifile Version: 1:0.7.48~20090503.ds-13 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 660 Pre-Depends: multiarch-support Depends: libavifile-0.7-common, libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.5), libavformat53 (>= 6:0.8.3-1~), libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libogg0 (>= 1.0rc3), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), libvorbis0a (>= 1.1.2), libx11-6, libxext6, libxft2 (>> 2.1.1), libxi6, libxxf86dga1, libxxf86vm1 Breaks: avifile-divx-plugin (<< 1:0.7.48~20090503.ds-5), avifile-mad-plugin (<< 1:0.7.48~20090503.ds-5), avifile-mjpeg-plugin (<< 1:0.7.48~20090503.ds-5), avifile-player (<< 1:0.7.48~20090503.ds-5), avifile-utils (<< 1:0.7.48~20090503.ds-5), avifile-vorbis-plugin (<< 1:0.7.48~20090503.ds-5), avifile-win32-plugin (<< 1:0.7.48~20090503.ds-5), avifile-xvid-plugin (<< 1:0.7.48~20090503.ds-5) Multi-Arch: same Homepage: http://avifile.sf.net Priority: optional Section: libs Filename: pool/main/a/avifile/libavifile-0.7c2_0.7.48~20090503.ds-13_armhf.deb Size: 281144 SHA256: fddcbc5de391157a64b804e23ec2560ea10bd4825330a5da5a0ef37aa07e8fc5 SHA1: 49884eb508544f4f4f60ff518cdedf843d29321f MD5sum: c0caecdeed3f7130f34b8e413709102b Description: shared libraries for AVI read/writing Library that allows programs to read and write compressed AVI files (Indeo Video, DivX ;-), etc.) under GNU. (De)Compression is performed with various audio/video plugins (FFMpeg, Vorbis, Win32, ...). Formats like mpeg, mov are partly supported. For more info about usage of Win32, Lame and OpenDivX plugin see README.debian. Package: libavl-dev Source: libavl Version: 0.3.5-3 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 44 Depends: libavl1 (= 0.3.5-3), libc6-dev Priority: optional Section: libdevel Filename: pool/main/liba/libavl/libavl-dev_0.3.5-3_armhf.deb Size: 5690 SHA256: 5b3faafe2806faca9ad8af2ed72b4636a6a64a9134368bcc6f9458e0a6bbd13d SHA1: e8d4707f2642747121ded87bd7a4ca9d4f9adcd6 MD5sum: 0e3ff79f841cf83c24122496306c3d6b Description: AVL tree manipulation library - development This library consists of a set of functions to manipulate AVL trees. AVL trees are very efficient balanced binary trees, similar to red-black trees. The functions in this library can handle any kind of payload and search key type. . This package contains the header files. Package: libavl1 Source: libavl Version: 0.3.5-3 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 37 Depends: libc6 (>= 2.4) Priority: optional Section: libs Filename: pool/main/liba/libavl/libavl1_0.3.5-3_armhf.deb Size: 5682 SHA256: d271de3120655847dfffc3220a879981d7384a254a81be3783307733e99e1189 SHA1: cb0e7b55d51aa297609cdec57fae0f3c5982df15 MD5sum: 36f620284a78bd4ebc4e4992db0a5815 Description: AVL tree manipulation library - runtime This library consists of a set of functions to manipulate AVL trees. AVL trees are very efficient balanced binary trees, similar to red-black trees. The functions in this library can handle any kind of payload and search key type. . This package contains the actual library. Package: libavogadro-dev Source: avogadro Version: 1.0.3-5 Architecture: armhf Maintainer: Debichem Team Installed-Size: 554 Depends: libavogadro1 (= 1.0.3-5), libeigen2-dev (>= 2.0~beta6), libglew-dev, libopenbabel-dev (>= 2.2.0), libqt4-dev (>= 4.4.0) Homepage: http://avogadro.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/a/avogadro/libavogadro-dev_1.0.3-5_armhf.deb Size: 260032 SHA256: c2ad28d67c60329b1cc7bd78f6489b6d74fc562bc34e6e745c3ce97786eeaa68 SHA1: 1819cc73e82336c91eef76fb47a23adb695cf999 MD5sum: 46592422b9cc736b6010f7730cb18092 Description: Molecular Graphics and Modelling System (development files) Avogadro is a molecular graphics and modelling system targeted at small to medium molecules. It can visualize properties like molecular orbitals or electrostatic potentials and features an intuitive molecular builder. . This package provides the development and header files. Package: libavogadro1 Source: avogadro Version: 1.0.3-5 Architecture: armhf Maintainer: Debichem Team Installed-Size: 3382 Depends: libboost-python1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libopenbabel4, libpython2.7 (>= 2.7), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), libx11-6, libxext6, zlib1g (>= 1:1.1.4) Recommends: avogadro-data (>= 1.0.0-2), python-avogadro Conflicts: libavogadro0 Replaces: libavogadro0 Homepage: http://avogadro.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/a/avogadro/libavogadro1_1.0.3-5_armhf.deb Size: 1485250 SHA256: e123616414e8e38998a8b60632e6164e52172285dda35972524d62ab12edf1d4 SHA1: f3887b6767a3c76ec30e6a67a1a0e419738037a4 MD5sum: 7bec340abad7a38d87c664a1be93f6c4 Description: Molecular Graphics and Modelling System (library) Avogadro is a molecular graphics and modelling system targeted at small to medium molecules. It can visualize properties like molecular orbitals or electrostatic potentials and features an intuitive molecular builder. . This package provides the shared library. Package: libavutil-dev Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 412 Depends: libavutil51 (= 6:0.8.21-0+deb7u1+rpi1) Homepage: http://libav.org/ Priority: optional Section: libdevel Filename: pool/main/liba/libav/libavutil-dev_0.8.21-0+deb7u1+rpi1_armhf.deb Size: 138582 SHA256: 4bcaed73c89e576d094d2d69dc8be6e04aaa9d299dde7eecec8075c5d153bbb0 SHA1: 0a37998cf5d2167d08d48396b265c84c523d6340 MD5sum: 7be5180768d788830c18701935f8a09d Description: Development files for libavutil Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This is the common utility library from Libav. . This package contains the header files and static libraries needed to compile applications or shared objects that use libavutil. Package: libavutil-extra-51 Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: all Maintainer: Debian Multimedia Maintainers Installed-Size: 80 Depends: libavutil51 Homepage: http://libav.org/ Priority: extra Section: oldlibs Filename: pool/main/liba/libav/libavutil-extra-51_0.8.21-0+deb7u1+rpi1_all.deb Size: 56638 SHA256: 3f4d9acb9d90342904d87082d8f1afcb6e1d9b23c9e720ec3d7bef90e9e19d64 SHA1: af441ca8c469c8a10bca0436a1f23a4c39a150f7 MD5sum: 2c356f800e3df23cb5a53753dfd6ca0e Description: Libav utility library (transitional package) Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This package is only used for transitional purposes and can be safely removed when no other packages depend on this package. Package: libavutil51 Source: libav Version: 6:0.8.21-0+deb7u1+rpi1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 207 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Breaks: libavutil-extra-51 (<< 5:0.8.1-2) Replaces: libavutil-extra-51 Provides: libavutil-extra-51 Multi-Arch: same Homepage: http://libav.org/ Priority: optional Section: libs Filename: pool/main/liba/libav/libavutil51_0.8.21-0+deb7u1+rpi1_armhf.deb Size: 103642 SHA256: f9bb2fe68423b043186910c04354f844be3d909fea9e7edc54f6a83d65482fb9 SHA1: d66f1b2bb5b554270d9a86ffeb4b9a7ed35c4ef4 MD5sum: f2f1499e0d7a72ef92b698ffdbe7b53c Description: Libav utility library Libav is a complete, cross-platform solution to decode, encode, record, convert and stream audio and video. . This is the common utility library from Libav. It contains shared code used by all other Libav libraries. Package: libawl-php Source: awl Version: 0.53-1 Installed-Size: 456 Maintainer: Andrew McMillan Architecture: all Depends: debconf (>= 1.0.32), perl Recommends: php5 (>= 5.1) Size: 116202 SHA256: 47d2b631c610e09b3f10b159afbdd1062a3f0893b15d161a22e0b5deff23c777 SHA1: b576af5b6365c62d5f78b100f331a8ad6f48565a MD5sum: b5edd83638ffd4a5cc64decbfe2394ca Description: Andrew's Web Libraries - PHP Utility Libraries This package contains Andrew's Web Libraries. This is a set of hopefully lightweight libraries for handling a variety of useful things for web programming, including: - Session management - User management - DB Records - Simple reporting - DB Schema Updating - iCalendar parsing . There is some documentation in the awl-doc package. Homepage: http://andrew.mcmillan.net.nz/projects/awl Tag: implemented-in::php Section: web Priority: extra Filename: pool/main/a/awl/libawl-php_0.53-1_all.deb Package: libawn-dev Source: avant-window-navigator Version: 0.4.1~bzr830-2 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 283 Depends: libawn1 (= 0.4.1~bzr830-2), libdesktop-agnostic-dev, libgtk2.0-dev, libdbus-glib-1-dev Conflicts: vala-awn Replaces: vala-awn Homepage: https://launchpad.net/avant-window-navigator/ Priority: optional Section: libdevel Filename: pool/main/a/avant-window-navigator/libawn-dev_0.4.1~bzr830-2_armhf.deb Size: 131168 SHA256: 66501e1877c3e139dc2f24142c8d16ead8303ad9a420296471c223bf994a928a SHA1: 1d02c4dfce20fb3ad099869051b053f341dd3dbc MD5sum: 1e6b75788d05c34a2598ba8632e1e3ea Description: library for avant-window-navigator - development files This package provides the include files and static library for the avant-window-navigator library functions. Package: libawn-doc Source: avant-window-navigator Version: 0.4.1~bzr830-2 Installed-Size: 596 Maintainer: Julien Lavergne Architecture: all Depends: libawn1 (>= 0.4.1~bzr830-2) Size: 156886 SHA256: da9d7ecedb30c1b2821ccb1053a5cbd130475fd60f2b79cf18a9acbe9043fb8c SHA1: d6d798d1850906348fb293c9871f635df3945fb8 MD5sum: fc9c35fb6629d35abed64ddfc247cbb7 Description: library for avant-window-navigator - documentation files This package contains documentation for avant-window-navigator and its library libawn to develop applets or the bar. Homepage: https://launchpad.net/avant-window-navigator/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/a/avant-window-navigator/libawn-doc_0.4.1~bzr830-2_all.deb Package: libawn1 Source: avant-window-navigator Version: 0.4.1~bzr830-2 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 387 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdesktop-agnostic0 (>= 0.3.90), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.20.0), libpango1.0-0 (>= 1.18.0) Suggests: metacity (>= 2.21.5) | xcompmgr | compiz | xfwm4 (>= 4.2) | kde-window-manager (>= 4:4.0.0) | mutter Homepage: https://launchpad.net/avant-window-navigator/ Priority: optional Section: libs Filename: pool/main/a/avant-window-navigator/libawn1_0.4.1~bzr830-2_armhf.deb Size: 213652 SHA256: f633bcad848def937cd3cf0890ee146f606df203644fcede9a38163d682ece2e SHA1: 2596be8e23cc8c84cb077bcd339f4b199cb307eb MD5sum: 09cb682c7bd3fd688f5372432444f04f Description: library for avant-window-navigator This library is used by avant-window-navigator and its applets. It provides functions to create the bar and the applets. Package: libawn1-dbg Source: avant-window-navigator Version: 0.4.1~bzr830-2 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 2802 Depends: libawn1 (= 0.4.1~bzr830-2) Conflicts: libawn0-dbg Replaces: libawn0-dbg Homepage: https://launchpad.net/avant-window-navigator/ Priority: extra Section: debug Filename: pool/main/a/avant-window-navigator/libawn1-dbg_0.4.1~bzr830-2_armhf.deb Size: 1169326 SHA256: 1b187b76fa6dc39797d86681ca607195c9224a5d417f0b302705c6b95c0dad0d SHA1: 457b21b7c55257b5957bdda0929dcc8cd3a66b92 MD5sum: 12537f88452b455c016f4b077651f5da Description: library for avant-window-navigator - debug package This library is used by avant-window-navigator and its applets. It provides functions to create the bar and the applets. . This package contains the debugging symbols. Package: libaws-bin Source: libaws Version: 2.10.2-4 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 379 Depends: libasis2010, libaws2.10.2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnat-4.6 (>= 4.6.3-4+rpi2), libgnatvsn4.6 (>= 4.6.3-4+rpi2), libtemplates-parser11.6, libxmlada4.1, zlib1g (>= 1:1.1.4) Priority: optional Section: devel Filename: pool/main/liba/libaws/libaws-bin_2.10.2-4_armhf.deb Size: 168302 SHA256: f3a137b33cd0b40487ab681793e0abdafe4c8a6386b6ccd61acd1337b9efdd05 SHA1: c5003e76498fb9effcef76f5cab8a0451c236375 MD5sum: 7d8243c51fade43e3ce199c7195f0109 Description: Ada Web Server utilities AWS is a complete framework to develop Web based applications. The main part of the framework is the embedded Web server. This small yet powerful Web server can be embedded into your application so your application will be able to talk with a Web browser. Around this Web server a lot of services have been developed. . This package contains utility programs to help develop web applications with AWS: . awsres transforms any text or binary file into an Ada unit which you can compile into your application, thereby making your application completely independent of any external files (think: embedded) . ada2wsdl, an ASIS program, reads Ada unit specifications and creates descriptions in the Web Service Description Language, so that you can advertise your web service application to the world. . wsdl2aws does the opposite job: it creates an Ada unit (spec and skeleton body) conforming to a specified description in WSDL. Package: libaws-dbg Source: libaws Version: 2.10.2-4 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 6665 Depends: libaws2.10.2 (= 2.10.2-4) Recommends: libaws2.10.2-dev (= 2.10.2-4) Suggests: gnat Priority: extra Section: debug Filename: pool/main/liba/libaws/libaws-dbg_2.10.2-4_armhf.deb Size: 2518890 SHA256: a564aed79f9cdcbc817da7672f9634dcd20b7f7fcdcce0a5e59da5a54b502a90 SHA1: ea471f455f313deb709f11b011d4bd1c98272a4e MD5sum: 0596f4fb2a6b8bd9d2543fd6e3cbe7aa Description: Debugging symbols for the Ada Web Server shared library AWS is a complete framework to develop Web based applications. The main part of the framework is the embedded Web server. This small yet powerful Web server can be embedded into your application so your application will be able to talk with a Web browser. Around this Web server a lot of services have been developed. . This is the library containing debugging symbols for the Ada Web Server. Package: libaws-doc Source: libaws Version: 2.10.2-4 Installed-Size: 1732 Maintainer: Ludovic Brenta Architecture: all Depends: dpkg (>= 1.15.4) | install-info Suggests: gnat Size: 511622 SHA256: 661b3b781b022162f37c7381077c19343e2b38e3269d4c3e0dedc53cd4ef9836 SHA1: 7db70e4682d15d9a50988a45cea706e77fb4ff2f MD5sum: 41faecd26bb7f25b35a0198cb81e0a9b Description: Ada Web Server documentation AWS is a complete framework to develop Web based applications. The main part of the framework is the embedded Web server. This small yet powerful Web server can be embedded into your application so your application will be able to talk with a Web browser. Around this Web server a lot of services have been developed. . This package contains the documentation for the Ada Web Server in info, ASCII and HTML formats, as well as demos and source code of reusable web elements. Tag: devel::doc, devel::lang:ada, devel::library, implemented-in::ada, made-of::html, made-of::info, role::documentation, web::scripting Section: doc Priority: optional Filename: pool/main/liba/libaws/libaws-doc_2.10.2-4_all.deb Package: libaws2.10.2 Source: libaws Version: 2.10.2-4 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 3222 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnat-4.6 (>= 4.6.3-4+rpi2), libtemplates-parser11.6, libxmlada4.1, zlib1g (>= 1:1.1.4) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/liba/libaws/libaws2.10.2_2.10.2-4_armhf.deb Size: 1355972 SHA256: a1c6535a3f4dc0efb6ba897d47452ae9e0a30577e0b84e4b7e2ce93829a6f314 SHA1: 3db61d8c8c7523e96e2ab17772e54e1e6d7dd9d5 MD5sum: 82ef084cc6fbbd73731e061e5e2ee678 Description: Ada Web Server shared library AWS is a complete framework to develop Web based applications. The main part of the framework is the embedded Web server. This small yet powerful Web server can be embedded into your application so your application will be able to talk with a Web browser. Around this Web server a lot of services have been developed. . This is the runtime library for the Ada Web Server. Package: libaws2.10.2-dev Source: libaws Version: 2.10.2-4 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 19814 Depends: libaws2.10.2 (= 2.10.2-4), gnat, gnat-4.6, libldap2-dev, libtemplates-parser11.6-dev, libxmlada4.1-dev, zlib1g-dev Recommends: libaws-bin (= 2.10.2-4), libaws-doc (= 2.10.2-4) Suggests: libaws-dbg (= 2.10.2-4), libjs-prototype Priority: optional Section: libdevel Filename: pool/main/liba/libaws/libaws2.10.2-dev_2.10.2-4_armhf.deb Size: 6273936 SHA256: fbb94dc9e732653a7db5c70b80c68f3410c391deb28bd8e2a666bd94b245a2fc SHA1: 8bc2650433990cb17d35fa87c67da7e8260fc95c MD5sum: db3255e20828bbc5ee2ae86de8a90d5b Description: Ada Web Server development files AWS is a complete framework to develop Web based applications. The main part of the framework is the embedded Web server. This small yet powerful Web server can be embedded into your application so your application will be able to talk with a Web browser. Around this Web server a lot of services have been developed. . - A Web parameters module. This module takes care of retrieving the forms or URL parameters and to build an associative table for easy access. - A session server, this is a very important module to be able to keep client's data from page to page. - Support SOAP to develop Web Services. - A tool to generate Web Services stubs/skeletons from a WSDL document. - A template parser, this module makes it possible to completely separate the Web design from the code. No more scripting into your Web page. - Support for Secure Sockets (HTTPS/SSL), this is based on the GNU TLS library. - Support for large servers using dispatchers based on URI, request methods. - Support for virtual hosting (dispatchers based on the host name). - Support for server push. - A directory browser ready to be used in any application. - A status page to get many information about the current AWS server. - A log module. Log files keep information about all resources requested to the server. - Hotplug modules which can be loaded/unloaded dynamically to add specific features to a server. - A communication API to exchange data between applications using the HTTP protocol. - A configuration API to tune/change the server parameters without recompilation. - A client API to retrieve any Web page from a Web site. - A Web Page service to build a simple static page server. - Support for SMTP, LDAP and Jabber protocols. Package: libax25 Version: 0.0.12-rc2+cvs20120204-2 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 88 Depends: libc6 (>= 2.7) Conflicts: ax25-tools (<< 0.0.3-1), ax25-utils Replaces: ax25-utils Priority: optional Section: hamradio Filename: pool/main/liba/libax25/libax25_0.0.12-rc2+cvs20120204-2_armhf.deb Size: 24614 SHA256: da5bbbab8e71c0889e99eef770847b7ba0f17df4a6c881ea04cbc4b314233b4f SHA1: a80b8fd2fa29a5f7bd501a38a5fefbb8a5dcb615 MD5sum: cf8ab9fe8350af63a45c0a0f558d80e9 Description: ax25 library for hamradio applications This library is for ham radio applications that use the ax25 protocol. Included are routines to do ax25 address parsing, common ax25 application config file parsing, etc. . It is recommended to use this library with a recent 2.6 kernel. Package: libax25-dev Source: libax25 Version: 0.0.12-rc2+cvs20120204-2 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 117 Depends: libax25 (= 0.0.12-rc2+cvs20120204-2) Priority: optional Section: hamradio Filename: pool/main/liba/libax25/libax25-dev_0.0.12-rc2+cvs20120204-2_armhf.deb Size: 26814 SHA256: c0da8cde97521aab661d94d0ad21f35645ff677c1aaba24c5308a5496efffc37 SHA1: 4af3bf910539f9af7ff87ade9f6d22095a042fc6 MD5sum: e4e84d6c6485fdcabc6e4c5441b7d793 Description: ax25 library development files This library is used for applications that use the ax25 protocol. Included are routines to do ax25 address parsing, common ax25 application config file parsing, etc. This development library package includes some man pages and include files for compiling applications which use libax25. Package: libaxiom-java Version: 1.2.8-1 Installed-Size: 404 Maintainer: Chris Grzegorczyk Original-Maintainer: Thierry Carrez Architecture: all Depends: default-jre-headless | java2-runtime-headless Size: 355462 SHA256: 619a5a253d1ac9b4088b59a82586921548aca7b423b19fc45fd8bdb9b4b4a27f SHA1: 44755fe81a66867ffca7abc0204a5302f169a3d1 MD5sum: 4d514b56f89ed0ff91785cd193fd027f Description: Apache AXIOM Java library (AXIs Object Model) Apache AXIOM is a StAX-based, XML Infoset compliant object model which supports on-demand building of the object tree. Section: java Priority: optional Filename: pool/main/liba/libaxiom-java/libaxiom-java_1.2.8-1_all.deb Package: libaxis-java Source: axis Version: 1.4-16.2+deb7u1 Installed-Size: 1662 Maintainer: Debian Java Maintainers Architecture: all Depends: libwsdl4j-java, libcommons-logging-java, libcommons-discovery-java, libcommons-httpclient-java, libgnujaf-java, libgnumail-java, libservlet2.5-java Recommends: ant Suggests: libaxis-java-doc Size: 1495834 SHA256: 41060fb74fedb872f882c3751f5f175d1ed0d5c9312762fed29b99d157d570d0 SHA1: b61f866a4af1555f316031856252c6cac72bb70b MD5sum: e5b55ce5c82a6d9c42980fec3abf152c Description: SOAP implementation in Java Apache AXIS is an implementation of the SOAP ("Simple Object Access Protocol") submission to W3C. . From the draft W3C specification: . SOAP is a lightweight protocol for exchange of information in a decentralized, distributed environment. It is an XML based protocol that consists of three parts: an envelope that defines a framework for describing what is in a message and how to process it, a set of encoding rules for expressing instances of application-defined datatypes, and a convention for representing remote procedure calls and responses. . This project is a follow-on to the Apache SOAP project. Homepage: http://ws.apache.org/axis/ Tag: devel::lang:java, implemented-in::java, protocol::soap, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/a/axis/libaxis-java_1.4-16.2+deb7u1_all.deb Package: libaxis-java-doc Source: axis Version: 1.4-16.2+deb7u1 Installed-Size: 30266 Maintainer: Debian Java Maintainers Architecture: all Suggests: libaxis-java Size: 2032638 SHA256: 38915e26b915f55a13b20a585813d0e492100a6839233b2e45b5fab7329615ef SHA1: 963b8b81f4295df9956a5e3d081e824b3836e2c9 MD5sum: 65fd601ff383fdf96b7ec4d45c02b433 Description: SOAP implementation in Java (documentation) Apache AXIS is an implementation of the SOAP ("Simple Object Access Protocol") submission to W3C. . From the draft W3C specification: . SOAP is a lightweight protocol for exchange of information in a decentralized, distributed environment. It is an XML based protocol that consists of three parts: an envelope that defines a framework for describing what is in a message and how to process it, a set of encoding rules for expressing instances of application-defined datatypes, and a convention for representing remote procedure calls and responses. . This project is a follow-on to the Apache SOAP project. . This package contains the Javadoc API documentation. Homepage: http://ws.apache.org/axis/ Tag: devel::doc, devel::lang:java, made-of::html, protocol::soap, role::documentation Section: doc Priority: optional Filename: pool/main/a/axis/libaxis-java-doc_1.4-16.2+deb7u1_all.deb Package: libaxis2c-bin Source: axis2c Version: 1.6.0-5.1 Architecture: armhf Maintainer: Brian Thomason Installed-Size: 248 Depends: libaxis2c0, libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Homepage: http://ws.apache.org/axis2/c/ Priority: optional Section: libs Filename: pool/main/a/axis2c/libaxis2c-bin_1.6.0-5.1_armhf.deb Size: 83736 SHA256: 972da481cd54d1bd567438a0db9d3451040f1ae39273b98754989871b8cf426d SHA1: bb686d23ffb7f95f8c026624bbbec2801345ec83 MD5sum: f41780107876cb4fd5cf9829f5cfbcf6 Description: Apache web services engine - Misc. binaries Apache Axis2/C is a Web services engine implemented in the C programming language. It is based on the extensible and flexible Axis2 architecture. Apache Axis2/C can be used to provide and consume WebServices. It has been implemented with portability and ability to embed in mind, hence could be used as a Web services enabler in other software. . Apache Axis2/C supports SOAP 1.1 and SOAP 1.2, as well as REST style of Webservices. A single service could be exposed both as a SOAP style as well as a REST style service simultaneously. It also has built in MTOM support, that can be used to exchange binary data. . Apache Axis2/C is efficient, modular and is designed with extensibility. The extensible design allows it to support the full WS-* stack with the concept of modules. Apache Axis2/C is the Web services engine that supports the most number of WS-* specification implementations in C, with guaranteed interoperability. This enables using C in Service Oriented Architecture (SOA) implementations, and would be very useful when integrating legacy systems into SOA. Package: libaxis2c-dev Source: axis2c Version: 1.6.0-5.1 Architecture: armhf Maintainer: Brian Thomason Installed-Size: 1623 Depends: libaxis2c0 (= 1.6.0-5.1), libapr1-dev Homepage: http://ws.apache.org/axis2/c/ Priority: optional Section: libdevel Filename: pool/main/a/axis2c/libaxis2c-dev_1.6.0-5.1_armhf.deb Size: 220688 SHA256: 144b6495d6718c7325d82f3fc93e5c898166fb41de9f878b2153f55730b9e612 SHA1: ba66a94035475c6794e21ff54ba676ed265dd8b0 MD5sum: 14d475ca885c0dee65df0f33971a79dc Description: Apache web services engine - Development Apache Axis2/C is a Web services engine implemented in the C programming language. It is based on the extensible and flexible Axis2 architecture. Apache Axis2/C can be used to provide and consume WebServices. It has been implemented with portability and ability to embed in mind, hence could be used as a Web services enabler in other software. . Apache Axis2/C supports SOAP 1.1 and SOAP 1.2, as well as REST style of Webservices. A single service could be exposed both as a SOAP style as well as a REST style service simultaneously. It also has built in MTOM support, that can be used to exchange binary data. . Apache Axis2/C is efficient, modular and is designed with extensibility. The extensible design allows it to support the full WS-* stack with the concept of modules. Apache Axis2/C is the Web services engine that supports the most number of WS-* specification implementations in C, with guaranteed interoperability. This enables using C in Service Oriented Architecture (SOA) implementations, and would be very useful when integrating legacy systems into SOA. Package: libaxis2c-doc Source: axis2c Version: 1.6.0-5.1 Installed-Size: 12052 Maintainer: Brian Thomason Architecture: all Size: 1937114 SHA256: 73683484844f42a29fa9697e2c9716c3b88c7465e0cb25a898cbb8e49508cdf1 SHA1: 3ba1d765722b3d16007b7e29b12186e0acf00202 MD5sum: a64b6ef2be73c54060aa4e306aa12d87 Description: Apache web services engine - Documentation Apache Axis2/C is a Web services engine implemented in the C programming language. It is based on the extensible and flexible Axis2 architecture. Apache Axis2/C can be used to provide and consume WebServices. It has been implemented with portability and ability to embed in mind, hence could be used as a Web services enabler in other software. . Apache Axis2/C supports SOAP 1.1 and SOAP 1.2, as well as REST style of Webservices. A single service could be exposed both as a SOAP style as well as a REST style service simultaneously. It also has built in MTOM support, that can be used to exchange binary data. . Apache Axis2/C is efficient, modular and is designed with extensibility. The extensible design allows it to support the full WS-* stack with the concept of modules. Apache Axis2/C is the Web services engine that supports the most number of WS-* specification implementations in C, with guaranteed interoperability. This enables using C in Service Oriented Architecture (SOA) implementations, and would be very useful when integrating legacy systems into SOA. Homepage: http://ws.apache.org/axis2/c/ Tag: devel::doc, made-of::html, role::documentation, suite::apache Section: doc Priority: optional Filename: pool/main/a/axis2c/libaxis2c-doc_1.6.0-5.1_all.deb Package: libaxis2c0 Source: axis2c Version: 1.6.0-5.1 Architecture: armhf Maintainer: Brian Thomason Installed-Size: 1175 Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4) Homepage: http://ws.apache.org/axis2/c/ Priority: optional Section: libs Filename: pool/main/a/axis2c/libaxis2c0_1.6.0-5.1_armhf.deb Size: 432310 SHA256: 5cc8397a335e198c8a9d987ae76614ca8cb4142eb2e060545dd6a667a9d988f4 SHA1: 7aa2c045bfc73e7823142ba084749e191775cfd4 MD5sum: 6094f48c20dd9c53fe8405ee75705df3 Description: Apache web services engine - Runtime Apache Axis2/C is a Web services engine implemented in the C programming language. It is based on the extensible and flexible Axis2 architecture. Apache Axis2/C can be used to provide and consume WebServices. It has been implemented with portability and ability to embed in mind, hence could be used as a Web services enabler in other software. . Apache Axis2/C supports SOAP 1.1 and SOAP 1.2, as well as REST style of Webservices. A single service could be exposed both as a SOAP style as well as a REST style service simultaneously. It also has built in MTOM support, that can be used to exchange binary data. . Apache Axis2/C is efficient, modular and is designed with extensibility. The extensible design allows it to support the full WS-* stack with the concept of modules. Apache Axis2/C is the Web services engine that supports the most number of WS-* specification implementations in C, with guaranteed interoperability. This enables using C in Service Oriented Architecture (SOA) implementations, and would be very useful when integrating legacy systems into SOA. Package: libb-hooks-endofscope-perl Version: 0.11-1 Installed-Size: 52 Maintainer: Debian Perl Group Architecture: all Depends: perl, libvariable-magic-perl (>= 0.48), libsub-exporter-perl Size: 7322 SHA256: cbc90279c305567dc2a03a38470a8ffe2750a11dc01b81d127c0a92a72e8a3ba SHA1: 493859d33e9ceaec3d237a17018ec3a86eb35c51 MD5sum: b0620833ccf06fcb22a79655bc811734 Description: module for executing code after a scope finished compilation B::Hooks::EndOfScope allows you to execute code when perl finished compiling the surrounding scope. It exports a single function, 'on_scope_end $codeblock', which can be used e.g. for introspection in the constructor of your class. Homepage: http://search.cpan.org/dist/B-Hooks-EndOfScope/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libb-hooks-endofscope-perl/libb-hooks-endofscope-perl_0.11-1_all.deb Package: libb-hooks-op-annotation-perl Version: 0.44-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 102 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libextutils-depends-perl (>= 0.304) Homepage: http://search.cpan.org/dist/B-Hooks-OP-Annotation/ Priority: optional Section: perl Filename: pool/main/libb/libb-hooks-op-annotation-perl/libb-hooks-op-annotation-perl_0.44-1_armhf.deb Size: 15018 SHA256: 4e47b8dbc1bfd89715bdd669cd2410e4797cecfaa4026ac5ea858ce0192f49af SHA1: 52dd28833fba38bec93f4e1b0036e2bf465d28b2 MD5sum: 4363257b28d5b8afeb4ff965fe7df573 Description: module to allow annotation and delegation of hooked OPs B::Hooks::OP::Annotation provides a way for XS code that hijacks OP op_ppaddr functions to delegate to (or restore) the previous functions, whether they are assigned by perl or by another module. Typically this should be used in conjunction with B::Hooks::OP::Check (see libb-hooks-op-check-perl). . B::Hooks::OP::Annotation makes its types and functions available to XS code by means of ExtUtils::Depends (libextutils-depends-perl). Modules that wish to use these exports in their XS code should use B::OP::Hooks::Annotation in the Perl module that loads the XS. Package: libb-hooks-op-check-entersubforcv-perl Version: 0.09-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 109 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libb-hooks-op-check-perl (>= 0.19), libb-utils-perl (>= 0.19) Homepage: http://search.cpan.org/dist/B-Hooks-OP-Check-EntersubForCV/ Priority: optional Section: perl Filename: pool/main/libb/libb-hooks-op-check-entersubforcv-perl/libb-hooks-op-check-entersubforcv-perl_0.09-1_armhf.deb Size: 11660 SHA256: c4210265820ebcf49e373a7c1d83b6df2059273fc0e1b4a85996287329a0cde6 SHA1: fbc9988f46d94e21003ee166730627ce9f9176c8 MD5sum: 02cc88d1fea4586be2eefc6b8f144d2f Description: Invoke callbacks on construction of entersub OPs for certain CVs B::Hooks::OP::Check::EntersubForCV is a perl module to register and unregister handlers to be executed when an entersub opcode for a given CV is compiled. Package: libb-hooks-op-check-perl Version: 0.19-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 95 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/B-Hooks-OP-Check/ Priority: optional Section: perl Filename: pool/main/libb/libb-hooks-op-check-perl/libb-hooks-op-check-perl_0.19-1_armhf.deb Size: 11042 SHA256: ebaec7f5a29a938df56241bda62634454dd7b3632feb57b4c1aa8b8d90ca2c85 SHA1: fed17a0745e46acf55ee7654117da49f89a5557a MD5sum: 07bcd5311cf3ef182060fcca23a0856b Description: Perl wrapper for OP check callbacks B::Hooks::OP::Check provides an interface for XS modules to hook into the callbacks of PL_check, which is part of perl's core. It allows a C function to be invoked as the last stage of optree compilation, depending on the given op code number (op_type). Package: libb-hooks-op-ppaddr-perl Version: 0.03-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 96 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/B-Hooks-OP-PPAddr/ Priority: optional Section: perl Filename: pool/main/libb/libb-hooks-op-ppaddr-perl/libb-hooks-op-ppaddr-perl_0.03-1_armhf.deb Size: 10618 SHA256: 081cdb97e102816b603849d821910dc343e9e71e13928d07295c798451e237fc SHA1: 1193819d10a2065833843d337ac148ed3f7b2cd3 MD5sum: 51f6bf219d195863ce6e8725345e7128 Description: C API to hook into Perl opcode execution B::Hooks::OP::PPAddr provides a C API for XS modules to hook into the execution of Perl opcodes. Package: libb-hooks-parser-perl Version: 0.11-1+rpi1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 116 Depends: perl (>= 5.14.2-12+rpi1), perlapi-5.14.2, libc6 (>= 2.13-28), libb-hooks-op-check-perl, libparent-perl Homepage: http://search.cpan.org/dist/B-Hooks-Parser/ Priority: optional Section: perl Filename: pool/main/libb/libb-hooks-parser-perl/libb-hooks-parser-perl_0.11-1+rpi1_armhf.deb Size: 24232 SHA256: 2d3c332a365119ac2db440d0ea4e4642a154a4e1884a7f34fc5a789259666258 SHA1: aa8753057c426aae1e750c73926ef06e00ad8fdc MD5sum: 26d6626e22f8d443710447ef5c7d5581 Description: module providing an interface to Perl's parser variables B::Hooks::Parser provides an API for parts of the perl parser. It can be used to modify the code during parsing, providing functions to get and set the line currently worked on, the position on that line and to inject Perl code at the current parsing position. Package: libb-keywords-perl Version: 1.12-1 Installed-Size: 55 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 10762 SHA256: 1cef07edcdfeef627ba636fc139a11d3978c45da26dd185ebcb4ac3daf2eb7b2 SHA1: a1595c7d9673854c3ce3c3687fd9d27fca9aa3dd MD5sum: d5248bce249c98d48414f54e4e4b7e35 Description: lists of internal perl keywords The B::Keywords perl module provides lists of internal keywords of the perl programming language. It can be used as an adjunct to the B perl module by programs that parse and analyze perl code. Homepage: http://search.cpan.org/dist/B-Keywords/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libb-keywords-perl/libb-keywords-perl_1.12-1_all.deb Package: libb-perlreq-perl Version: 0.80-1 Installed-Size: 82 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 25194 SHA256: 30bd54246162b5718b0de58f0a799ac9557f65f807bc21f726804436d66fc521 SHA1: 5619eace2e0c18e629b1c996086f787f7f0ea88f MD5sum: cb873cb82e317a29804650c9f483ede8 Description: Perl module to determine Perl module dependencies B::PerlReq is a backend module for the Perl compiler that determines the dependencies of Perl source code, based on the internal structure that Perl creates itself after parsing a program source file. The output of this module is suitable for automatic dependency detection, tracking and organization, particularly for building and maintaining binary packages. Homepage: http://search.cpan.org/dist/rpm-build-perl/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libb-perlreq-perl/libb-perlreq-perl_0.80-1_all.deb Package: libb-utils-perl Version: 0.21-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 124 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libtask-weaken-perl Homepage: http://search.cpan.org/dist/B-Utils/ Priority: optional Section: perl Filename: pool/main/libb/libb-utils-perl/libb-utils-perl_0.21-1_armhf.deb Size: 33274 SHA256: e7794d9a481ae579998e75584528339665460f31206d7bcd981ddc6d6ae8038c SHA1: a68f06a02e32874df4f5475520d0b7420d8bb159 MD5sum: d2a104b6a45bed593702ecc6fa6094c4 Description: Perl module assisting with op tree manipulation B::Utils contains a variety of functions which make it easier to manipulate perl's op tree. It provides many commonly used features like stringification of operations, determining ancestors and predecessors, etc. It also provides several ways to walk the entire op tree. Package: libbabl-0.1-0 Source: babl Version: 0.1.10-1 Architecture: armhf Maintainer: Matteo F. Vescovi Installed-Size: 270 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://gegl.org/babl/ Priority: optional Section: libs Filename: pool/main/b/babl/libbabl-0.1-0_0.1.10-1_armhf.deb Size: 106698 SHA256: 67705dcc03c0c3e3ecce0ded0d527a9839a6ba5ab7372a8d14dbc3502887714b SHA1: ca215a5c407f1d34e4a306c26558d9d65d702a3b MD5sum: cc4d1cd0d9049af1fbca5c8a380644bc Description: Dynamic, any to any, pixel format conversion library Babl is a dynamic, any to any, pixel format conversion library. It provides conversions between the myriad of buffer types images can be stored in. Babl doesn't only help with existing pixel formats, but also facilitates creation of new and uncommon ones. Package: libbabl-0.1-0-dbg Source: babl Version: 0.1.10-1 Architecture: armhf Maintainer: Matteo F. Vescovi Installed-Size: 535 Depends: libbabl-0.1-0 (= 0.1.10-1) Multi-Arch: same Homepage: http://gegl.org/babl/ Priority: extra Section: debug Filename: pool/main/b/babl/libbabl-0.1-0-dbg_0.1.10-1_armhf.deb Size: 191058 SHA256: e958c74e8a73bb65eea2e5eff466946a0da437482df937e80523b5049b94b35a SHA1: 379dd237cc16bf121971d3a0f47dc2defc68e363 MD5sum: 3410970712483da6323e09ffef5a4f25 Description: Dynamic, any to any, pixel format conversion library (debugging symbols) Babl is a dynamic, any to any, pixel format conversion library. It provides conversions between the myriad of buffer types images can be stored in. Babl doesn't only help with existing pixel formats, but also facilitates creation of new and uncommon ones. . This package contains the debugging symbols. Package: libbabl-dev Source: babl Version: 0.1.10-1 Architecture: armhf Maintainer: Matteo F. Vescovi Installed-Size: 92 Depends: libbabl-0.1-0 (= 0.1.10-1) Breaks: libbabl-0.0-0-dev Replaces: libbabl-0.0-0-dev Provides: libbabl-0.0-0-dev Multi-Arch: same Homepage: http://gegl.org/babl/ Priority: optional Section: libdevel Filename: pool/main/b/babl/libbabl-dev_0.1.10-1_armhf.deb Size: 35494 SHA256: 9f8a8d77b5359ecfca6fcb681bb81d20e35045ce1574c6dad2c7663db8a8daf6 SHA1: da33a0524d8361454769ab0e088bdf7db3591f36 MD5sum: 95bea5629eef3f408d68524026bbb804 Description: Dynamic, any to any, pixel format conversion library (development files) Babl is a dynamic, any to any, pixel format conversion library. It provides conversions between the myriad of buffer types images can be stored in. Babl doesn't only help with existing pixel formats, but also facilitates creation of new and uncommon ones. . This package contains the development files. Package: libbabl-doc Source: babl Version: 0.1.10-1 Installed-Size: 1924 Maintainer: Matteo F. Vescovi Architecture: all Replaces: libbabl-0.0-doc Breaks: libbabl-0.0-doc Size: 151774 SHA256: 52a2af93bfe5460a29e45aff98a62f39dd800fe375c26f97109942b8a7593bda SHA1: f6ca9964cc66c54e83b6b4b8b2b0012a447325ad MD5sum: 7eb1b7a1d16fa7a6c2bc59750a831eb6 Description: Dynamic, any to any, pixel format conversion library (documentation) Babl is a dynamic, any to any, pixel format conversion library. It provides conversions between the myriad of buffer types images can be stored in. Babl doesn't only help with existing pixel formats, but also facilitates creation of new and uncommon ones. . This package contains the API documentation. Multi-Arch: foreign Homepage: http://gegl.org/babl/ Section: doc Priority: optional Filename: pool/main/b/babl/libbabl-doc_0.1.10-1_all.deb Package: libbackgroundrb-ruby Version: 1.1-1 Installed-Size: 116 Maintainer: Ryan Niebur Architecture: all Depends: libbackgroundrb-ruby1.8 Size: 8020 SHA256: cd97034ae200d7a10246d85667eeebe2ec36904a62327834437d6fcd7a44dd23 SHA1: 396b3e59bbc80071c8f3f7547ef3a2b30f34f257 MD5sum: 8abd7641b8aaa7fe626f7170fad7aec3 Description: job server and scheduler for moving long-running tasks into the background BackgrounDRb is a Ruby job server and scheduler. Its main intent is to be used with Ruby on Rails applications for offloading long-running tasks. . Since a Rails application blocks while serving a request it is best to move long-running tasks off into a background process that is divorced from http request/response cycle. . This package is part of the Ruby library extras, a supplement to Ruby's standard library. . This is a dummy package depending on the library for the current default version of Ruby. Homepage: http://backgroundrb.rubyforge.org/ Section: ruby Priority: optional Filename: pool/main/libb/libbackgroundrb-ruby/libbackgroundrb-ruby_1.1-1_all.deb Package: libbackgroundrb-ruby1.8 Source: libbackgroundrb-ruby Version: 1.1-1 Installed-Size: 1176 Maintainer: Ryan Niebur Architecture: all Depends: ruby, libpacket-ruby1.8, libchronic-ruby Size: 555722 SHA256: db0105c896e2bb53b944fabaa1b6fd2df272dbcd2ad1cd7c5a84e68f43904394 SHA1: 756315d2e24a6a787c233c09344751ff6ce7f4f4 MD5sum: 5a197cdb2621a5b8952bdaa7672925bd Description: job server and scheduler for moving long-running tasks into the background BackgrounDRb is a Ruby job server and scheduler. Its main intent is to be used with Ruby on Rails applications for offloading long-running tasks. . Since a Rails application blocks while serving a request it is best to move long-running tasks off into a background process that is divorced from http request/response cycle. . This package is part of the Ruby library extras, a supplement to Ruby's standard library. Homepage: http://backgroundrb.rubyforge.org/ Section: ruby Priority: optional Filename: pool/main/libb/libbackgroundrb-ruby/libbackgroundrb-ruby1.8_1.1-1_all.deb Package: libbackport-util-concurrent-java Source: backport-util-concurrent Version: 3.1-3 Installed-Size: 400 Maintainer: Debian Java Maintainers Architecture: all Suggests: libbackport-util-concurrent-java-doc Size: 293930 SHA256: 2b90b088477c5896ccaa65bf56b2424301086872eb5dd79bcd92dd61348f4aae SHA1: cf2f4426ff4f03aaf19718599f022d4eef30b488 MD5sum: 30e50f726fdaf324a39a9a2fc70168a4 Description: backport of java.util.concurrent to Java 1.4 This is a backport of the java.util.concurrent API, introduced in Java 5.0, to Java 1.4. Homepage: http://backport-jsr166.sourceforge.net/ Tag: devel::lang:java Section: java Priority: optional Filename: pool/main/b/backport-util-concurrent/libbackport-util-concurrent-java_3.1-3_all.deb Package: libbackport-util-concurrent-java-doc Source: backport-util-concurrent Version: 3.1-3 Installed-Size: 4132 Maintainer: Debian Java Maintainers Architecture: all Suggests: libbackport-util-concurrent-java, default-jdk-doc Size: 362070 SHA256: 69ce8f75cb91bcfdf284a8e5d2cf75724fee410f7fcb29b79cca8144f7f5e3a9 SHA1: 2b0f368486ac47f07071c00676d4d28b9d34b219 MD5sum: 965c1ffa1b6c37a23bd4f0d8d7609517 Description: backport of java.util.concurrent to Java 1.4 This is a backport of the java.util.concurrent API, introduced in Java 5.0, to Java 1.4. . This package contains the API documentation for libbackport-util-concurrent-java. Homepage: http://backport-jsr166.sourceforge.net/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/b/backport-util-concurrent/libbackport-util-concurrent-java-doc_3.1-3_all.deb Package: libball1.4 Source: ball Version: 1.4.1+20111206-4 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 18723 Depends: libboost-date-time1.49.0 (>= 1.49.0-1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgsl0ldbl (>= 1.9), libpython2.7 (>= 2.7), libqt4-network (>= 4:4.6.1), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libstdc++6 (>= 4.6), libball1.4-data Homepage: http://www.ballview.org Priority: optional Section: science Filename: pool/main/b/ball/libball1.4_1.4.1+20111206-4_armhf.deb Size: 4789866 SHA256: 6feef20bfa726c5e7e74c3d240e6ab59aa183d1e4d1d2eff3291072a92790213 SHA1: 64a22ec0f0a331a685173c7ccd6fd7b49df4a427 MD5sum: dd9e591bc9d064b370012d3bf27a1d22 Description: Biochemical Algorithms Library BALL (Biochemical Algorithms Library) is an application framework in C++ that has been specifically designed for rapid software development in Molecular Modeling and Computational Molecular Biology. It provides an extensive set of data structures as well as classes for Molecular Mechanics, advanced solvation methods, comparison and analysis of protein structures, file import/export, and visualization. BALL is currently being developed in the groups of Oliver Kohlbacher (University of Tuebingen, Germany), Andreas Hildebrandt (Saarland University, Saarbruecken, Germany), and Hans-Peter Lenhof (Saarland University, Saarbruecken, Germany). . This package contains the view - independent parts of BALL (libBALL, data directories et al., except for libVIEW) and can be installed on machines without X11. Package: libball1.4-data Source: ball Version: 1.4.1+20111206-4 Installed-Size: 106165 Maintainer: Debian Med Packaging Team Architecture: all Size: 15062204 SHA256: f1ef108229dc33c0ccb96656f1bb4444102030f3414bc02426d34ddedc37b50f SHA1: 446ec629cc3b04a4911ea56c63f9201ee30afb78 MD5sum: 13c16c138079ac6dd69cbe6170d421c2 Description: Biochemical Algorithms Library BALL (Biochemical Algorithms Library) is an application framework in C++ that has been specifically designed for rapid software development in Molecular Modeling and Computational Molecular Biology. It provides an extensive set of data structures as well as classes for Molecular Mechanics, advanced solvation methods, comparison and analysis of protein structures, file import/export, and visualization. BALL is currently being developed in the groups of Oliver Kohlbacher (University of Tuebingen, Germany), Andreas Hildebrandt (Saarland University, Saarbruecken, Germany), and Hans-Peter Lenhof (Saarland University, Saarbruecken, Germany). . This package contains the data files (force field parameters, fragment data bases, etc) necessary for using BALL. Homepage: http://www.ballview.org Tag: role::app-data Section: science Priority: optional Filename: pool/main/b/ball/libball1.4-data_1.4.1+20111206-4_all.deb Package: libball1.4-dev Source: ball Version: 1.4.1+20111206-4 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 3679 Depends: libball1.4 (= 1.4.1+20111206-4) Suggests: libball1.4-doc Conflicts: libball1.3-dev Homepage: http://www.ballview.org Priority: optional Section: libdevel Filename: pool/main/b/ball/libball1.4-dev_1.4.1+20111206-4_armhf.deb Size: 714766 SHA256: 09f0fea966524cf8667fc7199a6e131b907f3ff01f5632657cbeccca2e6f8c1c SHA1: d0bdd1a090cebb88a48d1daccd126d2bc2c68d25 MD5sum: 27ca8e09d1f4331f0e0cee92ac668c46 Description: Header files for the Biochemical Algorithms Library This package comprises the header files allowing to create one's own applications with the BALL library. Package: libball1.4-doc Source: ball Version: 1.4.1+20111206-4 Installed-Size: 137164 Maintainer: Debian Med Packaging Team Architecture: all Depends: libball1.4 Conflicts: libball1.3-doc Size: 16569506 SHA256: ecb10596f68906f8a8106f18588955fc241086f958b77aca2145cfa16e36cf3b SHA1: 33f2249275236d325b28951a893d73ebbbcae395 MD5sum: e5bd7f3857d4f03dba4d0c510dcde368 Description: documentation for the BALL library BALL (Biochemical Algorithms Library) is an application framework in C++ that has been specifically designed for rapid software development in Molecular Modeling and Computational Molecular Biology. The package comprises an extensive manual on how to program molecular modelling programs with BALL. Homepage: http://www.ballview.org Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/b/ball/libball1.4-doc_1.4.1+20111206-4_all.deb Package: libballview1.4 Source: ball Version: 1.4.1+20111206-4 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 7612 Depends: libball1.4, libboost-date-time1.49.0 (>= 1.49.0-1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libgsl0ldbl (>= 1.9), libice6 (>= 1:1.0.0), libpython2.7 (>= 2.7), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-test (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsm6, libstdc++6 (>= 4.6), libx11-6, libxext6 Homepage: http://www.ballview.org Priority: optional Section: science Filename: pool/main/b/ball/libballview1.4_1.4.1+20111206-4_armhf.deb Size: 2209608 SHA256: 09b463f5981467f0b91c395f768ffd2e8e2b3b001d96949aadb75b8a31ea9f41 SHA1: bad2d1af752beb66d8443538484a70cd8cc5da20 MD5sum: 2b78d2ba3f1b4dc724ea5d765aa10cd9 Description: Biochemical Algorithms Library, VIEW framework BALL (Biochemical Algorithms Library) is an application framework in C++ that has been specifically designed for rapid software development in Molecular Modeling and Computational Molecular Biology. It provides an extensive set of data structures as well as classes for Molecular Mechanics, advanced solvation methods, comparison and analysis of protein structures, file import/export, and visualization. BALL is currently being developed in the groups of Oliver Kohlbacher (University of Tuebingen, Germany), Andreas Hildebrandt (Saarland University, Saarbruecken, Germany), and Hans-Peter Lenhof (Saarland University, Saarbruecken, Germany). . This package contains the view parts of BALL (libVIEW). Those are required for molecular visualization and for running BALLView. Package: libballview1.4-dev Source: ball Version: 1.4.1+20111206-4 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 814 Depends: libballview1.4 (= 1.4.1+20111206-4) Recommends: libball1.4-doc Conflicts: libballview1.3-dev Homepage: http://www.ballview.org Priority: optional Section: libdevel Filename: pool/main/b/ball/libballview1.4-dev_1.4.1+20111206-4_armhf.deb Size: 173330 SHA256: 3da04c807c9d7fa200cf69ec8ce962727db1ecfae95b49a4c58abcbfa366f068 SHA1: 608c932daa6211b870f63571b52367a1cae579d2 MD5sum: c08cf93c435551f9eeacfb5a0a7caad9 Description: Header files for the VIEW part of the Biochemical Algorithms Library BALL (Biochemical Algorithms Library) is an application framework in C++ that has been specifically designed for rapid software development in Molecular Modeling and Computational Molecular Biology. This package comprises the header files allowing to create one's own applications with the VIEW framework of the BALL library. Package: libbam-dev Source: samtools Version: 0.1.18-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 381 Homepage: http://samtools.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/s/samtools/libbam-dev_0.1.18-1_armhf.deb Size: 142508 SHA256: 4cfd192dde9b2af7f7b4886f1756853b56dad4df340ef34e4adbed3f2abad0db SHA1: c793b0f5cbd6b8cc3e62f2515d51549a7f813225 MD5sum: 030b10d0009d986bf5ebf80f3e0a82cf Description: manipulates nucleotide sequence alignments in BAM or SAM format The BAM library provides I/O and various operations on manipulating nucleotide sequence alignments in the BAM (Binary Alignment/Mapping) or SAM (Sequence Alignment/Map) format. It now supports importing from or exporting to SAM, sorting, merging, generating pileup, and quickly retrieval of reads overlapped with a specified region. Package: libbamf-dev Source: bamf Version: 0.2.118-1 Architecture: armhf Maintainer: Didier Roche Installed-Size: 89 Depends: libbamf0 (= 0.2.118-1), libwnck-dev, libglib2.0-dev (>= 2.23.0-1ubuntu3~) Suggests: libbamf-doc Homepage: https://launchpad.net/bamf Priority: optional Section: libdevel Filename: pool/main/b/bamf/libbamf-dev_0.2.118-1_armhf.deb Size: 13678 SHA256: 76ede84cd449dcc989c294d4b6e25ebf652bfb88f2bb20057e50aece3a999dc7 SHA1: 68647f2a5844eaf37fdadf4e36c1990ac17bceed MD5sum: d3b8ea2aac1c5df08fba5d49072d3034 Description: Window matching library - development files (gtk2) bamf matches application windows to desktop files . This package contains files that are needed to build applications on gtk2 stack. Package: libbamf-doc Source: bamf Version: 0.2.118-1 Installed-Size: 77 Maintainer: Didier Roche Architecture: all Suggests: devhelp Size: 14302 SHA256: 1475c8f98d666c564602d0ae5c6d76147b3fd07317f37d2e1d9231cd1e876e2d SHA1: 4657a92780eb2a0bad5c0b19941af2ac2f5a4092 MD5sum: 37638d4b702770df705c93d480c10bbf Description: Window matching library - documentation bamf matches application windows to desktop files . This package contains the daemon used by the library and a gio module that facilitates the matching of applications started through GDesktopAppInfo . This package contains the documentation Homepage: https://launchpad.net/bamf Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/b/bamf/libbamf-doc_0.2.118-1_all.deb Package: libbamf0 Source: bamf Version: 0.2.118-1 Architecture: armhf Maintainer: Didier Roche Installed-Size: 101 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), bamfdaemon (= 0.2.118-1) Breaks: unity (<< 3.2.12) Multi-Arch: same Homepage: https://launchpad.net/bamf Priority: optional Section: libs Filename: pool/main/b/bamf/libbamf0_0.2.118-1_armhf.deb Size: 30058 SHA256: 4b967b53508bc8e155b1f5fb650d2d9b8bf09bb964a99142e45be83355ef3fed SHA1: 6942f38f7a78812ac9d87da9d8bfacd428e7a55d MD5sum: 1fd4b7c9d334f848a442baacdddef4d3 Description: Window matching library - shared library (gtk2) bamf matches application windows to desktop files . This package contains shared libraries to be used by gtk2 applications. Package: libbamf3-0 Source: bamf Version: 0.2.118-1 Architecture: armhf Maintainer: Didier Roche Installed-Size: 101 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), bamfdaemon (= 0.2.118-1) Breaks: unity (<< 3.2.12) Multi-Arch: same Homepage: https://launchpad.net/bamf Priority: optional Section: libs Filename: pool/main/b/bamf/libbamf3-0_0.2.118-1_armhf.deb Size: 30008 SHA256: 0daa9fd5d9f42301219e0fbe91abeb98b69a429b9d2120fdbcca3b656d8e673f SHA1: 4f333fd33d19b0862f673889cf12096b2541d56d MD5sum: bc674d238252726283e66876cad7c48e Description: Window matching library - shared library bamf matches application windows to desktop files . This package contains shared libraries to be used by applications. Package: libbamf3-dev Source: bamf Version: 0.2.118-1 Architecture: armhf Maintainer: Didier Roche Installed-Size: 89 Depends: libbamf3-0 (= 0.2.118-1), libwnck-3-dev, libglib2.0-dev (>= 2.23.0-1ubuntu3~) Suggests: libbamf-doc Homepage: https://launchpad.net/bamf Priority: optional Section: libdevel Filename: pool/main/b/bamf/libbamf3-dev_0.2.118-1_armhf.deb Size: 13678 SHA256: 2f30ddd8aaafe587ca9d2bd7988b7be4ea1b8035f46fc5d1be4e00730cc3b8d9 SHA1: 9874322342e5bce229bfbe8038e8765b7c973287 MD5sum: 6144cab2be094c01c5b95e397fdac214 Description: Window matching library - development files bamf matches application windows to desktop files . This package contains files that are needed to build applications. Package: libbarby-ruby Source: ruby-barby Version: 0.5.0-1 Installed-Size: 54 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-barby Size: 11878 SHA256: b89c227fa3b24ad8c62d60c8c4c9a124b9c6eda32c277cfc55cfe7d1c69bec41 SHA1: 1ab204e1aa45f7002e186579a5a881e1484ff5d5 MD5sum: d86e78530d8ab34d4759135e454657a3 Description: Transitional package for ruby-barby This is a transitional package to ease upgrades to the ruby-barby package. It can safely be removed. Homepage: http://toretore.github.com/barby Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-barby/libbarby-ruby_0.5.0-1_all.deb Package: libbarby-ruby-doc Source: ruby-barby Version: 0.5.0-1 Installed-Size: 54 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-barby Size: 11878 SHA256: 64adcbd1247e43f26c4a563b786ee8fd56f41bcbb9d6a98e48301f7f0d0353cb SHA1: 7528fb85bfeb63e1ae7d249365d849fa12c2826d MD5sum: 298c84dbfca12be9d33ed20d18058b88 Description: Transitional package for ruby-barby This is a transitional package to ease upgrades to the ruby-barby package. It can safely be removed. Homepage: http://toretore.github.com/barby Tag: devel::lang:ruby, made-of::html, role::documentation, role::dummy Section: doc Priority: optional Filename: pool/main/r/ruby-barby/libbarby-ruby-doc_0.5.0-1_all.deb Package: libbarby-ruby1.8 Source: ruby-barby Version: 0.5.0-1 Installed-Size: 54 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-barby Size: 11878 SHA256: 60ca7971a7b791eb0a46f95b44d0bedb1679c548da4e01017143ff1b647c37a7 SHA1: f116d340080d30d8c25da6d9f2682cefd870726b MD5sum: 94041f25251261869d7dbe70f9c95493 Description: Transitional package for ruby-barby This is a transitional package to ease upgrades to the ruby-barby package. It can safely be removed. Homepage: http://toretore.github.com/barby Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-barby/libbarby-ruby1.8_0.5.0-1_all.deb Package: libbarcode-code128-perl Version: 2.01-2 Installed-Size: 56 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 23178 SHA256: c1ce334de7344d24aca959e0275025e0546b419e11237093d3e74c78c7100ef8 SHA1: 83fab3067554727e0728b26596b23e20846a5725 MD5sum: dbfc060e29213f94128d7ec76fab4042 Description: Perl library to generate CODE 128 bar codes Barcode::Code128 generates bar codes using the CODE 128 symbology. It can generate images in PNG or GIF format using the GD package, or it can generate a text string representing the barcode that you can render using some other technology if desired. . The intended use of this module is to create a web page with a bar code on it, which can then be printed out and faxed or mailed to someone who will scan the bar code. The application which spurred its creation was an expense report tool, where the employee submitting the report would print out the web page and staple the receipts to it, and the Accounts Payable clerk would scan the bar code to indicate that the receipts were received. . The default settings for this module produce a large image that can safely be FAXed several times and still scanned easily. If this requirement is not important you can generate smaller image using optional parameters, described below. Homepage: http://search.cpan.org/dist/Barcode-Code128/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbarcode-code128-perl/libbarcode-code128-perl_2.01-2_all.deb Package: libbarcode-zbar-perl Source: zbar Version: 0.10+doc-8 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 180 Depends: libc6 (>= 2.13-28), libzbar0 (>= 0.10), perl (>= 5.14.2-17+rpi1), perlapi-5.14.2 Homepage: http://zbar.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/z/zbar/libbarcode-zbar-perl_0.10+doc-8_armhf.deb Size: 60842 SHA256: 23e75fb38acaa8064026358162fcdfc4073e7f3580eed737f3f6792df6655f91 SHA1: e12d1ae650169837e2b4dd41cb8deef290e58ef8 MD5sum: 39bcb8295227a6dd112765005f1d0bab Description: bar code scanner and decoder (Perl bindings) ZBar is a library for scanning and decoding bar codes from various sources such as video streams, image files or raw intensity sensors. It supports EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code. . This packagein contains the Perl bindings. Package: libbareword-filehandles-perl Version: 0.003-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 72 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libb-hooks-op-check-perl, liblexical-sealrequirehints-perl Homepage: http://search.cpan.org/dist/bareword-filehandles/ Priority: optional Section: perl Filename: pool/main/libb/libbareword-filehandles-perl/libbareword-filehandles-perl_0.003-1_armhf.deb Size: 8918 SHA256: 90d76020e2a7aae9cfb71cf54be87fe3a034fced7734f6a8397a13bd80d80ed3 SHA1: 5fb366d56d779adc3c54bb996c0aa6eca677e347 MD5sum: fd43283e3d17b3662b5302b033fc1a57 Description: Perl pragma to disable bareword filehandles bareword::filehandles lexically disables the use of bareword filehandles with builtin functions, except for the special builtin filehandles STDIN, STDOUT, STDERR, ARGV, ARGVOUT and DATA. To use it in your scripts, simply say . no bareword::filehandles; Package: libbarry-dev Source: barry Version: 0.18.3-5 Architecture: armhf Maintainer: Chris Frey Installed-Size: 3631 Depends: libbarry18 (= 0.18.3-5) Homepage: http://netdirect.ca/barry Priority: optional Section: libdevel Filename: pool/main/b/barry/libbarry-dev_0.18.3-5_armhf.deb Size: 1080150 SHA256: 54bd2e961eba7ff282e6c4134a39b40aee1af72e73f6f6a4b64c3840cbd2b3f9 SHA1: d0845539124b893760b31bafc4040a9d2620aea6 MD5sum: 04a0846d53a711a59e24a953e9dfd79b Description: Development files for libbarry Barry is a GPL C++ library for interfacing with the RIM BlackBerry Handheld. . This package contains the header files required for building applications based on libbarry. Package: libbarry18 Source: barry Version: 0.18.3-5 Architecture: armhf Maintainer: Chris Frey Installed-Size: 1456 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libtar0, libusb-0.1-4 (>= 2:0.1.12), libxml++2.6-2 (>= 2.34.2), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Homepage: http://netdirect.ca/barry Priority: optional Section: libs Filename: pool/main/b/barry/libbarry18_0.18.3-5_armhf.deb Size: 624714 SHA256: f4dd6b54fbdb00b453ea5f2f323f2067da7ceb0fcba32c1f702c170cf68241b1 SHA1: a1bb20c5539a865fe126e1b7d445c8373f46f4a6 MD5sum: 7688315fee9e3f150eb748872b763e26 Description: Library for using the BlackBerry handheld on Linux Barry is a GPL C++ library for interfacing with the RIM BlackBerry Handheld. . This package contains shared libraries for low level USB communication, backup file writing and parsing, sync support routines such as vcard support, JDWP debugging support, and ALX release file parsing. Package: libbarry18-dbg Source: barry Version: 0.18.3-5 Architecture: armhf Maintainer: Chris Frey Installed-Size: 13104 Depends: libbarry18 (= 0.18.3-5) Homepage: http://netdirect.ca/barry Priority: extra Section: debug Filename: pool/main/b/barry/libbarry18-dbg_0.18.3-5_armhf.deb Size: 4152464 SHA256: 50e424593a720a44af2f7c881d94e9f98e65fe1758f516bcf86f71a0ac9dc6de SHA1: 7380c9fab664fb15a9d849dfa28e5a923ccd948b MD5sum: 4e0cbd3c551e859144f209d7cabb9531 Description: Library for using the BlackBerry handheld (debug symbols) Barry is a GPL C++ library for interfacing with the RIM BlackBerry Handheld. . This package contains the debug versions of the shared libraries found in the libbarry package. Package: libbase-java Source: libbase Version: 1.1.6-2 Installed-Size: 152 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-logging-java Size: 117752 SHA256: de296120864419d6b0e4431f69f824f239b1f2b685dd7eb6829508b14491b7ee SHA1: 67e4f77c269ab2bb48cc66bc6242c049a09ead90 MD5sum: fe14c2b787cfa75e11c949f6b934eef5 Description: A general purpose base service library (OOo 3.1 branch) LibBase is a library developed to provide base services like logging, configuration and initialization to all other libraries and applications. The library is the root library for all other Pentaho-Reporting projects. Homepage: http://jfreereport.sourceforge.net/ Tag: role::shared-lib Section: java Priority: optional Filename: pool/main/libb/libbase/libbase-java_1.1.6-2_all.deb Package: libbash Version: 0.9.11-1 Installed-Size: 280 Maintainer: Hai Zaar Architecture: all Depends: bash (>= 3) Size: 33850 SHA256: 74a72ff48d7e8cb249163e3cadf3d2a8844c9639ae09470ff39a286d53a18324 SHA1: 024970f7455d8ebc73ef742613d519ddd2eb9051 MD5sum: c42a6cb7e4682bd98ef35acc135d24ee Description: a tool that enables bash dynamic-like shared libraries libbash is a tool for managing bash scripts that contain functions you may want to use in various scripts. It provides mechanism to define dependencies between scripts and facility for script loading. Homepage: http://libbash.sourceforge.net Section: libs Priority: optional Filename: pool/main/libb/libbash/libbash_0.9.11-1_all.deb Package: libbash-doc Source: libbash Version: 0.9.11-1 Installed-Size: 136 Maintainer: Hai Zaar Architecture: all Size: 20468 SHA256: 74c371f4e50d0786317084f9ea50e177dd6269d3239d73f8fb7c39fdc8824f7a SHA1: 590827d929654bdc54faaacaf2f8bd6bcd2479ac MD5sum: 434045c991c3ad965d0f097bc718a552 Description: user and developer documentation for libbash libbash is a tool for managing bash scripts that contain functions you may want to use in various scripts. It provides mechanism to define dependencies between scripts and facility for script loading. . This package contains user and developer docs libbash Homepage: http://libbash.sourceforge.net Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libb/libbash/libbash-doc_0.9.11-1_all.deb Package: libbasicplayer-java Version: 3.0-6 Installed-Size: 372 Maintainer: Debian Java Maintainers Architecture: all Size: 37306 SHA256: e95bf6303e0385a725ba0983ea27fcd6df992b6aa864947b0a11005937006a09 SHA1: ec9cf1a3e845f59e6cce914239b653262dfd176e MD5sum: d8dc6bf91712b8e20be43f0902293528 Description: threaded simple player class based on JavaSound API BasicPlayer layer is the simple player API of jlGui. These classes are designed to be used in any application that needs simple features (play, stop, pause, resume, seek) to play audio file or stream. It's a high-level API over JavaSound API. . This java library is part of the jlgui player project but can be used independently. Homepage: http://www.javazoom.net/jlgui/api.html Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/libb/libbasicplayer-java/libbasicplayer-java_3.0-6_all.deb Package: libbatik-java Source: batik Version: 1.7+dfsg-3+deb7u3 Installed-Size: 10411 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java5-runtime-headless | java6-runtime-headless | java7-runtime-headless, libxalan2-java, libbsf-java, libavalon-framework-java (>= 4.2.0), libcommons-io-java, libcommons-logging-java, java-wrappers (>= 0.1.12), libxml-commons-external-java Size: 8700698 SHA256: 8f4563921320ed5124a388932b81971b12b1e48301e70c6378912d360d94e9a1 SHA1: b453646b0582ae190a05be923c2c227726233a43 MD5sum: 373ea1644e6bdc77e913e9c8625441a8 Description: xml.apache.org SVG Library Batik is a toolkit for applications or applets that want to use images in the Scalable Vector Graphics (SVG) format for various purposes, such as viewing, generation or manipulation. . Batik provides several modules to be used in applications: * A SVG generator module, usable to export graphics into the SVG format. * A SVG processor and SVG Viewing component for integrating SVG viewing. * A module to convert SVG to various formats, such as raster images (JPEG, PNG or Tiff) and PS, PDF. . fop is necessary for PDF output of rasterizer. . rhino is necessary for using the SVG browser, squiggle. . For detailed information, go to http://xml.apache.org/batik/ Homepage: http://xml.apache.org/batik/ Recommends: fop (>= 1:0.94), rhino, openjdk-6-jre | openjdk-7-jre-headless Section: libs Priority: optional Filename: pool/main/b/batik/libbatik-java_1.7+dfsg-3+deb7u3_all.deb Package: libbatteries-ocaml-dev Source: ocaml-batteries Version: 1.4.3-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 11455 Depends: ocaml-findlib, camlp4-3.12.1, libcamomile-ocaml-dev-q12e4, ocaml-nox-3.12.1 Recommends: libbatteries-ocaml-doc Suggests: ledit Provides: libbatteries-camlp4-dev, libbatteries-ocaml-dev-wsct0 Homepage: http://batteries.forge.ocamlcore.org Priority: optional Section: ocaml Filename: pool/main/o/ocaml-batteries/libbatteries-ocaml-dev_1.4.3-1_armhf.deb Size: 4495764 SHA256: fd2371a81c8be0fde6307706ed6da28e63eeb097b089974e2b674eb380923d92 SHA1: 6c381608ee899aa6c52754ef2076b7a75b22c6d1 MD5sum: 262dc7d0c685fe53213dafd39f878de2 Description: Batteries included: OCaml development platform - development files Batteries included is a community-driven effort to standardize on an uniform, documented, and comprehensive OCaml development platform. . Batteries included serves the following purposes: * define a standard set of libraries which may be expected on every compliant installation of OCaml * organize these libraries into a hierarchy of modules, with one source of documentation * define a standard set of language extensions which may be expected on every compliant installation of OCaml * provide a consistent API for otherwise independent libraries. . This package contains the core part of batteries included: libraries and language extensions for the OCaml language. Using this package you can develop OCaml programs built on top of batteries included. Package: libbatteries-ocaml-doc Source: ocaml-batteries Version: 1.4.3-1 Installed-Size: 14460 Maintainer: Debian OCaml Maintainers Architecture: all Size: 1052044 SHA256: 007d4fe967d34e09385eb68efa35fb939a2bde5cd543c587b94ece6517c9eea7 SHA1: bb285d23c931d89a68d8e7f67c8e4d41e72ad6b8 MD5sum: e806ce0f33a3ec3fb21ff89293a41e2d Description: Batteries included - OCaml development platform - documentation Batteries included is a community-driven effort to standardize on an uniform, documented, and comprehensive OCaml development platform. . Batteries included serves the following purposes: * define a standard set of libraries which may be expected on every compliant installation of OCaml * organize these libraries into a hierarchy of modules, with one source of documentation * define a standard set of language extensions which may be expected on every compliant installation of OCaml * provide a consistent API for otherwise independent libraries. . This package contains the documentation for batteries included: HTML API documentation, examples, and information about how to use batteries included in your programs. Homepage: http://batteries.forge.ocamlcore.org Tag: devel::doc, devel::examples, devel::lang:ocaml, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/o/ocaml-batteries/libbatteries-ocaml-doc_1.4.3-1_all.deb Package: libbcel-java Source: bcel Version: 5.2-9 Installed-Size: 580 Maintainer: Debian Java Maintainers Architecture: all Suggests: libbcel-java-doc Size: 476772 SHA256: 41f837db325586e702f69363e8d5c90beb5f7de9c8ea21c4fe2ad5a74b771c67 SHA1: 57ce69edbdd36a5681b8e0011fd97575d45e1bc6 MD5sum: 21291130669aacdef12748b804e26fea Description: Analyze, create, and manipulate (binary) Java class files The Byte Code Engineering Library is intended to give users a convenient possibility to analyze, create, and manipulate (binary) Java class files (those ending with .class). Classes are represented by objects which contain all the symbolic information of the given class: methods, fields and byte code instructions, in particular. . Such objects can be read from an existing file, be transformed by a program (e.g. a class loader at run-time) and dumped to a file again. An even more interesting application is the creation of classes from scratch at run-time. The Byte Code Engineering Library (BCEL) may be also useful if you want to learn about the Java Virtual Machine (JVM) and the format of Java .class files. Homepage: http://jakarta.apache.org/bcel/ Tag: devel::lang:java, devel::library, role::shared-lib Section: java Priority: optional Filename: pool/main/b/bcel/libbcel-java_5.2-9_all.deb Package: libbcel-java-doc Source: bcel Version: 5.2-9 Installed-Size: 34682 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc Suggests: libbcel-java Size: 1989854 SHA256: 07211ade92b019a4c4b72b507b78242430ff917fc28ddd46358443936447dd0d SHA1: 934fb3400d969ad262e1b2416d3f6e359bb744eb MD5sum: 1ef589318b9ea7a6e015e55db82db541 Description: Documentation for Byte Code Engineering Library (BCEL) The Byte Code Engineering Library is intended to give users a convenient possibility to analyze, create, and manipulate (binary) Java class files (those ending with .class). Classes are represented by objects which contain all the symbolic information of the given class: methods, fields and byte code instructions, in particular. . Such objects can be read from an existing file, be transformed by a program (e.g. a class loader at run-time) and dumped to a file again. An even more interesting application is the creation of classes from scratch at run-time. The Byte Code Engineering Library (BCEL) may be also useful if you want to learn about the Java Virtual Machine (JVM) and the format of Java .class files. . This package contains the API (javadoc) documentation. Homepage: http://jakarta.apache.org/bcel/ Tag: devel::doc, devel::lang:java, devel::library, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/b/bcel/libbcel-java-doc_5.2-9_all.deb Package: libbcmail-java Source: bouncycastle Version: 1.44+dfsg-3.1+deb7u2 Installed-Size: 414 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java2-runtime-headless, libgnumail-java, libgnujaf-java Suggests: java-virtual-machine, libbcmail-java-doc, libbcmail-java-gcj Size: 239684 SHA256: b6abeb9b64c1a6f1c3304e4a79a2b4ac5cb398c13bda836e2396465532978b6a SHA1: f953cd9fe83ca497ab955f9b09945f54a476e8ac MD5sum: 24afe069316365758de8b794e69d2d6c Description: Bouncy Castle generators/processors for S/MIME and CMS The Bouncy Castle Crypto package is a Java implementation of cryptographic algorithms. . This package contains generators and processors for S/MIME and CMS (PKCS7/RFC 3852). Homepage: http://www.bouncycastle.org/ Section: libs Priority: optional Filename: pool/main/b/bouncycastle/libbcmail-java_1.44+dfsg-3.1+deb7u2_all.deb Package: libbcmail-java-doc Source: bouncycastle Version: 1.44+dfsg-3.1+deb7u2 Installed-Size: 3135 Maintainer: Debian Java Maintainers Architecture: all Size: 204556 SHA256: 3f666feb05afe5a7d383d8f9222cb3933f5c9cbfc0826ba4705b20119a4f0c86 SHA1: ba771992424a0859bc63344ea0dad2fc40221505 MD5sum: 21df92ab8af8a3bf58904b684d4799a2 Description: Documentation for libbcmail-java Javadocs for libbcmail-java, which provides Java generators and processors for S/MIME and CMS. Homepage: http://www.bouncycastle.org/ Section: doc Priority: optional Filename: pool/main/b/bouncycastle/libbcmail-java-doc_1.44+dfsg-3.1+deb7u2_all.deb Package: libbcmail-java-gcj Source: bouncycastle Version: 1.44+dfsg-3.1+deb7u2 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 706 Depends: libbcmail-java (>= 1.44+dfsg-3.1+deb7u2), libgnumail-java, libgnujaf-java, libgcj-common (>> 1:4.1.1-13), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcj-bc (>= 4.6.3-1~), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Homepage: http://www.bouncycastle.org/ Priority: optional Section: java Filename: pool/main/b/bouncycastle/libbcmail-java-gcj_1.44+dfsg-3.1+deb7u2_armhf.deb Size: 217632 SHA256: 6bbf18d0ab2ebd6c25dd8964cd0c6e4d776fe880891402705c5c4c528c61503d SHA1: 99997b690d786d3a96328fffa7a30a201d6247f6 MD5sum: e54f223ace285e4323b0702e063e9558 Description: Bouncy Castle generators/processors for S/MIME and CMS Native support for gij for the Bouncy Castle Crypto generators and processors for S/MIME and CMS (PKCS7/RFC 3852). Package: libbcpg-java Source: bouncycastle Version: 1.44+dfsg-3.1+deb7u2 Installed-Size: 357 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java2-runtime-headless Suggests: java-virtual-machine, libbcpg-java-doc, libbcpg-java-gcj Size: 188760 SHA256: 8e2d0b1544c786569d1643e7c3af856dad6ee5a841df638f71b6cdbdc7f5c78f SHA1: a358f0f2e81590e3a241d1308a8cd97e88914522 MD5sum: 3ed0924b987f805d0845d646e27d9ed8 Description: Bouncy Castle generators/processors for OpenPGP The Bouncy Castle Crypto package is a Java implementation of cryptographic algorithms. . This package contains generators and processors for OpenPGP (RFC 2440). Homepage: http://www.bouncycastle.org/ Section: libs Priority: optional Filename: pool/main/b/bouncycastle/libbcpg-java_1.44+dfsg-3.1+deb7u2_all.deb Package: libbcpg-java-doc Source: bouncycastle Version: 1.44+dfsg-3.1+deb7u2 Installed-Size: 2523 Maintainer: Debian Java Maintainers Architecture: all Size: 173510 SHA256: 2cd51c9e5a9caa34627e46678fff72c519d04837cfca5f9d1dd733d236db8b47 SHA1: 437da4989476844680770f31060eca6daca7d8da MD5sum: 4ca3d643548ad291b37d3a21f1ae8cd5 Description: Documentation for libbcpg-java Javadocs for libbcpg-java, which provides generators and processors for OpenPGP. Homepage: http://www.bouncycastle.org/ Section: doc Priority: optional Filename: pool/main/b/bouncycastle/libbcpg-java-doc_1.44+dfsg-3.1+deb7u2_all.deb Package: libbcpg-java-gcj Source: bouncycastle Version: 1.44+dfsg-3.1+deb7u2 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 558 Depends: libbcpg-java (>= 1.44+dfsg-3.1+deb7u2), libgcj-common (>> 1:4.1.1-13), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcj-bc (>= 4.6.3-1~), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Suggests: java-virtual-machine, libbcpg-java-doc Homepage: http://www.bouncycastle.org/ Priority: optional Section: java Filename: pool/main/b/bouncycastle/libbcpg-java-gcj_1.44+dfsg-3.1+deb7u2_armhf.deb Size: 198220 SHA256: af7f20505b6bb9643263b18f05305fb32aeca605643d961f968ebe6bb036668e SHA1: d56484197e8b7ddf46adc9c82201f8b4dfeac37f MD5sum: c23ed2f4e37979d601149093b2517ac2 Description: Bouncy Castle generators/processors for OpenPGP Native support for gij for the Bouncy Castle Crypto generators and processors for OpenPGP (RFC 2440). Package: libbcprov-java Source: bouncycastle Version: 1.44+dfsg-3.1+deb7u2 Installed-Size: 1736 Maintainer: Debian Java Maintainers Architecture: all Depends: libgcj-common (>= 1:4.1.1-19), default-jre-headless | java2-runtime-headless Suggests: java-virtual-machine, libbcprov-java-doc, libbcprov-java-gcj Size: 1385666 SHA256: c6921da6a8e714ce58cecc187a43fee0a69dea7404842ca169360c96953096bb SHA1: 36371225c8a37e9a684fdfa04d18697687e57984 MD5sum: 51d658f692a9ffa233866039776c2a5a Description: Bouncy Castle Java Cryptographic Service Provider The Bouncy Castle Crypto package is a Java implementation of cryptographic algorithms. . This package contains a JCE provider and a lightweight crypto API. Homepage: http://www.bouncycastle.org/ Section: libs Priority: optional Filename: pool/main/b/bouncycastle/libbcprov-java_1.44+dfsg-3.1+deb7u2_all.deb Package: libbcprov-java-doc Source: bouncycastle Version: 1.44+dfsg-3.1+deb7u2 Installed-Size: 32 Maintainer: Debian Java Maintainers Architecture: all Size: 4208 SHA256: 9076168e16349bfe428f956ba19d14f91f08ea6cc6776f5ad4448ad926765053 SHA1: 04e3caa5835638e257e90991a4af123e6bc0fe5e MD5sum: d325f7187f0acb3a11af67d51d1cc412 Description: Documentation for libbcprov-java Javadocs for libbcprov-java, a Java implementation of cryptographic algorithms. Homepage: http://www.bouncycastle.org/ Section: doc Priority: optional Filename: pool/main/b/bouncycastle/libbcprov-java-doc_1.44+dfsg-3.1+deb7u2_all.deb Package: libbcprov-java-gcj Source: bouncycastle Version: 1.44+dfsg-3.1+deb7u2 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 5205 Depends: libbcprov-java (>= 1.44+dfsg-3.1+deb7u2), libgcj-common (>> 1:4.1.1-13), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcj-bc (>= 4.6.3-1~), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Homepage: http://www.bouncycastle.org/ Priority: optional Section: java Filename: pool/main/b/bouncycastle/libbcprov-java-gcj_1.44+dfsg-3.1+deb7u2_armhf.deb Size: 1689236 SHA256: 4759ad4af8c44ee2de41e03549f814052d4bc70077ee93ad830014aa8577fcb4 SHA1: 81b215ffca4b3f4df67167c561cbe8f34ff0b3df MD5sum: dca7b7a4adc8717f67e052823addf876 Description: Bouncy Castle Java Cryptographic Service Provider Native support for gij for the Bouncy Castle Crypto JCE provider and a lightweight crypto API. Package: libbctsp-java Source: bouncycastle Version: 1.44+dfsg-3.1+deb7u2 Installed-Size: 228 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java2-runtime-headless Suggests: java-virtual-machine, libbctsp-java-doc, libbctsp-java-gcj Size: 70870 SHA256: 2c187ced14fa51ba6d67a344a24901d3c397dc4394a440b1a8e242a79b407204 SHA1: 4d073bd75cc06a4b7b5379410bc4e2ab600799be MD5sum: 514b2afa017cfae8f2484054c16595cb Description: Bouncy Castle generators/processors for TSP The Bouncy Castle Crypto package is a Java implementation of cryptographic algorithms. . This package contains generators and processors for TSP (RFC 3161). Homepage: http://www.bouncycastle.org/ Section: libs Priority: optional Filename: pool/main/b/bouncycastle/libbctsp-java_1.44+dfsg-3.1+deb7u2_all.deb Package: libbctsp-java-doc Source: bouncycastle Version: 1.44+dfsg-3.1+deb7u2 Installed-Size: 399 Maintainer: Debian Java Maintainers Architecture: all Size: 46228 SHA256: 24e86110e887087cadcd77b9de1525dfcf6037c648a6f6fd95a078a9c997b648 SHA1: 56238dc6c630fa901b1ea133318d3e2d0b781292 MD5sum: 76338e520975c670a8def2d5f88c2f89 Description: Documentation for libbctsp-java Javadocs for libbctsp-java, which provides generators and processors for TSP. Homepage: http://www.bouncycastle.org/ Section: doc Priority: optional Filename: pool/main/b/bouncycastle/libbctsp-java-doc_1.44+dfsg-3.1+deb7u2_all.deb Package: libbctsp-java-gcj Source: bouncycastle Version: 1.44+dfsg-3.1+deb7u2 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 92 Depends: libbctsp-java (>= 1.44+dfsg-3.1+deb7u2), libgcj-common (>> 1:4.1.1-13), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcj-bc (>= 4.6.3-1~), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Suggests: java-virtual-machine, libbctsp-java-doc Homepage: http://www.bouncycastle.org/ Priority: optional Section: java Filename: pool/main/b/bouncycastle/libbctsp-java-gcj_1.44+dfsg-3.1+deb7u2_armhf.deb Size: 32768 SHA256: 0b34160d14f04fcac460347cb18cba921b5b9811a0ff125793cd6f39021e97d8 SHA1: 84301ebbe83ddba1d53ac2acaff3f25049dd5759 MD5sum: 74e40be6940856a4bb4df68b7b804381 Description: Bouncy Castle generators/processors for TSP Native support for gij for the Bouncy Castle Crypto generators and processors for TSP (RFC 3161). Package: libbdd-dev Source: buddy Version: 2.4-8 Architecture: armhf Maintainer: Jens Peter Secher Installed-Size: 615 Depends: libbdd0c2 (= 2.4-8), libc6-dev | libc-dev Homepage: http://buddy.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/b/buddy/libbdd-dev_2.4-8_armhf.deb Size: 464762 SHA256: e54b84382274232dc75c7bc08b0bd196d92d4cd76a0535e65406e78f5fc5e429 SHA1: 11280d9281a37d1bf90b74efe23ee913264205f0 MD5sum: 7a9c77b4c3c05ee2741e6d772187cc09 Description: Binary decision-diagram library (development) Binary decision diagrams (BDDs) are space-efficient encodings of boolean expressions or dynamic truth tables, used in eg. model checking. BuDDy is an efficient BDD library with all the standard BDD operations, dynamic reordering of variables, automated garbage collection, a C++ interface with automatic reference counting, and more. . libbdd-dev is the BuDDy development package containing a static library and the include files needed for building applications using BuDDy. Package: libbdd0c2 Source: buddy Version: 2.4-8 Architecture: armhf Maintainer: Jens Peter Secher Installed-Size: 132 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://buddy.sourceforge.net Priority: optional Section: libs Filename: pool/main/b/buddy/libbdd0c2_2.4-8_armhf.deb Size: 56044 SHA256: fd2cb8a3fad985c2e83357217d49937987c7e8dbd628a6652dde05a45b7c2d84 SHA1: e54641dcc789352eeb048100e6eb917a7f662af3 MD5sum: bda9d7c5cb256e5387f0c282c0af63d6 Description: Binary decision-diagram library (runtime) Binary decision diagrams (BDDs) are space-efficient encodings of boolean expressions or dynamic truth tables, used in eg. model checking. This is the runtime package for programs that use the BuDDy library. Package: libbeansbinding-java Source: beansbinding Version: 1.2.1-1 Installed-Size: 656 Maintainer: Debian Java Maintainers Architecture: all Suggests: libbeansbinding-java-doc Size: 177986 SHA256: 7a576fc6d334c30e695b0feea4ce4f3285172541c04d4e2a86d07980d55b68e1 SHA1: 73518d6529e59146953b52d1ece723b18205bcb9 MD5sum: ee7021b3e68b4a53ee69021f5bfbad10 Description: Beans Binding API (library) In essence, Beans Binding (JSR 295) is about keeping two properties (typically of two objects) in sync. An additional emphasis is placed on the ability to bind to Swing components, and easy integration with IDEs such as NetBeans. This project provides the reference implementation. . This package contains the Java Beans Binding library. Homepage: http://beansbinding.dev.java.net/ Tag: role::shared-lib Section: java Priority: optional Filename: pool/main/b/beansbinding/libbeansbinding-java_1.2.1-1_all.deb Package: libbeansbinding-java-doc Source: beansbinding Version: 1.2.1-1 Installed-Size: 6716 Maintainer: Debian Java Maintainers Architecture: all Size: 376048 SHA256: a3974c44243d9f4723623c55bc594a7a5ded58de1bedf2c64ac3925e6c9998fc SHA1: 455bf08ab368898e0917033eee5a17bb14b1e331 MD5sum: 7ee342ab9e61cfd70509ea734cbe6ae0 Description: Beans Binding API (documentation) In essence, Beans Binding (JSR 295) is about keeping two properties (typically of two objects) in sync. An additional emphasis is placed on the ability to bind to Swing components, and easy integration with IDEs such as NetBeans. This project provides the reference implementation. . This package contains Javadoc API documentation. Homepage: http://beansbinding.dev.java.net/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/b/beansbinding/libbeansbinding-java-doc_1.2.1-1_all.deb Package: libbeecrypt-dev Source: beecrypt Version: 4.2.1-4 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 552 Depends: libbeecrypt7 (= 4.2.1-4) Conflicts: beecrypt-dev, beecrypt2-dev, libbeecrypt6-dev Provides: beecrypt-dev, beecrypt2-dev, libbeecrypt6-dev Homepage: http://beecrypt.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/b/beecrypt/libbeecrypt-dev_4.2.1-4_armhf.deb Size: 171736 SHA256: 053a6a502514e3d4852ca211a8e292d29012b0165f19f3e9000301f25c44f239 SHA1: 75103369824239a3dd527b73575c22f5ab5709fc MD5sum: 6820ea66ed067d3d9ad0041de343a467 Description: header files for beecrypt, a library of cryptographic algorithms BeeCrypt is an open source general purpose cryptography library that contains highly optimized C and assembler implementations of many well-known algorithms including Blowfish, SHA-1, Diffie-Hellman, and ElGamal. . This package contains the development files for the library. Package: libbeecrypt7 Source: beecrypt Version: 4.2.1-4 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 295 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libstdc++6 (>= 4.4.0) Conflicts: beecrypt, beecrypt2, libbeecrypt6 Homepage: http://beecrypt.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/b/beecrypt/libbeecrypt7_4.2.1-4_armhf.deb Size: 130188 SHA256: a650003c49ac7959663e73a01fabec420629a10c6aba72240437bc93867e16d8 SHA1: ffc1dc96e66fbb0f6c21e55af8b5628d01ae4c23 MD5sum: 4098216cb7a7d85d8aa2044795ced7ae Description: open source C library of cryptographic algorithms BeeCrypt is an open source general purpose cryptography library that contains highly optimized C and assembler implementations of many well-known algorithms including Blowfish, SHA-1, Diffie-Hellman, and ElGamal. Package: libbenchmark-apps-perl Version: 0.04-1 Installed-Size: 55 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8308 SHA256: 225fe0c790fb9892769ef36278e3aea4820da708c9af7bcb4a3cc1894cf32be8 SHA1: bcf7627168d2e061da97da9915961353c93dfcec MD5sum: 01deeaf851a10d1785810e99e93946ad Description: simple module to benchmark applications Benchmark::Apps can be used to perform simple benchmarks on programs. Basically, it can be used to benchmark any program that can be called with a system call. Homepage: http://search.cpan.org/dist/Benchmark-Apps/ Section: perl Priority: optional Filename: pool/main/libb/libbenchmark-apps-perl/libbenchmark-apps-perl_0.04-1_all.deb Package: libbenchmark-ocaml-dev Source: ocaml-benchmark Version: 0.9-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 168 Depends: ocaml-nox-3.12.1 Provides: libbenchmark-ocaml-dev-mcha8 Homepage: http://sourceforge.net/projects/ocaml-benchmark/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-benchmark/libbenchmark-ocaml-dev_0.9-2_armhf.deb Size: 27226 SHA256: c08b2dfa08125437007a674ea293802ef856c7ac1df6df0c71500f63b8e471b1 SHA1: a444ba43f82220c7dc67eea11d825383ba28b3b0 MD5sum: 96f8f82f5f231dbd11b1632b9e36aae9 Description: OCaml benchmarking library This library implements benchmarking functions for measuring the run-time of one or many functions using latency (multiple repetitions) or throughput (repeat until some time period has passed) tests. . It is inspired by the Perl module of the same name ( benchmark ). Package: libbenchmark-progressbar-perl Version: 0.00001-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libterm-progressbar-perl Size: 6872 SHA256: 6d871da342af4df1fb9f6f95c26179eda22abfd538c15664b57a0fd73707a1ef SHA1: ebe8eae01f5786f60de5307b684ab4ae104dfb5b MD5sum: c9f39c55b831976cfcc283acd96c663a Description: Perl module that displays progress bar during benchmarking Benchmark::ProgressBar is a combination of Benchmark and Term::ProgressBar that produces a simple progress bar illustrating execution of benchmark runs. As such, it is particularly useful for heavy benchmarking tests that take a long time to complete. . You can use it as a drop-in replacement for Benchmark, but the only functions that would display a progress bar are: cmpthese, timethese and timeit. . This module is not compatible for use with Benchmark in the same script. The author doesn't know of any case where this would make sense. Homepage: http://search.cpan.org/dist/Benchmark-ProgressBar/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbenchmark-progressbar-perl/libbenchmark-progressbar-perl_0.00001-1_all.deb Package: libbenchmark-timer-perl Version: 0.7102-1 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 15384 SHA256: d360e15629acd7353411ee0b0935a293bd950f28af14684cdd770abcb53da046 SHA1: 3b26a442467e55a66cc659e93b498c5f727c700a MD5sum: faf28416d7eb91a910c179c9cb79882b Description: Benchmarking with statistical confidence The Benchmark::Timer class allows you to time portions of code conveniently, as well as benchmark code by allowing timings of repeated trials. It is perfect for when you need more precise information about the running time of portions of your code than the Benchmark module will give you, but don't want to go all out and profile your code. Homepage: http://search.cpan.org/dist/Benchmark-Timer/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, use::monitor, use::timekeeping Section: perl Priority: extra Filename: pool/main/libb/libbenchmark-timer-perl/libbenchmark-timer-perl_0.7102-1_all.deb Package: libbencode-perl Version: 1.4-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8984 SHA256: a2197a5d662ece44d938795837901135c8e48c3925dd122eba981f91ce1b4ddc SHA1: 3d302f1eae637e5ec0abc93df65d9fbb52495fef MD5sum: 2c612515eaf0c745ac1762f7c584a229 Description: Perl module for BitTorrent serialisation format Bencode implements the BitTorrent bencode serialisation format as described in http://www.bittorrent.org/beps/bep_0003.html . BitTorrent is a protocol for distributing files. It identifies content by URL and is designed to integrate seamlessly with the web. Homepage: http://search.cpan.org/dist/Bencode/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbencode-perl/libbencode-perl_1.4-1_all.deb Package: libberkeleydb-perl Version: 0.51-1 Architecture: armhf Maintainer: Marco d'Itri Installed-Size: 437 Depends: libc6 (>= 2.13-28), libdb5.1, perl (>= 5.14.2-9), perlapi-5.14.2 Priority: optional Section: perl Filename: pool/main/libb/libberkeleydb-perl/libberkeleydb-perl_0.51-1_armhf.deb Size: 147538 SHA256: 851f7df8205e6125b6860d2070997688499cec5ed061c9aa2054dddd60f3505f SHA1: 5c51acf864f6c7afe2d1729ed761647482d463cb MD5sum: bb41366cd4325025632effd8ac855202 Description: use Berkeley DB databases from Perl Provides BerkeleyDB::Hash, BerkeleyDB::Btree etc. classes that allow access to Berkeley DB databases as if they were normal Perl hash tables. . Supports all the features of Berkeley DB such as sharing cache and lock memory between processes, logging and transactions. Package: libbest-perl Version: 0.14-1 Installed-Size: 61 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 15516 SHA256: ced76849ed2018bab1692c4b6a80b980ea2c1aaaebd7e79f4bd262dc8ce9a5cd SHA1: f327e5b87c35b0bbbc2920a564578adf03610b8e MD5sum: 1e2819d211c7b42a7ff4a8a061d78211 Description: fallbackable module loader Best.pm attempts to load Perl modules from a list, stopping at the first successful load and failing only if no alternative was found. . Often there are several possible providers of some functionality your program needs, but you don't know which is available at the run site. For example, one of the modules may be implemented with XS, or not in the core Perl distribution and thus not necessarily installed. With Best.pm, this can be as simple as: . use Best qw/YAML::Syck YAML/; Homepage: http://search.cpan.org/dist/Best/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbest-perl/libbest-perl_0.14-1_all.deb Package: libbetter-appframework-java Source: bsaf Version: 1.9-3 Installed-Size: 184 Maintainer: Debian Java Maintainers Architecture: all Provides: libappframework-java Depends: junit4, libnetx-java Size: 124186 SHA256: 291950ba1b4505ba03a61d5596dead92f7518994fd39715226eeb6d28d848d17 SHA1: a15e3d0af5487c5b4a40ae8df2991efb04075963 MD5sum: 07570a2c3e905042000a4f839af0056e Description: Java Better Swing Application Framework (library) The Better Swing Application Framework is a fork of the original Swing Application Framework (appframework) reference implementation of JSR 296. Since August 2009, the original Swing Application Framework project has been on hold, and therefore this fork was created to carry on the work until the original project resumes. . The last public release of the original appframework project was version 1.03. The BSAF project currently aims at producing a new release, version 1.9, with the primary goals of improving stability, keeping backward compatibility with SAF 1.03, fixing bugs, updating documentation, and creating more unit tests and examples. . This package contains the Java library for the Better Swing Application Framework. Homepage: http://kenai.com/projects/bsaf Tag: role::shared-lib Section: java Priority: optional Filename: pool/main/b/bsaf/libbetter-appframework-java_1.9-3_all.deb Package: libbetter-appframework-java-doc Source: bsaf Version: 1.9-3 Installed-Size: 1516 Maintainer: Debian Java Maintainers Architecture: all Size: 125634 SHA256: 645cff07e8c68757528e015d9575f6c989b4cc1cbb17ad05e6408e257b7f30de SHA1: 9c3b3a7249d0845f4ddd1f5441d468bcd6a88f21 MD5sum: 3aa136235dae3eab7b05c5ca91527858 Description: Java Better Swing Application Framework (documentation) The Better Swing Application Framework is a fork of the original Swing Application Framework (appframework) reference implementation of JSR 296. Since August 2009, the original Swing Application Framework project has been on hold, and therefore this fork was created to carry on the work until the original project resumes. . The last public release of the original appframework project was version 1.03. The BSAF project currently aims at producing a new release, version 1.9, with the primary goals of improving stability, keeping backward compatibility with SAF 1.03, fixing bugs, updating documentation, and creating more unit tests and examples. . This package contains the documentation for the Better Swing Application Framework. Homepage: http://kenai.com/projects/bsaf Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/b/bsaf/libbetter-appframework-java-doc_1.9-3_all.deb Package: libbfb0 Source: obexftp Version: 0.23-1.1+rpi1 Architecture: armhf Maintainer: Hendrik Sattler Installed-Size: 48 Depends: libc6 (>= 2.13-28) Homepage: http://triq.net/obex Priority: optional Section: libs Filename: pool/main/o/obexftp/libbfb0_0.23-1.1+rpi1_armhf.deb Size: 16496 SHA256: a6a9f2bbf4bb36d713f02f80b0ad5ee2db9703fc2c9a85ddd0cbd0c6ac050323 SHA1: 30a85e7fcc421790242755f7f8879b2bdf6bb2b0 MD5sum: bbb91458cb68bf001152ced93cf696b3 Description: bfb protocol library This library adds support for OBEX protocol over BFB/BFC, some Siemens Mobile specific protocols that are used with the serial cables for S25, S35 and almost all later phones that include a modem, also those labeled as BenQ Mobile. . This package contains the runtime library. Package: libbfb0-dev Source: obexftp Version: 0.23-1.1+rpi1 Architecture: armhf Maintainer: Hendrik Sattler Installed-Size: 61 Depends: libbfb0 (= 0.23-1.1+rpi1) Conflicts: libbfb-dev, libobexftp-dev (<< 0.22) Provides: libbfb-dev Homepage: http://triq.net/obex Priority: optional Section: libdevel Filename: pool/main/o/obexftp/libbfb0-dev_0.23-1.1+rpi1_armhf.deb Size: 17346 SHA256: 5779c5d807ab4a66634eb81a55e12374ed52d21f472d92cbde3b564cc2b5a2bd SHA1: 35e5c7449660167d047b43881051b09e8c703096 MD5sum: abd21ecee6240f97018c561ec161ecf5 Description: bfb protocol library - development files This library adds support for OBEX protocol over BFB/BFC, some Siemens Mobile specific protocols that are used with the serial cables for S25, S35 and almost all later phones that include a modem, also those labeled as BenQ Mobile. . This package contains the development files. Package: libbg1 Source: bglibs Version: 1.106-1 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 236 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Recommends: libbg1-doc Suggests: libbg1-dev Priority: optional Section: libs Filename: pool/main/b/bglibs/libbg1_1.106-1_armhf.deb Size: 154780 SHA256: 47c39f8f88fe029e8f99cc3c7e84ca525e20623a407cf056dcd6a09da3d2f221 SHA1: b53475bf042c3c45b7b94f3c6f85779f61e8b900 MD5sum: b6e7e00e902a5de94e0b217d57ad9b33 Description: BG Libraries Collection This package contains a collection of libraries written by myself [Bruce Guenter] and put in use in various packages. . The library collection is mandatory to build most of Bruce Guenter's software packages available at http://untroubled.org. . This package contains the shared libraries. Package: libbg1-dev Source: bglibs Version: 1.106-1 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 1420 Depends: libbg1 (= 1.106-1), libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Recommends: bglibs-doc Conflicts: bglibs-dev, libbg-dev Provides: bglibs-dev, libbg-dev Priority: optional Section: libdevel Filename: pool/main/b/bglibs/libbg1-dev_1.106-1_armhf.deb Size: 342886 SHA256: 261083380510d22ede54b52c6bcf41d6ed68ff4e23f225bbe0f90de8aba9838f SHA1: b9452fc3c9e976fe6c1bce9aae54d7fec2dbbd58 MD5sum: 7d2e4aa6d037969ee306864a15a95810 Description: BG Libraries Collection (development) This package contains a collection of libraries written by myself [Bruce Guenter] and put in use in various packages. . The library collection is mandatory to build most of Bruce Guenter's software packages available at http://untroubled.org. . This package contains the development files. Package: libbg1-doc Source: bglibs Version: 1.106-1 Installed-Size: 1976 Maintainer: Gerrit Pape Architecture: all Suggests: libbg1-dev Conflicts: bglibs-doc Size: 307778 SHA256: 63c6ca7cff263f2dfd1821ee8a2aa2f33348b367f34a89ff206fc38124ee904b SHA1: df12d58ab2f7fd21acc075ef8681a578144b78c6 MD5sum: feb11cf7cda8d3baeae79a639b398073 Description: BG Libraries Collection (documentation) The bglibs library collection is mandatory to build most of Bruce Guenter's software packages available at http://untroubled.org. . This package contains the documentation for bglibs. Tag: devel::doc, made-of::html, made-of::tex, role::documentation Section: doc Priority: optional Filename: pool/main/b/bglibs/libbg1-doc_1.106-1_all.deb Package: libbiblio-citation-parser-perl Version: 1.10+dfsg-1 Installed-Size: 540 Maintainer: Debian Perl Group Architecture: all Depends: perl, liburi-perl, libtext-unidecode-perl Suggests: libsoap-lite-perl Size: 179808 SHA256: bcd7a7e4accdfe3add2ac0b66fe5c17bbd8a04bf6a715e331ed24eda067ed3df SHA1: b4a7fee699ac2956b192cab47e2187ce443a33c0 MD5sum: 1aa2885f98a5123e6641557234026076 Description: citation parsing framework Biblio::Citation::Parser provides generic methods for reference parsers. This class should not be used directly, but rather be overridden by specific parsers. Parsers that extend the Parser class must provide at least the two methods defined here to ensure compatibility. Homepage: http://search.cpan.org/dist/Biblio-Citation-Parser/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbiblio-citation-parser-perl/libbiblio-citation-parser-perl_1.10+dfsg-1_all.deb Package: libbiblio-endnotestyle-perl Version: 0.05-1 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 11256 SHA256: e03cf76a267d94704d368d602eb7cab17e425c7d30d571c6b337060401fa9abb SHA1: 69f501a3faf2a6c567e5559ae50cbc377f224e11 MD5sum: 6277210376d63bcfff4720bf3b2d6e00 Description: Reference formatting using Endnote-like templates This small module provides a way of formatting bibliographic references using style templates similar to those used by the popular reference management software Endnote (http://www.endnote.com/). The API is embarrassingly simple: a formatter object is made using the class's constructor, the new() method; format() may then be repeatedly called on this object, using the same or different templates. . (The sole purpose of the object is to cache compiled templates so that multiple format() invocations are more efficient than they would otherwise be. Apart from that, the API might just as well have been a single function.) Homepage: http://search.cpan.org/dist/Biblio-EndnoteStyle/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbiblio-endnotestyle-perl/libbiblio-endnotestyle-perl_0.05-1_all.deb Package: libbiblio-isis-perl Version: 0.24-1.1 Installed-Size: 128 Maintainer: Jose Parrella Architecture: all Depends: perl (>= 5.6.0-16) Size: 37932 SHA256: e295f941fa6317ac6b5058ec44e552e761f3843f6c0f56963fa40597a409b9d9 SHA1: c2ea2e4c8a8d0ffaddd353ad873d7659d9cf9d8a MD5sum: 3297d715bae4d552ac5eeb7423453e8f Description: Perl module which reads ISIS databases This module will read ISIS databases created by DOS CDS/ISIS, WinIsis or IsisMarc. . Biblio::Isis is a Perl module which reads ISIS databases produced by CDS/ISIS programs, such as MicroISIS, WinISIS and IsisMARC. ISIS was a popular family of integrated library systems provided as freeware by UNESCO, and this module provides methods to parse full databases and use them in a Perl program in order to migrate them to other formats. . It can create hash values from data in ISIS database (using to_hash), ASCII dump (using to_ascii) or just hash with field names and packed values (like ^asomething^belse). . Unique feature of this module is ability to include_deleted records. It will also skip zero sized fields (OpenIsis has a bug in XS bindings, so fields which are zero sized will be filled with random junk from memory). . It also has support for identifiers (only if ISIS database is created by IsisMarc), see to_hash. . Homepage: http://search.cpan.org/~dpavlin/Biblio-Isis-0.24/lib/Biblio/Isis.pm Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbiblio-isis-perl/libbiblio-isis-perl_0.24-1.1_all.deb Package: libbibutils-dev Source: bibutils Version: 4.12-5 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1228 Depends: libbibutils2 (= 4.12-5) Homepage: http://www.scripps.edu/~cdputnam/software/bibutils/ Priority: optional Section: libdevel Filename: pool/main/b/bibutils/libbibutils-dev_4.12-5_armhf.deb Size: 356712 SHA256: b1e870c3532d10fdf57cde005d84d9611b924d6367402fcbabbf10ebb485fc5e SHA1: e0e9792e41232287023bd5de87ef7ac285f6cdd1 MD5sum: d481f3c8f7102a68e930a6bb8cba83de Description: bibliography file converter, development kit Convert between the following bibliographic data formats: BibTeX, COPAC, EndNote refer, EndNote XML, Pubmed XML, ISI web of science, US Library of Congress MODS, RIS, and Word 2007 bibliography. . This package contains the static library and headers for use by developers. Package: libbibutils2 Source: bibutils Version: 4.12-5 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1112 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.scripps.edu/~cdputnam/software/bibutils/ Priority: optional Section: libs Filename: pool/main/b/bibutils/libbibutils2_4.12-5_armhf.deb Size: 318254 SHA256: 5e167dd81135351e98ef87a8c44262a6b432178e5d3518f8d7966b4915db0dcd SHA1: c1d8ed78f20a8344d0d86d1a20c693441dd02212 MD5sum: 26f6c986eba591d8bd2383dd941d2672 Description: bibliography file converter, shared library Convert between the following bibliographic data formats: BibTeX, COPAC, EndNote refer, EndNote XML, Pubmed XML, ISI web of science, US Library of Congress MODS, RIS, and Word 2007 bibliography. . This package contains the shared library doing most of the work Package: libbin-prot-camlp4-dev Source: bin-prot Version: 2.0.7-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 846 Depends: camlp4-3.12.1, libtype-conv-camlp4-dev-oich0, ocaml-nox-3.12.1, ocaml-findlib, camlp4, libtype-conv-camlp4-dev, libc6 (>= 2.13-28) Provides: libbin-prot-camlp4-dev-lkay7 Homepage: http://ocaml.janestreet.com/?q=node/13 Priority: extra Section: ocaml Filename: pool/main/b/bin-prot/libbin-prot-camlp4-dev_2.0.7-1_armhf.deb Size: 278724 SHA256: 328c7ab647bbb5bf5f95442ba0f4245a5d126a14636b83b1d6821f3f485211ed SHA1: a4d043ac829e15cf8fa7b5ed8cda3906d5551658 MD5sum: daa9d6502598ca167c739828a49c330e Description: type-safe binary serialization for OCaml values bin-prot provides functionalities for reading and writing OCaml-values in a type-safe binary protocol. . These functions provide a safe way of performing I/O on any extensionally defined data type. Functions, objects, and values whose type is bound through a polymorphic record field are not supported, but everything else is. . There is no support for cyclic or shared values and only little endian computer architectures are supported. Package: libbind-config-parser-perl Version: 0.01-1 Installed-Size: 53 Maintainer: Debian Perl Group Architecture: all Depends: perl, libparse-recdescent-perl Size: 7080 SHA256: 6eeb8576efa0f7608d77a08cd9c2295272192805259bd73bca5da727fc24fe83 SHA1: 8e42aa77754a46dee5443cecc9f047d8601c4a0c MD5sum: 6e49965a32df50fc758facb6ac086202 Description: parser for BIND Config files BIND::Config::Parser provides a lightweight parser to the configuration file syntax of BIND v8 and v9 using a Parse::RecDescent grammar. . It is in a similar vein to BIND::Conf_Parser. However, as it has no knowledge of the directives, it doesn't need to be kept updated as new directives are added, it simply knows how to carve up a BIND configuration file into logical chunks. Homepage: http://search.cpan.org/dist/BIND-Config-Parser/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbind-config-parser-perl/libbind-config-parser-perl_0.01-1_all.deb Package: libbind-confparser-perl Version: 0.95-3 Installed-Size: 108 Maintainer: Ivan Kohler Architecture: all Depends: perl (>= 5.6.0-16) Size: 17624 SHA256: ac3c5ab460c4199d2f639d619f4e05eb5cd9ddf4521c067a2855b6f1f330b8a9 SHA1: 7eafc4094fa97e8b146bf99f98e34b2456aad8b9 MD5sum: 4b675fe551c67a2ea14454c591d52c3b Description: Parser class for BIND configuration files BIND::Conf_Parser implements a virtual base class for parsing BIND (Berkeley Internet Name Domain) server version 8 (and sometimes version 9) configuration files ("named.conf"). The parsing methods shown in the synopsis perform syntactic analysis only. As each meaningful semantic 'chunk' is parsed, a callback method is invoked with the parsed information. Tag: devel::lang:perl, devel::library, implemented-in::perl, protocol::dns Section: perl Priority: optional Filename: pool/main/libb/libbind-confparser-perl/libbind-confparser-perl_0.95-3_all.deb Package: libbind-dev Source: bind9 Version: 1:9.8.4.dfsg.P1-6+nmu2+deb7u20 Architecture: armhf Maintainer: LaMont Jones Installed-Size: 4345 Depends: libdns88 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libisccfg82 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libisc84 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), liblwres80 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20), libbind9-80 (= 1:9.8.4.dfsg.P1-6+nmu2+deb7u20) Conflicts: bind-dev Replaces: bind-dev Priority: optional Section: libdevel Filename: pool/main/b/bind9/libbind-dev_9.8.4.dfsg.P1-6+nmu2+deb7u20_armhf.deb Size: 1524766 SHA256: 4958ea6e3106901526c6e36e36444a622e0624e5c95a9e1abd88006c118cb280 SHA1: 52b27f220d870869b794b8b0b9de556c24c7d224 MD5sum: 47f6227d027ef128ced57951afdb074a Description: Static Libraries and Headers used by BIND This package delivers archive-style libraries, header files, and API man pages for libbind, libdns, libisc, and liblwres. These are only needed if you want to compile other packages that need more nameserver API than the resolver code provided in libc. Package: libbind4 Source: libbind Version: 6.0-1 Architecture: armhf Maintainer: Robert S. Edmonds Installed-Size: 329 Depends: libc6 (>= 2.4) Homepage: http://www.isc.org/software/libbind Priority: extra Section: libs Filename: pool/main/libb/libbind/libbind4_6.0-1_armhf.deb Size: 146844 SHA256: 97fef2b699be3263815a3806c0c5885a46f8e4faa3556f301c7a9f31a37cd0e7 SHA1: baa0266901d06e655562244e5801d8693dac04ac MD5sum: adb16607eb94eed05c60faa6a2c841e3 Description: DNS resolver and message parsing library libbind contains the standard resolver library that was distributed in BIND9 prior to version 9.6. Included are functions that communicate with domain name servers, parse DNS messages, retrieve network host entries from /etc/hosts or via DNS, convert CIDR network addresses, perform Hesiod information lookups, retrieve network entries from /etc/networks, implement TSIG transaction/request security of DNS messages, perform name-to-address and address-to-name translations, and use /etc/resolv.conf for resolver configuration. . This package contains the shared library. Package: libbind4-dev Source: libbind Version: 6.0-1 Architecture: armhf Maintainer: Robert S. Edmonds Installed-Size: 642 Depends: libbind4 (= 6.0-1) Homepage: http://www.isc.org/software/libbind Priority: extra Section: libdevel Filename: pool/main/libb/libbind/libbind4-dev_6.0-1_armhf.deb Size: 203414 SHA256: d3c1d07f6a2459374ddc767bd3a63433bfff7fb1cca3111bd83d3d0ee06b2515 SHA1: cfcb13338399b04fe44f901c8dad28ac84d338b0 MD5sum: 9f6a017234f54ebc7172ff128d89aec8 Description: DNS resolver and message parsing static library and headers libbind contains the standard resolver library that was distributed in BIND9 prior to version 9.6. Included are functions that communicate with domain name servers, parse DNS messages, retrieve network host entries from /etc/hosts or via DNS, convert CIDR network addresses, perform Hesiod information lookups, retrieve network entries from /etc/networks, implement TSIG transaction/request security of DNS messages, perform name-to-address and address-to-name translations, and use /etc/resolv.conf for resolver configuration. . This package contains the static library and development headers. Package: libbind9-80 Source: bind9 Version: 1:9.8.4.dfsg.P1-6+nmu2+deb7u20 Architecture: armhf Maintainer: LaMont Jones Installed-Size: 66 Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libdns88, libisc84, libisccfg82, libxml2 (>= 2.6.27) Conflicts: libbind0, libbind9-41 Replaces: libbind0 Priority: standard Section: libs Filename: pool/main/b/bind9/libbind9-80_9.8.4.dfsg.P1-6+nmu2+deb7u20_armhf.deb Size: 43370 SHA256: 4c3009bb227f3caa8050c771d05e300fb3664361de78470df168622898ebb5ce SHA1: d83f55ee6c1f2799e46509537ff5d4d80584caed MD5sum: 9637717c833faa3b4adae6f067ba6509 Description: BIND9 Shared Library used by BIND The Berkeley Internet Name Domain (BIND) implements an Internet domain name server. BIND is the most widely-used name server software on the Internet, and is supported by the Internet Software Consortium, www.isc.org. This package delivers the libbind9 shared library used by BIND's daemons and clients. Package: libbindex-java Source: bindex Version: 2.2+svn101-1 Installed-Size: 91 Maintainer: Debian Java Maintainers Architecture: all Size: 60324 SHA256: 749395ebf5a0b96236939f16e2e75670adea3c91aebb9e8f46e6c7103568d1e3 SHA1: ab444c40c54ec13c065b616f16d95aac915b6ba1 MD5sum: 23c513d5438919c4014b0f6cef70a80f Description: OSGi BIndex reader BIndex is a small Java progam that implements the manifest header to repository format mapping as described in RFC-0112 Bundle Repository. BIndex can recurse over a directory structure and just creates a repository.xml file. The URLs can be rewritten using a template. Homepage: http://www.osgi.org/Repository/BIndex Section: java Priority: optional Filename: pool/main/b/bindex/libbindex-java_2.2+svn101-1_all.deb Package: libbinio-dev Source: libbinio Version: 1.4+dfsg1-1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 117 Depends: libbinio1ldbl (= 1.4+dfsg1-1) Homepage: http://libbinio.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/libb/libbinio/libbinio-dev_1.4+dfsg1-1_armhf.deb Size: 26222 SHA256: 98054390dda3c16f50163b108b257258e3507ba4b854e866eea6447a1152d068 SHA1: 76e90dc507f5a10cb67d656d05dfea809c7972b0 MD5sum: b32aadbd45c9e08618957c7ada86162b Description: Binary I/O stream class library (development files) The binary I/O stream class library presents a platform-independent way to access binary data streams in C++. The library is hardware independent in the form that it transparently converts between the different forms of machine-internal binary data representation. It further employs no special I/O protocol and can be used on arbitrary binary data sources. . This package contains the development files. Package: libbinio1ldbl Source: libbinio Version: 1.4+dfsg1-1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 93 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: libbinio1c2 Replaces: libbinio1c2 Homepage: http://libbinio.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libb/libbinio/libbinio1ldbl_1.4+dfsg1-1_armhf.deb Size: 25628 SHA256: bacea8d5e036bc5be9401b5745e8199746fd87d3befdb359ba55ffd1fa3c3755 SHA1: 1c348f891a976b136f19af6ff1d74ebfa6b089f4 MD5sum: 51e7191bf4aa0b195ec356690a89db1f Description: Binary I/O stream class library The binary I/O stream class library presents a platform-independent way to access binary data streams in C++. The library is hardware independent in the form that it transparently converts between the different forms of machine-internal binary data representation. It further employs no special I/O protocol and can be used on arbitrary binary data sources. Package: libbiniou-ocaml Source: biniou Version: 1.0.0-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 77 Depends: libeasy-format-ocaml-olwe5, ocaml-base-nox-3.12.1 Recommends: ocaml-findlib Provides: libbiniou-ocaml-6i1k1 Homepage: http://martin.jambon.free.fr/biniou.html Priority: optional Section: ocaml Filename: pool/main/b/biniou/libbiniou-ocaml_1.0.0-1_armhf.deb Size: 13284 SHA256: 110f9b059d9c0b1393d4479c2f6f635005bb74a278c15ce5b5357a09ede303e2 SHA1: cbc0096a37cc14118e7117c33ac07ab4d5396061 MD5sum: baee0984a6b45dd0deeddde0a743e776 Description: flexible binary data format in OCaml Biniou is a binary data format designed for speed, safety, ease of use and backward compatibility as protocols evolve. Biniou is vastly equivalent to JSON in terms of functionality but allows implementations about 4 times as fast (see godi-yojson for comparison), with 25-35% space savings. Biniou data can be decoded into human-readable form without knowledge of type definitions except for field and variant names which are represented by 31-bit hashes. . This package contains the shared runtime libraries. Package: libbiniou-ocaml-dev Source: biniou Version: 1.0.0-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 430 Depends: libbiniou-ocaml-6i1k1, libeasy-format-ocaml-dev-olwe5, ocaml-nox-3.12.1 Recommends: ocaml-findlib Provides: libbiniou-ocaml-dev-6i1k1 Homepage: http://martin.jambon.free.fr/biniou.html Priority: optional Section: ocaml Filename: pool/main/b/biniou/libbiniou-ocaml-dev_1.0.0-1_armhf.deb Size: 74078 SHA256: f59b33fbbb16b2398eb0a77fc7e4a287f218d7d02392893571d115e64283aa8f SHA1: be0c01b1892c8b9acb25d480598e68a99d190303 MD5sum: f687f74150153ae6b29a93aed7f717c4 Description: flexible binary data format in OCaml Biniou is a binary data format designed for speed, safety, ease of use and backward compatibility as protocols evolve. Biniou is vastly equivalent to JSON in terms of functionality but allows implementations about 4 times as fast (see godi-yojson for comparison), with 25-35% space savings. Biniou data can be decoded into human-readable form without knowledge of type definitions except for field and variant names which are represented by 31-bit hashes. Package: libbio-asn1-entrezgene-perl Version: 1.100-1 Installed-Size: 134 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 40614 SHA256: c9c1f2642545eb99e575f5427823ccc7e75139bb341021cf23e1dd6be18b0876 SHA1: 5ebd3d45cf890331e5c6833080c3f759e0224f0e MD5sum: f5136f368181fd092430f5f6439c22a3 Description: parser for NCBI Entrez Gene and NCBI Sequence records Bio::ASN1::EntrezGene and Bio::ASN1::Sequence are regular expression-based parsers for NCBI Entrez Gene genome databases (). . They parse ASN.1-formatted Entrez Gene records and NCBI sequences, returning data structures that contain all data items from the gene records or the sequence records. . The parser will report error & line number if input data does not conform to the NCBI Entrez Gene genome or NCBI Sequence annotation file format. . Bio::ASN1::Sequence is basically a modified version of the high-performance Bio::ASN1::EntrezGene parser. However this standalone module exists since it is more efficient to keep Sequence-specific code out of EntrezGene.pm. Homepage: http://search.cpan.org/dist/Bio-ASN1-EntrezGene/ Tag: devel::lang:perl, field::biology, implemented-in::perl, works-with-format::plaintext Section: perl Priority: optional Filename: pool/main/libb/libbio-asn1-entrezgene-perl/libbio-asn1-entrezgene-perl_1.100-1_all.deb Package: libbio-chado-schema-perl Version: 0.10010-1 Installed-Size: 939 Maintainer: Debian Perl Group Architecture: all Depends: perl, libbio-perl-perl, libcarp-clan-perl, libdbix-class-perl, libdbix-class-tree-nestedset-perl Size: 111884 SHA256: 1255e9a67cc36cf21e51baacd8d1b23e616d82eb1934d98637c825ed1f9e1716 SHA1: 1987cc7c2f11cc7a606dc83e5944b8bbd3c20d5f MD5sum: 5303491677985331db76a157f2f3bc15 Description: DBIx::Class layer for the Chado database schema The module Bio::Chado::Schema is a standard object-relational mapping layer for use with the GMOD Chado database schema. Chado is an open-source modular database schema for biological data. Homepage: http://search.cpan.org/dist/Bio-Chado-Schema/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libb/libbio-chado-schema-perl/libbio-chado-schema-perl_0.10010-1_all.deb Package: libbio-das-lite-perl Version: 2.04-1.1 Installed-Size: 112 Maintainer: Richard Holland Architecture: all Depends: perl, libio-stringy-perl (>= 2.110), libreadonly-perl (>= 1.03), libwww-curl-perl (>= 4.07), libwww-perl (>= 1.49) Size: 27344 SHA256: 68ada410069b1babd13f9189bc798cffbdd0785431978c6a24fcb8b6f7d87972 SHA1: 5183536d02b5374ed59c64b059ea1e654ef9a857 MD5sum: 0e04f8f2f16c79cd76d86e8b80aab27a Description: implementation of the BioDas protocol Bio::Das::Lite is an implementation of the BioDas protocol for the retrieval of biological data from XML sources over HTTP. . Bio::Das::Lite is designed as a lightweight and more forgiving alternative to the client/retrieval/parsing components of Bio::Das. Bio::Das::Lite itself is not a drop-in replacement for Bio::Das but it can be subclassed to do so. Homepage: http://biodas.org/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbio-das-lite-perl/libbio-das-lite-perl_2.04-1.1_all.deb Package: libbio-graphics-perl Version: 2.26-1 Installed-Size: 1582 Maintainer: Debian Med Packaging Team Architecture: all Depends: perl, bioperl (>= 1.6.0), libgd-gd2-perl (>= 2.3) Recommends: libbio-scf-perl, libfile-spec-perl, libgd-svg-perl, libstatistics-descriptive-perl Breaks: bioperl (<< 1.6.0) Size: 669284 SHA256: 74aa3474d218648b4442bf10cd236959b859c2771057a31cade8ef1a181de00f SHA1: 7889dc8d9ca21c62f808b734bde8d3b88ccca420 MD5sum: faf617f92b9dc3cf90bdd5be67ef2721 Description: Generate GD images of Bio::Seq objects The Bio::Graphics::Panel class provides drawing and formatting services for any object that implements the Bio::SeqFeatureI interface, including Ace::Sequence::Feature, Das::Segment::Feature and Bio::DB::Graphics objects. It can be used to draw sequence annotations, physical (contig) maps, protein domains, or any other type of map in which a set of discrete ranges need to be laid out on the number line. Homepage: http://search.cpan.org/dist/Bio-Graphics/ Tag: devel::lang:perl, devel::library, field::biology, field::biology:bioinformatics, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbio-graphics-perl/libbio-graphics-perl_2.26-1_all.deb Package: libbio-mage-perl Version: 20030502.3-2 Installed-Size: 7528 Maintainer: Debian Med Packaging Team Architecture: all Depends: perl, libtie-ixhash-perl Size: 1732998 SHA256: 30a8e907374f4e27cf53f8545774b9762b8baebe1c30284ec3f604fcbd04b213 SHA1: 338f09fef1eec1b57b863b4be547e9e3149e3dd8 MD5sum: 52ab3e2e1eb9bf3e1f52fee80c344559 Description: Container module for classes in the MAGE package: MAGE MAGE-TAB (MicroArray Gene Expression Tabular) format is a standard from the Microarray Gene Expression Data Society (MGED). This package contains Perl modules in the Bio::MAGE hierarchy to manipulate MIAME-compliant (Minimum Information About a Microarray Experiment) records of microarray ("DNA chips") experiments. . The Bio::MAGE module contains the following Bio::MAGE classes: * NameValueType * Extendable * Identifiable * Describable Homepage: http://mged.sourceforge.net/ Tag: field::biology, field::biology:bioinformatics, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libb/libbio-mage-perl/libbio-mage-perl_20030502.3-2_all.deb Package: libbio-mage-utils-perl Version: 20030502.0-1 Installed-Size: 316 Maintainer: Debian Med Packaging Team Architecture: all Depends: perl, libtie-ixhash-perl Suggests: libbio-mage-perl Size: 67458 SHA256: 6c3649d1e3a463c0d24187a25a4787f4d9c73007736512f633e57681e638d892 SHA1: da7dc29e03bcd6575abbd07886448b48ac66e43f MD5sum: 896f13201977ede41cff59f709186869 Description: Extra modules for classes in the MAGE package: MAGE MAGE-TAB (MicroArray Gene Expression Tabular) format is a standard from the Microarray Gene Expression Data Society (MGED). This package contains Perl modules in the Bio::MAGE hierarchy to manipulate MIAME-compliant (Minimum Information About a Microarray Experiment) records of microarray ("DNA chips") experiments. . Bio-MAGE-Utils contains extra modules for handling MAGE XML and MGED ontology, as well as SQL utilities. Homepage: http://mged.sourceforge.net/ Tag: field::biology, field::biology:bioinformatics, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbio-mage-utils-perl/libbio-mage-utils-perl_20030502.0-1_all.deb Package: libbio-perl-perl Source: bioperl Version: 1.6.901-3 Installed-Size: 15451 Maintainer: Debian Med Packaging Team Architecture: all Replaces: bioperl (<< 1.6.9) Depends: libio-string-perl, libdata-stag-perl, perl Recommends: bioperl-run (>= 1.6.0) | libbio-perl-run-perl (>= 1.6.0), libace-perl, libalgorithm-munkres-perl, libarray-compare-perl, libbio-asn1-entrezgene-perl, libclone-perl, libconvert-binary-c-perl, libdbd-sqlite3-perl, libdbd-mysql-perl, libdbd-pg-perl, libgraph-perl, libgraphviz-perl, libhtml-parser-perl, liblist-moreutils-perl, libpostscript-perl, libset-scalar-perl, libsoap-lite-perl, libsort-naturally-perl, libspreadsheet-parseexcel-perl, libspreadsheet-writeexcel-perl, libstorable-perl, libsvg-perl, libsvg-graph-perl, liburi-perl, libxml-dom-xpath-perl, libxml-parser-perl, libxml-sax-perl, libxml-sax-writer-perl, libxml-simple-perl, libxml-twig-perl, libxml-writer-perl, libxml-libxml-perl, libwww-perl Suggests: bioperl, libmath-random-perl, libxml-sax-expatxs-perl Breaks: bioperl (<< 1.6.9) Size: 6143148 SHA256: 1dbf2a3e63f1ba8b1e4c6adfef507f8f6af98fb5f31d0fb90f6683c256989ef5 SHA1: d50cd030b2b54fef0f0e88bfa66e6c42e3cdb62f MD5sum: fadb367be97043a869688371ef68215e Description: BioPerl core perl modules BioPerl is a toolkit of perl modules useful in building bioinformatics solutions in Perl. It is built in an object-oriented manner so that many modules depend on each other to achieve a task. The collection of modules in libbio-perl-perl consist of the core of the functionality of bioperl. Homepage: http://www.bioperl.org/ Tag: devel::lang:perl, devel::library, field::biology:bioinformatics, implemented-in::perl Section: perl Priority: optional Filename: pool/main/b/bioperl/libbio-perl-perl_1.6.901-3_all.deb Package: libbio-perl-run-perl Source: bioperl-run Version: 1.6.9-1 Installed-Size: 2720 Maintainer: Debian Med Packaging Team Architecture: all Replaces: bioperl-run (<< 1.6.9) Depends: perl, libbio-perl-perl (>= 1.6.0) Recommends: libalgorithm-diff-perl, libipc-run-perl, libio-string-perl, libxml-twig-perl, libwww-perl Breaks: bioperl-run (<< 1.6.9) Size: 883144 SHA256: fb3176991b21ac37b72dc8623de24e7c74d5d8a7c96806e63e3f5876aab249b2 SHA1: e7b69a6b00a1c14f29d3b77208365d4fead78b6c MD5sum: 55b4780650081df7d2032cb2d892d975 Description: BioPerl wrappers: modules Contains modules that provide a Perl interface to various bioinformatics applications to allow them to be used with common BioPerl objects. Homepage: http://www.bioperl.org/wiki/Run_package Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/b/bioperl-run/libbio-perl-run-perl_1.6.9-1_all.deb Package: libbio-primerdesigner-perl Version: 0.07-1 Installed-Size: 196 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libwww-perl, libclass-base-perl, libreadonly-perl, primer3, ncbi-epcr Size: 52786 SHA256: a82c82c5fffad036572d43d64bfded99de35a31af3df04c13f6a79115320ec13 SHA1: 088807fe3981737ea3c1449875acb563ba886736 MD5sum: d0f5f446c72be514f72ea4737d7794b2 Description: Perl module to design PCR primers using primer3 and epcr Bio::PrimerDesigner provides a low-level interface to the primer3 and epcr binary executables and supplies methods to return the results. In addition to accessing local installations of primer3 or e-PCR, it also offers the ability to accessing the primer3 binary via a remote server. Homepage: http://search.cpan.org/dist/Bio-PrimerDesigner/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbio-primerdesigner-perl/libbio-primerdesigner-perl_0.07-1_all.deb Package: libbio-ruby Source: ruby-bio Version: 1.4.2-3 Installed-Size: 78 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-bio Size: 51182 SHA256: 0396299e1d4ede481e437e1bb031d15654e34ef8b5a09a82e53b153a0a5affe7 SHA1: c2355cb3cb71e0310b00ee98bda27032914c806a MD5sum: 0899e25adf2de50579758f87f3356384 Description: Transitional package for ruby-bio This is a transitional package to ease upgrades to the ruby-bio package. It can safely be removed. Homepage: http://www.bioruby.org/ Tag: devel::lang:ruby, devel::library, field::biology, role::metapackage Section: oldlibs Priority: extra Filename: pool/main/r/ruby-bio/libbio-ruby_1.4.2-3_all.deb Package: libbio-ruby1.8 Source: ruby-bio Version: 1.4.2-3 Installed-Size: 78 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-bio Size: 51194 SHA256: 7029c80db6e03ca382e9b4905d4c0fef836c58caf24ab0cc663d2f24acade102 SHA1: cbcabaa8f5bbefccbab7a30c75fc1f66b5d3b542 MD5sum: 10d5a79127ecc04256798d5e4c4cfb2b Description: Transitional package for ruby-bio This is a transitional package to ease upgrades to the ruby-bio package. It can safely be removed. Homepage: http://www.bioruby.org/ Tag: devel::lang:ruby Section: oldlibs Priority: extra Filename: pool/main/r/ruby-bio/libbio-ruby1.8_1.4.2-3_all.deb Package: libbio-samtools-perl Version: 1.33-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 549 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.7), zlib1g (>= 1:1.2.3.3), libbio-perl-perl (>= 1.6.901) Enhances: gbrowse, samtools Homepage: http://search.cpan.org/dist/Bio-SamTools/ Priority: optional Section: perl Filename: pool/main/libb/libbio-samtools-perl/libbio-samtools-perl_1.33-1_armhf.deb Size: 204512 SHA256: ca98f1a0994d8986b5c66ccd475d44d587aff24c9ddf4ef259ead40f41dd99e0 SHA1: 09f7977b6727b5fb8d5712f74258759a5e17e7a7 MD5sum: 161d7d523a4702e803065f181032b889 Description: Perl interface to SamTools library for DNA sequencing Bio::SamTools provides a Perl interface to the libbam library for indexed and unindexed SAM/BAM sequence alignment databases. It provides support for retrieving information on individual alignments, read pairs, and alignment coverage information across large regions. It also provides callback functionality for calling SNPs and performing other base-by-base functions. Most operations are compatible with the BioPerl Bio::SeqFeatureI interface, allowing BAM files to be used as a backend to the GBrowse genome browser application. Package: libbio-scf-perl Version: 1.03-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 118 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libstaden-read1 (>= 1.12.4) Homepage: http://search.cpan.org/dist/Bio-SCF/ Priority: optional Section: perl Filename: pool/main/libb/libbio-scf-perl/libbio-scf-perl_1.03-1_armhf.deb Size: 23670 SHA256: 21e64b9b8ab70422c32ee8e01dc9d7a5491b85b52fc7424786545fe9707cd1c0 SHA1: f4b8d958d3f0ff7e92cb824e603f33de8577b71d MD5sum: 36a8346181f4c059100c94fbe44ba73b Description: Perl extension for reading and writting SCF sequence files The Perl SCF (Standard Chromatogram Format) module allows you to read and update (in a restricted way) SCF chromatographic sequence files. It is an interface to Roger Staden's io-lib. It has both tied hash and an object-oriented interfaces. It provides the ability to read fields from SCF files and limited ability to modify them and write them back. Package: libbio2jack0 Source: bio2jack Version: 0.9-2.1 Architecture: armhf Maintainer: Anibal Avelar Installed-Size: 64 Depends: libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libsamplerate0 (>= 0.1.7) Homepage: http://bio2jack.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/b/bio2jack/libbio2jack0_0.9-2.1_armhf.deb Size: 21972 SHA256: 797de8561fe148a77c52fcc99462f375978b078dc2a8c6ef961ad5b32c7452c3 SHA1: fee8b696c9a0678103676246235064e5ce1adad9 MD5sum: bfbbbf8e8b7a9a92ee55f5598021f471 Description: oss/alsa to jack porting lib - runtime files A library that allows for simple porting of general purposed bio (blocked io) OSS/ALSA audio applications to Jack. . oss/alsa to jack porting lib - runtime files Package: libbio2jack0-dev Source: bio2jack Version: 0.9-2.1 Architecture: armhf Maintainer: Anibal Avelar Installed-Size: 84 Depends: libbio2jack0 (= 0.9-2.1), libjack-dev Homepage: http://bio2jack.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/b/bio2jack/libbio2jack0-dev_0.9-2.1_armhf.deb Size: 22968 SHA256: ea00121cbdac2fa4d539ac12bc1d44ccb46ae1e0becd3f303f85a2e8a4c3c958 SHA1: 3861eaa54ffe617506205e6acde9c8d6eb9b8a06 MD5sum: 66224f5c40c9668edd817a3c0ee8376b Description: oss/alsa to jack porting lib - development files A library that allows for simple porting of general purposed bio (blocked io) OSS/ALSA audio applications to Jack. . oss/alsa to jack porting lib - development files Package: libbiococoa-dev Source: biococoa Version: 2.2.2-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 436 Depends: libbiococoa2 (= 2.2.2-1), libgnustep-base-dev, gnustep-fslayout-fhs Homepage: http://bioinformatics.org/biococoa/ Priority: optional Section: libdevel Filename: pool/main/b/biococoa/libbiococoa-dev_2.2.2-1_armhf.deb Size: 130200 SHA256: 15b4c5c5181adedfbb6209a019c28b30590891f8df64296ee82e8705a6dc54db SHA1: 7a61c15da0d2081eeb6822cf4b2fc967b2e55a18 MD5sum: 33b4ee574b3e1735b859f14d82ffe1e3 Description: Bioinformatics framework for GNUstep and Cocoa (development files) BioCocoa is an open source Cocoa framework for bioinformatics. It intends to provide Cocoa programmers with a full suite of tools for handling and manipulating biological sequences. Cocoa is a great framework for rapid application development and it is therefore often used to create innovative bioscientific apps. To speed up development even more, BioCocoa wants to offer reusable Cocoa classes that are specific for molecular biology and biofinformatics. At this time, BioCocoa offers model classes for biological sequences, controller classes for alignment, sequence manipulation and I/O, interfacing with ENTREZ and view classes that let you easily display and work with sequences in your own applications. . This package contains the development files, documentation and examples for developing GNUstep applications using the BioCocoa framework. Package: libbiococoa2 Source: biococoa Version: 2.2.2-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 444 Depends: gnustep-base-runtime (>= 1.22.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libobjc3 (>= 4.2.1), gnustep-fslayout-fhs Homepage: http://bioinformatics.org/biococoa/ Priority: optional Section: libs Filename: pool/main/b/biococoa/libbiococoa2_2.2.2-1_armhf.deb Size: 130424 SHA256: a413a8269bcc69534a110f168712645c6d2eb7b84ab45bf25e97ed3e9bd7a9af SHA1: 69776dd4c3f09af7570214ef0f1d48dca2daace9 MD5sum: 1abb74df397c848a023dd021cb66544c Description: Bioinformatics framework for GNUstep and Cocoa (library files) BioCocoa is an open source Cocoa framework for bioinformatics. It intends to provide Cocoa programmers with a full suite of tools for handling and manipulating biological sequences. Cocoa is a great framework for rapid application development and it is therefore often used to create innovative bioscientific apps. To speed up development even more, BioCocoa wants to offer reusable Cocoa classes that are specific for molecular biology and biofinformatics. At this time, BioCocoa offers model classes for biological sequences, controller classes for alignment, sequence manipulation and I/O, interfacing with ENTREZ and view classes that let you easily display and work with sequences in your own applications. . This package contains the runtime libraries. Package: libbiojava-java Source: biojava-live Version: 1:1.7.1-2 Installed-Size: 184 Maintainer: Debian Med Packaging Team Architecture: all Replaces: libbiojava1.6-java Depends: libbiojava1.7-java Conflicts: libbiojava1.6-java Size: 50338 SHA256: fd4a91e200d158d03b98cc6c8dcc7a8a30c69eed726e321158937ff826e69756 SHA1: b94a85471382c95a4f26d2965e24390771f171c8 MD5sum: 2de4b0e75456cbb8fcad63d4fd255b25 Description: Java API to biological data and applications (default version) BioJava is an open-source project dedicated to providing a Java framework for processing biological data. It includes objects for manipulating sequences, file parsers, DAS client and server support, access to BioSQL and Ensembl databases, and powerful analysis and statistical routines including a dynamic programming toolkit. . BioJava is provided by a vibrant community which meets annually at the Bioinformatics Open Source Conference (BOSC) that traditionally accompanies the Intelligent Systems in Molecular Biology (ISMB) meeting. Much like BioPerl, the employment of this library is valuable for everybody active in the field because of the many tricks of the trade one learns just by communicating on the mailing list. . This is a wrapper package which should enable smooth upgrades to new versions. Homepage: http://www.biojava.org Tag: devel::lang:java, devel::library, field::biology, field::biology:bioinformatics, role::devel-lib Section: science Priority: optional Filename: pool/main/b/biojava-live/libbiojava-java_1.7.1-2_all.deb Package: libbiojava-java-demos Source: biojava-live Version: 1:1.7.1-2 Installed-Size: 3802 Maintainer: Debian Med Packaging Team Architecture: all Recommends: libbiojava-java Size: 3557376 SHA256: 614ba86d717fd50362478390ad42e4a6a4de0568f72c61778120ed6237a396d9 SHA1: 60c686f976306221598cdd9963c3793843ceca32 MD5sum: fb1a60110b1cbde70e35615c1c9b208d Description: Example programs for BioJava The package comes with a wealth of use cases for BioJava. It is generated together with the API documentation but because of its size of ~3.7MB it was decided to distribute it separately. Homepage: http://www.biojava.org Section: science Priority: optional Filename: pool/main/b/biojava-live/libbiojava-java-demos_1.7.1-2_all.deb Package: libbiojava-java-doc Source: biojava-live Version: 1:1.7.1-2 Installed-Size: 71349 Maintainer: Debian Med Packaging Team Architecture: all Recommends: konqueror | html-browser Suggests: libbiojava-java-demos, libbiojava-java Size: 4846656 SHA256: 6d410aba8dde11ab584b5eb81a65fbba5ead553bc2410d9baa7239206cf9fd25 SHA1: 2f1db10b850c8e3a91f4abda412b44f7e6a2366d MD5sum: fb2a1396d1811d1363923732a825ba5c Description: [Biology] Documentation for BioJava BioJava is an open-source project dedicated to providing a Java framework for processing biological data. . This package contains the HTML documentation describing the API of BioJava which was generated automatically by JavaDoc. Homepage: http://www.biojava.org Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/b/biojava-live/libbiojava-java-doc_1.7.1-2_all.deb Package: libbiojava1.7-java Source: biojava-live Version: 1:1.7.1-2 Installed-Size: 3498 Maintainer: Debian Med Packaging Team Architecture: all Replaces: libbiojava1.6-java Depends: libcommons-pool-java (>= 1.1), libcommons-collections-java (>= 2.1), libcommons-dbcp-java (>= 1.1), libhsqldb-java, libbytecode-java Suggests: java-virtual-machine, libjgrapht-java, libbiojava-java, libbiojava-java-doc Conflicts: libbiojava1.6-java Size: 3197578 SHA256: d8b341ae0a23e735afc958459f1ee9b42c2b66b7959706c2010797dabf13ec20 SHA1: 42ae217bdbc45610e13299441864653ce089f71b MD5sum: 64ead9d32a59ed5a3ddacf93309e7c0a Description: Java API to biological data and applications (version 1.7) This package presents the Open Source Java API to biological databases and a series of mostly sequence-based algorithms. The APIs are not compatible between versions. In analogy to the dealing with shared libraries of the C language, the jar file of this package has the API version in its name. It is symbolically linked to the expected biojava.jar filename in the package libbiojava-java. This will help keeping multiple versions of the biojava API in parallel. Homepage: http://www.biojava.org Section: science Priority: optional Filename: pool/main/b/biojava-live/libbiojava1.7-java_1.7.1-2_all.deb Package: libbiojava3-java Source: biojava3-live Version: 3.0.4-1 Installed-Size: 28 Maintainer: Debian Med Packaging Team Architecture: all Provides: libbiojava3-java Depends: libbiojava3.0-java Size: 2742 SHA256: eb53ff788b78bc651e35a2c0f7bab6e23350359b8810c9f06e283860e6248e7b SHA1: c73ab0ede2f70f3b6aaa655b43b1ad3bafdd705c MD5sum: 08ba4eb5dc517de5c4ecbf9ff6895ef9 Description: Java API to biological data and applications (default version) BioJava is an open-source project dedicated to providing a Java framework for processing biological data. It includes objects for manipulating sequences, file parsers, server support, access to BioSQL and Ensembl databases, and powerful analysis and statistical routines including a dynamic programming toolkit. . BioJava is provided by a vibrant community which meets annually at the Bioinformatics Open Source Conference (BOSC) that traditionally accompanies the Intelligent Systems in Molecular Biology (ISMB) meeting. Much like BioPerl, the employment of this library is valuable for everybody active in the field because of the many tricks of the trade one learns just by communicating on the mailing list. . This is a wrapper package which should enable smooth upgrades to new versions. Homepage: http://www.biojava.org Section: java Priority: optional Filename: pool/main/b/biojava3-live/libbiojava3-java_3.0.4-1_all.deb Package: libbiojava3-java-doc Source: biojava3-live Version: 3.0.4-1 Installed-Size: 32301 Maintainer: Debian Med Packaging Team Architecture: all Recommends: konqueror | html-browser Suggests: libbiojava3-java-demos, libbiojava3-java Size: 2161198 SHA256: 5fdbea7af7fffea30c8575a91466ede3ee5bb2a1e670fc6db56404c3619c3d25 SHA1: ee46b67df18f37ba08206539931d1d3d643e21ba MD5sum: ea85c32dd72fcd6510efa3009f8510f5 Description: [Biology] Documentation for BioJava BioJava is an open-source project dedicated to providing a Java framework for processing biological data. . This package contains the HTML documentation describing the API of BioJava which was generated automatically by JavaDoc. Homepage: http://www.biojava.org Section: doc Priority: optional Filename: pool/main/b/biojava3-live/libbiojava3-java-doc_3.0.4-1_all.deb Package: libbiojava3.0-java Source: biojava3-live Version: 3.0.4-1 Installed-Size: 3256 Maintainer: Debian Med Packaging Team Architecture: all Depends: libcommons-pool-java (>= 1.1), libcommons-collections-java (>= 2.1), libcommons-dbcp-java (>= 1.1), libhsqldb-java, libbytecode-java, jmol-applet, icedtea-netx, libjson-simple-java Suggests: java-virtual-machine, libjgrapht-java, libbiojava3-java, libbiojava3-java-doc Size: 3121448 SHA256: 0474a3f1cdfde71dd170e162e8d7f145b107e094826eb89ef164a2037d2dff38 SHA1: d529f657c4cfb6529edfcd14e49e844eb6dda763 MD5sum: 57aab979b5430cc3229e22c3a6c47ecb Description: Java API to biological data and applications (version 3) This package presents the Open Source Java API to biological databases and a series of mostly sequence-based algorithms. . BioJava is an open-source project dedicated to providing a Java framework for processing biological data. It includes objects for manipulating sequences, file parsers, server support, access to BioSQL and Ensembl databases, and powerful analysis and statistical routines including a dynamic programming toolkit. Homepage: http://www.biojava.org Section: java Priority: optional Filename: pool/main/b/biojava3-live/libbiojava3.0-java_3.0.4-1_all.deb Package: libbiosig-dev Source: biosig4c++ Version: 1.3.0-2 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 1179 Depends: libbiosig1 (= 1.3.0-2) Homepage: http://biosig.sf.net/ Priority: extra Section: libdevel Filename: pool/main/b/biosig4c++/libbiosig-dev_1.3.0-2_armhf.deb Size: 382062 SHA256: b1357039efe5c418963343b19540ee0c3a601f320a5254b2ba578377102d530e SHA1: 0104f8d14eb661636ec62a8aee49085ec6bd635d MD5sum: 6f010e87eec7ba23272eb6bc9bf9f440 Description: I/O library for biomedical data - development files BioSig is a library for accessing files in several biomedical data formats (including EDF, BDF, GDF, BrainVision, BCI2000, CFWB, HL7aECG, SCP_ECG (EN1064), MFER, ACQ, CNT(Neuroscan), DEMG, EGI, EEG1100, FAMOS, SigmaPLpro, TMS32). The complete list of supported file formats is available at http://pub.ist.ac.at/~schloegl/biosig/TESTED . . This package provides header files and static library. Package: libbiosig1 Source: biosig4c++ Version: 1.3.0-2 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 694 Depends: libc6 (>= 2.13-28), libcholmod1.7.1 (>= 1:3.4.0), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Homepage: http://biosig.sf.net/ Priority: extra Section: libs Filename: pool/main/b/biosig4c++/libbiosig1_1.3.0-2_armhf.deb Size: 294098 SHA256: 618c1ac40ada008f1b6b61efc3a0362e59f327ade610679852f0f1958b86cc24 SHA1: 84e151352e53cc45cb2d32fc61d609f15535b6a1 MD5sum: ee43c147a58940eb301e39206c749f79 Description: I/O library for biomedical data - dynamic library BioSig is a library for accessing files in several biomedical data formats (including EDF, BDF, GDF, BrainVision, BCI2000, CFWB, HL7aECG, SCP_ECG (EN1064), MFER, ACQ, CNT(Neuroscan), DEMG, EGI, EEG1100, FAMOS, SigmaPLpro, TMS32). The complete list of supported file formats is available at http://pub.ist.ac.at/~schloegl/biosig/TESTED . . This package provides dynamic library. Package: libbiosig1-dbg Source: biosig4c++ Version: 1.3.0-2 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 306 Depends: libbiosig1 (= 1.3.0-2) Homepage: http://biosig.sf.net/ Priority: extra Section: debug Filename: pool/main/b/biosig4c++/libbiosig1-dbg_1.3.0-2_armhf.deb Size: 70990 SHA256: 3377faaf7592511b7910b6e0860de1bdd1fa87c214abf7175feec21768aecbe8 SHA1: be2d7c6229bfba41bd41b1f03dbd7b33b903cdcb MD5sum: 44ebcdae7a33e261f69bda0cd06f27d3 Description: I/O library for biomedical data - debug symbols BioSig is a library for accessing files in several biomedical data formats (including EDF, BDF, GDF, BrainVision, BCI2000, CFWB, HL7aECG, SCP_ECG (EN1064), MFER, ACQ, CNT(Neuroscan), DEMG, EGI, EEG1100, FAMOS, SigmaPLpro, TMS32). The complete list of supported file formats is available at http://pub.ist.ac.at/~schloegl/biosig/TESTED . . This package provides debug symbols. Package: libbisho-common-dev Source: bisho Version: 0.27.2+git20111122.9e68ef3d-1 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 55 Depends: libbisho-common0 (= 0.27.2+git20111122.9e68ef3d-1), libgtk2.0-dev, libsocialweb-client-dev Homepage: http://meego.com/ Priority: optional Section: libdevel Filename: pool/main/b/bisho/libbisho-common-dev_0.27.2+git20111122.9e68ef3d-1_armhf.deb Size: 5326 SHA256: 9cda373f047bccd20397be9952c692ffbbe5b91c2aa7443741513e0aa007c085 SHA1: c38afb4978b883ff02bff7867cda1f73f92b6b36 MD5sum: a3c41cfa904d4844bcca2d44c35d806e Description: Meego web services settings library (development files) bisho is the settings front-end for Meego web services. Users can use bisho to set the account information for web services like Last.fm or Twitter. . libbisho-common is the library to interact with the bisho application. . This package contains the development headers. Package: libbisho-common0 Source: bisho Version: 0.27.2+git20111122.9e68ef3d-1 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 111 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgnome-keyring0 (>= 2.20.3), libgtk2.0-0 (>= 2.18.0), libmx-1.0-2 (>= 1.2.0), libpango1.0-0 (>= 1.14.0), librest-0.7-0 (>= 0.7), librest-extras-0.7-0, libsocialweb-client2 (>= 0.25.3), libsocialweb0 (>= 0.23.1), libsoup2.4-1 (>= 2.4.0), libunique-1.0-0 (>= 1.0.0), libxml2 (>= 2.6.27) Multi-Arch: same Homepage: http://meego.com/ Priority: optional Section: libs Filename: pool/main/b/bisho/libbisho-common0_0.27.2+git20111122.9e68ef3d-1_armhf.deb Size: 29052 SHA256: 626b30bf0e2649a9d4b96d1741efe0d92c6b2c85812c165bb538706b927dacca SHA1: c386b93a6d39afc514662d02fca0504569200b6d MD5sum: 5c623b7ecc039a1b8a6677268366aede Description: Meego web services settings library bisho is the settings front-end for Meego web services. Users can use bisho to set the account information for web services like Last.fm or Twitter. . libbisho-common is the library to interact with the bisho application. Package: libbisho-common0-dbg Source: bisho Version: 0.27.2+git20111122.9e68ef3d-1 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 229 Depends: libbisho-common0 (= 0.27.2+git20111122.9e68ef3d-1) Multi-Arch: same Homepage: http://meego.com/ Priority: extra Section: debug Filename: pool/main/b/bisho/libbisho-common0-dbg_0.27.2+git20111122.9e68ef3d-1_armhf.deb Size: 147936 SHA256: e5827a1cd863c2743ae30c752be8680d718a2975940aa27d4ce7508adb65aa32 SHA1: 9bf5b55a98098460be31e2be9548b80cca809b1a MD5sum: 0eb0158d71ff2dbfcbcef8988023f947 Description: Meego web services settings library (debug symbols) bisho is the settings front-end for Meego web services. Users can use bisho to set the account information for web services like Last.fm or Twitter. . libbisho-common is the library to interact with the bisho application. . This package contains the debug symbols. Package: libbison-dev Source: bison Version: 1:2.5.dfsg-2.1 Architecture: armhf Maintainer: Chuan-kai Lin Installed-Size: 316 Recommends: bison Conflicts: liby-dev (= 1:2.5.dfsg-1) Multi-Arch: same Homepage: http://www.gnu.org/software/bison/ Priority: optional Section: libdevel Filename: pool/main/b/bison/libbison-dev_2.5.dfsg-2.1_armhf.deb Size: 288806 SHA256: 9beffe00d6131a5ecb80a60c0cc4c52d17a772a70a36ebdf2d2a928ea4d8395d SHA1: 64151a56c0f04cfd69d15d8b93f89530a915fac0 MD5sum: 31e632b964869523bb0017ccc7851324 Description: YACC-compatible parser generator - development library Bison is a general-purpose parser generator that converts a grammar description for an LALR(1) context-free grammar into a C program to parse that grammar. Once you are proficient with Bison, you may use it to develop a wide range of language parsers, from those used in simple desk calculators to complex programming languages. . This package provides the liby.a file including functions needed by yacc parsers. Package: libbit-vector-minimal-perl Version: 1.3-4 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 7724 SHA256: 7321c3bc06910240821678f8e7cb78f39fbc2ed504a47f04c184defb926cf480 SHA1: 74f68d6535c3a676e9f99ddac9ae13ec15a236ce MD5sum: 949686c2a7e210367fc0cdbc100c1053 Description: object-oriented wrapper around vec() Bit::Vector::Minimal is a much simplified, lightweight version of Bit::Vector. It wraps Perl's sometimes confusing vec() function in an object-oriented abstraction. Homepage: http://search.cpan.org/dist/Bit-Vector-Minimal/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbit-vector-minimal-perl/libbit-vector-minimal-perl_1.3-4_all.deb Package: libbit-vector-perl Version: 7.2-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 459 Depends: libc6 (>= 2.4), perl (>= 5.14.2-9), perlapi-5.14.2, libcarp-clan-perl Homepage: http://search.cpan.org/dist/Bit-Vector/ Priority: optional Section: perl Filename: pool/main/libb/libbit-vector-perl/libbit-vector-perl_7.2-1_armhf.deb Size: 164976 SHA256: c4a4b5869103782e745781675183dc8124f6d135c99ee1d91ed52e96d4190677 SHA1: be89748e377922c85185984074db137a0a875ac3 MD5sum: 6679521d4568b850e21269535b3d0be3 Description: Perl module for bit vectors and more Bit::Vector is a module that allows you to handle bit vectors, integer sets, "big integer arithmetic" and boolean matrices, all of arbitrary sizes. It is efficient (in terms of algorithmic complexity) and therefore fast (in terms of execution speed). It also provides overloaded operators for ease of use. Package: libbitmask-dev Source: libbitmask Version: 2.0-2 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 127 Depends: libbitmask1 (= 2.0-2) Homepage: http://oss.sgi.com/projects/cpusets/ Priority: optional Section: libdevel Filename: pool/main/libb/libbitmask/libbitmask-dev_2.0-2_armhf.deb Size: 30068 SHA256: 5c9a306393df33ba0cd14dbcebe11bda21af0607c7832148c3fb7b7babaab7dc SHA1: ed0d11800bf6593af7ed5ff7b553c37daa91708f MD5sum: 878f2092d353523867fd363b9468fe2e Description: header files and docs for libbitmask Contains the header files and documentation for libbitmask for use in developing applications that use the libbitmask library. . This Bitmask library supports multi-word bitmask operations for applications programmed in 'C'. It works in conjunction with recent Linux kernel support for processor and memory placement on multiprocessor SMP and NUMA systems. The cpuset library, being developed in parallel, depends on this bitmask library. . Bitmasks provide multi-word bit masks and operations thereon to do such things as set and clear bits, intersect and union masks, query bits, and display and parse masks. . The initial intended use for these bitmasks is to represent sets of CPUs and Memory Nodes, when configuring large SMP and NUMA systems. However there is little in the semantics of bitmasks that is specific to this particular use, and bitmasks should be usable for other purposes that had similar design requirements. . These bitmasks share the same underlying layout as the bitmasks used by the Linux kernel to represent sets of CPUs and Memory Nodes. Unlike the kernel bitmasks, these bitmasks use dynamically allocated memory and are manipulated via a pointer. This enables a program to work correctly on systems with various numbers of CPUs and Nodes, without recompilation. . There is a related cpuset library which uses the bitmask type provided here to represent sets of CPUs and Memory Nodes. The internal representation (as an array of unsigned longs, in little endian order) is directly compatible with the sched_setaffinity(2) and sched_getaffinity(2) system calls (added in Linux 2.6). Package: libbitmask1 Source: libbitmask Version: 2.0-2 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 53 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://oss.sgi.com/projects/cpusets/ Priority: optional Section: libs Filename: pool/main/libb/libbitmask/libbitmask1_2.0-2_armhf.deb Size: 9432 SHA256: 303c4b3677618f986d281f60d95bf56166d8d5f5047456b0018ca624ebd92864 SHA1: 069aee2630bfcfec5a39978b8738473c7dba43aa MD5sum: 41ecb8a11fd6c84ebd1d3d7608bd24e9 Description: supports multi-word bitmask operations This Bitmask library supports multi-word bitmask operations for applications programmed in 'C'. It works in conjunction with recent Linux kernel support for processor and memory placement on multiprocessor SMP and NUMA systems. The cpuset library, being developed in parallel, depends on this bitmask library. . Bitmasks provide multi-word bit masks and operations thereon to do such things as set and clear bits, intersect and union masks, query bits, and display and parse masks. . The initial intended use for these bitmasks is to represent sets of CPUs and Memory Nodes, when configuring large SMP and NUMA systems. However there is little in the semantics of bitmasks that is specific to this particular use, and bitmasks should be usable for other purposes that had similar design requirements. . These bitmasks share the same underlying layout as the bitmasks used by the Linux kernel to represent sets of CPUs and Memory Nodes. Unlike the kernel bitmasks, these bitmasks use dynamically allocated memory and are manipulated via a pointer. This enables a program to work correctly on systems with various numbers of CPUs and Nodes, without recompilation. . There is a related cpuset library which uses the bitmask type provided here to represent sets of CPUs and Memory Nodes. The internal representation (as an array of unsigned longs, in little endian order) is directly compatible with the sched_setaffinity(2) and sched_getaffinity(2) system calls (added in Linux 2.6). Package: libbitstream-dev Source: bitstream Version: 1.0-1 Installed-Size: 790 Maintainer: Debian Multimedia Maintainers Architecture: all Size: 129700 SHA256: 0e565227119316fd2b8e2d401ab5fd309f498be34afcfe5ba6e6b20eb2d74f9b SHA1: ae83ca7f8730cab3d5fe615da221535172324bd4 MD5sum: 9a3488f93885759489c69a0a0f29ae78 Description: access to binary structures such as specified by MPEG, DVB, IETF and more biTStream is a set of C headers allowing a simpler access to binary structures such as specified by MPEG, DVB, IETF, etc. . If compared with libdvbpsi, biTStream is lower level, and more efficient: fewer memory allocations, fewer memory copies. It also features a better separation between layers and specifications. Homepage: http://git.videolan.org/?p=bitstream.git Tag: devel::library, role::devel-lib Section: libdevel Priority: optional Filename: pool/main/b/bitstream/libbitstream-dev_1.0-1_all.deb Package: libbitstring-ocaml Source: ocaml-bitstring Version: 2.0.2-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 56 Depends: ocaml-base-nox-3.12.1, libc6 (>= 2.13-28) Provides: libbitstring-ocaml-arrh3 Homepage: http://code.google.com/p/bitstring/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-bitstring/libbitstring-ocaml_2.0.2-3_armhf.deb Size: 15512 SHA256: c8cb44ff5a5d7e05746285986a307bb0dc9a300a256a528b7275670218a621e5 SHA1: e129fd511a45fdacbc508cb91e06958044aba1f6 MD5sum: 4d918018eee3fa9845cc1ade88eeea07 Description: Erlang-style bitstrings for OCaml (runtime) Bitstring adds Erlang-style bitstrings and matching over bitstrings as a syntax extension and library for OCaml. . You can use this module to both parse and generate binary formats, files and protocols. . Bitstring handling is added as primitives to the language, making it simple to use. . Bitstring handles integers, strings, sub-bitstrings, big-, little- and native-endianness, signed and unsigned types, variable-width fields, fields with arbitrary bit alignment. . This package contains only the shared runtime stub libraries. Package: libbitstring-ocaml-dev Source: ocaml-bitstring Version: 2.0.2-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 615 Depends: camlp4-3.12.1, libbitstring-ocaml-arrh3, ocaml-nox-3.12.1, libbitstring-ocaml (= 2.0.2-3) Suggests: ocaml-findlib Provides: libbitstring-ocaml-dev-arrh3 Homepage: http://code.google.com/p/bitstring/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-bitstring/libbitstring-ocaml-dev_2.0.2-3_armhf.deb Size: 162512 SHA256: e16cb0fc28345059cceda1b2b66ed81526bd8697497e303aebffadaeadd2801c SHA1: 35315a8f22edfb88d6215d1812aa3cb4df166d57 MD5sum: 60b0feaa8ef3bc691cbbdf351ee53d40 Description: Erlang-style bitstrings for OCaml (development files) Bitstring adds Erlang-style bitstrings and matching over bitstrings as a syntax extension and library for OCaml. . You can use this module to both parse and generate binary formats, files and protocols. . Bitstring handling is added as primitives to the language, making it simple to use. . Bitstring handles integers, strings, sub-bitstrings, big-, little- and native-endianness, signed and unsigned types, variable-width fields, fields with arbitrary bit alignment. . This package contains header and OCaml library. Package: libbjack-ocaml Source: ocaml-bjack Version: 0.1.3-5 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 69 Depends: ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libsamplerate0 (>= 0.1.7) Provides: libbjack-ocaml-y5uy5 Homepage: http://savonet.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-bjack/libbjack-ocaml_0.1.3-5_armhf.deb Size: 17156 SHA256: d3e48ce7ac1921cc9c7124b5fb4b788b0ecbf5975fed2f666943ea600af9add0 SHA1: 6521c10ffefc4e27385063896f7f366b8ca13de8 MD5sum: b83d3b9f98b85dba8e2c2199ae004643 Description: OCaml blocking interface to jack audio connection kit ocaml-bjack is a blocking interface to jack audio connection kit. Using it, you can create a jack device and read/write from it much like with ALSA or OSS. . This package contains only the shared runtime stub libraries. Package: libbjack-ocaml-dev Source: ocaml-bjack Version: 0.1.3-5 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 161 Depends: libbjack-ocaml-y5uy5, ocaml-nox-3.12.1, libjack-dev, libsamplerate0-dev, libbjack-ocaml (= 0.1.3-5), ocaml-findlib Provides: libbjack-ocaml-dev-y5uy5 Homepage: http://savonet.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-bjack/libbjack-ocaml-dev_0.1.3-5_armhf.deb Size: 27452 SHA256: 5eb7f23b3594af93e0e58c2c961951eb7ea919198cb8d9dc7e715b45b444fc40 SHA1: b0167fd0fcc286204b72ead420f2a88454e5ee9e MD5sum: 1676c8a96ae791c3f87a1c2430dc6669 Description: OCaml blocking interface to jack audio connection kit ocaml-bjack is a blocking interface to jack audio connection kit. Using it, you can create a jack device and read/write from it much like with ALSA or OSS. . This package contains all the development stuff you need to develop OCaml programs which use ocaml-bjack. Package: libblacs-mpi-dev Source: blacs-mpi Version: 1.1-31 Architecture: armhf Maintainer: Muammar El Khatib Installed-Size: 511 Depends: libblacs-mpi1 (= 1.1-31), mpi-default-dev Conflicts: blacs-lam-dev, blacs-mpi, blacs-mpich-dev, blacsgf-lam-dev, blacsgf-mpich-dev Replaces: blacs-lam-dev, blacs-mpi, blacs-mpich-dev, blacsgf-lam-dev, blacsgf-mpich-dev Homepage: http://www.netlib.org/blacs/ Priority: extra Section: libdevel Filename: pool/main/b/blacs-mpi/libblacs-mpi-dev_1.1-31_armhf.deb Size: 58420 SHA256: a904de584c43af3632c187573654c472fb6f172ddfa7293733cc577e891c7765 SHA1: 988a369d2288273846c14dc9154341b5c487d455 MD5sum: 3be41c6fb79c304611e8080529d27900 Description: Basic Linear Algebra Comm. Subprograms - Dev. files for MPI The BLACS project is an ongoing investigation whose purpose is to create a linear algebra oriented message passing interface that may be implemented efficiently and uniformly across a large range of distributed memory platforms. . You can choose between an implementation based on MPI or PVM. This package uses MPI. There are packages for the shared libraries, for the static libraries and the development files (this one), and for test programs. . Most users do not need to install this package directly because it is used as a high level driver for the communication in the ScaLAPACK packages. Therefore, it is installed when installing ScaLAPACK. ScaLAPACK is a parallel version of LAPACK and is used on Beowulf type clusters. Package: libblacs-mpi1 Source: blacs-mpi Version: 1.1-31 Architecture: armhf Maintainer: Muammar El Khatib Installed-Size: 205 Depends: mpi-default-bin, libc6 (>= 2.13-28), libopenmpi1.3 Conflicts: blacs1-lam, blacs1-mpich, blacs1gf-lam, blacs1gf-mpich Replaces: blacs1-lam, blacs1-mpich, blacs1gf-lam, blacs1gf-mpich Homepage: http://www.netlib.org/blacs/ Priority: extra Section: libs Filename: pool/main/b/blacs-mpi/libblacs-mpi1_1.1-31_armhf.deb Size: 57944 SHA256: acf796eeb805ff3a13ddd35a82f7fe4d4f564d0d450ce6be8036e8a08c0245d2 SHA1: 4aaf11f15942992f2ed873ce75e378519154386e MD5sum: 0b699ad6391cc652827f9bb460ad085c Description: Basic Linear Algebra Comm. Subprograms - Shared libs. for MPI The BLACS project is an ongoing investigation whose purpose is to create a linear algebra oriented message passing interface that may be implemented efficiently and uniformly across a large range of distributed memory platforms. . You can choose between an implementation based on MPI or PVM. This package uses MPI. There are packages for the shared libraries (this one), for the static libraries and the development files, and for test programs. . Most users do not need to install this package directly because it is used as a high level driver for the communication in the ScaLAPACK packages. Therefore, it is installed when installing ScaLAPACK. ScaLAPACK is a parallel version of LAPACK and is used on Beowulf type clusters. Package: libblas-dev Source: blas Version: 1.2.20110419-5 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 875 Depends: libblas3 (= 1.2.20110419-5) Conflicts: atlas2-dev (<= 3.0-8), atlas2-headers (<< 3.2.1ln-1), blas-dev, libblas-3.so, refblas3-dev Replaces: atlas2-dev (<= 3.0-8), atlas2-headers (<< 3.2.1ln-1), blas-dev, libblas-3.so, refblas3-dev Provides: libblas-3gf.so, libblas.so Homepage: http://www.netlib.org/blas/ Priority: optional Section: libdevel Filename: pool/main/b/blas/libblas-dev_1.2.20110419-5_armhf.deb Size: 206480 SHA256: 56e2bc62ed95a773563052963bf447052abca875c96edba8e1b9bed2d5703c57 SHA1: 78cb7d4d62deb31c4732df83f1c989ac6806edc4 MD5sum: 6a1494d167fb91b450d341f7a7615e9d Description: Basic Linear Algebra Subroutines 3, static library This package is a binary incompatible upgrade to the blas-dev package. Several minor changes to the C interface have been incorporated. . BLAS (Basic Linear Algebra Subroutines) is a set of efficient routines for most of the basic vector and matrix operations. They are widely used as the basis for other high quality linear algebra software, for example lapack and linpack. This implementation is the Fortran 77 reference implementation found at netlib. . This package contains a static version of the library. Package: libblas-doc Source: blas Version: 1.2.20110419-5 Installed-Size: 424 Maintainer: Debian Science Team Architecture: all Replaces: blas-doc, refblas3-doc Conflicts: blas-doc, refblas3-doc Size: 395560 SHA256: e7575289534817114172a320daca5518001205978819b8a7561add208a0d2b10 SHA1: f696449f9e524e67424c3a148ddc30f3789d46dd MD5sum: 5fd50272c77e1c233d84a7815ad22c4a Description: Basic Linear Algebra Subroutines 3, documentation BLAS (Basic Linear Algebra Subroutines) is a set of efficient routines for most of the basic vector and matrix operations. They are widely used as the basis for other high quality linear algebra software, for example lapack and linpack. This implementation is the Fortran 77 reference implementation found at netlib. . This package contains manual pages for the routines, and other supporting documentation Homepage: http://www.netlib.org/blas/ Tag: devel::doc, devel::lang:fortran, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/b/blas/libblas-doc_1.2.20110419-5_all.deb Package: libblas-test Source: blas Version: 1.2.20110419-5 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1598 Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6) Conflicts: blas-test, refblas3-test Replaces: blas-test, refblas3-test Homepage: http://www.netlib.org/blas/ Priority: extra Section: devel Filename: pool/main/b/blas/libblas-test_1.2.20110419-5_armhf.deb Size: 603654 SHA256: 52d7a35d3eef36975a86bcff9fce1948eef539804c7b7e847e530039869a12c1 SHA1: 8012c0115f454e0969fee655c0253b56068cd396 MD5sum: 869009893ea2e24fd8a447d9d3b1c28f Description: Basic Linear Algebra Subroutines 3, testing programs This package is a binary incompatible upgrade to the blas-test package. Several minor changes to the C interface have been incorporated. One can maintain both versions on a system simultaneously to aid in the transition. . BLAS (Basic Linear Algebra Subroutines) is a set of efficient routines for most of the basic vector and matrix operations. They are widely used as the basis for other high quality linear algebra software, for example lapack and linpack. This implementation is the Fortran 77 reference implementation found at netlib. . This package contains a set of programs which test the integrity of an installed blas-compatible shared library. These programs may therefore be used to test the libraries provided by the blas package as well as those provided by the atlas packages. The programs are dynamically linked -- one can explicitly select a library to test by setting the LD_LIBRARY_PATH or LD_PRELOAD environment variables. Likewise, one can display the library selected using the ldd program in an identical environment. Package: libblas3 Source: blas Version: 1.2.20110419-5 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 501 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3) Conflicts: octave3.2 Breaks: libatlas3gf-base (<< 3.8.4-4), libblas3gf (<< 1.2.20110419-3), libopenblas-base (<< 0.1.1-3) Replaces: libatlas3gf-base (<< 3.8.4-4), libblas3gf (<< 1.2.20110419-3), libopenblas-base (<< 0.1.1-3) Provides: libblas.so.3, libblas.so.3gf, libblas3gf Homepage: http://www.netlib.org/blas/ Priority: optional Section: libs Filename: pool/main/b/blas/libblas3_1.2.20110419-5_armhf.deb Size: 201170 SHA256: 4e3d11937f952e5cf0ee86cdde92477fc70f129d4b5d730c6c3ddd6ee290b53e SHA1: 7c45b6026569ed6700d1a276fc5c19169e51286e MD5sum: f3925d0135820da3bd93757ba35c5b0d Description: Basic Linear Algebra Reference implementations, shared library BLAS (Basic Linear Algebra Subroutines) is a set of efficient routines for most of the basic vector and matrix operations. They are widely used as the basis for other high quality linear algebra software, for example lapack and linpack. This implementation is the Fortran 77 reference implementation found at netlib. . This package contains a shared version of the library. Package: libblas3gf Source: blas Version: 1.2.20110419-5 Installed-Size: 40 Maintainer: Debian Science Team Architecture: all Depends: libblas3 Size: 8090 SHA256: 48efd4b929258dc215b7f91b647bf69649c4f612c472cda2a279239d41971556 SHA1: 96c0304dbad962e7231e8d5238dcb1e5496e649b MD5sum: cda9924f9754fb4f00115c19ce97f126 Description: Transitional package for libblas Several minor changes to the C interface have been incorporated. One can maintain both versions on a system simultaneously to aid in the transition. Homepage: http://www.netlib.org/blas/ Tag: devel::lang:fortran, devel::library, field::mathematics, implemented-in::fortran, role::devel-lib, role::shared-lib Section: oldlibs Priority: optional Filename: pool/main/b/blas/libblas3gf_1.2.20110419-5_all.deb Package: libbliss-dev Source: bliss Version: 0.72-4 Architecture: armhf Maintainer: David Bremner Installed-Size: 2038 Depends: libbliss1d (= 0.72-4) Suggests: bliss Homepage: http://www.tcs.hut.fi/Software/bliss/index.shtml Priority: optional Section: libdevel Filename: pool/main/b/bliss/libbliss-dev_0.72-4_armhf.deb Size: 563322 SHA256: bea106b19d52dc9557c43b0fcb2e0b866bc7ace390381099b117fd2943568c74 SHA1: 74a4b7e2578220505b75e966a1104b1906097d3b MD5sum: b3ca53d6847f3989e6a832ccaff64592 Description: development files to compute graph automorphisms and labelings Bliss is a backtracking algorithm for computing automorphism groups and canonical forms of graphs, based on individualization and refinement. Its data structures, subroutines, and pruning heuristics are specially designed for fast handling of large and sparse graphs. . This package provides the C/C++ development API. Package: libbliss1d Source: bliss Version: 0.72-4 Architecture: armhf Maintainer: David Bremner Installed-Size: 147 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libstdc++6 (>= 4.3.0) Suggests: libbliss-dev Homepage: http://www.tcs.hut.fi/Software/bliss/index.shtml Priority: optional Section: libs Filename: pool/main/b/bliss/libbliss1d_0.72-4_armhf.deb Size: 58206 SHA256: 069f20a54f04e7fbd2c522fb9147eac269678d1d7e2d932e92e7c8d1355ce363 SHA1: 36a1a9adadac12b67cde73821642184f54ed0500 MD5sum: 61d221cbfb1b2bf30e5271ee4c26ac9b Description: library to compute graph automorphisms and labelings Bliss is a backtracking algorithm for computing automorphism groups and canonical forms of graphs, based on individualization and refinement. Its data structures, subroutines, and pruning heuristics are specially designed for fast handling of large and sparse graphs. . This package provides the shared library. Package: libbliss1d-dbg Source: bliss Version: 0.72-4 Architecture: armhf Maintainer: David Bremner Installed-Size: 895 Depends: libbliss1d (= 0.72-4) Homepage: http://www.tcs.hut.fi/Software/bliss/index.shtml Priority: extra Section: debug Filename: pool/main/b/bliss/libbliss1d-dbg_0.72-4_armhf.deb Size: 292680 SHA256: 511d48c6b4cf65b2a9edf7d6de5160ddd8f56a86c77054beeb3c3908340f76b0 SHA1: 756e0d40adcecd9eedb590399ebc3b6b3a183836 MD5sum: 24313c997194b44a1b070265be0e1b16 Description: debugging symbols for libbliss1d Bliss is a backtracking algorithm for computing automorphism groups and canonical forms of graphs, based on individualization and refinement. Its data structures, subroutines, and pruning heuristics are specially designed for fast handling of large and sparse graphs. . This package contains the debugging symbols for libliss1d Package: libblitz-doc Source: blitz++ Version: 1:0.9-13 Installed-Size: 10109 Maintainer: Debian Science Team Architecture: all Depends: libjs-jquery Size: 1781274 SHA256: 3bc04a84d2f755f7de45399fdf3f84132db5d426644f43d0f9d2be30e49d3c6e SHA1: 8622397114404ad5d6eab81ddbf75418cb4ff11d MD5sum: a27f1c90cf18e2bef4cb84923cf5de43 Description: C++ template class library for scientific computing Blitz++ offers a high level of abstraction, but performance which rivals Fortran. The current version supports arrays and vectors. . This package contains the documentation and examples. Homepage: http://oonumerics.org/blitz/ Tag: devel::doc, devel::examples, role::documentation Section: doc Priority: extra Filename: pool/main/b/blitz++/libblitz-doc_0.9-13_all.deb Package: libblitz0-dev Source: blitz++ Version: 1:0.9-13 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 3281 Depends: libblitz0ldbl (= 1:0.9-13) Recommends: libblitz-doc Conflicts: blitz++, libblitz-dev Provides: blitz++, libblitz-dev Homepage: http://oonumerics.org/blitz/ Priority: extra Section: libdevel Filename: pool/main/b/blitz++/libblitz0-dev_0.9-13_armhf.deb Size: 320498 SHA256: fa83441befb4a659cac67de6b40fd76d9eac2445a4e1dc0178192ebc36862d1e SHA1: 73494bb2f87dbd09d4b7f60c83476deacfdc8350 MD5sum: ec49b97ab6610e8a3ac05cf7ddcbe3b2 Description: C++ template class library for scientific computing Blitz++ offers a high level of abstraction, but performance which rivals Fortran. The current version supports arrays and vectors. . This package contains the static library and header files for compiling programs with blitz++. Package: libblitz0ldbl Source: blitz++ Version: 1:0.9-13 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 162 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.4.0), dpkg (>= 1.15.4) | install-info Suggests: libblitz-doc Conflicts: libblitz0 Replaces: libblitz0 Provides: libblitz0 Homepage: http://oonumerics.org/blitz/ Priority: extra Section: libs Filename: pool/main/b/blitz++/libblitz0ldbl_0.9-13_armhf.deb Size: 114604 SHA256: 1472df7e7f4a605ed3b4fec57b247775719a66ac039558c97a1f51479903e59e SHA1: b3999aeae19ff139198021f17408390b9b70e1d0 MD5sum: 892ca80e566a96129866dba1e1514efb Description: C++ template class library for scientific computing Blitz++ offers a high level of abstraction, but performance which rivals Fortran. The current version supports arrays and vectors. . This package contains the dynamic library. Package: libblkid-dev Source: util-linux Version: 2.20.1-5.3 Architecture: armhf Maintainer: LaMont Jones Installed-Size: 397 Depends: libc6-dev | libc-dev, libblkid1 (= 2.20.1-5.3), uuid-dev Homepage: http://userweb.kernel.org/~kzak/util-linux/ Priority: extra Section: libdevel Filename: pool/main/u/util-linux/libblkid-dev_2.20.1-5.3_armhf.deb Size: 149740 SHA256: d76135290fa07f7f788281d848e0a1ca14532fa724a8397a514aae356eeb5ac2 SHA1: 423ce4f1fff2481dbb6f3a51a2f48845e65826cf MD5sum: 547341fbcefb1fe092ce7657a6f6e8d7 Description: block device id library - headers and static libraries The blkid library which allows system programs like fsck and mount to quickly and easily find block devices by filesystem UUID and LABEL. This allows system administrators to avoid specifiying filesystems by hard-coded device names, but via a logical naming system instead. . This package contains the development environment for the blkid library. Package: libblkid1 Source: util-linux Version: 2.20.1-5.3 Architecture: armhf Maintainer: LaMont Jones Installed-Size: 217 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libuuid1 (>= 2.16) Multi-Arch: same Homepage: http://userweb.kernel.org/~kzak/util-linux/ Priority: required Section: libs Filename: pool/main/u/util-linux/libblkid1_2.20.1-5.3_armhf.deb Size: 119370 SHA256: 866f29e739f906e6c639e4d3876762a05e75984212306cc6fe97eb91b64d01cc SHA1: fca78a8b5fb802afdd6ae2535a880dbcf970b227 MD5sum: d43201b053c9a1549a6210c876c1590e Description: block device id library The blkid library which allows system programs like fsck and mount to quickly and easily find block devices by filesystem UUID and LABEL. This allows system administrators to avoid specifying filesystems by hard-coded device names, but via a logical naming system instead. Package: libblocksruntime-dev Source: libblocksruntime Version: 0.1-1 Architecture: armhf Maintainer: Mark Heily Installed-Size: 45 Depends: libblocksruntime0 (= 0.1-1) Homepage: http://compiler-rt.llvm.org/ Priority: extra Section: libdevel Filename: pool/main/libb/libblocksruntime/libblocksruntime-dev_0.1-1_armhf.deb Size: 5240 SHA256: 4f38950c4383ae7453579c1bbf2068b8281bff0a8d2ac43b56288117d54f182b SHA1: 606ace077adc934e0e5688ae663a196b44126007 MD5sum: 8592eaf1cf5a4230e700a61c7b101d90 Description: Blocks Runtime (development files) This package contains development headers for building software that uses blocks. . Blocks are a proposed extension to the C, Objective C, and C++ languages developed by Apple to support the Grand Central Dispatch concurrency engine. Package: libblocksruntime0 Source: libblocksruntime Version: 0.1-1 Architecture: armhf Maintainer: Mark Heily Installed-Size: 45 Depends: libc6 (>= 2.13-28) Homepage: http://compiler-rt.llvm.org/ Priority: extra Section: libs Filename: pool/main/libb/libblocksruntime/libblocksruntime0_0.1-1_armhf.deb Size: 8550 SHA256: b5cdf4e4ef428899a428b8fa05ce20a18b3296c8eb5fbe9b18ec7229923ad97d SHA1: 2e6084791ce232ae14b0ea61dcfaaf55bc659947 MD5sum: a3970c175354693a36075d0ac1a956eb Description: Blocks Runtime library Blocks are a proposed extension to the C, Objective C, and C++ languages developed by Apple to support the Grand Central Dispatch concurrency engine. Blocks are anonymous inline functions that automatically capture a read-only copy of local variables, and have read-write access to local variables that are declared with the "__block" storage class. . This package contains a library that is needed by programs that use Blocks. Package: libbloom-filter-perl Version: 1.0-3 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 11342 SHA256: b5c9a6fd6cf05d26ab006dc13a84f2bc2642672f8d3e6fe05a6c261d7d17b25e SHA1: 3bf45de03400d3b524862b8e5521b4929348f516 MD5sum: 82cd5d23d0e2fcb297ffe80423816d1e Description: Bloom filter implemented in Perl A Bloom filter is a probabilistic algorithm for doing existence tests in less memory than a full list of keys would require. The tradeoff to using Bloom filters is a certain configurable risk of false positives. . Bloom::Filter is a Perl library implementing a simple Bloom filter with configurable capacity and false positive rate. Homepage: http://search.cpan.org/dist/Bloom-Filter/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbloom-filter-perl/libbloom-filter-perl_1.0-3_all.deb Package: libbluecloth-ruby Source: ruby-bluecloth Version: 2.2.0-3 Installed-Size: 7 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-bluecloth Size: 5002 SHA256: 7b5a55a0f638754a15f04e393c84b75458f615fd8431b5779db87d645c9c3d15 SHA1: 96e0cf397db1ef70a76416294ec9be4d0ddfbc38 MD5sum: a1e3a60268ed400fe34abdb0066cf948 Description: Transitional package for ruby-bluecloth This is a transitional package to ease upgrades to the ruby-bluecloth package. It can safely be removed. Homepage: http://deveiate.org/projects/BlueCloth Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::dummy, role::shared-lib, works-with-format::html Section: oldlibs Priority: extra Filename: pool/main/r/ruby-bluecloth/libbluecloth-ruby_2.2.0-3_all.deb Package: libbluecloth-ruby1.8 Source: ruby-bluecloth Version: 2.2.0-3 Installed-Size: 7 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-bluecloth Size: 5008 SHA256: e23176a8e991cc8cbffafde39e40b31e7823200198cb335f3266ebb1e7e5050f SHA1: 1e6377971494fa6ac1c3e6889b53691362cf3154 MD5sum: d74ae3b8b554ac0005648d21fbefaf1d Description: Transitional package for ruby-bluecloth This is a transitional package to ease upgrades to the ruby-bluecloth package. It can safely be removed. Homepage: http://deveiate.org/projects/BlueCloth Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::shared-lib, works-with-format::html Section: oldlibs Priority: extra Filename: pool/main/r/ruby-bluecloth/libbluecloth-ruby1.8_2.2.0-3_all.deb Package: libbluedevil-dev Source: libbluedevil Version: 1.9.2-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 88 Depends: libbluedevil1 (= 1.9.2-1) Homepage: https://projects.kde.org/projects/playground/libs/libbluedevil Priority: optional Section: libdevel Filename: pool/main/libb/libbluedevil/libbluedevil-dev_1.9.2-1_armhf.deb Size: 11904 SHA256: 4903a258030653e2d3b4a56995eb116e58408acb5ec7e0d484502c3a3893183b SHA1: 8d62719ae78ee7611ab6912703a2d965fe66d967 MD5sum: d31d5d00b1034c4dfa250615e0d1871b Description: development files for libbluedevil This package provides all the necessary development headers for building software that uses the libbluedevil library. Package: libbluedevil1 Source: libbluedevil Version: 1.9.2-1 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 237 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.6.2), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.3.0) Multi-Arch: same Homepage: https://projects.kde.org/projects/playground/libs/libbluedevil Priority: optional Section: libs Filename: pool/main/libb/libbluedevil/libbluedevil1_1.9.2-1_armhf.deb Size: 82052 SHA256: 09a6d3d7e735f4f1b05bb3cfda59adb6a6cf5267562e5f5ef48353bd46f8648e SHA1: d1e64ffb2b04adee4f1752d05374a510dc227f96 MD5sum: c9286c11bbb4d87dc75f77bf30412c0d Description: Qt wrapper for bluez libbluedevil is a Qt-style library for accessing the bluez Bluetooth stack. . It is used by the KDE Bluetooth stack, BlueDevil. Package: libbluetooth-dev Source: bluez Version: 4.99-2+deb7u1 Architecture: armhf Maintainer: Debian Bluetooth Maintainers Installed-Size: 296 Depends: libbluetooth3 (= 4.99-2+deb7u1), libc6-dev | libc-dev Suggests: pkg-config Conflicts: bluez-pan, libbluetooth-dev, libsdp2-dev (<= 1.5.2) Replaces: libsdp2-dev (<= 1.5.2) Provides: libbluetooth3-dev Homepage: http://www.bluez.org Priority: extra Section: libdevel Filename: pool/main/b/bluez/libbluetooth-dev_4.99-2+deb7u1_armhf.deb Size: 114846 SHA256: 91aed2984a06a90f7505dcc5de4d764e9d72a48551d4008959cd7d6059b711d8 SHA1: f9adf2bd20db5d7017ae0f2dd3c5dfe531a9e3b4 MD5sum: c9e0b277b2392b348c176d17864dd2ae Description: Development files for using the BlueZ Linux Bluetooth library BlueZ is the official Linux Bluetooth protocol stack. It is an Open Source project distributed under GNU General Public License (GPL). Package: libbluetooth3 Source: bluez Version: 4.99-2+deb7u1 Architecture: armhf Maintainer: Debian Bluetooth Maintainers Installed-Size: 138 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Conflicts: libsdp2 (<= 1.5-2) Replaces: libsdp2 (<= 1.5-2) Multi-Arch: same Homepage: http://www.bluez.org Priority: optional Section: libs Filename: pool/main/b/bluez/libbluetooth3_4.99-2+deb7u1_armhf.deb Size: 81930 SHA256: e911794c8085bdc64ab9731278f9cea531bf0c9af4f2ab35365fc8fe14bc70ef SHA1: 544705e3546e26f106498402813bf62c84537222 MD5sum: d5749592509ec6503fb5d6f90af73096 Description: Library to use the BlueZ Linux Bluetooth stack BlueZ is the official Linux Bluetooth protocol stack. It is an Open Source project distributed under GNU General Public License (GPL). Package: libbluetooth3-dbg Source: bluez Version: 4.99-2+deb7u1 Architecture: armhf Maintainer: Debian Bluetooth Maintainers Installed-Size: 216 Depends: libbluetooth3 (= 4.99-2+deb7u1) Homepage: http://www.bluez.org Priority: extra Section: debug Filename: pool/main/b/bluez/libbluetooth3-dbg_4.99-2+deb7u1_armhf.deb Size: 112886 SHA256: 107761d6f4a2c81b2f96461a23b23c573d996f62f6d916ad078ebe92ee1bdf80 SHA1: 8319a6c989fce1e1fa2c2cd8559bcc8cbdc6069d MD5sum: 93d52393763e727bcc917207759e1a5f Description: Library to use the BlueZ Linux Bluetooth stack with debugging symbols BlueZ is the official Linux Bluetooth protocol stack. It is an Open Source project distributed under GNU General Public License (GPL). . This package contains the debugging symbols. Package: libbluray-bdj Source: libbluray Version: 1:0.2.2-1 Installed-Size: 410 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: libbluray1 (>= 1:0.2.2-1), libbluray1 (<< 1:0.2.2-1.1~), default-jre-headless | java2-runtime-headless Size: 320928 SHA256: 91b44867c2bc855ccc9c6fd707accf124bf0dad53b19b00c5497fc644f07a177 SHA1: d512020350c1a5a6e985f122c5c7ff2358ffd414 MD5sum: 5d7083a9960603562cf8354b9ab1d0ec Description: Blu-ray Disc Java support library (BD-J library) libbluray is an open-source library designed for Blu-Ray Discs playback for media players, like VLC or MPlayer. This research project is developed by an international team of developers from Doom9. libbluray integrates navigation, playlist parsing, menus, and BD-J. . NB: Most commercial Blu-Ray are restricted by AACS or BD+ technologies and this library is not enough to playback those discs. . BD-J support is important because many of the advanced features and extra content in Blu-ray movies uses BD-J. Programs designed to provide support for those features must depend on this. . This package provides the BD-J library. Multi-Arch: foreign Homepage: http://www.videolan.org/developers/libbluray.html Tag: role::shared-lib Section: libs Priority: optional Filename: pool/main/libb/libbluray/libbluray-bdj_0.2.2-1_all.deb Package: libbluray-dev Source: libbluray Version: 1:0.2.2-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1054 Depends: libbluray1 (= 1:0.2.2-1) Suggests: libbluray-bdj Multi-Arch: same Homepage: http://www.videolan.org/developers/libbluray.html Priority: optional Section: libdevel Filename: pool/main/libb/libbluray/libbluray-dev_0.2.2-1_armhf.deb Size: 400342 SHA256: 4a42925748eabcaec1f6c69ca1bad03886b1a0f537186bbcad58654eb322332c SHA1: 66f5769f0578e91babb34ea0d9176ee7678eaeec MD5sum: 737aa0b06a1281f37804f6264d9e51e6 Description: Blu-ray disc playback support library (development files) libbluray is an open-source library designed for Blu-Ray Discs playback for media players, like VLC or MPlayer. This research project is developed by an international team of developers from Doom9. libbluray integrates navigation, playlist parsing, menus, and BD-J. . NB: Most commercial Blu-Ray are restricted by AACS or BD+ technologies and this library is not enough to playback those discs. . This package provides the necessary files needed for development. Package: libbluray-doc Source: libbluray Version: 1:0.2.2-1 Installed-Size: 548 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: libjs-jquery Size: 249852 SHA256: 268a7d15e2cb59fc69bfdb337de3fa7f7c388053f5139cbfc84d284f30d29aea SHA1: 698b86e04c31c0c3e19fe7afafc9f475bfdcc70a MD5sum: 43e66934d00626c1fddd9a44f84f7d7c Description: Blu-ray disc playback support library (documentation) libbluray is an open-source library designed for Blu-Ray Discs playback for media players, like VLC or MPlayer. This research project is developed by an international team of developers from Doom9. libbluray integrates navigation, playlist parsing, menus, and BD-J. . NB: Most commercial Blu-Ray are restricted by AACS or BD+ technologies and this library is not enough to playback those discs. . This package provides the libbluray documentation. Homepage: http://www.videolan.org/developers/libbluray.html Tag: devel::doc, made-of::html, made-of::pdf, made-of::postscript, role::documentation Section: doc Priority: optional Filename: pool/main/libb/libbluray/libbluray-doc_0.2.2-1_all.deb Package: libbluray1 Source: libbluray Version: 1:0.2.2-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 217 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Recommends: libaacs0 Suggests: libbluray-bdj Multi-Arch: same Homepage: http://www.videolan.org/developers/libbluray.html Priority: optional Section: libs Filename: pool/main/libb/libbluray/libbluray1_0.2.2-1_armhf.deb Size: 89380 SHA256: b07e771e316813ea2b08b74e92b779fe156be8abbccc81f09ec5cb9a43d0d414 SHA1: 0b5b16bcd44d6b17abb202e5a9bfe384d569a9ba MD5sum: ff1f45b7bfeb819e066b57c9b7aee2c6 Description: Blu-ray disc playback support library (shared library) libbluray is an open-source library designed for Blu-Ray Discs playback for media players, like VLC or MPlayer. This research project is developed by an international team of developers from Doom9. libbluray integrates navigation, playlist parsing, menus, and BD-J. . NB: Most commercial Blu-Ray are restricted by AACS or BD+ technologies and this library is not enough to playback those discs. . This package provides the shared library. Package: libbluray1-dbg Source: libbluray Version: 1:0.2.2-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 264 Depends: libbluray1 (= 1:0.2.2-1) Multi-Arch: same Homepage: http://www.videolan.org/developers/libbluray.html Priority: extra Section: debug Filename: pool/main/libb/libbluray/libbluray1-dbg_0.2.2-1_armhf.deb Size: 208678 SHA256: 148b554ca811946c657f772e2d89b724a2d7a0cf30dacbf3ce496da4f926cfb8 SHA1: e8414b69ada647c9be32ba3d7af45e6e3c1a1325 MD5sum: 7ca447fab70bce7158c61809a54bfc35 Description: Blu-ray disc playback support library (debug symbols) libbluray is an open-source library designed for Blu-Ray Discs playback for media players, like VLC or MPlayer. This research project is developed by an international team of developers from Doom9. libbluray integrates navigation, playlist parsing, menus, and BD-J. . NB: Most commercial Blu-Ray are restricted by AACS or BD+ technologies and this library is not enough to playback those discs. . This package provides debug symbols for the shared library. Package: libbml-dev Source: bml Version: 0.6.1-1 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 84 Depends: libbml0 (= 0.6.1-1) Homepage: http://www.buzztard.org Priority: optional Section: libdevel Filename: pool/main/b/bml/libbml-dev_0.6.1-1_armhf.deb Size: 29096 SHA256: 57c6e98f33aebd8eccfc1473ac9c86646b32bbeb59e080131e2339d367f53c3c SHA1: 2d1326f18a7c12318f8815a5d57dfc3a011576ab MD5sum: 6f6b57efb4d997c1772763161ff4a915 Description: BuzzMachine loader - development files libbml is a library that loads buzz machines created by the windows music composer Buzz. . This package contains the header files, static libraries and symbolic links that developers using libbml will need. Package: libbml0 Source: bml Version: 0.6.1-1 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 170 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.buzztard.org Priority: optional Section: libs Filename: pool/main/b/bml/libbml0_0.6.1-1_armhf.deb Size: 87268 SHA256: df7891f2bee3ac5a3b8aca1bd23288d76dc6b242d6189fe4b39f46edc5e80510 SHA1: 6d4bfb015ecf0b3ed3f1bdad8e12b5cb6c630048 MD5sum: 218126f86bc64eb66fff2eb49fdbd055 Description: BuzzMachine loader - shared library libbml is a library that loads buzz machines created by the windows music composer Buzz. . This package contains the shared libraries necessary to run programs using libbml. Package: libbobcat-dev Source: bobcat Version: 3.01.00-1 Architecture: armhf Maintainer: Frank B. Brokken Installed-Size: 4131 Depends: libbobcat3 (= 3.01.00-1) Priority: optional Section: libdevel Filename: pool/main/b/bobcat/libbobcat-dev_3.01.00-1_armhf.deb Size: 1319678 SHA256: de9625f82360b7ecc05298590d95e143db01b55f8b0943a7af052c6c8aa04d98 SHA1: dbb50e91be6dc74b340c71468a83dbbe1ca94b9b MD5sum: d1fca10bb29c0fe2ae566b4c96566238 Description: headers and documentation for the Bobcat library Headers and documentation of classes defined in the Bobcat library. . The Bobcat library contains a variety of C++ classes and templates, some of them based on well-known Design Patterns. The library offers classes handling, e.g., Child Processes, Forks, Pipes and Redirection Command-to-Function associations Command-line arguments Command-line editing using streams Configuration Files Decryption and Encryption Extended String Operations Hash-tables Integers of unlimited size File-descriptor based streams (e.g. handle sockets using streams) Mail headers and mail filtering (milters) Message Digests Pattern matching Single (Direct) Key Input Syslog- and other kinds of messages Temporary files Universal Type Conversions (Templates) . The libbobcat-dev package contains the static library as well as header files and manual pages of the Bobcat classes and templates. Package: libbobcat3 Source: bobcat Version: 3.01.00-1 Architecture: armhf Maintainer: Frank B. Brokken Installed-Size: 491 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmilter1.0.1, libreadline6 (>= 6.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libx11-6 Priority: optional Section: libs Filename: pool/main/b/bobcat/libbobcat3_3.01.00-1_armhf.deb Size: 207444 SHA256: 068d5f58d4ae61d49007b8cdf3feb263c27d8866131960dca5e1548bcf085f5a SHA1: 7bd47d8ec6afbc41cab729d80ce86310a18212b6 MD5sum: 0d69deb496d6b4b5b8b3ab929513098f Description: run-time (shared) Bobcat library The (shared) Bobcat library contains classes and templates used by, e.g., the Stealth and Bisonc++ programs. . The Bobcat library contains a variety of C++ classes and templates, some of them based on well-known Design Patterns. The library offers classes handling, e.g., Child Processes, Forks, Pipes and Redirection Command-to-Function associations Command-line arguments and history handling Command-line editing using streams Configuration Files Decryption and Encryption Extended String Operations Hash-tables Integers of unlimited size File-descriptor based streams (e.g. handle sockets using streams) Mail headers and mail filtering (milters) Message Digests Pattern matching Single (Direct) Key Input Syslog- and other kinds of messages Temporary files Universal Type Conversions (Templates) Package: libbogl-dev Source: bogl Version: 0.1.18-8 Architecture: armhf Maintainer: Samuel Thibault Installed-Size: 309 Depends: libbogl0 (= 0.1.18-8), libc6-dev, libc6 (>= 2.13-28), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg) Priority: optional Section: libdevel Filename: pool/main/b/bogl/libbogl-dev_0.1.18-8_armhf.deb Size: 93684 SHA256: d47e56cf2353f2996dfb62b0bfe7d4e9a19e3e183d37bacb7336563d2134c1cd SHA1: c23bcd1ae98531183cc2400ee9951a410ce462ad MD5sum: 0c2b5bf18754dbbdfd2e0d472744fb5f Description: Ben's Own Graphics Library - development files Ben's Own Graphics Library is a small framebuffer library, including basic widgets, support for text in multiple languages, and mouse handling. . This package contains the BOGL include files, static library, and development documentation. Package: libbogl0 Source: bogl Version: 0.1.18-8 Architecture: armhf Maintainer: Samuel Thibault Installed-Size: 168 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/b/bogl/libbogl0_0.1.18-8_armhf.deb Size: 52942 SHA256: 378dfe7508074db0b911981e530ce6720a7145f21178da8d9d852bdcac190605 SHA1: 26bf74126004630275251e1df72fa7724474677c MD5sum: 624124c842013d8edf55fbc3279c2ff4 Description: Ben's Own Graphics Library - shared library Ben's Own Graphics Library is a small framebuffer library, including basic widgets, support for text in multiple languages, and mouse handling. . This package contains the shared library version of BOGL. Package: libbognor-regis-0.6-0 Source: bognor-regis Version: 0.6.12+git20101007.02c25268-7 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 58 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libglib2.0-0 (>= 2.14.0) Homepage: http://meego.com/ Priority: optional Section: libs Filename: pool/main/b/bognor-regis/libbognor-regis-0.6-0_0.6.12+git20101007.02c25268-7_armhf.deb Size: 13020 SHA256: df447d2fe422e5ce6e0dd9d3f5530ea313a3ae2337acb40c1a33368f33ed2182 SHA1: 2131c0410bf88cba347650de8764fe22859609fc MD5sum: 464875168405270bb84dde75d241e0ea Description: Media daemon and play queue manager library bognor-regis controls playqueues in meego allowing the same information to be shared between the media player and panel. This library provides the API to access the bognor-regis daemon. Package: libbognor-regis-0.6-0-dbg Source: bognor-regis Version: 0.6.12+git20101007.02c25268-7 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 290 Depends: libbognor-regis-0.6-0 (= 0.6.12+git20101007.02c25268-7) Conflicts: libbognor-regis-0.4-0-dbg, libbognor-regis-0.5-0-dbg Homepage: http://meego.com/ Priority: extra Section: debug Filename: pool/main/b/bognor-regis/libbognor-regis-0.6-0-dbg_0.6.12+git20101007.02c25268-7_armhf.deb Size: 98228 SHA256: 6c2357f3ec64a0b1c346597ea86932b763002dd235d932a23b26e6f77931a593 SHA1: 67e24e2c1d4ade2f14779cbeb995e1e5470a7e4c MD5sum: 5a20b82bcabb0425bad28ede3daca8de Description: Media daemon and play queue manager library (debug symbols) bognor-regis controls playqueues in meego allowing the same information to be shared between the media player and panel. This library provides the API to access the bognor-regis daemon. . This package contains the debug symbols. Package: libbognor-regis-dev Source: bognor-regis Version: 0.6.12+git20101007.02c25268-7 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 94 Depends: libbognor-regis-0.6-0 (= 0.6.12+git20101007.02c25268-7), libglib2.0-dev, libdbus-glib-1-dev, libgstreamer0.10-dev Homepage: http://meego.com/ Priority: optional Section: libdevel Filename: pool/main/b/bognor-regis/libbognor-regis-dev_0.6.12+git20101007.02c25268-7_armhf.deb Size: 15518 SHA256: a4c3a1fb3a6060bc40c84f6f62c984ede7638cdd16187b99d431d8022256a78b SHA1: 9a7c23ba838f8ef67a3dacca0b748af80ae48631 MD5sum: 55f19b1dcddf00f40f534a8d5dabdaea Description: Media daemon and play queue manager library (development files) bognor-regis controls playqueues in meego allowing the same information to be shared between the media player and panel. This library provides the API to access the bognor-regis daemon. . This package contains the development files. Package: libbonobo2-0 Source: libbonobo Version: 2.24.3-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 724 Depends: libbonobo2-common (= 2.24.3-1), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.31.8), liborbit2 (>= 1:2.14.10), libxml2 (>= 2.7.4) Recommends: dbus-x11 Suggests: libbonobo2-bin Conflicts: libbonobo-activation4 (<< 1:2.4), nautilus (<< 2.2.4-5) Replaces: libbonobo-activation4 (<< 1:2.4), libbonobo2-common (<< 2.20.3-1) Priority: optional Section: libs Filename: pool/main/libb/libbonobo/libbonobo2-0_2.24.3-1_armhf.deb Size: 286522 SHA256: 0f2b8834013d7115d0f9d39d95604c67454464598bf94ea92ae8fe2bc637f9b9 SHA1: e1a67f8c28d5ffd9bfb21269498291e46340281b MD5sum: 320f2a183b933e884556a150b04f3c98 Description: Bonobo CORBA interfaces library Bonobo is a set of language and system independent CORBA interfaces for creating reusable components, controls and creating compound documents. . The Bonobo distribution includes a Gtk+ based implementation of the Bonobo interfaces, enabling developers to create reusable components and applications that can be used to form more complex documents. . This package contains the shared libraries. Package: libbonobo2-bin Source: libbonobo Version: 2.24.3-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 172 Depends: libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.16.0), liborbit2 (>= 1:2.14.10), libxml2 (>= 2.7.4), libbonobo2-common (= 2.24.3-1) Replaces: libbonobo2-common (<< 2.20.3-1) Priority: optional Section: gnome Filename: pool/main/libb/libbonobo/libbonobo2-bin_2.24.3-1_armhf.deb Size: 94196 SHA256: 2da437e363ded6dcb1728b5c6ccb8cb324eb889a34dbdf0c45cea3fb87e8badc SHA1: 0c01e53c9f693343d8a292bb4017af92323cf925 MD5sum: e3e9b8ffe0c1b27162661315d04ef328 Description: Bonobo CORBA interfaces library -- support binaries Bonobo is a set of language and system independent CORBA interfaces for creating reusable components, controls and creating compound documents. . The Bonobo distribution includes a Gtk+ based implementation of the Bonobo interfaces, enabling developers to create reusable components and applications that can be used to form more complex documents. . This package contains a test component using bonobo and some utility binaries. Package: libbonobo2-common Source: libbonobo Version: 2.24.3-1 Installed-Size: 2192 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: bonobo-activation (<< 1:2.4) Conflicts: bonobo-activation (<< 1:2.4) Size: 327432 SHA256: 92b5f1417182e97cb3dd5c95439abc310200b42637f2dc2a9fb51626fdffdcad SHA1: 4654d30379c605aaafc4dc55d91585524ab1267c MD5sum: d16b9af37282bdbbd0aaaa5cdc0de6fa Description: Bonobo CORBA interfaces library -- support files Bonobo is a set of language and system independent CORBA interfaces for creating reusable components, controls and creating compound documents. . The Bonobo distribution includes a Gtk+ based implementation of the Bonobo interfaces, enabling developers to create reusable components and applications that can be used to form more complex documents. . This package contains the support files needed by the Bonobo library. Tag: devel::library, devel::rpc, protocol::corba, role::app-data, suite::gnome, uitoolkit::gtk Section: gnome Priority: optional Filename: pool/main/libb/libbonobo/libbonobo2-common_2.24.3-1_all.deb Package: libbonobo2-dev Source: libbonobo Version: 2.24.3-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 2980 Depends: libbonobo2-0 (= 2.24.3-1), libglib2.0-dev (>= 2.8.0), liborbit2-dev (>= 1:2.12.4), libpopt-dev Conflicts: libbonobo-activation-dev (<< 1:2.4) Replaces: libbonobo-activation-dev (<< 1:2.4), libbonobo2-common (<< 2.20.3-1) Priority: optional Section: libdevel Filename: pool/main/libb/libbonobo/libbonobo2-dev_2.24.3-1_armhf.deb Size: 751062 SHA256: e5459156bf992212c9965d9eb3cf3d9b47b4e17db1aa6450d89506c021f0fc43 SHA1: 462e6e0119b6953630cfdd393f72784de552e097 MD5sum: fea9c279842e0788892a13809c34b742 Description: Bonobo CORBA interfaces library -- development files Bonobo is a set of language and system independent CORBA interfaces for creating reusable components, controls and creating compound documents. . The Bonobo distribution includes a Gtk+ based implementation of the Bonobo interfaces, enabling developers to create reusable components and applications that can be used to form more complex documents. . This package contains the headers and development libraries needed to build applications using Bonobo. Package: libbonoboui2-0 Source: libbonoboui Version: 2.24.3-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 464 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.24.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libx11-6, libxml2 (>= 2.7.4), libbonoboui2-common (>= 2.24), libbonoboui2-common (<< 2.25) Replaces: libbonoboui2-common (<= 2.4.3-1) Priority: optional Section: libs Filename: pool/main/libb/libbonoboui/libbonoboui2-0_2.24.3-1_armhf.deb Size: 217406 SHA256: 91d78c05222f050db11c893948591ccdce6d8981c381a4e089e7875842f3dab1 SHA1: 4c426bea8ececf7b6921d5b11a9f4c6fc2711e47 MD5sum: fbb9e2dac16c132fce3e7852694ebdff Description: The Bonobo UI library This package contains the Bonobo UI library. . This package is a part of GNOME. Package: libbonoboui2-common Source: libbonoboui Version: 2.24.3-1 Installed-Size: 2752 Maintainer: Debian GNOME Maintainers Architecture: all Conflicts: libbonoboui2-0 (<= 2.4.3-1) Size: 401340 SHA256: 4434021b10609ab3f188adcafa173925b574dca6060b9505f56003705cd63ad8 SHA1: 5f01bcaee1aadfa04a770232d96149bb1241a520 MD5sum: 5eb3c691938319f110e68df80c1e1bde Description: The Bonobo UI library -- common files The Bonobo UI library . This package is a part of GNOME. Tag: devel::library, devel::rpc, protocol::corba, role::app-data, suite::gnome, uitoolkit::gtk Section: libs Priority: optional Filename: pool/main/libb/libbonoboui/libbonoboui2-common_2.24.3-1_all.deb Package: libbonoboui2-dev Source: libbonoboui Version: 2.24.3-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 826 Depends: libbonoboui2-0 (= 2.24.3-1), gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libx11-6, libxml2 (>= 2.6.27), libglib2.0-dev (>= 2.6.0), libbonobo2-dev (>= 2.13.0), libgnomecanvas2-dev (>= 2.6.0), libgnome2-dev (>= 2.13.0), liborbit2-dev, libxml2-dev (>= 2.4.20), libsm-dev, libice-dev, libx11-dev Replaces: libbonoboui2-common (<< 1.112.1-1) Priority: optional Section: libdevel Filename: pool/main/libb/libbonoboui/libbonoboui2-dev_2.24.3-1_armhf.deb Size: 289276 SHA256: bce89bebf1519c5dd06206d5906dc1e869a87cc7dd41a1d0d514cd23acc850a2 SHA1: 974df7f726025f2c19a7baac7ad761f1d2b76aaf MD5sum: 5550b0cfe200f0c1589ede485bfc28bc Description: The Bonobo UI library - development files The Bonobo UI library. This package includes development files for libbonoboui. . This package is a part of GNOME. Package: libboo-cil-dev Source: boo Version: 0.9.5~git20110729.r1.202a430-2 Installed-Size: 8 Maintainer: Debian CLI Libraries Team Architecture: all Replaces: boo (<= 0.9.2), libboo2.0-cil (<= 0.9.2) Depends: libboo2.0.9-cil (= 0.9.5~git20110729.r1.202a430-2) Size: 7170 SHA256: 5c7573da32b68507bda41e802ec34e123b787cb534ddac570e8d7691b7ed6552 SHA1: 23532b7a4e9809fb53f2f53e047315c8d968208d MD5sum: 3d56b916513a1fec3a8bee6d655fdc21 Description: python-like language and compiler for the CLI - library files Boo is an object oriented statically typed programming language for the Common Language Infrastructure (CLI) with a Python inspired syntax and a special focus on language and compiler extensibility. . This package contains the Boo development headers, for compiling boo support into your software Homepage: http://boo.codehaus.org/ Tag: devel::ecma-cli, devel::library, role::devel-lib Section: libdevel Priority: optional Filename: pool/main/b/boo/libboo-cil-dev_0.9.5~git20110729.r1.202a430-2_all.deb Package: libboo2.0.9-cil Source: boo Version: 0.9.5~git20110729.r1.202a430-2 Installed-Size: 1727 Maintainer: Debian CLI Libraries Team Architecture: all Replaces: boo (<= 0.8.0.2730-2) Depends: cli-common (>= 0.5.6), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.1), libmono-compilerservices-symbolwriter4.0-cil Size: 605894 SHA256: 3c73cf5b8a44b2f504e5e55f1ff66b5bf690a2d672cede4052fd4c9cd3d78afb SHA1: 386777b9ada96f9a43a8b075ef60a254262dc674 MD5sum: 4918f4f80b521ef8a2a532b6b969b75b Description: python-like language and compiler for the CLI - library files Boo is an object oriented statically typed programming language for the Common Language Infrastructure (CLI) with a Python inspired syntax and a special focus on language and compiler extensibility. . This package contains the Boo libraries which can be used to embed the Boo language or access the internal functionality. Homepage: http://boo.codehaus.org/ Tag: devel::ecma-cli, devel::library, role::shared-lib Section: cli-mono Priority: optional Filename: pool/main/b/boo/libboo2.0.9-cil_0.9.5~git20110729.r1.202a430-2_all.deb Package: libboolean-perl Version: 0.28-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 9426 SHA256: 731447e8d27f156fedee354896f9388c02e534d81f5422e94276e5d10872f151 SHA1: cc53d7e924f283e23581edc6775366ac2dc8d44a MD5sum: 9bf7566b5786d6e6060ac0942a44bdda Description: module providing transparent support for booleans The boolean module provides basic Boolean support for Perl. . The module provides two singleton objects, true and false, and several helper functions. Homepage: http://search.cpan.org/dist/boolean/ Tag: devel::lang:perl, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libb/libboolean-perl/libboolean-perl_0.28-1_all.deb Package: libboolstuff-0.1-0 Source: boolstuff Version: 0.1.12-3 Architecture: armhf Maintainer: Miriam Ruiz Installed-Size: 79 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://sarrazip.com/dev/boolstuff.html Priority: optional Section: utils Filename: pool/main/b/boolstuff/libboolstuff-0.1-0_0.1.12-3_armhf.deb Size: 24322 SHA256: 369d8f5f45f770e5dc4811f5e2e5bfc9a0bbfeaf7b04a87ed68fbdd3d46da39a SHA1: 6e83015b3f92d2c9baf7663ec1f245669a90209c MD5sum: a7facccbee9aec405240cf6a2e917b85 Description: library for operating on boolean expression binary trees BoolStuff is a C++ library that supports a few operations on boolean expression binary trees. . This package includes the runtime libraries. Package: libboolstuff-0.1-0-dbg Source: boolstuff Version: 0.1.12-3 Architecture: armhf Maintainer: Miriam Ruiz Installed-Size: 782 Depends: libboolstuff-0.1-0 (= 0.1.12-3) Homepage: http://sarrazip.com/dev/boolstuff.html Priority: extra Section: debug Filename: pool/main/b/boolstuff/libboolstuff-0.1-0-dbg_0.1.12-3_armhf.deb Size: 231584 SHA256: 5d02351634c07c6db0d1980525f06d399e4bc6c1976b0bd2df5cc8d2918da7cf SHA1: 404a958ed9a153aa5151090d3f27cf584c555c7f MD5sum: cd69a09cee7e07e980b956cbbfbdfb28 Description: library for operating on boolean expression binary trees BoolStuff is a C++ library that supports a few operations on boolean expression binary trees. . This package includes the debugging symbols. Package: libboost-all-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-dev, libboost-chrono-dev, libboost-date-time-dev, libboost-filesystem-dev, libboost-graph-dev, libboost-graph-parallel-dev, libboost-iostreams-dev, libboost-locale-dev, libboost-math-dev, libboost-mpi-dev, libboost-mpi-python-dev, libboost-program-options-dev, libboost-python-dev, libboost-random-dev, libboost-regex-dev, libboost-serialization-dev, libboost-signals-dev, libboost-system-dev, libboost-test-dev, libboost-thread-dev, libboost-timer-dev, libboost-wave-dev Homepage: http://www.boost.org/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-all-dev_1.49.0.1_armhf.deb Size: 3016 SHA256: 41afd7d6a2936dc5633c24d3f36baa491229d8841c857317dbca054d34c2dce9 SHA1: 3fac20f475f7dc51166dc15cd6d6d512c8811ff5 MD5sum: e98e98c80c08082ca663080bf1fdfd72 Description: Boost C++ Libraries development files (ALL) (default version) The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This package provides the complete Boost development environment, including all separately-packaged libraries. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-chrono-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-chrono1.49-dev Homepage: http://www.boost.org/libs/chrono/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-chrono-dev_1.49.0.1_armhf.deb Size: 3346 SHA256: f8b8bf254f2a29b74796ccf3ac4d349b072a5b0679451ce520b02bf753b6f0b2 SHA1: 4ae1b8d4b3def8b73a272fb5995f2f7b04846080 MD5sum: b76d74b057eb2664c483fd4f45271d4b Description: C++ representation of time duration, time point, and clocks (default version) This package forms part of the Boost C++ Libraries collection. . The Boost.Chrono library provides: . * A means to represent time durations: managed by the generic duration class . Examples of time durations include days, minutes, seconds and nanoseconds, which can be represented with a fixed number of clock ticks per unit. All of these units of time duration are united with a generic interface by the duration facility. * A type for representing points in time: time_point. A time_point represents an epoch plus or minus a duration. The library leaves epochs unspecified. A time_point is associated with a clock. * Several clocks, some of which may not be available on a particular platform: system_clock, steady_clock and high_resolution_clock. A clock is a pairing of a time_point and duration, and a function which returns a time_point representing now. . To make the timing facilities more generally useful, Boost.Chrono provides a number of clocks that are thin wrappers around the operating system's time APIs, thereby allowing the extraction of wall clock time, user CPU time, system CPU time spent by the process: . * process_real_cpu_clock, captures wall clock CPU time spent by the current process. * process_user_cpu_clock, captures user-CPU time spent by the current process. * process_system_cpu_clock, captures system-CPU time spent by the current process. * A tuple-like class process_cpu_clock, that captures real, user-CPU, and system-CPU process times together. * A thread_clock thread steady clock giving the time spent by the current thread (when supported by a platform). . Lastly, Boost.Chrono includes typeof registration for duration and time_point to permit using emulated auto with C++03 compilers. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-chrono1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 157 Depends: libboost1.48-dev (= 1.48.0-3), libboost-chrono1.48.0 (= 1.48.0-3) Homepage: http://www.boost.org/libs/chrono/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-chrono1.48-dev_1.48.0-3_armhf.deb Size: 45308 SHA256: 4e0c7d6dac78f31f76921859242642f15cd9d84408cadacd2301718b54436529 SHA1: 36911e28722165073131675f9476cc0419e97aaa MD5sum: 6cefe8dd2541d0f81d0854fa11f1d82a Description: C++ representation of time duration, time point, and clocks This package forms part of the Boost C++ Libraries collection. . The Boost.Chrono library provides: . * A means to represent time durations: managed by the generic duration class . Examples of time durations include days, minutes, seconds and nanoseconds, which can be represented with a fixed number of clock ticks per unit. All of these units of time duration are united with a generic interface by the duration facility. * A type for representing points in time: time_point. A time_point represents an epoch plus or minus a duration. The library leaves epochs unspecified. A time_point is associated with a clock. * Several clocks, some of which may not be available on a particular platform: system_clock, steady_clock and high_resolution_clock. A clock is a pairing of a time_point and duration, and a function which returns a time_point representing now. . To make the timing facilities more generally useful, Boost.Chrono provides a number of clocks that are thin wrappers around the operating system's time APIs, thereby allowing the extraction of wall clock time, user CPU time, system CPU time spent by the process: . * process_real_cpu_clock, captures wall clock CPU time spent by the current process. * process_user_cpu_clock, captures user-CPU time spent by the current process. * process_system_cpu_clock, captures system-CPU time spent by the current process. * A tuple-like class process_cpu_clock, that captures real, user-CPU, and system-CPU process times together. * A thread_clock thread steady clock giving the time spent by the current thread (when supported by a platform). . Lastly, Boost.Chrono includes typeof registration for duration and time_point to permit using emulated auto with C++03 compilers. Package: libboost-chrono1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 90 Depends: libboost-system1.48.0 (>= 1.48.0-1), libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/chrono/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-chrono1.48.0_1.48.0-3_armhf.deb Size: 37530 SHA256: 5d641ddb4560a5f7ee9dcd4ffcb918df149eca266158f30ab68dc785d3559dac SHA1: 47d3fd6f7b80aead452819cd84205fb053bae298 MD5sum: f3ab2698676e421da2334e3e9e36e961 Description: C++ representation of time duration, time point, and clocks This package forms part of the Boost C++ Libraries collection. . The Boost.Chrono library provides: . * A means to represent time durations: managed by the generic duration class . Examples of time durations include days, minutes, seconds and nanoseconds, which can be represented with a fixed number of clock ticks per unit. All of these units of time duration are united with a generic interface by the duration facility. * A type for representing points in time: time_point. A time_point represents an epoch plus or minus a duration. The library leaves epochs unspecified. A time_point is associated with a clock. * Several clocks, some of which may not be available on a particular platform: system_clock, steady_clock and high_resolution_clock. A clock is a pairing of a time_point and duration, and a function which returns a time_point representing now. . To make the timing facilities more generally useful, Boost.Chrono provides a number of clocks that are thin wrappers around the operating system's time APIs, thereby allowing the extraction of wall clock time, user CPU time, system CPU time spent by the process: . * process_real_cpu_clock, captures wall clock CPU time spent by the current process. * process_user_cpu_clock, captures user-CPU time spent by the current process. * process_system_cpu_clock, captures system-CPU time spent by the current process. * A tuple-like class process_cpu_clock, that captures real, user-CPU, and system-CPU process times together. * A thread_clock thread steady clock giving the time spent by the current thread (when supported by a platform). . Lastly, Boost.Chrono includes typeof registration for duration and time_point to permit using emulated auto with C++03 compilers. Package: libboost-chrono1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 157 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-chrono1.49.0 (= 1.49.0-3.2) Conflicts: libboost-chrono1.48-dev Homepage: http://www.boost.org/libs/chrono/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-chrono1.49-dev_1.49.0-3.2_armhf.deb Size: 45358 SHA256: 76e2bfb79eb37d1d65cb37f2c4de2f9a04588cf88a3b1f1ecd89560a1ac59b88 SHA1: 30e1e44458034987da5b5e9a53c0594960f181a6 MD5sum: 3edd77043b822fe523fceafdc564c036 Description: C++ representation of time duration, time point, and clocks This package forms part of the Boost C++ Libraries collection. . The Boost.Chrono library provides: . * A means to represent time durations: managed by the generic duration class . Examples of time durations include days, minutes, seconds and nanoseconds, which can be represented with a fixed number of clock ticks per unit. All of these units of time duration are united with a generic interface by the duration facility. * A type for representing points in time: time_point. A time_point represents an epoch plus or minus a duration. The library leaves epochs unspecified. A time_point is associated with a clock. * Several clocks, some of which may not be available on a particular platform: system_clock, steady_clock and high_resolution_clock. A clock is a pairing of a time_point and duration, and a function which returns a time_point representing now. . To make the timing facilities more generally useful, Boost.Chrono provides a number of clocks that are thin wrappers around the operating system's time APIs, thereby allowing the extraction of wall clock time, user CPU time, system CPU time spent by the process: . * process_real_cpu_clock, captures wall clock CPU time spent by the current process. * process_user_cpu_clock, captures user-CPU time spent by the current process. * process_system_cpu_clock, captures system-CPU time spent by the current process. * A tuple-like class process_cpu_clock, that captures real, user-CPU, and system-CPU process times together. * A thread_clock thread steady clock giving the time spent by the current thread (when supported by a platform). . Lastly, Boost.Chrono includes typeof registration for duration and time_point to permit using emulated auto with C++03 compilers. Package: libboost-chrono1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 87 Depends: libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/chrono/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-chrono1.49.0_1.49.0-3.2_armhf.deb Size: 36844 SHA256: beed1770e7f560012b3dacfdc8d1afc7e0218be7a0cf3bb9251bcf95a1c4e823 SHA1: 8dc5badd2125de0d4277a9b8c4ce3cd7e202a493 MD5sum: c3a7c4a84e66dfcb54cd65d4d79a379b Description: C++ representation of time duration, time point, and clocks This package forms part of the Boost C++ Libraries collection. . The Boost.Chrono library provides: . * A means to represent time durations: managed by the generic duration class . Examples of time durations include days, minutes, seconds and nanoseconds, which can be represented with a fixed number of clock ticks per unit. All of these units of time duration are united with a generic interface by the duration facility. * A type for representing points in time: time_point. A time_point represents an epoch plus or minus a duration. The library leaves epochs unspecified. A time_point is associated with a clock. * Several clocks, some of which may not be available on a particular platform: system_clock, steady_clock and high_resolution_clock. A clock is a pairing of a time_point and duration, and a function which returns a time_point representing now. . To make the timing facilities more generally useful, Boost.Chrono provides a number of clocks that are thin wrappers around the operating system's time APIs, thereby allowing the extraction of wall clock time, user CPU time, system CPU time spent by the process: . * process_real_cpu_clock, captures wall clock CPU time spent by the current process. * process_user_cpu_clock, captures user-CPU time spent by the current process. * process_system_cpu_clock, captures system-CPU time spent by the current process. * A tuple-like class process_cpu_clock, that captures real, user-CPU, and system-CPU process times together. * A thread_clock thread steady clock giving the time spent by the current thread (when supported by a platform). . Lastly, Boost.Chrono includes typeof registration for duration and time_point to permit using emulated auto with C++03 compilers. Package: libboost-chrono1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 157 Depends: libboost1.50-dev (= 1.50.0-1), libboost-chrono1.50.0 (= 1.50.0-1) Conflicts: libboost-chrono1.48-dev, libboost-chrono1.49-dev Homepage: http://www.boost.org/libs/chrono/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-chrono1.50-dev_1.50.0-1_armhf.deb Size: 45174 SHA256: 758921ebe6c1ce1ae23cdf21914e44ed91ac2d9ae766371858eef31d53d63721 SHA1: d8699654a081fa42da3a87b1e251a1989d246e71 MD5sum: 54b86a52b55c9416ba3e14978db3fe52 Description: C++ representation of time duration, time point, and clocks This package forms part of the Boost C++ Libraries collection. . The Boost.Chrono library provides: . * A means to represent time durations: managed by the generic duration class . Examples of time durations include days, minutes, seconds and nanoseconds, which can be represented with a fixed number of clock ticks per unit. All of these units of time duration are united with a generic interface by the duration facility. * A type for representing points in time: time_point. A time_point represents an epoch plus or minus a duration. The library leaves epochs unspecified. A time_point is associated with a clock. * Several clocks, some of which may not be available on a particular platform: system_clock, steady_clock and high_resolution_clock. A clock is a pairing of a time_point and duration, and a function which returns a time_point representing now. . To make the timing facilities more generally useful, Boost.Chrono provides a number of clocks that are thin wrappers around the operating system's time APIs, thereby allowing the extraction of wall clock time, user CPU time, system CPU time spent by the process: . * process_real_cpu_clock, captures wall clock CPU time spent by the current process. * process_user_cpu_clock, captures user-CPU time spent by the current process. * process_system_cpu_clock, captures system-CPU time spent by the current process. * A tuple-like class process_cpu_clock, that captures real, user-CPU, and system-CPU process times together. * A thread_clock thread steady clock giving the time spent by the current thread (when supported by a platform). . Lastly, Boost.Chrono includes typeof registration for duration and time_point to permit using emulated auto with C++03 compilers. Package: libboost-chrono1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 88 Depends: libboost-system1.50.0 (>= 1.50.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/chrono/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-chrono1.50.0_1.50.0-1_armhf.deb Size: 37030 SHA256: 47baf3d9b31584426dd269c8354d0c05200da1007c5dad920a34e577e0a5f7ae SHA1: 8b06fab097ad84f68a965935289b9c875922471e MD5sum: 3aeb99cbc61ac60e7c7aa774ab046f21 Description: C++ representation of time duration, time point, and clocks This package forms part of the Boost C++ Libraries collection. . The Boost.Chrono library provides: . * A means to represent time durations: managed by the generic duration class . Examples of time durations include days, minutes, seconds and nanoseconds, which can be represented with a fixed number of clock ticks per unit. All of these units of time duration are united with a generic interface by the duration facility. * A type for representing points in time: time_point. A time_point represents an epoch plus or minus a duration. The library leaves epochs unspecified. A time_point is associated with a clock. * Several clocks, some of which may not be available on a particular platform: system_clock, steady_clock and high_resolution_clock. A clock is a pairing of a time_point and duration, and a function which returns a time_point representing now. . To make the timing facilities more generally useful, Boost.Chrono provides a number of clocks that are thin wrappers around the operating system's time APIs, thereby allowing the extraction of wall clock time, user CPU time, system CPU time spent by the process: . * process_real_cpu_clock, captures wall clock CPU time spent by the current process. * process_user_cpu_clock, captures user-CPU time spent by the current process. * process_system_cpu_clock, captures system-CPU time spent by the current process. * A tuple-like class process_cpu_clock, that captures real, user-CPU, and system-CPU process times together. * A thread_clock thread steady clock giving the time spent by the current thread (when supported by a platform). . Lastly, Boost.Chrono includes typeof registration for duration and time_point to permit using emulated auto with C++03 compilers. Package: libboost-date-time-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-date-time1.49-dev Homepage: http://www.boost.org/libs/date_time/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-date-time-dev_1.49.0.1_armhf.deb Size: 2726 SHA256: a1e0d95acd2e9f82a80bef52b1af0402a79ed3f5547c04f290484e34090e2479 SHA1: cd797ddbfc4e7642407f5db74649e1d02c20a5e6 MD5sum: b2ac23668dea68e2b1679ed053f69649 Description: set of date-time libraries based on generic programming concepts (default version) This package forms part of the Boost C++ Libraries collection. . These libraries are intended to make programming with dates and times almost as simple and natural as programming with strings and integers. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-date-time1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 198 Depends: libboost1.46-dev (= 1.46.1-8), libboost-date-time1.46.1 (= 1.46.1-8), libboost-serialization1.46-dev (= 1.46.1-8) Conflicts: libboost-date-time1.42-dev Homepage: http://www.boost.org/libs/date_time/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-date-time1.46-dev_1.46.1-8_armhf.deb Size: 51306 SHA256: 755edc87f05429e1bcc5956a3e146eb4789e3d9ffc96e1776d1ca215882177f4 SHA1: 9e5584aea922220b987ef3d8f837bdcadc76b626 MD5sum: 6c9121c92f9e04b142b1dab9f5b0b793 Description: set of date-time libraries based on generic programming concepts This package forms part of the Boost C++ Libraries collection. . These libraries are intended to make programming with dates and times almost as simple and natural as programming with strings and integers. Package: libboost-date-time1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 124 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/date_time/ Priority: optional Section: libs Filename: pool/main/b/boost1.46/libboost-date-time1.46.1_1.46.1-8_armhf.deb Size: 43620 SHA256: f6a844fa27117fa2eadbe822620ed54fdbb27f0aac53953963a5034ed83a4672 SHA1: 00e88a0ad23d4798d3598ca4a08863d982b16405 MD5sum: 34dec2647d685602b37fb0e3d3faeed7 Description: set of date-time libraries based on generic programming concepts This package forms part of the Boost C++ Libraries collection. . These libraries are intended to make programming with dates and times almost as simple and natural as programming with strings and integers. Package: libboost-date-time1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 197 Depends: libboost1.48-dev (= 1.48.0-3), libboost-date-time1.48.0 (= 1.48.0-3), libboost-serialization1.48-dev (= 1.48.0-3) Conflicts: libboost-date-time1.42-dev, libboost-date-time1.46-dev Homepage: http://www.boost.org/libs/date_time/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-date-time1.48-dev_1.48.0-3_armhf.deb Size: 51240 SHA256: e54eafc69ef6364d9a74a5f78df3675a7c1443e343f78935dde7d6cc19801b3b SHA1: 25667677e2d6d80044258f4b05235bd291f41164 MD5sum: f40bde09a4cef00e06fff3696ca2cbb1 Description: set of date-time libraries based on generic programming concepts This package forms part of the Boost C++ Libraries collection. . These libraries are intended to make programming with dates and times almost as simple and natural as programming with strings and integers. Package: libboost-date-time1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 122 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/date_time/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-date-time1.48.0_1.48.0-3_armhf.deb Size: 43988 SHA256: a7cbdc287ac22562d311b0f7c6acbb4bd05406592367cdf07a6407de3ac9c61a SHA1: efd28e5020ffd47061cc8031fb0680f62a58517e MD5sum: 5fed9b54337775c1656cd42751d01767 Description: set of date-time libraries based on generic programming concepts This package forms part of the Boost C++ Libraries collection. . These libraries are intended to make programming with dates and times almost as simple and natural as programming with strings and integers. Package: libboost-date-time1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 198 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-date-time1.49.0 (= 1.49.0-3.2), libboost-serialization1.49-dev (= 1.49.0-3.2) Conflicts: libboost-date-time1.42-dev, libboost-date-time1.46-dev, libboost-date-time1.48-dev Homepage: http://www.boost.org/libs/date_time/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-date-time1.49-dev_1.49.0-3.2_armhf.deb Size: 51876 SHA256: 1f194d63c8e7f7c29fc3c41aee1cbdd1e18b93c000096614b897d88c6f792ca3 SHA1: ebfa54e779acf311433aa8877120db1bd334a80a MD5sum: 40044b249292af51cfe21dee00f7ef5f Description: set of date-time libraries based on generic programming concepts This package forms part of the Boost C++ Libraries collection. . These libraries are intended to make programming with dates and times almost as simple and natural as programming with strings and integers. Package: libboost-date-time1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 121 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/date_time/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-date-time1.49.0_1.49.0-3.2_armhf.deb Size: 43582 SHA256: 51dab0d848ce397df6e1b04c732de39d3de2456bc0a1ba70a301cec55aff8a9f SHA1: bc2c8ac00ffc488e6fca6aa7ff46402078cfb15d MD5sum: 661c25297ccfbd1a200c45c734dea745 Description: set of date-time libraries based on generic programming concepts This package forms part of the Boost C++ Libraries collection. . These libraries are intended to make programming with dates and times almost as simple and natural as programming with strings and integers. Package: libboost-date-time1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 198 Depends: libboost1.50-dev (= 1.50.0-1), libboost-date-time1.50.0 (= 1.50.0-1), libboost-serialization1.50-dev (= 1.50.0-1) Conflicts: libboost-date-time1.42-dev, libboost-date-time1.46-dev, libboost-date-time1.48-dev, libboost-date-time1.49-dev Homepage: http://www.boost.org/libs/date_time/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-date-time1.50-dev_1.50.0-1_armhf.deb Size: 51988 SHA256: 1fe2723505c2af5c046efbc5f34545553b1ba79daff9db7f8ba1b72146d30b68 SHA1: fc0928188b33baa1dda819106d3ec4e3fa98f33c MD5sum: f055f3d07d8c34fb49b99ffad1d74b18 Description: set of date-time libraries based on generic programming concepts This package forms part of the Boost C++ Libraries collection. . These libraries are intended to make programming with dates and times almost as simple and natural as programming with strings and integers. Package: libboost-date-time1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 121 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/date_time/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-date-time1.50.0_1.50.0-1_armhf.deb Size: 43740 SHA256: a3b1955bcbb52c37ac3e0f2c4dec1f666776c3d9eb58440f354be6059c1e3107 SHA1: 530b0213e829f5bc6b02519fa53ccc25db241437 MD5sum: bab51890fb0a221009ea466f5bbb074d Description: set of date-time libraries based on generic programming concepts This package forms part of the Boost C++ Libraries collection. . These libraries are intended to make programming with dates and times almost as simple and natural as programming with strings and integers. Package: libboost-dbg Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-dev (= 1.49.0.1), libboost1.49-dbg Homepage: http://www.boost.org/ Priority: extra Section: debug Filename: pool/main/b/boost-defaults/libboost-dbg_1.49.0.1_armhf.deb Size: 2690 SHA256: 1fc46018f9dba8828c847873c647cc0cb38ed096487567f56cfb6050575c2f78 SHA1: a6d8b8d85131c5d4c88ea1afc345f6ddd71c0fd0 MD5sum: f23913feba6d1347ad34f89cd39d2e0b Description: Boost C++ Libraries with debug symbols (default version) This package forms part of the Boost C++ Libraries collection. . These libraries are built with debug symbols. They are useful to debug programs which use Boost. These must be used also at build/link time. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost1.49-dev Suggests: libboost-doc Homepage: http://www.boost.org/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-dev_1.49.0.1_armhf.deb Size: 3030 SHA256: 14aaae49e5f959475cf340f8cd90b30073b37ac71261fcaa0305771f62d43c61 SHA1: 1a3c4b235c67179af125b8a44c89c3c69dd73378 MD5sum: 8abed50e67479f92599cd8e0b164c666 Description: Boost C++ Libraries development files (default version) The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This package provides headers and the auxiliary tools bjam, Boost.Build, bcp, inspect, boostbook and quickbook. . For the following subprojects separate packages exist: chrono, date-time, filesystem, graph, iostreams, locale, math, mpi, program_options, python, regex, serialization, signals, system, test, thread, timer, and wave. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-doc Source: boost-defaults Version: 1.49.0.1 Installed-Size: 26 Maintainer: Debian Boost Team Architecture: all Depends: libboost1.49-doc Size: 2904 SHA256: cd407257c899c2e1c3e769fa3f855c279a1174861cabd66b5da2c6e7fb2ee722 SHA1: c95c0e0e320def59c9a2968dedcda9f70d567fc2 MD5sum: f33e0b9b2219a367a0c34287d084dadb Description: Boost.org libraries documentation (default version) The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This is documentation for the boost libraries in HTML format. Some pages point to header files provided in the corresponding -dev package, so it is suggested to install the latter as well. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Homepage: http://www.boost.org/libs/ Tag: devel::doc, devel::lang:c++, devel::library, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/b/boost-defaults/libboost-doc_1.49.0.1_all.deb Package: libboost-exception1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 59 Depends: libboost1.50-dev (= 1.50.0-1), libboost-exception1.50.0 (= 1.50.0-1) Homepage: http://www.boost.org/libs/exception/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-exception1.50-dev_1.50.0-1_armhf.deb Size: 28160 SHA256: 21daed84f2c4ea0653b6585c494ab3b1928dfcad388fca179b808630f0dee1af SHA1: ed73980b9475742a7336fdff7cd6f8d8d34151e2 MD5sum: 96e62ecb99b202caea8bed99853173b5 Description: set of date-time libraries based on generic programming concepts This package forms part of the Boost C++ Libraries collection. . The purpose of Boost Exception is to ease the design of exception class hierarchies and to help write exception handling and error reporting code. Package: libboost-exception1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 62 Homepage: http://www.boost.org/libs/exception/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-exception1.50.0_1.50.0-1_armhf.deb Size: 27644 SHA256: fb11dd236a2d8b45fc5c18894d26d3df2520b4e7471bd7c91e8e1789585410fb SHA1: 0063db1f4de7d8a46de3f1a74d9746c4fa2ba30e MD5sum: 61367109700aea20939ddb8a8715ceed Description: set of date-time libraries based on generic programming concepts This package forms part of the Boost C++ Libraries collection. . The purpose of Boost Exception is to ease the design of exception class hierarchies and to help write exception handling and error reporting code. Package: libboost-filesystem-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-filesystem1.49-dev Homepage: http://boost.org/libs/filesystem/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-filesystem-dev_1.49.0.1_armhf.deb Size: 2750 SHA256: 0c3b1a4829d5b6f61bb7a3ead2a46c357652198affe46bfa796c5686512bfba2 SHA1: 9254c3576b6b189ac205738221051af8e74e6fe5 MD5sum: 8ce9b43c70fda395ea6c7090345f4a80 Description: filesystem operations (portable paths, iteration over directories, etc) in C++ (default version) This package forms part of the Boost C++ Libraries collection. . The Boost Filesystem Library provides portable facilities to query and manipulate paths, files, and directories. The goal is to facilitate portable script-like operations from within C++ programs. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-filesystem1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 320 Depends: libboost1.46-dev (= 1.46.1-8), libboost-filesystem1.46.1 (= 1.46.1-8), libboost-system1.46-dev (= 1.46.1-8) Conflicts: libboost-filesystem1.42-dev Homepage: http://boost.org/libs/filesystem/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-filesystem1.46-dev_1.46.1-8_armhf.deb Size: 100558 SHA256: 86c0573442c800cfbe240390da876277df2b1c8fd13f574841c71f8a8d7d7ca7 SHA1: ce7741e1d1efa8eb3f4430a99b6d367e9df747a8 MD5sum: 303cdfee6144711ac511c151ee628d53 Description: filesystem operations (portable paths, iteration over directories, etc) in C++ This package forms part of the Boost C++ Libraries collection. . The Boost Filesystem Library provides portable facilities to query and manipulate paths, files, and directories. The goal is to facilitate portable script-like operations from within C++ programs. Package: libboost-filesystem1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 180 Depends: libboost-system1.46.1 (>= 1.46.1-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://boost.org/libs/filesystem/ Priority: optional Section: libs Filename: pool/main/b/boost1.46/libboost-filesystem1.46.1_1.46.1-8_armhf.deb Size: 71222 SHA256: bc16eeda5fb8157dc3a96dd7e418a0eb005afc52a92d35cd087684ce124cad5e SHA1: b81cf6e8bf1cfcb1938f2813660ff35f4283dc02 MD5sum: 4f1daf590659731d35548e8108968889 Description: filesystem operations (portable paths, iteration over directories, etc) in C++ This package forms part of the Boost C++ Libraries collection. . The Boost Filesystem Library provides portable facilities to query and manipulate paths, files, and directories. The goal is to facilitate portable script-like operations from within C++ programs. Package: libboost-filesystem1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 322 Depends: libboost1.48-dev (= 1.48.0-3), libboost-filesystem1.48.0 (= 1.48.0-3), libboost-system1.48-dev (= 1.48.0-3) Conflicts: libboost-filesystem1.42-dev, libboost-filesystem1.46-dev Homepage: http://boost.org/libs/filesystem/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-filesystem1.48-dev_1.48.0-3_armhf.deb Size: 101542 SHA256: 6f348acff8955f9ca80857a9b00360d6839b6e7e3705f54f7828dba18d9479d9 SHA1: e5ef56563c94c2c55a9a0e1db41236db4dda835b MD5sum: 6208f9643ef6eacfe619d88d80fa4a2e Description: filesystem operations (portable paths, iteration over directories, etc) in C++ This package forms part of the Boost C++ Libraries collection. . The Boost Filesystem Library provides portable facilities to query and manipulate paths, files, and directories. The goal is to facilitate portable script-like operations from within C++ programs. Package: libboost-filesystem1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 181 Depends: libboost-system1.48.0 (>= 1.48.0-1), libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://boost.org/libs/filesystem/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-filesystem1.48.0_1.48.0-3_armhf.deb Size: 73004 SHA256: 068e98e1e30f7f30ba14435bb093d424189c338b0337f74aead5c594f4c72c54 SHA1: ec0b6994e3dff8c6e182ac9d15f5eeb1329daa3c MD5sum: 981434bbb1ae675014fc336f5cfe04cd Description: filesystem operations (portable paths, iteration over directories, etc) in C++ This package forms part of the Boost C++ Libraries collection. . The Boost Filesystem Library provides portable facilities to query and manipulate paths, files, and directories. The goal is to facilitate portable script-like operations from within C++ programs. Package: libboost-filesystem1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 326 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-filesystem1.49.0 (= 1.49.0-3.2), libboost-system1.49-dev (= 1.49.0-3.2) Conflicts: libboost-filesystem1.42-dev, libboost-filesystem1.46-dev, libboost-filesystem1.48-dev Homepage: http://boost.org/libs/filesystem/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-filesystem1.49-dev_1.49.0-3.2_armhf.deb Size: 101778 SHA256: 0b2be1f2859c031451d950be560e188ff89deeac2de644c51a226752878cfa74 SHA1: 38936bf80f946bc4cba6ef81fb00ff13c76f21ef MD5sum: 76bd595a3fb2e94b1d128e6b7b531a76 Description: filesystem operations (portable paths, iteration over directories, etc) in C++ This package forms part of the Boost C++ Libraries collection. . The Boost Filesystem Library provides portable facilities to query and manipulate paths, files, and directories. The goal is to facilitate portable script-like operations from within C++ programs. Package: libboost-filesystem1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 185 Depends: libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://boost.org/libs/filesystem/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-filesystem1.49.0_1.49.0-3.2_armhf.deb Size: 73398 SHA256: e8c8edaca140c0ea3045b952cb2a4ae8c2a29ef07bc4f8b8e29f5f4c5e7c44d0 SHA1: ea9c3c1c64367fc2d0e934658fe8ca6346a545e4 MD5sum: dd026aa37a852643aaf8f42e485b4d34 Description: filesystem operations (portable paths, iteration over directories, etc) in C++ This package forms part of the Boost C++ Libraries collection. . The Boost Filesystem Library provides portable facilities to query and manipulate paths, files, and directories. The goal is to facilitate portable script-like operations from within C++ programs. Package: libboost-filesystem1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 220 Depends: libboost1.50-dev (= 1.50.0-1), libboost-filesystem1.50.0 (= 1.50.0-1), libboost-system1.50-dev (= 1.50.0-1) Conflicts: libboost-filesystem1.42-dev, libboost-filesystem1.46-dev, libboost-filesystem1.48-dev, libboost-filesystem1.49-dev Homepage: http://boost.org/libs/filesystem/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-filesystem1.50-dev_1.50.0-1_armhf.deb Size: 76906 SHA256: 588c11cc715ba5ca969d9258134807b66e1a009a2b7db2a687e58fe861dc20c0 SHA1: 4031149c56b0978d86b95a4ab69f9eb8e749b0e4 MD5sum: be9d4ad0ca7785fa31bca20cfa1f04c0 Description: filesystem operations (portable paths, iteration over directories, etc) in C++ This package forms part of the Boost C++ Libraries collection. . The Boost Filesystem Library provides portable facilities to query and manipulate paths, files, and directories. The goal is to facilitate portable script-like operations from within C++ programs. Package: libboost-filesystem1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 149 Depends: libboost-system1.50.0 (>= 1.50.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://boost.org/libs/filesystem/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-filesystem1.50.0_1.50.0-1_armhf.deb Size: 61754 SHA256: b18bf53282250f3e27e9279569c237ad72dc34af175e2749c157b52545e1bf69 SHA1: 33ea06427c0e09a2e099051bbbc51e0b160cd529 MD5sum: 0c08eae8463d7984743339db23a2fd94 Description: filesystem operations (portable paths, iteration over directories, etc) in C++ This package forms part of the Boost C++ Libraries collection. . The Boost Filesystem Library provides portable facilities to query and manipulate paths, files, and directories. The goal is to facilitate portable script-like operations from within C++ programs. Package: libboost-graph-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-graph1.49-dev Homepage: http://www.boost.org/libs/graph/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-graph-dev_1.49.0.1_armhf.deb Size: 2816 SHA256: c3cc775b59502d2623c3f3a8c5a8a8993a0b66f8ca982445bd41e1b8f8badbaa SHA1: 6bea71898ff898683c1008e08cc99a084fc01a2c MD5sum: fec2cf563e6d9298a020446e722fb9c0 Description: generic graph components and algorithms in C++ (default version) This package forms part of the Boost C++ Libraries collection. . Graphs are mathematical abstractions that are useful for solving many types of problems in computer science. Consequently, these abstractions must also be represented in computer programs. A standardized generic interface for traversing graphs is of utmost importance to encourage reuse of graph algorithms and data structures. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-graph-parallel-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-graph-parallel1.49-dev Homepage: http://www.boost.org/libs/graph_parallel/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-graph-parallel-dev_1.49.0.1_armhf.deb Size: 2862 SHA256: 42c613d911b06a5e7295de10bbd08f5e80b6926bee29f4dcb540644b011d2bbc SHA1: 25ffd351cd1aa3214a6fa2ea0407c54cedd6d534 MD5sum: 5bc3b21845f86a5ca414eb8a93cad665 Description: generic graph components and algorithms in C++ (default version) This package forms part of the Boost C++ Libraries collection. . The Parallel Boost Graph Library is an extension to the Boost Graph Library (BGL) for parallel and distributed computing. It offers distributed graphs and graph algorithms to exploit coarse-grained parallelism along with parallel algorithms that exploit fine-grained parallelism, while retaining the same interfaces as the (sequential) BGL. Code written using the sequential BGL should be easy to parallelize with the parallel BGL. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-graph-parallel1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 527 Depends: libboost1.46-dev (= 1.46.1-8), libboost-serialization1.46-dev (= 1.46.1-8), libboost-test1.46-dev (= 1.46.1-8) Recommends: libboost-graph-parallel1.46.1 (= 1.46.1-8) Conflicts: libboost-graph-parallel1.42-dev Homepage: http://www.boost.org/libs/graph_parallel/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-graph-parallel1.46-dev_1.46.1-8_armhf.deb Size: 115538 SHA256: dd7816f74b06004708fa52c389952d9daf0f94ca71ffaf4f8c93204bf5a8f6ec SHA1: fa07aaa19ee9256378deeecd9a881cb202f42897 MD5sum: 9fcc94eb350676fd68ae04ae67c72219 Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . The Parallel Boost Graph Library is an extension to the Boost Graph Library (BGL) for parallel and distributed computing. It offers distributed graphs and graph algorithms to exploit coarse-grained parallelism along with parallel algorithms that exploit fine-grained parallelism, while retaining the same interfaces as the (sequential) BGL. Code written using the sequential BGL should be easy to parallelize with the parallel BGL. Package: libboost-graph-parallel1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 244 Depends: libboost-mpi1.46.1 (>= 1.46.1-1), libboost-serialization1.46.1 (>= 1.46.1-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenmpi1.3, libstdc++6 (>= 4.6) Suggests: graphviz Homepage: http://www.boost.org/libs/graph_parallel/ Priority: optional Section: libs Filename: pool/main/b/boost1.46/libboost-graph-parallel1.46.1_1.46.1-8_armhf.deb Size: 87336 SHA256: fc91f429de3b85339a9ced9d80ab408c0d324831daa011b3e533d8599eea5a5c SHA1: 0480d4983996e2cb6232f14e23b161a9249b631e MD5sum: 69ed3ac7257e61e4f1a89c175232ec39 Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . The Parallel Boost Graph Library is an extension to the Boost Graph Library (BGL) for parallel and distributed computing. It offers distributed graphs and graph algorithms to exploit coarse-grained parallelism along with parallel algorithms that exploit fine-grained parallelism, while retaining the same interfaces as the (sequential) BGL. Code written using the sequential BGL should be easy to parallelize with the parallel BGL. Package: libboost-graph-parallel1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 526 Depends: libboost1.48-dev (= 1.48.0-3), libboost-serialization1.48-dev (= 1.48.0-3), libboost-test1.48-dev (= 1.48.0-3) Recommends: libboost-graph-parallel1.48.0 (= 1.48.0-3) Conflicts: libboost-graph-parallel1.42-dev, libboost-graph-parallel1.46-dev Homepage: http://www.boost.org/libs/graph_parallel/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-graph-parallel1.48-dev_1.48.0-3_armhf.deb Size: 116724 SHA256: 150dda5de66199da5ae9569bd3585ef37816f08e5bc9cea52ad32a8036ae2bf6 SHA1: f8dda0098f37255e2a609dab4efa12aa6983ba08 MD5sum: c72d802bc6eb6c60a561f31292ff6ea0 Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . The Parallel Boost Graph Library is an extension to the Boost Graph Library (BGL) for parallel and distributed computing. It offers distributed graphs and graph algorithms to exploit coarse-grained parallelism along with parallel algorithms that exploit fine-grained parallelism, while retaining the same interfaces as the (sequential) BGL. Code written using the sequential BGL should be easy to parallelize with the parallel BGL. Package: libboost-graph-parallel1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 244 Depends: libboost-mpi1.48.0 (>= 1.48.0-1), libboost-serialization1.48.0 (>= 1.48.0-1), libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libopenmpi1.3, libstdc++6 (>= 4.6) Suggests: graphviz Homepage: http://www.boost.org/libs/graph_parallel/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-graph-parallel1.48.0_1.48.0-3_armhf.deb Size: 88274 SHA256: 5664837e643949c4f78e87f65b790ba6b638a6acd11bc4ca41e1f84e84464e72 SHA1: 6d67a2f71c318977feef999ee6e73a36ebbf3af1 MD5sum: 88956a8a253d226728a25730bb74f591 Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . The Parallel Boost Graph Library is an extension to the Boost Graph Library (BGL) for parallel and distributed computing. It offers distributed graphs and graph algorithms to exploit coarse-grained parallelism along with parallel algorithms that exploit fine-grained parallelism, while retaining the same interfaces as the (sequential) BGL. Code written using the sequential BGL should be easy to parallelize with the parallel BGL. Package: libboost-graph-parallel1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 526 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-serialization1.49-dev (= 1.49.0-3.2), libboost-test1.49-dev (= 1.49.0-3.2) Recommends: libboost-graph-parallel1.49.0 (= 1.49.0-3.2) Conflicts: libboost-graph-parallel1.42-dev, libboost-graph-parallel1.46-dev, libboost-graph-parallel1.48-dev Homepage: http://www.boost.org/libs/graph_parallel/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-graph-parallel1.49-dev_1.49.0-3.2_armhf.deb Size: 115898 SHA256: 50c89c821b6ab8532ce3ce250edd13c4a6db718541dcd927e05ce5d09c07f24f SHA1: c26c78bfc09573851df5c9202c8ae1ef021a59b4 MD5sum: 65e1195a529050667d2a621e443b74e3 Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . The Parallel Boost Graph Library is an extension to the Boost Graph Library (BGL) for parallel and distributed computing. It offers distributed graphs and graph algorithms to exploit coarse-grained parallelism along with parallel algorithms that exploit fine-grained parallelism, while retaining the same interfaces as the (sequential) BGL. Code written using the sequential BGL should be easy to parallelize with the parallel BGL. Package: libboost-graph-parallel1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 240 Depends: libboost-mpi1.49.0 (>= 1.49.0-1), libboost-serialization1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenmpi1.3, libstdc++6 (>= 4.6) Suggests: graphviz Homepage: http://www.boost.org/libs/graph_parallel/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-graph-parallel1.49.0_1.49.0-3.2_armhf.deb Size: 87372 SHA256: d8321fef221f03ce3a1c69468641baf18b942eb8f3cd6cc6cb4d6b3648e831e4 SHA1: 2ca5b5cc2e4d82f7f2c24d73c54015c39ff563c8 MD5sum: d900256dcdde2ec4e4370a46a49b7a6a Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . The Parallel Boost Graph Library is an extension to the Boost Graph Library (BGL) for parallel and distributed computing. It offers distributed graphs and graph algorithms to exploit coarse-grained parallelism along with parallel algorithms that exploit fine-grained parallelism, while retaining the same interfaces as the (sequential) BGL. Code written using the sequential BGL should be easy to parallelize with the parallel BGL. Package: libboost-graph-parallel1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 525 Depends: libboost1.50-dev (= 1.50.0-1), libboost-serialization1.50-dev (= 1.50.0-1), libboost-test1.50-dev (= 1.50.0-1) Recommends: libboost-graph-parallel1.50.0 (= 1.50.0-1) Conflicts: libboost-graph-parallel1.42-dev, libboost-graph-parallel1.46-dev, libboost-graph-parallel1.48-dev, libboost-graph-parallel1.49-dev Homepage: http://www.boost.org/libs/graph_parallel/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-graph-parallel1.50-dev_1.50.0-1_armhf.deb Size: 115576 SHA256: 3a23db1b36d74547f0608a9cc9cb1a9a79897ac55f317e040c5f155b76112ac1 SHA1: 4cfd00205fcbe28e13beef146d1a09e028e931e2 MD5sum: e8fb7b8ed12a85e95d8dd1b6dc173524 Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . The Parallel Boost Graph Library is an extension to the Boost Graph Library (BGL) for parallel and distributed computing. It offers distributed graphs and graph algorithms to exploit coarse-grained parallelism along with parallel algorithms that exploit fine-grained parallelism, while retaining the same interfaces as the (sequential) BGL. Code written using the sequential BGL should be easy to parallelize with the parallel BGL. Package: libboost-graph-parallel1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 240 Depends: libboost-mpi1.50.0 (>= 1.50.0-1), libboost-serialization1.50.0 (>= 1.50.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenmpi1.3, libstdc++6 (>= 4.6) Suggests: graphviz Homepage: http://www.boost.org/libs/graph_parallel/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-graph-parallel1.50.0_1.50.0-1_armhf.deb Size: 87560 SHA256: b6b510df04103a6d47a99c6f6e9f3a62cc2e016dd34d8c5611bd36f603b0a05f SHA1: fc7d644c1c1c4eb120c550a0b4d3bd0dfd1dc537 MD5sum: 84ec1b4d9af46ac9d1b02c67bd4bbfde Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . The Parallel Boost Graph Library is an extension to the Boost Graph Library (BGL) for parallel and distributed computing. It offers distributed graphs and graph algorithms to exploit coarse-grained parallelism along with parallel algorithms that exploit fine-grained parallelism, while retaining the same interfaces as the (sequential) BGL. Code written using the sequential BGL should be easy to parallelize with the parallel BGL. Package: libboost-graph1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 762 Depends: libboost1.46-dev (= 1.46.1-8), libboost-serialization1.46-dev (= 1.46.1-8), libboost-test1.46-dev (= 1.46.1-8) Recommends: libboost-graph1.46.1 (= 1.46.1-8) Conflicts: libboost-graph1.42-dev Homepage: http://www.boost.org/libs/graph/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-graph1.46-dev_1.46.1-8_armhf.deb Size: 175364 SHA256: 6ccbd86cf2fa9f4a04097ba04c8942c4d2508592ff01864f0fc26f7e5a9a9434 SHA1: 4cfb8e7bf36cb788153529295789127cf93a2ad1 MD5sum: 5acf9cfebb9663afac00925de47de918 Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . Graphs are mathematical abstractions that are useful for solving many types of problems in computer science. Consequently, these abstractions must also be represented in computer programs. A standardized generic interface for traversing graphs is of utmost importance to encourage reuse of graph algorithms and data structures. Package: libboost-graph1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 333 Depends: libboost-regex1.46.1 (>= 1.46.1-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.4.0) Suggests: graphviz Homepage: http://www.boost.org/libs/graph/ Priority: optional Section: libs Filename: pool/main/b/boost1.46/libboost-graph1.46.1_1.46.1-8_armhf.deb Size: 123018 SHA256: f1b7d7ed6ac8c2e1d4e6596c69ca2ef1b0804b2f07b766f45870b5be2a287be6 SHA1: cd401f8f003d874740647cfc933e3c6550e229d7 MD5sum: 17998e7d87d48a8b0e747365ce4c394a Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . Graphs are mathematical abstractions that are useful for solving many types of problems in computer science. Consequently, these abstractions must also be represented in computer programs. A standardized generic interface for traversing graphs is of utmost importance to encourage reuse of graph algorithms and data structures. Package: libboost-graph1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 766 Depends: libboost1.48-dev (= 1.48.0-3), libboost-serialization1.48-dev (= 1.48.0-3), libboost-test1.48-dev (= 1.48.0-3) Recommends: libboost-graph1.48.0 (= 1.48.0-3) Conflicts: libboost-graph1.42-dev, libboost-graph1.46-dev Homepage: http://www.boost.org/libs/graph/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-graph1.48-dev_1.48.0-3_armhf.deb Size: 176386 SHA256: c517a92244bc3d4bcada9d4cbc968bcba8dbe89a15e0cef0f7ae8338740eb1ab SHA1: 9df9bd2fc17b713f03712f561f1310e75e1943aa MD5sum: 8467ca3f6b3585314f78d3feae5edf51 Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . Graphs are mathematical abstractions that are useful for solving many types of problems in computer science. Consequently, these abstractions must also be represented in computer programs. A standardized generic interface for traversing graphs is of utmost importance to encourage reuse of graph algorithms and data structures. Package: libboost-graph1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 336 Depends: libboost-regex1.48.0 (>= 1.48.0-1), libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: graphviz Homepage: http://www.boost.org/libs/graph/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-graph1.48.0_1.48.0-3_armhf.deb Size: 123266 SHA256: fa4299e0fa2459c8c2678af6b6edbf4e5afbb0a510910ff4bed3c5b859a5d0d0 SHA1: cfb0319646e2a7a12ab4f3017fbde78bfbf62d39 MD5sum: 93836bd5a9913b614c76beb7bb4db393 Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . Graphs are mathematical abstractions that are useful for solving many types of problems in computer science. Consequently, these abstractions must also be represented in computer programs. A standardized generic interface for traversing graphs is of utmost importance to encourage reuse of graph algorithms and data structures. Package: libboost-graph1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 767 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-serialization1.49-dev (= 1.49.0-3.2), libboost-test1.49-dev (= 1.49.0-3.2) Recommends: libboost-graph1.49.0 (= 1.49.0-3.2) Conflicts: libboost-graph1.42-dev, libboost-graph1.46-dev, libboost-graph1.48-dev Homepage: http://www.boost.org/libs/graph/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-graph1.49-dev_1.49.0-3.2_armhf.deb Size: 176388 SHA256: 22168d586968b5dd4a3ced5f547a6aaed70e6f53f9156047970b6a6aca41c7ec SHA1: 99fc5b63a70b2b33eeec9bd16fa63ad25d551412 MD5sum: 040f61fb896c77639e4d29abb021c1a8 Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . Graphs are mathematical abstractions that are useful for solving many types of problems in computer science. Consequently, these abstractions must also be represented in computer programs. A standardized generic interface for traversing graphs is of utmost importance to encourage reuse of graph algorithms and data structures. Package: libboost-graph1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 336 Depends: libboost-regex1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.4.0) Suggests: graphviz Homepage: http://www.boost.org/libs/graph/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-graph1.49.0_1.49.0-3.2_armhf.deb Size: 124024 SHA256: faa847dfa290fae4799039caeadfd19868ce57d960deb0b1dd491259f34c5ef6 SHA1: 5974aaafd7afa8f2cfad635de220a0bc249d2236 MD5sum: 5be6f007a6e99da05d93a1d244349fad Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . Graphs are mathematical abstractions that are useful for solving many types of problems in computer science. Consequently, these abstractions must also be represented in computer programs. A standardized generic interface for traversing graphs is of utmost importance to encourage reuse of graph algorithms and data structures. Package: libboost-graph1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 758 Depends: libboost1.50-dev (= 1.50.0-1), libboost-serialization1.50-dev (= 1.50.0-1), libboost-test1.50-dev (= 1.50.0-1) Recommends: libboost-graph1.50.0 (= 1.50.0-1) Conflicts: libboost-graph1.42-dev, libboost-graph1.46-dev, libboost-graph1.48-dev, libboost-graph1.49-dev Homepage: http://www.boost.org/libs/graph/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-graph1.50-dev_1.50.0-1_armhf.deb Size: 175766 SHA256: 9f07f3b576f59905b37c49ba5c564f1337e9f593ea66082e39ff07e2da6cba14 SHA1: 164fc2fb4c3629d46010fff5d14dd23017318b12 MD5sum: b1d8fc62787871fba6a9c8f1bcdec070 Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . Graphs are mathematical abstractions that are useful for solving many types of problems in computer science. Consequently, these abstractions must also be represented in computer programs. A standardized generic interface for traversing graphs is of utmost importance to encourage reuse of graph algorithms and data structures. Package: libboost-graph1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 336 Depends: libboost-regex1.50.0 (>= 1.50.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.4.0) Suggests: graphviz Homepage: http://www.boost.org/libs/graph/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-graph1.50.0_1.50.0-1_armhf.deb Size: 124056 SHA256: a7560dd2d07a375a43a0ac4278a907e225ca57afd9114ae2768eea490906854f SHA1: 32182c2f5934f65564ccc719a0a7f5a5bbd291e4 MD5sum: 2829edf3eb6e5ceb7c14c6f7df9f8894 Description: generic graph components and algorithms in C++ This package forms part of the Boost C++ Libraries collection. . Graphs are mathematical abstractions that are useful for solving many types of problems in computer science. Consequently, these abstractions must also be represented in computer programs. A standardized generic interface for traversing graphs is of utmost importance to encourage reuse of graph algorithms and data structures. Package: libboost-iostreams-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-iostreams1.49-dev Homepage: http://www.boost.org/libs/iostreams/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-iostreams-dev_1.49.0.1_armhf.deb Size: 2710 SHA256: b0d4bf4256705ad71170ec712f8fc298e2aa4e9664b3170cd6971f8127d5e0ff SHA1: 3fcd3ed494a8a5f17211a7bbe247ca3074dbda98 MD5sum: c149d1afd77f6c2bfc0fe1c7ed87e8fa Description: Boost.Iostreams Library development files (default version) This package forms part of the Boost C++ Libraries collection. . Boost.Iostreams are a collection of concepts and a set of templates which turn models of these concepts into C++ standard library streams and stream buffers. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-iostreams1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 312 Depends: libboost1.46-dev (= 1.46.1-8), libboost-regex1.46-dev (= 1.46.1-8), libboost-iostreams1.46.1 (= 1.46.1-8) Conflicts: libboost-iostreams1.42-dev Homepage: http://www.boost.org/libs/iostreams/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-iostreams1.46-dev_1.46.1-8_armhf.deb Size: 79660 SHA256: 75330d56fcd4ed13678ef801068e203aa629b6db483ff7215a2986ad6b777357 SHA1: 72a53c5070b98bf58ec91df61acb918723638bf2 MD5sum: d1a2738df04a9ca70282fe3bd5a32ebd Description: Boost.Iostreams Library development files This package forms part of the Boost C++ Libraries collection. . Boost.Iostreams are a collection of concepts and a set of templates which turn models of these concepts into C++ standard library streams and stream buffers. Package: libboost-iostreams1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 149 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Homepage: http://www.boost.org/libs/iostreams/ Priority: important Section: libs Filename: pool/main/b/boost1.46/libboost-iostreams1.46.1_1.46.1-8_armhf.deb Size: 53218 SHA256: fca109b1f42c36e33b706469e8921c2f58250910310206cf0aa3965b39037f28 SHA1: d24fe9fee7bdb26f97816565837020d1348e3489 MD5sum: b7131ec3dada023b03a692bbb1028b06 Description: Boost.Iostreams Library This package forms part of the Boost C++ Libraries collection. . Boost.Iostreams are a collection of concepts and a set of templates which turn models of these concepts into C++ standard library streams and stream buffers. Package: libboost-iostreams1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 312 Depends: libboost1.48-dev (= 1.48.0-3), libboost-regex1.48-dev (= 1.48.0-3), libboost-iostreams1.48.0 (= 1.48.0-3) Conflicts: libboost-iostreams1.42-dev, libboost-iostreams1.46-dev Homepage: http://www.boost.org/libs/iostreams/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-iostreams1.48-dev_1.48.0-3_armhf.deb Size: 80218 SHA256: 661cb99cf2a3dbc95681fa14225f4b7e05e7bfdc3fdc71db6af0c9136cab9bfe SHA1: 5bd53e42a47ded7c6079211e4d4102f6c6f96947 MD5sum: 7913c26c3da00ce0b5a266e3fdd00b64 Description: Boost.Iostreams Library development files This package forms part of the Boost C++ Libraries collection. . Boost.Iostreams are a collection of concepts and a set of templates which turn models of these concepts into C++ standard library streams and stream buffers. Package: libboost-iostreams1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 150 Depends: libbz2-1.0, libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Homepage: http://www.boost.org/libs/iostreams/ Priority: important Section: libs Filename: pool/main/b/boost1.48/libboost-iostreams1.48.0_1.48.0-3_armhf.deb Size: 54036 SHA256: c7ac85ba12eecf29b95b994307aa43f0518649f6e18326545d2fdc72370d8ae9 SHA1: 7a648a8c2980d071d570749ccb80d845fff174f0 MD5sum: 99323eb092c225a9a81f3554b128328c Description: Boost.Iostreams Library This package forms part of the Boost C++ Libraries collection. . Boost.Iostreams are a collection of concepts and a set of templates which turn models of these concepts into C++ standard library streams and stream buffers. Package: libboost-iostreams1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 313 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-regex1.49-dev (= 1.49.0-3.2), libboost-iostreams1.49.0 (= 1.49.0-3.2) Conflicts: libboost-iostreams1.42-dev, libboost-iostreams1.46-dev, libboost-iostreams1.48-dev Homepage: http://www.boost.org/libs/iostreams/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-iostreams1.49-dev_1.49.0-3.2_armhf.deb Size: 80472 SHA256: f83b5815c5275e1dee5786664b574c348baaa4fb93b736ea21ef4880bdbfa14e SHA1: 103d0bd2c9ace4611d3f3930270460cde3c601b6 MD5sum: fd114f98a40b1d4c42f40a7fa300e4c6 Description: Boost.Iostreams Library development files This package forms part of the Boost C++ Libraries collection. . Boost.Iostreams are a collection of concepts and a set of templates which turn models of these concepts into C++ standard library streams and stream buffers. Package: libboost-iostreams1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 150 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Homepage: http://www.boost.org/libs/iostreams/ Priority: important Section: libs Filename: pool/main/b/boost1.49/libboost-iostreams1.49.0_1.49.0-3.2_armhf.deb Size: 53320 SHA256: 5eca9a7e38211f20833d9488b75815b33a06bfeb9130792ccedbe4af9e789d9a SHA1: 867390e753c63d8f7c63215afb21b178958d6136 MD5sum: 5ef8146eb54072bea521c5b99c08d8b6 Description: Boost.Iostreams Library This package forms part of the Boost C++ Libraries collection. . Boost.Iostreams are a collection of concepts and a set of templates which turn models of these concepts into C++ standard library streams and stream buffers. Package: libboost-iostreams1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 312 Depends: libboost1.50-dev (= 1.50.0-1), libboost-regex1.50-dev (= 1.50.0-1), libboost-iostreams1.50.0 (= 1.50.0-1) Conflicts: libboost-iostreams1.42-dev, libboost-iostreams1.46-dev, libboost-iostreams1.48-dev, libboost-iostreams1.49-dev Homepage: http://www.boost.org/libs/iostreams/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-iostreams1.50-dev_1.50.0-1_armhf.deb Size: 80978 SHA256: 700ee6b4654ddeaf8b9caa303b49d99db3f1070aabb6c0c5b61420debd5a4707 SHA1: ee8741899f1fdcd78587584dd1f1c59e7386aee1 MD5sum: 28d57fa3daf08fa3ee667ed15996e02f Description: Boost.Iostreams Library development files This package forms part of the Boost C++ Libraries collection. . Boost.Iostreams are a collection of concepts and a set of templates which turn models of these concepts into C++ standard library streams and stream buffers. Package: libboost-iostreams1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 150 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Homepage: http://www.boost.org/libs/iostreams/ Priority: important Section: libs Filename: pool/main/b/boost1.50/libboost-iostreams1.50.0_1.50.0-1_armhf.deb Size: 53942 SHA256: 9e90af9f203e92ad8a469cdce597e79a162202f2b399571064e3dcac7e83f4f0 SHA1: e26655ac970d42acbcfe45e4c983f6291bba7868 MD5sum: 014d54f3dc28095a543874999249bd09 Description: Boost.Iostreams Library This package forms part of the Boost C++ Libraries collection. . Boost.Iostreams are a collection of concepts and a set of templates which turn models of these concepts into C++ standard library streams and stream buffers. Package: libboost-locale-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-locale1.49-dev Homepage: http://www.boost.org/libs/math/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-locale-dev_1.49.0.1_armhf.deb Size: 3054 SHA256: d204e537c08a4c02011aa6aa7427381901ffbaaf1962436dd04dfa409b41d7cf SHA1: 46315026a68889608e4cb0966a7e307fb64672c1 MD5sum: 5a9df7facd3e86284b8211dab5b4b5a4 Description: C++ facilities for localization (default version) This package forms part of the Boost C++ Libraries collection. . Boost.Locale gives powerful tools for development of cross platform localized software - the software that talks to user in its language. . * Correct case conversion, case folding and normalization. * Collation (sorting), including support for 4 Unicode collation levels. * Date, time, timezone and calendar manipulations, formatting and parsing, including transparent support for calendars other than Gregorian. * Boundary analysis for characters, words, sentences and line-breaks. * Number formatting, spelling and parsing. * Monetary formatting and parsing. * Powerful message formatting (string translation) including support for plural forms, using GNU catalogs. * Character set conversion. * Transparent support for 8-bit character sets like Latin1 * Support for char and wchar_t * Experimental support for C++0x char16_t and char32_t strings and streams. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-locale1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 2442 Depends: libboost1.48-dev (= 1.48.0-3), libboost-locale1.48.0 (= 1.48.0-3) Homepage: http://www.boost.org/libs/math/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-locale1.48-dev_1.48.0-3_armhf.deb Size: 546128 SHA256: 0cc46253b40614ff61aedef8ecfaf203628cc7b457e3cee5b57022dbd87d5e41 SHA1: a006978f68cd5cffbe4ef9e012ec4ec87e79b398 MD5sum: edd7ce978afd86e872718fe55171ed5e Description: C++ facilities for localization This package forms part of the Boost C++ Libraries collection. . Boost.Locale gives powerful tools for development of cross platform localized software - the software that talks to user in its language. . * Correct case conversion, case folding and normalization. * Collation (sorting), including support for 4 Unicode collation levels. * Date, time, timezone and calendar manipulations, formatting and parsing, including transparent support for calendars other than Gregorian. * Boundary analysis for characters, words, sentences and line-breaks. * Number formatting, spelling and parsing. * Monetary formatting and parsing. * Powerful message formatting (string translation) including support for plural forms, using GNU catalogs. * Character set conversion. * Transparent support for 8-bit character sets like Latin1 * Support for char and wchar_t * Experimental support for C++0x char16_t and char32_t strings and streams. Package: libboost-locale1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 802 Depends: libboost-thread1.48.0 (>= 1.48.0-1), libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/locale/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-locale1.48.0_1.48.0-3_armhf.deb Size: 286112 SHA256: 76357c037f4a9e5ac222124d6969bf73629a2b9d189b3e801e17f3f10b9106ee SHA1: b2906aa3ebd6096da88b6c804d383e458c7692ee MD5sum: 0c3c33644983d634e6f712c6a621a495 Description: C++ facilities for localization This package forms part of the Boost C++ Libraries collection. . Boost.Locale gives powerful tools for development of cross platform localized software - the software that talks to user in its language. . * Correct case conversion, case folding and normalization. * Collation (sorting), including support for 4 Unicode collation levels. * Date, time, timezone and calendar manipulations, formatting and parsing, including transparent support for calendars other than Gregorian. * Boundary analysis for characters, words, sentences and line-breaks. * Number formatting, spelling and parsing. * Monetary formatting and parsing. * Powerful message formatting (string translation) including support for plural forms, using GNU catalogs. * Character set conversion. * Transparent support for 8-bit character sets like Latin1 * Support for char and wchar_t * Experimental support for C++0x char16_t and char32_t strings and streams. Package: libboost-locale1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 2453 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-locale1.49.0 (= 1.49.0-3.2) Conflicts: libboost-locale1.48-dev Homepage: http://www.boost.org/libs/math/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-locale1.49-dev_1.49.0-3.2_armhf.deb Size: 546124 SHA256: 966381087971564206ce23c4c0f8b749cb9e24079245cbc402af72c0b581da44 SHA1: a358c2e08476ee1b61c417c2904326ebe63d009c MD5sum: 3aac7e3a560a971f56cf0942fbe2b5f2 Description: C++ facilities for localization This package forms part of the Boost C++ Libraries collection. . Boost.Locale gives powerful tools for development of cross platform localized software - the software that talks to user in its language. . * Correct case conversion, case folding and normalization. * Collation (sorting), including support for 4 Unicode collation levels. * Date, time, timezone and calendar manipulations, formatting and parsing, including transparent support for calendars other than Gregorian. * Boundary analysis for characters, words, sentences and line-breaks. * Number formatting, spelling and parsing. * Monetary formatting and parsing. * Powerful message formatting (string translation) including support for plural forms, using GNU catalogs. * Character set conversion. * Transparent support for 8-bit character sets like Latin1 * Support for char and wchar_t * Experimental support for C++0x char16_t and char32_t strings and streams. Package: libboost-locale1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 814 Depends: libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/locale/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-locale1.49.0_1.49.0-3.2_armhf.deb Size: 285382 SHA256: e0dc87ff6840a236119749c3fe0124e8ec65d9befdffdc577fae3b1680106e62 SHA1: 78eaa061814304b5dd14c0fe2a52992c42c00053 MD5sum: 624fb35a9617b3866ca69db7221cc355 Description: C++ facilities for localization This package forms part of the Boost C++ Libraries collection. . Boost.Locale gives powerful tools for development of cross platform localized software - the software that talks to user in its language. . * Correct case conversion, case folding and normalization. * Collation (sorting), including support for 4 Unicode collation levels. * Date, time, timezone and calendar manipulations, formatting and parsing, including transparent support for calendars other than Gregorian. * Boundary analysis for characters, words, sentences and line-breaks. * Number formatting, spelling and parsing. * Monetary formatting and parsing. * Powerful message formatting (string translation) including support for plural forms, using GNU catalogs. * Character set conversion. * Transparent support for 8-bit character sets like Latin1 * Support for char and wchar_t * Experimental support for C++0x char16_t and char32_t strings and streams. Package: libboost-locale1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 2481 Depends: libboost1.50-dev (= 1.50.0-1), libboost-locale1.50.0 (= 1.50.0-1) Conflicts: libboost-locale1.48-dev, libboost-locale1.49-dev Homepage: http://www.boost.org/libs/math/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-locale1.50-dev_1.50.0-1_armhf.deb Size: 553086 SHA256: 1b69d4feee06596ea1c128bac2ddfd09fc12fe1693708ed59f8f26a9e86f5d73 SHA1: 9dedf4097cf7623a374ad96969cb3ffa46d68280 MD5sum: 15771f7a23a060dd4228746353e8963d Description: C++ facilities for localization This package forms part of the Boost C++ Libraries collection. . Boost.Locale gives powerful tools for development of cross platform localized software - the software that talks to user in its language. . * Correct case conversion, case folding and normalization. * Collation (sorting), including support for 4 Unicode collation levels. * Date, time, timezone and calendar manipulations, formatting and parsing, including transparent support for calendars other than Gregorian. * Boundary analysis for characters, words, sentences and line-breaks. * Number formatting, spelling and parsing. * Monetary formatting and parsing. * Powerful message formatting (string translation) including support for plural forms, using GNU catalogs. * Character set conversion. * Transparent support for 8-bit character sets like Latin1 * Support for char and wchar_t * Experimental support for C++0x char16_t and char32_t strings and streams. Package: libboost-locale1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 820 Depends: libboost-chrono1.50.0 (>= 1.50.0-1), libboost-system1.50.0 (>= 1.50.0-1), libboost-thread1.50.0 (>= 1.50.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/locale/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-locale1.50.0_1.50.0-1_armhf.deb Size: 287584 SHA256: 95e6beaa4c82f8a19be1ac1cb94282788c353531cc61225d1a56c93a543e74b5 SHA1: 8e07c2fff338894aee49eb0825f52f698184eca4 MD5sum: 7edc73dc9ba3c9c256c3435cb32947e6 Description: C++ facilities for localization This package forms part of the Boost C++ Libraries collection. . Boost.Locale gives powerful tools for development of cross platform localized software - the software that talks to user in its language. . * Correct case conversion, case folding and normalization. * Collation (sorting), including support for 4 Unicode collation levels. * Date, time, timezone and calendar manipulations, formatting and parsing, including transparent support for calendars other than Gregorian. * Boundary analysis for characters, words, sentences and line-breaks. * Number formatting, spelling and parsing. * Monetary formatting and parsing. * Powerful message formatting (string translation) including support for plural forms, using GNU catalogs. * Character set conversion. * Transparent support for 8-bit character sets like Latin1 * Support for char and wchar_t * Experimental support for C++0x char16_t and char32_t strings and streams. Package: libboost-math-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-math1.49-dev Homepage: http://www.boost.org/libs/math/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-math-dev_1.49.0.1_armhf.deb Size: 2924 SHA256: 39bb614a6380c5b75ae7bc2038f75ea5f2baaa3ab94af108257ff3a24e996878 SHA1: db2bf88e0100f3c0fa09c8d3373d8bb6b6233f7c MD5sum: a0d8476b35adde92720a77ce1e9ebef1 Description: Boost.Math Library development files (default version) This package forms part of the Boost C++ Libraries collection. . This library is divided into three interconnected parts: * Statistical Distributions: Provides a reasonably comprehensive set of statistical distributions, upon which higher level statistical tests can be built. * Mathematical Special Functions: Provides a small number of high quality special functions, initially these were concentrated on functions used in statistical applications along with those in the Technical Report on C++ Library Extensions. * Implementation Toolkit: Provides many of the tools required to implement mathematical special functions. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-math1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 4256 Depends: libboost1.46-dev (= 1.46.1-8), libboost-math1.46.1 (= 1.46.1-8) Conflicts: libboost-math1.42-dev Homepage: http://www.boost.org/libs/math/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-math1.46-dev_1.46.1-8_armhf.deb Size: 1055110 SHA256: cf438987cfd9f8bcd6dda64865a277481f4185965a52b34eb2baec3fc74fbb37 SHA1: 154a901d967b0c0784bef3eabaade338e38b8ece MD5sum: d2922331d1a427e5abdf02938edb2b35 Description: Boost.Math Library development files This package forms part of the Boost C++ Libraries collection. . This library is divided into three interconnected parts: * Statistical Distributions: Provides a reasonably comprehensive set of statistical distributions, upon which higher level statistical tests can be built. * Mathematical Special Functions: Provides a small number of high quality special functions, initially these were concentrated on functions used in statistical applications along with those in the Technical Report on C++ Library Extensions. * Implementation Toolkit: Provides many of the tools required to implement mathematical special functions. Package: libboost-math1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 572 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/math/ Priority: optional Section: libs Filename: pool/main/b/boost1.46/libboost-math1.46.1_1.46.1-8_armhf.deb Size: 266502 SHA256: 9c01aaff28a9da37baff541f88382433c74da3c19ae09dbd91b054c5f034b2e5 SHA1: eba572755e2d758ee2d500e51a41809999be3ee0 MD5sum: 71f997872880d821a3c05fbaa56baf97 Description: Boost.Math Library This package forms part of the Boost C++ Libraries collection. . This library is divided into three interconnected parts: * Statistical Distributions: Provides a reasonably comprehensive set of statistical distributions, upon which higher level statistical tests can be built. * Mathematical Special Functions: Provides a small number of high quality special functions, initially these were concentrated on functions used in statistical applications along with those in the Technical Report on C++ Library Extensions. * Implementation Toolkit: Provides many of the tools required to implement mathematical special functions. Package: libboost-math1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 4628 Depends: libboost1.48-dev (= 1.48.0-3), libboost-math1.48.0 (= 1.48.0-3) Conflicts: libboost-math1.42-dev, libboost-math1.46-dev Homepage: http://www.boost.org/libs/math/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-math1.48-dev_1.48.0-3_armhf.deb Size: 1113826 SHA256: 9fe91f9483197c146fc0b83f3b0edddd8e2eb82f6ae04114d0784d03ec24ab2e SHA1: f293b70f100fce297fbae033a408886fb6e9ae77 MD5sum: 0c3e869784506acfa8528e1e2ef252d2 Description: Boost.Math Library development files This package forms part of the Boost C++ Libraries collection. . This library is divided into three interconnected parts: * Statistical Distributions: Provides a reasonably comprehensive set of statistical distributions, upon which higher level statistical tests can be built. * Mathematical Special Functions: Provides a small number of high quality special functions, initially these were concentrated on functions used in statistical applications along with those in the Technical Report on C++ Library Extensions. * Implementation Toolkit: Provides many of the tools required to implement mathematical special functions. Package: libboost-math1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 585 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/math/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-math1.48.0_1.48.0-3_armhf.deb Size: 268018 SHA256: 71b6c48e500c1b014cd68dd3279fd379ebb87c3e12d0f4608ae937bef6e3951f SHA1: dd7f9cd52b9a1be094b998fdc4b866c82ab32c35 MD5sum: c46e7baa55caf2a080443cfc3b9beb87 Description: Boost.Math Library This package forms part of the Boost C++ Libraries collection. . This library is divided into three interconnected parts: * Statistical Distributions: Provides a reasonably comprehensive set of statistical distributions, upon which higher level statistical tests can be built. * Mathematical Special Functions: Provides a small number of high quality special functions, initially these were concentrated on functions used in statistical applications along with those in the Technical Report on C++ Library Extensions. * Implementation Toolkit: Provides many of the tools required to implement mathematical special functions. Package: libboost-math1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 5918 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-math1.49.0 (= 1.49.0-3.2) Conflicts: libboost-math1.42-dev, libboost-math1.46-dev, libboost-math1.48-dev Homepage: http://www.boost.org/libs/math/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-math1.49-dev_1.49.0-3.2_armhf.deb Size: 1308914 SHA256: 254a12c084284a0a3e391b422bb1cffde9d69fcc0930e5b67714456b51ba61a8 SHA1: 662101e6e85fa6111362d89d3f2cbc542785d3ac MD5sum: b397d7ceacd6733cf20effcfa8397192 Description: Boost.Math Library development files This package forms part of the Boost C++ Libraries collection. . This library is divided into three interconnected parts: * Statistical Distributions: Provides a reasonably comprehensive set of statistical distributions, upon which higher level statistical tests can be built. * Mathematical Special Functions: Provides a small number of high quality special functions, initially these were concentrated on functions used in statistical applications along with those in the Technical Report on C++ Library Extensions. * Implementation Toolkit: Provides many of the tools required to implement mathematical special functions. Package: libboost-math1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 697 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/math/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-math1.49.0_1.49.0-3.2_armhf.deb Size: 316728 SHA256: ae5cdbeeede8e4d698f419e4f4a5845a26a5505d72840c45c3db4b1ad688a812 SHA1: 7fd7aaf58df8fc37228c9248576065578cc7ae29 MD5sum: fc187957be56db706d345420bbf0e2e5 Description: Boost.Math Library This package forms part of the Boost C++ Libraries collection. . This library is divided into three interconnected parts: * Statistical Distributions: Provides a reasonably comprehensive set of statistical distributions, upon which higher level statistical tests can be built. * Mathematical Special Functions: Provides a small number of high quality special functions, initially these were concentrated on functions used in statistical applications along with those in the Technical Report on C++ Library Extensions. * Implementation Toolkit: Provides many of the tools required to implement mathematical special functions. Package: libboost-math1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 5597 Depends: libboost1.50-dev (= 1.50.0-1), libboost-math1.50.0 (= 1.50.0-1) Conflicts: libboost-math1.42-dev, libboost-math1.46-dev, libboost-math1.48-dev, libboost-math1.49-dev Homepage: http://www.boost.org/libs/math/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-math1.50-dev_1.50.0-1_armhf.deb Size: 1221056 SHA256: b8d08dcd80ff7a2237458a2367ba404294bc544d0a435d5fc8fc44483a6ea180 SHA1: 9190fb78d9ffae6fcf9c3dcbebe9386f4fd4c295 MD5sum: 1d7ce66a836cfcf5751194424f2109a6 Description: Boost.Math Library development files This package forms part of the Boost C++ Libraries collection. . This library is divided into three interconnected parts: * Statistical Distributions: Provides a reasonably comprehensive set of statistical distributions, upon which higher level statistical tests can be built. * Mathematical Special Functions: Provides a small number of high quality special functions, initially these were concentrated on functions used in statistical applications along with those in the Technical Report on C++ Library Extensions. * Implementation Toolkit: Provides many of the tools required to implement mathematical special functions. Package: libboost-math1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 704 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/math/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-math1.50.0_1.50.0-1_armhf.deb Size: 315290 SHA256: 17678d37f0880fb60976fd93365177870c81aff2ca2e3427dd3286414027f892 SHA1: 4ba32a5987d7aab4d38b0c6c1a28fe6df1718d16 MD5sum: 361477285496cfd7d9ef5ea2e8bcf451 Description: Boost.Math Library This package forms part of the Boost C++ Libraries collection. . This library is divided into three interconnected parts: * Statistical Distributions: Provides a reasonably comprehensive set of statistical distributions, upon which higher level statistical tests can be built. * Mathematical Special Functions: Provides a small number of high quality special functions, initially these were concentrated on functions used in statistical applications along with those in the Technical Report on C++ Library Extensions. * Implementation Toolkit: Provides many of the tools required to implement mathematical special functions. Package: libboost-mpi-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-mpi1.49-dev Homepage: http://www.boost.org/doc/html/mpi.html Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-mpi-dev_1.49.0.1_armhf.deb Size: 2818 SHA256: c1441aef5e6038163bba63d84f0ab79f2678bc747282b04f957c4ddb5a1e7933 SHA1: 2a529ff286577d3a00bb7f9d73b0509dc3c68c3c MD5sum: e11abde212addb707c64c3d4696dd616 Description: C++ interface to the Message Passing Interface (MPI) (default version) This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-mpi-python-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-mpi-python1.49-dev Homepage: http://www.boost.org/doc/html/mpi/python.html Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-mpi-python-dev_1.49.0.1_armhf.deb Size: 2852 SHA256: f3227b5d9903bdf5701152119534aa77fdf3035cfe17282d2667ddc8de72aacd SHA1: 2c98ae975d80d17a2dbd65ccf6984b2e7b0419cb MD5sum: 10952423d1b706a2fe9b8a234b14d05d Description: C++ interface to the Message Passing Interface (MPI), Python Bindings (default version) This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. . This package provides Python Bindings to the C++ interface. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-mpi-python1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 138 Depends: libboost-mpi1.46-dev (= 1.46.1-8), libboost-mpi-python1.46.1 (= 1.46.1-8) Conflicts: libboost-mpi-python1.42-dev Homepage: http://www.boost.org/doc/html/mpi/python.html Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-mpi-python1.46-dev_1.46.1-8_armhf.deb Size: 35386 SHA256: 00fb5272925b9666f415d425623d8bccca10cf8fa24425432ef0f065efddea10 SHA1: e74ddae41f84a98eccf21f6c67aa754a4a43d23c MD5sum: 4f431a6657d94d351bfa41e169134ce8 Description: C++ interface to the Message Passing Interface (MPI), Python Bindings This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. . This package provides Python Bindings to the C++ interface. Package: libboost-mpi-python1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1853 Depends: libboost-mpi1.46.1 (>= 1.46.1-1), libboost-python1.46.1 (>= 1.46.1-1), libboost-serialization1.46.1 (>= 1.46.1-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenmpi1.3, libstdc++6 (>= 4.6), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python3 Conflicts: libboost-mpi-python1.40.0, libboost-mpi-python1.41.0, libboost-mpi-python1.42.0, libboost-mpi-python1.46.0 Homepage: http://www.boost.org/doc/html/mpi/python.html Priority: optional Section: python Filename: pool/main/b/boost1.46/libboost-mpi-python1.46.1_1.46.1-8_armhf.deb Size: 537742 SHA256: ef47cfa764ab95dc11207430fcfa29cda0820595097c1852ff38336eb152a18d SHA1: 1377a9c93b7db72ba0caa13cc8f43c9c8da5394c MD5sum: 70638db6b399f19dccaffe1b45816281 Description: C++ interface to the Message Passing Interface (MPI), Python Bindings This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. . This package provides Python Bindings to the C++ interface. . One of the python interpreter packages is required to use the bindings. Package: libboost-mpi-python1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 139 Depends: libboost-mpi1.48-dev (= 1.48.0-3), libboost-mpi-python1.48.0 (= 1.48.0-3) Conflicts: libboost-mpi-python1.42-dev, libboost-mpi-python1.46-dev Homepage: http://www.boost.org/doc/html/mpi/python.html Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-mpi-python1.48-dev_1.48.0-3_armhf.deb Size: 36670 SHA256: 63b7aa8b52cb6f1d63032391482ccb1fb207819837e36ffea6db32f52b6094b1 SHA1: abf71aa7502548100df25d49b4b050567ab804b2 MD5sum: 171048e041291a2c332f42c4d27f7a7d Description: C++ interface to the Message Passing Interface (MPI), Python Bindings This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. . This package provides Python Bindings to the C++ interface. Package: libboost-mpi-python1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1851 Depends: libboost-mpi1.48.0 (>= 1.48.0-1), libboost-python1.48.0 (>= 1.48.0-1), libboost-serialization1.48.0 (>= 1.48.0-1), libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libopenmpi1.3, libstdc++6 (>= 4.6), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python3 Conflicts: libboost-mpi-python1.40.0, libboost-mpi-python1.41.0, libboost-mpi-python1.42.0, libboost-mpi-python1.46.0, libboost-mpi-python1.46.1 Homepage: http://www.boost.org/doc/html/mpi/python.html Priority: optional Section: python Filename: pool/main/b/boost1.48/libboost-mpi-python1.48.0_1.48.0-3_armhf.deb Size: 537530 SHA256: 855c56dfd48ef57eebdb646ca7a8846bcbf653c7dfae238614d25636eca667b3 SHA1: e695aaac2f10a6cb894ea61d5ac551d28bf8dac6 MD5sum: fd2062514c826f76d321fac47b7b93f8 Description: C++ interface to the Message Passing Interface (MPI), Python Bindings This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. . This package provides Python Bindings to the C++ interface. . One of the python interpreter packages is required to use the bindings. Package: libboost-mpi-python1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 139 Depends: libboost-mpi1.49-dev (= 1.49.0-3.2), libboost-mpi-python1.49.0 (= 1.49.0-3.2) Conflicts: libboost-mpi-python1.42-dev, libboost-mpi-python1.46-dev, libboost-mpi-python1.48-dev Homepage: http://www.boost.org/doc/html/mpi/python.html Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-mpi-python1.49-dev_1.49.0-3.2_armhf.deb Size: 36390 SHA256: d8b2f7c4ec2b1a1962006c542decccdbe88180d8a261e09d80e10e0df1400e8f SHA1: 819027fccc3120a29e50ac77ac12125970a72774 MD5sum: a59518ba5262e0be3fe0f6fde0b9ac57 Description: C++ interface to the Message Passing Interface (MPI), Python Bindings This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. . This package provides Python Bindings to the C++ interface. Package: libboost-mpi-python1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1845 Depends: libboost-mpi1.49.0 (>= 1.49.0-1), libboost-python1.49.0 (>= 1.49.0-1), libboost-serialization1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenmpi1.3, libstdc++6 (>= 4.6), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python3 Conflicts: libboost-mpi-python1.40.0, libboost-mpi-python1.41.0, libboost-mpi-python1.42.0, libboost-mpi-python1.46.0, libboost-mpi-python1.46.1, libboost-mpi-python1.48.0 Homepage: http://www.boost.org/doc/html/mpi/python.html Priority: optional Section: python Filename: pool/main/b/boost1.49/libboost-mpi-python1.49.0_1.49.0-3.2_armhf.deb Size: 530816 SHA256: 88327ea54d423626fea85d8158394e26677043bedbc0ad81d6b88050fa82a822 SHA1: dca9b88162b2c704e91f15b40fb0e7ba0f5e73d5 MD5sum: 978853894581c920a561cfb98f3b69ed Description: C++ interface to the Message Passing Interface (MPI), Python Bindings This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. . This package provides Python Bindings to the C++ interface. . One of the python interpreter packages is required to use the bindings. Package: libboost-mpi-python1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 139 Depends: libboost-mpi1.50-dev (= 1.50.0-1), libboost-mpi-python1.50.0 (= 1.50.0-1) Conflicts: libboost-mpi-python1.42-dev, libboost-mpi-python1.46-dev, libboost-mpi-python1.48-dev, libboost-mpi-python1.49-dev Homepage: http://www.boost.org/doc/html/mpi/python.html Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-mpi-python1.50-dev_1.50.0-1_armhf.deb Size: 36284 SHA256: 312c929010b5fc01507d50513b0d2385877906ee9e0de4f845de9e944401b823 SHA1: 8a5b60aee90ca77a7ed7f94668fb1d955b583605 MD5sum: a93c6d2b16a6a62ee0e099da7086bf35 Description: C++ interface to the Message Passing Interface (MPI), Python Bindings This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. . This package provides Python Bindings to the C++ interface. Package: libboost-mpi-python1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1845 Depends: libboost-mpi1.50.0 (>= 1.50.0-1), libboost-python1.50.0 (>= 1.50.0-1), libboost-serialization1.50.0 (>= 1.50.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenmpi1.3, libstdc++6 (>= 4.6), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python3 Conflicts: libboost-mpi-python1.40.0, libboost-mpi-python1.41.0, libboost-mpi-python1.42.0, libboost-mpi-python1.46.0, libboost-mpi-python1.46.1, libboost-mpi-python1.48.0, libboost-mpi-python1.49.0 Homepage: http://www.boost.org/doc/html/mpi/python.html Priority: optional Section: python Filename: pool/main/b/boost1.50/libboost-mpi-python1.50.0_1.50.0-1_armhf.deb Size: 535850 SHA256: cdf90b50d50ead76c19515fab68e6d8f04c5dfd61778b3a6244f90a0d865bc33 SHA1: 80959fc92a10c4d10005506dab6d3a9fe65f32b6 MD5sum: 31ce44ac9fbd39dbe9a7c37e94b4a895 Description: C++ interface to the Message Passing Interface (MPI), Python Bindings This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. . This package provides Python Bindings to the C++ interface. . One of the python interpreter packages is required to use the bindings. Package: libboost-mpi1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 560 Depends: libboost1.46-dev (= 1.46.1-8), libboost-serialization1.46-dev (= 1.46.1-8), libboost-mpi1.46.1 (= 1.46.1-8), mpi-default-dev Suggests: libboost-graph1.46-dev Conflicts: libboost-mpi1.42-dev Homepage: http://www.boost.org/doc/html/mpi.html Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-mpi1.46-dev_1.46.1-8_armhf.deb Size: 116370 SHA256: 0f125767c203a88f93b4a996cab4d00d1f61e137f2b78eff1d666e9569f629d7 SHA1: 8f868df030f1aa513605daac060729b96f36cbeb MD5sum: 43cb01d9d782c9195d15479b7ff45104 Description: C++ interface to the Message Passing Interface (MPI) This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. Package: libboost-mpi1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 177 Depends: libboost-serialization1.46.1 (>= 1.46.1-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenmpi1.3, libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/doc/html/mpi.html Priority: optional Section: libs Filename: pool/main/b/boost1.46/libboost-mpi1.46.1_1.46.1-8_armhf.deb Size: 60944 SHA256: c5eca3735f6aafd990a7bf463a34033de40dcd1649877651cfd70104e4e615e2 SHA1: 62fa1a217b4c38bbd382a8b80e52d7bc4c216498 MD5sum: 87df73634c5b744627f39d914060a300 Description: C++ interface to the Message Passing Interface (MPI) This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. Package: libboost-mpi1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 560 Depends: libboost1.48-dev (= 1.48.0-3), libboost-serialization1.48-dev (= 1.48.0-3), libboost-mpi1.48.0 (= 1.48.0-3), mpi-default-dev Suggests: libboost-graph1.48-dev Conflicts: libboost-mpi1.42-dev, libboost-mpi1.46-dev Homepage: http://www.boost.org/doc/html/mpi.html Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-mpi1.48-dev_1.48.0-3_armhf.deb Size: 117414 SHA256: a781885a854cca86d214d32afd0e1083b0d340e5144073a38176c4c925fd0380 SHA1: 59cb992b975ba929dd236ea56b9d915a4f753d97 MD5sum: 372196334273701516d791f97b335bf3 Description: C++ interface to the Message Passing Interface (MPI) This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. Package: libboost-mpi1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 177 Depends: libboost-serialization1.48.0 (>= 1.48.0-1), libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libopenmpi1.3, libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/doc/html/mpi.html Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-mpi1.48.0_1.48.0-3_armhf.deb Size: 62114 SHA256: 930d0d216bd2b2b2193151de3c06e72fb5355500e77766a8f49908ccc55fc32d SHA1: 9ca050154419d98771a79271cf2292f138d1c0f1 MD5sum: 946a7b71ca0c8c5233a2efd005fd922a Description: C++ interface to the Message Passing Interface (MPI) This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. Package: libboost-mpi1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 563 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-serialization1.49-dev (= 1.49.0-3.2), libboost-mpi1.49.0 (= 1.49.0-3.2), mpi-default-dev Suggests: libboost-graph1.49-dev Conflicts: libboost-mpi1.42-dev, libboost-mpi1.46-dev, libboost-mpi1.48-dev Homepage: http://www.boost.org/doc/html/mpi.html Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-mpi1.49-dev_1.49.0-3.2_armhf.deb Size: 117492 SHA256: 5b44d99c884498cc6a17b27f13f8814b54ca14da4edd5528a0ccdc7bd582d0a8 SHA1: 40021f38e1054f792b7cdc3ba016c15391fed791 MD5sum: cbbec9fd27e38f82bf4120be358d525f Description: C++ interface to the Message Passing Interface (MPI) This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. Package: libboost-mpi1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 174 Depends: libboost-serialization1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenmpi1.3, libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/doc/html/mpi.html Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-mpi1.49.0_1.49.0-3.2_armhf.deb Size: 61392 SHA256: 4d1ed68302c49b7632cd12c30fd74df8928de9db743048a3435ed374d847b151 SHA1: f6adbb4add4febea195a980d86dd548379cf9e55 MD5sum: a9ebdea08a0a54ce86e181e26f3725f7 Description: C++ interface to the Message Passing Interface (MPI) This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. Package: libboost-mpi1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 562 Depends: libboost1.50-dev (= 1.50.0-1), libboost-serialization1.50-dev (= 1.50.0-1), libboost-mpi1.50.0 (= 1.50.0-1), mpi-default-dev Suggests: libboost-graph1.50-dev Conflicts: libboost-mpi1.42-dev, libboost-mpi1.46-dev, libboost-mpi1.48-dev, libboost-mpi1.49-dev Homepage: http://www.boost.org/doc/html/mpi.html Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-mpi1.50-dev_1.50.0-1_armhf.deb Size: 118816 SHA256: a09c1a9e2aed433ee83ff9ff3a85559e44524a9e7cea798a37eba5e622896b19 SHA1: 84c79b5542f25a9b4b8f82e28ad44ac88f7e9472 MD5sum: 68561a376df1acd4cedae3327f4e0a30 Description: C++ interface to the Message Passing Interface (MPI) This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. Package: libboost-mpi1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 177 Depends: libboost-serialization1.50.0 (>= 1.50.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenmpi1.3, libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/doc/html/mpi.html Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-mpi1.50.0_1.50.0-1_armhf.deb Size: 61702 SHA256: 031844c522bebc01cdf6f24f83973c5bb9bf5cd23e4253942030189a91a10295 SHA1: 55fb3d43b683478d8c60b0583bd0a200adc15606 MD5sum: 198311c7b405f48ca6a49a2e5c21de53 Description: C++ interface to the Message Passing Interface (MPI) This package forms part of the Boost C++ Libraries collection. . The Boost.MPI library provides a C++ interface to MPI that supports modern C++ development styles, including complete support for user-defined data types and C++ Standard Library types, arbitrary function objects for collective algorithms, and the use of modern C++ library techniques to maintain maximal efficiency. Package: libboost-program-options-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-program-options1.49-dev Homepage: http://www.boost.org/libs/program_options/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-program-options-dev_1.49.0.1_armhf.deb Size: 2738 SHA256: dd406ef9a686d3e8c33d5726564124ea883229f9f381c7c9d8ca46328e5664ea SHA1: 6dde5efc4292fb557ad0b60b9eefb1ad0cf140dc MD5sum: 06db7c962e03cb56d7a6d680f88f1ecb Description: program options library for C++ (default version) This package forms part of the Boost C++ Libraries collection. . Library to let program developers obtain program options, that is (name, value) pairs from the user, via conventional methods such as command line and config file. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-program-options1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1044 Depends: libboost1.46-dev (= 1.46.1-8), libboost-program-options1.46.1 (= 1.46.1-8) Conflicts: libboost-program-options1.42-dev Homepage: http://www.boost.org/libs/program_options/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-program-options1.46-dev_1.46.1-8_armhf.deb Size: 248426 SHA256: bfd7a5bda4b1d9adc301d1895d5a432de520df793607c8e014e79218b50aa393 SHA1: c4fcf3df9a1c82277aae1af6866dd45515ad97f2 MD5sum: 7d79fc080e2774cc0de825e8e0223202 Description: program options library for C++ This package forms part of the Boost C++ Libraries collection. . Library to let program developers obtain program options, that is (name, value) pairs from the user, via conventional methods such as command line and config file. Package: libboost-program-options1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 437 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/program_options/ Priority: optional Section: libs Filename: pool/main/b/boost1.46/libboost-program-options1.46.1_1.46.1-8_armhf.deb Size: 156418 SHA256: a3118d903c1666916c8e4d2cc9f4f6b3966c0471f6e6efa9394cb2fc8213fd7b SHA1: 368660a045b278191f4d5436ae3783906bfd9009 MD5sum: 75b54d7cdf9af3a6cb52ab976a04e686 Description: program options library for C++ This package forms part of the Boost C++ Libraries collection. . Library to let program developers obtain program options, that is (name, value) pairs from the user, via conventional methods such as command line and config file. Package: libboost-program-options1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1043 Depends: libboost1.48-dev (= 1.48.0-3), libboost-program-options1.48.0 (= 1.48.0-3) Conflicts: libboost-program-options1.42-dev, libboost-program-options1.46-dev Homepage: http://www.boost.org/libs/program_options/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-program-options1.48-dev_1.48.0-3_armhf.deb Size: 247742 SHA256: 94d76328fbe167d4f83d3c9916757aa5e7742c1568364f8f214401f083c38240 SHA1: 9974d7d26dddcd25cb2b332310e7445c2aa297a9 MD5sum: 959967ab0720ba05bef4dbc03af3429e Description: program options library for C++ This package forms part of the Boost C++ Libraries collection. . Library to let program developers obtain program options, that is (name, value) pairs from the user, via conventional methods such as command line and config file. Package: libboost-program-options1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 437 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/program_options/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-program-options1.48.0_1.48.0-3_armhf.deb Size: 156656 SHA256: d3f3481851b42dfd1d3411bf75dcd6236616c86c00666d4d92658127346184ed SHA1: 2a6e7067cc93a632147d4f74309f3ed5321469ba MD5sum: 40273d1b26d9f2f14b894e51bba2b62c Description: program options library for C++ This package forms part of the Boost C++ Libraries collection. . Library to let program developers obtain program options, that is (name, value) pairs from the user, via conventional methods such as command line and config file. Package: libboost-program-options1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1054 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-program-options1.49.0 (= 1.49.0-3.2) Conflicts: libboost-program-options1.42-dev, libboost-program-options1.46-dev, libboost-program-options1.48-dev Homepage: http://www.boost.org/libs/program_options/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-program-options1.49-dev_1.49.0-3.2_armhf.deb Size: 247930 SHA256: d1a6db2fc0bd3f1d8841042b74f127a2625823f1786822b99a1c0b5de18e17f6 SHA1: bcd9c7d20c15153a738bd798d109924b0b444c3e MD5sum: 4c505a8358ad5f6923bfb43644f31da0 Description: program options library for C++ This package forms part of the Boost C++ Libraries collection. . Library to let program developers obtain program options, that is (name, value) pairs from the user, via conventional methods such as command line and config file. Package: libboost-program-options1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 446 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/program_options/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-program-options1.49.0_1.49.0-3.2_armhf.deb Size: 154956 SHA256: 542419dd3c7a90033fc251ca8b37c224b17f8d926eccdbfd7eec95aff9e7a2c4 SHA1: d5cec50094a2b99e0c26fc0ddfaa3e2a3514d200 MD5sum: a87be5188d3d5fac771656b99e208291 Description: program options library for C++ This package forms part of the Boost C++ Libraries collection. . Library to let program developers obtain program options, that is (name, value) pairs from the user, via conventional methods such as command line and config file. Package: libboost-program-options1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1152 Depends: libboost1.50-dev (= 1.50.0-1), libboost-program-options1.50.0 (= 1.50.0-1) Conflicts: libboost-program-options1.42-dev, libboost-program-options1.46-dev, libboost-program-options1.48-dev, libboost-program-options1.49-dev Homepage: http://www.boost.org/libs/program_options/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-program-options1.50-dev_1.50.0-1_armhf.deb Size: 269014 SHA256: b2704743d29b6cac2ea5e4f4887dcd2e4294fb21596ceb3a25a007a910e23fc1 SHA1: 236082578b4f2195dce9885ff5899135d821ebd0 MD5sum: 066b821111dadacda36af8074e8303c8 Description: program options library for C++ This package forms part of the Boost C++ Libraries collection. . Library to let program developers obtain program options, that is (name, value) pairs from the user, via conventional methods such as command line and config file. Package: libboost-program-options1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 455 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/program_options/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-program-options1.50.0_1.50.0-1_armhf.deb Size: 160346 SHA256: 85dde90413619044e674bc679af3c9373e380a4b8b0bf325ff398ce7e422067e SHA1: f91e2a3d2f38350053c6ced627ec089bc42cd6f8 MD5sum: b7de5b50e9b00865125626bc1bad340c Description: program options library for C++ This package forms part of the Boost C++ Libraries collection. . Library to let program developers obtain program options, that is (name, value) pairs from the user, via conventional methods such as command line and config file. Package: libboost-python-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-python1.49-dev Homepage: http://www.boost.org/libs/python/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-python-dev_1.49.0.1_armhf.deb Size: 3112 SHA256: b7e7481b7298ad57e35e7f9852fafa70758eae522838eb2c07b44381bc765485 SHA1: f15b9258f423fe3e3b05bb659621e401d84e76d0 MD5sum: c644423d06319e562969d56e99e484cc Description: Boost.Python Library development files (default version) This package forms part of the Boost C++ Libraries collection. . The Boost Python Library is used to quickly and easily export a C++ library to Python such that the Python interface is very similar to the C++ interface. It is designed to be minimally intrusive on your C++ design. In most cases, you should not have to alter your C++ classes in any way in order to use them with Boost.Python. The system should simply "reflect" your C++ classes and functions into Python. The major features of Boost.Python include support for: Subclassing extension types in Python, Overriding virtual functions in Python, Member function Overloading, Automatic wrapping of numeric operators among others. . This package also contains the pyste Boost.Python code generator that allows the user to specify classes and functions to be exported using a simple interface file, which following the Boost.Python's philosophy, is simple Python code. . This package allows development of a Python interface for all current versions of Python in Debian. Code using this library will need also one of the Python development packages. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-python1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1874 Depends: libboost1.46-dev (= 1.46.1-8), libboost-python1.46.1 (= 1.46.1-8), python-dev, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), gccxml Suggests: libboost1.46-doc Conflicts: libboost-python1.42-dev Homepage: http://www.boost.org/libs/python/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-python1.46-dev_1.46.1-8_armhf.deb Size: 476806 SHA256: 7688918c9b06fe77f186896b8cf1b5c6458eb824252bc09263e877230bfafe86 SHA1: f12cbc5b6d20bad5a761f95281e2fb1bf8e2f648 MD5sum: fcc998baa8c431d9c987bba02450a7dd Description: Boost.Python Library development files This package forms part of the Boost C++ Libraries collection. . The Boost Python Library is used to quickly and easily export a C++ library to Python such that the Python interface is very similar to the C++ interface. It is designed to be minimally intrusive on your C++ design. In most cases, you should not have to alter your C++ classes in any way in order to use them with Boost.Python. The system should simply "reflect" your C++ classes and functions into Python. The major features of Boost.Python include support for: Subclassing extension types in Python, Overriding virtual functions in Python, Member function Overloading, Automatic wrapping of numeric operators among others. . This package also contains the pyste Boost.Python code generator that allows the user to specify classes and functions to be exported using a simple interface file, which following the Boost.Python's philosophy, is simple Python code. . This package allows development of a Python interface for all current versions of Python in Debian. Code using this library will need also one of the Python development packages. Package: libboost-python1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 845 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Suggests: python, python3 Homepage: http://www.boost.org/libs/python/ Priority: optional Section: python Filename: pool/main/b/boost1.46/libboost-python1.46.1_1.46.1-8_armhf.deb Size: 315660 SHA256: ad38ecc4020dafa63762f64cef559384ccfe831b11e5a52d363c82925ad6624b SHA1: f39c27eab96ac359f951084460c80b348528f13d MD5sum: 14cf4a0072c33b1f9042d035e5d07311 Description: Boost.Python Library This package forms part of the Boost C++ Libraries collection. . The Boost Python Library is used to quickly and easily export a C++ library to Python such that the Python interface is very similar to the C++ interface. It is designed to be minimally intrusive on your C++ design. In most cases, you should not have to alter your C++ classes in any way in order to use them with Boost.Python. The system should simply "reflect" your C++ classes and functions into Python. The major features of Boost.Python include support for: Subclassing extension types in Python, Overriding virtual functions in Python, Member function Overloading, Automatic wrapping of numeric operators among others. . One of the python interpreter packages is required to use the created extensions. Package: libboost-python1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1882 Depends: libboost1.48-dev (= 1.48.0-3), libboost-python1.48.0 (= 1.48.0-3), python-dev, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), gccxml Suggests: libboost1.48-doc Conflicts: libboost-python1.42-dev, libboost-python1.46-dev Homepage: http://www.boost.org/libs/python/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-python1.48-dev_1.48.0-3_armhf.deb Size: 479468 SHA256: ea186ebfb5d472ceed842f6dc7e6f962d265565e5022a51b3fd15726faab773c SHA1: 561b7f4ae7362157c28b6450d1a3f49141fb9c01 MD5sum: 96453b1902634fcc745bfdd78b060a15 Description: Boost.Python Library development files This package forms part of the Boost C++ Libraries collection. . The Boost Python Library is used to quickly and easily export a C++ library to Python such that the Python interface is very similar to the C++ interface. It is designed to be minimally intrusive on your C++ design. In most cases, you should not have to alter your C++ classes in any way in order to use them with Boost.Python. The system should simply "reflect" your C++ classes and functions into Python. The major features of Boost.Python include support for: Subclassing extension types in Python, Overriding virtual functions in Python, Member function Overloading, Automatic wrapping of numeric operators among others. . This package also contains the pyste Boost.Python code generator that allows the user to specify classes and functions to be exported using a simple interface file, which following the Boost.Python's philosophy, is simple Python code. . This package allows development of a Python interface for all current versions of Python in Debian. Code using this library will need also one of the Python development packages. Package: libboost-python1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 850 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Suggests: python, python3 Homepage: http://www.boost.org/libs/python/ Priority: optional Section: python Filename: pool/main/b/boost1.48/libboost-python1.48.0_1.48.0-3_armhf.deb Size: 316956 SHA256: c35481e338d8387eef89390670ffbe859902db910fb81e7a07fc8646309d1488 SHA1: 98d677a063407f921b095b01d61af9a23e2e801e MD5sum: 1969e6287381fb84fb49295b657ce344 Description: Boost.Python Library This package forms part of the Boost C++ Libraries collection. . The Boost Python Library is used to quickly and easily export a C++ library to Python such that the Python interface is very similar to the C++ interface. It is designed to be minimally intrusive on your C++ design. In most cases, you should not have to alter your C++ classes in any way in order to use them with Boost.Python. The system should simply "reflect" your C++ classes and functions into Python. The major features of Boost.Python include support for: Subclassing extension types in Python, Overriding virtual functions in Python, Member function Overloading, Automatic wrapping of numeric operators among others. . One of the python interpreter packages is required to use the created extensions. Package: libboost-python1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1883 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-python1.49.0 (= 1.49.0-3.2), python-dev, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), gccxml Suggests: libboost1.49-doc Conflicts: libboost-python1.42-dev, libboost-python1.46-dev, libboost-python1.48-dev Homepage: http://www.boost.org/libs/python/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-python1.49-dev_1.49.0-3.2_armhf.deb Size: 480092 SHA256: f600af611956a23965cf6e824437527a630bc8e8aa6e023df7c60795944b355a SHA1: 419b6fc016e9cbe5db8cc90bf27fb9eaef822f20 MD5sum: de52873b791dce3412e73598ad8c948b Description: Boost.Python Library development files This package forms part of the Boost C++ Libraries collection. . The Boost Python Library is used to quickly and easily export a C++ library to Python such that the Python interface is very similar to the C++ interface. It is designed to be minimally intrusive on your C++ design. In most cases, you should not have to alter your C++ classes in any way in order to use them with Boost.Python. The system should simply "reflect" your C++ classes and functions into Python. The major features of Boost.Python include support for: Subclassing extension types in Python, Overriding virtual functions in Python, Member function Overloading, Automatic wrapping of numeric operators among others. . This package also contains the pyste Boost.Python code generator that allows the user to specify classes and functions to be exported using a simple interface file, which following the Boost.Python's philosophy, is simple Python code. . This package allows development of a Python interface for all current versions of Python in Debian. Code using this library will need also one of the Python development packages. Package: libboost-python1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 838 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Suggests: python, python3 Homepage: http://www.boost.org/libs/python/ Priority: optional Section: python Filename: pool/main/b/boost1.49/libboost-python1.49.0_1.49.0-3.2_armhf.deb Size: 315762 SHA256: 2f910eebe0c24a0f83cada973bcfdd94f55b2fd9f3bebdd9d7b2f4a975d74274 SHA1: 94601ad2f50ced6fbac9f277d2e306c64725ceb2 MD5sum: 0720a5769de94fb9959637efce0661d4 Description: Boost.Python Library This package forms part of the Boost C++ Libraries collection. . The Boost Python Library is used to quickly and easily export a C++ library to Python such that the Python interface is very similar to the C++ interface. It is designed to be minimally intrusive on your C++ design. In most cases, you should not have to alter your C++ classes in any way in order to use them with Boost.Python. The system should simply "reflect" your C++ classes and functions into Python. The major features of Boost.Python include support for: Subclassing extension types in Python, Overriding virtual functions in Python, Member function Overloading, Automatic wrapping of numeric operators among others. . One of the python interpreter packages is required to use the created extensions. Package: libboost-python1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1885 Depends: libboost1.50-dev (= 1.50.0-1), libboost-python1.50.0 (= 1.50.0-1), python-dev, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), gccxml Suggests: libboost1.50-doc Conflicts: libboost-python1.42-dev, libboost-python1.46-dev, libboost-python1.48-dev, libboost-python1.49-dev Homepage: http://www.boost.org/libs/python/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-python1.50-dev_1.50.0-1_armhf.deb Size: 480800 SHA256: cd333817d72784fc4e0115c3c1a4854491f00475ed481b228843fc26c819dd31 SHA1: b103efabdc5cf6ba28f2851725649f5daacf493a MD5sum: ed25aa861100e1b8bdb29aed6f0df0a3 Description: Boost.Python Library development files This package forms part of the Boost C++ Libraries collection. . The Boost Python Library is used to quickly and easily export a C++ library to Python such that the Python interface is very similar to the C++ interface. It is designed to be minimally intrusive on your C++ design. In most cases, you should not have to alter your C++ classes in any way in order to use them with Boost.Python. The system should simply "reflect" your C++ classes and functions into Python. The major features of Boost.Python include support for: Subclassing extension types in Python, Overriding virtual functions in Python, Member function Overloading, Automatic wrapping of numeric operators among others. . This package also contains the pyste Boost.Python code generator that allows the user to specify classes and functions to be exported using a simple interface file, which following the Boost.Python's philosophy, is simple Python code. . This package allows development of a Python interface for all current versions of Python in Debian. Code using this library will need also one of the Python development packages. Package: libboost-python1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 839 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Suggests: python, python3 Homepage: http://www.boost.org/libs/python/ Priority: optional Section: python Filename: pool/main/b/boost1.50/libboost-python1.50.0_1.50.0-1_armhf.deb Size: 316518 SHA256: 7e7eacb0f51099fbe2e9c2d1d35fc6694306e73e8b7af2f6caad9dae8537ee58 SHA1: da86c500454ef61671f92daa4d318687ca8a0b1c MD5sum: 7955ae478a35dfdd30f8e72739b8d85a Description: Boost.Python Library This package forms part of the Boost C++ Libraries collection. . The Boost Python Library is used to quickly and easily export a C++ library to Python such that the Python interface is very similar to the C++ interface. It is designed to be minimally intrusive on your C++ design. In most cases, you should not have to alter your C++ classes in any way in order to use them with Boost.Python. The system should simply "reflect" your C++ classes and functions into Python. The major features of Boost.Python include support for: Subclassing extension types in Python, Overriding virtual functions in Python, Member function Overloading, Automatic wrapping of numeric operators among others. . One of the python interpreter packages is required to use the created extensions. Package: libboost-random-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-random1.49-dev Homepage: http://www.boost.org/libs/random/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-random-dev_1.49.0.1_armhf.deb Size: 2722 SHA256: bd7457efba6dc164ae1293db0aeef2ee033d77114470c998c6b7807c7f0e17b4 SHA1: e87a75ce6943871fd7a06e8f4d4484e7c0e71d38 MD5sum: 268ba59d58dd8cfe55c55cff4b8a22f3 Description: Boost Random Number Library (default version) This package forms part of the Boost C++ Libraries collection. . The Boost Random Number Library (Boost.Random for short) provides a variety of generators and distributions to produce random numbers having useful properties, such as uniform distribution. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-random1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 63 Depends: libboost1.46-dev (= 1.46.1-8), libboost-random1.46.1 (= 1.46.1-8) Homepage: http://www.boost.org/libs/random/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-random1.46-dev_1.46.1-8_armhf.deb Size: 29300 SHA256: 61867cab4808e5fab461677032c2f278a4acd15bc89ae80ad22871f9e52f3625 SHA1: 5cd2d1a2f91caf11b6133269809c08dc04469ba2 MD5sum: 2bd5b45b71339043d45fdcf397c0599e Description: Boost Random Number Library This package forms part of the Boost C++ Libraries collection. . The Boost Random Number Library (Boost.Random for short) provides a variety of generators and distributions to produce random numbers having useful properties, such as uniform distribution. Package: libboost-random1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 76 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.3.0) Homepage: http://www.boost.org/libs/random/ Priority: optional Section: libs Filename: pool/main/b/boost1.46/libboost-random1.46.1_1.46.1-8_armhf.deb Size: 31072 SHA256: fc9c9a82958325af83379b839a1fa5524f3e239c4960aed253164e708f007914 SHA1: 0003369c713c1cac0a4714045c1bb12ee185cfd3 MD5sum: ee30f0bb17a0bb22b381b71fc6aefee3 Description: Boost Random Number Library This package forms part of the Boost C++ Libraries collection. . The Boost Random Number Library (Boost.Random for short) provides a variety of generators and distributions to produce random numbers having useful properties, such as uniform distribution. Package: libboost-random1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 64 Depends: libboost1.48-dev (= 1.48.0-3), libboost-random1.48.0 (= 1.48.0-3) Homepage: http://www.boost.org/libs/random/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-random1.48-dev_1.48.0-3_armhf.deb Size: 30296 SHA256: 66475dec13807469574d0197edf9e805e0384759c953533280577d82c42eaead SHA1: 963f0aacc5c4ea26591e032ef8b7f472dcb73cd4 MD5sum: d65bd3a11587446d8efd8cbd413499d1 Description: Boost Random Number Library This package forms part of the Boost C++ Libraries collection. . The Boost Random Number Library (Boost.Random for short) provides a variety of generators and distributions to produce random numbers having useful properties, such as uniform distribution. Package: libboost-random1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 76 Depends: libc6 (>= 2.4), libstdc++6 (>= 4.3.0) Homepage: http://www.boost.org/libs/random/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-random1.48.0_1.48.0-3_armhf.deb Size: 32086 SHA256: c683187cc8b37e2887a2e5ef305d8c9e0b6dce13e696ecae2b486d157ef6692e SHA1: 20ab7de444cb3f205d8eaa20939c0cdab7967f18 MD5sum: 02b1a627f06b676a4edb75a7560e1aad Description: Boost Random Number Library This package forms part of the Boost C++ Libraries collection. . The Boost Random Number Library (Boost.Random for short) provides a variety of generators and distributions to produce random numbers having useful properties, such as uniform distribution. Package: libboost-random1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 65 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-random1.49.0 (= 1.49.0-3.2) Conflicts: libboost-random1.42-dev, libboost-random1.46-dev, libboost-random1.48-dev Homepage: http://www.boost.org/libs/random/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-random1.49-dev_1.49.0-3.2_armhf.deb Size: 30504 SHA256: 89ddc39c77cea76f295e4ceff456769bda89f581fffa1a08833445b752dcfc71 SHA1: 9f0003a5e5ba2fbd249a7d9cc4c4947575200cfc MD5sum: c5bebd6c320d862bef16f66389be2ee4 Description: Boost Random Number Library This package forms part of the Boost C++ Libraries collection. . The Boost Random Number Library (Boost.Random for short) provides a variety of generators and distributions to produce random numbers having useful properties, such as uniform distribution. Package: libboost-random1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 73 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.3.0) Homepage: http://www.boost.org/libs/random/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-random1.49.0_1.49.0-3.2_armhf.deb Size: 31590 SHA256: c6f12aca0a7f99d11f25797c72b812fadbf82799a8e0e1f2d7c2aed52fb0e321 SHA1: 56b37ce0612ba6d9d6d6de86f2de8877d7d5c476 MD5sum: fb08668e11b218f1f0cd9a5418f8674d Description: Boost Random Number Library This package forms part of the Boost C++ Libraries collection. . The Boost Random Number Library (Boost.Random for short) provides a variety of generators and distributions to produce random numbers having useful properties, such as uniform distribution. Package: libboost-random1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 65 Depends: libboost1.50-dev (= 1.50.0-1), libboost-random1.50.0 (= 1.50.0-1) Conflicts: libboost-random1.42-dev, libboost-random1.46-dev, libboost-random1.48-dev, libboost-random1.49-dev Homepage: http://www.boost.org/libs/random/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-random1.50-dev_1.50.0-1_armhf.deb Size: 30392 SHA256: 3bf88cf4b7cb5e3c9740a887019d82771b2a474b117d8b5ace0eaba0c675c17b SHA1: 64e21c417ddfc2599ae433ed8cbc33b4da98ff2c MD5sum: 0d771dc0f5a94741dac31831e14fb263 Description: Boost Random Number Library This package forms part of the Boost C++ Libraries collection. . The Boost Random Number Library (Boost.Random for short) provides a variety of generators and distributions to produce random numbers having useful properties, such as uniform distribution. Package: libboost-random1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 73 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.3.0) Homepage: http://www.boost.org/libs/random/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-random1.50.0_1.50.0-1_armhf.deb Size: 31478 SHA256: 7d3e2c04e776efca580230f55aacd090682e457494bb4551a201dbb89f4cb5b5 SHA1: 8ae6392df378e445f45b23d48aee315d7081f049 MD5sum: 9ddc5d90391fa9256c4ea47b255b8a20 Description: Boost Random Number Library This package forms part of the Boost C++ Libraries collection. . The Boost Random Number Library (Boost.Random for short) provides a variety of generators and distributions to produce random numbers having useful properties, such as uniform distribution. Package: libboost-regex-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-regex1.49-dev Homepage: http://www.boost.org/libs/regex/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-regex-dev_1.49.0.1_armhf.deb Size: 2976 SHA256: a9e137ddf75294b8ead33e8b6ab84e15ab8d8265aef6a511169edfc2be52418c SHA1: 3e1da310df93932339c5cf8dec9ad9039dee7c7b MD5sum: 0f57a457a9db3e13d5420b812b61b464 Description: regular expression library for C++ (default version) This package forms part of the Boost C++ Libraries collection. . Regular expressions are a form of pattern-matching that are often used in text processing; many users will be familiar with the Unix utilities grep, sed and awk, and the programming language perl, each of which make extensive use of regular expressions. Traditionally C++ users have been limited to the POSIX C APIs for manipulating regular expressions, and while regex does provide these APIs, they do not represent the best way to use the library. For example regex can cope with wide character strings, or search and replace operations (in a manner analogous to either sed or perl), something that traditional C libraries can not do. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-regex1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 2647 Depends: libboost1.46-dev (= 1.46.1-8), libboost-regex1.46.1 (= 1.46.1-8), libicu-dev Conflicts: libboost-regex1.42-dev Homepage: http://www.boost.org/libs/regex/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-regex1.46-dev_1.46.1-8_armhf.deb Size: 631360 SHA256: 063d158dc924519fbaf7bbb90a73011cacdfd80f6c109b96c0052cbc23d45a27 SHA1: fc974f53e5001b8bd6094c0e06535f2409a63e7b MD5sum: 8f009074ef4cc3204a5ec9b7f1d30952 Description: regular expression library for C++ This package forms part of the Boost C++ Libraries collection. . Regular expressions are a form of pattern-matching that are often used in text processing; many users will be familiar with the Unix utilities grep, sed and awk, and the programming language perl, each of which make extensive use of regular expressions. Traditionally C++ users have been limited to the POSIX C APIs for manipulating regular expressions, and while regex does provide these APIs, they do not represent the best way to use the library. For example regex can cope with wide character strings, or search and replace operations (in a manner analogous to either sed or perl), something that traditional C libraries can not do. Package: libboost-regex1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 942 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/regex/ Priority: optional Section: libs Filename: pool/main/b/boost1.46/libboost-regex1.46.1_1.46.1-8_armhf.deb Size: 381030 SHA256: 977ad6ed51378af80efa0a5a3190f7116d3b3cd644a81f5b7d9c6d6372e8b7ae SHA1: 773ae5b376562cad7b6bf635448005d62829041e MD5sum: 7d09f7c08f6f8eb6484fb24739548779 Description: regular expression library for C++ This package forms part of the Boost C++ Libraries collection. . Regular expressions are a form of pattern-matching that are often used in text processing; many users will be familiar with the Unix utilities grep, sed and awk, and the programming language perl, each of which make extensive use of regular expressions. Traditionally C++ users have been limited to the POSIX C APIs for manipulating regular expressions, and while regex does provide these APIs, they do not represent the best way to use the library. For example regex can cope with wide character strings, or search and replace operations (in a manner analogous to either sed or perl), something that traditional C libraries can not do. Package: libboost-regex1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 2671 Depends: libboost1.48-dev (= 1.48.0-3), libboost-regex1.48.0 (= 1.48.0-3), libicu-dev Conflicts: libboost-regex1.42-dev, libboost-regex1.46-dev Homepage: http://www.boost.org/libs/regex/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-regex1.48-dev_1.48.0-3_armhf.deb Size: 642916 SHA256: 92847a6dc47fb6bbdfedd0484fbc6b9d12d4bebf2bad5af5fbf6b5375acac3b6 SHA1: 723c3078c071e344e0b2d92a2b576cf2aa037820 MD5sum: 69a1f648798812feeed70d913644a1f6 Description: regular expression library for C++ This package forms part of the Boost C++ Libraries collection. . Regular expressions are a form of pattern-matching that are often used in text processing; many users will be familiar with the Unix utilities grep, sed and awk, and the programming language perl, each of which make extensive use of regular expressions. Traditionally C++ users have been limited to the POSIX C APIs for manipulating regular expressions, and while regex does provide these APIs, they do not represent the best way to use the library. For example regex can cope with wide character strings, or search and replace operations (in a manner analogous to either sed or perl), something that traditional C libraries can not do. Package: libboost-regex1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 949 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/regex/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-regex1.48.0_1.48.0-3_armhf.deb Size: 385910 SHA256: 2ca8aaf89b4aae2ecdfbb094f5c0a461a2fbcb7884100e0593ffcd5d58d9065e SHA1: af21fda3842c6619d907bb9c3f436b934337d6e0 MD5sum: 4fe8da35b2dbf374332a6e628c444e25 Description: regular expression library for C++ This package forms part of the Boost C++ Libraries collection. . Regular expressions are a form of pattern-matching that are often used in text processing; many users will be familiar with the Unix utilities grep, sed and awk, and the programming language perl, each of which make extensive use of regular expressions. Traditionally C++ users have been limited to the POSIX C APIs for manipulating regular expressions, and while regex does provide these APIs, they do not represent the best way to use the library. For example regex can cope with wide character strings, or search and replace operations (in a manner analogous to either sed or perl), something that traditional C libraries can not do. Package: libboost-regex1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 2673 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-regex1.49.0 (= 1.49.0-3.2), libicu-dev Conflicts: libboost-regex1.42-dev, libboost-regex1.46-dev, libboost-regex1.48-dev Homepage: http://www.boost.org/libs/regex/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-regex1.49-dev_1.49.0-3.2_armhf.deb Size: 642396 SHA256: c45dcf77e1b49ca91e91beff24504eeb72fb6dbabc68d4bef30e1f53f1729414 SHA1: f2eb8a968eb8098ff21b2db096e176b401079480 MD5sum: 745cfee57ed0e86cea3d1cd56fcb95f5 Description: regular expression library for C++ This package forms part of the Boost C++ Libraries collection. . Regular expressions are a form of pattern-matching that are often used in text processing; many users will be familiar with the Unix utilities grep, sed and awk, and the programming language perl, each of which make extensive use of regular expressions. Traditionally C++ users have been limited to the POSIX C APIs for manipulating regular expressions, and while regex does provide these APIs, they do not represent the best way to use the library. For example regex can cope with wide character strings, or search and replace operations (in a manner analogous to either sed or perl), something that traditional C libraries can not do. Package: libboost-regex1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 948 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/regex/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-regex1.49.0_1.49.0-3.2_armhf.deb Size: 385490 SHA256: 81a806ee368acb9c60400acd6c28b13dc344c553d3a7185efa1ac7a44106fa42 SHA1: 5788f86990d37f8f2a79c98ebab164fc218cfaf8 MD5sum: 598a46cabc0c7037ccd20a9561a8a803 Description: regular expression library for C++ This package forms part of the Boost C++ Libraries collection. . Regular expressions are a form of pattern-matching that are often used in text processing; many users will be familiar with the Unix utilities grep, sed and awk, and the programming language perl, each of which make extensive use of regular expressions. Traditionally C++ users have been limited to the POSIX C APIs for manipulating regular expressions, and while regex does provide these APIs, they do not represent the best way to use the library. For example regex can cope with wide character strings, or search and replace operations (in a manner analogous to either sed or perl), something that traditional C libraries can not do. Package: libboost-regex1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 2657 Depends: libboost1.50-dev (= 1.50.0-1), libboost-regex1.50.0 (= 1.50.0-1), libicu-dev Conflicts: libboost-regex1.42-dev, libboost-regex1.46-dev, libboost-regex1.48-dev, libboost-regex1.49-dev Homepage: http://www.boost.org/libs/regex/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-regex1.50-dev_1.50.0-1_armhf.deb Size: 639194 SHA256: aa9a02c4b595c51825fe3bd16c940ca02e97a919b63ac9599e131c3686f3d445 SHA1: 09c87769afdc9098a8eef041d1b1516e39cd6625 MD5sum: eceee0c18f9e33c879f0067bbf3a84e1 Description: regular expression library for C++ This package forms part of the Boost C++ Libraries collection. . Regular expressions are a form of pattern-matching that are often used in text processing; many users will be familiar with the Unix utilities grep, sed and awk, and the programming language perl, each of which make extensive use of regular expressions. Traditionally C++ users have been limited to the POSIX C APIs for manipulating regular expressions, and while regex does provide these APIs, they do not represent the best way to use the library. For example regex can cope with wide character strings, or search and replace operations (in a manner analogous to either sed or perl), something that traditional C libraries can not do. Package: libboost-regex1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 938 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/regex/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-regex1.50.0_1.50.0-1_armhf.deb Size: 382790 SHA256: 2c2d97dba13a4e9d9c2057f2cd52629576d11df54e0f56a3c18f4d939036c1b7 SHA1: 875a053fd61f65e95871aa32611ee35f7650ffa9 MD5sum: 02278eb9b9c17da473b86d3eb02e51d3 Description: regular expression library for C++ This package forms part of the Boost C++ Libraries collection. . Regular expressions are a form of pattern-matching that are often used in text processing; many users will be familiar with the Unix utilities grep, sed and awk, and the programming language perl, each of which make extensive use of regular expressions. Traditionally C++ users have been limited to the POSIX C APIs for manipulating regular expressions, and while regex does provide these APIs, they do not represent the best way to use the library. For example regex can cope with wide character strings, or search and replace operations (in a manner analogous to either sed or perl), something that traditional C libraries can not do. Package: libboost-serialization-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-serialization1.49-dev Homepage: http://www.boost.org/libs/serialization/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-serialization-dev_1.49.0.1_armhf.deb Size: 2948 SHA256: 7151aa1a2711361a2812bb6715ae9f0e6d0c13ad0941729afb93b2ddd1789d8c SHA1: 66df107e488954e73a89bdd3004bc4dfb020bdb9 MD5sum: a22bee9ca56aeec8bf0c9e60144f5d9c Description: serialization library for C++ (default version) This package forms part of the Boost C++ Libraries collection, containing the following functionalities: . * proper restoration of pointers to shared data * serialization of STL containers and other commonly used templates * data portability - streams of bytes created on one platform should be readable on any other * archive interface must be rich enough to permit the creation of an archive that presents serialized data as XML in a useful manner . Here, "serialization" means the reversible deconstruction of an arbitrary set of C++ data structures to a sequence of bytes. archive: to refer to a specific rendering of this stream of bytes. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-serialization1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 2198 Depends: libboost1.46-dev (= 1.46.1-8), libboost-serialization1.46.1 (= 1.46.1-8) Conflicts: libboost-serialization1.42-dev Homepage: http://www.boost.org/libs/serialization/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-serialization1.46-dev_1.46.1-8_armhf.deb Size: 338890 SHA256: b21bd50c3ba40af88cd87d0f5ef44a6681d8d6366a71e5b918367f03e4d73378 SHA1: b80f34897982c3b3bb9e372bc5c128fbe947b633 MD5sum: 5cfb6f079f08d149242d39fc5e3b1d3e Description: serialization library for C++ This package forms part of the Boost C++ Libraries collection, containing the following functionalities: . * proper restoration of pointers to shared data * serialization of STL containers and other commonly used templates * data portability - streams of bytes created on one platform should be readable on any other * archive interface must be rich enough to permit the creation of an archive that presents serialized data as XML in a useful manner . Here, "serialization" means the reversible deconstruction of an arbitrary set of C++ data structures to a sequence of bytes. archive: to refer to a specific rendering of this stream of bytes. Package: libboost-serialization1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 730 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/serialization/ Priority: optional Section: libs Filename: pool/main/b/boost1.46/libboost-serialization1.46.1_1.46.1-8_armhf.deb Size: 187468 SHA256: f8987a9accdc7f792b509da258021d1a19bfa8fab5d3be6e466884bcd43688f2 SHA1: dddfb8747e8857fb4f3c4b77aad0719dead0440c MD5sum: 64db193efd44f495478ce04bcd7825ee Description: serialization library for C++ This package forms part of the Boost C++ Libraries collection, containing the following functionalities: . * proper restoration of pointers to shared data * serialization of STL containers and other commonly used templates * data portability - streams of bytes created on one platform should be readable on any other * archive interface must be rich enough to permit the creation of an archive that presents serialized data as XML in a useful manner . Here, "serialization" means the reversible deconstruction of an arbitrary set of C++ data structures to a sequence of bytes. archive: to refer to a specific rendering of this stream of bytes. Package: libboost-serialization1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 2198 Depends: libboost1.48-dev (= 1.48.0-3), libboost-serialization1.48.0 (= 1.48.0-3) Conflicts: libboost-serialization1.42-dev, libboost-serialization1.46-dev Homepage: http://www.boost.org/libs/serialization/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-serialization1.48-dev_1.48.0-3_armhf.deb Size: 340172 SHA256: b5650d8e44cbaf595248e5da8aebd2f35a99d0c3048e267d20ae100aeeb132c8 SHA1: 8ae46f70c55115dd985fea695f113ddfc35b9ff4 MD5sum: 338e8d826ec692874773292bff212ed1 Description: serialization library for C++ This package forms part of the Boost C++ Libraries collection, containing the following functionalities: . * proper restoration of pointers to shared data * serialization of STL containers and other commonly used templates * data portability - streams of bytes created on one platform should be readable on any other * archive interface must be rich enough to permit the creation of an archive that presents serialized data as XML in a useful manner . Here, "serialization" means the reversible deconstruction of an arbitrary set of C++ data structures to a sequence of bytes. archive: to refer to a specific rendering of this stream of bytes. Package: libboost-serialization1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 730 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/serialization/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-serialization1.48.0_1.48.0-3_armhf.deb Size: 188310 SHA256: 49bb5f11ecb14813e03da3c2d99ee3e760c2e033c3f8f3d91c5b9b24f71f346e SHA1: 1f9ff8f0211e08c124c671a5d77aedc7c109d5bb MD5sum: 6de98623bc90473c2e052b83a09598a6 Description: serialization library for C++ This package forms part of the Boost C++ Libraries collection, containing the following functionalities: . * proper restoration of pointers to shared data * serialization of STL containers and other commonly used templates * data portability - streams of bytes created on one platform should be readable on any other * archive interface must be rich enough to permit the creation of an archive that presents serialized data as XML in a useful manner . Here, "serialization" means the reversible deconstruction of an arbitrary set of C++ data structures to a sequence of bytes. archive: to refer to a specific rendering of this stream of bytes. Package: libboost-serialization1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 2199 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-serialization1.49.0 (= 1.49.0-3.2) Conflicts: libboost-serialization1.42-dev, libboost-serialization1.46-dev, libboost-serialization1.48-dev Homepage: http://www.boost.org/libs/serialization/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-serialization1.49-dev_1.49.0-3.2_armhf.deb Size: 339814 SHA256: 3721970a515da9975672bccd9047b5251338c7c7df3b46b4db64da953b25ec77 SHA1: ada0b420d47239edd7eddc1bd6d98ba483f145f7 MD5sum: 4c585736fb12ca0ddbca9ef7c2f9c225 Description: serialization library for C++ This package forms part of the Boost C++ Libraries collection, containing the following functionalities: . * proper restoration of pointers to shared data * serialization of STL containers and other commonly used templates * data portability - streams of bytes created on one platform should be readable on any other * archive interface must be rich enough to permit the creation of an archive that presents serialized data as XML in a useful manner . Here, "serialization" means the reversible deconstruction of an arbitrary set of C++ data structures to a sequence of bytes. archive: to refer to a specific rendering of this stream of bytes. Package: libboost-serialization1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 724 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/serialization/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-serialization1.49.0_1.49.0-3.2_armhf.deb Size: 187304 SHA256: 88381872e91099faccac8b60930bf132d23d0ee08b568e300b001849824a3a75 SHA1: 9aae1d82943de099ff833c1087e14ca6f13fb9cf MD5sum: b4cf6ef0897578fa53e2cf2e947e8ac5 Description: serialization library for C++ This package forms part of the Boost C++ Libraries collection, containing the following functionalities: . * proper restoration of pointers to shared data * serialization of STL containers and other commonly used templates * data portability - streams of bytes created on one platform should be readable on any other * archive interface must be rich enough to permit the creation of an archive that presents serialized data as XML in a useful manner . Here, "serialization" means the reversible deconstruction of an arbitrary set of C++ data structures to a sequence of bytes. archive: to refer to a specific rendering of this stream of bytes. Package: libboost-serialization1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 2211 Depends: libboost1.50-dev (= 1.50.0-1), libboost-serialization1.50.0 (= 1.50.0-1) Conflicts: libboost-serialization1.42-dev, libboost-serialization1.46-dev, libboost-serialization1.48-dev, libboost-serialization1.49-dev Homepage: http://www.boost.org/libs/serialization/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-serialization1.50-dev_1.50.0-1_armhf.deb Size: 341090 SHA256: 0cd153cd97cdf4bbdd16e7b4b4007513d81a91dfe065c79b39748543a9e9b983 SHA1: 1e9a71637ce89e7d5ca3186ea857e99fa828db80 MD5sum: b5e28d26972dfdda35eaa39b36385aa9 Description: serialization library for C++ This package forms part of the Boost C++ Libraries collection, containing the following functionalities: . * proper restoration of pointers to shared data * serialization of STL containers and other commonly used templates * data portability - streams of bytes created on one platform should be readable on any other * archive interface must be rich enough to permit the creation of an archive that presents serialized data as XML in a useful manner . Here, "serialization" means the reversible deconstruction of an arbitrary set of C++ data structures to a sequence of bytes. archive: to refer to a specific rendering of this stream of bytes. Package: libboost-serialization1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 727 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/serialization/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-serialization1.50.0_1.50.0-1_armhf.deb Size: 187452 SHA256: 04408646f59dde333634d4ca4b2a6c3a82377e6a64f0801455902e286c83e4f1 SHA1: ffca366e254a645a4ef0edf6ea270c953082bc9b MD5sum: 22552225192b7e70e6b439ff184f1292 Description: serialization library for C++ This package forms part of the Boost C++ Libraries collection, containing the following functionalities: . * proper restoration of pointers to shared data * serialization of STL containers and other commonly used templates * data portability - streams of bytes created on one platform should be readable on any other * archive interface must be rich enough to permit the creation of an archive that presents serialized data as XML in a useful manner . Here, "serialization" means the reversible deconstruction of an arbitrary set of C++ data structures to a sequence of bytes. archive: to refer to a specific rendering of this stream of bytes. Package: libboost-signals-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-signals1.49-dev Homepage: http://www.boost.org/libs/signals/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-signals-dev_1.49.0.1_armhf.deb Size: 2788 SHA256: e5d9bc7398a981dd54bfd2e2df1b3211930a6e9a3cb251e0e48836d712ec9421 SHA1: 8a8231c2f1f9c5f0a2f4ecbdbb5a700c4dc6662d MD5sum: a682a2d90afaf3c8c7d54033aed9f587 Description: managed signals and slots library for C++ (default version) This package forms part of the Boost C++ Libraries collection. . Signals represent callbacks with multiple targets, and are also called publishers or events in similar systems. Signals are connected to some set of slots, which are callback receivers (also called event targets or subscribers), which are called when the signal is "emitted." . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-signals1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 278 Depends: libboost1.46-dev (= 1.46.1-8), libboost-signals1.46.1 (= 1.46.1-8) Conflicts: libboost-signals1.42-dev Homepage: http://www.boost.org/libs/signals/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-signals1.46-dev_1.46.1-8_armhf.deb Size: 76908 SHA256: 4a01055780a75cb60ab6f7e4fdc03087ceb879c4128d87fbe3f99865d93a4ab3 SHA1: b85e49e9d9c4dd08f146763e2c3076d7f5bef6a3 MD5sum: 74d615aa154755108c7bc5eccb3a0db6 Description: managed signals and slots library for C++ This package forms part of the Boost C++ Libraries collection. . Signals represent callbacks with multiple targets, and are also called publishers or events in similar systems. Signals are connected to some set of slots, which are callback receivers (also called event targets or subscribers), which are called when the signal is "emitted." Package: libboost-signals1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 160 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/signals/ Priority: optional Section: libs Filename: pool/main/b/boost1.46/libboost-signals1.46.1_1.46.1-8_armhf.deb Size: 58526 SHA256: 67ee709e1ef343326778f9559080280a0477330413fd668861f5bcc38bf6f5cc SHA1: e1697e165cc91e72273e27fa66ac54fc4a45e2da MD5sum: dc9ca00e8e222702de6f44c012fb12d1 Description: managed signals and slots library for C++ This package forms part of the Boost C++ Libraries collection. . Signals represent callbacks with multiple targets, and are also called publishers or events in similar systems. Signals are connected to some set of slots, which are callback receivers (also called event targets or subscribers), which are called when the signal is "emitted." Package: libboost-signals1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 279 Depends: libboost1.48-dev (= 1.48.0-3), libboost-signals1.48.0 (= 1.48.0-3) Conflicts: libboost-signals1.42-dev, libboost-signals1.46-dev Homepage: http://www.boost.org/libs/signals/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-signals1.48-dev_1.48.0-3_armhf.deb Size: 76972 SHA256: 07405b364fc5da7c45224e4054970b15915d8803231626ccd229dfe0ec6be62c SHA1: 1ca91a78931feb774d939438740acd13579992a6 MD5sum: 4c8a94744fa529c225f62b945dec9984 Description: managed signals and slots library for C++ This package forms part of the Boost C++ Libraries collection. . Signals represent callbacks with multiple targets, and are also called publishers or events in similar systems. Signals are connected to some set of slots, which are callback receivers (also called event targets or subscribers), which are called when the signal is "emitted." Package: libboost-signals1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 160 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/signals/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-signals1.48.0_1.48.0-3_armhf.deb Size: 59576 SHA256: 3ae17f09cdde433d0f7eeeca96a72f45d07350881124799c151b0aa4f5010bb7 SHA1: 817d3f2f0519d657ee1a64c1c79de0a33b2f105a MD5sum: 64e1babf313f99398bce7e46bd173cfc Description: managed signals and slots library for C++ This package forms part of the Boost C++ Libraries collection. . Signals represent callbacks with multiple targets, and are also called publishers or events in similar systems. Signals are connected to some set of slots, which are callback receivers (also called event targets or subscribers), which are called when the signal is "emitted." Package: libboost-signals1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 282 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-signals1.49.0 (= 1.49.0-3.2) Conflicts: libboost-signals1.42-dev, libboost-signals1.46-dev, libboost-signals1.48-dev Homepage: http://www.boost.org/libs/signals/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-signals1.49-dev_1.49.0-3.2_armhf.deb Size: 78122 SHA256: 44cdc42ef8f374a8566a4305ae0e1c5c6feeede35d8f3b4f701535f1255fdc03 SHA1: 135ef63f20008be6d61bd3cdb7e26461cb333d7a MD5sum: 96a5ad298d098b7ad2ee056f4a121aa4 Description: managed signals and slots library for C++ This package forms part of the Boost C++ Libraries collection. . Signals represent callbacks with multiple targets, and are also called publishers or events in similar systems. Signals are connected to some set of slots, which are callback receivers (also called event targets or subscribers), which are called when the signal is "emitted." Package: libboost-signals1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 163 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/signals/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-signals1.49.0_1.49.0-3.2_armhf.deb Size: 59684 SHA256: f0e44c68064a3b53453c6347db4214363359bba850e539a0ec318d9d3404e787 SHA1: 19cdede223638988e98800266fa98a59eae11e69 MD5sum: ebe2009ea1fbb09411e666de657ecb87 Description: managed signals and slots library for C++ This package forms part of the Boost C++ Libraries collection. . Signals represent callbacks with multiple targets, and are also called publishers or events in similar systems. Signals are connected to some set of slots, which are callback receivers (also called event targets or subscribers), which are called when the signal is "emitted." Package: libboost-signals1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 282 Depends: libboost1.50-dev (= 1.50.0-1), libboost-signals1.50.0 (= 1.50.0-1) Conflicts: libboost-signals1.42-dev, libboost-signals1.46-dev, libboost-signals1.48-dev, libboost-signals1.49-dev Homepage: http://www.boost.org/libs/signals/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-signals1.50-dev_1.50.0-1_armhf.deb Size: 77752 SHA256: 0f447e65cc718e4234b7eb76757f3d01ad5775b7049d09b7528128a8023b79ea SHA1: d74f165be617e37e361785ae822763c9bfd474bb MD5sum: 54c9edb796c793565b9ff35a96b4a306 Description: managed signals and slots library for C++ This package forms part of the Boost C++ Libraries collection. . Signals represent callbacks with multiple targets, and are also called publishers or events in similar systems. Signals are connected to some set of slots, which are callback receivers (also called event targets or subscribers), which are called when the signal is "emitted." Package: libboost-signals1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 164 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/signals/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-signals1.50.0_1.50.0-1_armhf.deb Size: 59560 SHA256: c3b29fe8f8bc573adf70a793fa44957d34945c8c9bfe6e25f876f441d417635c SHA1: 9b7166f4ff3e7212a74e3124813644d732f59707 MD5sum: af3dfea6c44328df5abbdbbefd54f7e7 Description: managed signals and slots library for C++ This package forms part of the Boost C++ Libraries collection. . Signals represent callbacks with multiple targets, and are also called publishers or events in similar systems. Signals are connected to some set of slots, which are callback receivers (also called event targets or subscribers), which are called when the signal is "emitted." Package: libboost-system-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-system1.49-dev Homepage: http://www.boost.org/libs/system/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-system-dev_1.49.0.1_armhf.deb Size: 2860 SHA256: d1d27c408afa2e31df1a721459f44b7128a70cc05e236e38bd6b653fdc174163 SHA1: 815c8dd06dc01719a9a72439575f0d4ea2f8bef2 MD5sum: 3f969d6546f278755d7d5197c7e69798 Description: Operating system (e.g. diagnostics support) library (default version) This package forms part of the Boost C++ Libraries collection. . The Boost System library provides simple, light-weight error_code objects that encapsulate system-specific error code values, yet also provide access to more abstract and portable error conditions via error_condition objects. Because error_code objects can represent errors from sources other than the operating system, including user-defined sources, each error_code and error_condition has an associated error_category. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-system1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 79 Depends: libboost1.46-dev (= 1.46.1-8), libboost-system1.46.1 (= 1.46.1-8) Conflicts: libboost-system1.42-dev Homepage: http://www.boost.org/libs/system/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-system1.46-dev_1.46.1-8_armhf.deb Size: 33606 SHA256: 61689d841ec2247e159aed5eb215e712f03568bcf5920df8c2c532781ffd7a53 SHA1: 0fe89c2c82a997b269d436dd6cd39465e5d5d0d9 MD5sum: 77385c9001d55952b17dab45e59f83e4 Description: Operating system (e.g. diagnostics support) library This package forms part of the Boost C++ Libraries collection. . The Boost System library provides simple, light-weight error_code objects that encapsulate system-specific error code values, yet also provide access to more abstract and portable error conditions via error_condition objects. Because error_code objects can represent errors from sources other than the operating system, including user-defined sources, each error_code and error_condition has an associated error_category. Package: libboost-system1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 74 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/system/ Priority: optional Section: libs Filename: pool/main/b/boost1.46/libboost-system1.46.1_1.46.1-8_armhf.deb Size: 31394 SHA256: edaf0136ae92cd7d37b63a3547267eb2b7814ef7778a6e65b7f76309b248445a SHA1: 504b31b2c4e4c9e2748ecbe7f1bcb3e297159c70 MD5sum: 3680d10a8317b47be8b0d48a819f6ddc Description: Operating system (e.g. diagnostics support) library This package forms part of the Boost C++ Libraries collection. . The Boost System library provides simple, light-weight error_code objects that encapsulate system-specific error code values, yet also provide access to more abstract and portable error conditions via error_condition objects. Because error_code objects can represent errors from sources other than the operating system, including user-defined sources, each error_code and error_condition has an associated error_category. Package: libboost-system1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 79 Depends: libboost1.48-dev (= 1.48.0-3), libboost-system1.48.0 (= 1.48.0-3) Conflicts: libboost-system1.42-dev, libboost-system1.46-dev Homepage: http://www.boost.org/libs/system/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-system1.48-dev_1.48.0-3_armhf.deb Size: 34558 SHA256: ff68261ca9f19f332580d54dc0c3e0de59e45b3168a297fdb0a148668fec00ea SHA1: e58c5b8819eef0b96901657e54ebc85bf602dbcd MD5sum: 13133bccb9ba1a6492f0fed3655192c5 Description: Operating system (e.g. diagnostics support) library This package forms part of the Boost C++ Libraries collection. . The Boost System library provides simple, light-weight error_code objects that encapsulate system-specific error code values, yet also provide access to more abstract and portable error conditions via error_condition objects. Because error_code objects can represent errors from sources other than the operating system, including user-defined sources, each error_code and error_condition has an associated error_category. Package: libboost-system1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 75 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/system/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-system1.48.0_1.48.0-3_armhf.deb Size: 32192 SHA256: ae9817dae84ceaad9b9ccf8be0e4614bd00f41d02edac82a80122e5e8ea9619b SHA1: 041728a6ed0f335151f2944d8bf002d2761031fa MD5sum: 47b62a9583be6de648e2cf9b7e8a863e Description: Operating system (e.g. diagnostics support) library This package forms part of the Boost C++ Libraries collection. . The Boost System library provides simple, light-weight error_code objects that encapsulate system-specific error code values, yet also provide access to more abstract and portable error conditions via error_condition objects. Because error_code objects can represent errors from sources other than the operating system, including user-defined sources, each error_code and error_condition has an associated error_category. Package: libboost-system1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 79 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-system1.49.0 (= 1.49.0-3.2) Conflicts: libboost-system1.42-dev, libboost-system1.46-dev, libboost-system1.48-dev Homepage: http://www.boost.org/libs/system/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-system1.49-dev_1.49.0-3.2_armhf.deb Size: 34596 SHA256: 20b0c84fb9e07171e56118806a18ff3f1ff530d2a8333dd6ef0a4d7d2ca352a8 SHA1: f07003b49f224f7006e3ddcaa42b15fdacf2aeb5 MD5sum: ece4e4713a0c61e4544ddd0dd2f28042 Description: Operating system (e.g. diagnostics support) library This package forms part of the Boost C++ Libraries collection. . The Boost System library provides simple, light-weight error_code objects that encapsulate system-specific error code values, yet also provide access to more abstract and portable error conditions via error_condition objects. Because error_code objects can represent errors from sources other than the operating system, including user-defined sources, each error_code and error_condition has an associated error_category. Package: libboost-system1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 75 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/system/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-system1.49.0_1.49.0-3.2_armhf.deb Size: 32370 SHA256: a96b46fea2534c6d22edb0815e78cf61ef9e4b90938e0aff747aea611711f0c0 SHA1: 20a19866d14a382ac61016e0675a4cab5f3cb49a MD5sum: 1a117403d7411f98204f6f15dd8c5a54 Description: Operating system (e.g. diagnostics support) library This package forms part of the Boost C++ Libraries collection. . The Boost System library provides simple, light-weight error_code objects that encapsulate system-specific error code values, yet also provide access to more abstract and portable error conditions via error_condition objects. Because error_code objects can represent errors from sources other than the operating system, including user-defined sources, each error_code and error_condition has an associated error_category. Package: libboost-system1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 79 Depends: libboost1.50-dev (= 1.50.0-1), libboost-system1.50.0 (= 1.50.0-1) Conflicts: libboost-system1.42-dev, libboost-system1.46-dev, libboost-system1.48-dev, libboost-system1.49-dev Homepage: http://www.boost.org/libs/system/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-system1.50-dev_1.50.0-1_armhf.deb Size: 34478 SHA256: e03a95430a03f4d0b0019dfadd59d35a67f18af3af2049b3395ca9f0a607aa13 SHA1: d78b97fc41f5a97b496a0ede4f090f4ca85b80b5 MD5sum: 95c277cfe9149e537055df7f0cbd642f Description: Operating system (e.g. diagnostics support) library This package forms part of the Boost C++ Libraries collection. . The Boost System library provides simple, light-weight error_code objects that encapsulate system-specific error code values, yet also provide access to more abstract and portable error conditions via error_condition objects. Because error_code objects can represent errors from sources other than the operating system, including user-defined sources, each error_code and error_condition has an associated error_category. Package: libboost-system1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 75 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/system/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-system1.50.0_1.50.0-1_armhf.deb Size: 32266 SHA256: 3a846829cfd72da418c8b37667753b83eb691143616154e3e670360f9e09a4a9 SHA1: 68b4e0bab92a6faff2a95121bee7a6e2e218c50d MD5sum: 19ff91bd608aa5dc4d51847a31b799df Description: Operating system (e.g. diagnostics support) library This package forms part of the Boost C++ Libraries collection. . The Boost System library provides simple, light-weight error_code objects that encapsulate system-specific error code values, yet also provide access to more abstract and portable error conditions via error_condition objects. Because error_code objects can represent errors from sources other than the operating system, including user-defined sources, each error_code and error_condition has an associated error_category. Package: libboost-test-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-test1.49-dev Homepage: http://www.boost.org/libs/test/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-test-dev_1.49.0.1_armhf.deb Size: 2758 SHA256: 22bca2b1aa4b8c5e11cd37e9b2d5e8a6704969a0b3a8209d54df26c0c7433b49 SHA1: 94dea9de692d3dc5e6ad9f9948b94919a54a9cc6 MD5sum: 8d7886320636e268cca75c7f2c21273c Description: components for writing and executing test suites (default version) This package forms part of the Boost C++ Libraries collection. The library contains several components. . * Basic execution, error detection, and reporting facility. * Facilities to monitor program execution and produce error reports. * Unit test framework to simplify writing test cases. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-test1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 3341 Depends: libboost1.46-dev (= 1.46.1-8), libboost-test1.46.1 (= 1.46.1-8) Conflicts: libboost-test1.42-dev Homepage: http://www.boost.org/libs/test/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-test1.46-dev_1.46.1-8_armhf.deb Size: 702234 SHA256: b77fa546e87078297c5501eb010358cbcceae8b2572e13fccbd94904af33b318 SHA1: 82afb4c11c470b7b36ee9792916821e56176593e MD5sum: 3691f7320c67154d614bb82a0b689776 Description: components for writing and executing test suites This package forms part of the Boost C++ Libraries collection. The library contains several components. . * Basic execution, error detection, and reporting facility. * Facilities to monitor program execution and produce error reports. * Unit test framework to simplify writing test cases. Package: libboost-test1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 668 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/test/ Priority: optional Section: libs Filename: pool/main/b/boost1.46/libboost-test1.46.1_1.46.1-8_armhf.deb Size: 239902 SHA256: fe5ad6c31b87cb3aece16967f0fe0e3e0ef378ff721277dad074b72e3fe9ae06 SHA1: 5db0a931c419668b19dab509ec6eb85cf2348523 MD5sum: 7dcc10f4ef216009ce046763f7861ccc Description: components for writing and executing test suites This package forms part of the Boost C++ Libraries collection. The library contains several components. . * Basic execution, error detection, and reporting facility. * Facilities to monitor program execution and produce error reports. * Unit test framework to simplify writing test cases. Package: libboost-test1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 3384 Depends: libboost1.48-dev (= 1.48.0-3), libboost-test1.48.0 (= 1.48.0-3) Conflicts: libboost-test1.42-dev, libboost-test1.46-dev Homepage: http://www.boost.org/libs/test/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-test1.48-dev_1.48.0-3_armhf.deb Size: 711898 SHA256: adf7e50058145de3b2097265c7c0f78dc36e7feda59a7539a20a4d5249a17a97 SHA1: e9f58f654c30dff6561c0307f8aa471f02af3221 MD5sum: d7cba61c3a923618457735a085277369 Description: components for writing and executing test suites This package forms part of the Boost C++ Libraries collection. The library contains several components. . * Basic execution, error detection, and reporting facility. * Facilities to monitor program execution and produce error reports. * Unit test framework to simplify writing test cases. Package: libboost-test1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 678 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/test/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-test1.48.0_1.48.0-3_armhf.deb Size: 244662 SHA256: 2a5fc6e6b8c1a75216edfd53f6090f7291da37ebcca616e28a48c033c04c6e9a SHA1: 67247249469028babff64707b38297c94bdd23af MD5sum: 71e1ca276680ad9c0450c1f63404fec4 Description: components for writing and executing test suites This package forms part of the Boost C++ Libraries collection. The library contains several components. . * Basic execution, error detection, and reporting facility. * Facilities to monitor program execution and produce error reports. * Unit test framework to simplify writing test cases. Package: libboost-test1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 3390 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-test1.49.0 (= 1.49.0-3.2) Conflicts: libboost-test1.42-dev, libboost-test1.46-dev, libboost-test1.48-dev Homepage: http://www.boost.org/libs/test/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-test1.49-dev_1.49.0-3.2_armhf.deb Size: 710554 SHA256: 07fce2b3b91a304eac6ab6b2246435f8a6a4b23b3c1f9f6cbd2dc990fb4bb5c3 SHA1: 5fd4503b14640c500fcddcded3e1bee0eb37f14d MD5sum: bddf23906d86ee5314de6c3f6b546575 Description: components for writing and executing test suites This package forms part of the Boost C++ Libraries collection. The library contains several components. . * Basic execution, error detection, and reporting facility. * Facilities to monitor program execution and produce error reports. * Unit test framework to simplify writing test cases. Package: libboost-test1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 677 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/test/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-test1.49.0_1.49.0-3.2_armhf.deb Size: 243348 SHA256: 07f0ad48110315eb3fad11defde1539c2e0ced547ee715a18d7b444a69de58a3 SHA1: 28412941f7eed94f0e4c4dfa5793e7a5e8b57e79 MD5sum: c9cf1bdbfbf9d3383742ac1f110eec72 Description: components for writing and executing test suites This package forms part of the Boost C++ Libraries collection. The library contains several components. . * Basic execution, error detection, and reporting facility. * Facilities to monitor program execution and produce error reports. * Unit test framework to simplify writing test cases. Package: libboost-test1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 3356 Depends: libboost1.50-dev (= 1.50.0-1), libboost-test1.50.0 (= 1.50.0-1) Conflicts: libboost-test1.42-dev, libboost-test1.46-dev, libboost-test1.48-dev, libboost-test1.49-dev Homepage: http://www.boost.org/libs/test/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-test1.50-dev_1.50.0-1_armhf.deb Size: 705424 SHA256: a3ac4607bdbea364b3030cfb5777d10a373904d6422ca3df0af6a7ab61d5cfbb SHA1: c3ae7a4d21bc6847593be466e60ca58a48692132 MD5sum: ebf039d8c606ec801829675edb98ae9d Description: components for writing and executing test suites This package forms part of the Boost C++ Libraries collection. The library contains several components. . * Basic execution, error detection, and reporting facility. * Facilities to monitor program execution and produce error reports. * Unit test framework to simplify writing test cases. Package: libboost-test1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 673 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/test/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-test1.50.0_1.50.0-1_armhf.deb Size: 242320 SHA256: 04424e61e9e4f9506e2b002cb15eafdb5c8c740a45008558e80d2ea9210bba95 SHA1: 5b444721d40d6da2024095f88a9e9d17508c4f88 MD5sum: 9892f7644e01fd2e2e11487b426c32d0 Description: components for writing and executing test suites This package forms part of the Boost C++ Libraries collection. The library contains several components. . * Basic execution, error detection, and reporting facility. * Facilities to monitor program execution and produce error reports. * Unit test framework to simplify writing test cases. Package: libboost-thread-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-thread1.49-dev Homepage: http://www.boost.org/libs/thread/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-thread-dev_1.49.0.1_armhf.deb Size: 2744 SHA256: 5778fff278ffb35638d79c2d3740253ed5d0ca92d3aa3fa9fbce901e3775bae3 SHA1: c7d7e9ad44c335a4edd4bc47ab26183e392982be MD5sum: 88d316f93c47c5d6f091b2d374b92c41 Description: portable C++ multi-threading (default version) This package forms part of the Boost C++ Libraries collection. . Toolkit for writing C++ programs that execute as multiple, asynchronous, independent, threads-of-execution. Each thread has its own machine state including program instruction counter and registers. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-thread1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 270 Depends: libboost1.46-dev (= 1.46.1-8), libboost-date-time1.46-dev (= 1.46.1-8), libboost-thread1.46.1 (= 1.46.1-8) Conflicts: libboost-thread1.42-dev Homepage: http://www.boost.org/libs/thread/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-thread1.46-dev_1.46.1-8_armhf.deb Size: 70510 SHA256: 4d80a8f543e4a392884d833502aa6ee26e3f70a7a7c7e33559ab7f5e6cd1f513 SHA1: 10b0cd7841112f15b6d9aadd0f2da770b7ead6a3 MD5sum: 11e21ec96898fa9b8a7b324419b00f4e Description: portable C++ multi-threading This package forms part of the Boost C++ Libraries collection. . Toolkit for writing C++ programs that execute as multiple, asynchronous, independent, threads-of-execution. Each thread has its own machine state including program instruction counter and registers. Package: libboost-thread1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 161 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/thread/ Priority: optional Section: libs Filename: pool/main/b/boost1.46/libboost-thread1.46.1_1.46.1-8_armhf.deb Size: 58224 SHA256: cfbcbb2170dd07345301d0b4ab1c02b921cd4a21f57ca6e64332f438a74105aa SHA1: d4deac6ea81b1d93c0fe1562c94168004fcbf5d8 MD5sum: 1137c00ab486e1936e8f672802a6d9d0 Description: portable C++ multi-threading This package forms part of the Boost C++ Libraries collection. . Toolkit for writing C++ programs that execute as multiple, asynchronous, independent, threads-of-execution. Each thread has its own machine state including program instruction counter and registers. Package: libboost-thread1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 290 Depends: libboost1.48-dev (= 1.48.0-3), libboost-date-time1.48-dev (= 1.48.0-3), libboost-thread1.48.0 (= 1.48.0-3) Conflicts: libboost-thread1.42-dev, libboost-thread1.46-dev Homepage: http://www.boost.org/libs/thread/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-thread1.48-dev_1.48.0-3_armhf.deb Size: 73662 SHA256: 4de9721d6d9fd727aa625e0d2099a834aa95223254203f9d244c227f1aea5f74 SHA1: 9a4a6c0eb1f17780dafa87ba12b4baa34a0e14bc MD5sum: 6b49bee957249ebf27d0f37eb7920721 Description: portable C++ multi-threading This package forms part of the Boost C++ Libraries collection. . Toolkit for writing C++ programs that execute as multiple, asynchronous, independent, threads-of-execution. Each thread has its own machine state including program instruction counter and registers. Package: libboost-thread1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 170 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/thread/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-thread1.48.0_1.48.0-3_armhf.deb Size: 60522 SHA256: 3828ec0638e92611718c10b828865a6d61c9d9bf06f9ac595923d02708f1a2fd SHA1: 87cccc3ecec19edbc31ae80e1dd616945e5bd5ad MD5sum: 8d14cf7c28866afb3ae47c7037be2615 Description: portable C++ multi-threading This package forms part of the Boost C++ Libraries collection. . Toolkit for writing C++ programs that execute as multiple, asynchronous, independent, threads-of-execution. Each thread has its own machine state including program instruction counter and registers. Package: libboost-thread1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 294 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-date-time1.49-dev (= 1.49.0-3.2), libboost-thread1.49.0 (= 1.49.0-3.2) Conflicts: libboost-thread1.42-dev, libboost-thread1.46-dev, libboost-thread1.48-dev Homepage: http://www.boost.org/libs/thread/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-thread1.49-dev_1.49.0-3.2_armhf.deb Size: 74482 SHA256: 0fc0682a11971eafe82a594d81649edcbccdeb8c70c00f966472f75c9ca55298 SHA1: bd04a4712330840418f3ba25457659f87adf07a5 MD5sum: 24d6b7e4cf0a7b32d71292457eb74270 Description: portable C++ multi-threading This package forms part of the Boost C++ Libraries collection. . Toolkit for writing C++ programs that execute as multiple, asynchronous, independent, threads-of-execution. Each thread has its own machine state including program instruction counter and registers. Package: libboost-thread1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 170 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/thread/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-thread1.49.0_1.49.0-3.2_armhf.deb Size: 60562 SHA256: 33296a289549dd3ba1538d6811d9678dafde95ac62c31e45806d72f4fa40a9dd SHA1: 56644472e649293f370d6fb7ab14628fff323217 MD5sum: 183a2567235f89c5b1bccdef3e748850 Description: portable C++ multi-threading This package forms part of the Boost C++ Libraries collection. . Toolkit for writing C++ programs that execute as multiple, asynchronous, independent, threads-of-execution. Each thread has its own machine state including program instruction counter and registers. Package: libboost-thread1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 337 Depends: libboost1.50-dev (= 1.50.0-1), libboost-date-time1.50-dev (= 1.50.0-1), libboost-thread1.50.0 (= 1.50.0-1) Conflicts: libboost-thread1.42-dev, libboost-thread1.46-dev, libboost-thread1.48-dev, libboost-thread1.49-dev Homepage: http://www.boost.org/libs/thread/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-thread1.50-dev_1.50.0-1_armhf.deb Size: 84946 SHA256: e313cf2c6d95a4f0f73def6d3b76942d121c2dadf3ac9dce04c274e998e36193 SHA1: d2bd23439cbcb933847d9b1a98b0eb05270a3f61 MD5sum: 75099f05405bbfabdbe38fac349d3cb3 Description: portable C++ multi-threading This package forms part of the Boost C++ Libraries collection. . Toolkit for writing C++ programs that execute as multiple, asynchronous, independent, threads-of-execution. Each thread has its own machine state including program instruction counter and registers. Package: libboost-thread1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 180 Depends: libboost-chrono1.50.0 (>= 1.50.0-1), libboost-system1.50.0 (>= 1.50.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/thread/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-thread1.50.0_1.50.0-1_armhf.deb Size: 65128 SHA256: 19a148c9db204a535fbcc717a57da9e4c7b1331396a1dbe07a3fedc3ac2e4715 SHA1: 66f8a036aa4bc0e936ef4aee253b702c4e208840 MD5sum: 4b136f8c38e39f7bfa694ca41e53a4c4 Description: portable C++ multi-threading This package forms part of the Boost C++ Libraries collection. . Toolkit for writing C++ programs that execute as multiple, asynchronous, independent, threads-of-execution. Each thread has its own machine state including program instruction counter and registers. Package: libboost-timer-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-timer1.49-dev Homepage: http://www.boost.org/libs/timer/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-timer-dev_1.49.0.1_armhf.deb Size: 2844 SHA256: 39fa01e2571bf312a3508bf57619e5468cf212e46473c9d50e07aaa07e4bd17f SHA1: c05afc041e4a300a3163312fd93e2c81cf22b0a4 MD5sum: 3cd473442fe7e93f101222634d58ab4d Description: C++ wall clock and CPU process timers (default version) This package forms part of the Boost C++ Libraries collection. . Portable C++ timer classes that answer the question "How long does my C++ code take to run?" with as little as one #include and one additional line of code. . Class cpu_timer measures wall clock time, user CPU process time, and system CPU process time. Class auto_cpu_timer is a refinement of cpu_timer that automatically reports the elapsed times when an auto_cpu_timer object is destroyed. . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-timer1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 74 Depends: libboost1.48-dev (= 1.48.0-3), libboost-timer1.48.0 (= 1.48.0-3) Homepage: http://www.boost.org/libs/timer/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-timer1.48-dev_1.48.0-3_armhf.deb Size: 33974 SHA256: 1dfba5a2600d18281998561083db100adc7bd41273a2ebecf855133ef2aaa29d SHA1: dbdd9883ae8424cff157bc6f7ab5b130ba78e253 MD5sum: cb375036d6dc6c7487893c9cd4320f7a Description: C++ wall clock and CPU process timers This package forms part of the Boost C++ Libraries collection. . Portable C++ timer classes that answer the question "How long does my C++ code take to run?" with as little as one #include and one additional line of code. . Class cpu_timer measures wall clock time, user CPU process time, and system CPU process time. Class auto_cpu_timer is a refinement of cpu_timer that automatically reports the elapsed times when an auto_cpu_timer object is destroyed. Package: libboost-timer1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 81 Depends: libboost-chrono1.48.0 (>= 1.48.0-1), libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/timer/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-timer1.48.0_1.48.0-3_armhf.deb Size: 34714 SHA256: 76a39924b5190a7f368de91597062dccaaae1878edabcaa05115147c11c78a22 SHA1: f677c781c43eb38f7bef32ea80dd5dbd9c7bf363 MD5sum: f34c4ce1d281fc31c99a3750dce59eb3 Description: C++ wall clock and CPU process timers This package forms part of the Boost C++ Libraries collection. . Portable C++ timer classes that answer the question "How long does my C++ code take to run?" with as little as one #include and one additional line of code. . Class cpu_timer measures wall clock time, user CPU process time, and system CPU process time. Class auto_cpu_timer is a refinement of cpu_timer that automatically reports the elapsed times when an auto_cpu_timer object is destroyed. Package: libboost-timer1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 74 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-timer1.49.0 (= 1.49.0-3.2) Conflicts: libboost-timer1.42-dev, libboost-timer1.46-dev, libboost-timer1.48-dev Homepage: http://www.boost.org/libs/timer/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-timer1.49-dev_1.49.0-3.2_armhf.deb Size: 34182 SHA256: 52a5d198aa3a3fe2c2b7b1b7ed78bb479925ae6063de97f554fa50c907a0c6d1 SHA1: 693868f75fcde74850ecf8c7acaa2dac3c76a04b MD5sum: b0e4e1395c202342e79d8f6e5288df94 Description: C++ wall clock and CPU process timers This package forms part of the Boost C++ Libraries collection. . Portable C++ timer classes that answer the question "How long does my C++ code take to run?" with as little as one #include and one additional line of code. . Class cpu_timer measures wall clock time, user CPU process time, and system CPU process time. Class auto_cpu_timer is a refinement of cpu_timer that automatically reports the elapsed times when an auto_cpu_timer object is destroyed. Package: libboost-timer1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 78 Depends: libboost-chrono1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/timer/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-timer1.49.0_1.49.0-3.2_armhf.deb Size: 34186 SHA256: a8b525a2612900a3fa5315655a718719155214eab13efc658c8e153955e3c88c SHA1: efa9db8b5690165a18c6cfd5a4a19ff8ea5ac4d7 MD5sum: 9a99f31e44024bfa0ed5b7933c68d35a Description: C++ wall clock and CPU process timers This package forms part of the Boost C++ Libraries collection. . Portable C++ timer classes that answer the question "How long does my C++ code take to run?" with as little as one #include and one additional line of code. . Class cpu_timer measures wall clock time, user CPU process time, and system CPU process time. Class auto_cpu_timer is a refinement of cpu_timer that automatically reports the elapsed times when an auto_cpu_timer object is destroyed. Package: libboost-timer1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 74 Depends: libboost1.50-dev (= 1.50.0-1), libboost-timer1.50.0 (= 1.50.0-1) Conflicts: libboost-timer1.42-dev, libboost-timer1.46-dev, libboost-timer1.48-dev, libboost-timer1.49-dev Homepage: http://www.boost.org/libs/timer/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-timer1.50-dev_1.50.0-1_armhf.deb Size: 34062 SHA256: 9b32dcd5a89bcbbb1cd10bb44861285290d3de6acd2e2ff29964cd18f548697c SHA1: e4be3655a32404a44f9954a04a8840d18fa45c82 MD5sum: 4fd7240b16099c7c6099ecd496f226b5 Description: C++ wall clock and CPU process timers This package forms part of the Boost C++ Libraries collection. . Portable C++ timer classes that answer the question "How long does my C++ code take to run?" with as little as one #include and one additional line of code. . Class cpu_timer measures wall clock time, user CPU process time, and system CPU process time. Class auto_cpu_timer is a refinement of cpu_timer that automatically reports the elapsed times when an auto_cpu_timer object is destroyed. Package: libboost-timer1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 78 Depends: libboost-chrono1.50.0 (>= 1.50.0-1), libboost-system1.50.0 (>= 1.50.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.boost.org/libs/timer/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-timer1.50.0_1.50.0-1_armhf.deb Size: 33986 SHA256: 54284aa3465d3fc3f57ab2b251df8b0ad820d1c4836cde4e55873577048a18c6 SHA1: 8049c7a91878e8b9ef54b8488f00239efab351fa MD5sum: 2ed9dcc208f858f4f3bc8614a9871f35 Description: C++ wall clock and CPU process timers This package forms part of the Boost C++ Libraries collection. . Portable C++ timer classes that answer the question "How long does my C++ code take to run?" with as little as one #include and one additional line of code. . Class cpu_timer measures wall clock time, user CPU process time, and system CPU process time. Class auto_cpu_timer is a refinement of cpu_timer that automatically reports the elapsed times when an auto_cpu_timer object is destroyed. Package: libboost-wave-dev Source: boost-defaults Version: 1.49.0.1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 26 Depends: libboost-wave1.49-dev Homepage: http://www.boost.org/libs/wave/ Priority: optional Section: libdevel Filename: pool/main/b/boost-defaults/libboost-wave-dev_1.49.0.1_armhf.deb Size: 2756 SHA256: a8c1c84b116d6129e6cf152655b64d31d9b956f2c85f65997d511be23242ec95 SHA1: 02ece16824a60ec57e7ed1715df0cd9fabf8f730 MD5sum: 6f5033123f4e08eb553dc573d1e900cb Description: C99/C++ preprocessor library (default version) This package forms part of the Boost C++ Libraries collection. . The Wave C++ preprocessor library is a Standards conformant implementation of the mandated C99/C++ preprocessor functionality packed behind a simple to use interface, which integrates well with the well known idioms of the Standard Template Library (STL). . This package is a dependency package, which depends on Debian's default Boost version (currently 1.49). Package: libboost-wave1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 4159 Depends: libboost1.46-dev (= 1.46.1-8), libboost-serialization1.46-dev (= 1.46.1-8), libboost-wave1.46.1 (= 1.46.1-8), libboost-filesystem1.46-dev (= 1.46.1-8) Conflicts: libboost-wave1.42-dev Homepage: http://www.boost.org/libs/wave/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost-wave1.46-dev_1.46.1-8_armhf.deb Size: 524718 SHA256: bf507f92fae6214c1182edbcfd813e16aa7fc1f632ec1cc9f34153dda78cba1e SHA1: eff0aa82c3280e42430b4144e367eb94de98b9ea MD5sum: b6314a3bd3b91a0e710d3899dae0c1be Description: C99/C++ preprocessor library This package forms part of the Boost C++ Libraries collection. . The Wave C++ preprocessor library is a Standards conformant implementation of the mandated C99/C++ preprocessor functionality packed behind a simple to use interface, which integrates well with the well known idioms of the Standard Template Library (STL). Package: libboost-wave1.46.1 Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1197 Depends: libboost-date-time1.46.1 (>= 1.46.1-1), libboost-filesystem1.46.1 (>= 1.46.1-1), libboost-system1.46.1 (>= 1.46.1-1), libboost-thread1.46.1 (>= 1.46.1-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/wave/ Priority: optional Section: libs Filename: pool/main/b/boost1.46/libboost-wave1.46.1_1.46.1-8_armhf.deb Size: 252620 SHA256: 5feb5ba2320b4a78a7e9ac5f93706fd87669b5272f1889176c15c24fa495d71f SHA1: cbada2bc72f1d5ce73198349e5959b94ee7b2502 MD5sum: 50db27d9e32232fd436162ca2b9ff585 Description: C99/C++ preprocessor library This package forms part of the Boost C++ Libraries collection. . The Wave C++ preprocessor library is a Standards conformant implementation of the mandated C99/C++ preprocessor functionality packed behind a simple to use interface, which integrates well with the well known idioms of the Standard Template Library (STL). Package: libboost-wave1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 4243 Depends: libboost1.48-dev (= 1.48.0-3), libboost-serialization1.48-dev (= 1.48.0-3), libboost-wave1.48.0 (= 1.48.0-3), libboost-filesystem1.48-dev (= 1.48.0-3) Conflicts: libboost-wave1.42-dev, libboost-wave1.46-dev Homepage: http://www.boost.org/libs/wave/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost-wave1.48-dev_1.48.0-3_armhf.deb Size: 538180 SHA256: 71e42c141bc1b5dbc8ce9dae1d0fdd606604fe9a95813e3a054d7c09d29c0551 SHA1: 2f300df366a244a46f4e44f9c794ca7b12268c4a MD5sum: 5f3719a4de1f623d9c20f8f33f1acd25 Description: C99/C++ preprocessor library This package forms part of the Boost C++ Libraries collection. . The Wave C++ preprocessor library is a Standards conformant implementation of the mandated C99/C++ preprocessor functionality packed behind a simple to use interface, which integrates well with the well known idioms of the Standard Template Library (STL). Package: libboost-wave1.48.0 Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1197 Depends: libboost-thread1.48.0 (>= 1.48.0-1), libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/wave/ Priority: optional Section: libs Filename: pool/main/b/boost1.48/libboost-wave1.48.0_1.48.0-3_armhf.deb Size: 255872 SHA256: 46744bc31a58b77c119a7e2491ac6a045a6ae9b304518ad560d3a21ef711cf67 SHA1: 8494fcd68198237c7c4d5868c53b3237fabc6ce2 MD5sum: 87de37f795009bb6daa57f8af3778934 Description: C99/C++ preprocessor library This package forms part of the Boost C++ Libraries collection. . The Wave C++ preprocessor library is a Standards conformant implementation of the mandated C99/C++ preprocessor functionality packed behind a simple to use interface, which integrates well with the well known idioms of the Standard Template Library (STL). Package: libboost-wave1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 4253 Depends: libboost1.49-dev (= 1.49.0-3.2), libboost-serialization1.49-dev (= 1.49.0-3.2), libboost-wave1.49.0 (= 1.49.0-3.2), libboost-filesystem1.49-dev (= 1.49.0-3.2) Conflicts: libboost-wave1.42-dev, libboost-wave1.46-dev, libboost-wave1.48-dev Homepage: http://www.boost.org/libs/wave/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost-wave1.49-dev_1.49.0-3.2_armhf.deb Size: 540368 SHA256: 3ba9cdb639a6fa7fed45de125f864d4fe13945e6bf639b33922d0f43aa5c1de7 SHA1: c79c4aa87b3a4a192dbe85ae915d796db9fe9b96 MD5sum: 645be1b19ed6aefca530bcc27eeed9f1 Description: C99/C++ preprocessor library This package forms part of the Boost C++ Libraries collection. . The Wave C++ preprocessor library is a Standards conformant implementation of the mandated C99/C++ preprocessor functionality packed behind a simple to use interface, which integrates well with the well known idioms of the Standard Template Library (STL). Package: libboost-wave1.49.0 Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1202 Depends: libboost-date-time1.49.0 (>= 1.49.0-1), libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/wave/ Priority: optional Section: libs Filename: pool/main/b/boost1.49/libboost-wave1.49.0_1.49.0-3.2_armhf.deb Size: 255184 SHA256: 25d64306b6f4f4a25018ba86f37bcd52346d8d3c20175c79a8d582ce89a96cce SHA1: dd526c7b60ec5dc6e5e832e4c7252f8373e01c91 MD5sum: 1a5cdc10ea58463ae58f000372fa0a16 Description: C99/C++ preprocessor library This package forms part of the Boost C++ Libraries collection. . The Wave C++ preprocessor library is a Standards conformant implementation of the mandated C99/C++ preprocessor functionality packed behind a simple to use interface, which integrates well with the well known idioms of the Standard Template Library (STL). Package: libboost-wave1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 4288 Depends: libboost1.50-dev (= 1.50.0-1), libboost-serialization1.50-dev (= 1.50.0-1), libboost-wave1.50.0 (= 1.50.0-1), libboost-filesystem1.50-dev (= 1.50.0-1) Conflicts: libboost-wave1.42-dev, libboost-wave1.46-dev, libboost-wave1.48-dev, libboost-wave1.49-dev Homepage: http://www.boost.org/libs/wave/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost-wave1.50-dev_1.50.0-1_armhf.deb Size: 547532 SHA256: 3375013a12626962eb1a7d969698fb3ea73e43b2e704c137cbdb7a5d97711b9f SHA1: ce5520bb96aa64cc44cc217069fa8d42febe4462 MD5sum: c3a8c445fd797df2c8493ea0aa949288 Description: C99/C++ preprocessor library This package forms part of the Boost C++ Libraries collection. . The Wave C++ preprocessor library is a Standards conformant implementation of the mandated C99/C++ preprocessor functionality packed behind a simple to use interface, which integrates well with the well known idioms of the Standard Template Library (STL). Package: libboost-wave1.50.0 Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 1194 Depends: libboost-chrono1.50.0 (>= 1.50.0-1), libboost-date-time1.50.0 (>= 1.50.0-1), libboost-filesystem1.50.0 (>= 1.50.0-1), libboost-system1.50.0 (>= 1.50.0-1), libboost-thread1.50.0 (>= 1.50.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.boost.org/libs/wave/ Priority: optional Section: libs Filename: pool/main/b/boost1.50/libboost-wave1.50.0_1.50.0-1_armhf.deb Size: 252144 SHA256: 4e59dc86acdb0f76a9d7387884017174d85b98b59f5ce201ba4b46a59b242421 SHA1: 7afaa9579bca74c344b5acedcea18cc1de3c82e7 MD5sum: 98bc2315a3dc875b77bb252fc3d0bdc0 Description: C99/C++ preprocessor library This package forms part of the Boost C++ Libraries collection. . The Wave C++ preprocessor library is a Standards conformant implementation of the mandated C99/C++ preprocessor functionality packed behind a simple to use interface, which integrates well with the well known idioms of the Standard Template Library (STL). Package: libboost1.46-all-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 53 Depends: libboost1.46-dev, libboost-date-time1.46-dev, libboost-filesystem1.46-dev, libboost-graph1.46-dev, libboost-graph-parallel1.46-dev, libboost-iostreams1.46-dev, libboost-math1.46-dev, libboost-mpi1.46-dev, libboost-mpi-python1.46-dev, libboost-program-options1.46-dev, libboost-python1.46-dev, libboost-random1.46-dev, libboost-regex1.46-dev, libboost-serialization1.46-dev, libboost-signals1.46-dev, libboost-system1.46-dev, libboost-test1.46-dev, libboost-thread1.46-dev, libboost-wave1.46-dev Homepage: http://www.boost.org/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost1.46-all-dev_1.46.1-8_armhf.deb Size: 26934 SHA256: e7eb725668673871282d911a3d7b08f377c101796d303b3241eb957525a8569c SHA1: 4478b38fa9ee71d87a9a707b2734b01a2c0c72f4 MD5sum: 1fe304d15f1fdfdb43cb45833d0c3616 Description: Boost C++ Libraries development files (ALL) The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This package provides the complete Boost development environment, including all separately-packaged libraries. Package: libboost1.46-dbg Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 107691 Depends: libboost1.46-dev (= 1.46.1-8) Conflicts: libboost1.42-dbg Homepage: http://www.boost.org/ Priority: extra Section: debug Filename: pool/main/b/boost1.46/libboost1.46-dbg_1.46.1-8_armhf.deb Size: 30222198 SHA256: 33614c494aeaadea4c40f02d2eed1f554eabe71efa736e829867968422616fe5 SHA1: 4eeb39fc2e797cd453c50a589f0436352ac5cfbb MD5sum: 92695d5e54d28c0473f8b671d5f529e7 Description: Boost C++ Libraries with debug symbols This package forms part of the Boost C++ Libraries collection. . These libraries are built with debug symbols. They are useful to debug programs which use Boost. These must be used also at build/link time. Package: libboost1.46-dev Source: boost1.46 Version: 1.46.1-8 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 56497 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.6), libstdc++6-4.4-dev | libstdc++-dev Suggests: libboost1.46-doc, libboost-date-time1.46-dev, libboost-filesystem1.46-dev, libboost-graph-parallel1.46-dev, libboost-graph1.46-dev, libboost-iostreams1.46-dev, libboost-math1.46-dev, libboost-mpi1.46-dev, libboost-program-options1.46-dev, libboost-python1.46-dev, libboost-random1.46-dev, libboost-regex1.46-dev, libboost-serialization1.46-dev, libboost-signals1.46-dev, libboost-system1.46-dev, libboost-test1.46-dev, libboost-thread1.46-dev, libboost-wave1.46-dev, xsltproc, doxygen, docbook-xml (>= 4.2), docbook-xsl (>= 1.73.2), default-jdk (>= 1.4), fop (>= 0.94) Conflicts: bjam, boost-build, libboost1.42-dev Replaces: bjam, boost-build Homepage: http://www.boost.org/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.46/libboost1.46-dev_1.46.1-8_armhf.deb Size: 7754978 SHA256: d43feab6694ccc6cbb8ce1dc0f212c932f4811f29ac74d4f055eb5c48b1722e6 SHA1: 7dfa9746905956aa9d7aa469af9c926c75e74382 MD5sum: 0d6d0df7acf9651d7457a44c7c203e32 Description: Boost C++ Libraries development files The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This package provides headers and the auxiliary tools bjam, Boost.Build, bcp, inspect, boostbook and quickbook. . For the following subprojects separate packages exist: date-time, filesystem, graph, iostreams, math, mpi, program_options, python, regex, serialization, signals, system, test, thread, and wave. Package: libboost1.46-doc Source: boost1.46 Version: 1.46.1-8 Installed-Size: 162796 Maintainer: Debian Boost Team Architecture: all Suggests: libboost1.46-dev (>= 1.46.1-8) Conflicts: libboost1.42-doc Size: 35130246 SHA256: 71c00d1988b43c70a15ce3f0d24fbbcd101b3010e768b599078c1101614ce552 SHA1: 032951ec12d203c4ff036523b2edd1e045cc30fb MD5sum: 883c46e13b07bc4cee4f62f4bbf90630 Description: Boost.org libraries documentation The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This is documentation for the libboost1.46-dev package in HTML format. Some pages point to header files provided in libboost1.46-dev package, so it is suggested to install the latter as well. Homepage: http://www.boost.org/libs/ Tag: devel::doc, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/b/boost1.46/libboost1.46-doc_1.46.1-8_all.deb Package: libboost1.48-all-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 53 Depends: libboost1.48-dev, libboost-chrono1.48-dev, libboost-date-time1.48-dev, libboost-filesystem1.48-dev, libboost-graph1.48-dev, libboost-graph-parallel1.48-dev, libboost-iostreams1.48-dev, libboost-locale1.48-dev, libboost-math1.48-dev, libboost-mpi1.48-dev, libboost-mpi-python1.48-dev, libboost-program-options1.48-dev, libboost-python1.48-dev, libboost-random1.48-dev, libboost-regex1.48-dev, libboost-serialization1.48-dev, libboost-signals1.48-dev, libboost-system1.48-dev, libboost-test1.48-dev, libboost-thread1.48-dev, libboost-timer1.48-dev, libboost-wave1.48-dev Homepage: http://www.boost.org/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost1.48-all-dev_1.48.0-3_armhf.deb Size: 27428 SHA256: f4a23214e72a308d99965a304d9ee59e14e08877fe3586aada963e8cc7a97ea8 SHA1: ab8a54ec698569a932a49c82a0db43355af8171b MD5sum: e324458bcac60985db38983979cc2785 Description: Boost C++ Libraries development files (ALL) The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This package provides the complete Boost development environment, including all separately-packaged libraries. Package: libboost1.48-dbg Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 114885 Depends: libboost1.48-dev (= 1.48.0-3) Conflicts: libboost1.42-dbg, libboost1.46-dbg Homepage: http://www.boost.org/ Priority: extra Section: debug Filename: pool/main/b/boost1.48/libboost1.48-dbg_1.48.0-3_armhf.deb Size: 32617128 SHA256: aea721fc28c9616223d91a2f7b533cd1b11771f9269e3c23a239050da6195b8e SHA1: e40db0dd05446d2e83275cee676ed88dd9245799 MD5sum: 700fbb57ba216e9856a8cef2adf91f29 Description: Boost C++ Libraries with debug symbols This package forms part of the Boost C++ Libraries collection. . These libraries are built with debug symbols. They are useful to debug programs which use Boost. These must be used also at build/link time. Package: libboost1.48-dev Source: boost1.48 Version: 1.48.0-3 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 89228 Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libstdc++6-4.4-dev | libstdc++-dev Suggests: libboost1.48-doc, libboost-chrono1.48-dev, libboost-date-time1.48-dev, libboost-filesystem1.48-dev, libboost-graph-parallel1.48-dev, libboost-graph1.48-dev, libboost-iostreams1.48-dev, libboost-locale1.48-dev, libboost-math1.48-dev, libboost-mpi1.48-dev, libboost-program-options1.48-dev, libboost-python1.48-dev, libboost-random1.48-dev, libboost-regex1.48-dev, libboost-serialization1.48-dev, libboost-signals1.48-dev, libboost-system1.48-dev, libboost-test1.48-dev, libboost-thread1.48-dev, libboost-timer1.48-dev, libboost-wave1.48-dev, xsltproc, doxygen, docbook-xml (>= 4.2), docbook-xsl (>= 1.73.2), default-jdk (>= 1.4), fop (>= 0.94) Conflicts: bjam, boost-build, libboost1.42-dev, libboost1.46-dev Replaces: bjam, boost-build Homepage: http://www.boost.org/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.48/libboost1.48-dev_1.48.0-3_armhf.deb Size: 9035754 SHA256: 7822b2354ce8796eb1b0841ad3b4f3eb9a6bbe77aa7286b0f18c1c79f1fe6455 SHA1: 1008884ab3f361bcccb7b973382201c4d4c23dcf MD5sum: dec1187fb133317c2b9743aa018933dc Description: Boost C++ Libraries development files The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This package provides headers and the auxiliary tools bjam, Boost.Build, bcp, inspect, boostbook and quickbook. . For the following subprojects separate packages exist: chrono, date-time, filesystem, graph, iostreams, locale, math, mpi, program_options, python, regex, serialization, signals, system, test, thread, timer, and wave. Package: libboost1.48-doc Source: boost1.48 Version: 1.48.0-3 Architecture: all Maintainer: Debian Boost Team Installed-Size: 188862 Suggests: libboost1.48-dev (>= 1.48.0-3) Conflicts: libboost1.42-doc, libboost1.46-doc Homepage: http://www.boost.org/libs/ Priority: optional Section: doc Filename: pool/main/b/boost1.48/libboost1.48-doc_1.48.0-3_all.deb Size: 40823490 SHA256: f2ea03549437495804922ce2b376050f2fba61d77c212508f9bcd7e1a8e2879a SHA1: 431b076cd8bc2adeb2a093ce1a097466ea1657b8 MD5sum: c4fd7740bca9cdefda423743cbc6f4b9 Description: Boost.org libraries documentation The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This is documentation for the boost libraries in HTML format. Some pages point to header files provided in the corresponding -dev package, so it is suggested to install the latter as well. Package: libboost1.49-all-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 54 Depends: libboost1.49-dev, libboost-chrono1.49-dev, libboost-date-time1.49-dev, libboost-filesystem1.49-dev, libboost-graph1.49-dev, libboost-graph-parallel1.49-dev, libboost-iostreams1.49-dev, libboost-locale1.49-dev, libboost-math1.49-dev, libboost-mpi1.49-dev, libboost-mpi-python1.49-dev, libboost-program-options1.49-dev, libboost-python1.49-dev, libboost-random1.49-dev, libboost-regex1.49-dev, libboost-serialization1.49-dev, libboost-signals1.49-dev, libboost-system1.49-dev, libboost-test1.49-dev, libboost-thread1.49-dev, libboost-timer1.49-dev, libboost-wave1.49-dev Homepage: http://www.boost.org/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost1.49-all-dev_1.49.0-3.2_armhf.deb Size: 27916 SHA256: d71d32c99eee3e843054201a5b609bf5c8922c4e2fd8b318f846ac09bf7d3f1c SHA1: e71fdb1183856af87a9e9f4c56a80e226a48a6d1 MD5sum: 491efac848db64491c48f38220dba86e Description: Boost C++ Libraries development files (ALL) The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This package provides the complete Boost development environment, including all separately-packaged libraries. Package: libboost1.49-dbg Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 115829 Depends: libboost1.49-dev (= 1.49.0-3.2) Conflicts: libboost1.42-dbg, libboost1.46-dbg, libboost1.48-dbg Homepage: http://www.boost.org/ Priority: extra Section: debug Filename: pool/main/b/boost1.49/libboost1.49-dbg_1.49.0-3.2_armhf.deb Size: 32819458 SHA256: 2e4f3136760cdf8ec1f46a01a55e66ffd19ca791eb1fba94f9036a93e367df9e SHA1: cf430529c1e0ab8281a24d00d57b1565c995583e MD5sum: b9c518b3bbe630a4b6e44dab0a2dd941 Description: Boost C++ Libraries with debug symbols This package forms part of the Boost C++ Libraries collection. . These libraries are built with debug symbols. They are useful to debug programs which use Boost. These must be used also at build/link time. Package: libboost1.49-dev Source: boost1.49 Version: 1.49.0-3.2 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 90285 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.6), libstdc++6-4.4-dev | libstdc++-dev Suggests: libboost1.49-doc, libboost-chrono1.49-dev, libboost-date-time1.49-dev, libboost-filesystem1.49-dev, libboost-graph-parallel1.49-dev, libboost-graph1.49-dev, libboost-iostreams1.49-dev, libboost-locale1.49-dev, libboost-math1.49-dev, libboost-mpi1.49-dev, libboost-program-options1.49-dev, libboost-python1.49-dev, libboost-random1.49-dev, libboost-regex1.49-dev, libboost-serialization1.49-dev, libboost-signals1.49-dev, libboost-system1.49-dev, libboost-test1.49-dev, libboost-thread1.49-dev, libboost-timer1.49-dev, libboost-wave1.49-dev, xsltproc, doxygen, docbook-xml (>= 4.2), docbook-xsl (>= 1.73.2), default-jdk (>= 1.4), fop (>= 0.94) Conflicts: bjam, boost-build, libboost1.42-dev, libboost1.46-dev, libboost1.48-dev Replaces: bjam, boost-build Homepage: http://www.boost.org/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.49/libboost1.49-dev_1.49.0-3.2_armhf.deb Size: 9158396 SHA256: f1f73097f167ae764b9df038a96b3cd622414cfe18ce720d44e2626d9f53defd SHA1: 31e6ca74537961ae8b1dba9b16fc38f909c52772 MD5sum: 603eb276b2ec337d94aee30912bdb466 Description: Boost C++ Libraries development files The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This package provides headers and the auxiliary tools bjam, Boost.Build, bcp, inspect, boostbook and quickbook. . For the following subprojects separate packages exist: chrono, date-time, filesystem, graph, iostreams, locale, math, mpi, program_options, python, regex, serialization, signals, system, test, thread, timer, and wave. Package: libboost1.49-doc Source: boost1.49 Version: 1.49.0-3.2 Installed-Size: 190810 Maintainer: Debian Boost Team Architecture: all Suggests: libboost1.49-dev (>= 1.49.0-3.2) Conflicts: libboost1.42-doc, libboost1.46-doc, libboost1.48-doc Size: 41160706 SHA256: c53b6427422f39b856f335567eedbef6a3c54c9bccb37b9009a56b450725f21a SHA1: 255749ab8330888f51bfde2979c11e383bdc2dcd MD5sum: cd3f64099029f9f9b31d5be59e9bc0c4 Description: Boost.org libraries documentation The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This is documentation for the boost libraries in HTML format. Some pages point to header files provided in the corresponding -dev package, so it is suggested to install the latter as well. Homepage: http://www.boost.org/libs/ Section: doc Priority: optional Filename: pool/main/b/boost1.49/libboost1.49-doc_1.49.0-3.2_all.deb Package: libboost1.50-all-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 53 Depends: libboost1.50-dev, libboost-chrono1.50-dev, libboost-date-time1.50-dev, libboost-exception1.50-dev, libboost-filesystem1.50-dev, libboost-graph1.50-dev, libboost-graph-parallel1.50-dev, libboost-iostreams1.50-dev, libboost-locale1.50-dev, libboost-math1.50-dev, libboost-mpi1.50-dev, libboost-mpi-python1.50-dev, libboost-program-options1.50-dev, libboost-python1.50-dev, libboost-random1.50-dev, libboost-regex1.50-dev, libboost-serialization1.50-dev, libboost-signals1.50-dev, libboost-system1.50-dev, libboost-test1.50-dev, libboost-thread1.50-dev, libboost-timer1.50-dev, libboost-wave1.50-dev Homepage: http://www.boost.org/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost1.50-all-dev_1.50.0-1_armhf.deb Size: 27800 SHA256: e9bbad5561be229c3760e63dd64681de904628d1d81cd82ac2b97e7af403f32e SHA1: 3c7e9a2385722b1921cda4ecbca201154c7f27df MD5sum: bb2a2a0013803ea93c667614351b597a Description: Boost C++ Libraries development files (ALL) The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This package provides the complete Boost development environment, including all separately-packaged libraries. Package: libboost1.50-dbg Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 116774 Depends: libboost1.50-dev (= 1.50.0-1) Conflicts: libboost1.42-dbg, libboost1.46-dbg, libboost1.48-dbg, libboost1.49-dbg Homepage: http://www.boost.org/ Priority: extra Section: debug Filename: pool/main/b/boost1.50/libboost1.50-dbg_1.50.0-1_armhf.deb Size: 33321638 SHA256: 734b84437951e50d2364cd5e34cd9391ba0163ebe392976efb5e37daf1fb5ac3 SHA1: 061168013dd9cab149db173e958190a98c001e88 MD5sum: 25e568e1b2c4f109f8da94218d4955da Description: Boost C++ Libraries with debug symbols This package forms part of the Boost C++ Libraries collection. . These libraries are built with debug symbols. They are useful to debug programs which use Boost. These must be used also at build/link time. Package: libboost1.50-dev Source: boost1.50 Version: 1.50.0-1 Architecture: armhf Maintainer: Debian Boost Team Installed-Size: 91036 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.6), libstdc++6-4.4-dev | libstdc++-dev Suggests: libboost1.50-doc, libboost-chrono1.50-dev, libboost-date-time1.50-dev, libboost-exception1.50-dev, libboost-filesystem1.50-dev, libboost-graph1.50-dev, libboost-graph-parallel1.50-dev, libboost-iostreams1.50-dev, libboost-locale1.50-dev, libboost-math1.50-dev, libboost-mpi1.50-dev, libboost-program-options1.50-dev, libboost-python1.50-dev, libboost-random1.50-dev, libboost-regex1.50-dev, libboost-serialization1.50-dev, libboost-signals1.50-dev, libboost-system1.50-dev, libboost-test1.50-dev, libboost-thread1.50-dev, libboost-timer1.50-dev, libboost-wave1.50-dev, xsltproc, doxygen, docbook-xml (>= 4.2), docbook-xsl (>= 1.73.2), default-jdk (>= 1.4), fop (>= 0.94) Conflicts: bjam, boost-build, libboost1.42-dev, libboost1.46-dev, libboost1.48-dev, libboost1.49-dev Replaces: bjam, boost-build Homepage: http://www.boost.org/ Priority: optional Section: libdevel Filename: pool/main/b/boost1.50/libboost1.50-dev_1.50.0-1_armhf.deb Size: 9317348 SHA256: e228a42ff1f26005e8d580b94127e0a2cc68ad6c65ead5f419f4224f066a61c2 SHA1: f751030644fbcf4a44bf310376929b96b97c4bc1 MD5sum: 057fe3642d86e697a3fc4ddcd955a71a Description: Boost C++ Libraries development files The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This package provides headers and the auxiliary tools bjam, Boost.Build, bcp, inspect, boostbook and quickbook. . For the following subprojects separate packages exist: chrono, date-time, exception filesystem, graph, iostreams, locale, math, mpi, program_options, python, regex, serialization, signals, system, test, thread, timer, and wave. Package: libboost1.50-doc Source: boost1.50 Version: 1.50.0-1 Installed-Size: 194279 Maintainer: Debian Boost Team Architecture: all Suggests: libboost1.50-dev (>= 1.50.0-1) Conflicts: libboost1.42-doc, libboost1.46-doc, libboost1.48-doc, libboost1.49-doc Size: 41983840 SHA256: 10617ac5ddb3a6d0e52b2d24e8718241ce03efcf5e8000aec50a7071c9e481c4 SHA1: 03e3368acf6b2be13991790399ea4c39e0b753ac MD5sum: 3c7e80feffce2d3622412bad4348746f Description: Boost.org libraries documentation The Boost web site provides free, peer-reviewed, portable C++ source libraries. The emphasis is on libraries which work well with the C++ Standard Library. One goal is to establish "existing practice" and provide reference implementations so that the Boost libraries are suitable for eventual standardization. Some of the libraries have already been proposed for inclusion in the C++ Standards Committee's upcoming C++ Standard Library Technical Report. . This is documentation for the boost libraries in HTML format. Some pages point to header files provided in the corresponding -dev package, so it is suggested to install the latter as well. Homepage: http://www.boost.org/libs/ Section: doc Priority: optional Filename: pool/main/b/boost1.50/libboost1.50-doc_1.50.0-1_all.deb Package: libbot-basicbot-perl Version: 0.7-2 Installed-Size: 140 Maintainer: Stephen Gran Architecture: all Depends: perl (>= 5.6.0-16), libpoe-component-irc-perl (>= 4.0), libpoe-perl (>= 0.22) Size: 38120 SHA256: 26167399332e6d6c9a8c5779c0511ec492878cefc23c8748989a266891c2d9f7 SHA1: 75a82995129cb5af9c8525df39de63b948800aa8 MD5sum: 805e7d734aeda670273adaa94e972f48 Description: simple irc bot baseclass Basic bot system designed to make it easy to do simple bots, optionally forking longer processes (like searches) concurrently in the background. . Designed primarily to be used as a superclass for other programs. Homepage: http://search.cpan.org/dist/Bot-BasicBot/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbot-basicbot-perl/libbot-basicbot-perl_0.7-2_all.deb Package: libbot-training-perl Version: 0.04-1 Installed-Size: 88 Maintainer: Debian Perl Group Architecture: all Depends: perl, libany-moose-perl, libdir-self-perl, libfile-sharedir-perl, libmoose-perl, libmoosex-getopt-perl, libmouse-perl, libmousex-getopt-perl, libnamespace-clean-perl, perl (>= 5.10.1) | libautodie-perl Size: 8812 SHA256: e4a9bc3cb07302d7a3377106d82e75f8bedbea0629d66cf7faf768b854c72a34 SHA1: 09f1cf453e853a21361fcf87d155f65f4bbb6ba1 MD5sum: 8f5702b36e678c8b02713e9e52274d5c Description: text training material for bots Markov bots like Hailo and AI::MegaHAL are fun. But to get them working you either need to train them on existing training material or make your own. . This module provides a pluggable way to install already existing training files via the CPAN. It also comes with a command-line interface called bot-training. Homepage: http://search.cpan.org/dist/Bot-Training/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbot-training-perl/libbot-training-perl_0.04-1_all.deb Package: libbotan-1.10-0 Source: botan1.10 Version: 1.10.5-1+deb7u4 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 2811 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Homepage: http://botan.randombit.net/ Priority: optional Section: libs Filename: pool/main/b/botan1.10/libbotan-1.10-0_1.10.5-1+deb7u4_armhf.deb Size: 1233726 SHA256: ec81eb3ab632b9c5c02bd9768b44709afdc2565f2168859b49ba8b70fb08e330 SHA1: 666b60bb4140457f99d6fbe5ce106ba7dca0a2f2 MD5sum: 91be9262c209481b5667eb7565d1c0c6 Description: multiplatform crypto library Botan is a C++ library which provides support for many common cryptographic operations, including encryption, authentication, and X.509v3 certificates and CRLs. A wide variety of algorithms is supported, including RSA, DSA, DES, AES, MD5, and SHA-1. Package: libbotan-1.8.13 Source: botan1.8 Version: 1.8.13-4 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 2559 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Conflicts: libbotan-1.8.2 (>= 1.8.13), libbotan1.8 Replaces: libbotan1.8 Homepage: http://botan.randombit.net/ Priority: optional Section: libs Filename: pool/main/b/botan1.8/libbotan-1.8.13_1.8.13-4_armhf.deb Size: 1059762 SHA256: 34edf1710c58e72316189d423c17501d013c0acfc844b21e9c7cfe9d447d9f9f SHA1: a4aa18c9c15aff01ed2ed59d42301aaaede091e0 MD5sum: 08b2445cbd4d99e0f5da35461ca59018 Description: multiplatform crypto library Botan is a C++ library which provides support for many common cryptographic operations, including encryption, authentication, and X.509v3 certificates and CRLs. A wide variety of algorithms is supported, including RSA, DSA, DES, AES, MD5, and SHA-1. Package: libbotan1.10-dev Source: botan1.10 Version: 1.10.5-1+deb7u4 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 8803 Depends: libbotan-1.10-0 (= 1.10.5-1+deb7u4) Conflicts: libbotan1.6-dev Replaces: libbotan1.6-dev Homepage: http://botan.randombit.net/ Priority: optional Section: libdevel Filename: pool/main/b/botan1.10/libbotan1.10-dev_1.10.5-1+deb7u4_armhf.deb Size: 2556938 SHA256: ba9e2f1f2e9ed048d81122569889d25ca44c86c7a3832f62b888bcf14b3a7682 SHA1: 78dc2a7956c62f9453f892a21adcd93999bf1388 MD5sum: cf96a172944db8b964980df0a8735752 Description: multiplatform crypto library (development) Botan is a C++ library which provides support for many common cryptographic operations, including encryption, authentication, and X.509v3 certificates and CRLs. A wide variety of algorithms is supported, including RSA, DSA, DES, AES, MD5, and SHA-1. . This package contains the development files. Package: libbotan1.8-dev Source: botan1.8 Version: 1.8.13-4 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 9640 Depends: libbotan-1.8.13 (= 1.8.13-4) Conflicts: libbotan1.6-dev Replaces: libbotan1.6-dev Homepage: http://botan.randombit.net/ Priority: optional Section: libdevel Filename: pool/main/b/botan1.8/libbotan1.8-dev_1.8.13-4_armhf.deb Size: 3321982 SHA256: c2640cd2391f0578be381c260d20423a3233b0e4b04eeff7073ef97062dd2554 SHA1: c62ccd7131a930dacf572ea066ba2d115b997115 MD5sum: 5f60f8cdeed349b502f9e0a0444b14de Description: multiplatform crypto library (development) Botan is a C++ library which provides support for many common cryptographic operations, including encryption, authentication, and X.509v3 certificates and CRLs. A wide variety of algorithms is supported, including RSA, DSA, DES, AES, MD5, and SHA-1. . This package contains the development files. Package: libboulder-perl Version: 1.30-4 Installed-Size: 496 Maintainer: Debian Perl Group Architecture: all Depends: perl, libxml-parser-perl Size: 166766 SHA256: 90263ecaf948610b216d1c1792c182c759bdea9c91a23581bdcaca8aa508f778 SHA1: 65d4ac9d1d77dab46eff237bfa19e3cb406ddda5 MD5sum: 01c4fad21a34bacb7447b43e7715245f Description: Perl module for hierarchical tag/value structures Boulder provides a simple stream-oriented format for transmitting data objects between one or more processes. It does not provide for the serialization of Perl objects the way FreezeThaw or Data::Dumper do, but it does provide the advantage of being language independent. . In addition to a stream-oriented interface, Boulder comes with a simple record-oriented database-oriented interface, Boulder::Store, which provides query and search capabilities comparable to many flat file DBMS systems. Homepage: http://search.cpan.org/dist/Boulder/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libb/libboulder-perl/libboulder-perl_1.30-4_all.deb Package: libbox-dev Source: ccseapps Version: 2.5-2 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 1972 Homepage: https://ccse.lbl.gov/Software/index.html Priority: optional Section: libdevel Filename: pool/main/c/ccseapps/libbox-dev_2.5-2_armhf.deb Size: 553536 SHA256: 36060b01ffecca30b54581ed287bbbbf98ae031c6f628c7bd35c2f3bbd11a77f SHA1: 03a177bbeda621c3b6efea9d172cd04a85ee780c MD5sum: 788c47eed65f4f74f1b85d37d4944659 Description: Boxlib: C++ Block-structured finite difference algorithms library BoxLib is a foundation library of C++ classes that facilitate the development of block-structured finite difference algorithms such as arise in the solution of systems of partial differential equations. BoxLib is particularly useful in designing and building adaptive algorithms. BoxLib contains rich data structures for describing operations which take place on data defined in regions of index space that are unions of non-intersecting rectangles. Because we are interested in unsteady problems where the regions of interest may change in response to an evolving solution, support is provided in BoxLib for efficiently building and destroying the data structures. All constructs in BoxLib are designed to run efficiently in parallel. . BoxLib is the foundation library for the PMAMR (porous media) , (low Mach number combustion), CASTRO (compressible astrophysics) codes. and NYX (cosmology) codes. An analogous version of BoxLib written entirely in Fortran90 is the foundation library for the MAESTRO code, for example. Package: libbox2d-dev Source: box2d Version: 2.0.1+dfsg1-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 467 Depends: libbox2d0 (= 2.0.1+dfsg1-1) Homepage: http://www.box2d.org/ Priority: optional Section: libdevel Filename: pool/main/b/box2d/libbox2d-dev_2.0.1+dfsg1-1_armhf.deb Size: 120548 SHA256: 5a992bde00aeb4a542b7b9e493609e92446154f28dee1112d01d7efb3922bb8e SHA1: 200b29f96cf9955024606dcaa78b2b999dfcd066 MD5sum: f8245f4e4531f6dd89f09462bdd8a583 Description: 2D physics engine - development files 2D rigid body simulation library for games. Programmers can use it in their games to make objects move in believable ways and make the world seem more interactive. From the game's point of view a physics engine is just a system for procedural animation. Rather than paying (or begging) an animator to move your actors around, you can let Sir Isaac Newton do the directing. . This package contains the development libraries and headers. Package: libbox2d0 Source: box2d Version: 2.0.1+dfsg1-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 155 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.box2d.org/ Priority: optional Section: libs Filename: pool/main/b/box2d/libbox2d0_2.0.1+dfsg1-1_armhf.deb Size: 65540 SHA256: 0a6cfc565d971ed74ca98b050eb00eebd2c2b93622fd52835179b53990697bf2 SHA1: 7be1142c7150216f30133717d72b24cabe4693f9 MD5sum: 5edbef96f4033a4e5a74e82dc7243366 Description: 2D physics engine 2D rigid body simulation library for games. Programmers can use it in their games to make objects move in believable ways and make the world seem more interactive. From the game's point of view a physics engine is just a system for procedural animation. Rather than paying (or begging) an animator to move your actors around, you can let Sir Isaac Newton do the directing. Package: libbox2d0-dbg Source: box2d Version: 2.0.1+dfsg1-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 898 Depends: libbox2d0 (= 2.0.1+dfsg1-1) Homepage: http://www.box2d.org/ Priority: extra Section: debug Filename: pool/main/b/box2d/libbox2d0-dbg_2.0.1+dfsg1-1_armhf.deb Size: 307760 SHA256: f5c136c0bc73dfb646c23dc0d9b5faabeca676dea6a30699138bfb501dff20be SHA1: 831c8280e5af59903a0c357b52c726ba4c3369ca MD5sum: 6df2bfba9249dbc34f80f1763a5f5921 Description: 2D physics engine - debugging symbols 2D rigid body simulation library for games. Programmers can use it in their games to make objects move in believable ways and make the world seem more interactive. From the game's point of view a physics engine is just a system for procedural animation. Rather than paying (or begging) an animator to move your actors around, you can let Sir Isaac Newton do the directing. . This package contains the debugging symbols. Package: libbpp-core-dev Source: libbpp-core Version: 2.0.3-1 Architecture: armhf Maintainer: Loic Dachary Installed-Size: 5909 Depends: libbpp-core2 (= 2.0.3-1) Priority: optional Section: libdevel Filename: pool/main/libb/libbpp-core/libbpp-core-dev_2.0.3-1_armhf.deb Size: 1448212 SHA256: 8945b6eaff1a2f7d19f3a650ab6b2b07256cfbf17c5ec3b210cd2de4100270f8 SHA1: 5bc321b8eedb872d6841b9bac6739635fd476d77 MD5sum: 98d06faeea4ae916dd19d5b5391e1b80 Description: Bio++ Core library development files. Contains the Bio++ core classes. Package: libbpp-core2 Source: libbpp-core Version: 2.0.3-1 Architecture: armhf Maintainer: Loic Dachary Installed-Size: 1409 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Priority: optional Section: libs Filename: pool/main/libb/libbpp-core/libbpp-core2_2.0.3-1_armhf.deb Size: 544246 SHA256: aa115aa0e2c5ac5dbbd92eee36d43cc2e5233704bc2c4defe020aae25da726eb SHA1: c7a2e0c367dc63ddd3a86d39531574338d328ed5 MD5sum: 64a1fba83e5d4fdca8c6a3da76ffceb9 Description: Bio++ Core library. Contains the Bio++ core classes. Package: libbpp-phyl-dev Source: libbpp-phyl Version: 2.0.3-1 Architecture: armhf Maintainer: Loic Dachary Installed-Size: 22588 Depends: libbpp-phyl9 (= 2.0.3-1), libbpp-seq-dev (>= 2.0.3) Priority: optional Section: libdevel Filename: pool/main/libb/libbpp-phyl/libbpp-phyl-dev_2.0.3-1_armhf.deb Size: 5115490 SHA256: d514ca1e7b60e739384dd660c1d18a983966ea32ff14d8f35e2663b9e11cf158 SHA1: 2c89368818fa531025d562e6e6c460d6d30f9ac4 MD5sum: a42054496f13b08add5d51af308b625d Description: Bio++ Phylogenetic library development files. Contains the Bio++ classes for phylogenetics. Package: libbpp-phyl9 Source: libbpp-phyl Version: 2.0.3-1 Architecture: armhf Maintainer: Loic Dachary Installed-Size: 4518 Depends: libbpp-core2, libbpp-seq9 (>= 2.0.3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Priority: optional Section: libs Filename: pool/main/libb/libbpp-phyl/libbpp-phyl9_2.0.3-1_armhf.deb Size: 1451888 SHA256: e3f29c5191c2d5813cfa2ef09de09ed1128899f7cc4e704e8b24ffaae82a3e9a SHA1: cb8ba95bf28e147fba4af5eb4dacd0d200aadcc1 MD5sum: ac82bfd8858efc7663493bcee3798b5e Description: Bio++ Phylogenetic library. Contains the Bio++ classes for phylogenetics. Package: libbpp-popgen-dev Source: libbpp-popgen Version: 2.0.3-1 Architecture: armhf Maintainer: Loic Dachary Installed-Size: 1840 Depends: libbpp-popgen6 (= 2.0.3-1), libbpp-core-dev (>= 2.0.1), libbpp-seq-dev (>= 2.0.1) Priority: optional Section: libdevel Filename: pool/main/libb/libbpp-popgen/libbpp-popgen-dev_2.0.3-1_armhf.deb Size: 444766 SHA256: 1904951dab57b175d9fcc0a3c30d3a04351682fe91e9d5b01e3d588c9284dcb6 SHA1: 0e3319a218c4dfa4dc23a1e13faef3fe2ec5235d MD5sum: ad13da9a638c727636887d1e8054b609 Description: Bio++ Population Genetics library development files. Contains the Bio++ classes for population genetics. Package: libbpp-popgen6 Source: libbpp-popgen Version: 2.0.3-1 Architecture: armhf Maintainer: Loic Dachary Installed-Size: 638 Depends: libbpp-core2 (>= 2.0.1), libbpp-seq9 (>= 2.0.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Priority: optional Section: libs Filename: pool/main/libb/libbpp-popgen/libbpp-popgen6_2.0.3-1_armhf.deb Size: 236930 SHA256: 4602857d53b98eac8a0d48bcd0b6da2154832a4dc77b1d2aa1081296e369e935 SHA1: f052b194b50fef67878d1510fc6c88294f7a4361 MD5sum: dfc26c35038ba00c60083999f6e8cfff Description: Bio++ Population Genetics library. Contains the Bio++ classes for population genetics. Package: libbpp-qt-dev Source: libbpp-qt Version: 2.0.2-1 Architecture: armhf Maintainer: Loic Dachary Installed-Size: 333 Depends: libbpp-qt1 (= 2.0.2-1), libbpp-phyl-dev (>= 2.0.3), libqt4-dev (>= 4.6.0) Priority: optional Section: libdevel Filename: pool/main/libb/libbpp-qt/libbpp-qt-dev_2.0.2-1_armhf.deb Size: 75732 SHA256: 8e0a4d0312bc680ee9c4eedb3e62f7297aac4bd988b9c77d7702183ffde511c3 SHA1: 98f90d736cfd032bb537a1013aaec6c83e7dd87f MD5sum: 5f3ad1a57d377fe7ab28b8d59bdf406c Description: Bio++ Qt Graphic classes library development files. Contains the Bio++ graphical classes developed with Qt. Package: libbpp-qt1 Source: libbpp-qt Version: 2.0.2-1 Architecture: armhf Maintainer: Loic Dachary Installed-Size: 140 Depends: libbpp-core2, libbpp-phyl9 (>= 2.0.3), libbpp-seq9, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Priority: optional Section: libs Filename: pool/main/libb/libbpp-qt/libbpp-qt1_2.0.2-1_armhf.deb Size: 44714 SHA256: c90ee6bc1502d9be402cc17c7361da8608c7edd3c86b462202c0769c9e411cad SHA1: 4d08908b3a385d25367818f1df5f91b453f7d726 MD5sum: bb060ca22893661c837fc6892393d811 Description: Bio++ Qt Graphic classes library. Contains the Bio++ graphical classes developed with Qt. Package: libbpp-raa-dev Source: libbpp-raa Version: 2.0.3-1 Architecture: armhf Maintainer: Loic Dachary Installed-Size: 292 Depends: libbpp-raa1 (= 2.0.3-1), zlib1g-dev (>= 1.2.3), libbpp-seq-dev (>= 2.0.3) Priority: optional Section: libdevel Filename: pool/main/libb/libbpp-raa/libbpp-raa-dev_2.0.3-1_armhf.deb Size: 72040 SHA256: 38870baf530032626b66d68ec2c7a40c81555ab52800bf7130921d6c61ce9a92 SHA1: a2983e21c84c4146af14590051c190a4dfb0c75e MD5sum: ae0aa9f14887013094e15bdecebbdad6 Description: Bio++ Remote Acnuc Access library development files. This library contains utilitary and classes to query public databases (GenBank, EMBL, SwissProt, etc) using acnuc. It is part of the Bio++ project. Package: libbpp-raa1 Source: libbpp-raa Version: 2.0.3-1 Architecture: armhf Maintainer: Loic Dachary Installed-Size: 165 Depends: libbpp-seq9 (>= 2.0.3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Priority: optional Section: libs Filename: pool/main/libb/libbpp-raa/libbpp-raa1_2.0.3-1_armhf.deb Size: 48358 SHA256: f16929ba0caa6f5d00d66ebb0960200417613e760210862b162dd6cbcb82f278 SHA1: 18771e69118ab83f7dbca7394246a7dd33a86d02 MD5sum: 788c740f0aba114bcb1420692ca94e05 Description: Bio++ Remote Acnuc Access library. This library contains utilitary and classes to query public databases (GenBank, EMBL, SwissProt, etc) using acnuc. It is part of the Bio++ project. Package: libbpp-seq-dev Source: libbpp-seq Version: 2.0.3-1 Architecture: armhf Maintainer: Loic Dachary Installed-Size: 5742 Depends: libbpp-seq9 (= 2.0.3-1), libbpp-core-dev (>= 2.0.3) Priority: optional Section: libdevel Filename: pool/main/libb/libbpp-seq/libbpp-seq-dev_2.0.3-1_armhf.deb Size: 1378026 SHA256: 4c287d759a64b5353341f0dcb97b63c7587f301586d6e13c55585b2c48c03f87 SHA1: 97dddce301975fc7bc7fa6f4ad99b241e0134a36 MD5sum: 507d031adafc00c1049c84590f0c4db0 Description: Bio++ Sequence library development files. Contains the Bio++ classes for sequence analysis. Package: libbpp-seq9 Source: libbpp-seq Version: 2.0.3-1 Architecture: armhf Maintainer: Loic Dachary Installed-Size: 1336 Depends: libbpp-core2 (>= 2.0.3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Priority: optional Section: libs Filename: pool/main/libb/libbpp-seq/libbpp-seq9_2.0.3-1_armhf.deb Size: 509740 SHA256: 3692376c5e4bde62a06304a364ebf62f401480ce7769b674277249afc149d399 SHA1: 43d94b5822d003db54e64ad2b69d8c7bbc586277 MD5sum: feae762087bfcb5ce42b636c232deb47 Description: Bio++ Sequence library. Contains the Bio++ classes for sequence analysis. Package: libbrahe-1.3-3 Source: libbrahe Version: 1.3.2-3 Architecture: armhf Maintainer: Al Stone Installed-Size: 47 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Priority: optional Section: libs Filename: pool/main/libb/libbrahe/libbrahe-1.3-3_1.3.2-3_armhf.deb Size: 13172 SHA256: cf81da779866fe4790fb75780fed26bae439e2e1dc40f494d5b7054e15d6f77e SHA1: 8b9fab487ca90daca07f2eda69792b8ace908774 MD5sum: 63e1c6159f333e4d327bd54373f04992 Description: heterogeneous C library of numeric functions This library provides: . * a function for rounding floating point values to a specific number of digits * several pseudo-random number generators, including the Mersenne Twister, various algorithms by Marsaglia, and ISAAC * least common multiple and greatest common denominator functions * a few trigonometry functions for finding the inversions of hyperbolic sine, cosine, and tangent . This library is also used by libevocosm, which is in turn the foundation for Acovea, used to determine optimal compiler optimizations Package: libbrahe-dev Source: libbrahe Version: 1.3.2-3 Architecture: armhf Maintainer: Al Stone Installed-Size: 115 Depends: libbrahe-1.3-3 (= 1.3.2-3) Priority: optional Section: libdevel Filename: pool/main/libb/libbrahe/libbrahe-dev_1.3.2-3_armhf.deb Size: 39504 SHA256: 7d44007982330a8995fe48422f4e58e29b7d047048f2c1be4440f16ffa4cb9b4 SHA1: 6b813ef87cbc8a5f4acadbce276daa1d41c15445 MD5sum: d1210a1106725c02da7deecb41706723 Description: heterogeneous C library of numeric functions This library provides: . * a function for rounding floating point values to a specific number of digits * several pseudo-random number generators, including the Mersenne Twister, various algorithms by Marsaglia, and ISAAC * least common multiple and greatest common denominator functions * a few trigonometry functions for finding the inversions of hyperbolic sine, cosine, and tangent . This library is also used by libevocosm, which is in turn the foundation for Acovea, used to determine optimal compiler optimizations . This package contains the files needed to develop code using libbrahe. Package: libbrailleutils-java Source: brailleutils Version: 1.2~b-2 Installed-Size: 637 Maintainer: Sebastian Humenda Architecture: all Depends: libsaxonb-java, libstax-java, libjing-java, libxerces2-java, libjaxp1.3-java, libisorelax-java Size: 567478 SHA256: f2c9ea5edfe26d225915da57c0656f6176c044fc92448604a0353c2e1c9f242e SHA1: 05ebfe63405e3da4981251e93eb8077f712e3cfc MD5sum: 4f19d207e1efbdd05db7d5dda10232f5 Description: cross platform library for embossing/converting PEF-files BrailleUtils provides a cross platform API for embossing and converting braille in PEF-format. It also supports conversion to and from commonly used "braille" text formats. . The library is written in Java and contains basic user interfaces for common operations. Homepage: http://brailleutils.googlecode.com Tag: role::shared-lib Section: java Priority: extra Filename: pool/main/b/brailleutils/libbrailleutils-java_1.2~b-2_all.deb Package: libbrailleutils-java-doc Source: brailleutils Version: 1.2~b-2 Installed-Size: 48 Maintainer: Sebastian Humenda Architecture: all Size: 7888 SHA256: 701cae8ab98a95b70ef53763072f3b41e3255125c9ed38520f81ab9ec7fb2555 SHA1: d9687212ce698d46692af71de246216fa5078ede MD5sum: e376a73ba6170c5ea8631883b5e5cb8d Description: cross platform library for embossing/converting PEF-files BrailleUtils provides a cross platform API for embossing and converting braille in PEF-format. It also supports conversion to and from commonly used "braille" text formats. . The library is written in Java and contains basic user interfaces for common operations. . This file contains the user and the developer documentation. Homepage: http://brailleutils.googlecode.com Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/b/brailleutils/libbrailleutils-java-doc_1.2~b-2_all.deb Package: libbrasero-media3-1 Source: brasero Version: 3.4.1-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1579 Depends: libatk1.0-0 (>= 1.12.4), libburn4 (>= 1.2.2), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.12), libgstreamer0.10-0 (>= 0.10.15), libgtk-3-0 (>= 3.0.0), libisofs6 (>= 1.2.2), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libtotem-plparser17 (>= 2.32), libxml2 (>= 2.7.4), brasero-common (= 3.4.1-4) Recommends: gnome-icon-theme, gstreamer0.10-plugins-good, gstreamer0.10-plugins-ugly, cdrdao (>= 1:1.2.3) Suggests: gstreamer0.10-plugins-bad Homepage: http://www.gnome.org/projects/brasero/ Priority: optional Section: libs Filename: pool/main/b/brasero/libbrasero-media3-1_3.4.1-4_armhf.deb Size: 804482 SHA256: 4b61f0b87fe99e39607c5beb70a53ebd82fb4ee45f6cc7aa450fe2b5cfe0b165 SHA1: 86c07921553c1376b8feeb927ca55efd7f42a7a5 MD5sum: 3c97368740aeaf27300825e7164cfea0 Description: CD/DVD burning library for GNOME - runtime Libbrasero is a simple library to burn, copy and erase CD and DVD media. . This package contains the shared library. Package: libbrasero-media3-dev Source: brasero Version: 3.4.1-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1887 Depends: libbrasero-media3-1 (= 3.4.1-4), gir1.2-brasero-3.0 (= 3.4.1-4), libglib2.0-dev, libgtk-3-dev Replaces: libbrasero-media-dev Homepage: http://www.gnome.org/projects/brasero/ Priority: optional Section: libdevel Filename: pool/main/b/brasero/libbrasero-media3-dev_3.4.1-4_armhf.deb Size: 593864 SHA256: 7eb4927e2145d5987dfaf3dc9e5bac1c04c8101beced2e56d1d724a7370ace69 SHA1: f866760cc79b41ea5144e8f11f31f4232ff5fb3d MD5sum: 26aef1b8329f25b4a9968d1c820b2a4b Description: CD/DVD burning library for GNOME - development Libbrasero is a simple library to burn, copy and erase CD and DVD media. . This package contains the development headers and API documentation. Package: libbridge-method-injector-java Source: bridge-method-injector Version: 1.4-3 Installed-Size: 124 Maintainer: Debian Java Maintainers Architecture: all Depends: libannotation-indexer-java, libasm3-java, libmaven2-core-java Size: 21426 SHA256: cd89d7b5a31cd6f67b14f47a82e5451fe2fc23bcc3e038643c76651de91351c5 SHA1: ebc5391fc80f49c56b493c1dbb7bb4e42fae7d7d MD5sum: 47497a11f619994646201f51c43db2db Description: Evolve Java classes without breaking compatibility Bridge Method Injector provides a maven-plugin that allows you to evolve Java classes without breaking API compatibility. . It also provides the annotation @WithBridgeMethods so uses of bridge methods can be easily identified through use of annotation-indexing. Homepage: http://bridge-method-injector.infradna.com/ Section: java Priority: optional Filename: pool/main/b/bridge-method-injector/libbridge-method-injector-java_1.4-3_all.deb Package: libbridge-method-injector-java-doc Source: bridge-method-injector Version: 1.4-3 Installed-Size: 273 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc, libmaven2-core-java-doc Suggests: libbridge-method-injector-java Size: 60558 SHA256: e0c98f9acda083eb5c27273f0ddc1d88f3b5d149f2ab729f3a7d5c3e35b3f748 SHA1: 903dbcfe9da72816cb3f6437de47ac76e057a391 MD5sum: 8bba7dc56a6a7c0efe5964729a7ce106 Description: Documentation for Bridge Method Injector Bridge Method Injector provides a maven-plugin that allows you to evolve Java classes without breaking API compatibility. . It also provides the annotation @WithBridgeMethods so uses of bridge methods can be easily identified through use of annotation-indexing. . This package provides the API documentation for libbridge-method-injector-java. Homepage: http://bridge-method-injector.infradna.com/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/b/bridge-method-injector/libbridge-method-injector-java-doc_1.4-3_all.deb Package: libbrlapi-dbg Source: brltty Version: 4.4-10+deb7u1 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 403 Depends: libbrlapi0.5 (= 4.4-10+deb7u1) Homepage: http://mielke.cc/brltty/ Priority: extra Section: debug Filename: pool/main/b/brltty/libbrlapi-dbg_4.4-10+deb7u1_armhf.deb Size: 171710 SHA256: a7bc55fca4bcf49875b301250910a69bfc979f66149d9ae89cbce670a2595926 SHA1: ff0661a4e58ebccf3a9a2872794344732b9005ea MD5sum: bb376e17d4312f65107c64e25e766d6f Description: braille display access via BRLTTY - shared library debugging symbols This package contains the debugging symbols for the brlapi shared library. Package: libbrlapi-dev Source: brltty Version: 4.4-10+deb7u1 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 463 Depends: libbrlapi0.5 (= 4.4-10+deb7u1) Conflicts: libbrlapi1-dev (<< 3.8) Homepage: http://mielke.cc/brltty/ Priority: extra Section: libdevel Filename: pool/main/b/brltty/libbrlapi-dev_4.4-10+deb7u1_armhf.deb Size: 215114 SHA256: 86fa3969611b3eae699f5931b69986c3129bf1d7f90cd50e18ad65eaa2dce382 SHA1: 82d65710038a7c1e44a8c6ceb21b4d5ae9ec612c MD5sum: 69b16d1856ae464665129003d781b29f Description: Library for communication with BRLTTY - static libs and headers This package contains the static library libbrlapi.a and header files in /usr/include/brltty/ necessary to compile programs for BrlAPI, a client-server based mechanism to remotely access a braille display. Package: libbrlapi-java Source: brltty Version: 4.4-10+deb7u1 Installed-Size: 778 Maintainer: Debian Accessibility Team Architecture: all Depends: libbrlapi-jni (>= 4.4-10+deb7u1) Size: 117134 SHA256: 83b378dc27620b64bda357c4e880bf0da63056937d6667423de4378a822e6e41 SHA1: 097d9d97a415751f0df1a69ff1ce57f60086fc0d MD5sum: 4951ea9251ba62c28b5c0e04b4e60c1f Description: Java bindings for BrlAPI This package provides java classes to access a braille display via BrlAPI. Homepage: http://mielke.cc/brltty/ Tag: accessibility::input, role::shared-lib Section: java Priority: extra Filename: pool/main/b/brltty/libbrlapi-java_4.4-10+deb7u1_all.deb Package: libbrlapi-jni Source: brltty Version: 4.4-10+deb7u1 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 114 Depends: libbrlapi0.5, libc6 (>= 2.13-28) Homepage: http://mielke.cc/brltty/ Priority: extra Section: libs Filename: pool/main/b/brltty/libbrlapi-jni_4.4-10+deb7u1_armhf.deb Size: 69402 SHA256: 3624665548b8a87ab101a25bab28451e58d297ec7aea4fa641f7f2ebd846548f SHA1: 559946a7f465d040713825eeaadc08bc8debe61a MD5sum: 344dbc0d472ab8d9099ae4f737f23f2e Description: Java bindings for BrlAPI (native library) This package provides the architecture-dependant files required to access a braille terminal via BrlAPI from Java. Package: libbrlapi0.5 Source: brltty Version: 4.4-10+deb7u1 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 124 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://mielke.cc/brltty/ Priority: extra Section: libs Filename: pool/main/b/brltty/libbrlapi0.5_4.4-10+deb7u1_armhf.deb Size: 76646 SHA256: 71146d252d1356cd1349f84aa61f7e5ca0b1cbfce3cf7795dc7cdad103068703 SHA1: a915637f3e1c188803b991195c249acc269edc59 MD5sum: bcd045c296a2fdf5215e99c598034f89 Description: braille display access via BRLTTY - shared library This package contains the shared library necessary to run programs which need to communicate with a braille display. Package: libbrowser-open-perl Version: 0.04-1 Installed-Size: 50 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 7526 SHA256: 7dd42900cfc182845e37016d810dbd45d863d0700231026f11649e3efedb39b2 SHA1: 5de8a09079145d056af099e85551f24ebaf331c6 MD5sum: d7e379d6fa031b49c44f7a2e79078eef Description: Perl module to open a browser in a given URL The functions optionaly exported by Browser::Open allows you to open URLs in the user browser. . A set of known commands per OS-name is tested for presence, and the first one found is executed. With an optional parameter, all known commands are checked. . The "open_browser" uses the system() function to execute the command. If you want more control, you can get the command with the "open_browser_cmd" or "open_browser_cmd_all" functions and then use whatever method you want to execute it. Homepage: http://search.cpan.org/dist/Browser-Open/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbrowser-open-perl/libbrowser-open-perl_0.04-1_all.deb Package: libbs2b-dev Source: libbs2b Version: 3.1.0+dfsg-2 Architecture: armhf Maintainer: Andrew Gainer Installed-Size: 80 Depends: libbs2b0 (= 3.1.0+dfsg-2) Homepage: http://bs2b.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libb/libbs2b/libbs2b-dev_3.1.0+dfsg-2_armhf.deb Size: 13492 SHA256: a7f84e55e486645148108bf3848fa960c8e240c714136c7af7fcdd6c5a258121 SHA1: 3438b037fad2b58faab254855e5a3132c55bba6b MD5sum: 88a212d1d0615cc3ef2aeb1cab3634e5 Description: Bauer stereophonic-to-binaural DSP library development files The Bauer stereophonic-to-binaural DSP (bs2b) is designed to improve headphone listening of stereo audio records. . Typical stereo recordings are made for listening with speakers. What's missing in headphones is the sound going from each channel to the opposite ear, arriving a short time later for the extra distance traveled, and with a bit of high frequency roll-off for the shadowing effect of the head. The time delay to the far ear should also be somewhat longer at low frequencies than at high frequencies. bs2b implements both of these ideas to transform stereophonic recordings for headphone listening. . This package contains the files needed to build packages linking against libbs2b. Package: libbs2b0 Source: libbs2b Version: 3.1.0+dfsg-2 Architecture: armhf Maintainer: Andrew Gainer Installed-Size: 51 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Homepage: http://bs2b.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libb/libbs2b/libbs2b0_3.1.0+dfsg-2_armhf.deb Size: 11478 SHA256: 2ac83b7b70dd87578796e73af552e7afd88e548f4f23ad2b8d0e45df0993b078 SHA1: 293c3320812df360b04d57a21404a8b1ce7dfa42 MD5sum: 0db56d9525fad66f291bacd1b2d97e28 Description: Bauer stereophonic-to-binaural DSP library The Bauer stereophonic-to-binaural DSP (bs2b) is designed to improve headphone listening of stereo audio records. . Typical stereo recordings are made for listening with speakers. What's missing in headphones is the sound going from each channel to the opposite ear, arriving a short time later for the extra distance traveled, and with a bit of high frequency roll-off for the shadowing effect of the head. The time delay to the far ear should also be somewhat longer at low frequencies than at high frequencies. bs2b implements both of these ideas to transform stereophonic recordings for headphone listening. Package: libbsd-arc4random-perl Version: 1.50-5 Architecture: armhf Maintainer: Thorsten Glaser Installed-Size: 82 Depends: libbsd0 (>= 0.0), libc6 (>= 2.4), perl (>= 5.14.2-9), perlapi-5.14.2 Homepage: https://www.mirbsd.org/a4rp5bsd.htm Priority: optional Section: perl Filename: pool/main/libb/libbsd-arc4random-perl/libbsd-arc4random-perl_1.50-5_armhf.deb Size: 15660 SHA256: 144d6a53434c56be97d0d7e577aa9b47920e8956be50e7bf065e8f1d7fe556f8 SHA1: 3e4d65d06b324dc5720b05ed70989d5804308d95 MD5sum: 335380ad751874c6296132f22c52b82a Description: CPAN's BSD::arc4random -- Perl bindings for arc4random The BSD::arc4random module provides a Perl API for the arc4random(3) suite of functions and a few natively implemented functions. . Exposed functions are: have_kintf (ability to push entropy back to the kernel); arc4random, arc4random_bytes, arc4random_uniform, the RANDOM variable (get entropy); arc4random_stir (next time used, some entropy from the kernel is first requested); arc4random_addrandom (add user data to SRNG state); arc4random_push[bk] (push user data to kernel RNG if supported; add kernel data or, if not supported, user data to SRNG); the ability to "tie" variables to this module for retrieving and storing entropy (e.g. in $RANDOM). Package: libbsd-dev Source: libbsd Version: 0.4.2-1 Architecture: armhf Maintainer: Debian GNU/kFreeBSD Installed-Size: 337 Depends: libbsd0 (= 0.4.2-1) Replaces: libfreebsd-dev (<< 0.0-8) Homepage: http://libbsd.freedesktop.org/ Priority: optional Section: libdevel Filename: pool/main/libb/libbsd/libbsd-dev_0.4.2-1_armhf.deb Size: 136004 SHA256: 5f9afe7f4891d34b502a2eae9236a8607471cbe1856b4a520d0490d81e528696 SHA1: 848fb0cccf423d874a4f8578ed409be7d592dc26 MD5sum: bb2f03b82b45190091233622302ce8e7 Description: utility functions from BSD systems - development files This library provides some functions commonly available on BSD systems but not on others like GNU systems. . The currently provided functions are: . * MD5Data, MD5End, MD5File, MD5FileChunk, MD5Final, MD5Init, MD5Pad, MD5Transform, MD5Update. * arc4random, arc4random_addrandom, arc4random_buf, arc4random_stir, arc4random_uniform. * bsd_getopt. * be16dec, be32dec, be64dec, le16dec, le32dec, le64dec. * be16enc, be32enc, be64enc, le16enc, le32enc, le64enc. * closefrom. * expand_number, dehumanize_number, humanize_number, strtonum, fmtcheck. * errc, verrc, vwarnc, warnc. * fgetln, flopen, fpurge. * getmode, setmode, strmode, * getpeereid. * getprogname, setprogname, setproctitle. * heapsort, radixsort, mergesort, sradixsort. * nlist (ELF and a.out support). * pidfile_open, pidfile_write, pidfile_close, pidfile_remove. * readpassphrase. * reallocf. * strlcpy, strlcat. * strnvis, strnunvis, strunvis, strunvisx, strvis, strvisx, unvis, vis. . Also included are some queue macro definitions not present on includes from glibc. Package: libbsd-resource-perl Version: 1.2904-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 117 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/BSD-Resource/ Priority: extra Section: perl Filename: pool/main/libb/libbsd-resource-perl/libbsd-resource-perl_1.2904-1_armhf.deb Size: 31784 SHA256: fdbe0932b6ac306e713e09a06fea15eee8e1dd70c18a59fbb0952dcf8773345b SHA1: d6d5b4fb4056a21d53a3adc5ec3b0fef2e55de17 MD5sum: d84a7402fdcd661d8c73da38710616c0 Description: BSD process resource limit and priority functions The BSD::Resource module provides the BSD process resource limit functions getrusage(), getrlimit(), setrlimit() and the BSD process priority functions. . These are also available via core Perl but here the PRIO_* constants are available: getpriority(), setpriority(). . Also provided is times() which provides the same functionality as the one in core Perl, only with better time resolution. Package: libbsd0 Source: libbsd Version: 0.4.2-1 Architecture: armhf Maintainer: Debian GNU/kFreeBSD Installed-Size: 136 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Multi-Arch: same Homepage: http://libbsd.freedesktop.org/ Priority: optional Section: libs Filename: pool/main/libb/libbsd/libbsd0_0.4.2-1_armhf.deb Size: 58002 SHA256: c696373bf1c1fe77105573ac248f626e4e9fa3996d42c64f727f8ae809f70a5e SHA1: 8db84d54e9a6f66a2a17938203570ffb72ca1185 MD5sum: c9571c04718976853663829a58b4dc2d Description: utility functions from BSD systems - shared library This library provides some functions commonly available on BSD systems but not on others like GNU systems. Package: libbsd0-dbg Source: libbsd Version: 0.4.2-1 Architecture: armhf Maintainer: Debian GNU/kFreeBSD Installed-Size: 203 Depends: libbsd0 (= 0.4.2-1) Multi-Arch: same Homepage: http://libbsd.freedesktop.org/ Priority: extra Section: debug Filename: pool/main/libb/libbsd/libbsd0-dbg_0.4.2-1_armhf.deb Size: 76502 SHA256: 9b714b140e5ad874179c353ff8a7b7f6a62c2f2db5cb4a8ac7412f79188c73c0 SHA1: b9e5d35e6b456d16a8f8b076b63148490a7c1a6f MD5sum: 064924d4374d7a849d66c2e0f7cfc17b Description: utility functions from BSD systems - debugging symbols This library provides some functions commonly available on BSD systems but not on others like GNU systems. Package: libbse-0.7-4 Source: beast Version: 0.7.4-5 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 2836 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libmad0 (>= 0.15.1b-3), libogg0 (>= 1.1.0), libstdc++6 (>= 4.6), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2), zlib1g (>= 1:1.1.4) Breaks: beast (<< 0.7.4) Replaces: beast (<< 0.7.4) Homepage: http://beast.testbit.eu/ Priority: extra Section: libs Filename: pool/main/b/beast/libbse-0.7-4_0.7.4-5_armhf.deb Size: 1182328 SHA256: 717d9d2da4103f880b7b9b5bee34df600f4c80027ab666d01479e8cd93f73e74 SHA1: 37a67e55516e1dd813dda757ca1281b17302e8bc MD5sum: 85de225c5f33758f6498d41aeb035f72 Description: music synthesis and composition framework - shared library BEAST/BSE is a plugin-based graphical system where you can link objects to each other and generate sound. . This package provides the shared library. Package: libbse-dev Source: beast Version: 0.7.4-5 Installed-Size: 1916 Maintainer: Debian Multimedia Maintainers Architecture: all Replaces: beast (<< 0.7.4) Depends: libbse-0.7-4 (<< 0.7.4+1~), libbse-0.7-4 (>= 0.7.4-5), libglib2.0-dev, libgtk2.0-dev Suggests: beast-doc Breaks: beast (<< 0.7.4) Size: 308008 SHA256: 1a90bbfaf26954685d76516091a19b12f9f193226373243ff3b3e7f08fece4e4 SHA1: fd6cfda0ded7945d06980b8e62499afe68527e48 MD5sum: 18f8e3e575b7890dd01d8128e96db19a Description: music synthesis and composition framework - development files BEAST/BSE is a plugin-based graphical system where you can link objects to each other and generate sound. . This package provides the development files. Homepage: http://beast.testbit.eu/ Tag: devel::library, role::devel-lib Section: libdevel Priority: extra Filename: pool/main/b/beast/libbse-dev_0.7.4-5_all.deb Package: libbsearch-ruby Source: ruby-bsearch Version: 1.5-9 Installed-Size: 29 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-bsearch Size: 4156 SHA256: fa38f50f58b55eb7fcbab70db1e305b902152fed2786e35cba819c2d9cdc1dc6 SHA1: 3ed58df324e55944c792410ef01ced6416598c80 MD5sum: 119aac68c530b677339f49bf4a4afdf6 Description: Transitional package for ruby-bsearch This is a transitional package to ease upgrades to the ruby-bsearch package. It can safely be removed. Tag: devel::lang:ruby, devel::library, role::devel-lib, role::metapackage, use::searching Section: oldlibs Priority: extra Filename: pool/main/r/ruby-bsearch/libbsearch-ruby_1.5-9_all.deb Package: libbsearch-ruby1.8 Source: ruby-bsearch Version: 1.5-9 Installed-Size: 29 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-bsearch Size: 4158 SHA256: 379684a8eec2e5172a1ec57e8478d16352a1d25ead0a74bbd4cab3a0abd06730 SHA1: afb4728157caa855046962a7f0185ad70b947544 MD5sum: 38e13aaa1fcbe1b3ecedfb6aaa141966 Description: Transitional package for ruby-bsearch This is a transitional package to ease upgrades to the ruby-bsearch package. It can safely be removed. Tag: devel::lang:ruby, devel::library, role::shared-lib, use::searching Section: oldlibs Priority: extra Filename: pool/main/r/ruby-bsearch/libbsearch-ruby1.8_1.5-9_all.deb Package: libbsf-java Version: 1:2.4.0-5 Installed-Size: 130 Maintainer: Debian Java Maintainers Architecture: all Depends: libapache-pom-java Suggests: bsh, libxalan2-java, rhino Size: 72190 SHA256: cc06714b0122241e4a836fa2fc7197df5641cb9a139332cf88ed86d1bceb5a37 SHA1: 507b508d5626e1c33740a40d014bf1e3c4e0a3c2 MD5sum: b8f37f4a6f5b7e7c2233e20218a8d1d3 Description: Bean Scripting Framework to support scripting languages in Java Bean Scripting Framework (BSF) is a set of Java classes which provides scripting language support within Java applications, and access to Java objects and methods from scripting languages. BSF allows one to write JSPs in languages other than Java while providing access to the Java class library. In addition, BSF permits any Java application to be implemented in part (or dynamically extended) by a language that is embedded within it. This is achieved by providing an API that permits calling scripting language engines from within Java, as well as an object registry that exposes Java objects to these scripting language engines. . BSF supports these scripting languages: * Python (using Jython) * JavaScript (using rhino) * XSLT Stylesheets (as a component of Apache XML project's Xalan and Xerces) * BeanShell (using bsh) via its own bsf adapter . Support for Tcl, NetRexx is not available in this Debian package since Jacl, NetRexx (non-free) are not packaged. Homepage: http://jakarta.apache.org/bsf/ Tag: devel::lang:java, devel::library, role::devel-lib Section: java Priority: optional Filename: pool/main/libb/libbsf-java/libbsf-java_2.4.0-5_all.deb Package: libbsf-java-doc Source: libbsf-java Version: 1:2.4.0-5 Installed-Size: 1946 Maintainer: Debian Java Maintainers Architecture: all Replaces: libbsf-java (<< 1:2.4.0-5) Suggests: libbsf-java Breaks: libbsf-java (<< 1:2.4.0-5) Size: 122362 SHA256: a8b1cf453e206e30917f5c888290e01a618dda05f9c44d5d16b2024de1fc6b70 SHA1: 720914cc3a2d57bd8f515117e27ced280f9038ba MD5sum: 86a1538873f088657a4d103f2b6c42b2 Description: Bean Scripting Framework to support scripting - documentation Bean Scripting Framework (BSF) is a set of Java classes which provides scripting language support within Java applications, and access to Java objects and methods from scripting languages. BSF allows one to write JSPs in languages other than Java while providing access to the Java class library. In addition, BSF permits any Java application to be implemented in part (or dynamically extended) by a language that is embedded within it. This is achieved by providing an API that permits calling scripting language engines from within Java, as well as an object registry that exposes Java objects to these scripting language engines. . BSF supports these scripting languages: * Python (using Jython) * JavaScript (using rhino) * XSLT Stylesheets (as a component of Apache XML project's Xalan and Xerces) * BeanShell (using bsh) via its own bsf adapter . Support for Tcl, NetRexx is not available in this Debian package since Jacl, NetRexx (non-free) are not packaged. . This package contains Javadoc HTML documentation for libbsf-java. Homepage: http://jakarta.apache.org/bsf/ Section: doc Priority: optional Filename: pool/main/libb/libbsf-java/libbsf-java-doc_2.4.0-5_all.deb Package: libbt Source: blackbox Version: 0.70.1-13 Installed-Size: 21 Maintainer: Debian QA Group Architecture: all Depends: libbt0 (>= 0.70.1-13) Size: 944 SHA256: e6438da321d93e61fd18c8dfafbf7d7210798dabeebe42ecc89e67fef4f32b90 SHA1: afd9f522f951d1296e5410f74703e0cc48d2debe MD5sum: 8e5ed16a0be05081ea03aa98895e5678 Description: transitional package for libbt0 This is a dummy package to aid in transitioning from libbt to libbt0. This dummy package may be safely removed after upgrading to wheezy. Homepage: http://blackboxwm.sourceforge.net/ Tag: role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/b/blackbox/libbt_0.70.1-13_all.deb Package: libbt-dev Source: blackbox Version: 0.70.1-13 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 427 Depends: libbt0 (= 0.70.1-13), libxft-dev, libxt-dev Replaces: libbt (<< 0.70.1-3~) Multi-Arch: same Homepage: http://blackboxwm.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/b/blackbox/libbt-dev_0.70.1-13_armhf.deb Size: 130978 SHA256: 4751d609e0dd1edd8a7a82f34f3d3a50b9685d1923755f616c6af938db606305 SHA1: 64cfe6b3d6168471da0c3588932c07155be65198 MD5sum: 6a0f913144bd8f79175340332f534b2c Description: Blackbox - development library This is a window manager for X. It is similar in many respects to such popular packages as Window Maker, Enlightenment, and FVWM2. You might be interested in this package if you are tired of window managers that are a heavy drain on your system resources, but you still want an attractive and modern-looking interface. . The best part of all is that this program is coded in C++, so it is even more attractive "under the hood" than it is in service -- no small feat. . If none of this sounds familiar to you, or you want your computer to look like Microsoft Windows or Apple's OS X, you probably don't want this package. . This package contains the development library libbt0 Package: libbt0 Source: blackbox Version: 0.70.1-13 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 244 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libx11-6, libxext6, libxft2 (>> 2.1.1) Breaks: libbt (<< 0.70.1-4) Replaces: libbt (<< 0.70.1-4) Multi-Arch: same Homepage: http://blackboxwm.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/b/blackbox/libbt0_0.70.1-13_armhf.deb Size: 98458 SHA256: dcafe58d1e45f56f35318e368930a0d0da1d73fdcfc5924975ead8fa1c999f2f SHA1: d8f220cc50b4d49f63a0e2f324682b6c9005b716 MD5sum: c6d3f9a54d703085f471657dd36159cb Description: Blackbox - shared library This is a window manager for X. It is similar in many respects to such popular packages as Window Maker, Enlightenment, and FVWM2. You might be interested in this package if you are tired of window managers that are a heavy drain on your system resources, but you still want an attractive and modern-looking interface. . The best part of all is that this program is coded in C++, so it is even more attractive "under the hood" than it is in service -- no small feat. . If none of this sounds familiar to you, or you want your computer to look like Microsoft Windows or Apple's OS X, you probably don't want this package. . This package contains the shared library libbt0 Package: libbtf1.1.0 Source: suitesparse Version: 1:3.4.0-3 Architecture: armhf Maintainer: Maintainer: Debian Science Maintainers Installed-Size: 44 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.cise.ufl.edu/research/sparse/SuiteSparse/ Priority: optional Section: libs Filename: pool/main/s/suitesparse/libbtf1.1.0_3.4.0-3_armhf.deb Size: 11024 SHA256: 9839f29caa2f249ad7c8b5a95f1af290d073ad3fe48089802bd53f21b021ada1 SHA1: 9e282fd3948b01ea82c8c3e519c75f7a6934a51e MD5sum: 3bdbf429f653223360e605a151d6db96 Description: permutation to block triangular form library for sparse matrices Suitesparse is a collection of libraries for computations involving sparse matrices. This package includes the following dynamic library: . BTF permutation to block triangular form (beta) Package: libbtm-java Version: 2.1.2-1 Installed-Size: 286 Maintainer: Debian Java Maintainers Architecture: all Size: 269428 SHA256: 1e2a6ecf21da2ecfc6301024d2fbb382a72662e47af83916f0dd38097d50198c SHA1: 9d140b9f324e7a1fe44ac78b3c39a04140ec048e MD5sum: 104e8a9c0930a5df79502f3ad270b56d Description: Bitronix JTA Transaction Manager The Bitronix Transaction Manager (BTM) is a simple but complete implementation of the JTA 1.0.1B API. It is a fully working XA transaction manager that provides all services required by the JTA API while trying to keep the code as simple as possible for easier understanding of the XA semantics. This is BTM's strongest point compared to its competitors: it is trivial to configure and when something goes wrong it is much easier to figure out what to do thanks to the great care placed in useful error reporting and logging. Homepage: http://docs.codehaus.org/display/BTM/Home Section: java Priority: optional Filename: pool/main/libb/libbtm-java/libbtm-java_2.1.2-1_all.deb Package: libbtparse-dev Source: libtext-bibtex-perl Version: 0.63-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 131 Depends: libbtparse1 (= 0.63-1) Homepage: http://search.cpan.org/dist/Text-BibTeX/ Priority: optional Section: libdevel Filename: pool/main/libt/libtext-bibtex-perl/libbtparse-dev_0.63-1_armhf.deb Size: 77808 SHA256: ed62a375d3081f6fd35d3a3c77d98c53bdc80feab7163b7986b8a3a443ee1120 SHA1: f1193ce1757f550d00ac5ef59dda0aac47601c05 MD5sum: 8fe8907f177b6057696bb47c4ac564b2 Description: C library to parse BibTeX files - development files btparse is the C component of btOOL, a pair of libraries for parsing and processing BibTeX files. It is built on top of a lexical analyzer and parser constructed using PCCTS. The library provides entry points to the parser, functions to traverse and query the abstract-syntax tree that it produces, and some functions for processing strings in "the BibTeX way". . This package contains the necessary symlinks, headers and object files needed develop applications using btparse. Package: libbtparse0 Source: libbtparse Version: 0.34-3 Architecture: armhf Maintainer: Regis Boudin Installed-Size: 97 Pre-Depends: multiarch-support Depends: libc6 (>= 2.4) Multi-Arch: same Homepage: http://starship.python.net/~gward/btOOL/ Priority: optional Section: libs Filename: pool/main/libb/libbtparse/libbtparse0_0.34-3_armhf.deb Size: 35840 SHA256: 1857cdf67a8fb32446566ce1f3d3b2d26d9cc3b14f702a92e0de002f05e63334 SHA1: 6305f6ac691a34bf7de8f7069214306f450721f0 MD5sum: a2e7e1a63a43614b71e3624f96a34fab Description: C library to parse BibTeX files btparse is the C component of btOOL, a pair of libraries for parsing and processing BibTeX files. It is built on top of a lexical analyzer and parser constructed using PCCTS. The library provides entry points to the parser, functions to traverse and query the abstract-syntax tree that it produces, and some functions for processing strings in "the BibTeX way". Package: libbtparse1 Source: libtext-bibtex-perl Version: 0.63-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 103 Depends: libc6 (>= 2.13-28) Homepage: http://search.cpan.org/dist/Text-BibTeX/ Priority: optional Section: libs Filename: pool/main/libt/libtext-bibtex-perl/libbtparse1_0.63-1_armhf.deb Size: 39940 SHA256: faf6c1e3530d11ce67b6dc6583cb1485cd509c973cf08b3b90c4a66e49bbc280 SHA1: 83c6a61c91aefb4347446faee218201aa0ddefe6 MD5sum: 6e5f6e264a345fe65249e3acc0a30c74 Description: C library to parse BibTeX files btparse is the C component of btOOL, a pair of libraries for parsing and processing BibTeX files. It is built on top of a lexical analyzer and parser constructed using PCCTS. The library provides entry points to the parser, functions to traverse and query the abstract-syntax tree that it produces, and some functions for processing strings in "the BibTeX way". Package: libbuffy-dev Source: libbuffy Version: 1.7-1 Architecture: armhf Maintainer: Enrico Zini Installed-Size: 433 Recommends: pkg-config Priority: optional Section: libdevel Filename: pool/main/libb/libbuffy/libbuffy-dev_1.7-1_armhf.deb Size: 103750 SHA256: 0d00658c4fbe4b37057908b215757e9a2621edbf2f0d5a87e5313fd9b95d7b52 SHA1: c4e169eb970bdac223302397afe3d996f9ba7964 MD5sum: 9698603d7883827faadd048f395f349b Description: Base functions for building mailbox summary applications Buffy wants to be the ultimate mail folder summary system. . This library provides efficient mailfolder checking routines, packaged in a library with a simple API and with wrappers for many languages, so that everyone can create mail folder summary systems in the language they prefer without having to care about the actual mailbox checking. . Libbuffy currently supports detection and summarizing of folders in Maildir and Mailbox format. . This package provides the development headers and library for libbuffy. No shared library is packaged until the API is stabilized. Package: libbuffy-perl Source: libbuffy-bindings Version: 0.13 Architecture: armhf Maintainer: Enrico Zini Installed-Size: 415 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Priority: optional Section: perl Filename: pool/main/libb/libbuffy-bindings/libbuffy-perl_0.13_armhf.deb Size: 117746 SHA256: f4fa9206b0041d676e2b081d9fe0532172300d34c7e747c57e33139ceb99f517 SHA1: 719d4a3f3a086abda6726b033160e07ddd9d91f3 MD5sum: 624e2f470e7725bae185f716db4110ce Description: Perl wrapper for the libbuffy library Buffy wants to be the ultimate mail folder summary system. . This library provides efficient mailfolder checking routines, packaged in a library with a simple API and with wrappers for many languages, so that everyone can create mail folder summary systems in the language they prefer without having to care about the actual mailbox checking. . Libbuffy currently supports detection and summarizing of folders in Maildir and Mailbox format. . This package provides a Perl wrapper for libbuffy. Package: libbuild-helper-maven-plugin-java Source: build-helper-maven-plugin Version: 1.5-2 Installed-Size: 76 Maintainer: Debian Java Maintainers Architecture: all Depends: libmaven2-core-java, libplexus-utils-java Suggests: libbuild-helper-maven-plugin-java-doc Size: 19884 SHA256: 56b09592607e2fa0d6df9baceec113b098a516bf6267283aba86af059c7bfd87 SHA1: 2d181ba60cc24848dd98e56b25b6c0f13c4a3a83 MD5sum: 5863b9d0c8af89092c833a73dd1cc420 Description: Build Helper Maven Plugin This plugin contains various small independent goals to assist with Maven build lifecycle. . For example: attach some directory as new source folder, extract maven current version, parse and resolve a version property or reserve a random network port. Homepage: http://mojo.codehaus.org/build-helper-maven-plugin/ Section: java Priority: optional Filename: pool/main/b/build-helper-maven-plugin/libbuild-helper-maven-plugin-java_1.5-2_all.deb Package: libbuild-helper-maven-plugin-java-doc Source: build-helper-maven-plugin Version: 1.5-2 Installed-Size: 409 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc, libmaven2-core-java-doc Suggests: libbuild-helper-maven-plugin-java Size: 37006 SHA256: 8246fa263757e28b828227b2e1f3e0fd4957f4856721486454d110e5a9f04cf8 SHA1: b2ea5111ccd96f16f745e390933d3b88a04c7e5f MD5sum: ed319d5d9955c58d4326db5f56e8ea70 Description: Documentation for Build Helper Maven Plugin This plugin contains various small independent goals to assist with Maven build lifecycle. . For example: attach some directory as new source folder, extract maven current version, parse and resolve a version property or reserve a random network port. . This package contains the API documentation of libbuild-helper-maven-plugin-java. Homepage: http://mojo.codehaus.org/build-helper-maven-plugin/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/b/build-helper-maven-plugin/libbuild-helper-maven-plugin-java-doc_1.5-2_all.deb Package: libbuilder-ruby Source: ruby-builder Version: 3.0.0-3 Installed-Size: 29 Maintainer: Marc Dequènes (Duck) Architecture: all Depends: ruby-builder Size: 4848 SHA256: 265fd1e125c98ee55cf06058aff4fc676ac0c219e644814d4a38f99d383770b2 SHA1: 985e946a5eb28a8c07b5d5b4bf5933936e4ce646 MD5sum: caa4f471e7977f3fa6ce2cb54e4d6eaf Description: Transitional package for ruby-builder This is a transitional package to ease upgrades to the ruby-builder package. It can safely be removed. Homepage: http://onestepback.org Section: ruby Priority: optional Filename: pool/main/r/ruby-builder/libbuilder-ruby_3.0.0-3_all.deb Package: libbuilder-ruby1.8 Source: ruby-builder Version: 3.0.0-3 Installed-Size: 29 Maintainer: Marc Dequènes (Duck) Architecture: all Depends: ruby-builder Size: 4852 SHA256: e72455f235b9f867170c8c8646fded75b31143d5d2e691c8463da4ac9675038e SHA1: a4bbc03d80bb688e33cff8e7609e8785df5455dc MD5sum: 226218f2d3a7803aba266b30cfc999f5 Description: Transitional package for ruby-builder This is a transitional package to ease upgrades to the ruby-builder package. It can safely be removed. Homepage: http://onestepback.org Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::devel-lib, role::shared-lib, works-with-format::xml Section: ruby Priority: optional Filename: pool/main/r/ruby-builder/libbuilder-ruby1.8_3.0.0-3_all.deb Package: libbuilder-ruby1.9.1 Source: ruby-builder Version: 3.0.0-3 Installed-Size: 29 Maintainer: Marc Dequènes (Duck) Architecture: all Depends: ruby-builder Size: 4864 SHA256: dd9502dcda1a0c4387cbc03dd5f3bbf973be016b3746d9984f669cafeef1fcdd SHA1: b8476a01a5de02e22bdc5c9e177782338f3f514d MD5sum: 9e57c0046f6c8c93b2263d2af036ab84 Description: Transitional package for ruby-builder This is a transitional package to ease upgrades to the ruby-builder package. It can safely be removed. Homepage: http://onestepback.org Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::devel-lib, role::shared-lib, works-with-format::xml Section: ruby Priority: optional Filename: pool/main/r/ruby-builder/libbuilder-ruby1.9.1_3.0.0-3_all.deb Package: libbulletml-dev Source: bulletml Version: 0.0.6-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 390 Depends: libbulletml0d2 (= 0.0.6-5) Homepage: http://www.asahi-net.or.jp/~cs8k-cyu/bulletml/index_e.html Priority: extra Section: libdevel Filename: pool/main/b/bulletml/libbulletml-dev_0.0.6-5_armhf.deb Size: 101996 SHA256: 2b0c843b8c8798de0e199ae40a59266e0147f107181208e641adb50146ded3f6 SHA1: d251aa656a26a337d1d628533e69770b3aa85c7f MD5sum: cc9b6c8cf653054d0491f7b01521faef Description: C++ library to handle BulletML easily - development files BulletML is the Bullet Markup Language. BulletML can describe the barrage of bullets in shooting games. . This package includes the files needed for the development of programs that use this library. Package: libbulletml0d2 Source: bulletml Version: 0.0.6-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 150 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.asahi-net.or.jp/~cs8k-cyu/bulletml/index_e.html Priority: extra Section: libs Filename: pool/main/b/bulletml/libbulletml0d2_0.0.6-5_armhf.deb Size: 52538 SHA256: 377c6b15cf5de6eb605f81672de6c675201b5bada06f957c1f72964bf6b41b1a SHA1: fb43f83b285135b74207e2b5cd45da75cc8c2d81 MD5sum: dd1a95fecdfde7b5d980ed8f389f7676 Description: C++ library to handle BulletML easily - runtime library BulletML is the Bullet Markup Language. BulletML can describe the barrage of bullets in shooting games. . There are many advantages for using BulletML: * BulletML can describe the complicated barrage of bullets in recent shooting games easily * BulletML demo applet is available. Write BulletML document, and check it on this applet * BulletML is XML-based language. It offers data portability and reusability Package: libbunny-ruby Source: ruby-bunny Version: 0.7.8-1 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-bunny Size: 2980 SHA256: 9ed3f99f6eac8817a97367789be09db7fbe89221a174d43be231018cd702f0d6 SHA1: 5be1b0a3599c8adc3bebf43ccb74d1b09e164127 MD5sum: baf6f4c7e4485ffd69b28deecb37e5fd Description: Transitional package for ruby-bunny This is a transitional package to ease upgrades to the ruby-bunny package. It can safely be removed. Homepage: http://github.com/ruby-amqp/bunny Section: oldlibs Priority: extra Filename: pool/main/r/ruby-bunny/libbunny-ruby_0.7.8-1_all.deb Package: libbunny-ruby-doc Source: ruby-bunny Version: 0.7.8-1 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-bunny Size: 2980 SHA256: 43bef1498d62597da56cbcd56181ee9003d9dca10195a0fdb400d28c7fed348d SHA1: 7cd64277016c9d5e786657e29b771b5dc87c5745 MD5sum: eb438e1c1cfdfa25d0fb4d7cbb9de330 Description: Transitional package for ruby-bunny This is a transitional package to ease upgrades to the ruby-bunny package. It can safely be removed. Homepage: http://github.com/ruby-amqp/bunny Tag: devel::doc, devel::lang:ruby, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/r/ruby-bunny/libbunny-ruby-doc_0.7.8-1_all.deb Package: libbunny-ruby1.8 Source: ruby-bunny Version: 0.7.8-1 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-bunny Size: 2986 SHA256: a959fa2fd49aa00af6d91cd7980e09b1f8687d49480f133e675c80afdeb8d23e SHA1: f452824662be8c3e04dd1c2fe408e128cc59cc55 MD5sum: d512ea3e1643907de088521b42c5508f Description: Transitional package for ruby-bunny This is a transitional package to ease upgrades to the ruby-bunny package. It can safely be removed. Homepage: http://github.com/ruby-amqp/bunny Tag: implemented-in::ruby, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-bunny/libbunny-ruby1.8_0.7.8-1_all.deb Package: libbunny-ruby1.9.1 Source: ruby-bunny Version: 0.7.8-1 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-bunny Size: 2984 SHA256: af1eee82eb06add6f1be5334113790f16ab454384b7d8d66acee829bc095ca6d SHA1: 52718748a2857a548461f790a862885f964aa745 MD5sum: ba6112b9ef8c96f1c004f53c960a8506 Description: Transitional package for ruby-bunny This is a transitional package to ease upgrades to the ruby-bunny package. It can safely be removed. Homepage: http://github.com/ruby-amqp/bunny Tag: implemented-in::ruby, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-bunny/libbunny-ruby1.9.1_0.7.8-1_all.deb Package: libbuoy-java Source: buoy Version: 1.9-2 Installed-Size: 4068 Maintainer: Debian QA Group Architecture: all Depends: java-gcj-compat | java1-runtime | java2-runtime Size: 472920 SHA256: d6ee1ca1ff8b35143db044cd0b88a12456737e2880890ec6db1b5d060d838e23 SHA1: fc48d914b8f1d2e224ab7f726f6419896df36586 MD5sum: 5d7f427f2f0aba29ee0453db7287938f Description: Java User Interface Toolkit Buoy is a Java framework for creating user interfaces. . Buoy is built on top of Swing, but provides a completely new set of classes to represent user interface elements. It has many advantages over using Swing directly, including a cleaner and simpler API, a far more powerful event handling mechanism, a better system for laying out components, and built in support for serializing and reconstructing user interfaces as XML. Homepage: http://buoy.sourceforge.net/ Tag: devel::lang:java, devel::library, devel::ui-builder, implemented-in::java, role::devel-lib Section: java Priority: optional Filename: pool/main/b/buoy/libbuoy-java_1.9-2_all.deb Package: libburn-dbg Source: libburn Version: 1.2.2-2 Architecture: armhf Maintainer: Debian Libburnia packagers Installed-Size: 679 Depends: libburn4 (= 1.2.2-2) Homepage: http://libburnia-project.org Priority: extra Section: debug Filename: pool/main/libb/libburn/libburn-dbg_1.2.2-2_armhf.deb Size: 291984 SHA256: 187f176b4830a1c0bcae20d47d25070907496932983829d5c48ac79f1b25f89f SHA1: 5d20d7ac6fcc119556f3b5bce4da8563e84baee4 MD5sum: 05f0f2161c9b0ea5bbd0134d1ab04d60 Description: debugging symbols for libburn and cdrskin libburn is a library for reading, mastering and writing optical discs. . This package contains debugging files used to investigate problems with binaries included in the libburn and cdrskin packages. Package: libburn-dev Source: libburn Version: 1.2.2-2 Architecture: armhf Maintainer: Debian Libburnia packagers Installed-Size: 533 Depends: libburn4 (= 1.2.2-2) Suggests: libburn-doc (= 1.2.2-2) Homepage: http://libburnia-project.org Priority: optional Section: libdevel Filename: pool/main/libb/libburn/libburn-dev_1.2.2-2_armhf.deb Size: 212198 SHA256: d41ad24a0114dd95c90b24e7a15a88c6b72706a81154bc8ae0c5bd9b48cf52a2 SHA1: d18aa097096e7e9c78d3134c121fb7c48ef593a4 MD5sum: 51823870992f9ec7a27c0b9d9071bac8 Description: development package for libburn4 This package contains the headers, pkgconfig data and static library for libburn. Package: libburn-doc Source: libburn Version: 1.2.2-2 Installed-Size: 2101 Maintainer: Debian Libburnia packagers Architecture: all Size: 436834 SHA256: 24d65c155e6856736601ca08d0ff4102ed0d3695585f802f0f9fe5a6656c173c SHA1: 7ea0371b5542c92550436152375b87dbfe7c39d8 MD5sum: b3ba420c34514834369c6ece858f8100 Description: API documentation for libburn library This package contains the documentation for libburn. Homepage: http://libburnia-project.org Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libb/libburn/libburn-doc_1.2.2-2_all.deb Package: libburn4 Source: libburn Version: 1.2.2-2 Architecture: armhf Maintainer: Debian Libburnia packagers Installed-Size: 269 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://libburnia-project.org Priority: optional Section: libs Filename: pool/main/libb/libburn/libburn4_1.2.2-2_armhf.deb Size: 141998 SHA256: 03e0806e10057e2bf1d9c14efdcc4509456e4284be11452581d74103264e2e63 SHA1: c30906e5c51ff52ae187e2878cb4e8e50750c7d9 MD5sum: f3237991093b816a564ecdc583605e1f Description: library to provide CD/DVD writing functions libburn is a library for reading, mastering and writing optical discs. Supported media are: CD-R, CD-RW, DVD-RAM, DVD+RW, DVD+R, DVD+R/DL, DVD-RW, DVD-R, DVD-R/DL, BD-R, BD-RE. Package: libbusiness-creditcard-perl Version: 0.31-1 Installed-Size: 68 Maintainer: Ivan Kohler Architecture: all Depends: perl Size: 12350 SHA256: 177114f7f1bf9a76573637a90ea593c1c1bb8086ba087965a5e9e510d5eeab52 SHA1: eb1a7713e6f3214b66b089b740fcbf4bf56af2ed MD5sum: 6fefbf3eb10d585f543c7618b7239523 Description: Validate/generate credit card checksums/names These subroutines tell you whether a credit card number is self-consistent -- whether the last digit of the number is a valid checksum for the preceding digits. . The validate() subroutine returns 1 if the card number provided passes the checksum test, and 0 otherwise. . The cardtype() subroutine returns a string containing the type of card: "MasterCard", "VISA", and so on. My list is not complete; I welcome additions. . The generate_last_digit() subroutine computes and returns the last digit of the card given the preceding digits. With a 16-digit card, you provide the first 15 digits; the subroutine returns the sixteenth. Tag: devel::lang:perl, devel::library, field::finance, implemented-in::perl, use::checking Section: perl Priority: optional Filename: pool/main/libb/libbusiness-creditcard-perl/libbusiness-creditcard-perl_0.31-1_all.deb Package: libbusiness-edi-perl Version: 0.05-1 Installed-Size: 12600 Maintainer: Debian Perl Group Architecture: all Depends: perl, libuniversal-require-perl, libfile-find-rule-perl, liblist-moreutils-perl, libjson-xs-perl, libexporter-easy-perl Size: 2012250 SHA256: a58f5448fba21c429d80a1a1759206b8d94fe5de06382f0e3aa3f149f4f88fa3 SHA1: 07445570d713ae681b1c21ce0a9a79794ffcaee5 MD5sum: 7312cbc6a3c88f51c17e47871c9b962f Description: class for generating U.N. EDI interchange objects The focus of Business::EDI is to provide object based access to EDI messages and subelements. At present, the EDI input processed by Business::EDI objects is JSON from the edi4r ruby library, and there is no EDI output beyond the perl objects themselves. Homepage: http://search.cpan.org/dist/Business-EDI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbusiness-edi-perl/libbusiness-edi-perl_0.05-1_all.deb Package: libbusiness-isbn-data-perl Version: 20081208-1 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 13466 SHA256: ce4c7d65d4596058b466b563a033a82a52ba0fa9126290987dba5cdce016dada SHA1: 32e7a8769ccc5bfb04ebf6718e6afdaef4df5269 MD5sum: 3b3dd9ba53ebf6e2b065989f2b41fa08 Description: data pack for Business::ISBN This data is current as of November 2004. At that time, the publisher codes 9990000-9999999 or 999000-999999 had not been fixed, although they had been proposed. I do not include them in the data. . Business::ISBN uses this "data pack" to do its work. You can update Business::ISBN::Data independently of the main module as the various ISBN organizations assign new publisher codes. The ISBN agency lists these data at http://www.isbn-international.org/identifiers.html. The distribution should include the kludegy script I used to extract the data and format the data structure. . Note, that as a historical artifact, some countries are actually language areas. For instance, country code 2 is "French", and includes several French-speaking countries. Homepage: http://search.cpan.org/dist/Business-ISBN-Data/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbusiness-isbn-data-perl/libbusiness-isbn-data-perl_20081208-1_all.deb Package: libbusiness-isbn-perl Version: 2.05-1 Installed-Size: 56 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libbusiness-isbn-data-perl (>= 20081208) Suggests: libgd-barcode-perl Size: 22118 SHA256: 83097c2c99d6ee6879e1875202df501a8698ff2a1b5c5f61b9b16f45486403a8 SHA1: 507867edae88bf77299c77c28698a26ec05b84eb MD5sum: fb1d3a0f5fb151e5558a1fb256bd2c89 Description: Perl library to work with International Standard Book Numbers Business::ISBN allows to easily extract useful information from ISBN such as country code, publisher code, ... It allows to check the checksum and/or correct it. Homepage: http://sourceforge.net/projects/perl-isbn/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbusiness-isbn-perl/libbusiness-isbn-perl_2.05-1_all.deb Package: libbusiness-issn-perl Version: 0.91-2 Installed-Size: 50 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8054 SHA256: 8c76286a6835d8a538aa6845708464a8e5e99399b28d9899f3838cdedc7d72aa SHA1: 3d5ecc4705b6b97a0cc4a3088bd59b52aaf63120 MD5sum: d77918dadabbe5ee5809bcedc86cec2d Description: Perl extension for International Standard Serial Numbers (ISSN) A Perl module (Business::ISSN) for working with ISSN numbers (International Standard Serial Numbers), used to identify periodical publications, such as magazines. Homepage: http://search.cpan.org/dist/Business-ISSN/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbusiness-issn-perl/libbusiness-issn-perl_0.91-2_all.deb Package: libbusiness-onlinepayment-authorizenet-perl Version: 3.22-1 Installed-Size: 147 Maintainer: Debian Perl Group Architecture: all Depends: perl, libbusiness-onlinepayment-perl (>= 3.01), libnet-https-any-perl, libtext-csv-xs-perl, libtie-ixhash-perl, libxml-simple-perl, libxml-writer-perl Size: 34122 SHA256: 8b63520a72bb8033766e4bbb48b3b063d990943a970344630dc5fa7fd6f3752e SHA1: 96c05c05548f5a3f697747064547f029e16b5f6b MD5sum: f13e9ca7a44810fee2fc0fad7e2f29ef Description: AuthorizeNet backend for Business::OnlinePayment This is Business::OnlinePayment::AuthorizeNet, an Business::OnlinePayment backend module for Authorize.Net. It is only useful if you have a merchant account with Authorize.Net: http://www.authorize.net/ . Business::OnlinePayment is a generic interface for processing payments through online credit card processors, online check acceptance houses, etc. (If you like buzzwords, call it an "multiplatform ecommerce-enabling middleware solution"). Homepage: http://search.cpan.org/dist/Business-OnlinePayment-AuthorizeNet/ Tag: devel::lang:perl, devel::library, field::finance, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbusiness-onlinepayment-authorizenet-perl/libbusiness-onlinepayment-authorizenet-perl_3.22-1_all.deb Package: libbusiness-onlinepayment-ippay-perl Version: 0.06-2 Installed-Size: 70 Maintainer: Debian Perl Group Architecture: all Depends: perl, libtie-ixhash-perl, libxml-simple-perl, libxml-writer-perl, libbusiness-onlinepayment-perl, libnet-ssleay-perl | libcrypt-ssleay-perl, libnet-ssleay-perl | libwww-perl, liburi-perl Size: 12606 SHA256: 3ee54a3536bfdf38cfbe270e0e114103ef702d38837e86a67061446f5458041d SHA1: c15d78c68a6818c9c0956102f607144095f57820 MD5sum: f34d6601222fc3e7588ece5f70fcd8f5 Description: backend for IPPay in Business::OnlinePayment framework IPPay (see http://www.ippay.com) is a online payment framework for recurring utility bills. Business::OnlinePayment::IPPay is a Perl interface that fits into the wider Business::OnlinePayment framework. Homepage: http://420.am/business-onlinepayment/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbusiness-onlinepayment-ippay-perl/libbusiness-onlinepayment-ippay-perl_0.06-2_all.deb Package: libbusiness-onlinepayment-openecho-perl Version: 0.03-2 Installed-Size: 100 Maintainer: Debian Perl Group Architecture: all Depends: libbusiness-onlinepayment-perl (>= 2.99), perl (>= 5.6.0-16) Size: 15590 SHA256: a8b9e9028d79f1b5dbfb434f7cd93a08ade6bdd097047d53726c5e072b37de1c SHA1: 29b8f56a2eed735b10fb368859ac132af4660dda MD5sum: d0e07cc9fc22a065627fe4639f687f84 Description: ECHO backend module for Business::OnlinePayment This is Business::OnlinePayment::OpenECHO, an Business::OnlinePayment backend module for ECHO. It is only useful if you have a merchant account with ECHO: http://www.echo-inc.com/ (also see http://www.openecho.com/) . Business::OnlinePayment is a generic interface for processing payments through online credit card processors, online check acceptance houses, etc. (If you like buzzwords, call it an "multiplatform ecommerce-enabling middleware solution"). Homepage: http://search.cpan.org/dist/Business-OnlinePayment-OpenECHO/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbusiness-onlinepayment-openecho-perl/libbusiness-onlinepayment-openecho-perl_0.03-2_all.deb Package: libbusiness-onlinepayment-payconnect-perl Version: 0.02-1 Installed-Size: 100 Maintainer: Ivan Kohler Architecture: all Depends: perl (>= 5.6.0-16), libbusiness-onlinepayment-perl, libnet-ssleay-perl Size: 7234 SHA256: ccb9912044df789cbedc757dbbd666388caaa05509656d3f50968300c6dd9f46 SHA1: a443c1e6eed0762e766e8e5c87bf7325eb93c13a MD5sum: 93239c190df943a02bb048ca0b288720 Description: PaymentOne PayConnect backend for Business::OnlinePayment This is Business::OnlinePayment::PayConnect, an Business::OnlinePayment backend module for PaymentOne (formerly eBillit) PayConnect. It is only useful if you have a merchant account with PaymentOne (formerly eBillit): http://www.paymentone.com/products/paycon.asp . Business::OnlinePayment is a generic interface for processing payments through online credit card processors, online check acceptance houses, etc. (If you like buzzwords, call it an "multiplatform ecommerce-enabling middleware solution"). Tag: devel::lang:perl, devel::library, field::finance, implemented-in::perl, network::client Section: perl Priority: optional Filename: pool/main/libb/libbusiness-onlinepayment-payconnect-perl/libbusiness-onlinepayment-payconnect-perl_0.02-1_all.deb Package: libbusiness-onlinepayment-payflowpro-perl Version: 1.01-2 Installed-Size: 88 Maintainer: Debian Perl Group Architecture: all Depends: perl, libbusiness-onlinepayment-perl (>= 3.00~07), libnet-ssleay-perl (>= 1.30) | libcrypt-ssleay-perl, libnet-ssleay-perl (>= 1.30) | libwww-perl, liburi-perl, libtie-ixhash-perl Size: 19182 SHA256: 042c9b515aba79a040fa8100bafd726fad458d215b5def1b26618a52464d818b SHA1: 3ace728d3fdca8072aea04f1ec3cb1aa2ddaee7f MD5sum: 8836b5de899f37819a8594e3399543cd Description: PayPal Payflow Pro backend for Business::OnlinePayment This is Business::OnlinePayment::PayflowPro, an Business::OnlinePayment backend module for PayPal Payflow Pro. It is only useful if you have a merchant account with PayPal Payflow Pro: https://www.paypal.com/cgi-bin/webscr?cmd=_payflow-pro-overview-outside . Business::OnlinePayment is a generic interface for processing payments through online credit card processors, online check acceptance houses, etc. (If you like buzzwords, call it an "multiplatform ecommerce-enabling middleware solution"). Homepage: http://search.cpan.org/dist/Business-OnlinePayment-PayflowPro/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbusiness-onlinepayment-payflowpro-perl/libbusiness-onlinepayment-payflowpro-perl_1.01-2_all.deb Package: libbusiness-onlinepayment-paymentech-perl Version: 2.04-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl, libbusiness-onlinepayment-perl (>= 3.00~07), libnet-https-any-perl, libxml-simple-perl Size: 9548 SHA256: d58fbcfdd257dfbe990d30d923176d2101bd2a4a7f7f4e948a1edd682d29d769 SHA1: 60eba801736640925e620800abe4e80a9c2e3e7f MD5sum: c4e89660e44ace270621ade062c21dc6 Description: Chase Paymentech backend for Business::OnlinePayment This is Business::OnlinePayment::PaymenTech, an Business::OnlinePayment backend module for Chase Paymentech. It is only useful if you have a merchant account with Chase Paymentech: http://www.chasepaymentech.com/ . Business::OnlinePayment is a generic interface for processing payments through online credit card processors, online check acceptance houses, etc. (If you like buzzwords, call it an "multiplatform ecommerce-enabling middleware solution"). Homepage: http://search.cpan.org/dist/Business-OnlinePayment-PaymenTech/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbusiness-onlinepayment-paymentech-perl/libbusiness-onlinepayment-paymentech-perl_2.04-1_all.deb Package: libbusiness-onlinepayment-perl Version: 3.02-1 Installed-Size: 120 Maintainer: Debian Perl Group Architecture: all Depends: perl, libnet-https-any-perl Suggests: libbusiness-onlinepayment-backend-perl | libbusiness-onlinepayment-authorizenet-perl | libbusiness-onlinepayment-globalpayments-perl | libbusiness-onlinepayment-ippay-perl | libbusiness-onlinepayment-openecho-perl | libbusiness-onlinepayment-payconnect-perl | libbusiness-onlinepayment-payflowpro-perl | libbusiness-onlinepayment-paymentech-perl | libbusiness-onlinepayment-tclink-perl | libbusiness-onlinepayment-transactioncentral-perl | libbusiness-onlinepayment-usaepay-perl | libbusiness-onlinepayment-viaklix-perl Size: 33940 SHA256: 1ced5afc6c36ba47dab333a78efc134383405b5e1aae9d5ebc4ad8db9417732c SHA1: c9e80ed6d87b883e5dfaabd86a8126d9343ee535 MD5sum: 9c59b193fa72868ae04be722f44a1815 Description: Perl extension for online payment processing Business::OnlinePayment is a generic module for processing payments through online credit card processors, online check acceptance houses, etc. (If you like buzzwords, call it an "multiplatform ecommerce-enabling middleware solution"). . IMPORTANT: Business::OnlinePayment only defines the frontend interface to the system, in order to use it you also need to have at least one backend processing module installed. Homepage: http://420.am/business-onlinepayment/ Tag: devel::lang:perl, devel::library, field::finance, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbusiness-onlinepayment-perl/libbusiness-onlinepayment-perl_3.02-1_all.deb Package: libbusiness-onlinepayment-tclink-perl Version: 1.03-3 Installed-Size: 104 Maintainer: Ivan Kohler Architecture: all Depends: perl (>= 5.6.0-16), libnet-tclink-perl, libbusiness-onlinepayment-perl Size: 10436 SHA256: b70d3812207c82fb889124bce2b481de7ddc337438b59e444a026e61f261fc71 SHA1: ad17226a0b258b9ec5353d43a206cfd42fa3d2d9 MD5sum: 9183d4903a7fe8a163635f766bc8f6a4 Description: TrustCommerce backend for Business::OnlinePayment This is Business::OnlinePayment::TCLink, a Business::OnlinePayment backend module for the TrustCommerce payment gateway. It is only useful if you have an account with TrustCommerce: http://www.trustcommerce.com/ . Business::OnlinePayment is a generic interface for processing payments through online credit card processors, online check acceptance houses, etc. (If you like buzzwords, call it an "multiplatform ecommerce-enabling middleware solution"). Tag: devel::lang:perl, devel::library, field::finance, implemented-in::perl, network::client Section: perl Priority: optional Filename: pool/main/libb/libbusiness-onlinepayment-tclink-perl/libbusiness-onlinepayment-tclink-perl_1.03-3_all.deb Package: libbusiness-onlinepayment-transactioncentral-perl Version: 0.06-2 Installed-Size: 72 Maintainer: Ivan Kohler Architecture: all Depends: libbusiness-onlinepayment-perl (>= 2.99), libnet-ssleay-perl (>= 1.30) | libcrypt-ssleay-perl, libnet-ssleay-perl (>= 1.30) | libwww-perl, libtie-ixhash-perl, liburi-perl, perl (>= 5.6.0-16) Size: 9574 SHA256: 3aaff6334dd0c37a87d2c24d30e1133f1b3992c771a166a12d275dfb4cbc9282 SHA1: 933b841e17e49f214e591fe38b8508ee56bcb566 MD5sum: 14b90255d5673dd4994427036d3d3a5b Description: Transaction Central backend module for Business::OnlinePayment This is Business::OnlinePayment::TransactionCentral, a Business::OnlinePayment backend module for the MerchantAnywhere Transaction Central gateway. It is only useful if you have a merchant account with MerchantAnywhere: http://www.merchantanywhere.com/ http://www.merchantanywhere.com/ecshop/TC_elink.htm http://www.merchantanywhere.com/ecshop/TC%20Interface%20NEW.pdf Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbusiness-onlinepayment-transactioncentral-perl/libbusiness-onlinepayment-transactioncentral-perl_0.06-2_all.deb Package: libbusiness-onlinepayment-viaklix-perl Version: 0.01-3 Installed-Size: 84 Maintainer: Ivan Kohler Architecture: all Depends: perl (>= 5.6.0-16), libbusiness-onlinepayment-perl (>= 2.99), libnet-ssleay-perl (>= 1.30) | libcrypt-ssleay-perl, libnet-ssleay-perl (>= 1.30) | libwww-perl, liburi-perl, libtie-ixhash-perl Size: 12048 SHA256: 99206c0172fa0f4ff97f1a5ab7cb9b5856c38e8672e26182c2c74924d3099351 SHA1: dc6cbc9d53dd1cbd5a0e1717d205bbd4ff0ee32d MD5sum: 98629665393269e6140a086029d7436d Description: viaKLIX backend for Business::OnlinePayment This module is a back end driver that implements the interface specified by Business::OnlinePayment to support payment handling via viaKLIX's Internet payment solution. . See Business::OnlinePayment for details on the interface this modules supports. . Homepage: http://search.cpan.org/dist/Business-OnlinePayment-viaKLIX/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbusiness-onlinepayment-viaklix-perl/libbusiness-onlinepayment-viaklix-perl_0.01-3_all.deb Package: libbusiness-paypal-api-perl Version: 0.69-2 Installed-Size: 221 Maintainer: Debian Perl Group Architecture: all Depends: perl, libsoap-lite-perl Size: 80464 SHA256: c779f8f1c7131b2c081c9b9c5d3c97151c009a4290683df4a387813e4aa4b07a SHA1: ce0cc045336ea611e76c8b40696f43e5f43b4f6f MD5sum: 589f6610e7b3aad8581b813bbe72874b Description: module providing access to the PayPal API Business::PayPal::API is a Perl module providing access to the PayPal API. It supports both certificate authentication and the new 3-token "Signature" authentication. It also support PayPal's development sandbox for testing. Homepage: http://search.cpan.org/dist/Business-PayPal-API/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbusiness-paypal-api-perl/libbusiness-paypal-api-perl_0.69-2_all.deb Package: libbusiness-tax-vat-validation-perl Version: 1.00-1 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Depends: perl, libhttp-message-perl, libwww-perl Size: 16370 SHA256: 7999127d363d9cbc3cc0b767ceb32cd653a299b3f7e1635eb2d548c5eecbbe4d SHA1: 581f831576b3d57cfb4d5951fc4d315fad920763 MD5sum: c6c0dc2b6347709b54683edc914d88d4 Description: library for european VAT numbers validation Business::Tax::VAT::Validation is a Perl library providing an easy API to validate european VAT numbers and check if they have been registered by the competent authorities. It asks the VIES database when needed. Homepage: http://search.cpan.org/dist/Business-Tax-VAT-Validation/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbusiness-tax-vat-validation-perl/libbusiness-tax-vat-validation-perl_1.00-1_all.deb Package: libbusiness-us-usps-webtools-perl Version: 1.11-1 Installed-Size: 124 Maintainer: Ivan Kohler Architecture: all Depends: libhash-asobject-perl, libtest-manifest-perl (>= 1.14), libtest-simple-perl, liburi-perl, libwww-perl, perl (>= 5.6.0-16) Size: 13496 SHA256: b745347be75492b01ea348afd28878125b31b8fe5a96c6bd6dffbd447fd8d05e SHA1: c4efa8b60fed8998bb4b9aa987e5441afd5a5969 MD5sum: 1c8256a517b80dfcd93b9ac4ffb2ee7d Description: Perl module enabling use of USPS Web Tools services This module provides a Perl interface to the US Postal Service's Web Tools service. You need a UserID and Password to use these services. See the Web Tools site at http://www.usps.com/webtools/ for details. Homepage: http://search.cpan.org/dist/Business-US-USPS-WebTools/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libb/libbusiness-us-usps-webtools-perl/libbusiness-us-usps-webtools-perl_1.11-1_all.deb Package: libbuzztard-dev Source: buzztard Version: 0.5.0-4 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 2405 Depends: libbuzztard0 (= 0.5.0-4), libgstreamer0.10-dev (>= 0.10.20), libglib2.0-dev (>= 2.10.0) Replaces: buzztard-data (<< 0.5.0-2) Homepage: http://www.buzztard.org Priority: optional Section: libdevel Filename: pool/main/b/buzztard/libbuzztard-dev_0.5.0-4_armhf.deb Size: 358732 SHA256: c6642f12cb4e4ee825ea89b2d49d052b686f8350bf5b51b52eb7397ccd216949 SHA1: bfb682b05fdeb480d6f396e574426de5f25107c0 MD5sum: c84c294e6a526adfca7f6d33bd03d063 Description: Modular music composer - development files The Buzztard project aims to provide a modular, free, open source music studio that is conceptually based on the proprietary Windows software called Buzz. The Buzztard project itself has no direct link to Buzz apart from its concepts that we build upon and is no 1:1 Buzz copy. To allow migration for Buzz users, Buzztard provides song-file import and buzz-machine reuse. . This package contains the header files that are needed to link to the shared library. Package: libbuzztard0 Source: buzztard Version: 0.5.0-4 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 532 Depends: gconf-service, libbml0 (>= 0.6.0), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.24.0), libgnomevfs2-0 (>= 1:2.17.90), libgsf-1-114 (>= 1.14.8), libgstbuzztard0 (>= 0.5.0), libgstreamer-plugins-base0.10-0 (>= 0.10.20), libgstreamer0.10-0 (>= 0.10.22), libhal1 (>= 0.5.8.1), libxml2 (>= 2.7.4) Homepage: http://www.buzztard.org Priority: optional Section: libs Filename: pool/main/b/buzztard/libbuzztard0_0.5.0-4_armhf.deb Size: 244024 SHA256: 5a22f78888910968e8e3ee45edd3102bb506923d9b7da59d56d999c16b4a85c2 SHA1: 788b1f36a37b15f31fc63f118e3a8b7072f7e13f MD5sum: 0b6750c3894a24f61f7b3ce567155433 Description: Modular music composer - shared libraries The Buzztard project aims to provide a modular, free, open source music studio that is conceptually based on the proprietary Windows software called Buzz. The Buzztard project itself has no direct link to Buzz apart from its concepts that we build upon and is no 1:1 Buzz copy. To allow migration for Buzz users, Buzztard provides song-file import and buzz-machine reuse. . This package contains the shared library files. Package: libbytecode-java Source: bytecode Version: 0.92.svn.20090106-1 Installed-Size: 116 Maintainer: Steffen Moeller Architecture: all Depends: openjdk-6-jre | sun-java5-jre | sun-java6-jre | java2-runtime Size: 89804 SHA256: 9d74c9a10a96e0ba751c24764b00a9cc8cfce0fa4a77b0199272451a9ee6809b SHA1: e5dd0d8a0b360485f389861264831cbb95f47414 MD5sum: 0a8fb524957b58cc18623de1a40c9cbe Description: Java bytecode manipulation library The library presents itself as a collection of routines to manipulate Java bytecode. It allows for the dynamic creation of Java class files without using of Javac. Such tailored code can be used, i.e. as for the upstream's motivation of the BioJava developers, to generate implementations of Hidden Markov Models. It thus acts much like inline assembly for Java. . http://www.biojava.org Section: java Priority: optional Filename: pool/main/b/bytecode/libbytecode-java_0.92.svn.20090106-1_all.deb Package: libbytecode-java-doc Source: bytecode Version: 0.92.svn.20090106-1 Installed-Size: 1696 Maintainer: Steffen Moeller Architecture: all Suggests: lynx | www-browser Size: 95198 SHA256: 31dd38db6ec9c6ed3123bd63da30cf2133bf7186908dd12a6fccef1f196f4389 SHA1: 09d485376b6fade0e1a2401b3c4ddfd77d41dd52 MD5sum: 6edc78e126ffc94003d40042e81d660f Description: Documentation for the API of the Java bytecode library Javadoc-generated description of the bytecode API in HTML. . http://www.biojava.org Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/b/bytecode/libbytecode-java-doc_0.92.svn.20090106-1_all.deb Package: libbytelist-java Version: 1.0.6-1 Installed-Size: 96 Maintainer: Debian Java Maintainers Architecture: all Depends: libjcodings-java Size: 15694 SHA256: 6ed1ce21ad6e1a869ed8a7b822266093836d5faaa1856b8bb1a154c5767c926f SHA1: b09e817476d71d44a6762a52fc2c7324af66ded0 MD5sum: f5e4a39b69455f57f7b01a2eb49eec60 Description: helper library for packaging JRuby This library provides the org.jruby.util.ByteList byte container. Homepage: http://github.com/jruby/bytelist Section: java Priority: optional Filename: pool/main/libb/libbytelist-java/libbytelist-java_1.0.6-1_all.deb Package: libbz2-1.0 Source: bzip2 Version: 1.0.6-4 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 116 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://www.bzip.org/ Priority: important Section: libs Filename: pool/main/b/bzip2/libbz2-1.0_1.0.6-4_armhf.deb Size: 47386 SHA256: a559216a6e77f0d221a94764f986d7ca48d84816bb3b7b3dc103a08e52b12101 SHA1: 42cc4cdba943bb52c47df76271fc442aa3a25f0b MD5sum: 0813f76fd6447253d6ebe5b69875300e Description: high-quality block-sorting file compressor library - runtime This package contains libbzip2 which is used by the bzip2 compressor. . bzip2 is a freely available, patent free, high-quality data compressor. It typically compresses files to within 10% to 15% of the best available techniques, whilst being around twice as fast at compression and six times faster at decompression. . bzip2 compresses files using the Burrows-Wheeler block-sorting text compression algorithm, and Huffman coding. Compression is generally considerably better than that achieved by more conventional LZ77/LZ78-based compressors, and approaches the performance of the PPM family of statistical compressors. . The archive file format of bzip2 (.bz2) is incompatible with that of its predecessor, bzip (.bz). Package: libbz2-dev Source: bzip2 Version: 1.0.6-4 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 109 Depends: libbz2-1.0 (= 1.0.6-4), libc6-dev | libc-dev Replaces: bzip2 (<< 0.9.5d-3) Multi-Arch: same Homepage: http://www.bzip.org/ Priority: optional Section: libdevel Filename: pool/main/b/bzip2/libbz2-dev_1.0.6-4_armhf.deb Size: 33930 SHA256: 21a0c13f21e8281646492ede507df45fc9dda856f7cc4d5db22d4ab3e220feaf SHA1: d91ad0e2b679fd172d450e5976fbdc41c25e222c MD5sum: 593a885a397f01b318b9c82a5c2cb394 Description: high-quality block-sorting file compressor library - development Static libraries and include files for the bzip2 compressor library. . bzip2 is a freely available, patent free, high-quality data compressor. It typically compresses files to within 10% to 15% of the best available techniques, whilst being around twice as fast at compression and six times faster at decompression. . bzip2 compresses files using the Burrows-Wheeler block-sorting text compression algorithm, and Huffman coding. Compression is generally considerably better than that achieved by more conventional LZ77/LZ78-based compressors, and approaches the performance of the PPM family of statistical compressors. . The archive file format of bzip2 (.bz2) is incompatible with that of its predecessor, bzip (.bz). Package: libbz2-ocaml Source: camlbz2 Version: 0.6.0-6 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 49 Depends: ocaml-base-nox-3.12.1, libbz2-1.0, libc6 (>= 2.13-28) Provides: libbz2-ocaml-1qq81 Homepage: http://camlbz2.forge.ocamlcore.org Priority: optional Section: ocaml Filename: pool/main/c/camlbz2/libbz2-ocaml_0.6.0-6_armhf.deb Size: 7518 SHA256: cb6b68ede333d3813a8ba357594362a67077d1efba64dbe303f88f76646b4299 SHA1: 1b5f0d9a7155fd59a78b907911b520826cfa9c5c MD5sum: 2c0d7c8b48ffa3b216a574215681ca03 Description: OCaml bindings for the bzip2 compression library CamlBZ2 provides OCaml bindings for libbz2 (AKA bzip2), a popular compression library which typically compresses better (i.e., smaller resulting files) than gzip. . Using CamlBZ2 you can read and write compressed "files", where files can be anything offering an in_channel/out_channel abstraction (files, sockets, ...). . Also, with CamlBZ2 you can compress and decompress strings in memory using the bzip2 compression algorithm. . This package contains only the shared runtime stub libraries. Package: libbz2-ocaml-dev Source: camlbz2 Version: 0.6.0-6 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 107 Depends: libbz2-dev, libbz2-ocaml (= 0.6.0-6), ocaml-findlib, libbz2-ocaml-1qq81, ocaml-nox-3.12.1 Provides: libbz2-ocaml-dev-1qq81 Homepage: http://camlbz2.forge.ocamlcore.org Priority: optional Section: ocaml Filename: pool/main/c/camlbz2/libbz2-ocaml-dev_0.6.0-6_armhf.deb Size: 13636 SHA256: 40d0c41205ddf582245b97358136d406920611ac81e13158cebebd30eaadd2ec SHA1: 434374cdfb73785e6daf379e8fc99468ac0b1603 MD5sum: e2a5be3acb29cc254ea415f96785447e Description: OCaml bindings for the bzip2 compression library CamlBZ2 provides OCaml bindings for libbz2 (AKA bzip2), a popular compression library which typically compresses better (i.e., smaller resulting files) than gzip. . Using CamlBZ2 you can read and write compressed "files", where files can be anything offering an in_channel/out_channel abstraction (files, sockets, ...). . Also, with CamlBZ2 you can compress and decompress strings in memory using the bzip2 compression algorithm. . This package contains all the development stuff you need to use CamlBZ2 in your programs. Package: libbz2-ruby1.8 Source: libbz2-ruby Version: 0.2.2-2 Architecture: armhf Maintainer: Adam Majer Installed-Size: 82 Depends: libbz2-1.0, libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.352) Priority: optional Section: interpreters Filename: pool/main/libb/libbz2-ruby/libbz2-ruby1.8_0.2.2-2_armhf.deb Size: 18014 SHA256: c26b4298c768931cb33f1fce4cb5b12bd925d4a057c35b424a77872d84023ecf SHA1: f336ac5260415ccbbf8d7d92d0eea2c25637138b MD5sum: ca3ea5bdb6c877a0c0c0541f20488ffe Description: libbz2 bindings for Ruby 1.8 libbz2 binding library for Ruby 1.8 Package: libc-ares-dev Source: c-ares Version: 1.9.1-3+deb7u2 Architecture: armhf Maintainer: Andreas Schuldei Installed-Size: 227 Depends: libc-ares2 (= 1.9.1-3+deb7u2) Conflicts: libares-dev Multi-Arch: same Homepage: http://c-ares.haxx.se/ Priority: extra Section: libdevel Filename: pool/main/c/c-ares/libc-ares-dev_1.9.1-3+deb7u2_armhf.deb Size: 134076 SHA256: 5a4193fdc787b52b34d30d3cbe1fbb971b82f0288ae82affd463978293278b12 SHA1: b243361811fa010c5cfe60f340bda05f1fbdbd6c MD5sum: cc7d0f7b1f6a4d0a75b2dfe217c10ebd Description: library for asynchronous name resolves (development files) c-ares is a C library that performs DNS requests and name resolves asynchronously. . c-ares is a fork of the library named 'ares' . additionally it features * IPv6 support * Extended cross platform portability * 64bit cleaned sources . This package contains development files (headers and static libraries). Package: libc-ares2 Source: c-ares Version: 1.9.1-3+deb7u2 Architecture: armhf Maintainer: Andreas Schuldei Installed-Size: 95 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Conflicts: libcares2 Replaces: libc-ares1 Multi-Arch: same Homepage: http://c-ares.haxx.se/ Priority: extra Section: libs Filename: pool/main/c/c-ares/libc-ares2_1.9.1-3+deb7u2_armhf.deb Size: 69282 SHA256: c679d2b6d96e2b369bd349350f1548a02aeda300240f64509646630c14ac366e SHA1: 71ce4ae6833a0fc3ae371147fcd69514d5ca92a5 MD5sum: 9623300cb94ad466680fa31df24e71cb Description: library for asynchronous name resolves c-ares is a C library that performs DNS requests and name resolves asynchronously. . c-ares is a fork of the library named 'ares' . additionally it features * IPv6 support * Extended cross platform portability * 64bit cleaned sources Package: libc-bin Source: eglibc Version: 2.13-38+rpi2+deb7u12 Architecture: armhf Essential: yes Maintainer: GNU Libc Maintainers Installed-Size: 3015 Breaks: libc0.1 (<< 2.10), libc0.3 (<< 2.10), libc6 (<< 2.10), libc6.1 (<< 2.10) Replaces: libc0.1, libc0.3, libc6, libc6.1 Multi-Arch: foreign Homepage: http://www.eglibc.org Priority: required Section: libs Filename: pool/main/e/eglibc/libc-bin_2.13-38+rpi2+deb7u12_armhf.deb Size: 1206234 SHA256: eee6cf4992b5931fac982979f1ade50a8e677f0b0583d03d19d70222694bed46 SHA1: 3ec1c9644b403ccec43d3fbc8e0074491b1fe796 MD5sum: 4c4458fde9a0f71ea11976bc01095906 Description: Embedded GNU C Library: Binaries This package contains utility programs related to the GNU C Library. . * catchsegv: catch segmentation faults in programs * getconf: query system configuration variables * getent: get entries from administrative databases * iconv, iconvconfig: convert between character encodings * ldd, ldconfig: print/configure shared library dependencies * locale, localedef: show/generate locale definitions * rpcinfo: report RPC information * tzselect, zdump, zic: select/dump/compile time zones Package: libc-client2007e Source: uw-imap Version: 8:2007f~dfsg-2 Architecture: armhf Maintainer: Magnus Holmgren Installed-Size: 1323 Depends: libpam-modules, mlock, libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libpam0g (>= 0.99.7.1), libssl1.0.0 (>= 1.0.0) Suggests: uw-mailutils Homepage: http://www.washington.edu/imap/ Priority: optional Section: libs Filename: pool/main/u/uw-imap/libc-client2007e_2007f~dfsg-2_armhf.deb Size: 734476 SHA256: 17fd5876df3e0edca0b0b34b04fe265d06d1c1f41c036bf549211b6f1d7a6277 SHA1: 97f80f5b957de49dc6dbbf1aa36b59986ee04a11 MD5sum: 37318a2886dac0b0e84a6ff6f56a329f Description: c-client library for mail protocols - library files IMAP (Internet Message Access Protocol) is a method of accessing electronic messages kept on a (possibly shared) mail server. . The UW (University of Washington) IMAP toolkit provides the c-client mail-handling library supporting various network transport methods, file system storage formats, and authentication and authorization methods. . This package contains the shared c-client library. Package: libc-client2007e-dev Source: uw-imap Version: 8:2007f~dfsg-2 Architecture: armhf Maintainer: Magnus Holmgren Installed-Size: 1478 Depends: comerr-dev, libkrb5-dev, libpam0g-dev, libssl-dev, libc-client2007e (= 8:2007f~dfsg-2) Conflicts: libc-client-dev Replaces: libc-client-dev Provides: libc-client-dev Homepage: http://www.washington.edu/imap/ Priority: extra Section: libdevel Filename: pool/main/u/uw-imap/libc-client2007e-dev_2007f~dfsg-2_armhf.deb Size: 680788 SHA256: bf48f071b6d9ad93fbbf0fc292f7488cbdd401353fb3de1a51aedcd9f4f0f8d5 SHA1: 92ff1ba4ce4cfe3384cde872ff696c9f1d74489f MD5sum: 3c482d8a2f8f0fd59e7b7e674647a896 Description: c-client library for mail protocols - development files IMAP (Internet Message Access Protocol) is a method of accessing electronic messages kept on a (possibly shared) mail server. . The UW (University of Washington) IMAP toolkit provides the c-client mail-handling library supporting various network transport methods, file system storage formats, and authentication and authorization methods. . This package contains the static c-client library and development headers. Package: libc-dev-bin Source: eglibc Version: 2.13-38+rpi2+deb7u12 Architecture: armhf Maintainer: GNU Libc Maintainers Installed-Size: 325 Depends: libc6 (>= 2.13-28), libc6 (<< 2.14) Recommends: manpages-dev Replaces: libc0.1-dev, libc0.3-dev, libc6-dev, libc6.1-dev Multi-Arch: foreign Homepage: http://www.eglibc.org Priority: optional Section: libdevel Filename: pool/main/e/eglibc/libc-dev-bin_2.13-38+rpi2+deb7u12_armhf.deb Size: 223962 SHA256: 61be74071720a5003824097b9a6392771445b578df44788dac1b81add53880b8 SHA1: 77a2e58ae76d511e00a967d8c799f1b0794df109 MD5sum: 70713a72480ecba3924e821977d3c7b5 Description: Embedded GNU C Library: Development binaries This package contains utility programs related to the GNU C Library development package. Package: libc-icap-mod-clamav Source: c-icap-modules (1:0.1.6-1) Version: 1:0.1.6-1+b1 Architecture: armhf Maintainer: Tim Weippert Installed-Size: 39 Depends: libc6 (>= 2.13-28), libclamav7 (>= 0.99~rc1), clamav, c-icap (>= 1:0.1.4-1) Breaks: c-icap (<< 1:0.1.3-1) Replaces: c-icap Homepage: http://c-icap.sourceforge.net/ Priority: extra Section: net Filename: pool/main/c/c-icap-modules/libc-icap-mod-clamav_0.1.6-1+b1_armhf.deb Size: 18718 SHA256: 96a3b5950c24f265337ca879d5ba3591240b4445ba6e391fb28b0fd51fd693c3 SHA1: b36e80bafe8d2f45b7519882dc2fbc8f4b411bf8 MD5sum: 24cbdeef269e67861642070155aaf366 Description: C-ICAP Antivirus Service for c-icap (libclamav) This is an ClamAV Service for c-icap which uses libclamav to do scanning. It is distributed with c-icap and written by the same author. . If you are looking for an clamd Service use libc-icap-mod-squidclamav. Package: libc-icap-mod-squidclamav Source: squidclamav Version: 6.4-1 Architecture: armhf Maintainer: Tim Weippert Installed-Size: 146 Depends: libc6 (>= 2.13-28), c-icap (>= 1:0.1.3-1), clamav-daemon Recommends: httpd-cgi Homepage: http://squidclamav.darold.net/ Priority: extra Section: net Filename: pool/main/s/squidclamav/libc-icap-mod-squidclamav_6.4-1_armhf.deb Size: 41372 SHA256: 3f6793c90ba0d3ee2fce34c4e7537e54c9ec25a11dae9e336c4ac828077f5e39 SHA1: 9de3b52bc8da96bb6061f7e51a5b6852f08bbba7 MD5sum: d6d2087eecf8851971ba5e8bd6afd29d Description: ICAP Antivirus Service for c-icap SquidClamav since version 6.x works as an ICAP service through the c-icap server. It is faster than previous v5.x releases and also remove old limitation on POST request, sites with sessions like webmail and audio/video streaming. The v6.x branch still allow chaining squidGuard with high performance. . For interaction with the user, an CGI script is used, so an Webserver witch CGI Supports is need wihtin your network or on the same host. Package: libc-icap-mod-urlcheck Source: c-icap-modules (1:0.1.6-1) Version: 1:0.1.6-1+b1 Architecture: armhf Maintainer: Tim Weippert Installed-Size: 22 Depends: libc6 (>= 2.13-28), c-icap (>= 1:0.1.4-1) Breaks: c-icap (<< 1:0.1.3-1) Replaces: c-icap Homepage: http://c-icap.sourceforge.net/ Priority: extra Section: net Filename: pool/main/c/c-icap-modules/libc-icap-mod-urlcheck_0.1.6-1+b1_armhf.deb Size: 11816 SHA256: 7f1a3efc93618e6282ebe8f8a2f63cae4320088f927be0f6a944bb597646c531 SHA1: f7936b4ec3c0bd6a96c663a1f03ea1c64f9f1529 MD5sum: 8620bd26749d57750adc5787ea2a2bac Description: C-ICAP URL Check Service for c-icap This is an URL Check Service for c-icap an is distributed with c-icap and written by the same author. . If you are looking for an integration with squidguard, see libc-icap-mod-squidclamav, which integrated Antivirus and Squidguard redirection within one service. Package: libc3p0-java Source: c3p0 Version: 0.9.1.2-7 Installed-Size: 658 Maintainer: Debian Java Maintainers Architecture: all Depends: liblog4j1.2-java, libmx4j-java Size: 569610 SHA256: 260fe8229f76487976b281eedcb0e31d67294cffc45c077e1bfeb684399e2352 SHA1: 40cb3d08fe936e7c627cca2c65629b9086bb038b MD5sum: 268188c0700bbb5f8b16efca001dd004 Description: library for JDBC connection pooling c3p0 is an easy-to-use library for making traditional JDBC drivers "enterprise-ready" by augmenting them with functionality defined by the jdbc3 spec and the optional extensions to jdbc2. Homepage: http://sourceforge.net/projects/c3p0 Tag: role::shared-lib Section: java Priority: optional Filename: pool/main/c/c3p0/libc3p0-java_0.9.1.2-7_all.deb Package: libc3p0-java-doc Source: c3p0 Version: 0.9.1.2-7 Installed-Size: 924 Maintainer: Debian Java Maintainers Architecture: all Suggests: libc3p0-java Size: 75268 SHA256: 40a247f12d1b0903c809e0d20f61015f59a013e78e84ae1388cd915379166a7f SHA1: 22f4cd8cdded1a60a51a806d29b7545c4fb2ffd9 MD5sum: 391d2d3769833866fab5638358a6b390 Description: library for JDBC connection pooling (documentation) c3p0 is an easy-to-use library for making traditional JDBC drivers "enterprise-ready" by augmenting them with functionality defined by the jdbc3 spec and the optional extensions to jdbc2. . This package includes the documentation. Homepage: http://sourceforge.net/projects/c3p0 Tag: devel::doc, devel::lang:java, devel::lang:sql, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/c3p0/libc3p0-java-doc_0.9.1.2-7_all.deb Package: libc6 Source: eglibc Version: 2.13-38+rpi2+deb7u12 Architecture: armhf Maintainer: GNU Libc Maintainers Installed-Size: 8719 Depends: libc-bin (= 2.13-38+rpi2+deb7u12), libgcc1 Suggests: glibc-doc, debconf | debconf-2.0, locales Conflicts: prelink (<= 0.0.20090311-1), tzdata (<< 2007k-1), tzdata-etch Breaks: locales (<< 2.13), locales-all (<< 2.13), nscd (<< 2.13) Provides: glibc-2.13-1 Multi-Arch: same Homepage: http://www.eglibc.org Priority: required Section: libs Filename: pool/main/e/eglibc/libc6_2.13-38+rpi2+deb7u12_armhf.deb Size: 4130198 SHA256: 47502b76457dc5dd49daa2da05529b27a47601e67b19127390c66b2d1e55be5f SHA1: 6c59f828aaf35e417967d8b7e998a8ff6ca44533 MD5sum: 9e21ef26c1e5158f6f2ac391ddf0f913 Description: Embedded GNU C Library: Shared libraries Contains the standard libraries that are used by nearly all programs on the system. This package includes shared versions of the standard C library and the standard math library, as well as many others. Package: libc6-dbg Source: eglibc Version: 2.13-38+rpi2+deb7u12 Architecture: armhf Maintainer: GNU Libc Maintainers Installed-Size: 15238 Depends: libc6 (= 2.13-38+rpi2+deb7u12) Provides: libc-dbg Multi-Arch: same Homepage: http://www.eglibc.org Priority: extra Section: debug Filename: pool/main/e/eglibc/libc6-dbg_2.13-38+rpi2+deb7u12_armhf.deb Size: 2713612 SHA256: fab0444a37b0014ab5d1cd2a56362924b55f51fe7bff7a793eabe206627daa8d SHA1: ee02778c03fd5ec4a0a655528a40627ae2a7be16 MD5sum: 0c8d7d10dab0d4bebfb9c4a1e105966c Description: Embedded GNU C Library: detached debugging symbols This package contains the detached debugging symbols for the GNU C library. Package: libc6-dev Source: eglibc Version: 2.13-38+rpi2+deb7u12 Architecture: armhf Maintainer: GNU Libc Maintainers Installed-Size: 8109 Depends: libc6 (= 2.13-38+rpi2+deb7u12), libc-dev-bin (= 2.13-38+rpi2+deb7u12), linux-libc-dev Recommends: gcc | c-compiler Suggests: glibc-doc, manpages-dev Conflicts: libc0.1-dev, libc0.3-dev, libc6.1-dev Breaks: binutils (<< 2.20.1-1), binutils-gold (<< 2.20.1-11), cmake (<< 2.8.4+dfsg.1-5), gcc-4.4 (<< 4.4.6-4), gcc-4.5 (<< 4.5.3-2), gcc-4.6 (<< 4.6.0-12), libhwloc-dev (<< 1.2-3), libjna-java (<< 3.2.7-4), liblouis-dev (<< 2.3.0-2), liblouisxml-dev (<< 2.4.0-2), make (<< 3.81-8.1), pkg-config (<< 0.26-1) Provides: libc-dev Multi-Arch: same Homepage: http://www.eglibc.org Priority: optional Section: libdevel Filename: pool/main/e/eglibc/libc6-dev_2.13-38+rpi2+deb7u12_armhf.deb Size: 2431708 SHA256: 06285e65c1fca19064369425dc3537a633a0b5dbbc223f5df07ad2949ceeeef8 SHA1: e4025a7d79b742cc7945780deeef5880e18981a3 MD5sum: 822f5e304dc6196834ff00a2e0bcb288 Description: Embedded GNU C Library: Development Libraries and Header Files Contains the symlinks, headers, and object files needed to compile and link programs which use the standard C library. Package: libc6-pic Source: eglibc Version: 2.13-38+rpi2+deb7u12 Architecture: armhf Maintainer: GNU Libc Maintainers Installed-Size: 4319 Depends: libc6 (= 2.13-38+rpi2+deb7u12) Conflicts: libc-pic Provides: glibc-pic, libc-pic Multi-Arch: same Homepage: http://www.eglibc.org Priority: optional Section: libdevel Filename: pool/main/e/eglibc/libc6-pic_2.13-38+rpi2+deb7u12_armhf.deb Size: 1411898 SHA256: f78f4e734969f5d44db0973bbf1bc81708d689972a7bdf12d1869a1787e823a3 SHA1: 508cb0858270c9be35b75d1d155fa6e0b6c4de91 MD5sum: 960540d9ae7a08f01b35395242f0308c Description: Embedded GNU C Library: PIC archive library Contains an archive library (ar file) composed of individual shared objects. This is used for creating a library which is a smaller subset of the standard libc shared library. The reduced library is used by debian-installer and may be useful for custom installation media and in embedded systems. Package: libc6-prof Source: eglibc Version: 2.13-38+rpi2+deb7u12 Architecture: armhf Maintainer: GNU Libc Maintainers Installed-Size: 5959 Depends: libc6 (= 2.13-38+rpi2+deb7u12) Multi-Arch: same Homepage: http://www.eglibc.org Priority: extra Section: libdevel Filename: pool/main/e/eglibc/libc6-prof_2.13-38+rpi2+deb7u12_armhf.deb Size: 1897140 SHA256: cc9a0edb90ab2af868eea54beb5582d4f930705b50661d8af1cfb289d0ec39fa SHA1: 1c51ad26aff8dd4e5970b749915e5299067dcdff MD5sum: d8115d1bae015707bc34a447897a71c9 Description: Embedded GNU C Library: Profiling Libraries Static libraries compiled with profiling info (-pg) suitable for use with gprof. Package: libcableswig-dev Source: cableswig Version: 0.1.0+cvs20111009-1 Architecture: armhf Maintainer: Steve M. Robbins Installed-Size: 816 Homepage: http://www.itk.org/HTML/CableSwig.html Priority: optional Section: libdevel Filename: pool/main/c/cableswig/libcableswig-dev_0.1.0+cvs20111009-1_armhf.deb Size: 197750 SHA256: 7a79bbdf7a2e1ede2bbaf91e70d465b6371ac307877ef3b9984564e9fb0b25b4 SHA1: 101d2e731c349277f1e056c9e99e72c56d9fdab0 MD5sum: 7a74c3fc89f6dc27b6931decc5e23f1c Description: Libraries for writing a C++ wrapper generator The CableSwig development libraries provide facilities to write an interface generator for C++ code. These libraries are particularly suited for wrapping C++ code that SWIG cannot parse (deeply nested template instantiations). . These libraries are part of the CableSwig tool. Package: libcaca-dev Source: libcaca Version: 0.99.beta18-1 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 2376 Depends: libcaca0 (= 0.99.beta18-1), libslang2-dev Homepage: http://caca.zoy.org/wiki/libcaca Priority: optional Section: libdevel Filename: pool/main/libc/libcaca/libcaca-dev_0.99.beta18-1_armhf.deb Size: 995342 SHA256: 81599b7eae8bf6173de67b554cd4cc6054ca4a82ecd232ba842ed69a760f5aa2 SHA1: 46da1f7ff0b83e80fba8e2338ac62d18d005e79c MD5sum: 5ec588d660ddf71b673ddef255bad974 Description: development files for libcaca libcaca is the Colour AsCii Art library. It provides high level functions for colour text drawing, simple primitives for line, polygon and ellipse drawing, as well as powerful image to text conversion routines. . This package contains the header files and static libraries needed to compile applications or shared objects that use libcaca. Package: libcaca0 Source: libcaca Version: 0.99.beta18-1 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 998 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncursesw5 (>= 5.6+20070908), libslang2 (>= 2.0.7-1), libstdc++6 (>= 4.4.0), libtinfo5, zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://caca.zoy.org/wiki/libcaca Priority: optional Section: libs Filename: pool/main/libc/libcaca/libcaca0_0.99.beta18-1_armhf.deb Size: 383338 SHA256: 173586cbf5df1bbf022c9270dc28599feb19e1866c64e32d23c8ea801bdd22c7 SHA1: a03c27b13046568d833f99e8697174c13a675e00 MD5sum: 594c1cb24e0b98203bb9e5b25aa629c6 Description: colour ASCII art library libcaca is the Colour AsCii Art library. It provides high level functions for colour text drawing, simple primitives for line, polygon and ellipse drawing, as well as powerful image to text conversion routines. . This package contains the shared library for libcaca. Package: libcache-cache-perl Version: 1.06-2 Installed-Size: 276 Maintainer: Debian Perl Group Architecture: all Depends: perl, liberror-perl, libipc-sharelite-perl Size: 79286 SHA256: 2fd176f0c24442a125f077ed6a16990e4906694711f5a45c2f8d80ffd6fa4267 SHA1: 5d6a5dffe6cbbf219b3db6b84e271c6da8afd931 MD5sum: 09e66149d19008c764db89aff7ee4e26 Description: Managed caches of persistent information The Cache modules are designed to assist a developer in persisting data for a specified period of time. Often these modules are used in web applications to store data locally to save repeated and redundant expensive calls to remote machines or databases. People have also been known to use Cache::Cache for its straightforward interface in sharing data between runs of an application or invocations of a CGI-style script or simply as an easy to use abstraction of the filesystem or shared memory. Homepage: http://search.cpan.org/dist/Cache-Cache/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcache-cache-perl/libcache-cache-perl_1.06-2_all.deb Package: libcache-fastmmap-perl Version: 1.40-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 160 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Cache-FastMmap/ Priority: optional Section: perl Filename: pool/main/libc/libcache-fastmmap-perl/libcache-fastmmap-perl_1.40-1_armhf.deb Size: 48184 SHA256: d8ea58ee0d5dd2af1e77f3c1c1e2f58cc7998922ae04b2a66ff02465438782b8 SHA1: 3e2ee25f3565579d7e2a0e9dbe325312ff9c12b4 MD5sum: 23f8f92480f0edfd445d4a32e0a3dee2 Description: Perl module providing a mmap'ed cache Cache::FastMmap uses the mmap system call to establish an interprocess shared memory cache. Its core code is written in C, which can provide significant performance compared to a Pure Perl implementation such as Cache::Mmap. It can handle rather large pages without the socket connection and latency of using full-fledged databases where long-term persistence is unnecessary. . Since the algorithm uses a dual-level hashing system (a hash is used to find a page, then another hash within each page to find a given slot), most get calls can execute in constant O(1) time. The system uses fcntl to handle concurrent access, but only locks individual pages to reduce contention. The oldest (least recently used) data is evicted from the cache first, making this cache implementation most suitable for cases when old data is unlikely to be searched. Package: libcache-historical-perl Version: 0.05-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdbd-sqlite3-perl, libdbi-perl, liblog-log4perl-perl, librose-db-object-perl (>= 1:0.785), librose-db-perl Size: 9020 SHA256: ced4eb6e4bd280cdba47dc9272fb70e524b0d66afa212499399503d807753059 SHA1: 8c09226605de5e9ac8c6961ac4a9222eaaca60e9 MD5sum: 906e161ff708031a18465b0e3d0e928d Description: simple data caching service with time history Cache::Historical provides simple methods to store and search text values that are tied to a time and a key, like user or category. Each caching operation sets a time stamp, thus making it possible to search according to events in time. Like the name suggests, it was originally conceived for recording of historic events such as stock trading. . This module uses SQLite3 as database backend, thus leaving ample space for costumized additional tools to the same cached historic data. Homepage: http://search.cpan.org/dist/Cache-Historical/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, scope::utility Section: perl Priority: optional Filename: pool/main/libc/libcache-historical-perl/libcache-historical-perl_0.05-1_all.deb Package: libcache-memcached-fast-perl Version: 0.19-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 223 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Enhances: libcache-memcached-perl Homepage: http://openhack.ru/Cache-Memcached-Fast Priority: optional Section: perl Filename: pool/main/libc/libcache-memcached-fast-perl/libcache-memcached-fast-perl_0.19-2_armhf.deb Size: 68010 SHA256: a082cb8a4e8b9b81f51da960846a9200e9815fda5090b5e343dd445623894b10 SHA1: 7fc7f1710f30f8b9ca98d8f5dd6ad11aa2d75d5c MD5sum: 7fa5a545c0829b906e743fa0d5e91f41 Description: Perl client for memcached, in C language Cache::Memcahced::Fast is a Perl client for memcached, a memory cache daemon (http://www.danga.com/memcached/). Module core is implemented in C and tries hard to minimize number of system calls and to avoid any key/value copying for speed. As a result, it has very low CPU consumption. . API is largely compatible with Cache::Memcached, original pure Perl client, most users of the original module may start using this module by installing it and adding "::Fast" to the old name in their scripts. Package: libcache-memcached-managed-perl Version: 0.24-1 Installed-Size: 164 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcache-memcached-perl, memcached Recommends: libsocket6-perl Size: 50214 SHA256: 351d24e95912d4f85e6e733f54848778abe5d1a831488cd9ffd61a5e564e17e2 SHA1: 3f716e1ae82c2869982ef6868da03111e73bfd71 MD5sum: 2bf6c3b691bd70254c3d6b60447aae26 Description: API for managing cached information The Cache::Memcached::Managed module provides an API to values, cached in one or more memcached servers. Apart from being very similar to the API of Cache::Memcached, the Cached::Memcached::Managed API allows for management of groups of values, for simplified key generation and expiration, as well as version and namespace management and a few other goodies. . Over the functionality provided by the Cache::Memcached module, this module provides: automatic key generation, ID refinement, version management, namespace support, group management, easy (default) expiration specification, automatic fork() detection, magical increment, instant invalidation, dead memcached server detection, starting/stopping memcached servers and an extensive test-suite. . The basic premise is that each piece of information that is to be cached, can be identified by a key, an optional ID, a version and a namespace. The key determines the basic identification of the value to be cached. The ID specifies a refinement on the basic identification. The version ensures that differently formatted values with the same key and ID do not interfere with each other. The namespace ensures that different realms of information (for instance, for different users) do not interfere with each other. Homepage: http://search.cpan.org/dist/Cache-Memcached-Managed/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcache-memcached-managed-perl/libcache-memcached-managed-perl_0.24-1_all.deb Package: libcache-memcached-perl Version: 1.30-1 Installed-Size: 99 Maintainer: Debian Perl Group Architecture: all Depends: perl, libstring-crc32-perl Suggests: memcached, libsocket6-perl Size: 28018 SHA256: 3987b92ede3bbf0c352c49777c1d10b6e051029693ef4e93c2803463003e17e1 SHA1: bac4fcb8c873caafafc24b17696d193dfac214af MD5sum: d3de818c8ae07fbdf32b0562559d3240 Description: Perl module for using memcached servers Cache::Memcached is a module that interfaces with the memcached distributed memory cache daemon. Danga Interactive's memcached is a generic memory object caching system, often used to accelerate dynamic web sites by reducing load on slower databases. (See the memcached package) . This module allows Perl authors to quickly set and retrieve key/data pairs from memcached servers, even clusters of multiple daemons. As such, it is used to power several large-scale and high-performance web sites, including LiveJournal and Slashdot. Homepage: http://search.cpan.org/dist/Cache-Memcached/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcache-memcached-perl/libcache-memcached-perl_1.30-1_all.deb Package: libcache-mmap-perl Version: 0.11-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 101 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Cache-Mmap/ Priority: optional Section: perl Filename: pool/main/libc/libcache-mmap-perl/libcache-mmap-perl_0.11-1_armhf.deb Size: 23794 SHA256: 22dac6ab46f589cd3b4cbfbfb803a6396a20fab07cf88087f1ea0a5aaad7c2df SHA1: 1df183919ca7c5ade4a858a01817a11a6d71fb2d MD5sum: e1a16c8457b0249a59b83e63c2a77d93 Description: Shared data cache using memory mapped files This module implements a shared data cache, using memory mapped files. If routines are provided which interact with the underlying data, access to the cache is completely transparent, and the module handles all the details of refreshing cache contents, and updating underlying data, if necessary. . Cache entries are assigned to "buckets" within the cache file, depending on the key. Within each bucket, entries are stored approximately in order of last access, so that frequently accessed entries will move to the head of the bucket, thus decreasing access time. Concurrent accesses to the same bucket are prevented by file locking of the relevant section of the cache file. Package: libcache-perl Version: 2.04-3 Installed-Size: 284 Maintainer: Dominic Hargreaves Architecture: all Depends: perl, libfile-nfslock-perl (>= 1.2), libio-string-perl (>= 1.02), libheap-perl (>= 0.01), libtimedate-perl (>= 1.14) Size: 73434 SHA256: f9555aca2d2e565edf21fa5c2b53b30c3af02bbb3d6b7b8a924119760497c621 SHA1: 86475073c6b30b9fc51b8c63168194d271ff0b53 MD5sum: a10862b143d5ded0da3cb5b72d54baa8 Description: Cache interface Cache is designed to assist a developer in persisting data for a specified period of time. Often these modules are used in web applications to store data locally to save repeated and redundant expensive calls to remote machines or databases. . The Cache interface is implemented by derived classes that store cached data in different manners (such as files on a filesystem, or in memory). Homepage: http://search.cpan.org/dist/Cache/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libc/libcache-perl/libcache-perl_2.04-3_all.deb Package: libcache-ref-perl Version: 0.04-1 Installed-Size: 248 Maintainer: Debian Perl Group Architecture: all Depends: perl, libhash-util-fieldhash-compat-perl, libmoose-perl, libmoosex-role-parameterized-perl, libnamespace-autoclean-perl Size: 53328 SHA256: 75a8df0c554e2406cea0b17f7db5530a03eaacd773182b4db2c3765df42f144a SHA1: 06e9f7572655b8f79edbd063cbcacbf12acda269 MD5sum: 5e0583701815e98ef480f003e696ca26 Description: Perl module for caching references in memory Cache::Ref implements in-memory caching, designed primarily for shared references. It supports various caching algorithms. . It differs from CHI (libchi-perl), in that it does not attempt to address the problem of caching things persistently. Homepage: http://search.cpan.org/dist/Cache-Ref/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcache-ref-perl/libcache-ref-perl_0.04-1_all.deb Package: libcache-simple-timedexpiry-perl Version: 0.27-2 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 6878 SHA256: a69d11b9e06c867a1179680ac4dc6e0638ed77f76a3a132fa55aa995413c812d SHA1: e9906bd5d3ce4836b588ac7eef541d173cc01999 MD5sum: c3ae6bdac32c487c166c57cece59af67 Description: Perl module to cache and expire key/value pairs Example: . my $h = new Cache::Simple::TimedExpiry; . $h->set(Forever => "Don't expire", 0); do {$h->set($_,"Value of $_", 1); sleep 2;} for qw(Have a nice day); $,=' '; print $h->elements; $h->dump; sleep 4; print $h->elements; $h->dump; Homepage: http://search.cpan.org/dist/Cache-Simple-TimedExpiry/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcache-simple-timedexpiry-perl/libcache-simple-timedexpiry-perl_0.27-2_all.deb Package: libcairo-gobject-perl Version: 1.001-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 87 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libfreetype6 (>= 2.2.1), libglib2.0-0 (>= 2.12.0), libcairo-perl (>= 1.080), libglib-perl (>= 1.224) Homepage: http://search.cpan.org/dist/Cairo-GObject/ Priority: optional Section: perl Filename: pool/main/libc/libcairo-gobject-perl/libcairo-gobject-perl_1.001-1_armhf.deb Size: 11012 SHA256: 4fa9069cf85c73b154428c5aabecc14f9e0eababdefa30b4a9c528dfaf0aefda SHA1: 7e599890b4dc59d8d6eefdd6b486abe4398994d6 MD5sum: 91ccb887a13434292b91e719605f8f91 Description: integrate Cairo into the Glib type system in Perl Cairo::GObject registers Cairo's types (Cairo::Context, Cairo::Surface, etc.) with Glib's type systems so that they can be used normally in signals and properties. Package: libcairo-gobject2 Source: cairo Version: 1.12.2-3+deb7u1 Architecture: armhf Maintainer: Dave Beckett Installed-Size: 462 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libglib2.0-0 (>= 2.14.0) Multi-Arch: same Homepage: http://cairographics.org/ Priority: optional Section: libs Filename: pool/main/c/cairo/libcairo-gobject2_1.12.2-3+deb7u1_armhf.deb Size: 436632 SHA256: 266937837a0bdad0f323157cdc31a8c7c2c4a9d0be5d888315315a807429fa88 SHA1: bf03ed1f203871896be261133c4f6bec1592d4b9 MD5sum: 8ded83e3203fc3f79bf28975358dc28e Description: The Cairo 2D vector graphics library (GObject library) Cairo is a multi-platform library providing anti-aliased vector-based rendering for multiple target backends. . This package contains the GObject library, providing wrapper GObject types for all cairo types. Package: libcairo-ocaml Source: cairo-ocaml Version: 1:1.2.0-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 109 Depends: liblablgtk2-ocaml-yeug0, ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libglib2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4) Provides: libcairo-ocaml-6v7a2 Homepage: http://cairographics.org/cairo-ocaml/ Priority: optional Section: ocaml Filename: pool/main/c/cairo-ocaml/libcairo-ocaml_1.2.0-2_armhf.deb Size: 26718 SHA256: 5cba28edb948d14b9f070e1f3848afe664c61e3c5ad2ae38de62dc489ccdb0cb SHA1: c3e5b052e0769afd3f08f1c485c4414e64de9677 MD5sum: e42a34cd6547e6bb66146adc36fa0a7e Description: OCaml bindings for Cairo (runtime) Cairo is a multi-platform library providing anti-aliased vector-based rendering for multiple target backends. Paths consist of line segments and cubic splines and can be rendered at any width with various join and cap styles. All colors may be specified with optional translucence (opacity/alpha) and combined using the extended Porter/Duff compositing algebra as found in the X Render Extension. . Cairo exports a stateful rendering API similar in spirit to the path construction, text, and painting operators of PostScript, (with the significant addition of translucence in the imaging model). When complete, the API is intended to support the complete imaging model of PDF 1.4. . This package contains only the shared runtime stub libraries. Package: libcairo-ocaml-dev Source: cairo-ocaml Version: 1:1.2.0-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 539 Depends: libcairo2-dev, libcairo-ocaml-6v7a2, liblablgtk2-ocaml-dev-yeug0, ocaml-nox-3.12.1 Suggests: ocaml-findlib Provides: libcairo-ocaml-dev-6v7a2 Homepage: http://cairographics.org/cairo-ocaml/ Priority: optional Section: ocaml Filename: pool/main/c/cairo-ocaml/libcairo-ocaml-dev_1.2.0-2_armhf.deb Size: 75556 SHA256: 46a8ecead92dd4fb18089248d0600253966ca54f9c25f26b4e99fd7ea8f43903 SHA1: be4edfeb4c3f8ec95713159c073b31a5763737d0 MD5sum: 75000bffabe130de63bf03f478191924 Description: OCaml bindings for Cairo Cairo is a multi-platform library providing anti-aliased vector-based rendering for multiple target backends. Paths consist of line segments and cubic splines and can be rendered at any width with various join and cap styles. All colors may be specified with optional translucence (opacity/alpha) and combined using the extended Porter/Duff compositing algebra as found in the X Render Extension. . Cairo exports a stateful rendering API similar in spirit to the path construction, text, and painting operators of PostScript, (with the significant addition of translucence in the imaging model). When complete, the API is intended to support the complete imaging model of PDF 1.4. . This package contains all the development stuff you need to use Cairo in your OCaml programs. The folowing backends are supported: PostScript, PDF, PNG, In-memory images and X11. Package: libcairo-perl Version: 1.090-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 371 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libfreetype6 (>= 2.2.1) Suggests: libfont-freetype-perl Homepage: http://gtk2-perl.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libc/libcairo-perl/libcairo-perl_1.090-2_armhf.deb Size: 115922 SHA256: 8993f5593cd36c556d964b1b052abb116b80b3c7094b7951787831c34104c714 SHA1: 40e57a60482b867a77173194cc0a0c51f9b8b1e0 MD5sum: 2805326c0ee72206a114f2849f6b1304 Description: Perl interface to the Cairo graphics library libcairo-perl provides access to the Cairo library. . Cairo is a 2D graphics library with support for multiple output devices. It is designed to produce consistent output on all output media while taking advantage of display hardware acceleration when available (eg. through the X Render Extension). . The cairo API provides operations similar to the drawing operators of PostScript and PDF. Operations in cairo including stroking and filling cubic Bézier splines, transforming and compositing translucent images, and antialiased text rendering. All drawing operations can be transformed by any affine transformation (scale, rotation, shear, etc.) . Find out more about Cairo at http://www.cairographics.org/. Package: libcairo-ruby Source: ruby-cairo Version: 1.12.2-2 Installed-Size: 30 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-cairo Size: 5274 SHA256: fe9b1d8b2ae653beb374bb142309b0e41137e141ca6c0cf245b3e5fc6edbdbeb SHA1: a9838b19a9b0c0d11793853f8022cad2ccf4177c MD5sum: 530fdfdbc0a273340ccfe42ed6542d1c Description: Transitional package for ruby-cairo This is a transitional package to ease upgrades to the ruby-cairo package. It can safely be removed. Homepage: https://github.com/rcairo/rcairo Tag: role::dummy Section: oldlibs Priority: extra Filename: pool/main/r/ruby-cairo/libcairo-ruby_1.12.2-2_all.deb Package: libcairo-ruby1.8 Source: ruby-cairo Version: 1.12.2-2 Installed-Size: 30 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-cairo Size: 5274 SHA256: e7221dc8dd7eb8bca93e00f566cb80b2ba81b4bad5f2b1e080722872403a0486 SHA1: abbb7b220bac6d74b61034a82cfddcf1e461c158 MD5sum: aa9411f07280c07c37f9d55de5a4e9c0 Description: Transitional package for ruby-cairo This is a transitional package to ease upgrades to the ruby-cairo package. It can safely be removed. Homepage: https://github.com/rcairo/rcairo Tag: devel::lang:ruby, devel::library, implemented-in::c, implemented-in::ruby, role::devel-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-cairo/libcairo-ruby1.8_1.12.2-2_all.deb Package: libcairo-script-interpreter2 Source: cairo Version: 1.12.2-3+deb7u1 Architecture: armhf Maintainer: Dave Beckett Installed-Size: 540 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.12.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://cairographics.org/ Priority: optional Section: libs Filename: pool/main/c/cairo/libcairo-script-interpreter2_1.12.2-3+deb7u1_armhf.deb Size: 471522 SHA256: 743f1aafb8a58c42f9301ddc789a8a484780751a2a160b787ee49d24099a0a78 SHA1: 9b6baab06e35865649d907bde6c652b80d0f0801 MD5sum: 85cb196c062042f8e24c8c07d5c76f41 Description: The Cairo 2D vector graphics library (script interpreter) Cairo is a multi-platform library providing anti-aliased vector-based rendering for multiple target backends. . This package contains the script interpreter for executing and manipulating cairo execution traces. Package: libcairo2 Source: cairo Version: 1.12.2-3+deb7u1 Architecture: armhf Maintainer: Dave Beckett Installed-Size: 1238 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.3.5), libgcc1 (>= 1:4.4.0), libpixman-1-0 (>= 0.21.6), libpng12-0 (>= 1.2.13-4), libx11-6, libxcb-render0, libxcb-shm0, libxcb1 (>= 1.6), libxrender1, zlib1g (>= 1:1.1.4) Breaks: iceape-browser (<= 2.0.3-3), libgtk-directfb-2.0-0, xulrunner-1.9, xulrunner-1.9.1 (<= 1.9.1.8-3) Multi-Arch: same Homepage: http://cairographics.org/ Priority: optional Section: libs Filename: pool/main/c/cairo/libcairo2_1.12.2-3+deb7u1_armhf.deb Size: 845808 SHA256: 301d8f5b58b252a5b781257d6c9cbd1e1d44c634339e0fced2521cf8530e6645 SHA1: aecdd5f8fd04213f6ced21ca2c5dd2fb5fa072f3 MD5sum: 51161ba4c782e3605833616da75d9dd2 Description: The Cairo 2D vector graphics library Cairo is a multi-platform library providing anti-aliased vector-based rendering for multiple target backends. Paths consist of line segments and cubic splines and can be rendered at any width with various join and cap styles. All colors may be specified with optional translucence (opacity/alpha) and combined using the extended Porter/Duff compositing algebra as found in the X Render Extension. . Cairo exports a stateful rendering API similar in spirit to the path construction, text, and painting operators of PostScript, (with the significant addition of translucence in the imaging model). When complete, the API is intended to support the complete imaging model of PDF 1.4. . This package contains the shared libraries. Package: libcairo2-dbg Source: cairo Version: 1.12.2-3+deb7u1 Architecture: armhf Maintainer: Dave Beckett Installed-Size: 4177 Depends: libcairo2 (= 1.12.2-3+deb7u1) Suggests: libcairo-gobject2 (= 1.12.2-3+deb7u1), libcairo-script-interpreter2 (= 1.12.2-3+deb7u1), cairo-perf-utils (= 1.12.2-3+deb7u1) Multi-Arch: same Homepage: http://cairographics.org/ Priority: extra Section: debug Filename: pool/main/c/cairo/libcairo2-dbg_1.12.2-3+deb7u1_armhf.deb Size: 1892678 SHA256: a79c4be5b995f87fd75f53721f767a8fcf1fb1b4eb74cd386d7cf3a99ae8bfc3 SHA1: fd115eb24ae24b6b6b5295261be53e1d330f6951 MD5sum: 02101434f916b5e37d42d7179a081c04 Description: The Cairo 2D vector graphics library (debugging symbols) Debugging symbols for the Cairo 2D vector graphics library. This is needed to debug programs linked against libcairo2. Package: libcairo2-dev Source: cairo Version: 1.12.2-3+deb7u1 Architecture: armhf Maintainer: Dave Beckett Installed-Size: 2057 Depends: libcairo2 (= 1.12.2-3+deb7u1), libcairo-gobject2 (= 1.12.2-3+deb7u1), libcairo-script-interpreter2 (= 1.12.2-3+deb7u1), libfontconfig1-dev (>= 2.2.95), libfreetype6-dev (>= 2.1.10), libx11-dev, libxrender-dev (>= 0.6), libpng12-dev, libsm-dev, libpixman-1-dev (>= 0.22.0), libxcb1-dev (>= 1.6), libxcb-render0-dev (>= 1.6), libxcb-shm0-dev, libglib2.0-dev Suggests: libcairo2-doc Provides: libcairo-dev Homepage: http://cairographics.org/ Priority: optional Section: libdevel Filename: pool/main/c/cairo/libcairo2-dev_1.12.2-3+deb7u1_armhf.deb Size: 1052482 SHA256: 8fd2e0a5e0c657c1b863a68cca4e630103cbc1a7600fa7769d9b641d38b8b7f5 SHA1: 91554bc8c33c5918b017f9807b08e0a375fa0522 MD5sum: d8a8d533d0c84d0156232f1400bc3292 Description: Development files for the Cairo 2D graphics library Cairo is a multi-platform library providing anti-aliased vector-based rendering for multiple target backends. . This package contains the development libraries, header files needed by programs that want to compile with Cairo. Package: libcairo2-doc Source: cairo Version: 1.12.2-3+deb7u1 Installed-Size: 2188 Maintainer: Dave Beckett Architecture: all Size: 623994 SHA256: e98bf6e1e205d85adec5d73987967d9d11fca2f3569836a3b6f75bfb4844ff83 SHA1: 098e55750457e92d6fbe4ab4a847cf35922613cb MD5sum: e519839a08fb95b7aab67139b56aedb7 Description: Documentation for the Cairo Multi-platform 2D graphics library Cairo is a multi-platform library providing anti-aliased vector-based rendering for multiple target backends. . This package contains the HTML documentation for the Cairo library in /usr/share/gtk-doc/html/cairo/. Homepage: http://cairographics.org/ Recommends: lynx | www-browser Section: libs Priority: optional Filename: pool/main/c/cairo/libcairo2-doc_1.12.2-3+deb7u1_all.deb Package: libcairo5c-0 Source: cairo-5c Version: 1.8.1 Architecture: armhf Maintainer: Keith Packard Installed-Size: 163 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.26.0), libx11-6 Priority: optional Section: libs Filename: pool/main/c/cairo-5c/libcairo5c-0_1.8.1_armhf.deb Size: 49334 SHA256: a70ce671a23e185b1ff646c8965d4a5eab34dde80a80368232a248c567c0f9a4 SHA1: 587389d4c17e84d16e201ae8457a02136f699b70 MD5sum: 9355afcc3bc779a4cb6f7efffd802602 Description: library for cairo nickle bindings This package contains a shared library which links to the cairo library using the nickle FFI mechanism. Package: libcairomm-1.0-1 Source: cairomm Version: 1.10.0-1 Architecture: armhf Maintainer: Dave Beckett Installed-Size: 173 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0), libx11-6, libxrender1, zlib1g (>= 1:1.1.4) Replaces: libcairomm-1.0-0 Homepage: http://cairographics.org/cairomm/ Priority: optional Section: libs Filename: pool/main/c/cairomm/libcairomm-1.0-1_1.10.0-1_armhf.deb Size: 68596 SHA256: 18e55a69900eb214c98478494b6ed3371964b3ed9aa099353d2c3a6bcc90d0ac SHA1: 77335c9d0f7cfef9a02e4cf053dd775d4637e079 MD5sum: 9dc28bea40ba927423fcf83d7a9356b4 Description: C++ wrappers for Cairo (shared libraries) cairomm provides C++ bindings for the Cairo graphics library, a multi-platform library providing anti-aliased vector-based rendering for multiple target backends. . This package contains the shared libraries. Package: libcairomm-1.0-dev Source: cairomm Version: 1.10.0-1 Architecture: armhf Maintainer: Dave Beckett Installed-Size: 474 Depends: libcairomm-1.0-1 (= 1.10.0-1), libcairo2-dev (>= 1.10.0), libsigc++-2.0-dev Suggests: libcairomm-1.0-doc Homepage: http://cairographics.org/cairomm/ Priority: optional Section: libdevel Filename: pool/main/c/cairomm/libcairomm-1.0-dev_1.10.0-1_armhf.deb Size: 122904 SHA256: ab804ddab19fca2cfc2b797b9f77cbfcf68c5e7d6d283658709c31ea244efbf4 SHA1: 1b483c9c553ea0702598f8d4494521260fadbce1 MD5sum: 5fc2b1656dd199ea7c98b42bec86a415 Description: C++ wrappers for Cairo (development files) cairomm provides C++ bindings for the Cairo graphics library, a multi-platform library providing anti-aliased vector-based rendering for multiple target backends. . This package contains the development libraries and header files needed by C++ programs that want to compile with Cairo. files and examples. Package: libcairomm-1.0-doc Source: cairomm Version: 1.10.0-1 Installed-Size: 2749 Maintainer: Dave Beckett Architecture: all Suggests: www-browser Size: 615080 SHA256: 9ead241b001440752bb017e1acd91ccb212eae669f552fc6e9885fc0a711b32a SHA1: 114d1c5c825c5b6191b978a2efe7bcff08f30d24 MD5sum: c12339cc4228ec02bb124a4c565e1bf9 Description: C++ wrappers for Cairo (documentation) cairomm provides C++ bindings for the Cairo graphics library, a multi-platform library providing anti-aliased vector-based rendering for multiple target backends. . This package contains the HTML reference documentation. Homepage: http://cairographics.org/cairomm/ Tag: devel::doc, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/cairomm/libcairomm-1.0-doc_1.10.0-1_all.deb Package: libcal-dav-perl Version: 0.6-2 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdata-ical-perl, libhttp-dav-perl, libwww-perl Size: 11148 SHA256: 575ed5adeadcb9fabc2c343f519570c163d7b9782df6d2c67c4666564656a61e SHA1: 40d283d994eb37d996419c94b1ec45e56373dc4e MD5sum: 9b48672ff4c19cfd635dc7814f5ef0be Description: CalDAV client library Cal::DAV is a library providing the client part of the CalDAV protocol. . Cal::DAV is implemented as a very thin wrapper around HTTP::DAV and Data::ICal. Homepage: http://search.cpan.org/dist/Cal-DAV/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcal-dav-perl/libcal-dav-perl_0.6-2_all.deb Package: libcal3d-doc Source: cal3d Version: 0.11.0-4.1 Installed-Size: 2629 Maintainer: Michael Koch Architecture: all Recommends: libcal3d12-dev (>= 0.11.0-4.1) Size: 312276 SHA256: 3ace39c66a1c40638926100334dd76be4142df47510c564585017ff880bd14d1 SHA1: aadbcadbb489d2c8396ae61079b7589919456e32 MD5sum: 6ed35d1bcf1b0d69f3f45faec790c7a6 Description: Skeletal based 3d character animation library - API documentation Cal3d is a skeletal based 3d character animation library written in C++ in a platform-/graphic API-independent way. It has an unified exporter plugin framework. Currently it includes exporters 16 for 3D Studio Max and MilkShape 3D). . This package contains the cal3 API documentation. Homepage: https://gna.org/projects/cal3d/ Tag: devel::doc, devel::lang:c++, devel::library, role::documentation, works-with::3dmodel Section: doc Priority: optional Filename: pool/main/c/cal3d/libcal3d-doc_0.11.0-4.1_all.deb Package: libcal3d12 Source: cal3d Version: 0.11.0-4.1 Architecture: armhf Maintainer: Michael Koch Installed-Size: 446 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: https://gna.org/projects/cal3d/ Priority: optional Section: libs Filename: pool/main/c/cal3d/libcal3d12_0.11.0-4.1_armhf.deb Size: 183892 SHA256: 99976f077895c1054d8ea8638745af8234d905f68c44de02b53a6a778cdc7f24 SHA1: 8b95a1a3e138d760a548121c69a4771d6ab16bda MD5sum: 281b5c4e2137b66e267ef01b030a3f8e Description: Skeletal based 3d character animation library Cal3d is a skeletal based 3d character animation library written in C++ in a platform-/graphic API-independent way. It has an unified exporter plugin framework. Currently it includes exporters 16 for 3D Studio Max and MilkShape 3D). . This package contains the cal3 runtime library. Package: libcal3d12-dev Source: cal3d Version: 0.11.0-4.1 Architecture: armhf Maintainer: Michael Koch Installed-Size: 985 Depends: libcal3d12 (= 0.11.0-4.1) Conflicts: libcal3d-dev, libcal3d10-dev Replaces: libcal3d-dev, libcal3d10-dev Provides: libcal3d-dev Homepage: https://gna.org/projects/cal3d/ Priority: optional Section: libdevel Filename: pool/main/c/cal3d/libcal3d12-dev_0.11.0-4.1_armhf.deb Size: 288526 SHA256: 0a0aefb270cc99b5a7425bf36fb78f55457c7ede0159804baef644c707b90a9f SHA1: 5cba8041e3202860ecb040845017089a6d290c7f MD5sum: 9cb2f7f64886ef072bdd7614d8a8478a Description: Skeletal based 3d character animation library - development files Cal3d is a skeletal based 3d character animation library written in C++ in a platform-/graphic API-independent way. It has an unified exporter plugin framework. Currently it includes exporters 16 for 3D Studio Max and MilkShape 3D). . This package contains the cal3 development files. Package: libcalendar-ocaml Source: calendar Version: 2.03-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 117 Depends: ocaml-base-nox-3.12.1 Breaks: libcalendar-ocaml-dev (<< 2.01.1-4) Replaces: libcalendar-ocaml-dev (<< 2.01.1-4) Provides: libcalendar-ocaml-880d5 Homepage: http://calendar.forge.ocamlcore.org/ Priority: optional Section: ocaml Filename: pool/main/c/calendar/libcalendar-ocaml_2.03-1_armhf.deb Size: 25750 SHA256: e8085ab14b3b0b42038bc7d427ae59186f45444927c4b4c0b3dadd6db1f13e3e SHA1: babda50bd25e37e0f39cb877662b3734c19b7f96 MD5sum: abcf87b164536fc60a2b060ae2d78b09 Description: OCaml library providing operations over dates and times OCaml library implementing common date/time operations with timezones and pretty printing support. . This package contains the shared runtime libraries. Package: libcalendar-ocaml-dev Source: calendar Version: 2.03-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 368 Depends: ocaml-findlib, libcalendar-ocaml-880d5, ocaml-nox-3.12.1 Suggests: libcalendar-ocaml-doc Provides: libcalendar-ocaml-dev-880d5 Homepage: http://calendar.forge.ocamlcore.org/ Priority: optional Section: ocaml Filename: pool/main/c/calendar/libcalendar-ocaml-dev_2.03-1_armhf.deb Size: 134138 SHA256: 82be658674c39893fe304d7c94a25cceac5c84e357d011c1a91df5c296c5dea0 SHA1: 773da427ced027d6e555fe45ed9c2e11317a7d33 MD5sum: c95eaceec43c69d771d1e562c09ae9b5 Description: OCaml library providing operations over dates and times OCaml library implementing common date/time operations with timezones and pretty printing support. Package: libcalendar-ocaml-doc Source: calendar Version: 2.03-1 Installed-Size: 1768 Maintainer: Debian OCaml Maintainers Architecture: all Size: 120038 SHA256: 0ab32db182ce9448933156645d7821fe24b0352de21b8f2467eaf867c9bf79f2 SHA1: d152a079f89d4ac83b0049f508d9c4c91462be92 MD5sum: d465f93cb12e7e51e724edaec1d6f203 Description: OCaml library providing operations over dates and times OCaml library implementing common date/time operations with timezones and pretty printing support. . This package contains the library documentation. Homepage: http://calendar.forge.ocamlcore.org/ Tag: devel::doc, devel::lang:ocaml, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/calendar/libcalendar-ocaml-doc_2.03-1_all.deb Package: libcalendar-simple-perl Version: 1.21-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl Recommends: libdatetime-perl Size: 11556 SHA256: 12ecb3e84bd22f9a8bd170638ced498f676e6d9b0b9927e926ac2abaf27b5bb1 SHA1: cf48c5da6a164c02e859cc56497766b04d55eb83 MD5sum: 9c9f1f324aa211bb5c0202d5892bb75e Description: module for producing simple calendars Calendar::Simple is a Perl module that exports a single function, calendar(), which returns a data structure representing dates in a month. Its output can be configured in various ways, for example, by changing the day that each week begins with. Homepage: http://search.cpan.org/dist/Calendar-Simple/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::timekeeping Section: perl Priority: optional Filename: pool/main/libc/libcalendar-simple-perl/libcalendar-simple-perl_1.21-1_all.deb Package: libcam-pdf-perl Version: 1.58-2 Installed-Size: 476 Maintainer: Debian Perl Group Architecture: all Depends: perl, tcsh | csh | c-shell, libcrypt-rc4-perl, libtext-pdf-perl Size: 187946 SHA256: 7caccec098ef934c7f72022b1f5c3c21703e17846007c63cc7b2b1204f6d9979 SHA1: 73064026203f860c56a99430ab091874bc7b8cd7 MD5sum: e4580ce1a2625ef32bc5efbe5a3efd1a Description: PDF manipulation library This package reads and writes any document that conforms to the PDF specification generously provided by Adobe at http://partners.adobe.com/public/developer/pdf/index_reference.html (link last checked Oct 2005). . The file format through PDF 1.5 is well-supported, with the exception of the "linearized" or "optimized" output format, which this module can read but not write. Many specific aspects of the document model are not manipulable with this package (like fonts), but if the input document is correctly written, then this module will preserve the model integrity. . The PDF writing feature saves as PDF 1.4-compatible. That means that we cannot write compressed object streams. The consequence is that reading and then writing a PDF 1.5+ document may enlarge the resulting file by a fair margin. Homepage: http://search.cpan.org/dist/CAM-PDF/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcam-pdf-perl/libcam-pdf-perl_1.58-2_all.deb Package: libcamd2.2.0 Source: suitesparse Version: 1:3.4.0-3 Architecture: armhf Maintainer: Maintainer: Debian Science Maintainers Installed-Size: 69 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.cise.ufl.edu/research/sparse/SuiteSparse/ Priority: optional Section: libs Filename: pool/main/s/suitesparse/libcamd2.2.0_3.4.0-3_armhf.deb Size: 19686 SHA256: d5c134b42c9829b0e9c1c72d123ea97b5e42f4b4c8a47715ded94119daca805c SHA1: 6db396706b1dd3c246f369d8d930aec89c1fee85 MD5sum: 94f4b9b9d73d5f086e527bb96b3fe7a9 Description: symmetric approximate minimum degree library for sparse matrices Suitesparse is a collection of libraries for computations involving sparse matrices. This package includes the following dynamic library: . CAMD symmetric approximate minimum degree Package: libcamel-1.2-33 Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 1388 Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.32), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.14), libsqlite3-0 (>= 3.6.0), zlib1g (>= 1:1.1.4) Breaks: evolution (<< 2.26) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libs Filename: pool/main/e/evolution-data-server/libcamel-1.2-33_3.4.4-3+deb7u1_armhf.deb Size: 705392 SHA256: 68eb107aa455f3230172ac4c31168f07880ffbc7c5f09f026804e4fe199d31b2 SHA1: 983f9493e0762a4b5e250fde3db43a557fd8427b MD5sum: e851dc9fd899743087b8b83aa8f4c28f Description: Evolution MIME message handling library Camel is a generic messaging library. It supports the standard messaging system for receiving and sending messages. It is the messaging backend for Evolution. . Evolution is the integrated mail, calendar, task and address book distributed suite from Novell, Inc. Package: libcamel1.2-dev Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 929 Depends: libcamel-1.2-33 (= 3.4.4-3+deb7u1), libglib2.0-dev, libedataserver1.2-dev (= 3.4.4-3+deb7u1), libsqlite3-dev (>= 3.5), libnss3-dev, libnspr4-dev Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libdevel Filename: pool/main/e/evolution-data-server/libcamel1.2-dev_3.4.4-3+deb7u1_armhf.deb Size: 471292 SHA256: 6ac2ff836813b8be5e101c7f8ae9baf0f0dc6c3013cadf2e0972c870321bd53b SHA1: f7df9e89244e8e26b6ef5074d4c1bece826c4645 MD5sum: 3379406d857318e96055015b2dd620b1 Description: Development files for libcamel This package contains header files and static library for libcamel. . Camel is a generic messaging library. It supports the standard messaging system for receiving and sending messages. It is the messaging backend for Evolution. . Evolution is the integrated mail, calendar, task and address book distributed suite from Novell, Inc. Package: libcameleon-ocaml-dev Source: cameleon Version: 1.9.21-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 1518 Depends: libconfig-file-ocaml-dev-qnzi6, liblablgtk-extras-ocaml-dev-kjbk9, liblablgtk2-ocaml-dev-yeug0, liblablgtksourceview2-ocaml-dev-wz3i5, libpcre-ocaml-dev-werc3, libxml-light-ocaml-dev-a9i32, ocaml-nox-3.12.1 Suggests: ocaml-findlib, cameleon-doc Provides: libcameleon-ocaml-dev-a4k57 Homepage: http://home.gna.org/cameleon/ Priority: optional Section: ocaml Filename: pool/main/c/cameleon/libcameleon-ocaml-dev_1.9.21-2_armhf.deb Size: 443424 SHA256: 31c129a373b2bb4bb6c998c2246f0dc2c55c507e5dd25891e98c23f49ea0e1c4 SHA1: bf4924237965f445cb9d184bd500676708fb479a MD5sum: 1de08f8b579d06ec0b468311f50e7f2d Description: libraries from Cameleon It contains the libraries built with Cameleon: - Config_file, create configuration files, - Configwin, build configuration or input windows in LablGTK, - Odiff, print and parse differences between files or strings, in the diff utility format, - Odot, parse and print Graphviz dot files, - Okey, add handlers to key press events in LablGTK, - Tdl, represent, store and read TODO lists in XML files, Package: libcaml2html-ocaml Source: caml2html Version: 1.4.1-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 102 Depends: ocaml-base-nox-3.12.1 Recommends: ocaml-findlib Provides: libcaml2html-ocaml-5j0d6 Homepage: http://martin.jambon.free.fr/caml2html.html Priority: optional Section: ocaml Filename: pool/main/c/caml2html/libcaml2html-ocaml_1.4.1-3_armhf.deb Size: 17750 SHA256: c4fe57869250df40c8bb808aa4b268fa82d65ddf3256676d57faf85cac923e78 SHA1: a83900667beebfae2b841dc678f35c22f3af38f5 MD5sum: af015e50e5e5db1ac8d73e8e0de9af9d Description: HTML and LaTeX colored syntax from OCaml source files Caml2html provides a command-line executable which converts a set of OCaml source files into a HTML or LaTeX document with colored syntax. A library is also provided for building web-page generators that would color OCaml code appropriately. . This package contains the shared runtime library. Package: libcaml2html-ocaml-dev Source: caml2html Version: 1.4.1-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 206 Depends: libcaml2html-ocaml-5j0d6, ocaml-nox-3.12.1 Recommends: ocaml-findlib Provides: libcaml2html-ocaml-dev-5j0d6 Homepage: http://martin.jambon.free.fr/caml2html.html Priority: optional Section: ocaml Filename: pool/main/c/caml2html/libcaml2html-ocaml-dev_1.4.1-3_armhf.deb Size: 20402 SHA256: c0907175936374e5134d12ba0f2a37ab15d3a030461cee943482fada7433c29e SHA1: ae69d8e8a51de88d713f1faa5bd1e2e3f6a4c3c9 MD5sum: eea8caa97b61e1ff4d2824ea0392f782 Description: HTML and LaTeX colored syntax from OCaml source files Caml2html provides a command-line executable which converts a set of OCaml source files into a HTML or LaTeX document with colored syntax. A library is also provided for building web-page generators that would color OCaml code appropriately. . This package contains the library. Package: libcamlimages-ocaml Source: camlimages Version: 1:4.0.1-4 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 180 Depends: liblablgtk2-ocaml-yeug0, ocaml-base-3.12.1, ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgif4 (>= 4.1.4), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libtiff4 (>> 3.9.5-3~), libxpm4, zlib1g (>= 1:1.1.4) Provides: libcamlimages-ocaml-6xql3 Homepage: http://gallium.inria.fr/camlimages/ Priority: optional Section: ocaml Filename: pool/main/c/camlimages/libcamlimages-ocaml_4.0.1-4_armhf.deb Size: 51858 SHA256: 66794d31f61616e489ec2d1cc2a33db29095008797941b1ef1e7dccbd33b856f SHA1: a40b07ca41ff5b9739811d5ab23df1faa2050b58 MD5sum: 084976f4125096d02d29c3afcd56905a Description: OCaml image processing library (Runtime library) CamlImages is an image processing library for OCaml, which provides: . * basic functions for image processing and loading/saving various image file formats (hence providing a translation facility from format to format) . * an interface with the Caml graphics library allows one to display images in the Graphics module screen and to mix them with Caml drawings . * a freetype interface, integrated into the library: you can draw texts into images using any truetype fonts . In addition, the library can handle huge images that cannot be (or can hardly be) stored into the main memory (the library then automatically creates swap files and escapes them to reduce the memory usage). . This package contains only the shared runtime stub libraries. Package: libcamlimages-ocaml-dev Source: camlimages Version: 1:4.0.1-4 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 2243 Depends: libcamlimages-ocaml-6xql3, liblablgtk2-ocaml-dev-yeug0, ocaml-3.12.1, ocaml-nox-3.12.1, libpng12-dev, libjpeg-dev, libtiff4-dev, libxpm-dev, libfreetype6-dev, libgif-dev Suggests: ocaml-findlib Provides: libcamlimages-ocaml-dev-6xql3 Homepage: http://gallium.inria.fr/camlimages/ Priority: optional Section: ocaml Filename: pool/main/c/camlimages/libcamlimages-ocaml-dev_4.0.1-4_armhf.deb Size: 900934 SHA256: db3c4bdefedfac7aa87ef473c8503022aa80047dcee2ae71351bf3391b122db1 SHA1: af804b401c0cddcc0fdaecb498eed2f57ad94a8e MD5sum: 56f3f1069ce1243e30e8132bfc00e5e4 Description: OCaml image processing library (Development package) CamlImages is an image processing library for OCaml, which provides: . * basic functions for image processing and loading/saving various image file formats (hence providing a translation facility from format to format) . * an interface with the Caml graphics library allows one to display images in the Graphics module screen and to mix them with Caml drawings . * a freetype interface, integrated into the library: you can draw texts into images using any truetype fonts . In addition, the library can handle huge images that cannot be (or can hardly be) stored into the main memory (the library then automatically creates swap files and escapes them to reduce the memory usage). . This package contains the development part of the camlimages library. Package: libcamlimages-ocaml-doc Source: camlimages Version: 1:4.0.1-4 Installed-Size: 21527 Maintainer: Debian OCaml Maintainers Architecture: all Replaces: libcamlimages-ocaml-dev (<< 1:3.0.1-2) Suggests: libcamlimages-ocaml-dev Breaks: libcamlimages-ocaml-dev (<< 1:3.0.1-2) Size: 8597788 SHA256: 1a2a45e6802cdf0940fb0f738454efcfa884c680d9c9ab4de2be4b08f5805db9 SHA1: ec659b0ba26d6ed713c7373e486930d1ae5e3b61 MD5sum: e2caff872a2d8e380c0324ab79d0d6ef Description: OCaml CamlImages library documentation This package provides documentation for the OCaml CamlImages library. . The library itself is provided in the libcamlimages-ocaml and libcamlimages-ocaml-dev packages. Homepage: http://gallium.inria.fr/camlimages/ Tag: devel::doc, devel::examples, devel::lang:ocaml, implemented-in::ocaml, made-of::html, role::documentation, works-with::image Section: doc Priority: optional Filename: pool/main/c/camlimages/libcamlimages-ocaml-doc_4.0.1-4_all.deb Package: libcamljava-ocaml-dev Source: camljava Version: 0.3-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 291 Depends: ocaml-nox-3.12.1, default-jdk Provides: libcamljava-ocaml-dev-oep69 Homepage: http://pauillac.inria.fr/~xleroy/software.html#camljava Priority: optional Section: ocaml Filename: pool/main/c/camljava/libcamljava-ocaml-dev_0.3-1_armhf.deb Size: 49048 SHA256: 9820b62ee12280449304acb72629929df0a8876e535689cdfe0efc74b9291e6a SHA1: 19562898eb436a0c0f59420c595910cca7c9b1ce MD5sum: 61400880f478fcdd33b81b95359c8d7c Description: interface between OCaml and Java via Caml/C interface and JNI CamlJava is an interface between OCaml and Java allowing programs written in one of the two languages to call code written in the other. . Interaction among the two languages happen via the respective C interfaces: Caml/C interface for OCaml and JNI (Java Native Interface) for Java. . Currently, CamlJava provides a low-level, weakly-typed OCaml interface very similar to the JNI. Java object references are mapped to an abstract type, and various JNI-like operations are provided to allow Java method invocation, field access, and more. . A basic callback facility (allowing Java code to invoke methods on OCaml objects) is also provided, although some stub Java code must be written by hand. . This package contain all the development stuff needed to use CamlJava from OCaml programs. Package: libcamltemplate-ocaml Source: camltemplate Version: 1.0.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 152 Depends: ocaml-base-nox-3.12.1 Recommends: ocaml-findlib Provides: libcamltemplate-ocaml-7axd3 Homepage: http://camltemplate.forge.ocamlcore.org/ Priority: optional Section: ocaml Filename: pool/main/c/camltemplate/libcamltemplate-ocaml_1.0.2-1_armhf.deb Size: 31228 SHA256: 7e1ecc3f1868151f4097d04d98725960dfbc84024e1748ab3a26b697a927712c SHA1: 1d8535ff88c4efc8e545a642bbcaa4dcd9215320 MD5sum: 5c8a9883cb6d75f685ecb684400727dd Description: configurable library for generating text from templates in OCaml CamlTemplate is a library for generating text from templates in OCaml. It can be used to generate web pages, scripts, SQL queries, XML documents and other sorts of text. . This library defines a small templating language, with basic operations like #if or #foreach. To use this library you need to configure the data source that will be used in the template with the templating language. . This package contains the shared runtime libraries. Package: libcamltemplate-ocaml-dev Source: camltemplate Version: 1.0.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 407 Depends: libcamltemplate-ocaml-7axd3, ocaml-nox-3.12.1 Recommends: ocaml-findlib Provides: libcamltemplate-ocaml-dev-7axd3 Homepage: http://camltemplate.forge.ocamlcore.org/ Priority: optional Section: ocaml Filename: pool/main/c/camltemplate/libcamltemplate-ocaml-dev_1.0.2-1_armhf.deb Size: 177770 SHA256: 9b4d35befc887b38b9acd7355c4c964f0962f98d3d531a5921a8b522b1b1b2ac SHA1: 391e940c6c7488a7f4f7712281a2b3b83667628e MD5sum: 7bfd93013b9429f9cf7763a0900b5e9e Description: configurable library for generating text from templates in OCaml CamlTemplate is a library for generating text from templates in OCaml. It can be used to generate web pages, scripts, SQL queries, XML documents and other sorts of text. . This library defines a small templating language, with basic operations like #if or #foreach. To use this library you need to configure the data source that will be used in the template with the templating language. Package: libcamomile-ocaml-data Source: camomile Version: 0.8.4-2 Installed-Size: 21598 Maintainer: Debian OCaml Maintainers Architecture: all Suggests: libcamomile-ocaml-dev Size: 5270948 SHA256: cf8973cc12161178c70e35d41e0d3e3e63b0503d4fd61800fb30c07140c179be SHA1: b4273395c58c7c2883173f8750bd5bb76ca3b621 MD5sum: 1b2e874a7bb2d7625f8783ebe450b6de Description: Unicode data for OCaml Camomile is a comprehensive Unicode library for objective caml language. The library is currently designed to conform Unicode Standard 3.2. . This package contains the data needed by camomile. Homepage: http://sourceforge.net/projects/camomile/ Tag: devel::library, role::app-data Section: ocaml Priority: optional Filename: pool/main/c/camomile/libcamomile-ocaml-data_0.8.4-2_all.deb Package: libcamomile-ocaml-dev Source: camomile Version: 0.8.4-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 5748 Depends: libcamomile-ocaml-data (= 0.8.4-2), ocaml-nox-3.12.1 Recommends: ocaml-findlib Provides: libcamomile-ocaml-dev-q12e4 Homepage: http://sourceforge.net/projects/camomile/ Priority: optional Section: ocaml Filename: pool/main/c/camomile/libcamomile-ocaml-dev_0.8.4-2_armhf.deb Size: 578900 SHA256: 90c93feade6213fcf6e0d67751a494ff136fbbab6343557c42f46e9bcc98abf8 SHA1: 27c05b0e1bd8c756736ce085b96802463390dc8c MD5sum: 2853514fe73f14c31c0a5c411f32090c Description: Unicode library for OCaml Camomile is a comprehensive Unicode library for objective caml language. The library is currently designed to conform Unicode Standard 3.2. . Normalisers (NFD, NFKD, NFC, NFKC) and collator (string comparison) pass the conformance tests defined Unicode Technical Reports. Collator is also tested to Canadian, Thai and Japanese standards with their locales. Package: libcanberra-dev Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 79 Depends: libcanberra0 (= 0.28-6) Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: optional Section: libdevel Filename: pool/main/libc/libcanberra/libcanberra-dev_0.28-6_armhf.deb Size: 13682 SHA256: bb2e5f04cdd227b4d0a81c1ccd9bb2db0d2b1dc5cb8684b719d11310ec0f8cc9 SHA1: 97a4efac1577e562ba2ee1a9b20638e9a004b671 MD5sum: 514cca484bff35740ce5c1ae7932c098 Description: simple abstract interface for playing event sounds libcanberra defines a simple abstract interface for playing event sounds. . This package contains headers and libraries for developing applications that play event sounds with libcanberra. Package: libcanberra-doc Source: libcanberra Version: 0.28-6 Installed-Size: 168 Maintainer: Debian GNOME Maintainers Architecture: all Size: 25166 SHA256: 956a554ceeb4527e929c6780ac5a39401146359e4a830e5620cf325496616bb0 SHA1: 3ee8cf0f6ac6e7df7f3d1e308d89b8e97f274711 MD5sum: 551e96983bf905357a251ad36b733a28 Description: simple abstract interface for playing event sounds - doc libcanberra defines a simple abstract interface for playing event sounds. . libcanberra relies on the XDG sound naming specification for identifying event sounds. On Unix/Linux the right sound to play is found via the mechanisms defined in the XDG sound theming specification. . This package contains the HTML documentation for libcanberra and libcanberra-gtk. Homepage: http://0pointer.de/lennart/projects/libcanberra/ Tag: devel::doc, devel::examples, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcanberra/libcanberra-doc_0.28-6_all.deb Package: libcanberra-gstreamer Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 62 Depends: libc6 (>= 2.13-28), libcanberra0 (= 0.28-6), libglib2.0-0 (>= 2.12.0), libgstreamer0.10-0 (>= 0.10.15), libxml2 (>= 2.6.27) Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: optional Section: libs Filename: pool/main/libc/libcanberra/libcanberra-gstreamer_0.28-6_armhf.deb Size: 14004 SHA256: 52d8317acf6d826a41fe5fc7f0e01a9e0b8df159841e570cddb2acea7b355d01 SHA1: e8b2b992fac049990caaffad7c7590e9791af94b MD5sum: e5163d8e1aef35cf7537fc58907eb835 Description: GStreamer backend for libcanberra libcanberra defines a simple abstract interface for playing event sounds. . This package contains the GStreamer backend for libcanberra. Package: libcanberra-gstreamer-dbg Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 93 Depends: libcanberra-gstreamer (= 0.28-6) Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: extra Section: debug Filename: pool/main/libc/libcanberra/libcanberra-gstreamer-dbg_0.28-6_armhf.deb Size: 23588 SHA256: 06f10bd31336d12a2ff899cdc7e0277a7cff38edcbe81324b741ed851240a609 SHA1: d0e8b79160bbc5c35d7df6a7a4515c8d119a35e5 MD5sum: 2a1d3b94c0f29fc0671551fe8c76558c Description: GStreamer libcanberra backend detached debugging symbols libcanberra defines a simple abstract interface for playing event sounds. . This package contains the GStreamer backend debugging symbols. Package: libcanberra-gtk-common-dev Source: libcanberra Version: 0.28-6 Installed-Size: 47 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libcanberra-gtk-dev (<< 0.26) Breaks: libcanberra-gtk-dev (<< 0.26) Size: 9564 SHA256: 3f4854dcb78d199ebfe323164251e3ca6394dac4854412006b652b6866a6caf9 SHA1: 0ba4a526efb2af2429625a81350596b937f214b7 MD5sum: c7167e9408d48382d9034904a9ac17c5 Description: simple abstract interface for playing event sounds libcanberra-gtk provides a few functions that simplify libcanberra usage from GTK+. . Contextual information is needed to play event sound. This library can help to compile directly event sounds property lists based on active GtkWidget objects or GdkEvent events. . This package contains common headers for developing applications using libcanberra-gtk and libcanberra-gtk3 Multi-Arch: foreign Homepage: http://0pointer.de/lennart/projects/libcanberra/ Tag: devel::library, role::devel-lib Section: libdevel Priority: optional Filename: pool/main/libc/libcanberra/libcanberra-gtk-common-dev_0.28-6_all.deb Package: libcanberra-gtk-dev Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 44 Depends: libcanberra-gtk0 (= 0.28-6), libcanberra-dev (= 0.28-6), libgtk2.0-dev (>= 2.20), libcanberra-gtk-common-dev Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: optional Section: libdevel Filename: pool/main/libc/libcanberra/libcanberra-gtk-dev_0.28-6_armhf.deb Size: 8848 SHA256: 4019fe39f483209e7b78516035fe565a46b0d50dd3436a6bdbb5c7fbb07cb431 SHA1: b66ea999917eff95f544550880787944a7b40002 MD5sum: 26ecd37d4087dc67e5577e00e7514185 Description: simple abstract interface for playing event sounds libcanberra-gtk provides a few functions that simplify libcanberra usage from GTK+. . Contextual information is needed to play event sound. This library can help to compile directly event sounds property lists based on active GtkWidget objects or GdkEvent events. . This package contains headers and libraries for developing applications that play event sounds with libcanberra-gtk. Package: libcanberra-gtk-module Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 87 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcanberra-gtk0 (>= 0.2), libcanberra0 (>= 0.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.5-4), libpango1.0-0 (>= 1.14.0), libx11-6, gconf2 (>= 2.28.1-2) Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: optional Section: libs Filename: pool/main/libc/libcanberra/libcanberra-gtk-module_0.28-6_armhf.deb Size: 16138 SHA256: 8cfa46fb9408a90738f29b55b8902643084daea772fc996760db18f5c6a5dcb6 SHA1: 67ea8c364e79bb74d588cbed6f2b821feec7474e MD5sum: 7d4dcba823a8be30eeade8bd24f98f70 Description: translates GTK+ widgets signals to event sounds A GtkModule which will automatically hook into all kinds of events inside a GTK+ program and generate sound events from them. Package: libcanberra-gtk-module-dbg Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 108 Depends: libcanberra-gtk-module (= 0.28-6) Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: extra Section: debug Filename: pool/main/libc/libcanberra/libcanberra-gtk-module-dbg_0.28-6_armhf.deb Size: 27764 SHA256: 22366331789c329656ff61f41ea00ef401e243b5ee960fac94c8283af705ef5b SHA1: 362413a4c20cab77c339d32b0750d00bf8b4e7de MD5sum: 0c5b6769740b8d779ddc44a92fb0a01f Description: libcanberra GtkModule detached debugging symbols A GtkModule which will automatically hook into all kinds of events inside a GTK+ program and generate sound events from them. . This package contains detached debugging symbols for it. Package: libcanberra-gtk0 Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 58 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcanberra0 (>= 0.12), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6 Recommends: libcanberra-gtk-module Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: optional Section: libs Filename: pool/main/libc/libcanberra/libcanberra-gtk0_0.28-6_armhf.deb Size: 14292 SHA256: 0cfbfe1084f83570d26364047ba01ce1cbe53753cfd6d87b9092c5fe3ca6d5fc SHA1: 0a8da9089ac2129c7a84deeef4fef1b91b4c0dca MD5sum: 6f1296eec6d03a95ae0675a0a928475f Description: GTK+ helper for playing widget event sounds with libcanberra libcanberra-gtk provides a few functions that simplify libcanberra usage from GTK+. . Contextual information is needed to play event sound. This library can help to compile directly event sounds property lists based on active GtkWidget objects or GdkEvent events. Package: libcanberra-gtk0-dbg Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 89 Depends: libcanberra-gtk0 (= 0.28-6) Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: extra Section: debug Filename: pool/main/libc/libcanberra/libcanberra-gtk0-dbg_0.28-6_armhf.deb Size: 23592 SHA256: 8b2c8eea2fb81bf12da8fa75436331f08c150acc7eb54587cf5bc33db28186f3 SHA1: 5010fcc170c22d9c85c0a056f4e584bf3867b872 MD5sum: 5c1b50e96ee0067c6e750c0c2a956c6d Description: libcanberra-gtk libraries detached debugging symbols libcanberra-gtk provides a few functions that simplify libcanberra usage from GTK+. . Contextual information is needed to play event sound. This library can help to compile directly event sounds property lists based on active GtkWidget objects or GdkEvent events. . This package contains detached debugging symbols for it. Package: libcanberra-gtk3-0 Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 58 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcanberra0 (>= 0.12), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libx11-6 Recommends: libcanberra-gtk3-module Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: optional Section: libs Filename: pool/main/libc/libcanberra/libcanberra-gtk3-0_0.28-6_armhf.deb Size: 14234 SHA256: c6555c6201c71cc96818d7e90f127a750f75e7c8143a6f45fde1b7e597932ee9 SHA1: 1b336111b609b1126870c857fec1c1330621d909 MD5sum: ce7e3abf8bd54d0f7abc25edd21ff068 Description: GTK+ 3.0 helper for playing widget event sounds with libcanberra libcanberra-gtk provides a few functions that simplify libcanberra usage from GTK+ 3.0. . Contextual information is needed to play event sound. This library can help to compile directly event sounds property lists based on active GtkWidget objects or GdkEvent events. Package: libcanberra-gtk3-0-dbg Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 81 Depends: libcanberra-gtk3-0 (= 0.28-6) Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: extra Section: debug Filename: pool/main/libc/libcanberra/libcanberra-gtk3-0-dbg_0.28-6_armhf.deb Size: 20050 SHA256: 02fbfed5266dda675b1450420a05ec793a03620cabb7e25aea387648b2c32edf SHA1: fac091d093f8f326b6917ead10bc70650cdd5564 MD5sum: 0f0ddab2fa66cb9dba5c1a49bbb51a46 Description: libcanberra-gtk libraries detached debugging symbols libcanberra-gtk provides a few functions that simplify libcanberra usage from GTK+ 3.0. . Contextual information is needed to play event sound. This library can help to compile directly event sounds property lists based on active GtkWidget objects or GdkEvent events. . This package contains detached debugging symbols for it. Package: libcanberra-gtk3-dev Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 44 Depends: libcanberra-gtk3-0 (= 0.28-6), libcanberra-dev (= 0.28-6), libcanberra-gtk-common-dev, libgtk-3-dev Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: optional Section: libdevel Filename: pool/main/libc/libcanberra/libcanberra-gtk3-dev_0.28-6_armhf.deb Size: 8848 SHA256: eb8caf3bfb3f7930ed5a160d72f44247eaf00086bdd890545c50739b22f7e2e9 SHA1: 36add9687224d307cdc7b396895e6f4293f6b412 MD5sum: 3abffb541ad793e9a12e2fd5de252c1d Description: simple abstract interface for playing event sounds libcanberra-gtk3 provides a few functions that simplify libcanberra usage from GTK+ 3.0. . Contextual information is needed to play event sound. This library can help to compile directly event sounds property lists based on active GtkWidget objects or GdkEvent events. . This package contains headers and libraries for developing applications that play event sounds with libcanberra-gtk. Package: libcanberra-gtk3-module Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 87 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk-3-0 (>= 3.2.2-3), libpango1.0-0 (>= 1.14.0), libx11-6, gconf2 (>= 2.28.1-2) Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: optional Section: libs Filename: pool/main/libc/libcanberra/libcanberra-gtk3-module_0.28-6_armhf.deb Size: 16098 SHA256: 234fc7428724a0dfc73364c41a16d8b3558891ef28ca39930a13c2e155457ebc SHA1: 4a9e79ea3917f75c8686784da62e446ed415445a MD5sum: a7ffbbbcccffd27eb786a94078e77848 Description: translates GTK3 widgets signals to event sounds A GtkModule which will automatically hook into all kinds of events inside a GTK+ 3.0 program and generate sound events from them. Package: libcanberra-gtk3-module-dbg Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 99 Depends: libcanberra-gtk3-module (= 0.28-6) Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: extra Section: debug Filename: pool/main/libc/libcanberra/libcanberra-gtk3-module-dbg_0.28-6_armhf.deb Size: 24014 SHA256: 0d8901de488469edb3aaadfc2c7c3ba8e53f0e0067d2c5dc762ebdfcdd152b8e SHA1: ad3c666e8a0ed9e3b8b693686320d7eea42bbfb4 MD5sum: 42e000e4d160577381250fba42900747 Description: libcanberra GtkModule detached debugging symbols A GtkModule which will automatically hook into all kinds of events inside a GTK+ 3.0 program and generate sound events from them. . This package contains detached debugging symbols for it. Package: libcanberra-pulse Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 70 Depends: libc6 (>= 2.13-28), libcanberra0 (= 0.28-6), libpulse0 (>= 0.99.1), pulseaudio Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: optional Section: libs Filename: pool/main/libc/libcanberra/libcanberra-pulse_0.28-6_armhf.deb Size: 17120 SHA256: 9e0cba99e7b0dc92dba96a973dcc31f4f0ec5162233ee7d6b013e0b186541deb SHA1: eeb78a0b475ae7674b327aba9f781c061d75ef73 MD5sum: 4b068e1282565eb1b5470ec7b53124fb Description: PulseAudio backend for libcanberra libcanberra defines a simple abstract interface for playing event sounds. . This package contains the PulseAudio backend for libcanberra. Package: libcanberra-pulse-dbg Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 102 Depends: libcanberra-pulse (= 0.28-6) Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: extra Section: debug Filename: pool/main/libc/libcanberra/libcanberra-pulse-dbg_0.28-6_armhf.deb Size: 24798 SHA256: 2ad3e3b1a5d31e905481bb33c5b09acfeb4be8f25271e1df06be69a229e7de66 SHA1: 8e46bcb0ab032d7c0f4e9d971b267a5a732bad06 MD5sum: f71b62add1045b5c18e72ae491a7a04f Description: PulseAudio libcanberra backend detached debugging symbols libcanberra defines a simple abstract interface for playing event sounds. . This package contains the PulseAudio backend debugging symbols. Package: libcanberra0 Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 143 Pre-Depends: multiarch-support Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libltdl7 (>= 2.4.2), libogg0 (>= 1.0rc3), libtdb1 (>= 1.2.7+git20101214), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2) Suggests: libcanberra-gtk0, libcanberra-pulse Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: optional Section: libs Filename: pool/main/libc/libcanberra/libcanberra0_0.28-6_armhf.deb Size: 36616 SHA256: 3830a7bfed62c189216646811af05f4df06fc0ab70d6c3400507013e8ca7eb44 SHA1: ff50978b3fa937f3de4fe5b85a5ad35eddbda377 MD5sum: b844f20e816213429cbed31986cbb683 Description: simple abstract interface for playing event sounds libcanberra defines a simple abstract interface for playing event sounds. . libcanberra relies on the XDG sound naming specification for identifying event sounds. On Unix/Linux the right sound to play is found via the mechanisms defined in the XDG sound theming specification. Package: libcanberra0-dbg Source: libcanberra Version: 0.28-6 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 220 Depends: libcanberra0 (= 0.28-6) Multi-Arch: same Homepage: http://0pointer.de/lennart/projects/libcanberra/ Priority: extra Section: debug Filename: pool/main/libc/libcanberra/libcanberra0-dbg_0.28-6_armhf.deb Size: 59194 SHA256: 22004bfa61d7c5a08c7588e8e1f2f9daeb631e7d4c42cb45f7b4c6feb7f9dc0b SHA1: 45188363ac2316d7cd99bcb275f399b6ad49848c MD5sum: f23a8acde4faa2cbb9c6b598b656a8f7 Description: libcanberra libraries detached debugging symbols libcanberra defines a simple abstract interface for playing event sounds. . This package contains detached debugging symbols for it. Package: libcanlock2 Source: canlock Version: 2b-6 Architecture: armhf Maintainer: Laurent Fousse Installed-Size: 50 Depends: libc6 (>= 2.4) Priority: optional Section: libs Filename: pool/main/c/canlock/libcanlock2_2b-6_armhf.deb Size: 9764 SHA256: f037d98678e6baf9203efc4f91e6c9fa6596a42688271da45e15e4f1115f1b7f SHA1: c4935b458e403afbb4981a45aee1971bc3c99015 MD5sum: 7f7075e06b8da36f613d7612460b3e1e Description: library for creating and verifying Usenet cancel locks Cancel locks are used by Usenet article posters to authenticate their authorship of an article. It may then by used by servers to prevent cancel and supersede abuse. The use of this feature remains the newsmaster's decision. . This library may be used for both the generation and the verification of cancel locks. Package: libcanlock2-dev Source: canlock Version: 2b-6 Architecture: armhf Maintainer: Laurent Fousse Installed-Size: 60 Depends: libcanlock2 (= 2b-6) Priority: optional Section: libdevel Filename: pool/main/c/canlock/libcanlock2-dev_2b-6_armhf.deb Size: 11498 SHA256: ac3cb421a348e93951c60f49798d767db153ad11f449b9fb87904bdbac714ad1 SHA1: 35ed9109d31225726fa138051701206abeaa80b1 MD5sum: f707ec33a7ce2bf225bf8733b4b71b1e Description: development files for Usenet cancel lock library Cancel locks are used by Usenet article posters to authenticate their authorship of an article. It may then by used by servers to prevent cancel and supersede abuse. The use of this feature remains the newsmaster's decision. . This library may be used for both the generation and the verification of cancel locks. The header file and the static library are included in this package. Package: libcanna1g Source: canna Version: 3.7p3-11 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 1059 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28) Homepage: http://canna.sourceforge.jp/ Priority: optional Section: libs Filename: pool/main/c/canna/libcanna1g_3.7p3-11_armhf.deb Size: 526886 SHA256: 1f81d8f6db8983c1bd54d070b75cef3e443808ab9fb346a99c218fb167073584 SHA1: f1d42cafac4906a42a76a554279e32d8d70d4e31 MD5sum: bc248441c8e811a5474e1e1456860dac Description: input system for Japanese - runtime library Canna provides a unified user interface for Japanese input. It is based on a client-server model and supports automatic kana-to-kanji conversion. . It supports multiple clients (including kinput2 and canuum), and allows them all to work in the same way, sharing customization files, romaji-to-kana conversion rules and conversion dictionaries. . This package provides shared libraries for Canna. Package: libcanna1g-dev Source: canna Version: 3.7p3-11 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 1277 Depends: libcanna1g (= 3.7p3-11) Homepage: http://canna.sourceforge.jp/ Priority: optional Section: libdevel Filename: pool/main/c/canna/libcanna1g-dev_3.7p3-11_armhf.deb Size: 602180 SHA256: 22de041e7facd15cfd9c2e68166098cadd5f5aa38a57a3fe460512f7a3483472 SHA1: a60eff4a81e1006a33f8b1aaca5cf546dcb87939 MD5sum: 5cac420e29d8d295abfcd0e5d20e5b9a Description: input system for Japanese - development files Canna provides a unified user interface for Japanese input. It is based on a client-server model and supports automatic kana-to-kanji conversion. . It supports multiple clients (including kinput2 and canuum), and allows them all to work in the same way, sharing customization files, romaji-to-kana conversion rules and conversion dictionaries. . This package provides the headers and static libraries needed to develop software based on Canna. Package: libcap-dev Source: libcap2 Version: 1:2.22-1.2 Architecture: armhf Maintainer: Torsten Werner Installed-Size: 85 Depends: libcap2 (= 1:2.22-1.2) Suggests: manpages-dev Conflicts: libcap2-dev Replaces: libcap2-dev Provides: libcap2-dev Multi-Arch: same Homepage: http://sites.google.com/site/fullycapable/ Priority: optional Section: libdevel Filename: pool/main/libc/libcap2/libcap-dev_2.22-1.2_armhf.deb Size: 26162 SHA256: 4a0d158870cdfb6ae7ba110e7a4a0936dcfee46834b7fac608da20b7ff506b58 SHA1: e612ea464188d00f80b47375c024ac516939c580 MD5sum: 6c000d056db1755ef628b0473c706db4 Description: development libraries and header files for libcap2 Contains the necessary support for building applications that use capabilities. Package: libcap-ng-dev Source: libcap-ng Version: 0.6.6-2 Architecture: armhf Maintainer: Pierre Chifflier Installed-Size: 89 Depends: libcap-ng0 (= 0.6.6-2) Homepage: http://people.redhat.com/sgrubb/libcap-ng Priority: optional Section: libdevel Filename: pool/main/libc/libcap-ng/libcap-ng-dev_0.6.6-2_armhf.deb Size: 25888 SHA256: 04c74d099bfbb0a0e8f682c391dc125cb12a5a9202d62a521c16eec48819e28b SHA1: 4d2cf993f4dc73f736aa1964b7b7fb376fbb298f MD5sum: 2ea28145e3c28b05dd5ab4125556f582 Description: Development and header files for libcap-ng This library implements the user-space interfaces to the POSIX 1003.1e capabilities available in Linux kernels. These capabilities are a partitioning of the all powerful root privilege into a set of distinct privileges. . The libcap-ng library is intended to make programming with POSIX capabilities much easier than the traditional libcap library. Package: libcap-ng-utils Source: libcap-ng Version: 0.6.6-2 Architecture: armhf Maintainer: Pierre Chifflier Installed-Size: 84 Depends: libc6 (>= 2.13-28), libcap-ng0 (= 0.6.6-2) Homepage: http://people.redhat.com/sgrubb/libcap-ng Priority: optional Section: admin Filename: pool/main/libc/libcap-ng/libcap-ng-utils_0.6.6-2_armhf.deb Size: 19860 SHA256: e93511be7222d5e48231879dbc29e86375e161ce28c4688779cd9c4140da9712 SHA1: a187cfce601db44e5eecbc657ebfd1df63a10b3d MD5sum: ac8314429a12bb8c8ed23b92d9887339 Description: Utilities for analysing and setting file capabilities This library implements the user-space interfaces to the POSIX 1003.1e capabilities available in Linux kernels. These capabilities are a partitioning of the all powerful root privilege into a set of distinct privileges. . The libcap-ng library is intended to make programming with POSIX capabilities much easier than the traditional libcap library. . This package contains utilies for analysing and setting file capabilities. Package: libcap-ng0 Source: libcap-ng Version: 0.6.6-2 Architecture: armhf Maintainer: Pierre Chifflier Installed-Size: 54 Depends: libc6 (>= 2.13-28) Homepage: http://people.redhat.com/sgrubb/libcap-ng Priority: optional Section: libs Filename: pool/main/libc/libcap-ng/libcap-ng0_0.6.6-2_armhf.deb Size: 12256 SHA256: 933bbbcb815ef1fe9c8eebb17069832cb5f2a34f74b5ae329fe4705124360497 SHA1: 75a95eb210894a348f8d9a5772db1efd05028fba MD5sum: 34a547214b79e066fe533d009c16e6b7 Description: An alternate POSIX capabilities library This library implements the user-space interfaces to the POSIX 1003.1e capabilities available in Linux kernels. These capabilities are a partitioning of the all powerful root privilege into a set of distinct privileges. . The libcap-ng library is intended to make programming with POSIX capabilities much easier than the traditional libcap library. . This package contains header files and libraries for libcap-ng. Package: libcap2 Version: 1:2.22-1.2 Architecture: armhf Maintainer: Torsten Werner Installed-Size: 51 Pre-Depends: multiarch-support Depends: libattr1 (>= 1:2.4.46-8), libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://sites.google.com/site/fullycapable/ Priority: standard Section: libs Filename: pool/main/libc/libcap2/libcap2_2.22-1.2_armhf.deb Size: 12528 SHA256: fba9a7045abf13b0fb88ba82d3c8542d9eaff10de866a6a293066b5f3230a00a SHA1: 9a38c1359e2211d6eb317b5c5618f59e68ab0fc4 MD5sum: bb2ba20a51022848159f71ebcb28fa7a Description: support for getting/setting POSIX.1e capabilities This library implements the user-space interfaces to the POSIX 1003.1e capabilities available in Linux kernels. These capabilities are a partitioning of the all powerful root privilege into a set of distinct privileges. Package: libcap2-bin Source: libcap2 Version: 1:2.22-1.2 Architecture: armhf Maintainer: Torsten Werner Installed-Size: 83 Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10) Recommends: libpam-cap Suggests: libcap-dev Conflicts: libcap-bin Homepage: http://sites.google.com/site/fullycapable/ Priority: optional Section: utils Filename: pool/main/libc/libcap2/libcap2-bin_2.22-1.2_armhf.deb Size: 21090 SHA256: d4b9da21e69d048d2028ef2507e70a8f75d7fd60fb0246dcd0ea721c74485586 SHA1: 9cecd96a495b136beca617e5b72ce022354d5d8f MD5sum: c991b9064a7a027840590bedfeeeaf56 Description: basic utility programs for using capabilities This package contains the programs getpcaps, capsh, getcap, and setcap for manipulation of capabilities. The manpages of this package reference the manpage cap_from_text(3) from the libcap-dev package. Please install the libcap-dev package if you need its documentation. Package: libcapi20-3 Source: isdnutils Version: 1:3.25+dfsg1-3.3~deb7u1 Architecture: armhf Maintainer: Rolf Leggewie Installed-Size: 152 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Suggests: isdnutils-doc Multi-Arch: same Homepage: http://www.isdn4linux.de Priority: extra Section: libs Filename: pool/main/i/isdnutils/libcapi20-3_3.25+dfsg1-3.3~deb7u1_armhf.deb Size: 63836 SHA256: 2664b770d6f91cf06cc311d01ecfc58ce4650d5225aea8e30eb8c9c7657fb677 SHA1: dc14f46250b797f3219cad62f5d44bbe58c8886a MD5sum: 9f0c1789310016475479ac1f963de8be Description: ISDN utilities - CAPI support libraries Handle requests from CAPI-driven applications such as fax systems via active and passive ISDN cards. . This package will be installed automatically if needed. Package: libcapi20-dev Source: isdnutils Version: 1:3.25+dfsg1-3.3~deb7u1 Architecture: armhf Maintainer: Rolf Leggewie Installed-Size: 160 Depends: libcapi20-3 (= 1:3.25+dfsg1-3.3~deb7u1) Suggests: isdnutils-doc Breaks: isdnutils-base (<< 1:3.22+dfsg1-4) Replaces: isdnutils-base (<< 1:3.22+dfsg1-4), libcapi20-3 (<< 1:3.9.20060704+dfsg.3-4) Multi-Arch: same Homepage: http://www.isdn4linux.de Priority: extra Section: libdevel Filename: pool/main/i/isdnutils/libcapi20-dev_3.25+dfsg1-3.3~deb7u1_armhf.deb Size: 34756 SHA256: 06cd34e02a3f1898883b5b0ce619b119dbab84eb92ced56434441ffde29fc65a SHA1: 3cfeb5ef7b5dbb3c347000da039e079f5850f398 MD5sum: 7f45a932cd320af714962987360104b6 Description: ISDN utilities - CAPI development libraries This package provides files needed for development of CAPI-aware software. Package: libcapsinetwork-dev Source: libcapsinetwork Version: 0.3.0-7 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 79 Depends: libcapsinetwork0c2a (= 0.3.0-7) Priority: optional Section: libdevel Filename: pool/main/libc/libcapsinetwork/libcapsinetwork-dev_0.3.0-7_armhf.deb Size: 13738 SHA256: daa9e7d945b48a049222c91605d3d3b22894c4d24b9ee205d9b1721879db93c3 SHA1: 01f5dc1d557b2911051062095206e0731c64bc87 MD5sum: b8f40336ae9a811baa54a63a593b38f9 Description: C++ network server library, development files This package contains the header files and static libraries needed for development with libCapsiNetwork. . libCapsiNetwork is a network library for C++ server daemons aimed at easy development. Implementing a server is as easy as adding a few lines to your code and writing one function that handles input events. Package: libcapsinetwork0c2a Source: libcapsinetwork Version: 0.3.0-7 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 60 Pre-Depends: multiarch-support Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: libcapsinetwork0, libcapsinetwork0c2 Replaces: libcapsinetwork0, libcapsinetwork0c2 Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libc/libcapsinetwork/libcapsinetwork0c2a_0.3.0-7_armhf.deb Size: 13294 SHA256: 26240da49b849394d88ace8e9811e2d5a16c630b2f19d793eae9c6903b73639f SHA1: c70032e19f38ffade1a45abb1dd8a75bcf68ba90 MD5sum: 574cdca8b5fbdce7a5aea783d13cb489 Description: C++ network server library libCapsiNetwork is a network library for C++ server daemons aimed at easy development. Implementing a server is as easy as adding a few lines to your code and writing one function that handles input events. Package: libcaptcha-recaptcha-perl Version: 0.94-3 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl, libwww-perl, libhtml-tiny-perl Size: 13288 SHA256: fac72b518f526d4f3ca08d380b40830986240ad7566468d564185774bc554e77 SHA1: 4beaaa6b207b26c87b52e73036999a6d0b27c74b MD5sum: d5b39043e3392eabb35cc4f8084244b9 Description: perl implementation of the reCAPTCHA API reCAPTCHA is a hybrid mechanical turk and captcha that allows visitors who complete the captcha to assist in the digitization of books. From http://recaptcha.net/learnmore.html: . reCAPTCHA improves the process of digitizing books by sending words that cannot be read by computers to the Web in the form of CAPTCHAs for humans to decipher. More specifically, each word that cannot be read correctly by OCR is placed on an image and used as a CAPTCHA. This is possible because most OCR programs alert you when a word cannot be read correctly. . To use reCAPTCHA you need to register your site here: https://admin.recaptcha.net/recaptcha/createsite/ Homepage: http://search.cpan.org/dist/Captcha-reCAPTCHA/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcaptcha-recaptcha-perl/libcaptcha-recaptcha-perl_0.94-3_all.deb Package: libcapture-tiny-perl Version: 0.18-1 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 22448 SHA256: 245fb70faa20c0c154e0b178c97f936bab4c43f2a22ead03b07161daab8a3ec1 SHA1: 5efb8399a610c0c044043990cbf96b9afd363160 MD5sum: f233a0ec0d599c69a0b881d7e32d3207 Description: module to capture STDOUT and STDERR Capture::Tiny is a Perl module that provides a simple, portable way to capture anything sent to STDOUT or STDERR, regardless of whether it comes from Perl, XS code or an external program. Further, output can be captured while being passed through to the original handles. Homepage: http://search.cpan.org/dist/Capture-Tiny/ Tag: devel::TODO, devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libc/libcapture-tiny-perl/libcapture-tiny-perl_0.18-1_all.deb Package: libcaribou-common Source: caribou Version: 0.4.4-1 Installed-Size: 77 Maintainer: Debian GNOME Maintainers Architecture: all Size: 7796 SHA256: 418348a1af78fb72f7e5fcda1f77ec3fd91016b331e9201f6523bf78b26b6680 SHA1: 3ad347632b5329b420112d3f5a49bc56473f0d10 MD5sum: 24ba2068f6a647e333ee80315591a74e Description: Configurable on screen keyboard with scanning mode - common files An input assistive technology intended for switch and pointer users. . This package contains support files for libcaribou Multi-Arch: foreign Section: libs Priority: optional Filename: pool/main/c/caribou/libcaribou-common_0.4.4-1_all.deb Package: libcaribou-dbg Source: caribou Version: 0.4.4-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 404 Depends: libcaribou0 (= 0.4.4-1) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/c/caribou/libcaribou-dbg_0.4.4-1_armhf.deb Size: 107372 SHA256: edcfa0ada92980c71413e00158b0c7cc604c4af8d740d4ed432bb3c8d875d0f9 SHA1: 433c74a12e63dad53ee1241714572cbc5e3f0e64 MD5sum: 05fb3a3f92b5bbe1f6f1346d4acaa657 Description: Configurable on screen keyboard - library (debug symbols) An input assistive technology intended for switch and pointer users. . This package contains the debugging symbols for libcaribou library. Package: libcaribou-dev Source: caribou Version: 0.4.4-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 124 Depends: libcaribou0 (= 0.4.4-1), gir1.2-caribou-1.0 (= 0.4.4-1), libglib2.0-dev (>= 2.27.5), libgee-dev Priority: optional Section: libdevel Filename: pool/main/c/caribou/libcaribou-dev_0.4.4-1_armhf.deb Size: 12180 SHA256: 0ad9e8d0accfacc833395f0f8e5e0096cbc0d367b4f05d5e06dc3da05cdc407f SHA1: 2105268f18a4f02be3d6a40f54c3601fdd605538 MD5sum: b397cf34b6d99a482c4f4f43eef9c7b3 Description: Configurable on screen keyboard - library (development) An input assistive technology intended for switch and pointer users. . This package contains the development files for libcaribou library. Package: libcaribou-gtk-module Source: caribou Version: 0.4.4-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 81 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.24.5-4), libpango1.0-0 (>= 1.14.0), gsettings-desktop-schemas Multi-Arch: same Priority: optional Section: libs Filename: pool/main/c/caribou/libcaribou-gtk-module_0.4.4-1_armhf.deb Size: 13942 SHA256: 6cbede72762f0e24aba62dd6e0af4a87fbaf8019a793be1caf0e2123b34f1ee5 SHA1: a147eb41f47760368acc057077bd59633fcee77e MD5sum: 1337a3b0867c39db6bb9d6b9c9a4e3bf Description: Configurable on screen keyboard with scanning mode (GTK+ 2.0 module) An input assistive technology intended for switch and pointer users. . This package contains the GTK+ 2.0 module library. Package: libcaribou-gtk-module-dbg Source: caribou Version: 0.4.4-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 149 Depends: libcaribou-gtk-module (= 0.4.4-1) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/c/caribou/libcaribou-gtk-module-dbg_0.4.4-1_armhf.deb Size: 38312 SHA256: da12732627dfdc4c1cfb389e8696373b0ee7ec9c7c2ab9c9eca5ebac95cbe45e SHA1: 59bc587fed77e9fe16ad97a3206470bd04223918 MD5sum: a3703752bbbeb6c46652d32c554edfcf Description: Configurable on screen keyboard with scanning mode - module An input assistive technology intended for switch and pointer users. . This package contains detached debugging symbols for libcaribou-gtk-module. Package: libcaribou-gtk3-module Source: caribou Version: 0.4.4-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 81 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.2.2-3), libpango1.0-0 (>= 1.14.0), gsettings-desktop-schemas Multi-Arch: same Priority: optional Section: libs Filename: pool/main/c/caribou/libcaribou-gtk3-module_0.4.4-1_armhf.deb Size: 13856 SHA256: cc9c9d4bf672acbcca0eec0d996035ee361f6a0c7fbe037601bb048896af772a SHA1: 416f6db319c49a259e1946bce9902d26cab46709 MD5sum: 0a3eefd2d75bef63029c51cb32f95d4f Description: Configurable on screen keyboard with scanning mode (GTK+ 3.0 module) An input assistive technology intended for switch and pointer users. . This package contains the GTK+ 3.0 module library. Package: libcaribou-gtk3-module-dbg Source: caribou Version: 0.4.4-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 132 Depends: libcaribou-gtk3-module (= 0.4.4-1) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/c/caribou/libcaribou-gtk3-module-dbg_0.4.4-1_armhf.deb Size: 31374 SHA256: c049bc6d7d1c06bd6aea5bf5d0601ba52e3e2d54d2f178c8cfebb556ed9d4a87 SHA1: b74719ef33f12fba3e9ca44f08f0b22fc8ab06ae MD5sum: 02875a6c700c57d8a79e1593bd10a370 Description: Configurable on screen keyboard with scanning mode - module An input assistive technology intended for switch and pointer users. . This package contains detached debugging symbols for libcaribou-gtk3-module. Package: libcaribou0 Source: caribou Version: 0.4.4-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 135 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxklavier16 (>= 5.0), libxml2 (>= 2.7.4), libxtst6, libcaribou-common (= 0.4.4-1) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/c/caribou/libcaribou0_0.4.4-1_armhf.deb Size: 33264 SHA256: 146f01652a6590c13bfbed64cc217a90132fa1b091befc898d3a851ad75a5760 SHA1: 3bd75c9f0d8144b5759ec3ef8b081149defb2ef0 MD5sum: 385859e46f28100e3020650c0ff81b22 Description: Configurable on screen keyboard with scanning mode - library An input assistive technology intended for switch and pointer users. . This package contains the shared library. Package: libcarp-always-perl Version: 0.11-1 Installed-Size: 50 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 9068 SHA256: c1defad8746678da3cf6a3e231ee90cf0ccc47180771d193fe77c62fea8e0055 SHA1: bf64ad0c13fcc63e6651bbd95f30a74d449874a7 MD5sum: f96f1de1c31118830966f65e55728746 Description: Perl module to make warn and die noisy with stack backtraces Carp::Always is meant as a debugging aid. It can be used to make a script complain loudly with stack backtraces when warn()ing or die()ing. Thus, it is commonly used on the command line, to give more context to those mysterious warnings from deep down inside the module, without the need to edit code. Homepage: http://search.cpan.org/dist/Carp-Always/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcarp-always-perl/libcarp-always-perl_0.11-1_all.deb Package: libcarp-assert-more-perl Version: 1.12-2 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: libcarp-assert-perl, perl (>= 5.6.0-16) Size: 11472 SHA256: 016b56efc2216bae212cc48b28ce9f56a2be5ffefb61a2df8e4a74128f5dd930 SHA1: 8ef70f90bcc6e28c05c79420c1d1bcf2feb0c022 MD5sum: 02138505ff1439f4067b075e348e15d5 Description: Convenience wrappers for libcarp-assert-perl Carp::Assert::More is a set of wrappers around the Carp::Assert functions to make the habit of writing assertions even easier. Homepage: http://search.cpan.org/dist/Carp-Assert-More/ Tag: devel::debugger, devel::lang:perl, devel::library, devel::testing-qa, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libc/libcarp-assert-more-perl/libcarp-assert-more-perl_1.12-2_all.deb Package: libcarp-assert-perl Version: 0.20-2 Installed-Size: 36 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 18072 SHA256: 69d2488b5abbbe210a8618cef9bd2af477543a38641039bb7fb748e9a63a245b SHA1: 38d86c9143b753b4b4ee6944528c04c2521c8762 MD5sum: f5c89d213191c4015d9f8c9505a75d4f Description: Carp::Assert - executable comments for perl Carp::Assert provides ANSI C assert.h style assertions for perl, useful for debugging and commenting Homepage: http://search.cpan.org/dist/Carp-Assert/ Tag: devel::debugger, devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcarp-assert-perl/libcarp-assert-perl_0.20-2_all.deb Package: libcarp-clan-perl Version: 6.04-1 Installed-Size: 36 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 15766 SHA256: 48ad78d183068227afbe53d7ad0c86570f32a4bad4ea96ec2c2d4bbad128f715 SHA1: 12b569faf4bc5e9b77cc6489b699a92fd91328e3 MD5sum: a26b5a62be2debfcd6656d586de7456c Description: Perl enhancement to Carp error logging facilities Carp::Clan is a Perl module designed to report errors from the perspective of the caller of a "clan" of modules, similar to "Carp" itself. Instead of giving it a number of levels to skip on the calling stack, you give it a pattern to characterize the package names of the "clan" of modules which shall never be blamed for any error. . These modules stick together like a "clan" and any error which occurs will be blamed on the "outsider" script or modules not belonging to this clan. Homepage: http://search.cpan.org/dist/Carp-Clan/ Tag: devel::debugger, devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libc/libcarp-clan-perl/libcarp-clan-perl_6.04-1_all.deb Package: libcarp-clan-share-perl Version: 0.013-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libcarp-clan-perl Size: 6504 SHA256: 462d7b26fb84b572597696052c3a080f1a67140f04d741b20174880cd5e46b05 SHA1: e4f16c8c71bdc575290ece99eaaf890030823510 MD5sum: 3c99c1546912e92510691bc4d1f5fa00 Description: Perl module enabling sharing of Carp::Clan settings Carp::Clan::Share is a lightweight helper module that automatically creates a ::Carp module for you, under your current base namespace, forwarding the options to Carp::Clan. It does this using an import method. Homepage: http://search.cpan.org/dist/Carp-Clan-Share/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcarp-clan-share-perl/libcarp-clan-share-perl_0.013-1_all.deb Package: libcarp-datum-perl Version: 1:0.1.3-6 Installed-Size: 256 Maintainer: Debian Perl Group Architecture: all Depends: perl, liblog-agent-perl, libgetargs-long-perl Size: 70472 SHA256: 31a8bb812af828999b43433c4921b003aaea8421596c1101505cb295b474272c SHA1: 207cc6932ced663528b039d24e5cab332b394257 MD5sum: bd2340649753609335625a5ad518487e Description: Debugging And Tracing Ultimate Module (for perl) Carp::Datum implements the following features: . * Programming by contract: pre-conditions, post-conditions, assertions. * Flow control tracing: routine entry, arguments, returned values * Dynamic (i.e. runtime) configuration via mini language to tailor debugging and/or tracing at the routine / file / package / type level. * Ability to statically remove all assertions and flow control tracing hooks in modules making use of Carp::Datum. * Cooperation with Log::Agent for tracing. Homepage: http://search.cpan.org/dist/Carp-Datum/Datum.pm Tag: devel::debugger, devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcarp-datum-perl/libcarp-datum-perl_0.1.3-6_all.deb Package: libcastor-anttasks-java Source: castor Version: 1.3.2-1 Installed-Size: 84 Maintainer: Debian Java Maintainers Architecture: all Depends: libcastor-ddlgen-java, libcastor-codegen-java, libcommons-logging-java Recommends: ant Suggests: libcastor-java-doc (= 1.3.2-1) Size: 24686 SHA256: ce0490827c6e964baf058ab8ff1f68285f683d4d4debb7f03dd345b6ca2b4a44 SHA1: 8c1c33741db73e07b2e86089a810e5d59a74d8d8 MD5sum: 50821c587761e28f60c564abfd996591 Description: Data binding framework for Java (XML, Objects, DB) - Ant Tasks Castor is a data binding framework for Java. It's the shortest path between Java objects, XML documents and relational tables. . Castor XML is an XML data binding framework. Unlike the two main XML APIs, DOM (Document Object Model) and SAX (Simple API for XML) which deal with the structure of an XML document, Castor enables you to deal with the data defined in an XML document through an object model which represents that data. . Castor XML can be compared to XMLBeans framework. . Castor JDO is an Object-Relational Mapping and Data-Binding Framework. Castor can map relational database data into Java Data Object based on user-defined mapping schema. In the other point-of-view, it provides java data objects a persistence layer. . This package contains Ant task definitions for Castor XML code generator. Homepage: http://www.castor.org/ Section: java Priority: optional Filename: pool/main/c/castor/libcastor-anttasks-java_1.3.2-1_all.deb Package: libcastor-codegen-java Source: castor Version: 1.3.2-1 Installed-Size: 561 Maintainer: Debian Java Maintainers Architecture: all Depends: libcastor-core-java, libcastor-xml-java, libcastor-xml-schema-java, libcommons-logging-java Recommends: velocity Suggests: libcastor-java-doc (= 1.3.2-1) Size: 467198 SHA256: c82dd75b75660ed2cce0c802cb30b06503779f54249accd7505ce04997257e13 SHA1: 487cdbaba318b27ff56953170d8d455eb1447f8e MD5sum: c5bbf424d2d76b0aa0932b8a9052ec70 Description: Data binding framework for Java (XML, Objects, DB) - Codegen Castor is a data binding framework for Java. It's the shortest path between Java objects, XML documents and relational tables. . Castor XML is an XML data binding framework. Unlike the two main XML APIs, DOM (Document Object Model) and SAX (Simple API for XML) which deal with the structure of an XML document, Castor enables you to deal with the data defined in an XML document through an object model which represents that data. . Castor XML can be compared to XMLBeans framework. . Castor JDO is an Object-Relational Mapping and Data-Binding Framework. Castor can map relational database data into Java Data Object based on user-defined mapping schema. In the other point-of-view, it provides java data objects a persistence layer. . This package contains the Castor XML code generator. Homepage: http://www.castor.org/ Section: java Priority: optional Filename: pool/main/c/castor/libcastor-codegen-java_1.3.2-1_all.deb Package: libcastor-core-java Source: castor Version: 1.3.2-1 Installed-Size: 116 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-logging-java Suggests: libcastor-java-doc (= 1.3.2-1) Size: 52434 SHA256: 52ee78e6dcf805869a541e5f68cfb5237e14431f2726e49a146633f0b0f41ff3 SHA1: b84fc29b0400238192d2994280fee733b9cdfec9 MD5sum: 83da634ff2ed3fd56b25754ab7876578 Description: Data binding framework for Java (XML, Objects, DB) - Core Castor is a data binding framework for Java. It's the shortest path between Java objects, XML documents and relational tables. . Castor XML is an XML data binding framework. Unlike the two main XML APIs, DOM (Document Object Model) and SAX (Simple API for XML) which deal with the structure of an XML document, Castor enables you to deal with the data defined in an XML document through an object model which represents that data. . Castor XML can be compared to XMLBeans framework. . Castor JDO is an Object-Relational Mapping and Data-Binding Framework. Castor can map relational database data into Java Data Object based on user-defined mapping schema. In the other point-of-view, it provides java data objects a persistence layer. . This package contains the core functionality of Castor and it is required by all other modules. Homepage: http://www.castor.org/ Section: java Priority: optional Filename: pool/main/c/castor/libcastor-core-java_1.3.2-1_all.deb Package: libcastor-ddlgen-java Source: castor Version: 1.3.2-1 Installed-Size: 195 Maintainer: Debian Java Maintainers Architecture: all Depends: libcastor-xml-java Suggests: libcastor-java-doc (= 1.3.2-1) Size: 123042 SHA256: 1731fff8aaa5e67811ae0e708aa39b13f9792fbb72eaaff4a530f48b01d06a62 SHA1: 3c5d5d09bc18ac6a9d3185649a9c002fde959165 MD5sum: 68f2fe80fe71aa87974af127a4f90554 Description: Data binding framework for Java (XML, Objects, DB) - DDL generator Castor is a data binding framework for Java. It's the shortest path between Java objects, XML documents and relational tables. . Castor XML is an XML data binding framework. Unlike the two main XML APIs, DOM (Document Object Model) and SAX (Simple API for XML) which deal with the structure of an XML document, Castor enables you to deal with the data defined in an XML document through an object model which represents that data. . Castor XML can be compared to XMLBeans framework. . Castor JDO is an Object-Relational Mapping and Data-Binding Framework. Castor can map relational database data into Java Data Object based on user-defined mapping schema. In the other point-of-view, it provides java data objects a persistence layer. . This package contains the Castor JDO DDL generator. Homepage: http://www.castor.org/ Section: java Priority: optional Filename: pool/main/c/castor/libcastor-ddlgen-java_1.3.2-1_all.deb Package: libcastor-java-doc Source: castor Version: 1.3.2-1 Installed-Size: 42833 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcastor-xml-java (= 1.3.2-1) Size: 3435052 SHA256: 201c8d7982c391f7cbcb7ff17757457c368e683383f61d41b9a06bb38583416c SHA1: 4d4eea18cba67c1b2bab8783484c15084ec60965 MD5sum: f88b74d95998ca3897b75711dc84a5c2 Description: Documentation for Castor Java databinding framework Documentation for Castor, that is a data binding framework for Java. It's the shortest path between Java objects, XML documents and relational tables. . Castor XML is an XML data binding framework. Unlike the two main XML APIs, DOM (Document Object Model) and SAX (Simple API for XML) which deal with the structure of an XML document, Castor enables you to deal with the data defined in an XML document through an object model which represents that data. . Castor XML can be compared to XMLBeans framework. . Castor JDO is an Object-Relational Mapping and Data-Binding Framework. Castor can map relational database data into Java Data Object based on user-defined mapping schema. In the other point-of-view, it provides java data objects a persistence layer. Homepage: http://www.castor.org/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/c/castor/libcastor-java-doc_1.3.2-1_all.deb Package: libcastor-jdo-java Source: castor Version: 1.3.2-1 Installed-Size: 1062 Maintainer: Debian Java Maintainers Architecture: all Depends: libcastor-core-java, libcastor-xml-java, libcastor-xml-schema-java, libgeronimo-jta-1.0.1b-spec-java, libcglib-java, glassfish-javaee Suggests: libcastor-java-doc (= 1.3.2-1) Size: 924656 SHA256: 4037674ee796f1a6feb1f5e824faaf2b9d85d2e03f65c6cfe9ac1726e676c2db SHA1: 696e95d02e71e22c7e63107f2e58af8072c94724 MD5sum: 8cf7434a718b0021e8669f58b090dd6f Description: Data binding framework for Java (XML, Objects, DB) - JDO Castor is a data binding framework for Java. It's the shortest path between Java objects, XML documents and relational tables. . Castor XML is an XML data binding framework. Unlike the two main XML APIs, DOM (Document Object Model) and SAX (Simple API for XML) which deal with the structure of an XML document, Castor enables you to deal with the data defined in an XML document through an object model which represents that data. . Castor XML can be compared to XMLBeans framework. . Castor JDO is an Object-Relational Mapping and Data-Binding Framework. Castor can map relational database data into Java Data Object based on user-defined mapping schema. In the other point-of-view, it provides java data objects a persistence layer. . This package contains Castor JDO: a persistence framework. Homepage: http://www.castor.org/ Section: java Priority: optional Filename: pool/main/c/castor/libcastor-jdo-java_1.3.2-1_all.deb Package: libcastor-xml-java Source: castor Version: 1.3.2-1 Installed-Size: 863 Maintainer: Debian Java Maintainers Architecture: all Depends: libcastor-core-java, libcommons-logging-java Recommends: liboro-java, libregexp-java, libcommons-lang-java Suggests: libcastor-java-doc (= 1.3.2-1) Size: 746012 SHA256: 76cbf22f214d03bbea0a5b47dbb3e4d5fc4182666da860d2002a96ac0d045390 SHA1: f9d5303be2054e98c58a0d4549f9b82048d0b79a MD5sum: 07b666cd33133a82ee990d4aa675cc9c Description: Data binding framework for Java (XML, Objects, DB) - XML module Castor is a data binding framework for Java. It's the shortest path between Java objects, XML documents and relational tables. . Castor XML is an XML data binding framework. Unlike the two main XML APIs, DOM (Document Object Model) and SAX (Simple API for XML) which deal with the structure of an XML document, Castor enables you to deal with the data defined in an XML document through an object model which represents that data. . Castor XML can be compared to XMLBeans framework. . Castor JDO is an Object-Relational Mapping and Data-Binding Framework. Castor can map relational database data into Java Data Object based on user-defined mapping schema. In the other point-of-view, it provides java data objects a persistence layer. . This package contains the core XML data binding framework with support for marshalling Java objects to and unmarshalling from XML documents. Homepage: http://www.castor.org/ Section: java Priority: optional Filename: pool/main/c/castor/libcastor-xml-java_1.3.2-1_all.deb Package: libcastor-xml-schema-java Source: castor Version: 1.3.2-1 Installed-Size: 407 Maintainer: Debian Java Maintainers Architecture: all Depends: libcastor-xml-java, libcastor-core-java, libcommons-logging-java Suggests: libcastor-java-doc (= 1.3.2-1), libcommons-cli-java Size: 330230 SHA256: 84391901ce23374f7fbff0a1971109510e0e96ac420b5d5b804b53b17f20ddb1 SHA1: 51547720e3583b47dd2d787c4722d2b966a33c5a MD5sum: 9166b14f34ecbcdb0d7a1c3ef67c6d58 Description: Data binding framework for Java (XML, Objects, DB) - XML Schema Castor is a data binding framework for Java. It's the shortest path between Java objects, XML documents and relational tables. . Castor XML is an XML data binding framework. Unlike the two main XML APIs, DOM (Document Object Model) and SAX (Simple API for XML) which deal with the structure of an XML document, Castor enables you to deal with the data defined in an XML document through an object model which represents that data. . Castor XML can be compared to XMLBeans framework. . Castor JDO is an Object-Relational Mapping and Data-Binding Framework. Castor can map relational database data into Java Data Object based on user-defined mapping schema. In the other point-of-view, it provides java data objects a persistence layer. . This package contains a module with Castor XML schema support and provides functionality to reading, processing and writing XML schema documents. Homepage: http://www.castor.org/ Section: java Priority: optional Filename: pool/main/c/castor/libcastor-xml-schema-java_1.3.2-1_all.deb Package: libcatalyst-action-rest-perl Version: 1.04-1 Installed-Size: 225 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcatalyst-perl (>= 5.80030), libclass-inspector-perl, libdata-serializer-perl, libhtml-parser-perl, libmoose-perl (>= 1.03), libmro-compat-perl (>= 0.10), libnamespace-autoclean-perl, libparams-validate-perl, liburi-find-perl, libwww-perl, libyaml-syck-perl Pre-Depends: dpkg (>= 1.15.6) Suggests: libconfig-general-perl, libfreezethaw-perl, libjson-perl (>= 2.12), libjson-xs-perl (>= 2.222), libphp-serialization-perl, libxml-simple-perl Size: 62816 SHA256: 7c3149fec9b48ce9d64cd0b58946027ce36e83c0cc842c65f2bb6a9d9caa44a3 SHA1: b779f6e301f9b696964fbddd706b948b0991ef05 MD5sum: 1a496fe70c3cac6036b08edbacbb8fa7 Description: module for automatic REST method dispatching Catalyst::Action::REST is a Catalyst Action that handles doing automatic method dispatching for REST requests. It takes a normal Catalyst action, and changes the dispatch to append an underscore and method name. It will dispatch either to the action with the generating name or to a regular method. Homepage: http://search.cpan.org/dist/Catalyst-Action-REST/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-action-rest-perl/libcatalyst-action-rest-perl_1.04-1_all.deb Package: libcatalyst-actionrole-acl-perl Version: 0.07-1 Installed-Size: 16 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcatalyst-perl (>= 5.90013), libmoose-perl, libnamespace-autoclean-perl Size: 11734 SHA256: d6cb69b79017140e3bce0ca3fcf333ddf8810937dd2f6e563434a0b11e2312ab SHA1: b8cb701f11835f56330abf6fdaa68eb85006dcfd MD5sum: cf1d047f5ff2f158f69fef74d49c62fc Description: Catalyst ActionRole for user role-based authorization Catalyst::ActionRole::ACL provides a Catalyst reusable action role for user role-based authorization. . ACLs are applied via the assignment of attributes to application action subroutines. Homepage: http://search.cpan.org/dist/Catalyst-ActionRole-ACL/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-actionrole-acl-perl/libcatalyst-actionrole-acl-perl_0.07-1_all.deb Package: libcatalyst-authentication-credential-http-perl Version: 1.014-1 Installed-Size: 87 Maintainer: Debian Perl Group Architecture: all Depends: libcatalyst-modules-perl, libcatalyst-perl, libclass-accessor-perl, libossp-uuid-perl, libstring-escape-perl, liburi-perl, perl Size: 26362 SHA256: a3330c36b496883db8429580ed5bffd8f45661144780d58eabbfc2ac9d336613 SHA1: 888e053ea2130076ec0e935eea0fe5ccd481e5bc MD5sum: e1e514f7d5febb449ccfd8bcc5222a14 Description: HTTP Basic and Digest authentication for Catalyst Catalyst::Authentication::Credential::HTTP lets you use HTTP authentication with Catalyst::Plugin::Authentication. Both basic and digest authentication are currently supported. . When authentication is required, this module sets a status of 401, and the body of the response to 'Authorization required.'. To override this and set your own content, check for the "$c->res->status == 401" in your "end" action, and change the body accordingly. Homepage: http://search.cpan.org/dist/Catalyst-Authentication-Credential-HTTP/ Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-authentication-credential-http-perl/libcatalyst-authentication-credential-http-perl_1.014-1_all.deb Package: libcatalyst-controller-actionrole-perl Version: 0.15-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcatalyst-perl (>= 5.80025), libmoose-perl (>= 2) | libclass-mop-perl, libmoosex-types-perl, libnamespace-clean-perl, libstring-rewriteprefix-perl Suggests: libcatalyst-action-rest-perl Size: 9642 SHA256: 1b1e8db368d8ec57af809d88fa29639202b98ddbda13c4411938994554ff70e4 SHA1: 8c8f38c38775007495070018aa1cbd1a718d2378 MD5sum: 557de9be055d9b67c5ca5ceaeb12a78e Description: module for applying roles to action instances Catalyst::Controller::ActionRole allows one to apply Moose::Roles to the Catalyst::Actions for different controller methods. Homepage: http://search.cpan.org/dist/Catalyst-Controller-ActionRole/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-controller-actionrole-perl/libcatalyst-controller-actionrole-perl_0.15-1_all.deb Package: libcatalyst-devel-perl Version: 1.37-1 Installed-Size: 218 Maintainer: Debian Perl Group Architecture: all Replaces: libcatalyst-modules-perl (<< 39) Depends: perl, libcatalyst-perl (>= 5.90001), libconfig-general-perl (>= 2.42), libfile-changenotify-perl, libfile-copy-recursive-perl, libfile-sharedir-perl, libmoose-perl, libmoosex-emulate-class-accessor-fast-perl, libnamespace-autoclean-perl, libnamespace-clean-perl, libpath-class-perl, libtemplate-perl, libyaml-tiny-perl Suggests: libmodule-install-perl (>= 1.02), libmoosex-daemonize-perl, starman Breaks: libcatalyst-modules-perl (<< 39) Size: 79282 SHA256: 3d48726eda2ede5240cb9e92f2df6a95729dc8715522de1f141ec5fa1f76a9a5 SHA1: 88a7e10f5380c40074c7d100ab16001d48ba8609 MD5sum: a747f39d5ecb10196859519ed9dab352 Description: collection of development tools for Catalyst Catalyst::Devel is a collection of modules needed for Catalyst application development and testing, but is not required to run them. This package is not needed for Catalyst application deployment on production servers. Among other things, it includes the following tools: . Module::Install::Catalyst Provides various utilities for creating Catalyst module distributions. . Catalyst::Helper Helper module for bootstrapping a Catalyst application via catalyst.pl. . Catalyst::Restarter Utility to restart the server when files have changed Homepage: http://search.cpan.org/dist/Catalyst-Devel/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-devel-perl/libcatalyst-devel-perl_1.37-1_all.deb Package: libcatalyst-engine-apache-perl Version: 1.16-1 Installed-Size: 112 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcatalyst-perl (>= 5.80000), libapache2-mod-perl2 Breaks: libcatalyst-perl (<< 5.50) Size: 25802 SHA256: 4ea1c5ae677d21f4e1b6930b8fe79b86dae08a14446a4b8f560bc807e55d9856 SHA1: 92205957b520b55871c166b721f0b7c491a0ec62 MD5sum: 061fcf7ddf71345af6c29849402c3da6 Description: Catalyst engine for Apache 1.x and 2.x Catalyst::Engine::Apache is a Perl module that provides backend support for the Catalyst MVC framework on the Apache web server (for both the 1.x and 2.x branches). . In Debian, it is usually used with mod_perl 2.0, though the engine supports mod_perl 1.3x and 1.99 as well. Homepage: http://search.cpan.org/dist/Catalyst-Engine-Apache/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-engine-apache-perl/libcatalyst-engine-apache-perl_1.16-1_all.deb Package: libcatalyst-engine-psgi-perl Version: 0.13+dfsg-1 Installed-Size: 112 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcatalyst-modules-perl, libcatalyst-perl (>= 5.80007), libmoose-perl (>= 2) | libclass-mop-perl, libplack-perl, libtest-tcp-perl Size: 18064 SHA256: ab229411b58d708907dd33239d94cc3e9651f27e83ee2092de424624b72878bb SHA1: 3b8875310c26fa9462383a54130190ace7f0879a MD5sum: 419f1d2f59baaff34bd9436f9e5f4b88 Description: Catalyst engine for the PSGI protocol Catalyst::Engine::PSGI is a Perl module that provides backend support for the Catalyst MVC framework on any of a multitude of web servers that comply with the Perl Web Server Gateway Interface (PSGI) specification. . It is commonly used with the Plack middleware (see libplack-perl). Homepage: http://search.cpan.org/dist/Catalyst-Engine-PSGI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-engine-psgi-perl/libcatalyst-engine-psgi-perl_0.13+dfsg-1_all.deb Package: libcatalyst-manual-perl Version: 5.9004-1 Installed-Size: 857 Maintainer: Debian Perl Group Architecture: all Depends: perl Breaks: libcatalyst-modules-perl (<< 31) Size: 429052 SHA256: 73b6b0ba70387805cc248e62046c47c530fe122656ccc4128cccbc8cd1dd5f7b SHA1: f0adb4ea936ffea5135cfc2391e474e2e824ee8c MD5sum: ad0ec19829ae63c73e4f44f8baa8c3dd Description: developer's manual for Catalyst Catalyst is an elegant web application framework, extremely flexible yet extremely simple. It's similar to Ruby on Rails, Spring (Java) and Maypole, upon which it was originally based. . Catalyst follows the Model-View-Controller (MVC) design pattern, allowing you to easily separate concerns, like content, presentation, and flow control, into separate modules. This separation allows you to modify code that handles one concern without affecting code that handles the others. Catalyst promotes the re-use of existing Perl modules that already handle common web application concerns well. . This package contains Catalyst developer's manual. Homepage: http://search.cpan.org/dist/Catalyst-Manual/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-manual-perl/libcatalyst-manual-perl_5.9004-1_all.deb Package: libcatalyst-model-cdbi-perl Version: 0.12-1 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcatalyst-perl, libclass-dbi-loader-perl, libclass-dbi-perl, libmro-compat-perl Size: 11188 SHA256: f5298454b257cdd79025258ee90037ff849e082392e6ee4ac49e83bbc75f36bc SHA1: cccfa57b0b887d42c72308b7281f707225f797ae MD5sum: 68dd41b7a3d0680d6a46a91c6c69e603 Description: CDBI Model Class for Catalyst This is the Class::DBI model class for Catalyst. It's built on top of Class::DBI::Loader. . This module is deprecated and only provided for older applications which still need it for backwards compatibility. Homepage: http://search.cpan.org/dist/Catalyst-Model-CDBI/ Tag: devel::web Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-model-cdbi-perl/libcatalyst-model-cdbi-perl_0.12-1_all.deb Package: libcatalyst-modules-extra-perl Version: 8 Installed-Size: 188 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcatalyst-model-cdbi-perl, libcatalyst-modules-perl, libcatalyst-perl, libcatalyst-view-tt-perl, libclass-data-inheritable-perl, libclass-dbi-asform-perl, libclass-dbi-fromform-perl, libclass-dbi-loader-perl, libclass-dbi-perl, libclass-dbi-plugin-retrieveall-perl, libgd-securityimage-perl, libhtml-fillinform-perl, liblwpx-paranoidagent-perl, libnet-openid-consumer-perl, libtemplate-plugin-class-perl, libwww-perl Recommends: libclass-dbi-sqlite-perl Enhances: libcatalyst-perl Size: 43446 SHA256: 128cb4351c18f1073928b3828238776469852388fe23248372d55be470279c80 SHA1: f0e7ccb4417ac116e539b3fc3a9b1c6164f63de9 MD5sum: 4a14dfa8314548693ff0894d322924be Description: collection of extra modules for Catalyst This package includes some modules which could be handy when you make Catalyst application and which are not in libcatalyst-modules-perl. . Currently the following modules are included: . Catalyst::Model::Adaptor Use a plain class as a Catalyst model . Catalyst::Model::CDBI::CRUD Create-Read-Update-Delete model class using Class::DBI . Catalyst::Plugin::Authentication::Credential::OpenID Authentication using OpenID credentials . Catalyst::Plugin::Captcha Create and validate Captcha for Catalyst . Catalyst::Plugin::Setenv Deploy an environment (%ENV) using the Catalyst configuration Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-modules-extra-perl/libcatalyst-modules-extra-perl_8_all.deb Package: libcatalyst-modules-perl Version: 44 Installed-Size: 877 Maintainer: Debian Perl Group Architecture: all Depends: perl, libauthen-simple-perl, libcache-cache-perl, libcache-fastmmap-perl, libcatalyst-perl (>= 5.90000), libcatalyst-view-tt-perl, libcgi-formbuilder-perl, libclass-c3-perl, libclass-throwable-perl, libconfig-any-perl (>= 0.20), libdata-visitor-perl, libdbix-class-cursor-cached-perl, libdbix-class-perl (>= 0.08111), libdbix-class-schema-loader-perl, libdevel-stacktrace-perl, libemail-mime-perl (>= 1.859), libemail-mime-perl (>= 1.901) | libemail-mime-creator-perl (>= 1.453), libemail-sender-perl (>= 0.100110), libfile-changenotify-perl, libfile-slurp-perl, libhtml-formfu-perl (>= 0.09000), libhtml-prototype-perl, libhtml-widget-perl, libjson-any-perl, libjson-perl, liblocale-maketext-lexicon-perl, perl (>= 5.12) | liblocale-maketext-simple-perl (>= 0.19), liblog-log4perl-perl, libmoose-perl (>= 1.12), libmoosex-emulate-class-accessor-fast-perl, libmoosex-markasmethods-perl (>= 0.13), libmoosex-nonmoose-perl (>= 0.16), libmoosex-traits-pluggable-perl, libnamespace-autoclean-perl, libobject-signature-perl, libparams-validate-perl, libpath-class-perl, perl (>= 5.11) | libparent (>= 0.223), libregexp-assemble-perl, libset-object-perl, libsub-install-perl, libtask-weaken-perl, libtemplate-plugin-class-perl, libtest-www-mechanize-catalyst-perl, libtest-www-mechanize-perl (>= 1.16), libtie-ixhash-perl, libtry-tiny-perl, libuniversal-can-perl, libuniversal-isa-perl Suggests: libcatalyst-devel-perl, libconfig-general-perl, libconfig-tiny-perl, libhtml-mason-perl, libxml-simple-perl, libyaml-perl Enhances: libcatalyst-perl Size: 462842 SHA256: 0397454764bc65494e6093a4bcef24a1eac3311c26ac7882442355845c274db2 SHA1: 896cdc12b60648cf8fc589c0d67effe8b444b543 MD5sum: 8c0bfdb9457fa0dd8d0aaa556bea0b3b Description: modules for Catalyst MVC Web Application Framework This package includes some modules to enhance Catalyst. It demands many perl modules to keep dependency but they are relatively small. . Currently the following modules are included: . Catalyst::Action::RenderView Sensible default end action . Catalyst::Log::Log4perl Log::Log4perl logging for Catalyst . Catalyst::Plugin::Cache Flexible caching support for Catalyst . Catalyst::Plugin::ConfigLoader Load config files of various types . Catalyst::Plugin::Session Generic Session plugin, ties together server side storage and client side state required to maintain session data. . Catalyst::Plugin::StackTrace Display a stack trace on the debug screen . Catalyst::Plugin::Static::Simple Make serving static pages painless . Catalyst::Plugin::SubRequest Make subrequests to actions in Catalyst . Catalyst::Plugin::Unicode Unicode aware Catalyst . CatalystX::Component::Traits Automatic trait loading and resolution for Catalyst components . Catalyst::Component::InstancePerContext Moose role to create only one instance of component per context . Catalyst::Model::DBI DBI Model Class . Catalyst::Model::DBIC::Schema DBIx::Class::Schema Model Class . Catalyst::Plugin::Cache::Store::FastMmap FastMmap cache store for Catalyst::Plugin::Cache . Catalyst::Plugin::Session::State::Cookie Maintain session IDs using cookies . Catalyst::Plugin::Session::Store::Cache Store sessions using a Catalyst::Plugin::Cache . Catalyst::Plugin::Session::Store::DBI Store your sessions in a database using DBI . Catalyst::Plugin::Session::Store::Delegate Delegate session storage to an application model object . Catalyst::Plugin::Session::Store::FastMmap FastMmap session storage backend . Catalyst::Plugin::Session::Store::File File storage backend for session data . Catalyst::View::JSON JSON view for your data . Catalyst::View::Mason Mason View Class . Catalyst::Plugin::Authentication Infrastructure plugin for the Catalyst authentication framework . Catalyst::Plugin::Authorization::Roles Role based authorization built on Catalyst::Plugin::Authentication . Catalyst::Plugin::I18N I18N for Catalyst . Catalyst::View::Email Send Email from Catalyst . Catalyst::Authentication::Credential::Authen::Simple Verify credentials with the Authen::Simple framework . Catalyst::Authentication::Store::DBIx::Class A storage class for Catalyst Authentication using DBIx::Class . Catalyst::Controller::FormBuilder Catalyst FormBuilder Base Controller . Catalyst::Controller::HTML::FormFu Catalyst integration for HTML::FormFu . Catalyst::Plugin::Authorization::ACL ACL support for Catalyst applications . Catalyst::Plugin::Session::Store::DBIC Store your sessions via DBIx::Class Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-modules-perl/libcatalyst-modules-perl_44_all.deb Package: libcatalyst-perl Version: 5.90015-1 Installed-Size: 553 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcgi-simple-perl (>= 1.109), libclass-accessor-perl, libclass-c3-adopt-next-perl, libclass-c3-perl, libclass-inspector-perl, libclass-load-perl (>= 0.12), libdata-dump-perl, libdata-optlist-perl, libextutils-autoinstall-perl, libfile-copy-recursive-perl, libfile-modified-perl, libfile-slurp-perl, libhtml-parser-perl, libhttp-body-perl (>= 1.06), libhttp-request-ascgi-perl (>= 0.8), liblist-moreutils-perl, libmime-types-perl, libmoose-perl (>= 1.03), libmoosex-emulate-class-accessor-fast-perl, libmoosex-getopt-perl (>= 0.30), libmoosex-methodattributes-perl (>= 0.24), libmoosex-role-withoverloading-perl (>= 0.09), libmoosex-types-common-perl, libmoosex-types-loadableclass-perl, libnamespace-autoclean-perl, libnamespace-clean-perl (>= 0.23), libpath-class-perl, libplack-perl (>= 0.9974), libplack-middleware-reverseproxy-perl, libplack-test-externalserver-perl, libscope-upper-perl, libstring-rewriteprefix-perl, libtask-weaken-perl, libtest-mockobject-perl, libtext-simpletable-perl, libtree-simple-perl, libtree-simple-visitorfactory-perl, libtry-tiny-perl, liburi-perl, libwww-perl (>= 5.814), perl (>= 5.10.1) | libmodule-pluggable-perl (>= 3.9), perl (>= 5.10.1) | libparent-perl Pre-Depends: dpkg (>= 1.15.6~) Recommends: libcatalyst-devel-perl, libfcgi-perl Suggests: libcatalyst-engine-apache-perl, libcatalystx-leakchecker-perl, libfcgi-procmanager-perl, libtest-exception-perl, libtest-pod-coverage-perl, libtest-pod-perl, perl (>= 5.10.1) | libtest-simple-perl (>= 0.88) Breaks: libcatalyst-actionrole-acl-perl (<< 0.07), libcatalyst-modules-perl (<< 32~), libcatalystx-simplelogin-perl (<< 0.17) Size: 282924 SHA256: b7dbc2f6cb99f450983e4acf4c95fec3d480c71485379c6100fe41659af016d0 SHA1: 28c87c3a7023b8a0bafe0743700d23a61f39ce90 MD5sum: cef21c5d892429eb3227009e8bc09068 Description: elegant Model-View-Controller Web Application Framework Catalyst is an elegant web application framework, extremely flexible yet very simple. It's similar to Ruby on Rails, Spring (Java) and Maypole, upon which it was originally based. . Catalyst follows the Model-View-Controller (MVC) design pattern, allowing you to easily separate concerns, like content, presentation, and flow control, into separate modules. This separation allows you to modify code that handles one concern without affecting code that handles the others. Catalyst promotes the re-use of existing Perl modules that already handle common web application concerns well. . This package only contains the Catalyst::Runtime module. If you want to develop your own web applications install libcatalyst-modules-perl as well. Homepage: http://search.cpan.org/dist/Catalyst-Runtime/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-perl/libcatalyst-perl_5.90015-1_all.deb Package: libcatalyst-plugin-log-dispatch-perl Version: 0.121-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcatalyst-perl, liblog-dispatch-perl, libuniversal-require-perl Recommends: liblog-dispatch-config-perl Size: 8874 SHA256: 9f1ee10c27a64faf66917f290be5c02e3b1b80f05f1df7b8e4c5e14fcc991147 SHA1: 54f85526ca85bcbc3b47b44200d069ef1d8f637c MD5sum: 8db5f6feaa467e6b2481e1fbbc61306f Description: Catalyst log module that uses Log::Dispatch Catalyst::Plugin::Log::Dispatch is a plugin to use Log::Dispatch from Catalyst. Homepage: http://search.cpan.org/dist/Catalyst-Plugin-Log-Dispatch/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-plugin-log-dispatch-perl/libcatalyst-plugin-log-dispatch-perl_0.121-1_all.deb Package: libcatalyst-plugin-scheduler-perl Version: 0.10-1 Installed-Size: 75 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcatalyst-perl, libclass-accessor-perl, libclass-data-inheritable-perl, libdatetime-perl, libdatetime-event-cron-perl, libdatetime-timezone-perl, libmro-compat-perl, libset-scalar-perl, libyaml-syck-perl | libyaml-perl Size: 17012 SHA256: 5c821e75e330f5d3e6f5434cdb27501f6d4094f405e6037161c19801a8846b59 SHA1: 6fadbdfbccccf2dde5d7a07ee153b3709b769e78 MD5sum: 073f3063a2aa00b492680581b598caeb Description: Catalyst plugin for scheduling events to run in a cron-like fashion The Catalyst::Plugin::Scheduler plugin allows you to schedule events to run at recurring intervals. Events will run during the first request which meets or exceeds the specified time. Depending on the level of traffic to the application, events may or may not run at exactly the correct time, but it should be enough to satisfy many basic scheduling needs. Homepage: http://search.cpan.org/dist/Catalyst-Plugin-Scheduler/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-plugin-scheduler-perl/libcatalyst-plugin-scheduler-perl_0.10-1_all.deb Package: libcatalyst-plugin-smarturi-perl Version: 0.036-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcatalyst-perl (>= 5.80002), libclass-c3-componentised-perl, libmoose-perl, libnamespace-clean-perl, libtask-weaken-perl, liburi-smarturi-perl, perl (>= 5.10.1) | libparent-perl Size: 10640 SHA256: 9071aad560452d78fecbb7150a77fb6efd7ff40c6371ea379c355c519331adae SHA1: c333527710c71da2fe72f84bf277b73896401b96 MD5sum: 92ecb51176c5a658a6e02933268c9e5d Description: module providing configurable URIs for Catalyst Configure whether $c->uri_for and $c->req->uri_with return absolute, hostless or relative URIs, or URIs based on the 'Host' header. Also allows configuring which URI class to use. Works on application-wide or per-request basis. . Catalyst::Plugin::SmartURI is useful in situations where you're for example, redirecting to a lighttpd from a firewall rule, instead of a real proxy, and you want your links and redirects to still work correctly. . To use your own URI class, just subclass URI::SmartURI and set uri_class, or write a class that follows the same interface. . This plugin installs a custom $c->request_class, however it does so in a way that won't break if you've already set $c->request_class yourself, ie. by using Catalyst::Action::REST (thanks mst!). Homepage: http://search.cpan.org/dist/Catalyst-Plugin-SmartURI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-plugin-smarturi-perl/libcatalyst-plugin-smarturi-perl_0.036-1_all.deb Package: libcatalyst-plugin-unicode-encoding-perl Version: 1.7-1 Installed-Size: 61 Maintainer: Jonas Genannt Architecture: all Depends: perl, libencode-perl, libcatalyst-perl, libwww-perl (>= 5.837), liburi-perl, libclass-data-inheritable-perl Size: 9352 SHA256: 7d1f886ce1c11111aaab17721bafa43342b3392c1eaef57bd6d4192f39344eb2 SHA1: ed47fb0e486b1adc897d022eb5b3b78b408e535a MD5sum: 8e4eff34548ba0a5895855c7ebe03a5a Description: Unicode aware Catalyst Unicode encoding plugin for Catalyst perl MVC framework. . This Catalyst::Plugin::Unicode::Encoding package is an drop in replacement for the Catalyst::Plugin::Unicode package. . Catalyst::Plugin::Unicode::Encoding handles more cases. Homepage: http://search.cpan.org/dist/Catalyst-Plugin-Unicode-Encoding/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-plugin-unicode-encoding-perl/libcatalyst-plugin-unicode-encoding-perl_1.7-1_all.deb Package: libcatalyst-view-component-subinclude-perl Version: 0.10-1 Installed-Size: 120 Maintainer: Jonas Genannt Architecture: all Depends: perl, libcatalyst-perl (>= 5.80014), libcatalyst-modules-perl, libmoose-perl, libmoosex-types-perl, libwww-perl, liblist-moreutils-perl Size: 26250 SHA256: c770640d5eceb701883c8e075c1c207ce5f6a8088b063c47088d325b0677c838 SHA1: af36a3e47101b84bb3b5da45dff4477ba16cdf54 MD5sum: 7b29637b4b9bc4cbf1b7d7138fbf5897 Description: Use subincludes in your Catalyst views Catalyst::View::Component::SubInclude allows you to include content in your templates (or, more generally, somewhere in your view's render processing) which comes from another action in your application. It's implemented as a Moose::Role, so using Moose in your view is required. Homepage: http://search.cpan.org/dist/Catalyst-View-Component-SubInclude/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-view-component-subinclude-perl/libcatalyst-view-component-subinclude-perl_0.10-1_all.deb Package: libcatalyst-view-petal-perl Version: 0.03-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: libcatalyst-perl, libpetal-perl, perl Size: 13614 SHA256: 69146df985d29224422d1b89fb07db94012602e0a8fa9acab4258dba68d701a5 SHA1: bca64e3b7df4b78276e88ed5b87d33bc09abfcef MD5sum: 6f21cfd74011c903ef4977d60f032d72 Description: Petal View Class for Catalyst Catalyst::View::Petal is the Catalyst view class for Petal. Your subclass should inherit from this class. Homepage: http://search.cpan.org/dist/Catalyst-View-Petal/ Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-view-petal-perl/libcatalyst-view-petal-perl_0.03-1_all.deb Package: libcatalyst-view-tt-perl Version: 0.38-1 Installed-Size: 105 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcatalyst-perl, libmro-compat-perl, libtemplate-perl, libtemplate-provider-encoding-perl, libtemplate-timer-perl Size: 32684 SHA256: 7520797942140e4109c262ed83ef1f813a2ee697780c31b1d1314c50b497116c SHA1: c053d487093f9662e78a5d383be4b0c9ec8f622f MD5sum: e286108cc27b756b06996d3f6168dd41 Description: Template View Class for Catalyst Catalyst::View::TT is the Catalyst view class for the Template toolkit. Your application subclass should inherit from this class. This plugin renders the template specified in $c->stash->{template}, or $c->request->match. The template variables are set up from the contents of $c->stash, augmented with template variable base set to Catalyst's $c->req->base, template variable c to Catalyst's $c, and template variable name to Catalyst's $c->config->{name}. The output is stored in $c->response->output. Homepage: http://search.cpan.org/dist/Catalyst-View-TT/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libc/libcatalyst-view-tt-perl/libcatalyst-view-tt-perl_0.38-1_all.deb Package: libcatalystx-injectcomponent-perl Version: 0.024-1 Installed-Size: 51 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcatalyst-perl, libclass-inspector-perl Size: 7738 SHA256: 860a857303b40afb07dc2cff7790169d69df424d224db8635f13e435bb5faaad SHA1: 6e9561be17f0242784af0187b371628af7a81c3a MD5sum: 67a7595774cef9ff5f10d34fb91af124 Description: module for injecting components into Catalyst applications CatalystX::InjectComponent will inject Controller, Model, and View components into Catalyst applications at setup (run)time. It does this by creating a new package on-the-fly, having that package extend the given component, and then having Catalyst setup the new component (via "->setup_component"). Homepage: http://search.cpan.org/dist/CatalystX-InjectComponent/ Section: perl Priority: optional Filename: pool/main/libc/libcatalystx-injectcomponent-perl/libcatalystx-injectcomponent-perl_0.024-1_all.deb Package: libcatalystx-leakchecker-perl Version: 0.06-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcatalyst-perl (>= 5.80000), libdevel-cycle-perl (>= 1.11), libmoose-perl (>= 0.90), libmoosex-types-perl, libnamespace-autoclean-perl, libnamespace-clean-perl, libtask-weaken-perl, libpadwalker-perl (>= 1.8), libtext-simpletable-perl, perl (>= 5.10.1) | libtest-simple-perl (>= 0.88) Size: 7040 SHA256: c0992f6938ef52d4531b036096ef339c423072296d61a45ddd85c48081c21cc4 SHA1: d8fdac37c6a88f3443741f01af640736dda01d6f MD5sum: 0b2a5788cd90a3746e373aabec11f249 Description: module for detecting memory leaks in Catalyst applications CatalystX::LeakChecker is a Perl module that tries to help you find memory leaks in Catalsy applications by automatically checking for common causes. If any leaks are found (even when they occur within code references), a debug message is logged with useful debugging information. . This module is intended for use during development and debugging only, rather than in a production environment. Homepage: http://search.cpan.org/dist/CatalystX-LeakChecker/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcatalystx-leakchecker-perl/libcatalystx-leakchecker-perl_0.06-1_all.deb Package: libcatalystx-simplelogin-perl Version: 0.17-1 Installed-Size: 66 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcatalyst-action-rest-perl, libcatalyst-modules-perl, libcatalyst-perl (>= 5.90013), libcatalyst-view-tt-perl, libcatalystx-injectcomponent-perl, libhtml-formhandler-perl, libmoose-autobox-perl, libmoose-perl, libmoosex-methodattributes-perl, libmoosex-relatedclassroles-perl, libmoosex-types-common-perl, libmoosex-types-perl, libnamespace-autoclean-perl Size: 43462 SHA256: 8df09be511803313c1a2169d23aaf3e37ad5fce4e3774763844e7586df586336 SHA1: 009d54a670e09faf6db111ec00d7643c27a03033 MD5sum: a3f435ff225013280dc3d496f966bdc2 Description: simple and reusable login controller CatalystX::SimpleLogin is an application class Moose::Role which will inject an instance of CatalystX::SimpleLogin::Controller::Login into your application. . This provides a simple login and logout page with the adition of only one line of code and one template to your application. Homepage: http://search.cpan.org/dist/CatalystX-SimpleLogin/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcatalystx-simplelogin-perl/libcatalystx-simplelogin-perl_0.17-1_all.deb Package: libcbf-dev Source: cbflib Version: 0.7.9.1-3 Architecture: armhf Maintainer: Morten Kjeldgaard Installed-Size: 790 Depends: libcbf0 Homepage: http://www.bernstein-plus-sons.com/software/CBF/ Priority: extra Section: libdevel Filename: pool/main/c/cbflib/libcbf-dev_0.7.9.1-3_armhf.deb Size: 144366 SHA256: c04bbcea20ddc98cd44dd2a6b02d9bedfdb47dc5bb8cafd7c8e15c2411fa0933 SHA1: 61f7b63af7cd5bca41282b831315cac6a72d167d MD5sum: b328e58245f8a13605d0269906b73950 Description: development files for CBFlib CBFLIB is a library of ANSI-C functions providing a simple mechanism for accessing Crystallographic Binary Files (CBF files) and Image-supporting CIF (imgCIF) files. The CBFLIB API is loosely based on the CIFPARSE API for mmCIF files. CBFLIB does not perform any semantic integrity checks and simply provides functions to create, read, modify and write CBF binary data files and imgCIF ASCII data files. . This package contains libraries and header files for program development. Package: libcbf0 Source: cbflib Version: 0.7.9.1-3 Architecture: armhf Maintainer: Morten Kjeldgaard Installed-Size: 238 Depends: libc6 (>= 2.13-28) Homepage: http://www.bernstein-plus-sons.com/software/CBF/ Priority: extra Section: libs Filename: pool/main/c/cbflib/libcbf0_0.7.9.1-3_armhf.deb Size: 99278 SHA256: d5d59e5d4188676ee7b5435877cec81638a1e14f9d31b3516f2acf43c23a49e6 SHA1: c6fa144f36f234f2364433141c4294163b23bf26 MD5sum: 49cc79fc53862d966f3bccc6c92c4ae2 Description: shared library supporting CBFlib CBFlib is a library of ANSI-C functions providing a simple mechanism for accessing Crystallographic Binary Files (CBF files) and Image-supporting CIF (imgCIF) files. . This package contains the shared library. Package: libccaudio2 Version: 2.0.5-3 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 131 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgsm1 (>= 1.0.13), libspeex1 (>= 1.2~beta3-1), libstdc++6 (>= 4.4.0), libucommon5 Multi-Arch: same Homepage: http://www.gnu.org/software/ccaudio/ Priority: optional Section: libs Filename: pool/main/libc/libccaudio2/libccaudio2_2.0.5-3_armhf.deb Size: 48290 SHA256: a49674a71b8ca1d9b265535fbfa66c4fe8126a3487f7309889d2d7b2e4643595 SHA1: 517b2960279762ce77f8a878fa2e1fcd4712ff7c MD5sum: 76d2c009f8fd75fd057b2fb101f7c4fe Description: GNU ccAudio2 - a C++ class framework for processing audio files The GNU ccAudio package offers a highly portable C++ class framework for developing applications which manipulate audio streams and various disk based audio file formats. At the moment ccaudio is primarly a class framework for handling .au, .wav (RIFF), and various .raw audio encoding formats under Posix and win32 systems, though it may expand to become a general purpose audio and soundcard support library. Support for controlling CD audio devices has recently been added as well as support for codecs and other generic audio processing services. Package: libccaudio2-dev Source: libccaudio2 Version: 2.0.5-3 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 344 Depends: libc6 (>= 2.13-28), libccaudio2 (= 2.0.5-3), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgsm1 (>= 1.0.13), libspeex1 (>= 1.2~beta3-1), libstdc++6 (>= 4.4.0), libucommon5, libucommon-dev, pkg-config Suggests: libccaudio2-doc Homepage: http://www.gnu.org/software/ccaudio/ Priority: optional Section: libdevel Filename: pool/main/libc/libccaudio2/libccaudio2-dev_2.0.5-3_armhf.deb Size: 102912 SHA256: 601cb61001005bb02aab81426d0e0aef726d75912dac0a3521b85fe5a7f25a4a SHA1: 5e734cc31a7af58d1b1e2a4c943f7901f59aa704 MD5sum: 07295810b7e7c0c28ce14849d628dd01 Description: header files and static link library for GNU ccAudio The GNU ccAudio package offers a highly portable C++ class framework for developing applications which manipulate audio streams and various disk based audio file formats. At the moment ccaudio is primarly a class framework for handling .au, .wav (RIFF), and various .raw audio encoding formats under Posix and win32 systems, though it may expand to become a general purpose audio and soundcard support library. Support for controlling CD audio devices has recently been added as well as support for codecs and other generic audio processing services. . This package provides the header files and documentation for building applications that use GNU ccAudio. Package: libccfits-dev Source: ccfits Version: 2.4-1 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 2009 Depends: libccfits0 (= 2.4-1), libcfitsio3-dev (>= 3.02) Homepage: http://heasarc.gsfc.nasa.gov/fitsio/CCfits/ Priority: optional Section: libdevel Filename: pool/main/c/ccfits/libccfits-dev_2.4-1_armhf.deb Size: 437606 SHA256: cf7cd98e14ea06fb22a5a81c1e11f05d94e888a1b14ea522afebd1964a7a83b4 SHA1: 78eb52f165673765009e3a694cdbdba9b35aa2e5 MD5sum: 46987e7108dc08116c76d7b0970c5776 Description: static library for I/O with FITS format data files CCfits is an object oriented interface to the cfitsio library. It is designed to make the capabilities of cfitsio available to programmers working in C++. It is written in ANSI C++ and implemented using the C++ Standard Library with namespaces, exception handling, and member template functions. . This package contains what you need for compiling sources that use this library in your own code. Package: libccfits-doc Source: ccfits Version: 2.4-1 Installed-Size: 3019 Maintainer: Aurelien Jarno Architecture: all Size: 838282 SHA256: 8085b2aaee0d842e0b9109d190f0fd2c12171997d68b8b9378496ee2e0a1bfea SHA1: e33bca1ae5e6c9d5e903cf12b6dae0ccc25b996a MD5sum: 227232d2f02f6c38dd2798df5c237bfa Description: documentation for CCfits CCfits is an object oriented interface to the cfitsio library. It is designed to make the capabilities of cfitsio available to programmers working in C++. It is written in ANSI C++ and implemented using the C++ Standard Library with namespaces, exception handling, and member template functions. . This package contains the CCFits reference manual in html and PDF format. Homepage: http://heasarc.gsfc.nasa.gov/fitsio/CCfits/ Tag: devel::doc, devel::lang:c++, made-of::html, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/c/ccfits/libccfits-doc_2.4-1_all.deb Package: libccfits0 Source: ccfits Version: 2.4-1 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 641 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcfitsio3 (>= 3.060), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Multi-Arch: same Homepage: http://heasarc.gsfc.nasa.gov/fitsio/CCfits/ Priority: optional Section: libs Filename: pool/main/c/ccfits/libccfits0_2.4-1_armhf.deb Size: 232630 SHA256: 16d316c3290a8f5654e975fb9d8fcc1a6d08a761c86a18fb13a197608c523ded SHA1: 0d4be3f3084d6629658ceb16892191d931ccebfd MD5sum: dfde7e03045beddb1dd56796af7ec925 Description: shared library for I/O with FITS format data files CCfits is an object oriented interface to the cfitsio library. It is designed to make the capabilities of cfitsio available to programmers working in C++. It is written in ANSI C++ and implemented using the C++ Standard Library with namespaces, exception handling, and member template functions. . This package contains what you need to run programs that use this library. Package: libccgnu2-1.8-0 Source: libcommoncpp2 Version: 1.8.1-5 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 537 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://www.gnu.org/software/commoncpp/ Priority: optional Section: libs Filename: pool/main/libc/libcommoncpp2/libccgnu2-1.8-0_1.8.1-5_armhf.deb Size: 224124 SHA256: b4f163f89fadb40f1b09fe1d97e8af945cc5f909c7d549ae3ce7880d5af71979 SHA1: 760a145a37215b1f7bae5423fe80b7ddef248a97 MD5sum: e4a9bf16739f1ceaf0479d672764d24b Description: GNU package for creating portable C++ programs Common C++ "2" is a GNU package which offers portable "abstraction" of system services such as threads, networks, and sockets. Common C++ also offers individual frameworks generally useful to developing portable C++ applications including a object persistence engine, math libraries, threading, sockets, etc. Common C++ is small, and highly portable. Common C++ will support most Unix operating systems as well as Win32, in addition to GNU/Linux. . This package contains the runtime libraries. Package: libccid Source: ccid Version: 1.4.7-1 Architecture: armhf Maintainer: Ludovic Rousseau Installed-Size: 362 Depends: libc6 (>= 2.13-28), libusb-1.0-0 (>= 2:1.0.8) Suggests: pcmciautils Provides: pcsc-ifd-handler Homepage: http://pcsclite.alioth.debian.org/ccid.html Priority: extra Section: libs Filename: pool/main/c/ccid/libccid_1.4.7-1_armhf.deb Size: 188940 SHA256: 4eb9aa3bac06fe65a6c1b46233928445f9a466f2b54a81eea969c9124fc11022 SHA1: 7c55bfeee982e97cb9fa79cc696528ff43cb6a82 MD5sum: 4a01cebba8aa903efc38b10c4f94acba Description: PC/SC driver for USB CCID smart card readers This library provides a PC/SC IFD handler implementation for the USB smart card drivers compliant to the CCID protocol. . This package is needed to communicate with the CCID smartcard readers through the PC/SC Lite resource manager (pcscd). . For an exhaustive list of supported reader see http://pcsclite.alioth.debian.org/section.html . This driver also supports the GemPC Twin connected to a serial port and the GemPC Card (PCMCIA, through the suggested pcmciautils package) and Gemplus GemPC Express (Express54 card). Package: libccolamd2.7.1 Source: suitesparse Version: 1:3.4.0-3 Architecture: armhf Maintainer: Maintainer: Debian Science Maintainers Installed-Size: 72 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.cise.ufl.edu/research/sparse/SuiteSparse/ Priority: optional Section: libs Filename: pool/main/s/suitesparse/libccolamd2.7.1_3.4.0-3_armhf.deb Size: 20702 SHA256: 5285398a556f70ab563f4ca445ad4689fb5c20dd52049605fa9918be9a4985aa SHA1: 51f1cde0bb7ee919151ca08e778e5f93c14c138a MD5sum: 48c76c66a1e73f4ce999852bf415d2e0 Description: constrained column approximate library for sparse matrices Suitesparse is a collection of libraries for computations involving sparse matrices. This package includes the following dynamic library: . COLAMD constrained column approximate minimum degree ordering Package: libcconv-dev Source: cconv Version: 0.6.2-1 Architecture: armhf Maintainer: Vern Sun Installed-Size: 560 Depends: libcconv0 (= 0.6.2-1) Homepage: http://code.google.com/p/cconv/ Priority: extra Section: libdevel Filename: pool/main/c/cconv/libcconv-dev_0.6.2-1_armhf.deb Size: 162680 SHA256: 9db649881c2e8dcbcd119117ccc43077ce83ff50f516061dc0a766ef096e8b3e SHA1: fb889011fb8da8c7f5bde523310c01888b9d8c6e MD5sum: 560184d31eb32fcfe769dd639c168f89 Description: development library for CCONV CCONV is a simplified-traditional chinese conversion tool. . This package provide development libraries and documentations for CCONV. . For more information about CCONV, please see the description of cconv package. Package: libcconv0 Source: cconv Version: 0.6.2-1 Architecture: armhf Maintainer: Vern Sun Installed-Size: 553 Depends: libc6 (>= 2.13-28) Homepage: http://code.google.com/p/cconv/ Priority: extra Section: libs Filename: pool/main/c/cconv/libcconv0_0.6.2-1_armhf.deb Size: 161660 SHA256: d54e2b191899fbd9ef42fb326e01757b8bb9ae05521b3d25371c3b3c6ada8c99 SHA1: 944f63b4c5a88909722ce24aabd0b71526f052d7 MD5sum: 0c6d36a6ddaaf93c55f31abdfa5f7f5a Description: library for CCONV CCONV is a simplified-traditional chinese conversion tool. . This package provide runtime libraries for CCONV. . For more information about CCONV, please see the description of cconv package. Package: libccrtp-dev Source: libccrtp Version: 2.0.3-4 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 920 Depends: dpkg (>= 1.15.4) | install-info, libccrtp0 (= 2.0.3-4), libc6-dev, libucommon-dev, pkg-config Homepage: http://www.gnu.org/software/ccrtp/ Priority: optional Section: libdevel Filename: pool/main/libc/libccrtp/libccrtp-dev_2.0.3-4_armhf.deb Size: 268890 SHA256: 435aa7476960ca3b96d2b7dbec7f0c644c68247e84f9d8f8212a4c046b690eef SHA1: c52f8364bd418c4370fb13497d49cd702ab47e82 MD5sum: ec9e9c16ba9500ef37e885b58c8fbdb1 Description: Common C++ class framework for RTP packets - development files GNU ccRTP is a GNU Common C++ based high performance RTP stack. RTP is the Internet-standard protocol for the transport of real-time data, including audio and video. It can be used for media-on-demand as well as interactive for creating services such as Internet telephony. . GNU ccRTP offers support for RTCP and many other standard and extended features that are needed for both compatible and advanced streaming applications. GNU ccRTP can mix multiple payload types in stream, and hence can be used to implement RFC 2833 compliant signaling applications as well as other specialized things. GNU ccRTP also offers direct RTP packet filtering. . GNU ccRTP is threadsafe and high performance. It uses packet queue lists rather than streaming buffers. This allows ccRTP to be used to create high capacity streaming servers as well as client applications. . GNU ccRTP is designed to support both audio and video data and can do partial frame splits/re-assembly. GNU ccRTP also supports multicast networks and multiple active connection sources. This allows its use for building all forms of Internet standards based audio and visual conferencing systems. . GNU ccRTP uses templates and can be used to implement realtime streaming with different underlying transport protocols, not just with IPV4 UDP sockets. Package: libccrtp-doc Source: libccrtp Version: 2.0.3-4 Installed-Size: 4578 Maintainer: Debian VoIP Team Architecture: all Replaces: libccrtp-dev (<= 1.6.1-1) Size: 842206 SHA256: 9b3cd0e06c103c26710df48a8f266f7483ccc495d7445fb3a533f170309db015 SHA1: 5685a717aa6e8f5792ddb5fcbc562a48b950b2d3 MD5sum: 85f312c5295110977116b8c0bcc5238d Description: Documentation files for GNU ccRTPp library GNU ccRTP is a GNU Common C++ based high performance RTP stack. RTP is the Internet-standard protocol for the transport of real-time data, including audio and video. It can be used for media-on-demand as well as interactive for creating services such as Internet telephony. . This package contains the documentation files for GNU ccRTP. Homepage: http://www.gnu.org/software/ccrtp/ Tag: devel::doc, devel::examples, made-of::html, made-of::man, role::documentation, suite::gnu Section: doc Priority: optional Filename: pool/main/libc/libccrtp/libccrtp-doc_2.0.3-4_all.deb Package: libccrtp0 Source: libccrtp Version: 2.0.3-4 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 260 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libstdc++6 (>= 4.6), libucommon5 Multi-Arch: same Homepage: http://www.gnu.org/software/ccrtp/ Priority: optional Section: libs Filename: pool/main/libc/libccrtp/libccrtp0_2.0.3-4_armhf.deb Size: 109436 SHA256: 619a8c120a86a0bb21b41611c9e8c4ed8bad9b00e62c922c0296d7e54e360fa9 SHA1: 4e9fd3a4db84bac556e40913534679b47dc4d1c9 MD5sum: c75583cf5301905aadbdb0938a81aecc Description: Common C++ class framework for RTP packets GNU ccRTP is a GNU Common C++ based high performance RTP stack. RTP is the Internet-standard protocol for the transport of real-time data, including audio and video. It can be used for media-on-demand as well as interactive for creating services such as Internet telephony. . This package contains the shared runtime libraries for GNU ccRTP. Package: libccrtp1-1.8-0 Source: libccrtp1 Version: 1.8.0-1.2+rpi1 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 234 Depends: libc6 (>= 2.13-28), libccgnu2-1.8-0, libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libstdc++6 (>= 4.6) Homepage: http://www.gnu.org/software/ccrtp/ Priority: optional Section: libs Filename: pool/main/libc/libccrtp1/libccrtp1-1.8-0_1.8.0-1.2+rpi1_armhf.deb Size: 99162 SHA256: 59c6696c35292134b346f4dc4fda5b790bc1a2a7d9f7abdcf42926b087899658 SHA1: a25a34aeb68a57dab9b998cc25a80640a5ac4156 MD5sum: 6a3ca76db72572a7cc0ec60ef9675840 Description: Common C++ class framework for RTP packets GNU ccRTP is a GNU Common C++ based high performance RTP stack. RTP is the Internet-standard protocol for the transport of real-time data, including audio and video. It can be used for media-on-demand as well as interactive for creating services such as Internet telephony. . This package contains the shared runtime libraries for GNU ccRTP. Package: libccrtp1-dev Source: libccrtp1 Version: 1.8.0-1.2+rpi1 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 808 Depends: dpkg (>= 1.15.4) | install-info, libccrtp1-1.8-0 (= 1.8.0-1.2+rpi1), libc6-dev, libcommoncpp2-dev (>= 1.3.21-2), pkg-config Conflicts: libccrtp-dev, libzrtpcpp-dev (>= 2.0.0) Homepage: http://www.gnu.org/software/ccrtp/ Priority: optional Section: libdevel Filename: pool/main/libc/libccrtp1/libccrtp1-dev_1.8.0-1.2+rpi1_armhf.deb Size: 238696 SHA256: ed5463e5fae12b563ab9a00d82e57d8146c760eef80ec75ca30bf4e708cb5075 SHA1: c9a0756a8960e674b0ead861608b635f09958005 MD5sum: ffde45039a9208b64be33c55e6960f29 Description: Common C++ class framework for RTP packets GNU ccRTP is a GNU Common C++ based high performance RTP stack. RTP is the Internet-standard protocol for the transport of real-time data, including audio and video. It can be used for media-on-demand as well as interactive for creating services such as Internet telephony. . GNU ccRTP offers support for RTCP and many other standard and extended features that are needed for both compatible and advanced streaming applications. GNU ccRTP can mix multiple payload types in stream, and hence can be used to implement RFC 2833 compliant signaling applications as well as other specialized things. GNU ccRTP also offers direct RTP packet filtering. . GNU ccRTP is threadsafe and high performance. It uses packet queue lists rather than streaming buffers. This allows ccRTP to be used to create high capacity streaming servers as well as client applications. . GNU ccRTP is designed to support both audio and video data and can do partial frame splits/re-assembly. GNU ccRTP also supports multicast networks and multiple active connection sources. This allows its use for building all forms of Internet standards based audio and visual conferencing systems. . GNU ccRTP uses templates and can be used to implement realtime streaming with different underlying transport protocols, not just with IPV4 UDP sockets. Package: libccrtp1-doc Source: libccrtp1 Version: 1.8.0-1.2+rpi1 Architecture: all Maintainer: Debian VoIP Team Installed-Size: 5311 Conflicts: libccrtp-doc Replaces: libccrtp-dev (<= 1.6.1-1) Homepage: http://www.gnu.org/software/ccrtp/ Priority: optional Section: doc Filename: pool/main/libc/libccrtp1/libccrtp1-doc_1.8.0-1.2+rpi1_all.deb Size: 1646238 SHA256: 46a4636812e16689fc6db9d14520c83ee27aaee36a7b2edaf54b8fc4882d8d61 SHA1: 35014a4df7d6ae0723d9e284ffef790de704ce45 MD5sum: 1a6e1fa5b36f7437de7e71375b3e7339 Description: Documentation files for GNU ccRTPp library GNU ccRTP is a GNU Common C++ based high performance RTP stack. RTP is the Internet-standard protocol for the transport of real-time data, including audio and video. It can be used for media-on-demand as well as interactive for creating services such as Internet telephony. . This package contains the documentation files for GNU ccRTP. Package: libccs-dev Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 88 Depends: libccs3 (= 3.0.12-3.2+rvt+deb7u2) Priority: optional Section: libdevel Filename: pool/main/r/redhat-cluster/libccs-dev_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 32094 SHA256: 99aa4c0fcb548b1a9bc38058d876d4b356639c26c39346b87beea6f7e788a482 SHA1: a60018a94a2af2a27be3526b45e4184b6ecadcfe MD5sum: d48fca4c252e08f11165bdc43cfad809 Description: Red Hat cluster suite - cluster manager development files This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . The ccs library provides a simplified XPath implementation to access CMAN/Corosync configuration data via the same API as the old and deprecated libccs provided by ccsd. . It shouldn't be used for new development. Use libconfdb from corosync instead. Package: libccs-perl Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 98 Depends: perl (>= 5.14.2-12+rpi1), perlapi-5.14.2, libc6 (>= 2.13-28), libccs3 (>= 3.0.12), libldap-2.4-2 (>= 2.4.7), libxml2 (>= 2.7.4) Priority: optional Section: perl Filename: pool/main/r/redhat-cluster/libccs-perl_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 29344 SHA256: 160af4571d408b6dbd91ddeb2899ba706a2a87bbd14c351f48900130c197df82 SHA1: 1f992cb73e8cdf900891e55087e58fd2e57a81a2 MD5sum: 7a8ed34f794558ee8c460ba7eaa8bcbe Description: Red Hat cluster suite - cluster configuration module This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . The ccs library provides a simplified XPath implementation to access CMAN/Corosync configuration data via the same API as the old and deprecated libccs provided by ccsd. . This module implements a Perl interface to the libccs library. It shouldn't be used for new development. Use libconfdb from corosync instead. Package: libccs3 Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 74 Depends: libc6 (>= 2.13-28), libconfdb4 (>= 1.4.2), libldap-2.4-2 (>= 2.4.7), libxml2 (>= 2.7.4) Priority: optional Section: libs Filename: pool/main/r/redhat-cluster/libccs3_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 30712 SHA256: d2c392db803001acde23e624f9e4d0a2945793194b80d82a8b4edb649bb4d787 SHA1: 9fc4bcdc1ab49385355e80e3bbedee529c616a02 MD5sum: 47ac026d6683eb439b0015974440dcff Description: Red Hat cluster suite - cluster configuration libraries This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . The ccs library provides a simplified XPath implementation to access CMAN/Corosync configuration data via the same API as the old and deprecated libccs provided by ccsd. . This package contains the client libraries. Package: libccscript3-1.1-0 Source: libccscript3 Version: 1.1.7-2 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 345 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libccgnu2-1.8-0, libgcc1 (>= 1:4.4.0), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libstdc++6 (>= 4.4.0) Multi-Arch: same Homepage: http://www.gnu.org/software/ccscript/ Priority: optional Section: libs Filename: pool/main/libc/libccscript3/libccscript3-1.1-0_1.1.7-2_armhf.deb Size: 120364 SHA256: 94c9ee32891bdae0693e0a30277eeff33f41f1f172112d99720a941f9e8097ad SHA1: 4521f514fd5ad06381c4a414aa592effb8f66228 MD5sum: b32df123bb0f033ca7597862fa7b2e80 Description: GNU Common C++ framework for embedded scripting The GNU ccScript package offers a class extensible threaded embedded scripting engine for use with GNU Common C++. This engine is also used in GNU Bayonne (the GNU telephony application server package) and other parts of GNUCOMM (the GNU telephony meta-project). This engine differs from traditional scripting systems in that it is used to script near real-time state-event systems through deterministic callback step execution rather than the linear and non-deterministic fashion of embedded script systems such as Tcl, libguile, etc. Package: libccscript3-dev Source: libccscript3 Version: 1.1.7-2 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 332 Depends: libccscript3-1.1-0 (= 1.1.7-2), libcommoncpp2-dev, pkg-config Homepage: http://www.gnu.org/software/ccscript/ Priority: optional Section: libdevel Filename: pool/main/libc/libccscript3/libccscript3-dev_1.1.7-2_armhf.deb Size: 101638 SHA256: 4b3843efb2ae876eebaaa787fc1d6abc0aa51059779be04dd7aa5dc2528d79b8 SHA1: c82fe800f470590641f2465e50f659fe42ccd351 MD5sum: 2f8016a82b4cf538e324f0a000a1ef9d Description: GNU Common C++ framework for embedded scripting - development files The GNU ccScript package offers a class extensible threaded embedded scripting engine for use with GNU Common C++. This engine is also used in GNU Bayonne (the GNU telephony application server package) and other parts of GNUCOMM (the GNU telephony meta-project). This engine differs from traditional scripting systems in that it is used to script near real-time state-event systems through deterministic callback step execution rather than the linear and non-deterministic fashion of embedded script systems such as Tcl, libguile, etc. . This package contains the development files and headers to link to this library. Package: libccss-1-5 Source: libccss Version: 0.5.0-4 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 185 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.4.10), libcroco3 (>= 0.6.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.26.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.6.27) Homepage: http://cgit.freedesktop.org/ccss/ Priority: optional Section: libs Filename: pool/main/libc/libccss/libccss-1-5_0.5.0-4_armhf.deb Size: 67430 SHA256: f44e9207d36ebfc5943db1312c398a2e145ee404f6be374f9fc13f7bdf1171ea SHA1: 340ceb599cfe34c48fc5e8d249f8cc0ef6e133d2 MD5sum: e8ddff3202f5f88b105f52732cb50753 Description: simple api for CSS stylesheets Libccss offers a simple API to * Parse CSS stylesheets. * Query for style configurations on a user-provided document representation. * Draw query results onto cairo surfaces Package: libccss-1-5-dbg Source: libccss Version: 0.5.0-4 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 505 Depends: libccss-1-5 (= 0.5.0-4) Homepage: http://cgit.freedesktop.org/ccss/ Priority: extra Section: debug Filename: pool/main/libc/libccss/libccss-1-5-dbg_0.5.0-4_armhf.deb Size: 190950 SHA256: 554deded928762f903caf0048ab249c4fff616c736ccbb8b23d08520f9b0481c SHA1: cca4638d59b7814f0f33752f7a2e46d0923b62f9 MD5sum: 821a95516b5c291ebbd1312e3f4ae3e9 Description: simple api for CSS stylesheets (Debug files) Libccss offers a simple API to * Parse CSS stylesheets. * Query for style configurations on a user-provided document representation. * Draw query results onto cairo surfaces . This package contains the debug symbols. Package: libccss-dev Source: libccss Version: 0.5.0-4 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 115 Depends: libccss-1-5 (= 0.5.0-4), libcairo2-dev, libcroco3-dev, libglib2.0-dev, librsvg2-dev Homepage: http://cgit.freedesktop.org/ccss/ Priority: optional Section: libdevel Filename: pool/main/libc/libccss/libccss-dev_0.5.0-4_armhf.deb Size: 22830 SHA256: b795248b46be2e1f429263297947c184f7749e4933694597ca1ecfbb2721f979 SHA1: 24f2c7df573fb526258e773bdc1d7d3ea83b4c12 MD5sum: f9a31c850680523c90911b59d30649fb Description: simple api for CSS stylesheets (development headers) Libccss offers a simple API to * Parse CSS stylesheets. * Query for style configurations on a user-provided document representation. * Draw query results onto cairo surfaces . This package contains the development headers. Package: libccss-doc Source: libccss Version: 0.5.0-4 Installed-Size: 359 Maintainer: Ying-Chun Liu (PaulLiu) Architecture: all Suggests: devhelp Size: 52000 SHA256: 0ba2723912a3205b854b555dc3092bb2ee7c0c8e217bf6cd3cb94b726c3e4db4 SHA1: 0ea188fb7fe72ed24e3e2df4dae028e3a06862c0 MD5sum: fbf770435f88131ae8a18760315ba214 Description: simple api for CSS Stylesheets (documentation) Libccss offers a simple API to * Parse CSS stylesheets. * Query for style configurations on a user-provided document representation. * Draw query results onto cairo surfaces . This package contains the documentation. Homepage: http://cgit.freedesktop.org/ccss/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libccss/libccss-doc_0.5.0-4_all.deb Package: libccss-tools Source: libccss Version: 0.5.0-4 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 61 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libccss-1-5, libcroco3 (>= 0.6.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.14.4), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.6.27) Homepage: http://cgit.freedesktop.org/ccss/ Priority: optional Section: utils Filename: pool/main/libc/libccss/libccss-tools_0.5.0-4_armhf.deb Size: 17476 SHA256: c280e3c7f942106f70bfc903087bdb27c0046dce83ecc29fdb653784a01ae624 SHA1: ce75fa7b1d899cd82a945d01d750e9ba96665490 MD5sum: b22d132cd9401dbbefa13bef303c9652 Description: simple api for CSS stylesheets (utilities) Libccss offers a simple API to * Parse CSS stylesheets. * Query for style configurations on a user-provided document representation. * Draw query results onto cairo surfaces . This package contains some utilities. * ccss-stylesheet-to-gtkrc: load CSS files and dump the resulting for debugging multi-file stylesheets Package: libcdaudio-dbg Source: libcdaudio Version: 0.99.12p2-12 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 169 Depends: libcdaudio1 (= 0.99.12p2-12), libcdaudio-dev (= 0.99.12p2-12) Homepage: http://libcdaudio.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/libc/libcdaudio/libcdaudio-dbg_0.99.12p2-12_armhf.deb Size: 61134 SHA256: 6f80e6e86299b1f41d0b0301c983c109719566353b6ef3a3d7efe8374149eb20 SHA1: 65479739ce9b8b9f20f2a9d6866b0a889189b2f8 MD5sum: e7d2e6664085e1f1e8dde5d4aeb443db Description: library for controlling a CD-ROM when playing audio CDs (debug) This library provides functions for controlling an audio CD: starting, stopping, ejecting, etc. It also provides an interface to the CDDB and CD Index servers. . This package contains the debugging symbols. Package: libcdaudio-dev Source: libcdaudio Version: 0.99.12p2-12 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 168 Depends: libcdaudio1 (= 0.99.12p2-12) Homepage: http://libcdaudio.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/libc/libcdaudio/libcdaudio-dev_0.99.12p2-12_armhf.deb Size: 52678 SHA256: c70757146aa618a7315b36e589b041224145576e21a693414c44ea3cafcb216c SHA1: c6948d3f87c82329e477e59b33cd097f3306e7dc MD5sum: af02646d44621edb26991e26efece2e2 Description: library for controlling a CD-ROM when playing audio CDs (development) This library provides functions for controlling an audio CD: starting, stopping, ejecting, etc. It also provides an interface to the CDDB and CD Index servers. . This package contains the development files. Package: libcdaudio1 Source: libcdaudio Version: 0.99.12p2-12 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 105 Depends: libc6 (>= 2.13-28) Homepage: http://libcdaudio.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libc/libcdaudio/libcdaudio1_0.99.12p2-12_armhf.deb Size: 46278 SHA256: acf165a2714e0f98ffd41e21e47ad56f14403e104613d2738a8b6c8e70f64b94 SHA1: 832fe0027c0941098d8233a394e17388beb69ae5 MD5sum: fc4374f096c5d86325fad8f4fc381154 Description: library for controlling a CD-ROM when playing audio CDs This library provides functions for controlling an audio CD: starting, stopping, ejecting, etc. It also provides an interface to the CDDB and CD Index servers. Package: libcdb-dev Source: tinycdb Version: 0.78 Architecture: armhf Maintainer: Michael Tokarev Installed-Size: 100 Depends: libcdb1 (= 0.78) Recommends: tinycdb Replaces: tinycdb (<< 0.75) Priority: optional Section: libdevel Filename: pool/main/t/tinycdb/libcdb-dev_0.78_armhf.deb Size: 23278 SHA256: 25821ed73e509ad6bdcb313051f1b075faa09103f85d69832e6ec767df007687 SHA1: 1e9b519258dc09f8b720e27d33ff284900093dab MD5sum: 26ba6ad1429e9f71e125186443f4ea6d Description: development files for constant databases (cdb) tinycdb is a small, fast and reliable utility and subroutine library for creating and reading constant databases. The database structure is tuned for fast reading. . This package provides development files needed to build programs using cdb library. Package: libcdb-file-perl Version: 0.97-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 99 Depends: perl (>= 5.14.2-11), perlapi-5.14.2, libc6 (>= 2.13-28) Homepage: http://search.cpan.org/dist/CDB_File/ Priority: optional Section: perl Filename: pool/main/libc/libcdb-file-perl/libcdb-file-perl_0.97-2_armhf.deb Size: 27060 SHA256: a60a27412cf8bf32d1fd0362428a3785f1a02f77eb72c306622016db5b7b2699 SHA1: 52e809c77488f6cb6da0fa1fc63f720ea9a26682 MD5sum: 13c552fe8972a2b638c7dee7f9b0fd74 Description: module to access cdb databases from Perl CDB_File is a module which provides a Perl interface to creating and querying cdb databases. cdb is a fast, reliable and lightweight package for creating and reading constant databases, developed by Dan Bernstein. . See http://pobox.com/~djb/cdb.html for more information about cdb. Package: libcdb1 Source: tinycdb Version: 0.78 Architecture: armhf Maintainer: Michael Tokarev Installed-Size: 51 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/t/tinycdb/libcdb1_0.78_armhf.deb Size: 13096 SHA256: ae0872996dc99ba70f22dc133a3672751229751d9225e7a348a6dfc63f129da2 SHA1: 6052f0fd6081e1a6e13ec97d10a9070ded44ee30 MD5sum: 5601210fd82730f8525882794e21f176 Description: shared library for constant databases (cdb) tinycdb is a small, fast and reliable utility and subroutine library for creating and reading constant databases. The database structure is tuned for fast reading. . This package provides a shared library needed to run programs using it. Package: libcdd-dev Source: cddlib Version: 094b.dfsg-4.2 Architecture: armhf Maintainer: Tim Abbott Installed-Size: 705 Depends: libgmp-dev, libcdd0 Homepage: http://www.ifor.math.ethz.ch/~fukuda/cdd_home/ Priority: optional Section: libdevel Filename: pool/main/c/cddlib/libcdd-dev_094b.dfsg-4.2_armhf.deb Size: 353182 SHA256: 1c5e22a34a925612c18a7bd89a870c696be49a6af5043435627fc4dbd8fed127 SHA1: 5d5293acd9c78fe314fdb685d6f9ea6771bc7f75 MD5sum: 4008e590aac0a41b871c6fae530a2f62 Description: Library for finding vertices of convex polytopes, development The cddlib library is a C library for manipulating general convex polyhedra. It supports converting between the system of linear inequalities representation and a vertices and extreme rays representation of a polyhedron, and also supports solving linear programming problems. . This package contains the cddlib development files. Package: libcdd-test Source: cddlib Version: 094b.dfsg-4.2 Architecture: armhf Maintainer: Tim Abbott Installed-Size: 269 Depends: libc6 (>= 2.4), libcdd0, libgcc1 (>= 1:4.4.0), libgmp10 Homepage: http://www.ifor.math.ethz.ch/~fukuda/cdd_home/ Priority: optional Section: math Filename: pool/main/c/cddlib/libcdd-test_094b.dfsg-4.2_armhf.deb Size: 58476 SHA256: 1fb137bfd07dabc4cddc93a377209096cc6aaaac989e3b265f6ba4ee202008e7 SHA1: 31151802ff54c0904878c13422677cf7df378b7c MD5sum: 78d473aebec8d38089a1f1580bf1359d Description: Test programs for libcdd-dev The cddlib library is a C library for manipulating general convex polyhedra. It supports converting between the system of linear inequalities representation and a vertices and extreme rays representation of a polyhedron, and also supports solving linear programming problems. . This package contains test programs. Package: libcdd0 Source: cddlib Version: 094b.dfsg-4.2 Architecture: armhf Maintainer: Tim Abbott Installed-Size: 372 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libgmp10, libgmp-dev Homepage: http://www.ifor.math.ethz.ch/~fukuda/cdd_home/ Priority: optional Section: libs Filename: pool/main/c/cddlib/libcdd0_094b.dfsg-4.2_armhf.deb Size: 174216 SHA256: 4e51454ffdfbfcaf8c7a01aa662a72515c26e4841f11c4526c6a0a50cc299226 SHA1: ae8585ebdc7a6b7900e2887c9518d197ed905a2f MD5sum: de94bb6ea7ababf2f348e23fc8f75168 Description: Library for finding vertices of convex polytopes, runtime The cddlib library is a C library for manipulating general convex polyhedra. It supports converting between the system of linear inequalities representation and a vertices and extreme rays representation of a polyhedron, and also supports solving linear programming problems. . This package contains the cddlib shared libraries. Package: libcddb-file-perl Version: 1.05-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8696 SHA256: 5614cc12d54241501c54433c4b70c44ab6bfaa38b3985464b7d410509585fb06 SHA1: 0248f70c71b19e1430e41e1813e1439aec8501b0 MD5sum: bd5613be12458509a0a684aa1996342e Description: Parse a CDDB/freedb data file CDDB::File provides an interface for extracting data from CDDB-format data files, as used by freedb. Homepage: http://search.cpan.org/dist/CDDB-File/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcddb-file-perl/libcddb-file-perl_1.05-1_all.deb Package: libcddb-get-perl Version: 2.28-1 Installed-Size: 40 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 18620 SHA256: 7192c9d3ddd7be10debcd77dc7e8074d81c2130fde9c7247a55a76aaafd74937 SHA1: 8377766fcf79f2a8c2c35e184069980dc6c17edd MD5sum: a4f9c1caca08c537230f54ee63162dc6 Description: Perl interface to read the CDDB entry for an audio CD in your drive This module/script gets the CDDB info for an audio cd. You need a cdrom drive and an active internet connection in order to read the access the CDDB information. Homepage: http://search.cpan.org/dist/CDDB_get/ Tag: devel::lang:perl, devel::library, hardware::storage, hardware::storage:cd, implemented-in::perl, protocol::http, use::downloading, works-with::db Section: perl Priority: optional Filename: pool/main/libc/libcddb-get-perl/libcddb-get-perl_2.28-1_all.deb Package: libcddb-perl Version: 1.220-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl Suggests: libmailtools-perl Size: 30020 SHA256: 4dbb5b5f762587d130e07b62a3c1c3ae578cd110f961817c03a8c061c968f9c1 SHA1: 6f0c8d22dc24038e67e4b412ed3222695ff415f9 MD5sum: 6b80629136c8e3ebd9e0848b2794bbd1 Description: module to query CDDB and freedb servers CDDB protocol servers provide compact disc information for programs that need it. This allows such programs to display disc and track titles automatically and it provides extended information like liner notes and lyrics. With this module, a Perl program can identify and possibly gather details about a disc based on its "table of contents" (the disc's track times and offsets). . Disc details have been useful for generating CD catalogs, naming mp3 files, printing CD liners, or even just playing discs in an automated jukebox. Homepage: http://search.cpan.org/dist/CDDB/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcddb-perl/libcddb-perl_1.220-1_all.deb Package: libcddb2 Source: libcddb Version: 1.3.2-3 Architecture: armhf Maintainer: Eugene V. Lyubimkin Installed-Size: 107 Depends: libc6 (>= 2.7) Homepage: http://libcddb.sourceforge.net Priority: optional Section: libs Filename: pool/main/libc/libcddb/libcddb2_1.3.2-3_armhf.deb Size: 48366 SHA256: 1854e3418b6952b1cd931ebb395bf91a826fc9b930e5cb18cd03cb5226d65c0c SHA1: 93c696a540e0d1214197fa5cbe8a04bdbe10cb9c MD5sum: 220bc454c2cf29d1e055f50168906094 Description: library to access CDDB data - runtime files libcddb is a C library to access data about Audio CD on a CDDB server . It allows to search the database for CD matches, get detailed information about a CD and submit new CD entries to the database. It supports both the custom CDDB protocol and the tunneling of the query over HTTP. HTTP Proxying is supported. It also features a cache system. . This package contains the runtime library required to run libcddb-enabled programs. Package: libcddb2-dev Source: libcddb Version: 1.3.2-3 Architecture: armhf Maintainer: Eugene V. Lyubimkin Installed-Size: 239 Depends: libcddb2 (= 1.3.2-3) Conflicts: libcddb-dev Replaces: libcddb-dev Provides: libcddb-dev Homepage: http://libcddb.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/libc/libcddb/libcddb2-dev_1.3.2-3_armhf.deb Size: 84796 SHA256: 784a1afa906f7aef39db93448d1f160562eea33ffbb5f8ed903403802d7f7d04 SHA1: b1a7bec13250bfd1ca7aebaeb81d7c1ca6b8ba67 MD5sum: d79f29a1ef6fcc6912663cf338066432 Description: library to access CDDB data - development files libcddb is a C library to access data about Audio CD on a CDDB server . It allows to search the database for CD matches, get detailed information about a CD and submit new CD entries to the database. It supports both the custom CDDB protocol and the tunneling of the query over HTTP. HTTP Proxying is supported. It also features a cache system. . This package contains the development headers required to compile libcddb-enabled programs. Package: libcdi-api-java Source: cdi-api Version: 1.0-1 Installed-Size: 96 Maintainer: Debian Java Maintainers Architecture: all Depends: libatinject-jsr330-api-java, libgeronimo-interceptor-3.0-spec-java, libservlet2.5-java Size: 32596 SHA256: ea0ef7f931894a55ba98b35069474b9ddf77ea5593d2fcaf12d7bd63a13a4512 SHA1: cf1117093e22ff5bf6fd54759c200c9c3c7225da MD5sum: 408172effeabbe936dcbca98f169f347 Description: Contexts and Dependency Injection for Java EE Contexts and Dependency Injection (CDI aka JSR 299) defines a set of complementary services to help usage of Inversion of Control (IoC) on Java EE platform (like with Spring Framework). . CDI can be used on Java EE platform but some container also support running on Java SE. Homepage: http://jcp.org/en/jsr/detail?id=299 Section: java Priority: optional Filename: pool/main/c/cdi-api/libcdi-api-java_1.0-1_all.deb Package: libcdi-api-java-doc Source: cdi-api Version: 1.0-1 Installed-Size: 1349 Maintainer: Debian Java Maintainers Architecture: all Size: 103470 SHA256: 6572a0c8f634f9a17dd24f1d4f7ef315fa06c9a557ebc6f8a6040da70270efe7 SHA1: 6a407016a126736db35f9947d3bbc3a203a10932 MD5sum: b675cf9841c1acf039b91d5a85cf2169 Description: Contexts and Dependency Injection for Java EE - documentation Contexts and Dependency Injection (CDI aka JSR 299) defines a set of complementary services to help usage of Inversion of Control (IoC) on Java EE platform (like with Spring Framework). . CDI can be used on Java EE platform but some container also support running on Java SE. . This package contains the Javadoc API for libcdi-api-java. Homepage: http://jcp.org/en/jsr/detail?id=299 Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/c/cdi-api/libcdi-api-java-doc_1.0-1_all.deb Package: libcdi-dev Source: cdo Version: 1.5.4+dfsg.1-5 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 1055 Depends: libcdi0 (= 1.5.4+dfsg.1-5) Multi-Arch: same Homepage: https://code.zmaw.de/projects/cdo Priority: extra Section: libdevel Filename: pool/main/c/cdo/libcdi-dev_1.5.4+dfsg.1-5_armhf.deb Size: 385464 SHA256: fbb3f2b61514f413705f1e68c4b7ef1626ab9df5379624cb7cfbceea7c265b1c SHA1: a5a77ee79c2c92883628c700f5e6d3543df34ec0 MD5sum: 5ed8a60263a01c483e14679933806bdc Description: Development files for the climate data interface library CDI is a C and Fortran Interface to access Climate model Data. Supported data formats are GRIB, netCDF, SERVICE, EXTRA and IEG. This package provides development libraries to build against CDI. Package: libcdi0 Source: cdo Version: 1.5.4+dfsg.1-5 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 659 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgrib-api-1.9.16 (>= 1.9.16), libhdf5-openmpi-7 (>= 1.8.7), libjasper1, libnetcdfc7, libopenmpi1.3, zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: https://code.zmaw.de/projects/cdo Priority: extra Section: libs Filename: pool/main/c/cdo/libcdi0_1.5.4+dfsg.1-5_armhf.deb Size: 299912 SHA256: c142dd7b96cf579b44e96db32e4ba54d8e2161f97761788606d2258b24120900 SHA1: d265f30695960ad3510650c60881c34ff8c0e259 MD5sum: 9c4a91b6e6dc263be2990b9a6227163c Description: Climate Data Interface (cdi) library CDI is a C and Fortran Interface to access Climate model Data. Supported data formats are GRIB, netCDF, SERVICE, EXTRA and IEG. Package: libcdio-cdda-dev Source: libcdio (0.83-4) Version: 0.83-4+b1 Architecture: armhf Maintainer: Nicolas Boullis Installed-Size: 200 Depends: libcdio-cdda1 (= 0.83-4+b1), libcdio-dev, libc6-dev | libc-dev Homepage: http://www.gnu.org/software/libcdio/ Priority: optional Section: libdevel Filename: pool/main/libc/libcdio/libcdio-cdda-dev_0.83-4+b1_armhf.deb Size: 137220 SHA256: ddf025f8cdb56266fc431cf471c60228038b808a252dd81e73c4aa22dc0e0a98 SHA1: 8fa40c956efc468b163b7c17f1ad9ef950aa4c2f MD5sum: 96ef9ff958005f46ec779caa83e0a1e5 Description: library to read and control digital audio CDs (development files) This package contains development files (headers and static library) for the libcdio-cdda library. . This library is made to read and control digital audio CDs. It does not play such CDs, it only reads them digitally. As it uses libcdio as a backend, it supports the same kind of CDROM drives (and images) as libcdio. Package: libcdio-cdda1 Source: libcdio (0.83-4) Version: 0.83-4+b1 Architecture: armhf Maintainer: Nicolas Boullis Installed-Size: 168 Depends: libc6 (>= 2.13-28), libcdio13 (>= 0.83) Homepage: http://www.gnu.org/software/libcdio/ Priority: optional Section: libs Filename: pool/main/libc/libcdio/libcdio-cdda1_0.83-4+b1_armhf.deb Size: 130630 SHA256: 693f7e7faf1173118f441745d6671687f68f6c8b286f7e723cf5ffe95705d60a SHA1: af8fda8a19c481b471c60e9478a378c1c620cbed MD5sum: 2a21812c8c50d6be9841edc25530b64a Description: library to read and control digital audio CDs This library is made to read and control digital audio CDs. It does not play such CDs, it only reads them digitally. As it uses libcdio as a backend, it supports the same kind of CDROM drives (and images) as libcdio. Package: libcdio-dev Source: libcdio (0.83-4) Version: 0.83-4+b1 Architecture: armhf Maintainer: Nicolas Boullis Installed-Size: 590 Depends: libcdio13 (= 0.83-4+b1), libc6-dev | libc-dev, dpkg (>= 1.15.4) | install-info Homepage: http://www.gnu.org/software/libcdio/ Priority: optional Section: libdevel Filename: pool/main/libc/libcdio/libcdio-dev_0.83-4+b1_armhf.deb Size: 287184 SHA256: e76ae984f1f4eaa6645a2b6e70c68b98f3ba09426d50d87b345048f2d7388d50 SHA1: 002bc8cd096257218b56d289cf21d1aeb3d1d3eb MD5sum: 21776cfab5910d26fa72d70a20740852 Description: library to read and control CD-ROM (development files) This package contains development files (headers and static library) for the libcdio library. . This library is to encapsulate CD-ROM reading and control. Applications wishing to be oblivious of the OS- and device-dependant properties of a CD-ROM can use this library. . Some support for disk image types like BIN/CUE and NRG is available, so applications that use this library also have the ability to read disc images as though they were CD's. Package: libcdio-paranoia-dev Source: libcdio (0.83-4) Version: 0.83-4+b1 Architecture: armhf Maintainer: Nicolas Boullis Installed-Size: 191 Depends: libcdio-paranoia1 (= 0.83-4+b1), libcdio-cdda-dev, libcdio-dev, libc6-dev | libc-dev Homepage: http://www.gnu.org/software/libcdio/ Priority: optional Section: libdevel Filename: pool/main/libc/libcdio/libcdio-paranoia-dev_0.83-4+b1_armhf.deb Size: 134968 SHA256: f8ae2e2764c78a255f6f920624391206a89728c724cd6d538e253f9bc9340dac SHA1: 7a021999bb793abee99fd20915d3532bd7a11ea4 MD5sum: 0dca504fafc82b7ca758062c73b92a24 Description: library to read digital audio CDs with error correction (development files) This package contains development files (headers and static library) for the libcdio-paranoia library. . This library is made to read digital audio CDs, with error correction. It does its best to correct errors and jitter that happen when reading such discs. As it uses libcdio as a backend, it supports the same kind of CDROM drives (and images) as libcdio. Package: libcdio-paranoia1 Source: libcdio (0.83-4) Version: 0.83-4+b1 Architecture: armhf Maintainer: Nicolas Boullis Installed-Size: 166 Depends: libc6 (>= 2.13-28), libcdio-cdda1 (>= 0.83), libcdio13 (>= 0.83) Homepage: http://www.gnu.org/software/libcdio/ Priority: optional Section: libs Filename: pool/main/libc/libcdio/libcdio-paranoia1_0.83-4+b1_armhf.deb Size: 130786 SHA256: 5bb241834490eb5e3eda7ffdcef8f75b96191e235d59ab8193124f365e922efd SHA1: 3b645712dc41ffc4b3eb6e54d7113c1b8e766626 MD5sum: 92e19df45d1caee5fd6b8b7a79cd6ff0 Description: library to read digital audio CDs with error correction This library is made to read digital audio CDs, with error correction. It does its best to correct errors and jitter that happen when reading such discs. As it uses libcdio as a backend, it supports the same kind of CDROM drives (and images) as libcdio. Package: libcdio-utils Source: libcdio (0.83-4) Version: 0.83-4+b1 Architecture: armhf Maintainer: Nicolas Boullis Installed-Size: 352 Depends: libc6 (>= 2.13-28), libcdio-cdda1 (>= 0.83), libcdio-paranoia1 (>= 0.83), libcdio13 (>= 0.83), libiso9660-8 (>= 0.83), libncurses5 (>= 5.5-5~), libtinfo5 Homepage: http://www.gnu.org/software/libcdio/ Priority: optional Section: otherosfs Filename: pool/main/libc/libcdio/libcdio-utils_0.83-4+b1_armhf.deb Size: 202774 SHA256: a508cd639b47d4697884029d38775f4cb5602bdf9ff107f7768e0ff7932806ee SHA1: 16887ed3b945969610b6b2a0b34d90483692e93e MD5sum: 9c6c4961ee26d290ab424518320964be Description: sample applications based on the CDIO libraries This package contains a collection of small libcdio-based tools: * cd-drive show CD-ROM drive characteristics * cd-info show information about a CD or CD-image * cd-paranoia an audio CD ripper * cd-read read information from a CD or CD-image * cdda-player a simple curses-based audio CD player * iso-info show information about an ISO 9660 image * iso-read read portions of an ISO 9660 image * mmc-tool issue low-level commands to a CD drive Package: libcdio13 Source: libcdio (0.83-4) Version: 0.83-4+b1 Architecture: armhf Maintainer: Nicolas Boullis Installed-Size: 258 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.gnu.org/software/libcdio/ Priority: optional Section: libs Filename: pool/main/libc/libcdio/libcdio13_0.83-4+b1_armhf.deb Size: 171248 SHA256: 19f2a837b793458eec4879394ea25f3cbad4360d963f17f5c58f4349b12a3f39 SHA1: 9a1f90bb999098bbac90ca0f762154a7b109af41 MD5sum: 1fa13322994358e492af4e5550c43c09 Description: library to read and control CD-ROM This library is to encapsulate CD-ROM reading and control. Applications wishing to be oblivious of the OS- and device-dependant properties of a CD-ROM can use this library. . Some support for disk image types like BIN/CUE and NRG is available, so applications that use this library also have the ability to read disc images as though they were CD's. Package: libcdk-java Source: cdk Version: 1:1.2.10-3 Installed-Size: 4831 Maintainer: Debian Java Maintainers Architecture: all Depends: libjama-java, libjgrapht0.6-java, liblog4j1.2-java, libvecmath-java, libxerces2-java, libxom-java Suggests: jchempaint, jmol Size: 4735616 SHA256: b441571472379c024f85cec250a16357db34e5d97bd80f57d934546b718a7fe0 SHA1: dd9236039642483c0ffee52d50abaf09c06cb693 MD5sum: 4577ab1f876d1f64a26b3f52fa0b1fa5 Description: Chemistry Development Kit (CDK) Java libraries The CDK is a library of Java classes used in computational and information chemistry and in bioinformatics. It includes renderers, file IO, SMILES generation/parsing, maximal common substructure algorithms, fingerprinting and much, much more. Homepage: http://cdk.sourceforge.net/ Tag: devel::lang:java, devel::library, field::chemistry, implemented-in::java, role::devel-lib Section: java Priority: optional Filename: pool/main/c/cdk/libcdk-java_1.2.10-3_all.deb Package: libcdk-perl Version: 4.9.10-5 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 1252 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libcdk5, libncurses5 (>= 5.5-5~), libtinfo5, libperl4-corelibs-perl | perl (<< 5.12.3-7) Homepage: http://invisible-island.net/cdk/ Priority: optional Section: perl Filename: pool/main/libc/libcdk-perl/libcdk-perl_4.9.10-5_armhf.deb Size: 212094 SHA256: 433696a955198dfd8441f4e73a6748c862dd027e7b13102091dc0b3c73844eb5 SHA1: 277d9fb9b6d864f30119fcdcffff9ef123173992 MD5sum: 43e475dc7033ab45bafc3f45acc85a99 Description: Perl interface for a curses widget library CDK stands for "Curses Development Kit". CDK sits on top of the curses library and provides 22 ready to use widgets for rapid application development of text-based interfaces. CDK delivers many of the common widget types required for a robust interface. Widgets can be combined to create complex widgets if needed. . This package provides a Perl interface for the CDK library. Package: libcdk5 Version: 5.0.20060507-4 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 240 Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5 Priority: optional Section: libs Filename: pool/main/libc/libcdk5/libcdk5_5.0.20060507-4_armhf.deb Size: 109886 SHA256: 17677e4d17d47c9b2e23538d75885f8bdbf7d2e51a09fb839f8eae35adadc590 SHA1: d5cc1135e7910013ab4640267adcb4270eca47bb MD5sum: ad828d40bd5b93fd0ef963a5461eae3f Description: C-based curses widget library CDK stands for "Curses Development Kit". CDK sits on top of the curses library and provides 22 ready to use widgets for rapid application development of text-based interfaces. CDK delivers many of the common widget types required for a robust interface. Widgets can be combined to create complex widgets if needed. . Home page: http://invisible-island.net/cdk/ Package: libcdk5-dev Source: libcdk5 Version: 5.0.20060507-4 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 955 Depends: libcdk5 (= 5.0.20060507-4), libncurses5-dev Conflicts: libcdk-dev, libcdk-examples Replaces: libcdk-dev, libcdk-examples Priority: optional Section: libdevel Filename: pool/main/libc/libcdk5/libcdk5-dev_5.0.20060507-4_armhf.deb Size: 379460 SHA256: 002abf6fd36963b92613f7d2f3db9c4cc1b9ed11c6860b98f7691f9efbfeaa21 SHA1: ebfe82b96b5728133bcfb3483e74ea4d04acb1ff MD5sum: 5358357b4af7e8c0c275d37671724412 Description: C-based curses widget library (development files) CDK stands for "Curses Development Kit". CDK sits on top of the curses library and provides 22 ready to use widgets for rapid application development of text-based interfaces. CDK delivers many of the common widget types required for a robust interface. Widgets can be combined to create complex widgets if needed. . This package contains the header files and development libraries for the CDK library. . Home page: http://invisible-island.net/cdk/ Package: libcdparanoia-dev Source: cdparanoia Version: 3.10.2+debian-10.1 Architecture: armhf Maintainer: Optical Media Tools Team Installed-Size: 144 Depends: libcdparanoia0 (= 3.10.2+debian-10.1) Provides: libcdparanoia0-dev Homepage: http://www.xiph.org/paranoia/ Priority: optional Section: libdevel Filename: pool/main/c/cdparanoia/libcdparanoia-dev_3.10.2+debian-10.1_armhf.deb Size: 51846 SHA256: 1e7981a42d6aea748c1dc95838fead10a8fb5ca691dfda6fefe1136c1fc0bf24 SHA1: caf9840d0f8a556f5298e20a09a9bdac7670484b MD5sum: cb175fa85c6437fbd67237dd3c48beff Description: audio extraction tool for sampling CDs (development) An audio extraction tool for sampling CDs. Unlike similar programs such as cdda2wav, cdparanoia goes to great lengths to try to extract the audio information without any artifacts such as jitter. . This package contains the development files that make a companion to the library. Package: libcdparanoia0 Source: cdparanoia Version: 3.10.2+debian-10.1 Architecture: armhf Maintainer: Optical Media Tools Team Installed-Size: 125 Depends: libc6 (>= 2.4) Homepage: http://www.xiph.org/paranoia/ Priority: optional Section: libs Filename: pool/main/c/cdparanoia/libcdparanoia0_3.10.2+debian-10.1_armhf.deb Size: 50858 SHA256: a0fa25edf946d20d67a6b5ad5442fde8cc902a2512b738ee8a179ebfe9523f43 SHA1: 038cd5998c6b63ead2923bdba92342763ef721b8 MD5sum: 7b526840058381bae168f3aa817e083f Description: audio extraction tool for sampling CDs (library) An audio extraction tool for sampling CDs. Unlike similar programs such as cdda2wav, cdparanoia goes to great lengths to try to extract the audio information without any artifacts such as jitter. . This package contains the library of cdparanoia, so that its routines can be used by other programs. Package: libcdr-0.0-0 Source: libcdr Version: 0.0.8-1 Architecture: armhf Maintainer: Rene Engelhard Installed-Size: 588 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblcms2-2, libstdc++6 (>= 4.4.0), libwpd-0.9-9, libwpg-0.2-2, zlib1g (>= 1:1.1.4) Priority: optional Section: libs Filename: pool/main/libc/libcdr/libcdr-0.0-0_0.0.8-1_armhf.deb Size: 369378 SHA256: 0b73d86bf36930bfbca162f852c8317b62d0463eb79e57348837058f9cd5548d SHA1: 97384374433c55050551edb19eb9c199f23da3b6 MD5sum: b3dd0afc7a0b988b7e003983f7a3255f Description: library for reading and converting Corel DRAW files libcdr is a library and a set of tools for reading and converting binary files produced by Corel DRAW. . libcdr currently supports just CDR files from V7 to X3 and the following features: . - pages and page sizes - shapes, lines, Bezier curves, bitmaps - flat color fills, both RGB, CMYK, HLS and HSB - different kinds of strokes including dashed strokes . Above that libcdr provides a public API for rendering preview of pages for applications that have no notion of pages themselves. Package: libcdr-dev Source: libcdr Version: 0.0.8-1 Architecture: armhf Maintainer: Rene Engelhard Installed-Size: 73 Depends: libwpd-dev (>= 0.9.0), libwpg-dev (>= 0.2.0), libcdr-0.0-0 (= 0.0.8-1), liblcms2-dev, zlib1g-dev Priority: optional Section: libdevel Filename: pool/main/libc/libcdr/libcdr-dev_0.0.8-1_armhf.deb Size: 24852 SHA256: 2f7197d32ca443b017201f8af42a31ae83e1481a21468a9ffc6da5728d566db2 SHA1: 967fb7b3aefa6ab74cb2b54b338c42a43e6790cf MD5sum: 6833bf0dc90b3d2013ddd7ef8d552f94 Description: library for reading and converting Corel DRAW files -- development libcdr is a library and a set of tools for reading and converting binary files produced by Corel DRAW. . libcdr currently supports just CDR files from V7 to X3 and the following features: . - pages and page sizes - shapes, lines, Bezier curves, bitmaps - flat color fills, both RGB, CMYK, HLS and HSB - different kinds of strokes including dashed strokes . Above that libcdr provides a public API for rendering preview of pages for applications that have no notion of pages themselves. . This package contains the development files (headers, ...) Package: libcdr-doc Source: libcdr Version: 0.0.8-1 Architecture: all Maintainer: Rene Engelhard Installed-Size: 6366 Priority: optional Section: doc Filename: pool/main/libc/libcdr/libcdr-doc_0.0.8-1_all.deb Size: 874430 SHA256: 06c48f5888e109960bc2d469caee30b66569350dd901fb9038fc700a8199705d SHA1: 65bd41ee6a03035be8f631371e0ea7e7b0045d6e MD5sum: 1edbdd7e741dae86159b47edb7b1b73f Description: library for reading and converting Corel DRAW files -- documentation libcdr is a library and a set of tools for reading and converting binary files produced by Corel DRAW. . libcdr currently supports just CDR files from V7 to X3 and the following features: . - pages and page sizes - shapes, lines, Bezier curves, bitmaps - flat color fills, both RGB, CMYK, HLS and HSB - different kinds of strokes including dashed strokes . Above that libcdr provides a public API for rendering preview of pages for applications that have no notion of pages themselves. . This package contains the documentation Package: libcdr-tools Source: libcdr Version: 0.0.8-1 Architecture: armhf Maintainer: Rene Engelhard Installed-Size: 67 Depends: libc6 (>= 2.13-28), libcdr-0.0-0, libgcc1 (>= 1:4.1.1), liblcms2-2, libstdc++6 (>= 4.4.0), libwpd-0.9-9, libwpg-0.2-2, zlib1g (>= 1:1.1.4) Priority: optional Section: utils Filename: pool/main/libc/libcdr/libcdr-tools_0.0.8-1_armhf.deb Size: 29860 SHA256: 20111c02128e464f855ac06242d2b4f4314b2f41a51bfdedc360a45f673931ae SHA1: c2819e367051a605d1615c3a027c7104148801a3 MD5sum: f093355e857d1f8b77a6af6c472a072f Description: library for reading and converting Corel DRAW files -- tools libcdr is a library and a set of tools for reading and converting binary files produced by Corel DRAW. . libcdr currently supports just CDR files from V7 to X3 and the following features: . - pages and page sizes - shapes, lines, Bezier curves, bitmaps - flat color fills, both RGB, CMYK, HLS and HSB - different kinds of strokes including dashed strokes . Above that libcdr provides a public API for rendering preview of pages for applications that have no notion of pages themselves. . This package contains cdr2xtml and cdr2raw. Package: libcdt4 Source: graphviz Version: 2.26.3-14+deb7u2 Architecture: armhf Maintainer: David Claughton Installed-Size: 110 Depends: libc6 (>= 2.13-28), libltdl7 (>= 2.4.2) Conflicts: libgraphviz4 Homepage: http://www.graphviz.org/ Priority: optional Section: libs Filename: pool/main/g/graphviz/libcdt4_2.26.3-14+deb7u2_armhf.deb Size: 58886 SHA256: 51d48ce1a2497229667e3f27731ad936fec4ae8935e64232e6ad3cec0319ac21 SHA1: 579059f46cb5958db84faf74e75250f011a49e8c MD5sum: 6f71b91c464d5f2034c998512cda287b Description: rich set of graph drawing tools - cdt library Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package contains the cdt library. Package: libcec-dev Source: libcec Version: 1.6.2-1.1 Architecture: armhf Maintainer: Andres Mejia Installed-Size: 861 Depends: libcec1 (= 1.6.2-1.1) Homepage: http://libcec.pulse-eight.com/ Priority: optional Section: libdevel Filename: pool/main/libc/libcec/libcec-dev_1.6.2-1.1_armhf.deb Size: 225856 SHA256: 34a8337529eafc41d4e2a9e4b13c18ac7dac76b08bfb0b334fcbc8dde751d749 SHA1: 1cf64bad4b253614492e9e4fcb3f2800574bbf89 MD5sum: b99cc8ea79aeefc72af3e129faf554e8 Description: USB CEC Adaptor communication Library (development files) This library provides support for the Pulse-Eight USB-CEC adapter. . This package provides the necessary files needed for development. Package: libcec1 Source: libcec Version: 1.6.2-1.1 Architecture: armhf Maintainer: Andres Mejia Installed-Size: 360 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libudev0 (>= 0.140) Multi-Arch: same Homepage: http://libcec.pulse-eight.com/ Priority: optional Section: libs Filename: pool/main/libc/libcec/libcec1_1.6.2-1.1_armhf.deb Size: 133086 SHA256: 924b1b8182fe9ccce6960a78de18f1f028f43ecc977ddf86d3806669413a9e4f SHA1: 1a38ac7eef55f70300b632dd189550e4d7d50fb2 MD5sum: 863a8ff7f2d1448dd0cf3d8797d4aeb7 Description: USB CEC Adaptor communication Library (shared library) This library provides support for the Pulse-Eight USB-CEC adapter. . This package provides the shared library. Package: libcegui-mk2-0.7.6 Source: cegui-mk2 Version: 0.7.6-2 Architecture: armhf Maintainer: Muammar El Khatib Installed-Size: 3645 Depends: libc6 (>= 2.13-28), libdevil1c2, libexpat1 (>= 2.0.1), libfreeimage3, libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), liblua5.1-0, libogre-1.7.4, libois-1.3.0, libpcre3 (>= 8.10), libsilly, libsm6, libstdc++6 (>= 4.4.0), libtinyxml2.6.2, libxerces-c3.1, libxml2 (>= 2.7.4) Conflicts: libcegui-mk2-0c2a Replaces: libcegui-mk2-0c2a Homepage: http://www.cegui.org.uk/ Priority: optional Section: libs Filename: pool/main/c/cegui-mk2/libcegui-mk2-0.7.6_0.7.6-2_armhf.deb Size: 1271276 SHA256: 3b0ac59094f123c7d38b621b06dac7e6462adccbae694801177c9f0b57ddd4db SHA1: aa63a531456288462345d55df6d10031ea2c2543 MD5sum: aa6c710ac5b0bb5fae575389ca20e9ae Description: Crazy Eddie's GUI (libraries) CEGUI is a free library providing windowing and widgets for graphics APIs and engines where such functionality is not natively available or is severely lacking. The library is written in C++, is object oriented, and is primarily targeted at games developers who should be spending their time creating great games, not building GUI sub-systems . This version of CEGUI natively supports the OpenGL rendering target. Package: libcegui-mk2-0.7.6-dbg Source: cegui-mk2 Version: 0.7.6-2 Architecture: armhf Maintainer: Muammar El Khatib Installed-Size: 35042 Depends: libcegui-mk2-0.7.6 (= 0.7.6-2) Conflicts: libcegui-mk2-0-dbg Replaces: libcegui-mk2-0c2a-dbg Homepage: http://www.cegui.org.uk/ Priority: extra Section: debug Filename: pool/main/c/cegui-mk2/libcegui-mk2-0.7.6-dbg_0.7.6-2_armhf.deb Size: 12307930 SHA256: 56c8b074eb8848c64269b1dd38fabd82200547356bd4d719676ba49ca995881b SHA1: f96ba717834242ec9021c71662313d9df38e7c1f MD5sum: bb02fd15f65b1552b784a853d868fbeb Description: Crazy Eddie's GUI (debugging libraries) CEGUI is a free library providing windowing and widgets for graphics APIs and engines where such functionality is not natively available or is severely lacking. The library is written in C++, is object oriented, and is primarily targeted at games developers who should be spending their time creating great games, not building GUI sub-systems . This version of CEGUI natively supports the OpenGL rendering target and provides debugging libraries for it. Package: libcegui-mk2-dev Source: cegui-mk2 Version: 0.7.6-2 Architecture: armhf Maintainer: Muammar El Khatib Installed-Size: 2408 Depends: libcegui-mk2-0.7.6 (= 0.7.6-2), libdevil-dev, libxerces-c3.1, libpng12-dev | libpng-dev, libtiff5-dev | libtiff-dev, libjpeg-dev, libmng-dev, zlib1g-dev | libz-dev, libfreetype6-dev, liblua5.1-dev, liblcms1-dev, libpcre3-dev, libfreeimage-dev Suggests: libcegui-mk2-0.7.6-doc Homepage: http://www.cegui.org.uk/ Priority: optional Section: libdevel Filename: pool/main/c/cegui-mk2/libcegui-mk2-dev_0.7.6-2_armhf.deb Size: 352360 SHA256: 901177f0611c16a0e815784901608fc389d061cfda9846e08c177b63124d10f5 SHA1: 1a48309017c1de6c7dbad8079e96123cec1e74d3 MD5sum: c4641942af41cd7e02fceb2635ff4c7f Description: Crazy Eddie's GUI (development files) CEGUI is a free library providing windowing and widgets for graphics APIs and engines where such functionality is not natively available or is severely lacking. The library is written in C++, is object oriented, and is primarily targeted at games developers who should be spending their time creating great games, not building GUI sub-systems . This version of CEGUI natively supports the OpenGL rendering target and contains the development files for CEGUI. Package: libcegui-mk2-doc Source: cegui-mk2 Version: 0.7.6-2 Installed-Size: 34308 Maintainer: Muammar El Khatib Architecture: all Size: 4511072 SHA256: ffe765b32aa8c41acf6a06c8f4e2a8ec0dd02f9afcee7e618c14e1a1c23dd741 SHA1: 5c61f95dd85b1daffb5605888ee30d8d87d8303b MD5sum: 2eae8ee25583bc030d272809e8a711c7 Description: Crazy Eddie's GUI (documentation) CEGUI is a free library providing windowing and widgets for graphics APIs and engines where such functionality is not natively available or is severely lacking. The library is written in C++, is object oriented, and is primarily targeted at games developers who should be spending their time creating great games, not building GUI sub-systems . This package contains the documentation for CEGUI. Homepage: http://www.cegui.org.uk/ Tag: role::documentation Section: doc Priority: optional Filename: pool/main/c/cegui-mk2/libcegui-mk2-doc_0.7.6-2_all.deb Package: libcelt-dev Source: celt Version: 0.7.1-1 Architecture: armhf Maintainer: Ron Lee Installed-Size: 144 Depends: libcelt0-0 (= 0.7.1-1) Suggests: pkg-config Homepage: http://www.celt-codec.org Priority: optional Section: libdevel Filename: pool/main/c/celt/libcelt-dev_0.7.1-1_armhf.deb Size: 47978 SHA256: 34c122ef8f6a8cae7864c27f20506f34d7c1120637e6cfe7cc381ddf82c151f2 SHA1: 3d1d0a070fe7f5713b10f5739a744fa237e9b86a MD5sum: 337ec4cf1ad9536462acc4c131c3309b Description: The CELT codec library development files CELT is an experimental audio codec for use in low-delay communication. . CELT stands for "Code-Excited Lapped Transform". It applies some of the CELP principles, but does everything in the frequency domain, which removes some of the limitations of CELP. CELT is suitable for both speech and music and currently features: . * Ultra-low latency (typically from 3 to 9 ms) * Full audio bandwidth (44.1 kHz and 48 kHz) * Stereo support * Packet loss concealment * Constant bit-rates from 32 kbps to 128 kbps and above * A fixed-point version of the encoder and decoder . The CELT codec is meant to close the gap between Vorbis and Speex for applications where both high quality audio and low delay are desired. . This package provides the celt library headers and development files. Package: libcelt0-0 Source: celt Version: 0.7.1-1 Architecture: armhf Maintainer: Ron Lee Installed-Size: 89 Depends: libc6 (>= 2.13-28) Homepage: http://www.celt-codec.org Priority: optional Section: libs Filename: pool/main/c/celt/libcelt0-0_0.7.1-1_armhf.deb Size: 37488 SHA256: 2789a1e2eb199bfee49e9ccb9c3e0e4b8b3c80d78897be1733f7185213b5f627 SHA1: d3d49e959736855403bc5271fa36d075c715b19d MD5sum: 5265cd078401d661a4015e0cf32911c8 Description: The CELT codec runtime library CELT is an experimental audio codec for use in low-delay communication. . CELT stands for "Code-Excited Lapped Transform". It applies some of the CELP principles, but does everything in the frequency domain, which removes some of the limitations of CELP. CELT is suitable for both speech and music and currently features: . * Ultra-low latency (typically from 3 to 9 ms) * Full audio bandwidth (44.1 kHz and 48 kHz) * Stereo support * Packet loss concealment * Constant bit-rates from 32 kbps to 128 kbps and above * A fixed-point version of the encoder and decoder . The CELT codec is meant to close the gap between Vorbis and Speex for applications where both high quality audio and low delay are desired. . This package provides the celt runtime library. Package: libcephfs-dev Source: ceph Version: 0.43-1+rpi1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 75770 Depends: libcephfs1 (= 0.43-1+rpi1) Conflicts: libceph-dev, libceph1-dev Replaces: libceph-dev, libceph1-dev Homepage: http://ceph.newdream.net/ Priority: optional Section: libdevel Filename: pool/main/c/ceph/libcephfs-dev_0.43-1+rpi1_armhf.deb Size: 19405796 SHA256: 4bdc862bddd4bda66e5760c40f887ca0847e54f94f335a1a662fd8d6d539ace7 SHA1: 4eb7c3435b65be869c27e88949289bede37c0ea3 MD5sum: 5edb10889ef33cb1cb4ce8ca86321677 Description: Ceph distributed file system client library (development files) Ceph is a distributed network file system designed to provide excellent performance, reliability, and scalability. This is a shared library allowing applications to access a Ceph distributed file system via a POSIX-like interface. . This package contains development files needed for building applications that link against libcephfs1. Package: libcephfs1 Source: ceph Version: 0.43-1+rpi1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 3230 Depends: libc6 (>= 2.13-28), libcrypto++9, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libuuid1 (>= 2.16) Conflicts: libceph, libceph1 Replaces: libceph, libceph1 Homepage: http://ceph.newdream.net/ Priority: optional Section: libs Filename: pool/main/c/ceph/libcephfs1_0.43-1+rpi1_armhf.deb Size: 1195078 SHA256: cc11ad2851ba744e1e9f2fc1a06b4d88b54a52bc4065b7a0bdb8bf9aa4b2ee60 SHA1: e09dd4549f86a36302b3d7aa655ac84d2fd91f12 MD5sum: b1960a83a10170d2cf81e755f3ebc074 Description: Ceph distributed file system client library Ceph is a distributed network file system designed to provide excellent performance, reliability, and scalability. This is a shared library allowing applications to access a Ceph distributed file system via a POSIX-like interface. Package: libcephfs1-dbg Source: ceph Version: 0.43-1+rpi1 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 36927 Depends: libcephfs1 (= 0.43-1+rpi1) Conflicts: libceph1-dbg Replaces: libceph1-dbg Homepage: http://ceph.newdream.net/ Priority: extra Section: debug Filename: pool/main/c/ceph/libcephfs1-dbg_0.43-1+rpi1_armhf.deb Size: 11377784 SHA256: 7f315d902cf0b517638cb10b14bd6d7f547d0dc0452ae8741372660e1da84954 SHA1: 12f058758884b12874d613711857d8a491e23a9b MD5sum: 16f33efea1015602581bc74d25ba10fb Description: debugging symbols for libcephfs1 Ceph is a distributed network file system designed to provide excellent performance, reliability, and scalability. This is a shared library allowing applications to access a Ceph distributed file system via a POSIX-like interface. . This package contains debugging symbols for libcephfs1. Package: libcext-dev Source: cpl Version: 6.1.1-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 305 Depends: libcext0 (= 6.1.1-2) Homepage: http://www.eso.org/sci/software/cpl/ Priority: optional Section: libdevel Filename: pool/main/c/cpl/libcext-dev_6.1.1-2_armhf.deb Size: 187346 SHA256: 2edf4c55a08dcd612799b4252728ab0df3f2a52b897efea716176036582e57b8 SHA1: 36e416448bd18df335da619b01437294c8c70ddf MD5sum: 3d689d71bd8bdf7d3e30cf94dc9bee13 Description: Commonly used utility functions for C programs (development files) This is a C utility library, which is used to implement ESO's Common Pipeline Library (CPL). The library contains a basic collections framework (maps, linked lists, queues), memory management, strings, logging and others. . The package contains the static library and the C header files. Package: libcext-doc Source: cpl Version: 6.1.1-2 Installed-Size: 1135 Maintainer: Debian Science Maintainers Architecture: all Suggests: libcext-dev Size: 287854 SHA256: bae224ff2e241a56db8c8a088efb0c50a916e218bdd0ba3b560d9e7e483bdb85 SHA1: cec11020a52ac124a532d4793c3c50bfae64224d MD5sum: 108062807a61f1d7ab9fae45c683ba86 Description: API documentation for ESO's C utility library libcext The libcext is a C utility library, which is used to implement ESO's Common Pipeline Library (CPL). The library contains a basic collections framework (maps, linked lists, queues), memory management, strings, logging and others. . The package contains the API documentation for libcpl. Multi-Arch: foreign Homepage: http://www.eso.org/sci/software/cpl/ Tag: devel::doc, devel::lang:c, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/cpl/libcext-doc_6.1.1-2_all.deb Package: libcext0 Source: cpl Version: 6.1.1-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 240 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://www.eso.org/sci/software/cpl/ Priority: optional Section: libs Filename: pool/main/c/cpl/libcext0_6.1.1-2_armhf.deb Size: 178090 SHA256: 22eced3996545708715c1a8a5eb582857019361893e2432dee4fe3cc82b10408 SHA1: 54591b93566c05b7e136716e92be82a1af8a84d5 MD5sum: 6be7592abff14e8b225f496747591ffa Description: Commonly used utility functions for C programs This is a C utility library, which is used to implement ESO's Common Pipeline Library (CPL). The library contains a basic collections framework (maps, linked lists, queues), memory management, strings, logging and others. Package: libcf-ocaml Source: pagodacf Version: 0.10-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 62 Depends: libc6 (>= 2.13-28), ocaml-base-nox-3.12.1 Provides: libcf-ocaml-gh1o2 Homepage: http://www.sourceforge.net/projects/ocnae/ Priority: optional Section: ocaml Filename: pool/main/p/pagodacf/libcf-ocaml_0.10-3_armhf.deb Size: 20582 SHA256: 6b3797015ee6af02f97f0377f17da9933999e54dc0c6a9a3d9e8b8770df7ff1c SHA1: 994d89cf3d70770bfbaccea777e760986df6d4cd MD5sum: e35f8e34089027f74d64ab7cf6371631 Description: OCaml library with miscellaneous utility functions The pagoda core foundation OCaml library provides various modules. Some of the highlighted features include: - Functional streams and stream processors (extended). - Functional bootstrapped skew-binomial heap. - Functional red-black binary tree (associative array). - Functional sets and maps based on red-black binary tree. - Functional real-time catenable deque. - Functional LL(x) parsing using state-exception monad. - Functional lazy deterministic finite automaton (DFA). - Functional lexical analyzer (using lazy DFA and monadic parser). - Functional substring list manipulation (message buffer chains). - Gregorian calendar date manipulation. - Standard time manipulation. - System time in Temps Atomique Internationale (TAI). - Unicode transcoding. - Extended socket interface (supports IPv6 and UDP w/multicast). - Universal resource identifier (URI) manipulation. - I/O event multiplexing (with Unix.select). . This package contains only the shared runtime stub libraries. Package: libcf-ocaml-dev Source: pagodacf Version: 0.10-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 3211 Depends: libcf-ocaml-gh1o2, ocaml-nox-3.12.1, libcf-ocaml (= 0.10-3) Provides: libcf-ocaml-dev-gh1o2 Homepage: http://www.sourceforge.net/projects/ocnae/ Priority: optional Section: ocaml Filename: pool/main/p/pagodacf/libcf-ocaml-dev_0.10-3_armhf.deb Size: 660732 SHA256: 01500806fdc90c67f5204826cf0751b1ce0ecd3d8e8a1c291e8b9a4d55656d1a SHA1: e498d09800541a0c20cb8941449fd098e04d6d0c MD5sum: ff039eb08b5ed4c5f38db22aef6d1011 Description: OCaml library with miscellaneous utility functions The pagoda core foundation OCaml library provides various modules. Some of the highlighted features include: - Functional streams and stream processors (extended). - Functional bootstrapped skew-binomial heap. - Functional red-black binary tree (associative array). - Functional sets and maps based on red-black binary tree. - Functional real-time catenable deque. - Functional LL(x) parsing using state-exception monad. - Functional lazy deterministic finite automaton (DFA). - Functional lexical analyzer (using lazy DFA and monadic parser). - Functional substring list manipulation (message buffer chains). - Gregorian calendar date manipulation. - Standard time manipulation. - System time in Temps Atomique Internationale (TAI). - Unicode transcoding. - Extended socket interface (supports IPv6 and UDP w/multicast). - Universal resource identifier (URI) manipulation. - I/O event multiplexing (with Unix.select). . This package contains all the development stuff you need to use ocaml-mad in your programs. Package: libcf0 Source: netcdf Version: 1:4.1.3-6 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 102 Depends: libc6 (>= 2.13-28) Breaks: libnetcdf4, libnetcdf6 (<< 1:4.1.1-7~) Replaces: libnetcdf4, libnetcdf6 (<< 1:4.1.1-7~) Homepage: http://www.unidata.ucar.edu/software/libcf/ Priority: optional Section: libs Filename: pool/main/n/netcdf/libcf0_4.1.3-6_armhf.deb Size: 48138 SHA256: 0104bb064142e5c7dfed225e27a339eb708307d80f7834bd0d70d3599ea0766f SHA1: 9ceb4917145a7dffb12383aa73023b68e560d127 MD5sum: cf9c7809903a7e6b5a55c6a9c5298000 Description: An interface for scientific data access to large binary data NetCDF (network Common Data Form) is an interface for scientific data access and a freely-distributed software library that provides an implementation of the interface. The netCDF library also defines a machine-independent format for representing scientific data. Together, the interface, library, and format support the creation, access, and sharing of scientific data. . This package contains the add-on CF run-time C shared library that uses the NetCDF API to manage Climate and Forecasts standards complaining data files. Package: libcfg-dev Source: corosync Version: 1.4.2-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 206 Depends: libcfg4 (= 1.4.2-3) Conflicts: libcorosync-dev (<= 1.4.2-1) Replaces: libcorosync-dev (<= 1.4.2-1) Priority: optional Section: libdevel Filename: pool/main/c/corosync/libcfg-dev_1.4.2-3_armhf.deb Size: 152124 SHA256: 15beccb56eda9ea840c164de041acc8cc029fdfa6c7cc10109903c2138d16389 SHA1: 23325716cad414ed3db28b9e866d8fcacb98d6b6 MD5sum: 4430d2facbb92cd9b0f79141ce98afe1 Description: Standards-based cluster framework, Cfg devel files Corosync is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package contains the Cfg library, which is a library included in Corosync. Package: libcfg4 Source: corosync Version: 1.4.2-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 185 Depends: libc6 (>= 2.13-28), libcoroipcc4 (>= 1.4.2) Conflicts: libcorosync4 (<= 1.4.2-1) Replaces: libcorosync4 (<= 1.4.2-1) Priority: optional Section: libs Filename: pool/main/c/corosync/libcfg4_1.4.2-3_armhf.deb Size: 150564 SHA256: f5b8a66ce664b69ec2e3861b925cfec1495ae60e3ae2684cdf71e6e4057fea98 SHA1: f76583b5a311e7782182c5a57bbcd84752004677 MD5sum: 6f6ed044e9e76a9768b6cfe88e04f921 Description: Standards-based cluster framework, Cfg library Corosync is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package contains the Cfg library, which is a library included in Corosync. Package: libcfitsio3 Source: cfitsio3 Version: 3.300-2 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 1344 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4) Conflicts: cfitsio3 Replaces: cfitsio3 Provides: cfitsio3 Multi-Arch: same Priority: optional Section: devel Filename: pool/main/c/cfitsio3/libcfitsio3_3.300-2_armhf.deb Size: 605444 SHA256: 3a55d3f0c0e72107456ab5b9a1cc20c243a06c1ced909103004c3e5547e78b14 SHA1: f7de32abb159152b9489c61a1a3da84afac76cfb MD5sum: a31b71881f54e50b5da8e20ca73295af Description: shared library for I/O with FITS format data files FITS (Flexible Image Transport System) is a data format most used in astronomy. cfitsio is a library of ANSI C routines for reading and writing FITS format data files. A set of Fortran-callable wrapper routines are also included for the convenience of Fortran programmers. . This package contains what you need to run programs that use this library. Package: libcfitsio3-dbg Source: cfitsio3 Version: 3.300-2 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 2040 Depends: libcfitsio3 (= 3.300-2) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/c/cfitsio3/libcfitsio3-dbg_3.300-2_armhf.deb Size: 789172 SHA256: fffd40c4f98332927d38caed1af1907dda7bd1296a323c1f06facf15f73cc8b1 SHA1: 285da7e402490dfd75664233fa7ae2a13b23c161 MD5sum: 482e92109cf57e93017161274cc071e3 Description: library for I/O with FITS format data files (debugging symbols) FITS (Flexible Image Transport System) is a data format most used in astronomy. cfitsio is a library of ANSI C routines for reading and writing FITS format data files. A set of Fortran-callable wrapper routines are also included for the convenience of Fortran programmers. . This package contains unstripped shared libraries. it is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and can be used by placing that directory in LD_LIBRARY_PATH. Package: libcfitsio3-dev Source: cfitsio3 Version: 3.300-2 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 1902 Depends: libcfitsio3 (= 3.300-2) Conflicts: cfitsio-dev Replaces: cfitsio-dev Provides: cfitsio-dev Priority: optional Section: libdevel Filename: pool/main/c/cfitsio3/libcfitsio3-dev_3.300-2_armhf.deb Size: 753316 SHA256: 04d6916a22d60d81cbe38720e317fdf7e5352965ab358d942fed5077deb394fe SHA1: 809071517d9064248d2f0e718ad193d8dfe944fc MD5sum: e769d7b8a90c81d0bf4fbb3a762c5095 Description: static library for I/O with FITS format data files FITS (Flexible Image Transport System) is a data format most used in astronomy. cfitsio is a library of ANSI C routines for reading and writing FITS format data files. A set of Fortran-callable wrapper routines are also included for the convenience of Fortran programmers. . This package contains what you need for compiling sources that use this library in your own code. It also contains example source files that demonstrate the use of cfitsio. Package: libcfitsio3-doc Source: cfitsio3 Version: 3.300-2 Installed-Size: 1997 Maintainer: Aurelien Jarno Architecture: all Replaces: cfitsio-doc Provides: cfitsio-doc Suggests: libcfitsio-dev Conflicts: cfitsio-doc Size: 1236120 SHA256: 0d430cf75a12fd1e1b0b709abc41f46ccd36bd65eabe4606596e9241032ecb53 SHA1: dfd27c34e695291172e318907ddd78ce0bb0a0fc MD5sum: a7ca24c61104c5ebfc0d781fa4b59321 Description: documentation for cfitsio FITS (Flexible Image Transport System) is a data format most used in astronomy. cfitsio is a library of ANSI C routines for reading and writing FITS format data files. A set of Fortran-callable wrapper routines are also included for the convenience of Fortran programmers. . This package contains the CFITSIO User's Guide and QuickStart Guide in html, postscript and text formats, and contains documentation on how to use the library in your programs. Tag: field::astronomy, made-of::html, made-of::postscript, role::documentation Section: doc Priority: optional Filename: pool/main/c/cfitsio3/libcfitsio3-doc_3.300-2_all.deb Package: libcflow-perl Source: flow-tools Version: 1:0.68-12.1 Architecture: armhf Maintainer: Radu Spineanu Installed-Size: 292 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4), perl (>= 5.14.2-9), perlapi-5.14.2 Recommends: libnet-patricia-perl Homepage: http://www.splintered.net/sw/flow-tools/ Priority: optional Section: perl Filename: pool/main/f/flow-tools/libcflow-perl_0.68-12.1_armhf.deb Size: 80186 SHA256: daac5a5ee4c2c68d457446c04e8c445242cf4ed36616ed0b1d765e0c8a1aec00 SHA1: 4cb5a5828d167254265b955abb2eafac7233c5cc MD5sum: 1a5e9ecb5399f2f61b10b2de470036ad Description: perl module for analyzing raw IP flow files written by cflowd This Perl module implements an API for analyzing flows in raw IP flow files written by cflowd, a package used to collect Cisco NetFlow data. Package: libcgal-demo Source: cgal Version: 4.0-5 Installed-Size: 9246 Maintainer: Joachim Reichel Architecture: all Depends: libcgal-dev (>= 4.0-5), libcgal9 (>= 4.0-5) Suggests: liblapack-dev, libatlas-base-dev | libatlas.so.3gf, gfortran, geomview, libqglviewer-qt4-dev, libipe-dev (>= 7), libglew1.5-dev | libglew-dev, libmagick++-dev, qt4-dev-tools, libqt4-opengl-dev, libeigen3-dev (>= 3.1.0~beta1-1), libmpfi-dev Size: 9354018 SHA256: d876954e0f18ca5577cf1e9f25668aa8d79f2718ebc31c4a272d9480c1f5a886 SHA1: 9614e129672d9e472f06b5ac4634ea0a28206e80 MD5sum: ba0d2ce2a3d744a9fd10c5d14043a49f Description: C++ library for computational geometry (demos) CGAL (Computational Geometry Algorithms Library) makes the most important of the solutions and methods developed in computational geometry available to users in industry and academia in a C++ library. The goal is to provide easy access to useful, reliable geometric algorithms. . This package contains the demos and examples. Homepage: http://www.cgal.org/ Tag: devel::examples Section: devel Priority: optional Filename: pool/main/c/cgal/libcgal-demo_4.0-5_all.deb Package: libcgal-dev Source: cgal Version: 4.0-5 Architecture: armhf Maintainer: Joachim Reichel Installed-Size: 25963 Depends: libcgal9 (= 4.0-5), libboost-dev, libboost-thread-dev, libboost-program-options-dev, libgmp10-dev, libmpfr-dev, libqt4-dev, zlib1g-dev Homepage: http://www.cgal.org/ Priority: optional Section: libdevel Filename: pool/main/c/cgal/libcgal-dev_4.0-5_armhf.deb Size: 4851946 SHA256: 09725131d325bb9c751b3f0d8f135959333ff57cd978ef69871a473aa241580b SHA1: 5938fd6a885aab7296705d76ad27ece9be19f18e MD5sum: c2de480569909c427787ed847d11ac38 Description: C++ library for computational geometry (development files) CGAL (Computational Geometry Algorithms Library) makes the most important of the solutions and methods developed in computational geometry available to users in industry and academia in a C++ library. The goal is to provide easy access to useful, reliable geometric algorithms. . This package contains the header files and static libraries. Package: libcgal-ipelets Source: cgal Version: 4.0-5 Architecture: armhf Maintainer: Joachim Reichel Installed-Size: 4703 Depends: libcgal9 (>= 4.0-5), ipe (>= 7.1.2), ipe (<< 7.1.2+), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libgmpxx4ldbl, libipe7.1.2, libmpfr4 (>= 3.1.0), libstdc++6 (>= 4.6) Conflicts: libcgal5-ipelets Replaces: libcgal5-ipelets Homepage: http://www.cgal.org/ Priority: optional Section: graphics Filename: pool/main/c/cgal/libcgal-ipelets_4.0-5_armhf.deb Size: 1456358 SHA256: 65e47f2514cd7c93f5ad416aefb43aa9adb5d843ff36187faf06a76cb4415165 SHA1: d727c86adbcfcce39e230a4706bf406bfb8be409 MD5sum: 02377b486d76021fc04fc6cc45541ec5 Description: C++ library for computational geometry (ipelets) CGAL (Computational Geometry Algorithms Library) makes the most important of the solutions and methods developed in computational geometry available to users in industry and academia in a C++ library. The goal is to provide easy access to useful, reliable geometric algorithms. . This package contains the ipelets, i.e., plugins for ipe. Package: libcgal9 Source: cgal Version: 4.0-5 Architecture: armhf Maintainer: Joachim Reichel Installed-Size: 712 Depends: libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libgmp10, libgmpxx4ldbl, libmpfr4 (>= 3.1.0), libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6, libxext6, zlib1g (>= 1:1.1.4) Homepage: http://www.cgal.org/ Priority: optional Section: libs Filename: pool/main/c/cgal/libcgal9_4.0-5_armhf.deb Size: 328688 SHA256: 0ebe4c63b3c0df859cb1512e3fb98630e7e14f91f5c480a09bfc7bae556a3763 SHA1: c160f3c1c2fb99cb79cf263430e78ac471afc481 MD5sum: 494055431c1cedc9650e1ec81835470e Description: C++ library for computational geometry CGAL (Computational Geometry Algorithms Library) makes the most important of the solutions and methods developed in computational geometry available to users in industry and academia in a C++ library. The goal is to provide easy access to useful, reliable geometric algorithms. . The CGAL library contains: - the Kernel with geometric primitives such as points, vectors, lines, predicates for testing things such as relative positions of points, and operations such as intersections and distance calculation. - the Basic Library which is a collection of standard data structures and geometric algorithms, such as convex hull in 2D/3D, (Delaunay) triangulation in 2D/3D, planar map, polyhedron, smallest enclosing circle, and multidimensional query structures. - the Support Library which offers interfaces to other packages, e.g., for visualisation, and I/O, and other support facilities. Package: libcgi-ajax-perl Version: 0.707-1 Installed-Size: 228 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libclass-accessor-perl Size: 46540 SHA256: 82d44f4a997fec197e5816ea00a6be6d8064c24b6e03f1c0be8f39298d797773 SHA1: 9a769360cbfdf0561be6543efdd6c0ba91e3d3cd MD5sum: 741b5e44f69e1bb24079ccaf85aa59e8 Description: Perl-specific system for writing Asynchronous web applications CGI::Ajax is an object-oriented module that provides a unique mechanism for using Perl code asynchronously from JavaScript-enhanced HTML pages. CGI::Ajax unburdens the user from having to write extensive JavaScript, except for associating an exported method with a document-defined event (such as onClick, onKeyUp, etc.). CGI::Ajax also mixes well with HTML containing more complex JavaScript. . CGI::Ajax supports methods that return single results or multiple results to the web page, and supports returning values to multiple DIV elements on the HTML page. . Using CGI::Ajax, the URL for the HTTP GET/POST request is automatically generated based on HTML layout and events, and the page is then dynamically updated with the output from the perl function. Additionally, CGI::Ajax supports mapping URL's to a CGI::Ajax function name, so you can separate your code processing over multiple scripts. . A primary goal of CGI::Ajax is to keep the module streamlined and maximally flexible. We are trying to keep the generated javascript code to a minimum, but still provide users with a variety of methods for deploying CGI::Ajax. And VERY little user JavaScript. Homepage: http://www.perljax.us/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-ajax-perl/libcgi-ajax-perl_0.707-1_all.deb Package: libcgi-application-basic-plugin-bundle-perl Version: 0.8 Installed-Size: 32 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-applications-plugins-perl (<< 0.11) Depends: libcgi-application-perl, libcgi-application-dispatch-perl, libcgi-application-plugin-autorunmode-perl, libcgi-application-plugin-configauto-perl, libcgi-application-plugin-dbh-perl, libcgi-application-plugin-devpopup-perl, libcgi-application-plugin-fillinform-perl, libcgi-application-plugin-forward-perl, libcgi-application-plugin-logdispatch-perl, libcgi-application-plugin-session-perl, libcgi-application-plugin-stream-perl, libcgi-application-plugin-validaterm-perl, libcgi-application-plugin-viewcode-perl Breaks: libcgi-application-plugins-perl (<< 0.11) Size: 2798 SHA256: 3b3072fbce0400287e052608deefc09b8382333f397141b4991c7d1cce9b8c55 SHA1: 9cedd3629f596b85b76974906cf9173e0af1ae66 MD5sum: 9585b6dd74377f0562748ec1f74672d7 Description: bundle of basic plugins for CGI::Application This is a dummy transition depending on the core CGI::Application plugins such as DBH, Session, ValidateRM, DevPopup, Dispatch, LogDispatch and so on. This package is only needed for packages that have not yet had their dependencies updated, and otherwise can be removed. Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-basic-plugin-bundle-perl/libcgi-application-basic-plugin-bundle-perl_0.8_all.deb Package: libcgi-application-dispatch-perl Version: 3.07-2 Installed-Size: 150 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Depends: perl, libcgi-application-perl (>= 4.50), libhttp-exception-perl, libtry-tiny-perl, perl (>= 5.12) | libversion-perl Suggests: libapache2-mod-perl2, libplack-perl Breaks: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Size: 52722 SHA256: d44ce441e217fc0717612670206be656d76d17aecd233e96ec68cc08162cc922 SHA1: 0d83cce1d840cb629a87c0af0abdaeab1cd08a65 MD5sum: c1911da6f96e18e47e3f8852da4bd2c9 Description: request dispatcher for CGI::Application CGI::Application::Dispatch provides glue between web server environments such as mod_perl, Plack and straight CGI and the CGI::Application web framework. It does this by parsing the PATH_INFO environment variable and mapping it onto a CGI::Application subclass module invocation with the appropriate run mode and CGI::Application parameters. Homepage: http://search.cpan.org/dist/CGI-Application-Dispatch/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-dispatch-perl/libcgi-application-dispatch-perl_3.07-2_all.deb Package: libcgi-application-extra-plugin-bundle-perl Version: 0.6 Installed-Size: 27 Maintainer: Debian Perl Group Architecture: all Depends: libcgi-application-plugin-actiondispatch-perl, libcgi-application-plugin-captcha-perl, libcgi-application-plugin-config-simple-perl, libcgi-application-plugin-dbiprofile-perl, libcgi-application-plugin-json-perl, libcgi-application-plugin-linkintegrity-perl, libcgi-application-plugin-ratelimit-perl, libcgi-application-plugin-requiressl-perl, libcgi-application-plugin-formstate-perl, libcgi-application-plugin-protectcsrf-perl, libcgi-application-plugin-messagestack-perl Suggests: libcgi-application-plugin-anytemplate-perl, libhtml-template-perl, libcgi-application-plugin-authorization-perl Size: 3088 SHA256: 47a8ae94bd75dc14970029337848ae67ed98e72bd0ac152f275afe1e9b5f3425 SHA1: 71b42c7409beedc1a2baace1209610e2e23bac50 MD5sum: b5a437d5e32c3e2e637bc592a0c5cabe Description: bundle of extra CGI::Application plugins This empty package exists for transition purposes and will be removed once no more packages depend on it. The packages pulled in by this metapackage are for historical reasons the CGI::Application Perl modules not used by Titanium apart from the largest four. Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-extra-plugin-bundle-perl/libcgi-application-extra-plugin-bundle-perl_0.6_all.deb Package: libcgi-application-perl Version: 4.50-1 Installed-Size: 288 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Provides: libcgi-application-plugin-errorpage-perl, libcgi-application-plugin-redirect-perl, libcgi-application-standard-config-perl Depends: perl, libparams-validate-perl, libclass-isa-perl | perl (<< 5.10.1-13) Suggests: libhtml-template-perl, libcgi-psgi-perl Breaks: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Size: 94874 SHA256: 924052ee486451fc4cc6ff62fd2ca66a436a8d0d06d5c0a379550a02226a2d75 SHA1: f52c9bdeb6545a6b0a16612305c1cc36699f24e3 MD5sum: ff3900b905411afa731a23f35057ba65 Description: framework for building reusable web-applications CGI::Application is intended to make it easier to create sophisticated, reusable web-based applications. This module implements a methodology which, if followed, will make your web software easier to design, easier to document, easier to write, and easier to evolve. . This package comes bundled with three extra modules providing useful glue run modes: . CGI::Application::Plugin::ErrorPage - automatic handling of errors . CGI::Application::Plugin::Redirect - external header based redirects . CGI::Application::Standard::Config - defines a standard interface for config Homepage: http://search.cpan.org/dist/CGI-Application/ Tag: devel::lang:perl, devel::library, implemented-in::perl, web::cgi Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-perl/libcgi-application-perl_4.50-1_all.deb Package: libcgi-application-plugin-actiondispatch-perl Version: 0.98-1 Installed-Size: 71 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Depends: perl, libcgi-application-perl, libattribute-handlers-perl, libclass-inspector-perl Enhances: libcgi-application-perl Breaks: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Size: 12880 SHA256: 01c8cb1be9da7d4055e25d57a74740c366607bd5ea925378737804a94a1d0a8f SHA1: 29655ba5351651d5a48edd9eb68b0afd5058a709 MD5sum: 4c8c3474cfd243cd6fa097e74a784d4a Description: attribute extension for CGI::Application CGI::Application::Plugin::ActionDispatch adds attribute based support for parsing the PATH_INFO of the incoming request. The interface is inspired by Catalyst. This plugin is plug and play and will interrupt the default behavior of CGI::Application and works with mod_perl. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-ActionDispatch/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-actiondispatch-perl/libcgi-application-plugin-actiondispatch-perl_0.98-1_all.deb Package: libcgi-application-plugin-ajaxupload-perl Version: 0.0.3-3 Installed-Size: 122 Maintainer: Debian Perl Group Architecture: all Depends: perl, libversion-perl, libautodie-perl, libcgi-application-perl, libperl6-slurp-perl, libreadonly-perl, libdata-formvalidator-perl, libgd-gd2-perl (>= 1:2.45-2) Recommends: libcgi-application-plugin-json-bundle-perl, libreadonly-xs-perl Size: 23368 SHA256: 33960ab1a508c854b84df3fb06317e27ab02ffefa5a768a0bbb986a0d35e6849 SHA1: 8c5eaa922d20528c3bdc1cef90ede0ac4641082f MD5sum: e0f5940b9993573ee2b93d84ddb84258 Description: run mode to handle a file upload and return a JSON response CGI::Application::Plugin::AJAXUpload provides a customisable run mode that handles a file upload and responds with a JSON message like the following: . {status: 'UPLOADED', image_url: '/img/uploads/666.png'} . or on failure . {status: 'The image was too big.'} . This is specifically intended to provide a CGI::Application based back end for AllMyBrain.com's image upload extension to the YUI rich text editor. It could probbably be used as a back end for any CGI::Application website that uploads files behind the scenes using AJAX. In any case this module does NOT provide any of that client side code and you must also map the run mode onto the URL used by client-side code. That said a working example is provided which could form the basis of a rich text editor. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-AJAXUpload/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-ajaxupload-perl/libcgi-application-plugin-ajaxupload-perl_0.0.3-3_all.deb Package: libcgi-application-plugin-anytemplate-perl Version: 0.18-1 Installed-Size: 244 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-extra-plugin-bundle-perl (<< 0.3) Depends: perl, libcgi-application-perl, libcgi-application-basic-plugin-bundle-perl Suggests: libcgi-application-extra-plugin-bundle-perl (>= 0.3), libexporter-renaming-perl Breaks: libcgi-application-extra-plugin-bundle-perl (<< 0.3) Size: 69276 SHA256: 810bcbcf429cc806daacaa576964a303f170e3ac4ca03b5f8c31f480d92117f0 SHA1: f0b1e640e8912212a694db37f10e7ca2fc68b5da MD5sum: 0736e9a84cb23641d85b3448ad4c369d Description: module supporting any templating system in CGI::Application CGI::Application::Plugin::AnyTemplate allows you to use any supported Perl templating system using a single consistent interface. Currently supported templating systems include HTML::Template, HTML::Template::Expr, HTML::Template::Pluggable, Template::Toolkit, Template and Petal. You can access any of these templating systems using the same interface. In this way, you can use the same code and switch templating systems on the fly. This approach has many uses. For instance, it can be useful in migrating your application from one templating system to another. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-AnyTemplate/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-anytemplate-perl/libcgi-application-plugin-anytemplate-perl_0.18-1_all.deb Package: libcgi-application-plugin-authentication-perl Version: 0.20-1 Installed-Size: 520 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-extra-plugin-bundle-perl (<< 0.3) Provides: libcgi-application-plugin-authentication-driver-cdbi-perl, libcgi-application-plugin-authentication-driver-dbic-perl Depends: perl, libcgi-application-perl, libclass-isa-perl | perl-modules (<< 5.12), libuniversal-require-perl Recommends: libcgi-application-basic-plugin-bundle-perl Suggests: libcgi-application-extra-plugin-bundle-perl (>= 0.3), libauthen-simple-perl, libdbix-class-perl, libclass-dbi-perl, libapache-htpasswd-perl, libcolor-calc-perl Enhances: libcgi-application-extra-plugin-bundle-perl (>= 0.3) Breaks: libcgi-application-extra-plugin-bundle-perl (<< 0.3) Size: 143278 SHA256: 432f25f2fff8e610a1dad2a9fbc7948f7b36b67523a982b70fd31a2a21ee29ee SHA1: fc53f8317a4376008e9116fe9b2c52e399cf96ed MD5sum: 64bbf2397a66992915875ebd7b3905a9 Description: authentication framework for CGI::Application CGI::Application::Plugin::Authentication adds the ability to authenticate users in your CGI::Application modules. The module separates authentication into Drivers (backend logic), Store (cookie management etc) and Display (formulation of the login form). The following drivers are bundled with this package: - CGI::Application::Plugin::Authentication::Driver::DBIC - CGI::Application::Plugin::Authentication::Driver::CDBI Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-Authentication/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-authentication-perl/libcgi-application-plugin-authentication-perl_0.20-1_all.deb Package: libcgi-application-plugin-authorization-perl Version: 0.07-2 Installed-Size: 184 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-extra-plugin-bundle-perl (<< 0.3) Provides: libcgi-application-plugin-authorization-driver-activedirectory-perl Depends: perl, libcgi-application-perl, libcgi-application-basic-plugin-bundle-perl, libuniversal-require-perl, libclass-isa-perl | perl-modules (<< 5.12) Recommends: libcgi-application-plugin-authentication-perl, libnet-ldap-perl Enhances: libcgi-application-perl Breaks: libcgi-application-extra-plugin-bundle-perl (<< 0.3) Size: 49886 SHA256: 6f5fc8017180963e90d0377afefbcd4eb1a23bfaba5c1ad330099692539229c9 SHA1: e4b73933f78e8e8bb7371cb47e2b6fedcc50cbc3 MD5sum: 28eaa0e81aa9d1e070be2fd73f0acfb8 Description: authorization framework for CGI::Application CGI::Application::Plugin::Authorization adds the ability to authorize users for specific tasks. Once a user has been authenticated and you know who you are dealing with, you can then use this plugin to control what that user has access to. It imports two methods (authz and authorization) into your CGI::Application module. Both of these methods are interchangeable, so you should choose one and use it consistently throughout your code. Through the authz method you can call all the methods of the CGI::Application::Plugin::Authorization plugin. . This package also bundles an active directory driver: - CGI::Application::Plugin::Authorization::Driver::ActiveDirectory Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-Authorization/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-authorization-perl/libcgi-application-plugin-authorization-perl_0.07-2_all.deb Package: libcgi-application-plugin-autorunmode-perl Version: 0.18-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Depends: perl, libcgi-application-perl Breaks: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Size: 19296 SHA256: e5bda8fe052f60db813cb45feda013d373a1545fbf57ff4e7519dfad1ce71779 SHA1: 615f7e659fec925a65b27192e4acae0b90e05344 MD5sum: cf15214bff1e5508cce5a553bc2e76f0 Description: CGI::App plugin to automatically register runmodes The CGI::Application::Plugin::AutoRunmode plugin for CGI::Application provides easy ways to setup run modes. You can just write the method that implements a run mode. You do not have to explicitly register it with CGI::Application anymore. . You can either flag methods in your CGI::App subclass with the "Runmode" or "StartRunmode" attributes or simply declare that every method in a class is a run mode. You can also assign a delegate object, all whose methods will become runmodes. You can also mix both approaches. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-AutoRunmode/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-autorunmode-perl/libcgi-application-plugin-autorunmode-perl_0.18-1_all.deb Package: libcgi-application-plugin-captcha-perl Version: 0.04-1 Installed-Size: 66 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Depends: perl, libcgi-application-perl, libgd-securityimage-perl, libdata-random-perl Enhances: libcgi-application-perl Breaks: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Size: 12122 SHA256: 0587142f380631992c4167a4fe85b0cdf9959e7388fc21501e6ea22f54a90b4e SHA1: b1b92775a0cdd425d927c8dfa1243cd478fa1df2 MD5sum: 6c812dabe6a94bf7b61de4f4547bb13d Description: module providing CAPTCHA support in CGI::Application CGI::Application::Plugin::CAPTCHA allows programmers to easily add and verify CAPTCHAs in their CGI::Application-derived web applications. A CAPTCHA (or Completely Automated Public Turing Test to Tell Computers and Humans Apart) is an image with a random string of characters. A user must successfully enter the random string in order to submit a form. This is a simple (yet annoying) procedure for humans to complete, but one that is significantly more difficult for a form-stuffing script to complete without having to integrate some sort of OCR. . CAPTCHAs are not a perfect solution. Any skilled, diligent cracker will eventually be able to bypass a CAPTCHA, but it should be able to shut down your average script-kiddie. . When a CAPTCHA is created with this module, raw image data is transmitted from your web application to the client browser. A cookie containing a checksum is also transmitted with the image. When the client submits their form for processing (along with their verification of the random string), captcha_verify() generates a checksum of the verification string the user entered. If the newly generated checksum matches the checksum found in the cookie, the CAPTCHA is assumed to have been successfully entered, and the user is allowed to continue processing their form. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-CAPTCHA/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-captcha-perl/libcgi-application-plugin-captcha-perl_0.04-1_all.deb Package: libcgi-application-plugin-config-simple-perl Version: 1.01-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Depends: perl, libcgi-application-perl, libconfig-simple-perl Enhances: libcgi-application-perl Breaks: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Size: 9600 SHA256: 6985627f9da670b7254e3489278f4099032d0aaa0c80fbd9577899c211b1fe25 SHA1: ec40caebc493221662b955ac9dab8747593b4d4e MD5sum: 69e04492573b30a463e03fdb455c1d9a Description: module providing Config::Simple support to CGI::Application CGI::Application::Plugin::Config::Simple acts as a plugin for Config::Simple to be easily used inside a CGI::Application module. It does not provide every method available from Config::Simple but rather easy access to your configuration variables. It does however provide direct access to the underlying Config::General object created if you want to use it's full power. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-Config-Simple/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-config-simple-perl/libcgi-application-plugin-config-simple-perl_1.01-1_all.deb Package: libcgi-application-plugin-configauto-perl Version: 1.33-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Depends: perl, libcgi-application-perl, libconfig-auto-perl Breaks: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Size: 10038 SHA256: 5a1c74cba7accf3704fd710530f6b79bb2b4b6243c22ae3e1cd7a38a9264593c SHA1: 3431c7a41c72c8a660ff51fe57e16486587bbee2 MD5sum: ad805130b09025f6f13c9a5e2fd06b43 Description: plugin that adds Config::Auto support to CGI::Application CGI::Application::Plugin::ConfigAuto adds easy access to config file variables to your CGI::Application modules. Lazy loading is used to prevent the config file from being parsed if no configuration variables are accessed during the request. In other words, the config file is not parsed until it is actually needed. The Config::Auto package provides the framework for this plugin. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-ConfigAuto/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-configauto-perl/libcgi-application-plugin-configauto-perl_1.33-1_all.deb Package: libcgi-application-plugin-dbh-perl Version: 4.00-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Depends: perl, libcgi-application-perl, libdbi-perl Breaks: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Size: 10194 SHA256: 7bb3f0afe02010b55fccab559add8bf3f96d98b0afd9ae5adccd21f4fc8025f9 SHA1: df2bf076e6e31d1424c586eb3c19745bfef5b168 MD5sum: 1e7a06452d62ecf441ec7e16a0a32ecc Description: plugin adding database support to CGI::Application CGI::Application::Plugin::DBH adds access to a DBI database handle to your CGI::Application modules. Lazy loading is used to prevent a database connection from being made if the dbh method is not called during the request. In other words, the database connection is not created until it is actually needed. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-DBH/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-dbh-perl/libcgi-application-plugin-dbh-perl_4.00-1_all.deb Package: libcgi-application-plugin-dbiprofile-perl Version: 0.07-1 Installed-Size: 120 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Depends: perl, libio-stringy-perl, libdata-javascript-perl, libdbi-perl, libcgi-application-perl, libhtml-template-perl Recommends: libgd-graph-perl, libsvg-tt-graph-perl Suggests: libcgi-application-plugin-devpopup-perl Enhances: libcgi-application-perl Breaks: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Size: 34426 SHA256: c791193bd613be7df4215ba46f064cfaa2b5f08e15e096753f9a3364d090a10b SHA1: d45a0653347bcd067f67aa21aea78737fee341d5 MD5sum: d390cdaa3106497eb3aecf23da06b37d Description: plugin providing database profiling support CGI::Application::Plugin::DBIProfile integrates DBI::Profile into CGI::Application, thus providing database profiling. If present CGI::Application::Plugin::DevPopup is recognized and utilized for more user-friendly display. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-DBIProfile/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-dbiprofile-perl/libcgi-application-plugin-dbiprofile-perl_0.07-1_all.deb Package: libcgi-application-plugin-devpopup-perl Version: 1.07-1 Installed-Size: 100 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Provides: libcgi-application-plugin-devpopup-params-perl, libcgi-application-plugin-devpopup-query-perl Depends: perl, libcgi-application-perl, libio-stringy-perl, libhtml-template-perl Suggests: liblog-dispatch-perl Breaks: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Size: 29546 SHA256: 6ad7380d648a8036f93b39b086b343ee8dc52f15f3ab1ba9ce8533d3d8251e82 SHA1: d6810d4077fdfc971c55acd6bccf633b1bead3b9 MD5sum: fb4947e0a8ec8e1c89aa8462f6045f8a Description: debugging framework for CGI::Application CGI::Application::Plugin::DevPopup module provides a plugin framework for displaying runtime information about your CGI::Application application in a popup window. Specific plugins include: . CGI::Application::Plugin::DevPopup::Query - Shows the state of the query object (usually a CGI) . CGI::Application::Plugin::DevPopup::Params - Shows the internal CGI::Application state . CGI::Application::Plugin::DevPopup::HTTPHeaders - Shows incoming and outgoing headers . CGI::Application::Plugin::DevPopup::Log - Shows information collected from a log file handle . CGI::Application::Plugin::DevPopup::Timing - Shows timing information about application states. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-DevPopup/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-devpopup-perl/libcgi-application-plugin-devpopup-perl_1.07-1_all.deb Package: libcgi-application-plugin-fillinform-perl Version: 1.15-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-basic-plugin-bundle-perl (<< 0.6) Depends: perl, libcgi-application-perl, libhtml-fillinform-perl Breaks: libcgi-application-basic-plugin-bundle-perl (<< 0.6) Size: 8534 SHA256: 4a4495bd9d1ad9cfc823aeb8aca9dfeeea8598fd4c149770dea44cea4578c8f8 SHA1: 4d77ecbf4c899c9089a5b768d9659104dde7b2cd MD5sum: f01a0a172f96f41405d74ceb445bcb61 Description: plugin for CGI::Application to fill in forms The CGI::Application::Plugin::FillInForm plugin provides a mix-in method to make using HTML::FillInForm more convenient. You pass the HTML as an argument to the fill_form method and the form fields are filled from the CGI::Application parameters. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-FillInForm/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-fillinform-perl/libcgi-application-plugin-fillinform-perl_1.15-1_all.deb Package: libcgi-application-plugin-formstate-perl Version: 0.12-1 Installed-Size: 83 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Depends: perl, libcgi-application-plugin-session-perl Enhances: libcgi-application-perl Breaks: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Size: 16980 SHA256: b545019b9fd39e9b9e344a948f380f48617847798876ae1f45d06a6139caf268 SHA1: 908f54fad5bb0327bbb62e7a59776ea278d8be05 MD5sum: 8af4658f447dee48c2a0d3a694d4e0a3 Description: plugin to store form state without hidden fields CGI::Application::Plugin::FormState provides a temporary storage area within the user's session for storing form-related data. The main use of this is for multi-page forms. Instead of using hidden fields to store data related to the form, you store and retrieve values from the form state. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-FormState/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-formstate-perl/libcgi-application-plugin-formstate-perl_0.12-1_all.deb Package: libcgi-application-plugin-forward-perl Version: 1.06-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Depends: perl, libcgi-application-perl Suggests: libcgi-application-plugin-autorunmode-perl Breaks: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Size: 9758 SHA256: 1eea3e75e3ac37abd058eff49fd2564c841c53b2ba69d3aadc20477a98641047 SHA1: eddc9b4721c2aa2a957209686511088ba3609e9b MD5sum: 1eafba9f33756435b23d93600c2a6f4e Description: plugin to allow one run mode pass control to another CGI::Application::Plugin::Forward adds the forward method to your CGI::Application module, which passes control to another run mode and returns its output. This is equivalent to calling $self->$other_runmode, except that CGI::Application's internal value of the current run mode is updated. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-Forward/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-forward-perl/libcgi-application-plugin-forward-perl_1.06-1_all.deb Package: libcgi-application-plugin-json-perl Version: 1.02-1 Installed-Size: 61 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Depends: perl, libcgi-application-perl, libjson-any-perl Enhances: libcgi-application-perl Breaks: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Size: 8632 SHA256: 59c3a554fabd9ffc07985207f19b060bd99f31f43d7915ceb2bc74625b7f41d2 SHA1: ba149517e053cfe0fab26fe2d5edb44706f40559 MD5sum: 9a47b6392c79efb87acdd0728df20173 Description: plugin to facilitate manipulation of JSON headers CGI::Application::Plugin::JSON provides a set of methods to manipulate the HTTP response headers when communicating with client-side JavaScript. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-JSON/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-json-perl/libcgi-application-plugin-json-perl_1.02-1_all.deb Package: libcgi-application-plugin-linkintegrity-perl Version: 0.06-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Depends: perl, libcgi-application-perl, liburi-perl, libdigest-hmac-perl Enhances: libcgi-application-perl Breaks: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Size: 16070 SHA256: c7d5a5c2ccfb2a27dad804e4b36658f5f28d4c4dfc80ddcefbce0e81c4f8dca8 SHA1: d8a60fdad971d0d161700a36dba6755654a22b9c MD5sum: 507fa02b5aa53554b92d0b6cd61401b6 Description: plugin to make tamper-resisistent links in CGI::Application CGI::Application::Plugin::LinkIntegrity lets you create tamper-resistent links within your CGI::Application project. When you create an URL with link, a cryptographic checksum is added to the URL, so any attempt to change part of the URL will fail. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-LinkIntegrity/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-linkintegrity-perl/libcgi-application-plugin-linkintegrity-perl_0.06-1_all.deb Package: libcgi-application-plugin-logdispatch-perl Version: 1.02-1 Installed-Size: 88 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Depends: perl, libcgi-application-perl, liblog-dispatch-perl, libuniversal-require-perl Suggests: libsub-wrappackages-perl Breaks: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Size: 14622 SHA256: 08c0b2733171749f5c669fe98730014ac53eccd725e038814a3b892a1b416897 SHA1: b7ce2443dcb44c9e3e6de53eed8e57c060cbae0f MD5sum: 7293108d1ba437b39542db82660f2916 Description: plugin that adds Log::Dispatch support to CGI::Application CGI::Application::Plugin::LogDispatch adds logging support to your CGI::Application modules by providing a Log::Dispatch dispatcher object that is accessible from anywhere in the application. . If you have CGI::Application::Plugin::DevPopup installed, a "Log Entries" report is added to the popup window, containing all of the entries that were logged during the execution of the runmode. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-LogDispatch/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-logdispatch-perl/libcgi-application-plugin-logdispatch-perl_1.02-1_all.deb Package: libcgi-application-plugin-messagestack-perl Version: 0.34-1 Installed-Size: 78 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Depends: perl Recommends: libcgi-application-plugin-session-perl, libcgi-application-plugin-tt-perl Enhances: libcgi-application-perl Breaks: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Size: 15012 SHA256: 9d74558443b0ed6461cd8810e8a7c0612784604997dc42a5cea1a0a795894949 SHA1: 279039b046baeb350d07fc50cdacae7c5c6d17c5 MD5sum: 6ae80661a17ae07d1825790124eba5e5 Description: message passing plugin for CGI::Application CGI::Application::Plugin::MessageStack allows one part of a CGI::Applocation web application to publish messages, and for templates in other parts to pick them up. By default the in transit messages are stored in the Session object which makes the system ideal for passing user-specific information. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-MessageStack/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-messagestack-perl/libcgi-application-plugin-messagestack-perl_0.34-1_all.deb Package: libcgi-application-plugin-protectcsrf-perl Version: 1.01-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Depends: perl, libattribute-handlers-perl, libcgi-application-plugin-session-perl, libhtml-parser-perl Enhances: libcgi-application-perl Breaks: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Size: 12002 SHA256: 9541bf3b48f6e9be20d0ef0c879e8900f67ddb943035f9f5d9ea65d2742bb4fb SHA1: 279ec348540279f638539488bdf622f4dd6c4114 MD5sum: 3580bfed8fe2fe875277c8e6d670eb90 Description: plugin to generate and verify anti-CSRF challenges CGI::Application::Plugin::ProtectCSRF is a CGI::Application plugin that helps protect against CSRF attacks. It works by tying back the processing of a form to the display of a form. . A cross-site request forgery is a form of online attack in which Mr Attacker posts what appears to be an image in, say, a forum. However the image src attribute is carefully crafted to undertake some action desired by Mr Attacker on the target website. The trap is sprung when Mr Victim, logs on to the target website and then views the image set up by Mr Attacker in the same browser. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-ProtectCSRF/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-protectcsrf-perl/libcgi-application-plugin-protectcsrf-perl_1.01-1_all.deb Package: libcgi-application-plugin-ratelimit-perl Version: 1.0-2 Installed-Size: 71 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Depends: perl, libcgi-application-perl, libclass-accessor-perl Recommends: libcgi-application-plugin-dbh-perl Enhances: libcgi-application-perl Breaks: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Size: 14150 SHA256: 73cca67ed12c9ffd1ebe1e2bc1d307c5f8ea219084b6faebafb8088d59c41937 SHA1: d1148e094e6afa40210a29e05b92710aab3878ea MD5sum: 9e599742b27da2057a0576479880a34d Description: Perl module for limiting the runmode call rate per user CGI::Application::Plugin::RateLimit provides protection against a user calling a runmode too frequently. A typical use-case might be a contact form that sends email. You'd like to allow your users to send you messages, but thousands of messages from a single user would be a problem. . This module works by maintaining a database of hits to protected runmodes. It then checks this database to determine if a new hit should be allowed based on past activity by the user. The user's identity is, by default, tied to login (via REMOTE_USER) or IP address (via REMOTE_IP) if login info is not available. You may provide your own identity function via the identity_callback() method. . To use this module you must create a table in your database with the following schema (using MySQL-syntax, although other DBs may work as well with minor alterations): . CREATE TABLE rate_limit_hits ( user_id VARCHAR(255) NOT NULL, action VARCHAR(255) NOT NULL, timestamp UNSIGNED INTEGER NOT NULL, INDEX (user_id, action, timestamp) ); . You may feel free to vary the storage-type and size of user_id and action to match your usage. For example, if your identity_callback() always returns an integer you could make user_id an integer column. . This table should be periodically cleared of old data. Anything older than the maximum timeframe being used can be safely deleted. . IMPORTANT NOTE: The protection offered by this module is not perfect. Identifying a user on the internet is very hard and a sophisticated attacker can work around these checks, by switching IPs or automating login creation. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-RateLimit/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-ratelimit-perl/libcgi-application-plugin-ratelimit-perl_1.0-2_all.deb Package: libcgi-application-plugin-requiressl-perl Version: 0.04-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Depends: perl, libcgi-application-perl, libattribute-handlers-perl Enhances: libcgi-application-perl Breaks: libcgi-application-extra-plugin-bundle-perl (<< 0.5) Size: 8998 SHA256: 9cff9d80896661cacc22798d7902b142c77a44157cb3071b4154368063f18d82 SHA1: 5f73902e937fffde61523dfce524b90e658b515f MD5sum: 5f8e261d8b004f00ab9da4d464c7de9d Description: plugin enforcing that certain run modes must be SSL secured CGI::Application::Plugin::RequireSSL enables a CGI::Application module to specify that certain run-modes must be approached using the HTTPS protocol rather than HTTP. The module can specify whether a violation should lead to an error or redirection to the HTTP equivalent. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-RequireSSL/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-requiressl-perl/libcgi-application-plugin-requiressl-perl_0.04-1_all.deb Package: libcgi-application-plugin-session-perl Version: 1.03-1 Installed-Size: 88 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Depends: perl, libcgi-application-perl, libcgi-session-perl Breaks: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Size: 15584 SHA256: e8fa3c7adfd49c33b47f736a0afe48c8a2f44bcde03a332321e0eabbc1df4456 SHA1: 64126a11240ef317e96e65339aa6153d4160935c MD5sum: db71f7033b497cf8ab69154b7d771550 Description: plugin that adds session support to CGI::Application CGI::Application::Plugin::Session seamlessly adds session support to your CGI::Application modules, by providing a CGI::Session object that is accessible from anywhere in the application. Lazy loading is used to prevent expensive file system or database calls from being made if the session is not needed during this request. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-Session/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-session-perl/libcgi-application-plugin-session-perl_1.03-1_all.deb Package: libcgi-application-plugin-stream-perl Version: 2.10-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Depends: perl, libcgi-application-perl, libfile-mmagic-perl Breaks: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Size: 9272 SHA256: 39f23b387844c25be1e7cc416b67ed171c263647fb05b7196a255bcd9548455a SHA1: 173c8cef6ec3c0df6b2de49aecb0a0de8b0ca7be MD5sum: f4b03e3fb7a252602cf32a29bee678d3 Description: plugin that adds file streaming support to CGI::Application CGI::Application::Plugin::Stream provides a way to stream a file back to the user from a CGI::Application module. This is useful if you are creating a PDF or Spreadsheet document dynamically to deliver to the user. The file is read and printed in small chunks to keep memory consumption down. If you pass along a filehandle it will be automatically closed on completion. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-Stream/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-stream-perl/libcgi-application-plugin-stream-perl_2.10-1_all.deb Package: libcgi-application-plugin-tt-perl Version: 1.05-2 Installed-Size: 124 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-extra-plugin-bundle-perl (<< 0.3) Provides: libcgi-application-plugin-tt-lastmodified-perl Depends: perl, libcgi-application-perl, libtemplate-perl, libclass-isa-perl | perl-modules (<< 5.12) Recommends: libcgi-application-basic-plugin-bundle-perl Enhances: libcgi-application-perl Breaks: libcgi-application-extra-plugin-bundle-perl (<< 0.3) Size: 27200 SHA256: bfe3067a67b5d8041ad8f03f40d8e0653e9160fa0216a42cde852b6e2022d785 SHA1: f8bf7d80320750dcaa9fc19418fb07bb9b1d5a3f MD5sum: c84c060233716ba95cd8cca692192e28 Description: plugin that adds Template Toolkit support to CGI::Application CGI::Application::Plugin::TT adds support for the popular Template Toolkit engine to your CGI::Application modules by providing several helper methods that allow you to process template files from within your runmodes. It compliments the support for HTML::Template that is built into CGI::Application through the 'load_tmpl' method. . This package also bundles in the CGI::Application::Plugin::TT::LastModified module, which adds support for setting a "Last-Modified" header based on the most recent modification time of all the components of a template that was processed with TT. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-TT/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-tt-perl/libcgi-application-plugin-tt-perl_1.05-2_all.deb Package: libcgi-application-plugin-validaterm-perl Version: 2.5-1 Installed-Size: 69 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Depends: perl, libcgi-application-perl, libhtml-fillinform-perl, libdata-formvalidator-perl Suggests: libhtml-template-perl, libcgi-application-plugin-forward-perl Breaks: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Size: 14434 SHA256: f76f73216ed95e0691eb61e799a1eeb6277b92689f8cf6f9ed2623bddc6575aa SHA1: a053ea4e185dea6cdaaa4d90da65ee76a2e90d5b MD5sum: a9902b763f4936729245752f3a6b1569 Description: plugin for validating form data using Data::FormValidator CGI::Application::Plugin::ValidateRM helps to validate web forms when using the CGI::Application framework. The check_rm method takes a run mode and a Data::FormValidator profile and validates the query parameters against the profile. Based upon the return results the web application either has some valid data to work with or the user receives the form with information about the invalid fields. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-ValidateRM/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-validaterm-perl/libcgi-application-plugin-validaterm-perl_2.5-1_all.deb Package: libcgi-application-plugin-viewcode-perl Version: 1.02-1 Installed-Size: 112 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Provides: libcgi-application-plugin-debugscreen-perl Depends: perl, libpod-xhtml-perl, libcgi-application-perl, libsyntax-highlight-perl-improved-perl, libhtml-template-perl, libdevel-stacktrace-perl, libuniversal-require-perl Recommends: libcgi-application-plugin-devpopup-perl Breaks: libcgi-application-basic-plugin-bundle-perl (<< 0.6), libcgi-application-plugins-perl (<< 0.11) Size: 17528 SHA256: 8e353fdb7e0e3ff9c388769aa21537d9957b95ad1002793429f36907d1724db5 SHA1: 7c39e5c92ad1db472626767a29efdfee9f199c5e MD5sum: 2f4272c4aa96978dda61d8ea97b391c8 Description: set of debugging tools for CGI::Application CGI::Application::Plugin::ViewCode provides additional run modes that display code and documentation. . CGI::Application::Plugin::DebugScreen captures die events and displays the stack trace to the user. It also provides links to the source code and documentation view the ViewCode plugin. Homepage: http://search.cpan.org/dist/CGI-Application-Plugin-ViewCode/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-plugin-viewcode-perl/libcgi-application-plugin-viewcode-perl_1.02-1_all.deb Package: libcgi-application-server-perl Version: 0.062-1 Installed-Size: 28 Maintainer: Debian Perl Group Architecture: all Depends: perl, libio-capture-perl, libcgi-application-perl, libhttp-server-simple-perl (>= 0.18), libwww-perl, libhttp-server-simple-static-perl Size: 10470 SHA256: 8b610d3f255973ffd140dbf949102d48c0b0fc88cf70656e67ca502287853169 SHA1: f31d20f84deb3b84e46977b90b833b844db724e7 MD5sum: ba0e172f681b0ee24172a5dab14d7230 Description: simple HTTP server for developing with CGI::Application CGI::Application::Server is a subclass of HTTP::Server::Simple specialized for developing and testing CGI::Application-based modules. You can give it the name of one or more CGI::Application subclasses or preinitialized objects as entry points and it will serve them along with any static files. Homepage: http://search.cpan.org/dist/CGI-Application-Server/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-application-server-perl/libcgi-application-server-perl_0.062-1_all.deb Package: libcgi-auth-foaf-ssl-perl Version: 1.002-1 Installed-Size: 99 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcgi-session-perl, libcommon-sense-perl, libcrypt-x509-perl (>= 0.50), libdatetime-perl, libwww-perl, libobject-id-perl, perl (>= 5.10.1) | libparent-perl, librdf-trineshortcuts-perl Recommends: libwww-finger-perl Size: 31850 SHA256: 36a8059fbb234a1ce40990deb5fdfda9c84b6beebd5e7ce1c6fe47558a2c5996 SHA1: 78805a6676278cb3e484c9cdb3c638629f25dcee MD5sum: d16bcd8f4ad474d96eb9be3ef14c33b0 Description: authentication using WebID FOAF+SSL (a.k.a. WebID) is a simple authentication scheme described at . CGI::Auth::FOAF_SSL implements the server end of FOAF+SSL in Perl. . It is suitable for handling authentication using FOAF+SSL over HTTPS. Your web server needs to be using HTTPS, configured to request client certificates, and make the certificate PEM available to your script. Homepage: http://search.cpan.org/dist/CGI-Auth-FOAF_SSL/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-auth-foaf-ssl-perl/libcgi-auth-foaf-ssl-perl_1.002-1_all.deb Package: libcgi-compile-perl Version: 0.15-1 Installed-Size: 50 Maintainer: Debian Perl Group Architecture: all Depends: perl, libfile-pushd-perl Recommends: libcgi-emulate-psgi-perl Size: 8092 SHA256: 32e3e21b5b1aa7e5a4f5b43706ca2bf5fe45a94a903ab5952d14d8c763406b90 SHA1: 5d8eb98c2623c7d562f24292e93b693599321a83 MD5sum: a24214aadf1f115f69b76ab7e85c9303 Description: module for compiling .cgi scripts to a code reference CGI::Compile is an utility to compile CGI scripts into a code reference that can run many times on its own namespace, as long as the script is ready to run on a persistent environment. . NOTE: for best results, load CGI::Compile before any modules used by your CGIs. . Combined with CGI::Emulate::PSGI, your CGI script can be turned into a persistent PSGI application. Homepage: http://search.cpan.org/dist/CGI-Compile/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-compile-perl/libcgi-compile-perl_0.15-1_all.deb Package: libcgi-cookie-splitter-perl Version: 0.02-2 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcgi-simple-perl Size: 9000 SHA256: 6589156248f7576016d1597c733d09267ec23e67125f094481f0a7dc38c84359 SHA1: f2603d571fa9bd4084366bf8e53c5acf23e37202 MD5sum: 50f011a3d42e7c5bcba11580c0c7830c Description: module for splitting big cookies into smaller ones RFC 2109 stipulates that user agents support a cookie size of "at least 4096 bytes per cookie". However, this value has become the standard maximum cookie size supported by many implementations, so if your server sends larger cookies considerable breakage may occur. . CGI::Cookie::Splitter provides a pretty simple interface to generate small cookies that are under a certain limit, without wasting too much effort. Homepage: http://search.cpan.org/dist/CGI-Cookie-Splitter/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-cookie-splitter-perl/libcgi-cookie-splitter-perl_0.02-2_all.deb Package: libcgi-emulate-psgi-perl Version: 0.14-1 Installed-Size: 70 Maintainer: Dominic Hargreaves Architecture: all Depends: perl, libwww-perl Size: 12612 SHA256: a83cb46e2b387e27e083fdb9e2cc77b7ef019f1d2c739864890c712d124a63e3 SHA1: 70b547cfada0a604b52b8bdba5a3ce31aff1bd5f MD5sum: d60bdbdedc512aa2cb6719e044c687fd Description: PSGI adapter for CGI This module allows an application designed for the CGI environment to run in a PSGI environment, and thus on any of the backends that PSGI supports. . It works by translating the environment provided by the PSGI specification to one expected by the CGI specification. Likewise, it captures output as it would be prepared for the CGI standard, and translates it to the format expected for the PSGI standard using CGI::Parse::PSGI module. Homepage: http://search.cpan.org/dist/CGI-Emulate-PSGI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-emulate-psgi-perl/libcgi-emulate-psgi-perl_0.14-1_all.deb Package: libcgi-extratags-perl Source: cgi-extratags-perl Version: 0.03-1 Installed-Size: 76 Maintainer: Stefan Hornburg (Racke) Architecture: all Depends: perl (>= 5.6.0-16), liburi-perl Size: 7210 SHA256: 7bff29cd77e3fb81860649a7414dce3d8a7710790d87f2b6eefa3354d7979c71 SHA1: 639b547d8c0cb14800ba61bd74f38f836a81440a MD5sum: fb6132a38a3aae47b71b778e34716656 Description: Useful Extensions for the CGI Module CGI::Extratags adds several useful methods to the CGI class, such as producing HTML code for a link to an email address or producing HTML code for a table row with the arguments as cell contents. Tag: devel::lang:perl, devel::library, implemented-in::perl, web::cgi, works-with-format::html, works-with::text Section: perl Priority: optional Filename: pool/main/c/cgi-extratags-perl/libcgi-extratags-perl_0.03-1_all.deb Package: libcgi-fast-perl Source: perl Version: 5.14.2-21+rpi2+deb7u2 Architecture: all Maintainer: Niko Tyni Installed-Size: 187 Depends: perl (>= 5.14.2-21+rpi2+deb7u2), perl (<< 5.14.3~), libfcgi-perl Homepage: http://dev.perl.org/perl5/ Priority: optional Section: perl Filename: pool/main/p/perl/libcgi-fast-perl_5.14.2-21+rpi2+deb7u2_all.deb Size: 76990 SHA256: dbcc94e83ed32f6eb6e12fe4fc21bca6f91f27ab91786271c14047b1c4e55083 SHA1: 5efe0429401331ec305a8cbb5fd8d8aa7a9262bf MD5sum: 6654f99c3167560e9e3183fed4e46349 Description: CGI::Fast Perl module CGI::Fast is a subclass of the CGI object created by CGI.pm. It is specialized to work well with the Open Market FastCGI standard, which greatly speeds up CGI scripts by turning them into persistently running server processes. Scripts that perform time-consuming initialization processes, such as loading large modules or opening persistent database connections, will see large performance improvements. Package: libcgi-formalware-perl Version: 1.13-1 Installed-Size: 116 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), perl-modules | libcgi-perl | libcgi-pm-perl, libnet-telnet-perl (>= 3.01), libxml-dom-perl (>= 1.14) Size: 21140 SHA256: 1aabe1adcc531e89bfdfbc74daf03d87ddb6f4a4043bba05a3828183cccafdf3 SHA1: 20aae35cc1609f384447f29a531a30f98fd9006e MD5sum: 8a2fc97eb33d5e2c8747031bf470106c Description: Perl module for converting an XML file into a suite of CGI forms This module supports providing a type of repository for frequently used scripts, which can then be executed locally or remotely (via Net::Telnet), by just entering a password (for remote scripts), and clicking. Tag: devel::lang:perl, devel::library, implemented-in::perl, web::cgi, works-with-format::xml Section: perl Priority: optional Filename: pool/main/libc/libcgi-formalware-perl/libcgi-formalware-perl_1.13-1_all.deb Package: libcgi-formbuilder-perl Version: 3.08-1 Installed-Size: 603 Maintainer: Debian Perl Group Architecture: all Depends: perl Recommends: libhtml-parser-perl Suggests: libhtml-template-perl, libtemplate-perl, libtext-template-perl, libcgi-session-perl, libcgi-ssi-perl Size: 215500 SHA256: 7dc61d0ae5b41eeb50cb2a961fee00d43d450f5904f4b52e1705db8beb619077 SHA1: 76572c768647cb8b548ba6528f9b94043f01128d MD5sum: ca6d1f7b9b1f08f708baa9c1eea8e481 Description: Easily generate and process stateful CGI forms Do you hate generating, processing, and validating CGI forms? Do you love Perl? . FormBuilder is the answer. It's an extremely fast, robust Perl module with a ridiculous set of features. . Here's the main stuff that I [the author] think is cool: . * DWIMmery This module tries to "Do What I Mean". Tell it the fields you care about, and it takes care of all the stupid HTML and JavaScript generation and processing for you. It also gives you back the correct values that you want. It will even label your fields automatically with human-readable names. . * Input field abstraction You simply define your fields and their options, and FormBuilder will take care of figuring out what the best HTML representation is. It will then generate the appropriate input fields (text, select, radio, etc), even changing any JavaScript actions appropriately. . * Easy handling of defaults Just specify a hash of values to use as the defaults for your fields. This will be searched case-insensitively and displayed in the form. What's more, if the user enters something via the CGI that overrides a default, when you use the field() method to get the data you'll get the correct value. . * Correct stickiness Stickiness is a PITA. FormBuilder correctly handles even multiple values selected in a multiple select list, completely integrated with proper handling of defaults. . * Robust field validation Form validation sucks, and this is where FormBuilder is a big help. It has tons of builtin patterns, and will even generate gobs of JavaScript validation code for you. You can specify your own regexps as well, and FormBuilder will even check multivalued select lists correctly. See the full list of built-in patterns. . * Multiple submit mode support FormBuilder allows you to reliably tell whether the person clicked on the "Update" or "Delete" button of your form, normally a big pain. . * Template driver support FormBuilder can natively "drive" several major templating engines: * HTML::Template * CGI::FastTemplate * Text::Template * Template Toolkit If you want to build a complete form application with a template in less that 20 lines of Perl, FormBuilder is for you. . * Internationalized, Customizable Messaging Sick of US-centric modules? You can customize every single message that FormBuilder outputs for your locale. See the full messages list. . * Simple Interface Heck, I specialized in User Interface Design for my degree! . * Extensive Documentation Homepage: http://www.formbuilder.org/ Tag: devel::lang:perl, implemented-in::perl, use::browsing, use::configuring, web::cgi, works-with-format::html, works-with::text Section: perl Priority: optional Filename: pool/main/libc/libcgi-formbuilder-perl/libcgi-formbuilder-perl_3.08-1_all.deb Package: libcgi-formbuilder-source-yaml-perl Version: 1.0.8-2 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: libcgi-formbuilder-perl (>= 3.02), libyaml-syck-perl, perl (>= 5.6.0-16) Size: 9606 SHA256: 422173de040b762faae08186e988a504f62e9abbe324ff02f22de9b345794583 SHA1: 9f9a8c51c6392022af667e1dd94299f019589d5b MD5sum: 2608378d8882f56d861e351e699a711d Description: Initialize FormBuilder application from YAML file This Perl module reads a YAML file containing CGI::FormBuilder configuration options and returns a hash that can be directly fed into the application initialization method CGI::FormBuilder->new(). . It uses YAML::Syck as YAML backend, allowing full data structure specification as well as code fragment specification. This is particularly useful if you need to define validation callbacks, as if often desired in CGI::FormBuilder applications. Homepage: http://search.cpan.org/dist/CGI-FormBuilder-Source-YAML/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-formbuilder-source-yaml-perl/libcgi-formbuilder-source-yaml-perl_1.0.8-2_all.deb Package: libcgi-java Source: libmatthew-java Version: 0.7.3-1 Architecture: armhf Maintainer: Matthew Johnson Installed-Size: 61 Depends: libc6 (>= 2.13-28) Suggests: libmatthew-java-doc Priority: optional Section: java Filename: pool/main/libm/libmatthew-java/libcgi-java_0.7.3-1_armhf.deb Size: 21346 SHA256: e0fdeb737e84c9bca0e9dd86e3b78dd8fc1618aca70b85127a06f3bca23bd104 SHA1: 4699de51dd2cdc5174a0cfb6008a46b5f7603f72 MD5sum: 32be87ca86cf28dcf87990745a7de369 Description: CGI library for Java This library allows CGI scripts to be written in Java. The library provides access to all the standard CGI variables including POST/GET. It also makes it easy to create input forms in HTML documents. Package: libcgi-pm-perl Version: 3.61-2 Installed-Size: 521 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-fast-perl (<< 5.10.1-9) Depends: perl Recommends: libfcgi-perl Breaks: libcgi-fast-perl (<< 5.10.1-9) Size: 235740 SHA256: 59f2cd784cedebb663244acc8a2722121aec2490b5b3c610fd3e213f023dd59d SHA1: 6c79c9ab3ef940e9ce2ed19590693b75766c76b4 MD5sum: 51bc94b1dbc58a691436ce081dc67e64 Description: module for Common Gateway Interface applications CGI.pm is a Perl module that provides classes useful for creating Web forms and for parsing their contents. It defines CGI objects, entities that contain the values of the current query string and other state variables. . This module is already included as part of Perl's core distribution, so this package is only beneficial when newer features or bug fixes are required. Homepage: http://search.cpan.org/dist/CGI.pm/ Tag: devel::lang:perl, devel::library, implemented-in::perl, web::cgi Section: perl Priority: optional Filename: pool/main/libc/libcgi-pm-perl/libcgi-pm-perl_3.61-2_all.deb Package: libcgi-psgi-perl Version: 0.15-1 Installed-Size: 72 Maintainer: Dominic Hargreaves Architecture: all Depends: perl, perl (>= 5.10.1) | libcgi-pm-perl (>= 3.33) Size: 11116 SHA256: d86ead7ca3b5f7bd894822c3772d4dc9b4f69bd67d24b3249b5d321615772f75 SHA1: 6fe085877f62da40108a590f705e8bc7572c78ff MD5sum: 8ee33319cd903d737687e095c6214aff Description: Adapt CGI.pm to the PSGI protocol CGI::PSGI is for web application framework developers who currently use CGI to handle query parameters, and would like the frameworks to comply with the PSGI protocol. Homepage: http://search.cpan.org/dist/CGI-PSGI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-psgi-perl/libcgi-psgi-perl_0.15-1_all.deb Package: libcgi-session-driver-memcached-perl Version: 0.04-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcgi-session-perl, libcache-memcached-perl | libcache-memcached-fast-perl Suggests: memcached Size: 5886 SHA256: 5401488752b3b2e00b0bec864ed81210aa3af9686e88458ba86863735a975d75 SHA1: a03dc1678bbbc964ebae5c0d13d792c7f37fac6f MD5sum: 4b95f58e8a46775f799c1f5174e49265 Description: Perl module to allow CGI sessions to be stored in memcache A Perl module (CGI::Session::Driver::memcached) that allows CGI session information to be stored in a memcached server. Homepage: http://search.cpan.org/dist/CGI-Session-Driver-memcached/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-session-driver-memcached-perl/libcgi-session-driver-memcached-perl_0.04-1_all.deb Package: libcgi-session-expiresessions-perl Version: 1.12-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcgi-session-perl Size: 18336 SHA256: a96b452b1dd37ffcbb72325facc56cdf5e80424ce396af8d975714ccb3bd1d31 SHA1: c281948f54480b4013db4ecd1a197e5ba268f207 MD5sum: 2da61dc5364e01969166772269023589 Description: automatic deletion of expired CGI sessions CGI::Session::ExpireSessions is designed to expire old sessions produced by the CGI::Session module. The module can correctly remove sessions stored upon the filesystem, and inside MySQL databases. Homepage: http://search.cpan.org/dist/CGI-Session-ExpireSessions/ Tag: devel::lang:perl, implemented-in::perl, web::cgi, works-with::db Section: perl Priority: optional Filename: pool/main/libc/libcgi-session-expiresessions-perl/libcgi-session-expiresessions-perl_1.12-1_all.deb Package: libcgi-session-perl Version: 4.46-1 Installed-Size: 360 Maintainer: Debian Perl Group Architecture: all Depends: perl Recommends: libdbi-perl Size: 122526 SHA256: 231bc9a918bd9bfa240e7a909104f5038073df33c8dd5b05e85782af67fe90c1 SHA1: ab0b13a0f2b16e5e9c58961a047c0ba255ebde7c MD5sum: 5fb693b7a6c9d39bb428c607fe973569 Description: persistent session data in CGI applications CGI-Session is a Perl5 library that provides an easy, reliable and modular session management system across HTTP requests. Persistency is a key feature for such applications as shopping carts, login/authentication routines, and application that need to carry data across HTTP requests. CGI::Session does that and many more. Homepage: http://search.cpan.org/dist/CGI-Session/ Tag: devel::lang:perl, implemented-in::perl, interface::web, protocol::http, web::cgi Section: perl Priority: optional Filename: pool/main/libc/libcgi-session-perl/libcgi-session-perl_4.46-1_all.deb Package: libcgi-session-serialize-yaml-perl Version: 4.26-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Replaces: libcgi-session-perl (<< 4.30) Depends: perl, libcgi-session-perl (>= 4.30), libyaml-syck-perl | libyaml-perl Breaks: libcgi-session-perl (<< 4.30) Size: 7368 SHA256: 05d1d0e1d0c20ca01d0f3fa3b6d725dba16bf798fab7d73ef2e2aae0354f77eb SHA1: 4b69cfdf103d8641183a8a178b01e9fe7ef17d7e MD5sum: 8f91dfcbe9706ae80122bfb6320d6b9a Description: YAML and YAML::Syck support for CGI::Session's serializers CGI::Session::Serialize::yaml can be used by CGI::Session to serialize session data. It uses YAML, or the faster C implementation, YAML::Syck if it is available. YAML serializers exist not just for Perl but also other dynamic languages, such as PHP, Python, and Ruby, so storing session data in this format makes it easy to share session data across different languages. . YAML is made to be friendly for humans to parse as well as other computer languages. It creates a format that is easier to read than the default serializer. Homepage: http://search.cpan.org/dist/CGI-Session-Serialize-yaml/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-session-serialize-yaml-perl/libcgi-session-serialize-yaml-perl_4.26-1_all.deb Package: libcgi-simple-perl Version: 1.113-2 Installed-Size: 263 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 108328 SHA256: c5f3debe2be43c56d1d2db161c7c8348a3c3848532d39eae6de4cee5296d5f5e SHA1: 0ec0cc4c1e7565d131e40c037d53cc624ce1aa4e MD5sum: acf12838fb030d5aa27a3e1545267fe8 Description: simple CGI.pm compatible OO CGI interface CGI::Simple provides a relatively lightweight drop in replacement for CGI.pm. It shares an identical OO interface to CGI.pm for parameter parsing, file upload, cookie handling and header generation. This module is entirely object oriented, however a complete functional interface is available by using the CGI::Simple::Standard module. . Essentially everything in CGI.pm that relates to the CGI (not HTML) side of things is available. There are even a few new methods and additions to old ones! If you are interested in what has gone on under the hood see the "Compatibility with CGI.pm" section in CGI::Simple(3pm). . In practical testing this module loads and runs about twice as fast as CGI.pm depending on the precise task. Homepage: http://search.cpan.org/dist/CGI-Simple/ Tag: devel::lang:perl, devel::library, implemented-in::perl, protocol::http, web::cgi Section: perl Priority: optional Filename: pool/main/libc/libcgi-simple-perl/libcgi-simple-perl_1.113-2_all.deb Package: libcgi-ssi-parser-perl Version: 0.01-1 Installed-Size: 44 Maintainer: Jason Thomas Architecture: all Depends: perl (>= 5.6.0-16) Size: 8444 SHA256: ffe706e66f5d955762d6475a0f906119e48087d8a1408bc51c0bdccb3a685aa7 SHA1: e51043570577ad12f941f329da7a9ab5a75cfbe0 MD5sum: a76c70a4d48d51c144930e6792047beb Description: used in CGI scripts for parsing SSI directives in files or string variables, and fully implements the functionality of apache's mod_include module. . It is an alternative to famous Apache::SSI modules, but it doesn't require mod_perl. This is an advantage to those who are using public hosting services. There is a disadvantage, however - the module consumes much memory, and I don't recommend using it on heavy-loaded sites (currently it's being used on a site with 10000 hits, and I consider this as a limit). I hope to get rid of this disadvantage by the time the release comes out (currently it's beta). Tag: devel::lang:perl, devel::library, implemented-in::perl, web::cgi Section: perl Priority: extra Filename: pool/main/libc/libcgi-ssi-parser-perl/libcgi-ssi-parser-perl_0.01-1_all.deb Package: libcgi-ssi-perl Version: 0.92-3 Installed-Size: 48 Maintainer: Debian Perl Group Architecture: all Depends: perl, libhtml-simpleparse-perl, libwww-perl, liburi-perl, libtimedate-perl Size: 17118 SHA256: d3c19a2dab5d1efe3b8a67bbcf61e1356dcf5909e13ddd2eb83c216ecff20c90 SHA1: 3d9460dbd7a226df14fa2207bb51ca01dae6c755 MD5sum: 37b197c5d5d52f3e60aaf464ca74c8ae Description: Perl module to use SSI from CGI scripts CGI::SSI is meant to be used as an easy way to filter shtml through CGI scripts in a loose imitation of Apache's mod_include. If you're using Apache, you may want to use either mod_include or the Apache::SSI module instead of CGI::SSI. Limitations in a CGI script's knowledge of how the server behaves make some SSI directives impossible to imitate from a CGI script. Homepage: http://search.cpan.org/dist/CGI-SSI/ Tag: devel::lang:perl, devel::library, implemented-in::perl, web::cgi Section: perl Priority: extra Filename: pool/main/libc/libcgi-ssi-perl/libcgi-ssi-perl_0.92-3_all.deb Package: libcgi-untaint-date-perl Version: 1.00-2 Installed-Size: 20 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libcgi-untaint-perl, libdate-manip-perl, libdate-simple-perl Size: 6384 SHA256: 49655d99542378744cecb51a732cc30de26282e34713d0a13e84121f5d79664c SHA1: 9b490cc6d1a6f6ede0e02c2fb9dd4c02ef376ec0 MD5sum: 32d1a3a7159d7127a3aed001f4ec46ea Description: CGI::Untaint::date - validate a date This input handler for CGI::Untaint verifies that it is dealing with a reasonable date. Reasonably means anything that Date::Manip thinks is sensible, so you could use any of (for example): "December 12, 2001" "12th December, 2001" "2001-12-12" "next Tuesday" "third Wednesday in March" Homepage: http://search.cpan.org/dist/CGI-Untaint-date/ Tag: devel::lang:perl, devel::library, devel::web, implemented-in::perl, role::devel-lib, use::checking Section: perl Priority: extra Filename: pool/main/libc/libcgi-untaint-date-perl/libcgi-untaint-date-perl_1.00-2_all.deb Package: libcgi-untaint-email-perl Version: 0.03-2 Installed-Size: 20 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libcgi-untaint-perl, libemail-valid-perl, libmailtools-perl Size: 5284 SHA256: c1d0b801297a15f1a31ed65e5d6113f9ff00db825ca2b3b24e63589dae8726e6 SHA1: 4a90b8c5b7d6ccd72b6882092697becba3c5080b MD5sum: 8a013928d2c1ce6163be476baf5b3707 Description: Input handler for CGI::Untaint to validate an email address CGI::Untaint::email is an input handler for CGI::Untaint that validates an email address according to RFC2822, using Email::Valid. Homepage: http://search.cpan.org/dist/CGI-Untaint-email/ Tag: devel::lang:perl, devel::library, devel::web, implemented-in::perl, role::devel-lib, use::checking Section: perl Priority: extra Filename: pool/main/libc/libcgi-untaint-email-perl/libcgi-untaint-email-perl_0.03-2_all.deb Package: libcgi-untaint-perl Version: 1.26-4 Installed-Size: 112 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libuniversal-require-perl Size: 25770 SHA256: 7e98b53f151407c4c447c9018d284c5af73353365d1132ff7e04f5337a1433e1 SHA1: c693f569a2bf45fdd6576764c6b8466929b8f6b7 MD5sum: 90266ef9cf64c80ce4df28a7c2d63933 Description: Process CGI input parameters Dealing with large web based applications with multiple forms is a minefield. It's often hard enough to ensure you validate all your input at all, without having to worry about doing it in a consistent manner. If any of the validation rules change, you often have to alter them in many different places. And, if you want to operate taint-safe, then you're just adding even more headaches. . This module provides a simple, convenient, abstracted and extensible manner for validating and untainting the input from web forms. . You simply create a handler with a hash of your parameters (usually $q->Vars), and then iterate over the fields you wish to extract, performing whatever validations you choose. The resulting variable is guaranteed not only to be valid, but also untainted. Homepage: http://search.cpan.org/~tmtm/CGI-Untaint/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::checking, web::cgi Section: perl Priority: optional Filename: pool/main/libc/libcgi-untaint-perl/libcgi-untaint-perl_1.26-4_all.deb Package: libcgi-uploader-perl Version: 2.17-1 Installed-Size: 200 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libcarp-assert-perl, libhttp-browserdetect-perl, libimage-size-perl, libmime-types-perl, libparams-validate-perl, libsql-abstract-perl Recommends: libdbi-perl, libgraphics-magick-perl Size: 51350 SHA256: 07dc1bf423c9dc742b1c0a5854b4319b4d88687c37db9ef8c41f1ed037d4e622 SHA1: bb95d2ebb752d0b7685abd0a88c76cc75cd65069 MD5sum: 46f503d42aa65934596c35281fd384ab Description: module for managing CGI uploads using an SQL database CGI::Uploader is designed to help with the task of managing files uploaded through a CGI application. The files are stored on the file system, and the file attributes stored in a SQL database. Homepage: http://search.cpan.org/dist/CGI-Uploader/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-uploader-perl/libcgi-uploader-perl_2.17-1_all.deb Package: libcgi-validop-perl Version: 0.56-1 Installed-Size: 248 Maintainer: Debian Perl Group Architecture: all Depends: perl, libhtml-parser-perl Size: 63632 SHA256: f39a1294a213c7ecbb29d123f20c4332bc0bbfcde8cfa6f1df06295c4fb6e31e SHA1: 041c1840da9f2a7182cbb0e166f021c12356ad05 MD5sum: d61da3562c9517b455bf2c5f6d392dae Description: module for validating CGI parameters CGI::ValidOp is a Perl module that validates CGI parameters and manages run modes. Because CGI parameter validation is boring, it's easy to get wrong or ignore. It removes as much of the repetition as possible out of this task by replacing it with a simple interface. Homepage: http://search.cpan.org/dist/CGI-ValidOp/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcgi-validop-perl/libcgi-validop-perl_0.56-1_all.deb Package: libcgi-xml-perl Version: 0.1-13 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), perl-modules | libcgi-perl | libcgi-pm-perl, libxml-parser-perl Size: 7920 SHA256: 366caa02055369e82b59402a8b2f7fa968061c3173f1df03995b8c75dcd9f914 SHA1: 8ca494a3bee3fba7277d81eae419c95d0d2b53a6 MD5sum: 41c562adcda127b538995c77f615ff5c Description: perl module for converting CGI variables from/to XML This module converts CGI.pm variables to XML and vice versa. . CGI::XML is a subclass of CGI.pm, so it reads the CGI variables just as CGI.pm would. Tag: devel::lang:perl, devel::library, implemented-in::perl, web::cgi, works-with-format::xml Section: perl Priority: optional Filename: pool/main/libc/libcgi-xml-perl/libcgi-xml-perl_0.1-13_all.deb Package: libcgi-xmlapplication-perl Version: 1.1.3-6 Installed-Size: 128 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), perl-modules | libcgi-perl, libxml-libxml-perl, libxml-libxslt-perl Size: 40410 SHA256: 92d2aeeaaad417697fc9a375871566d877b7bf37bcf75b8b316acd24c04c472f SHA1: a4e91bb0c2f7a91d8731c3f62adaa47939e4e0ec MD5sum: a9b489bfc65e079e19d7907848646425 Description: perl module for creating XML-DOM and OO based CGI scripts This module provides an XML-DOM and object-oriented extension to the CGI module. The XML-DOM extension allows one to generate the output from XML and laid out according to an XSLT stylesheet, separating code and presentation. The object-oriented extension allows one to specify handlers for events like a mouse click on a submit button or on an image. Tag: devel::lang:perl, devel::library, implemented-in::perl, web::cgi, works-with-format::xml Section: perl Priority: optional Filename: pool/main/libc/libcgi-xmlapplication-perl/libcgi-xmlapplication-perl_1.1.3-6_all.deb Package: libcgi-xmlform-perl Version: 0.10-13 Installed-Size: 116 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), perl-modules | libcgi-perl | libcgi-pm-perl, libxml-parser-perl Size: 21214 SHA256: e189c10d0ea29107ad0e3aed3adddff43786d02bdb68657044e835f771f2d9d1 SHA1: 61e0f72978292f7ab3273561b85cdaeae8a35795 MD5sum: 185162939ae901d4ba2555477f50699d Description: perl module for reading/generating formatted XML This Perl module can either create form field values from XML based on XQL style queries (full XQL is _not_ supported - this module is designed for speed), or it can create XML from form values. Tag: devel::lang:perl, devel::library, implemented-in::perl, web::cgi, works-with-format::xml Section: perl Priority: optional Filename: pool/main/libc/libcgi-xmlform-perl/libcgi-xmlform-perl_0.10-13_all.deb Package: libcgic-dev Source: libcgic Version: 2.05-3 Architecture: armhf Maintainer: Bart Martens Installed-Size: 180 Depends: libcgic2 (= 2.05-3), libc6-dev Suggests: httpd Conflicts: libcgicg1-dev Replaces: libcgicg1-dev Homepage: http://www.boutell.com/cgic/ Priority: optional Section: libdevel Filename: pool/main/libc/libcgic/libcgic-dev_2.05-3_armhf.deb Size: 52670 SHA256: ffea21f6c6cc8e54c7b22a0f2681ae47a61d1a30640cd429f81cacfb3a194539 SHA1: d2ec267263abf3205352d87c7d5c4345f670ffb5 MD5sum: efdf74c0dd79fb57f425f7f9a64f847b Description: C library for developing CGI applications Cgic is an ANSI-C library for the creation of CGI-based World Wide Web applications. Cgic provides the following: . * Parses form data, correcting for defective and/or inconsistent browsers * Transparently accepts both GET and POST form data * Handles line breaks in form fields in a consistent manner * Provides string, integer, floating-point, and single- and multiple-choice functions to retrieve form data * Provides bounds checking for numeric fields * Loads CGI environment variables into C strings which are always non-null * Provides a way to capture CGI situations for replay in a debugging environment . This package provides a static library version of cgic, examples of using cgic (including a CGI application that captures a CGI environment for use in debugging), and header files. Package: libcgic2 Source: libcgic Version: 2.05-3 Architecture: armhf Maintainer: Bart Martens Installed-Size: 58 Depends: libc6 (>= 2.13-28) Suggests: httpd Homepage: http://www.boutell.com/cgic/ Priority: optional Section: libs Filename: pool/main/libc/libcgic/libcgic2_2.05-3_armhf.deb Size: 17758 SHA256: 9b9583de57cffecd7660507e1373500cd31043f9fba103a616ad4f6c7945e8c0 SHA1: b69b29e6bf2cf68da0d34c1ba7d4959cf7790a1c MD5sum: b38d6f349f82a2666beddc6137ce1e85 Description: C library for developing CGI applications Cgic is an ANSI-C library for the creation of CGI-based World Wide Web applications. Cgic provides the following: . * Parses form data, correcting for defective and/or inconsistent browsers * Transparently accepts both GET and POST form data * Handles line breaks in form fields in a consistent manner * Provides string, integer, floating-point, and single- and multiple-choice functions to retrieve form data * Provides bounds checking for numeric fields * Loads CGI environment variables into C strings which are always non-null * Provides a way to capture CGI situations for replay in a debugging environment . This package provides a shared library version of cgic. Package: libcgicc-doc Source: libcgicc Version: 3.2.9-3 Installed-Size: 4176 Maintainer: Chris Butler Architecture: all Size: 924736 SHA256: 754278a38dd19cddc7609b65866dd9fb17ad81b2a5f6a804b973ae2bc6c3d444 SHA1: 5aca0f48ad0d10c2708ee23cfaf62cad02db4653 MD5sum: 4d07ac385a83c0ad6d8bad5a4eae4f4d Description: C++ class library for writing CGI applications (documentation) An ANSI C++ compliant class library that greatly simplifies the creation of CGI applications for the World Wide Web. libcgicc performs the following functions: . * Parses both GET and POST form data transparently. * Provides string, integer, floating-point and single- and multiple-choice retrieval methods for form data. * Provides methods for saving and restoring CGI environments to aid in application debugging. * Provides full on-the-fly HTML generation capabilities, with support for cookies. * Supports HTTP file upload. * Compatible with FastCGI. . This package contains documentation on the usage of the library. Homepage: http://www.gnu.org/software/cgicc/ Tag: devel::doc, devel::lang:c++, devel::library, made-of::html, role::documentation, suite::gnu, web::cgi, works-with-format::html, works-with::text Section: doc Priority: optional Filename: pool/main/libc/libcgicc/libcgicc-doc_3.2.9-3_all.deb Package: libcgicc5 Source: libcgicc Version: 3.2.9-3 Architecture: armhf Maintainer: Chris Butler Installed-Size: 165 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: libcgicc1 Replaces: libcgicc1 Homepage: http://www.gnu.org/software/cgicc/ Priority: optional Section: libs Filename: pool/main/libc/libcgicc/libcgicc5_3.2.9-3_armhf.deb Size: 63282 SHA256: 55467049a849069b68f2911e5643a6bd26f897b1c9f3c11165c62511e18479fd SHA1: c2e5167a770c5ff4a3b8791683aef7d7f2521bfb MD5sum: 46aaad6b602c4f17a83d4b1a5df1ae16 Description: C++ class library for writing CGI applications (library) An ANSI C++ compliant class library that greatly simplifies the creation of CGI applications for the World Wide Web. libcgicc performs the following functions: . * Parses both GET and POST form data transparently. * Provides string, integer, floating-point and single- and multiple-choice retrieval methods for form data. * Provides methods for saving and restoring CGI environments to aid in application debugging. * Provides full on-the-fly HTML generation capabilities, with support for cookies. * Supports HTTP file upload. * Compatible with FastCGI. . This package contains runtime library files for running applications that use libcgicc. Package: libcgicc5-dev Source: libcgicc Version: 3.2.9-3 Architecture: armhf Maintainer: Chris Butler Installed-Size: 518 Depends: libcgicc5 (= 3.2.9-3) Suggests: libcgicc-doc Conflicts: libcgicc-dev, libcgicc1-dev Replaces: libcgicc1-dev Provides: libcgicc-dev Homepage: http://www.gnu.org/software/cgicc/ Priority: optional Section: libdevel Filename: pool/main/libc/libcgicc/libcgicc5-dev_3.2.9-3_armhf.deb Size: 115178 SHA256: 71ca4e4d1c632558c85b0d8c7be39ad3e4b3c22b28f4c45bf325f8cca4022d2d SHA1: 3bac2d3e0a3b12cf0adde8f9f74519b903b9b268 MD5sum: eb90538b5384aa6c2afdcbebddcceb26 Description: C++ class library for writing CGI applications (development files) An ANSI C++ compliant class library that greatly simplifies the creation of CGI applications for the World Wide Web. libcgicc performs the following functions: . * Parses both GET and POST form data transparently. * Provides string, integer, floating-point and single- and multiple-choice retrieval methods for form data. * Provides methods for saving and restoring CGI environments to aid in application debugging. * Provides full on-the-fly HTML generation capabilities, with support for cookies. * Supports HTTP file upload. * Compatible with FastCGI. . This package contains development files needed for writing and compiling applications that use libcgicc. Package: libcglib-java Source: cglib Version: 2.2.2+dfsg-5 Installed-Size: 830 Maintainer: Debian Java Maintainers Architecture: all Replaces: libcglib2.1-java Provides: libcglib2.1-java Depends: libasm3-java Conflicts: libcglib2.1-java Size: 710062 SHA256: 21bb3e19c868161d60a1482ee9f7c5b682316cb5572726359231f92b53072c7a SHA1: 4f6fc875d256c1c1ac3ad9b88780f974b50ddc4e MD5sum: 67d331067bbe5f8fdcf52ce310a553b1 Description: code generation library for Java cglib is a powerful, high performance and quality code generation library. It is used to extend Java classes and implement interfaces at run-time. Homepage: http://cglib.sourceforge.net Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/c/cglib/libcglib-java_2.2.2+dfsg-5_all.deb Package: libcglib-java-doc Source: cglib Version: 2.2.2+dfsg-5 Installed-Size: 2292 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcglib-java Size: 143120 SHA256: d38665f87ac3cf8d6c797d74a07d63f703f1fb7feb80e0360068a27ff1129aab SHA1: 11a7c3660cd1e82c412c104f8d02731cbd295008 MD5sum: b77b4f331d836606b7c2b0196765c7dd Description: code generation library for Java - documentation cglib is a powerful, high performance and quality code generation library. It is used to extend Java classes and implement interfaces at run-time. . This package includes the documentation. Homepage: http://cglib.sourceforge.net Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/cglib/libcglib-java-doc_2.2.2+dfsg-5_all.deb Package: libcgns-dev Source: libcgns Version: 3.1.3.4-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 158 Depends: libcgns3.1 (= 3.1.3.4-1) Homepage: http://cgns.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/libc/libcgns/libcgns-dev_3.1.3.4-1_armhf.deb Size: 22874 SHA256: f88682b22ec387f05b5d67b0563ffbd1f301ef87832628368ec4dbc765c2f2b7 SHA1: 130b7f271574f53339a8a4ea078e24d95061c190 MD5sum: f42f41976e0a7b33046d733f2febeb18 Description: CFD General Notation System library The CFD General Notation System (CGNS) provides a general, portable, and extensible standard for the storage and retrieval of computational fluid dynamics (CFD) analysis data. It consists of a collection of conventions, and free and open software implementing those conventions. It is self-descriptive, machine-independent, well-documented, and administered by an international steering committee. It is also an American Institute of Aeronautics and Astronautics (AIAA) Recommended Practice. . This package contains the development files needed to compile software to use the libcgns API. Package: libcgns3.1 Source: libcgns Version: 3.1.3.4-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 571 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://cgns.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libc/libcgns/libcgns3.1_3.1.3.4-1_armhf.deb Size: 237078 SHA256: c83e8f59450f6ce7fcca0a9f7b1b5ddd7ea5d01b363ed52789fc7f1b4be23bfb SHA1: 5e98454b61f10dfc70ae1fbf7b16d2f5fbccb5a2 MD5sum: 375626f06140dc09d41beaaacccf1ef3 Description: CFD General Notation System library The CFD General Notation System (CGNS) provides a general, portable, and extensible standard for the storage and retrieval of computational fluid dynamics (CFD) analysis data. It consists of a collection of conventions, and free and open software implementing those conventions. It is self-descriptive, machine-independent, well-documented, and administered by an international steering committee. It is also an American Institute of Aeronautics and Astronautics (AIAA) Recommended Practice. Package: libcgns3.1-dbg Source: libcgns Version: 3.1.3.4-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1198 Depends: libcgns3.1 (= 3.1.3.4-1) Homepage: http://cgns.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/libc/libcgns/libcgns3.1-dbg_3.1.3.4-1_armhf.deb Size: 461756 SHA256: d7d42405658e4e10f61ac479423c628c6bf9fece91cd4012db37e07f770059a1 SHA1: b475c7c19f08e4fda123031b55b70daf0fec51ff MD5sum: a103416af834c7a462bedc51f648375f Description: CFD General Notation System library The CFD General Notation System (CGNS) provides a general, portable, and extensible standard for the storage and retrieval of computational fluid dynamics (CFD) analysis data. It consists of a collection of conventions, and free and open software implementing those conventions. It is self-descriptive, machine-independent, well-documented, and administered by an international steering committee. It is also an American Institute of Aeronautics and Astronautics (AIAA) Recommended Practice. . This package contains the debugging symbols of the library. Package: libcgraph5 Source: graphviz Version: 2.26.3-14+deb7u2 Architecture: armhf Maintainer: David Claughton Installed-Size: 158 Depends: libc6 (>= 2.13-28), libcdt4, libltdl7 (>= 2.4.2) Conflicts: libgraphviz4 Homepage: http://www.graphviz.org/ Priority: optional Section: libs Filename: pool/main/g/graphviz/libcgraph5_2.26.3-14+deb7u2_armhf.deb Size: 81512 SHA256: 84c592ba26cc6d351a7102a6cc70b4a0534f1de40633bae61012fb50e856e307 SHA1: 2fe2d676367e56c7c275dbf3c211184a1a5fb417 MD5sum: 44ff67a1a6fd902bdc92eb78a2f8e9a2 Description: rich set of graph drawing tools - cgraph library Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package contains the cgraph library. Package: libcgroup-dev Source: libcgroup Version: 0.38-1 Architecture: armhf Maintainer: Jon Bernard Installed-Size: 100 Depends: libcgroup1 (= 0.38-1) Homepage: http://sourceforge.net/projects/libcg/ Priority: extra Section: libdevel Filename: pool/main/libc/libcgroup/libcgroup-dev_0.38-1_armhf.deb Size: 19222 SHA256: 84e892e50e05e794f512d138eb21f9238ce50ac38403b276a98e4b0d4b1baa96 SHA1: 9cf42ebcbc4ad971ed02e8dbe0d610f5dab2612e MD5sum: bd08619a003cc81668847afa05b93c45 Description: Development libraries to develop applications that utilize control groups Control Groups provide a mechanism for aggregating/partitioning sets of tasks, and all their future children, into hierarchical groups with specialized behaviour. . It provides API to create/delete and modify cgroup nodes. It will also in the future allow creation of persistent configuration for control groups and provide scripts to manage that configuration. Package: libcgroup1 Source: libcgroup Version: 0.38-1 Architecture: armhf Maintainer: Jon Bernard Installed-Size: 106 Depends: libc6 (>= 2.13-28) Homepage: http://sourceforge.net/projects/libcg/ Priority: extra Section: libs Filename: pool/main/libc/libcgroup/libcgroup1_0.38-1_armhf.deb Size: 41038 SHA256: 1213d90864b56e38f80a398b440138a62f98b20f3b3d688634ed0ba004a7878f SHA1: 95dd64f1ed3bc5957a86c2d578052b7ef0cb4454 MD5sum: 2cff6feaf2f72577134a7ccff6ece6b1 Description: Library to control and monitor control groups Control Groups provide a mechanism for aggregating/partitioning sets of tasks, and all their future children, into hierarchical groups with specialized behaviour. . This library allows applications to manipulate, control, administrate and monitor control groups and the associated controllers. Package: libcgsi-gsoap-dev Source: cgsi-gsoap Version: 1.3.5-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 291 Depends: libcgsi-gsoap1 (= 1.3.5-1), gsoap Conflicts: cgsi-gsoap-dev (<< 1.3.3.1-1) Replaces: cgsi-gsoap-dev (<< 1.3.3.1-1) Provides: cgsi-gsoap-dev Priority: optional Section: libdevel Filename: pool/main/c/cgsi-gsoap/libcgsi-gsoap-dev_1.3.5-1_armhf.deb Size: 77486 SHA256: e5c85ae8c6d6cc8db9eeb4a88cf6cbbc4e5f95c50762d355fce97086806fcaea SHA1: 9b6e361624fa4c6464d29be9f1158020b8224459 MD5sum: 0e24ff05bb0616f3107b27ddcd6958fb Description: GSI plugin for gSOAP - development files This package provides the header files for programming with the cgsi-gsoap plugins. Package: libcgsi-gsoap1 Source: cgsi-gsoap Version: 1.3.5-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 164 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglobus-common0 (>= 14), libglobus-gsi-cert-utils0 (>= 8), libglobus-gsi-credential1 (>= 5), libglobus-gss-assist3 (>= 8), libglobus-gssapi-gsi4 (>= 10), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libvomsapi1, libgsoap2 Conflicts: cgsi-gsoap1 (<< 1.3.3.1-1) Replaces: cgsi-gsoap1 (<< 1.3.3.1-1) Provides: cgsi-gsoap1 Priority: optional Section: libs Filename: pool/main/c/cgsi-gsoap/libcgsi-gsoap1_1.3.5-1_armhf.deb Size: 36402 SHA256: 824943825a964061c9b561593479c54aee0cc84874ebc3f859a67129f286ef62 SHA1: 29448d2655dbf06ff6539b7945f0f8fc73f45c64 MD5sum: 6ab552e430ff410b78d1918c052a0e07 Description: GSI plugin for gSOAP This is a GSI plugin for gSOAP. It uses the globus GSI libraries to implement GSI secure authentication and encryption on top of gSOAP. Package: libchado-perl Version: 1.22-4 Installed-Size: 39964 Maintainer: Debian Med Packaging Team Architecture: all Depends: perl, postgresql-client, libbio-chado-schema-perl, libclass-dbi-pg-perl, libxml-libxslt-perl, liblog-log4perl-perl, bioperl, libgo-perl, libdbd-pg-perl, libdbi-perl, libgd-gd2-perl, libdigest-md5-file-perl, libgraph-perl, libdata-stag-perl, libxml-perl, libmodule-build-perl, libclass-dbi-perl, libclass-dbi-pager-perl, libxml-simple-perl, libwww-perl, libtemplate-perl, libcgi-session-perl, libsql-translator-perl, libdbix-dbstag-perl, make Recommends: xsltproc, chado-utils Size: 4772496 SHA256: cb850cf6e2a6af5a706c0fcee3bdca60c726f3d59e0615bf596fdd4a27d1102e SHA1: bbb4933d1042477b21cc7a52c700361f67c2a166 MD5sum: deaeb460f2b53e7548227e11c7736a53 Description: database schema and tools for genomic data Chado is a relational database schema that underlies many GMOD installations. It is capable of representing many of the general classes of data frequently encountered in modern biology such as sequence, sequence comparisons, phenotypes, genotypes, ontologies, publications, and phylogeny. It has been designed to handle complex representations of biological knowledge and should be considered one of the most sophisticated relational schemas currently available in molecular biology. The price of this capability is that the new user must spend some time becoming familiar with its fundamentals. Homepage: http://gmod.org/wiki/Chado Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libchado-perl/libchado-perl_1.22-4_all.deb Package: libchamplain-0.12-0 Source: libchamplain Version: 0.12.3-1 Architecture: armhf Maintainer: Sjoerd Simons Installed-Size: 396 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.4), libclutter-1.0-0 (>= 1.10.0), libcogl9 (>= 1.7.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libsoup-gnome2.4-1 (>= 2.27.4), libsoup2.4-1 (>= 2.4.0), libsqlite3-0 (>= 3.5.9) Multi-Arch: same Homepage: http://projects.gnome.org/libchamplain/ Priority: optional Section: libs Filename: pool/main/libc/libchamplain/libchamplain-0.12-0_0.12.3-1_armhf.deb Size: 217520 SHA256: a51b02877b8b67188cab038df051df5eec5ae8bac8aff3fbeb70b2fb4b274a23 SHA1: adc190ae85422c35e75319dcf8729099f7313d0f MD5sum: e710d827804189ff8ee39a306d1cd7a2 Description: C library providing ClutterActor to display maps Libchamplain is a C library providing a ClutterActor to display maps. . It supports numerous free map sources such as OpenStreetMap (default), OpenArialMap and Maps for free. Package: libchamplain-0.12-dbg Source: libchamplain Version: 0.12.3-1 Architecture: armhf Maintainer: Sjoerd Simons Installed-Size: 830 Depends: libchamplain-0.12-0 (= 0.12.3-1) Multi-Arch: same Homepage: http://projects.gnome.org/libchamplain/ Priority: extra Section: debug Filename: pool/main/libc/libchamplain/libchamplain-0.12-dbg_0.12.3-1_armhf.deb Size: 379370 SHA256: 3f8d97f0acbdb5a6e4b86fad828aaff8e45af0cded9fe6a7680a774807557631 SHA1: 1c239b25411d0e0d3d334c78613b45e7d65e0f93 MD5sum: c63728d30c15ee5e9bfddee8abd36347 Description: C library providing ClutterActor to display maps (debug symbols) Libchamplain is a C library providing a ClutterActor to display maps. . It supports numerous free map sources such as OpenStreetMap (default), OpenArialMap and Maps for free. . This package contains debugging symbols for libchamplain Package: libchamplain-0.12-dev Source: libchamplain Version: 0.12.3-1 Architecture: armhf Maintainer: Sjoerd Simons Installed-Size: 984 Depends: libchamplain-0.12-0 (= 0.12.3-1), gir1.2-champlain-0.12 (= 0.12.3-1), libglib2.0-dev, libclutter-1.0-dev, libsqlite3-dev, libcairo2-dev (>= 1.4), libsoup-gnome2.4-dev Suggests: libchamplain-doc Homepage: http://projects.gnome.org/libchamplain/ Priority: optional Section: libdevel Filename: pool/main/libc/libchamplain/libchamplain-0.12-dev_0.12.3-1_armhf.deb Size: 284600 SHA256: cecf8a7db8b97dd657ba2f7d7fb71cde62b5edc5fa4b7f569046da1ca87c059d SHA1: 9272a5240c1d6bbf8ccb10d22bf41b6265cac6a4 MD5sum: 887ce22ca8b533887265775ca29e1fb9 Description: C library providing ClutterActor to display maps (development files) Libchamplain is a C library providing a ClutterActor to display maps. . It supports numerous free map sources such as OpenStreetMap (default), OpenArialMap and Maps for free. . This package contains the header files required if you wish to develop software that uses libchamplain. Package: libchamplain-doc Source: libchamplain Version: 0.12.3-1 Installed-Size: 1155 Maintainer: Sjoerd Simons Architecture: all Recommends: libclutter-1.0-doc Size: 228862 SHA256: a2d56d8795f8ef650615e4a628856ed514b915fd5f0dd4c6add5e58e9b822397 SHA1: ea196838c973063f1a8d348a5f6f902e5aba1c69 MD5sum: 454f12912ba8f7313563ec68d5c73031 Description: C library providing ClutterActor to display maps (documentation) Libchamplain is a C library providing a ClutterActor to display maps. . It supports numerous free map sources such as OpenStreetMap (default), OpenArialMap and Maps for free. . This package contains the documentary for this package. Homepage: http://projects.gnome.org/libchamplain/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libchamplain/libchamplain-doc_0.12.3-1_all.deb Package: libchamplain-gtk-0.12-0 Source: libchamplain Version: 0.12.3-1 Architecture: armhf Maintainer: Sjoerd Simons Installed-Size: 171 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libchamplain-0.12-0 (>= 0.11.0), libclutter-1.0-0 (>= 1.10.0), libclutter-gtk-1.0-0 (>= 0.91.8), libglib2.0-0 (>= 2.16), libgtk-3-0 (>= 3.0.0) Multi-Arch: same Homepage: http://projects.gnome.org/libchamplain/ Priority: optional Section: libs Filename: pool/main/libc/libchamplain/libchamplain-gtk-0.12-0_0.12.3-1_armhf.deb Size: 135820 SHA256: 9e80f71972dbb4d6ba246ed8a837addb4a1db23f157d2c9de5afa2a4a0432e57 SHA1: 03384e432b715c0d47e6ac84fb28bd20260f1d68 MD5sum: 6f655a0aa53b8e993d4af14b68951130 Description: Gtk+ widget to display maps Libchamplain-gtk is a C library aimed to provide a Gtk+ widget to display rasterized maps and markers. . It supports numerous free map sources such as OpenStreetMap (default), OpenArialMap and Maps for free. Package: libchamplain-gtk-0.12-dbg Source: libchamplain Version: 0.12.3-1 Architecture: armhf Maintainer: Sjoerd Simons Installed-Size: 213 Depends: libchamplain-gtk-0.12-0 (= 0.12.3-1) Recommends: libchamplain-0.12-dbg Multi-Arch: same Homepage: http://projects.gnome.org/libchamplain/ Priority: extra Section: debug Filename: pool/main/libc/libchamplain/libchamplain-gtk-0.12-dbg_0.12.3-1_armhf.deb Size: 150846 SHA256: 44b0509d578faeaf30afabbf86d769ebac2d82078e719878094757bb8a07d8ba SHA1: f6d8f6f9066ba760dc064438bd64ae50f1963a0a MD5sum: e49342518c98cc4cbebc9cec96fcf9c2 Description: Gtk+ widget to display maps (debug symbols) Libchamplain-gtk is a C library aimed to provide a Gtk+ widget to display rasterized maps and markers. . It supports numerous free map sources such as OpenStreetMap (default), OpenArialMap and Maps for free. . This package contains debugging symbols for libchamplain-gtk. Package: libchamplain-gtk-0.12-dev Source: libchamplain Version: 0.12.3-1 Architecture: armhf Maintainer: Sjoerd Simons Installed-Size: 207 Depends: libchamplain-gtk-0.12-0 (= 0.12.3-1), gir1.2-gtkchamplain-0.12 (= 0.12.3-1), libgtk-3-dev, libglib2.0-dev, libclutter-1.0-dev, libchamplain-0.12-dev (= 0.12.3-1), libclutter-gtk-1.0-dev Homepage: http://projects.gnome.org/libchamplain/ Priority: optional Section: libdevel Filename: pool/main/libc/libchamplain/libchamplain-gtk-0.12-dev_0.12.3-1_armhf.deb Size: 138114 SHA256: 7b7aa7fa2e910a75e8b5a2a048254879d2e754294f3449c08370d41404df749f SHA1: 720a89e07223ce68ae1151ae2f57a06b83befdbd MD5sum: 611408085ea3a83ab827244b3e9234d7 Description: Gtk+ widget to display maps (development files) Libchamplain-gtk is a C library aimed to provide a Gtk+ widget to display rasterized maps and markers. . It supports numerous free map sources such as OpenStreetMap (default), OpenArialMap and Maps for free. . This package contains development files for libchamplain-gtk. Package: libchamplain-gtk-doc Source: libchamplain Version: 0.12.3-1 Installed-Size: 194 Maintainer: Sjoerd Simons Architecture: all Recommends: libclutter-gtk-1.0-doc, libchamplain-doc (= 0.12.3-1) Size: 139178 SHA256: d1759cb13c51fb79d343362ff0ececca5a1c71fc6752a319009e8f1468427061 SHA1: 9b83ef1f3ce30ac1a33148f75571ae36e4780ce9 MD5sum: 92eefafafa018c072d23c70b39b1e845 Description: Gtk+ widget to display maps (documentation) Libchamplain-gtk is a C library aimed to provide a Gtk+ widget to display rasterized maps and markers. . It supports numerous free map sources such as OpenStreetMap (default), OpenArialMap and Maps for free. . This package contains documentation for libchamplain-gtk. Homepage: http://projects.gnome.org/libchamplain/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libchamplain/libchamplain-gtk-doc_0.12.3-1_all.deb Package: libcharls-dev Source: charls Version: 1.0-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 104 Depends: libcharls1 (= 1.0-2) Homepage: http://charls.codeplex.com Priority: optional Section: libdevel Filename: pool/main/c/charls/libcharls-dev_1.0-2_armhf.deb Size: 19940 SHA256: 1fa12d67533da5828c70839a7e7fa5fab852b03fd719110f58b44737aecfca82 SHA1: 040666bb60b9061108f3072f90b9c80a1fd4ff65 MD5sum: 851267fa87f095b27fbabc52c64d5a37 Description: Implementation of the JPEG-LS standard CharLS is an optimized implementation of the JPEG-LS standard for lossless and near-lossless image compression . JPEG-LS (ISO-14495-1/ITU-T.87) is a standard derived from the Hewlett Packard LOCO algorithm. JPEG LS has low complexity (meaning fast compression) and high compression ratios, similar to JPEG 2000. JPEG-LS is more similar to the old Lossless JPEG than to JPEG 2000, but interestingly the two different techniques result in vastly different performance characteristics. Package: libcharls1 Source: charls Version: 1.0-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 254 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://charls.codeplex.com Priority: optional Section: libs Filename: pool/main/c/charls/libcharls1_1.0-2_armhf.deb Size: 70154 SHA256: ecfb4faafa78acc7db01693e359e57bfc53a88a340753bfb586b37eab228b45b SHA1: 50a8ca5b56efb1cd3faee2e8c655b0c099d389c1 MD5sum: 2ad34dff5e63fb6349c9a2cfe9d5c9df Description: Implementation of the JPEG-LS standard CharLS is an optimized implementation of the JPEG-LS standard for lossless and near-lossless image compression . JPEG-LS (ISO-14495-1/ITU-T.87) is a standard derived from the Hewlett Packard LOCO algorithm. JPEG LS has low complexity (meaning fast compression) and high compression ratios, similar to JPEG 2000. JPEG-LS is more similar to the old Lossless JPEG than to JPEG 2000, but interestingly the two different techniques result in vastly different performance characteristics. Package: libchart-clicker-perl Version: 2.83-1 Installed-Size: 366 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcolor-scheme-perl, libdatetime-perl, libdatetime-set-perl, libgeometry-primitive-perl, libgraphics-color-perl, libgraphics-primitive-driver-cairo-perl, libgraphics-primitive-perl, liblayout-manager-perl, libmath-gradient-perl, libmoose-perl Size: 139084 SHA256: 2c9024b17fb23b978a199a172a5c20ce920fcfc50bd30e1693855e5d4450dca6 SHA1: 05f0493137861597a6fbdf72e26faeb6165c1014 MD5sum: 6763640efda59639847f6c075a0bd79b Description: module for creating attractive charts and graphs Chart::Clicker is a Perl module that aims to create beautiful graphs in a powerful and extensible way. A variety of charts can be created, including line, bar and area charts (as well as their stacked equivalents), scatter, pie, bubble, candlestick and polar area. Charts can be saved in a variety of formats, including PNG, SVG, PDF and PostScript. Homepage: http://search.cpan.org/dist/Chart-Clicker/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libchart-clicker-perl/libchart-clicker-perl_2.83-1_all.deb Package: libchart-gnuplot-perl Version: 0.17-1 Installed-Size: 624 Maintainer: Debian Perl Group Architecture: all Depends: perl, gnuplot-nox | gnuplot-x11 Recommends: imagemagick Size: 53432 SHA256: 6c1117270a79bf2e79b44f4fc8886c46f4c038415b9d3ba35ab04cd467c2bfaa SHA1: 09183291fa2f686bca78014092c472d6694f471c MD5sum: f76d1b85c884542cc9a954c8904e8a05 Description: module for generating two- and three-dimensional plots Chart::Gnuplot is a module to plot graphs using GNUPLOT. If an image format other than PS, PDF and EPS is required to generate, ImageMagick's convert program is needed. Homepage: http://search.cpan.org/dist/Chart-Gnuplot/ Tag: devel::lang:perl, devel::library, implemented-in::perl, interface::text-mode, role::devel-lib, role::source, use::viewing, works-with::image, works-with::image:vector Section: perl Priority: optional Filename: pool/main/libc/libchart-gnuplot-perl/libchart-gnuplot-perl_0.17-1_all.deb Package: libchart-perl Version: 2.4.5-1 Installed-Size: 1986 Maintainer: Debian Perl Group Architecture: all Depends: perl, libgd-gd2-perl | libgd-gd2-noxpm-perl Size: 1036348 SHA256: b5a603d939895fa690d226462afa9a3021fe4deb7ae4cda5d23029a5c0c97cb3 SHA1: 931f73c7158a6d149cc07a7182b6adf4c192a4d1 MD5sum: ad20f3409d8d37e70d6fc77d71ef4494 Description: Chart library for Perl This package is a collection of chart creation modules for Perl based on the GD graphics library. It provides the ability to create the following chart types: . * Bar * Line * Mountain * Pareto * Pie * Point Homepage: http://search.cpan.org/dist/Chart/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libchart-perl/libchart-perl_2.4.5-1_all.deb Package: libchart-strip-perl Version: 1.08-1 Installed-Size: 83 Maintainer: Dominic Hargreaves Architecture: all Depends: perl, libgd-gd2-perl Size: 18070 SHA256: b698ce58e669a74a28328a706bca38d66d879301786d29b649f2c74fbfc74b0c SHA1: cecc3f239b29f60fdfe56606f7d9ac16cb789607 MD5sum: ebdbe19e7eed971d3c8ed74025352f73 Description: Draw strip chart type graphs The Chart::Strip package plots data values versus time graphs, such as used for seismographs, EKGs, or network usage reports. . It can plot multiple data sets on one graph. It offers several styles of plots. It automatically determines the proper ranges and labels for both axes. Homepage: http://search.cpan.org/dist/Chart-Strip/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libc/libchart-strip-perl/libchart-strip-perl_1.08-1_all.deb Package: libcharva1-java Source: charva Version: 1.1.4-5 Installed-Size: 4708 Maintainer: Debian QA Group Architecture: all Depends: libcharva1-jni, libcommons-logging-java Size: 740380 SHA256: 9e12f7b3ceb62fb4391ec4593aa6f56271371795ba83787477f0bd455e829c13 SHA1: c9cae7a1e0bb770b9de833f50edd873e0080775a MD5sum: 44374b3eebb6af4c2fa7663378aa64aa Description: java windowing toolkit for text terminals Java framework for presenting a "graphical" user interface, composed of elements such as windows, dialogs, menus, textfields and buttons, on a traditional character-cell ASCII terminal. It has an API based on that of "Swing" (a.k.a. the Java Foundation Classes). Programmers familiar with AWT and Swing will find programming CHARVA straightforward. User interfaces can be designed on WYSIWYG IDEs such as Borland JBuilder and then easily converted to CHARVA merely by changing the "import" statements to import the "charva.awt" and "charvax.swing" packages instead of the standard "java.awt" and "javax.swing" packages. . Screenshots: http://www.pitman.co.za/projects/charva/Screenshots.html Homepage: http://www.pitman.co.za/projects/charva/ Tag: devel::lang:java, devel::library, devel::ui-builder, implemented-in::java Section: java Priority: optional Filename: pool/main/c/charva/libcharva1-java_1.1.4-5_all.deb Package: libcharva1-jni Source: charva Version: 1.1.4-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 51 Depends: libc6 (>= 2.13-28), libncursesw5 (>= 5.6+20070908), libtinfo5 Homepage: http://www.pitman.co.za/projects/charva/ Priority: optional Section: java Filename: pool/main/c/charva/libcharva1-jni_1.1.4-5_armhf.deb Size: 9788 SHA256: bed002d4b340f10334272ea1185ffc2a1c9e3cec1b184163dbb45236d4b342b2 SHA1: 527ac81d7a84f348b810dda531b00bc4717b2883 MD5sum: b2a03c14a5662d6caac59ec96ef04c9e Description: java windowing toolkit for text terminals - jni library Native jni library for the charva text terminal toolkit. Package: libchasen-dev Source: chasen Version: 2.4.5-6 Architecture: armhf Maintainer: NOKUBI Takatsugu Installed-Size: 158 Depends: libchasen2 (= 2.4.5-6), libc6-dev Conflicts: chasen-dev Replaces: chasen-dev Multi-Arch: same Homepage: http://chasen-legacy.sourceforge.jp/ Priority: optional Section: libdevel Filename: pool/main/c/chasen/libchasen-dev_2.4.5-6_armhf.deb Size: 56506 SHA256: 4ed6b5d13260fee31dbf3a52f893f3dea022ba5a0dee56266a57ca6796335e95 SHA1: 64c237c0fb46dfc6f9ba923114543a216de88dc3 MD5sum: b63fd78e7b9100c83004ef82e48d9ce3 Description: Japanese Morphological Analysis System (libraries and headers) ChaSen is a morphological analysis system. It can segment and tokenize Japanese text string, and can output with many additional information (pronunciation, semantic information, and others). . You can use ChaSen library to put ChaSen's module into other programs. Package: libchasen2 Source: chasen Version: 2.4.5-6 Architecture: armhf Maintainer: NOKUBI Takatsugu Installed-Size: 127 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Recommends: naist-jdic-utf8 | naist-jdic | ipadic Conflicts: libchasen0, libchasen0c2 Replaces: libchasen0, libchasen0c2 Multi-Arch: same Homepage: http://chasen-legacy.sourceforge.jp/ Priority: optional Section: libs Filename: pool/main/c/chasen/libchasen2_2.4.5-6_armhf.deb Size: 52696 SHA256: adbfbcd38d98ec5ef7f47414924e0dc7d0fab0df92294b51a831df88981fb3d5 SHA1: 2cf70972d2026e4aea3a2ee02d1727e442005362 MD5sum: 3b183c0cddae77f5a3ad99de705e1c46 Description: Japanese Morphological Analysis System (shared libraries) ChaSen is a morphological analysis system. It can segment and tokenize Japanese text string, and can output with many additional information (pronunciation, semantic information, and others). . This package contains shared libraries for ChaSen. Package: libcheck-isa-perl Version: 0.04-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libsub-exporter-perl Size: 7714 SHA256: a9ce3c1bd73eebb61cbcb421a488c825df49d18661652cb9c6af69d0ad2daac7 SHA1: 8606f4a241ad3421d009a3424e45d8120ff9560b MD5sum: cc68a9d494bc8ba26cb70d65890588c4 Description: Perl module for correct checking of an object's class The Check::ISA module provides several functions to assist in testing whether a value is an object, and if so asking about its class. It allows an object to be examined using various methods provided by Perl's UNIVERSAL class. Homepage: http://search.cpan.org/dist/Check-ISA/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcheck-isa-perl/libcheck-isa-perl_0.04-1_all.deb Package: libcheese-dev Source: cheese Version: 3.4.2-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 224 Depends: libcheese3 (= 3.4.2-2), gir1.2-cheese-3.0 (= 3.4.2-2), libglib2.0-dev (>= 2.28.0), libclutter-1.0-dev (>= 1.6.1), libgstreamer0.10-dev (>= 0.10.32), libgstreamer-plugins-base0.10-dev (>= 0.10.32), libclutter-gst-dev (>= 1.0.0), libgdk-pixbuf2.0-dev Suggests: libcheese-doc Breaks: libcheese-gtk-dev (<< 3.0.1-1) Replaces: libcheese-gtk-dev (<< 3.0.1-1) Homepage: http://projects.gnome.org/cheese/ Priority: optional Section: libdevel Filename: pool/main/c/cheese/libcheese-dev_3.4.2-2_armhf.deb Size: 163478 SHA256: 3c10502b194fcdd83417564c5321d48d91d1a6d8bb82f8a99a34116c87ab3b05 SHA1: 90e69ce1d555effe32be5000d131b6d62c07829d MD5sum: 7c81c93c42d7bd48a8c97fc3beba4c03 Description: tool to take pictures and videos from your webcam - base dev A webcam application that supports image and video capture. Makes it easy to take photos and videos of you, your friends, pets or whatever you want. Allows you to apply fancy visual effects, fine-control image settings and has features such as Multi-Burst mode, Countdown timer for photos. . This package contains the base development headers. Package: libcheese-doc Source: cheese Version: 3.4.2-2 Installed-Size: 591 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libcheese-gtk-dev (<< 3.2.2-2) Suggests: devhelp Breaks: libcheese-gtk-dev (<< 3.2.2-2) Size: 312972 SHA256: 18204ef2cefc931d3f6049b0f6a3ecace17fce67f722dfaa8ccab6bd189e9cfa SHA1: 3f6424d89d4fc82f0d87c3d3bc249b815c1b91dd MD5sum: 0876dd7e8ec0dd2f07c70892e1a59e45 Description: tool to take pictures and videos from your webcam - documentation A webcam application that supports image and video capture. Makes it easy to take photos and videos of you, your friends, pets or whatever you want. Allows you to apply fancy visual effects, fine-control image settings and has features such as Multi-Burst mode, Countdown timer for photos. . This package contains the API documentation. Homepage: http://projects.gnome.org/cheese/ Section: doc Priority: optional Filename: pool/main/c/cheese/libcheese-doc_3.4.2-2_all.deb Package: libcheese-gtk-dev Source: cheese Version: 3.4.2-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 209 Depends: libcheese-gtk21 (= 3.4.2-2), libcheese-dev (= 3.4.2-2), libglib2.0-dev (>= 2.28.0), libgtk-3-dev (>= 2.99.4), libgstreamer0.10-dev (>= 0.10.32), libgstreamer-plugins-base0.10-dev (>= 0.10.32), libclutter-gtk-1.0-dev (>= 0.91.8), libcanberra-gtk3-dev (>= 0.26) Suggests: libcheese-doc Homepage: http://projects.gnome.org/cheese/ Priority: optional Section: libdevel Filename: pool/main/c/cheese/libcheese-gtk-dev_3.4.2-2_armhf.deb Size: 161614 SHA256: 55c3ef12890a0280ebb7b7a12603c4661738332f5c506d0303ba7e43f71c30eb SHA1: 5bf13a85ab389767edf767e2710adcdb13e4f7c4 MD5sum: d9d16c08fa9676eec9a4705eddde188a Description: tool to take pictures and videos from your webcam - widgets dev A webcam application that supports image and video capture. Makes it easy to take photos and videos of you, your friends, pets or whatever you want. Allows you to apply fancy visual effects, fine-control image settings and has features such as Multi-Burst mode, Countdown timer for photos. . This package contains the GTK+ development headers. Package: libcheese-gtk21 Source: cheese Version: 3.4.2-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 233 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libcanberra-gtk3-0 (>= 0.25), libcheese3 (>= 3.4.0), libclutter-1.0-0 (>= 1.10.0), libclutter-gtk-1.0-0 (>= 0.91.8), libcogl9 (>= 1.7.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.0.0), libmx-1.0-2 (>= 1.2.0), libx11-6, cheese-common (= 3.4.2-2) Multi-Arch: same Homepage: http://projects.gnome.org/cheese/ Priority: optional Section: libs Filename: pool/main/c/cheese/libcheese-gtk21_3.4.2-2_armhf.deb Size: 173474 SHA256: 493444d685c321f14d322daa2a6567eff9ed598dc28683d67a807c860735038d SHA1: 8463df915fad96c0ae2637b626db943e1debe1df MD5sum: 6dae73880b95e39d010a048a291d4df2 Description: tool to take pictures and videos from your webcam - widgets A webcam application that supports image and video capture. Makes it easy to take photos and videos of you, your friends, pets or whatever you want. Allows you to apply fancy visual effects, fine-control image settings and has features such as Multi-Burst mode, Countdown timer for photos. . This package contains the GTK+ shared library. Package: libcheese3 Source: cheese Version: 3.4.2-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 247 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libclutter-gst-1.0-0 (>= 0.10.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgstreamer0.10-0 (>= 0.10.32), libgudev-1.0-0 (>= 146), cheese-common (= 3.4.2-2), gstreamer0.10-plugins-base (>= 0.10.23), gstreamer0.10-plugins-good (>= 0.10.23), gstreamer0.10-plugins-bad, gstreamer0.10-x Multi-Arch: same Homepage: http://projects.gnome.org/cheese/ Priority: optional Section: libs Filename: pool/main/c/cheese/libcheese3_3.4.2-2_armhf.deb Size: 178128 SHA256: 7170a3b943e96797ee7ebb59fd401aa8822cb4ccb89626fa2c3adaa105d716e4 SHA1: fbeae6d49df14a40f4fac409665dcd15f882f509 MD5sum: 99d272466223e5616092b2efff35638d Description: tool to take pictures and videos from your webcam - base library A webcam application that supports image and video capture. Makes it easy to take photos and videos of you, your friends, pets or whatever you want. Allows you to apply fancy visual effects, fine-control image settings and has features such as Multi-Burst mode, Countdown timer for photos. . This package contains the base shared library. Package: libchef-ruby Source: chef Version: 10.12.0-3 Installed-Size: 44 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: chef Size: 17354 SHA256: 119288b36ebf8367f54554e9456cf24a5a21acfb0501d69471677da3dffa5e18 SHA1: b167fde44404903167348666b4f87f6bd81d5b60 MD5sum: c3f6b82f93e74acc9dfcf91fc0ab5045 Description: Transitional package for chef This is a transitional package to ease upgrades to the chef package. It can safely be removed. Homepage: http://wiki.opscode.com/display/chef Section: oldlibs Priority: extra Filename: pool/main/c/chef/libchef-ruby_10.12.0-3_all.deb Package: libchef-ruby1.8 Source: chef Version: 10.12.0-3 Installed-Size: 44 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: chef Size: 17354 SHA256: 6b4a3d024be0c41b7797ac7376198f633cf5eef70ebe47368233b86bcece50c4 SHA1: b85507050d362dbeaad8c1e1e77232010ed6ac2a MD5sum: 8d70b5dc6a4447aad5bdfb7eb82e335a Description: Transitional package for chef This is a transitional package to ease upgrades to the chef package. It can safely be removed. Homepage: http://wiki.opscode.com/display/chef Section: oldlibs Priority: extra Filename: pool/main/c/chef/libchef-ruby1.8_10.12.0-3_all.deb Package: libchemistry-elements-perl Version: 1.07-2 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 17332 SHA256: 53191344a1f02b549129b489955069565dd1c4572f331246c8866ebf7d1862f1 SHA1: bbfe7afba1d59c7e0bb84ce4a5a06acb8df737bf MD5sum: 85d70ade55a337d881faabd273e46081 Description: Perl extension for working with Chemical Elements Chemistry::Elements provides an easy, object-oriented way to keep track of your chemical data. Using either the atomic number, chemical symbol, or element name you can construct an Element object. Once you have an element object, you can associate your data with the object by making up your own methods, which the AUTOLOAD function handles. Since each chemist is likely to want to use his or her own data, or data for some unforesee-able property, this module does not try to be a repository for chemical data. Homepage: http://search.cpan.org/dist/Chemistry-Elements/ Tag: field::chemistry Section: perl Priority: optional Filename: pool/main/libc/libchemistry-elements-perl/libchemistry-elements-perl_1.07-2_all.deb Package: libchemistry-formula-perl Version: 3.0.1-1 Installed-Size: 68 Maintainer: Carlo Segre Architecture: all Replaces: horae (<< 067) Depends: perl, libchemistry-elements-perl, libregexp-common-perl, libreadonly-perl Size: 11360 SHA256: 9e8acd3c922d11119e9c81bb71a7bf1ba123079fb7d69c64f2fe9f3ae2570ca2 SHA1: c2b4cb062db49abcf4d12de54b282b6626e3fa73 MD5sum: 3ec54729a45440a00def18a0b4cb8af2 Description: enumerate elements in a chemical formula This module provides a function which parses a string containing a chemical formula and returns the number of each element in the string. It can handle nested parentheses and square brackets and correctly computes stoichiometry given numbers outside the (possibly nested) parentheses. Homepage: http://cars9.uchicago.edu/~ravel/software/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libchemistry-formula-perl/libchemistry-formula-perl_3.0.1-1_all.deb Package: libchemistry-openbabel-perl Source: openbabel Version: 2.3.1+dfsg-4 Architecture: armhf Maintainer: Debichem Team Installed-Size: 3739 Depends: perl (>= 5.14.2-16+rpi1), perlapi-5.14.2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenbabel4, libstdc++6 (>= 4.4.0) Homepage: http://openbabel.sourceforge.net Priority: optional Section: perl Filename: pool/main/o/openbabel/libchemistry-openbabel-perl_2.3.1+dfsg-4_armhf.deb Size: 1032470 SHA256: 37629e9ada719495f3dc62a9dd9c0d1526e651b41273ff808e80b506b27189b3 SHA1: 1c6b2e140c4f7dcf3cb81ac7b6163f2cd90aede4 MD5sum: b1cc443e88809699384727f663433518 Description: Chemical toolbox library (perl bindings) Open Babel is a chemical toolbox designed to speak the many languages of chemical data. It allows one to search, convert, analyze, or store data from molecular modeling, chemistry, solid-state materials, biochemistry, or related areas. Features include: . * Hydrogen addition and deletion * Support for Molecular Mechanics * Support for SMARTS molecular matching syntax * Automatic feature perception (rings, bonds, hybridization, aromaticity) * Flexible atom typer and perception of multiple bonds from atomic coordinates * Gasteiger-Marsili partial charge calculation . File formats Open Babel supports include PDB, XYZ, CIF, CML, SMILES, MDL Molfile, ChemDraw, Gaussian, GAMESS, MOPAC and MPQC. . This package contains the Perl binding. Package: libchewing3 Source: libchewing Version: 0.3.3-4 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 168 Pre-Depends: multiarch-support Depends: libchewing3-data (= 0.3.3-4), libc6 (>= 2.13-28) Breaks: scim-chewing (<< 0.3.3) Provides: libchewing Multi-Arch: same Homepage: http://chewing.csie.net Priority: optional Section: libs Filename: pool/main/libc/libchewing/libchewing3_0.3.3-4_armhf.deb Size: 60680 SHA256: cc5a01a0af20a9844bcfa4ff2d23c0e3fb806fc71182728afe55ae51e9470256 SHA1: 26721332099385ddb39c1dceba4581b1068ec704 MD5sum: 0ebaa9301866ef4c7babee22d92f7bf8 Description: intelligent phonetic input method library libchewing is an intelligent phonetic input method library for Chinese. . It provides the core algorithm and logic so that they can be shared among the various input methods like JMCCE, xcin-chewing, scim-chewing, iiimf-chewing, screen-chewing, ime-chewing, etc. . The Chewing input method is a smart bopomofo fonetics input method that is useful for inputing Mandarin Chinese based language. . This package contains shared library used by other programs. Package: libchewing3-data Source: libchewing Version: 0.3.3-4 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 4844 Breaks: libchewing-data (<= 0.2.7) Provides: libchewing-data Multi-Arch: same Homepage: http://chewing.csie.net Priority: optional Section: misc Filename: pool/main/libc/libchewing/libchewing3-data_0.3.3-4_armhf.deb Size: 1972416 SHA256: 7de43decb1ad74eb81a59d63e69b3722f193be613410568fd76b0169e5f28a42 SHA1: 62c0cba9d939d775a2982b342643d7682f12801b MD5sum: ac1504b847b437a13c2f733b3896cf16 Description: intelligent phonetic input method library - data files libchewing is an intelligent phonetic input method library for Chinese. . It provides the core algorithm and logic so that they can be shared among the various input methods like JMCCE, xcin-chewing, scim-chewing, iiimf-chewing, screen-chewing, ime-chewing, etc. . The Chewing input method is a smart bopomofo fonetics input method that is useful for inputing Mandarin Chinese based language. . This package contains data files of libchewing3. Package: libchewing3-dbg Source: libchewing Version: 0.3.3-4 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 119 Depends: libchewing3 (= 0.3.3-4) Homepage: http://chewing.csie.net Priority: extra Section: debug Filename: pool/main/libc/libchewing/libchewing3-dbg_0.3.3-4_armhf.deb Size: 67008 SHA256: 6f4f6225adb0bc07a5c4ef362151793748b2485cebbf78ed02624d6dfa92b376 SHA1: 9668416773a18f85bdb200dc6d5b619ad51fe99e MD5sum: 3fcc544d2ad5c1053bf1818a01c1c2e1 Description: intelligent phonetic input method library - debug files libchewing is an intelligent phonetic input method library for Chinese. . It provides the core algorithm and logic so that they can be shared among the various input methods like JMCCE, xcin-chewing, scim-chewing, iiimf-chewing, screen-chewing, ime-chewing, etc. . The Chewing input method is a smart bopomofo fonetics input method that is useful for inputing Mandarin Chinese based language. . This package contains debug symbols which are useful for debug. Package: libchewing3-dev Source: libchewing Version: 0.3.3-4 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 225 Depends: libchewing3 (= 0.3.3-4) Conflicts: libchewing-dev, libchewing1-dev, libchewing2-dev Homepage: http://chewing.csie.net Priority: optional Section: libdevel Filename: pool/main/libc/libchewing/libchewing3-dev_0.3.3-4_armhf.deb Size: 72206 SHA256: 1b277e58274f2611c3fab87adde49df99d1b76b6687b60c460d6f88ed6e3eec0 SHA1: f080100cee7f2732ffbe0a72b4dda48fb3d431b4 MD5sum: ee0d863606488cfc7fecc18479693490 Description: intelligent phonetic input method library (developer version) libchewing is an intelligent phonetic input method library for Chinese. . It provides the core algorithm and logic so that they can be shared among the various input methods like JMCCE, xcin-chewing, scim-chewing, iiimf-chewing, screen-chewing, ime-chewing, etc. . The Chewing input method is a smart bopomofo fonetics input method that is useful for inputing Mandarin Chinese based language. . This package contains static library and header files for developer. Package: libchi-driver-memcached-perl Version: 0.14-3 Installed-Size: 91 Maintainer: Debian Perl Group Architecture: all Depends: perl, libchi-perl Size: 15918 SHA256: e07b424debda68ced783fb51e99d9461ea1fff45e2eefe22a07aec1cbf74a1ca SHA1: a253aa5c5165e7e2f4c165bcf46fc7a1112fc719 MD5sum: 3f2dcaada861407a5e2e275304454016 Description: Memcached driver for CHI, the unified cache handling interface A CHI driver that uses Cache::Memcached to store data in the specified memcached server(s). . CHI::Driver::Memcached::Fast and CHI::Driver::Memcached::libmemcached are also available as part of this distribution. They work with other Memcached clients and support a similar feature set. Documentation for all three modules is presented below. Homepage: http://search.cpan.org/dist/CHI-Driver-Memcached/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libchi-driver-memcached-perl/libchi-driver-memcached-perl_0.14-3_all.deb Package: libchi-perl Version: 0.54-1 Installed-Size: 379 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcache-cache-perl, libcache-fastmmap-perl, libcarp-assert-perl, libdata-serializer-perl, libdigest-jhash-perl, libhash-moreutils-perl, libjson-perl, liblist-moreutils-perl, liblog-any-perl, libmoose-perl, libossp-uuid-perl, libstring-rewriteprefix-perl, libtask-weaken-perl, libtime-duration-parse-perl, libtime-duration-perl, libtry-tiny-perl (>= 0.05) Size: 126998 SHA256: 515a26788826e354e7b3dcedcbbcd9c638fad13dce8110edd3e72b5eefd44939 SHA1: dbef8333b91256cd28689a5fffb8f6435f3ddbb7 MD5sum: 57e019c07ec023796985a8001212351d Description: Unified Cache Handling Interface CHI provides a unified caching API for Perl programs, designed to assist a developer in keeping data persistent for a specified period of time. . The CHI interface is implemented by driver classes that support fetching, storing and clearing of data. Driver classes exist or will exist for the gamut of storage backends available to Perl, such as memory, plain files, memory mapped files, memcached, and DBI. . CHI is intended as an evolution of DeWitt Clinton's Cache::Cache package, adhering to the basic Cache API but adding new features and addressing limitations in the Cache::Cache implementation. Homepage: http://search.cpan.org/dist/CHI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libchi-perl/libchi-perl_0.54-1_all.deb Package: libchicken-dev Source: chicken Version: 4.7.0-1+deb7u2 Architecture: armhf Maintainer: Davide Puricelli (evo) Installed-Size: 4387 Depends: libchicken6 (= 4.7.0-1+deb7u2), libpcre3-dev Conflicts: chicken, chicken-dev Replaces: chicken, chicken-dev Homepage: http://www.call-cc.org Priority: optional Section: libdevel Filename: pool/main/c/chicken/libchicken-dev_4.7.0-1+deb7u2_armhf.deb Size: 1451362 SHA256: 3522bc2a24e14791b1385dea443af0d1d605635846139d30bff01916eca3f7c6 SHA1: 13dd8d4566f890e895193109bebe3caa4ad109a8 MD5sum: cde1b08ab223ca4fc40c54603fee2b3b Description: Practical and portable Scheme system - development CHICKEN is a Scheme compiler which compiles a subset of R5RS into C. It uses the ideas presented in Baker's paper "Cheney on the MTA", and has a small core and is easily extendable. . This package contains the header file and static library for developing with chicken. Package: libchicken6 Source: chicken Version: 4.7.0-1+deb7u2 Architecture: armhf Maintainer: Davide Puricelli (evo) Installed-Size: 3238 Depends: libc6 (>= 2.13-28) Conflicts: chicken, chicken-dev, libchicken0, libchicken3, libchicken4, libchicken5 Replaces: chicken, chicken-dev, libchicken0, libchicken3, libchicken4, libchicken5 Homepage: http://www.call-cc.org Priority: optional Section: libs Filename: pool/main/c/chicken/libchicken6_4.7.0-1+deb7u2_armhf.deb Size: 1161324 SHA256: 1e4bca5bdfc23108c51f48290089a14e7ca56c4f7678ff7ba43e4de99261c92e SHA1: b70672b6d31d0ec1aeb305d0e03b7c73cd242467 MD5sum: fc0741225664fd53ca2ac8620f986830 Description: Practical and portable Scheme system - runtime CHICKEN is a Scheme compiler which compiles a subset of R5RS into C. It uses the ideas presented in Baker's paper "Cheney on the MTA", and has a small core and is easily extendable. . This package contains the shared library needed to run programs using chicken. Package: libchild-perl Version: 0.009-1 Installed-Size: 152 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 35926 SHA256: d3923b1ef607d71c2721332acb4ca1090e94b8a183ce6c1e714bdfd886356a3c SHA1: be0060e608ff8ceb19bdce4008cbfe7fe81b237b MD5sum: 5fb2b91a1cf848b8c068b6806dbde098 Description: Object oriented simple interface to fork() Fork is too low level, and difficult to manage. Often people forget to exit at the end, reap their children, and check exit status. The problem is the low level functions provided to do these things. Throw in pipes for IPC and you just have a pile of things nobody wants to think about. . Child is an Object Oriented interface to fork. It provides a clean way to start a child process, and manage it afterwords. It provides methods for running, waiting, killing, checking, and even communicating with a child process. Homepage: http://search.cpan.org/dist/Child/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libchild-perl/libchild-perl_0.009-1_all.deb Package: libchipcard-data Source: libchipcard Version: 5.0.3beta-3 Installed-Size: 237 Maintainer: Micha Lenk Architecture: all Size: 35754 SHA256: 4ceae1d992a796a6d198f1ec11d37d679c8c0425fec0a2efce81006c1a473a34 SHA1: e6a3c70d810e1c668f67393eab5a51dde784a80e MD5sum: 611902164ed55fbf501a5795d9de3beb Description: configuration files for libchipcard libchipcard provides an API for accessing smartcards. Examples are memory cards, as well as HBCI (home banking), German GeldKarte (electronic small change), and KVK (health insurance) cards. . This package contains configuration files for libchipcard. Homepage: http://www.aquamaniac.de/sites/libchipcard/ Tag: role::app-data Section: libs Priority: optional Filename: pool/main/libc/libchipcard/libchipcard-data_5.0.3beta-3_all.deb Package: libchipcard-dev Source: libchipcard Version: 5.0.3beta-3 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 198 Depends: libchipcard6 (= 5.0.3beta-3), libchipcard-data (>= 5.0.3beta-3) Homepage: http://www.aquamaniac.de/sites/libchipcard/ Priority: extra Section: libdevel Filename: pool/main/libc/libchipcard/libchipcard-dev_5.0.3beta-3_armhf.deb Size: 38468 SHA256: 79ddda77cd5cce5e1fe9e70a97399ffcb82faf4d54cac5d9e52d1bba6adbc40c SHA1: 18d7804148d12e696b44e9a1f73f7d3d8b98e28f MD5sum: 3b71af32a8311c904cd0c3840174cf37 Description: API for smartcard readers libchipcard provides an API for accessing smartcards. Examples are memory cards, as well as HBCI (home banking), German GeldKarte (electronic small change), and KVK (health insurance) cards. . This package contains the development files for libchipcard. Package: libchipcard-libgwenhywfar47-plugins Source: libchipcard Version: 5.0.3beta-3 Installed-Size: 16 Maintainer: Micha Lenk Architecture: all Depends: libchipcard-libgwenhywfar60-plugins Size: 15894 SHA256: 9912246d0de8c9fa1c3a141d07e6076b6d5592b946d7c8be1cbbd995fccdb947 SHA1: 73281c50ba4d618d16baa1cd7e42c8313d6b9327 MD5sum: f58de4ea900f478c4654eb1f42c646c7 Description: crypttoken plugin to libgwenhywfar - transitional dummy package libchipcard provides an API for accessing smartcards. Examples are memory cards, as well as HBCI (home banking), German GeldKarte (electronic small change), and KVK (health insurance) cards. . Transitional package for renaming of libchipcard-libgwenhywfar47-plugins to libchipcard-libgwenhywfar60-plugins. Homepage: http://www.aquamaniac.de/sites/libchipcard/ Tag: implemented-in::c, role::plugin Section: oldlibs Priority: extra Filename: pool/main/libc/libchipcard/libchipcard-libgwenhywfar47-plugins_5.0.3beta-3_all.deb Package: libchipcard-libgwenhywfar60-plugins Source: libchipcard Version: 5.0.3beta-3 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 148 Depends: libc6 (>= 2.13-28), libchipcard6 (>= 5.0.3beta), libgwenhywfar60 (>= 4.2.1) Homepage: http://www.aquamaniac.de/sites/libchipcard/ Priority: optional Section: misc Filename: pool/main/libc/libchipcard/libchipcard-libgwenhywfar60-plugins_5.0.3beta-3_armhf.deb Size: 51688 SHA256: 5198d1c3fb01b311ab1054c61425b36b43cfa3b48348e6bd824c57bad33747da SHA1: a50f401a5731fed5b334ea89771731a604a5dd55 MD5sum: 99bef609f4170595fca9fd3ae3492617 Description: crypttoken plugin to libgwenhywfar libchipcard provides an API for accessing smartcards. Examples are memory cards, as well as HBCI (home banking), German GeldKarte (electronic small change), and KVK (health insurance) cards. . This package contains plugins to gwenhywfar providing cryptographic services via smartcards. Package: libchipcard-tools Source: libchipcard Version: 5.0.3beta-3 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 129 Pre-Depends: dpkg (>= 1.15.7.2) Depends: libc6 (>= 2.13-28), libchipcard6 (>= 4.99.4), libgcc1 (>= 1:4.4.0), libgwenhywfar60 (>= 4), libstdc++6 (>= 4.4.0), libchipcard-data (>= 5.0.3beta-3) Breaks: libchipcardd0 (<< 4.2.7) Replaces: libchipcardd0 (<< 4.2.7) Homepage: http://www.aquamaniac.de/sites/libchipcard/ Priority: optional Section: misc Filename: pool/main/libc/libchipcard/libchipcard-tools_5.0.3beta-3_armhf.deb Size: 46350 SHA256: 8dac1c803f5fe5fd950c1f8ddd270a40048e52d5a425deff10bad916db9e468f SHA1: ca6373a630afb646632885e6e02f7914bba64e36 MD5sum: 3d3c3b544271196729f838870424374f Description: tools for accessing chipcards libchipcard provides an API for accessing smartcards. Examples are memory cards, as well as HBCI (home banking), German GeldKarte (electronic small change), and KVK (health insurance) cards. . This package contains tools for chipcards, e.g. geldkarte. Package: libchipcard6 Source: libchipcard Version: 5.0.3beta-3 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 346 Depends: libchipcard-data (>= 5.0.3beta-3), libc6 (>= 2.13-28), libgwenhywfar60 (>= 4.1.0), libpcsclite1, zlib1g (>= 1:1.1.4) Homepage: http://www.aquamaniac.de/sites/libchipcard/ Priority: optional Section: libs Filename: pool/main/libc/libchipcard/libchipcard6_5.0.3beta-3_armhf.deb Size: 136426 SHA256: 8a0dbb2a7cf55e79802693b2769a8b04dbf332757774bbb7d5debf77f29fc09a SHA1: de80a3b3278d93467fc1970e38f297630a1e60d3 MD5sum: 14059f50fb3bae320157329187a605dd Description: library for accessing smartcards libchipcard provides an API for accessing smartcards. Examples are memory cards, as well as HBCI (home banking), German GeldKarte (electronic small change), and KVK (health insurance) cards. . This package contains the client API. Package: libchipmunk0d1 Source: chipmunk Version: 5.3.4-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 177 Depends: libc6 (>= 2.13-28) Suggests: libchipmunk0d1-dbg (= 5.3.4-1), chipmunk-dev (= 5.3.4-1) Homepage: https://code.google.com/p/chipmunk-physics/ Priority: optional Section: libs Filename: pool/main/c/chipmunk/libchipmunk0d1_5.3.4-1_armhf.deb Size: 45828 SHA256: cd382ef2b21b7ae398ccbeb4e42038629d83df5559facf36908d5bca1be00cf0 SHA1: 5cd039d7ad8d63df56b7f056f00f94cff0fb8af3 MD5sum: 63d137c5f9a4747c566b468d7bcfcc83 Description: fast and lightweight 2D rigid body physics library in C Chipmunk is a simple, lightweight, fast and portable 2D rigid body physics library written in C. It's licensed under the unrestrictive, OSI approved MIT license. Its aim is to give 2D developers access the same quality of physics you find in newer 3D games. Package: libchipmunk0d1-dbg Source: chipmunk Version: 5.3.4-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 125 Depends: libchipmunk0d1 (= 5.3.4-1) Homepage: https://code.google.com/p/chipmunk-physics/ Priority: extra Section: debug Filename: pool/main/c/chipmunk/libchipmunk0d1-dbg_5.3.4-1_armhf.deb Size: 30692 SHA256: c977dc92b6f36ca4d1b8845d2a3dfd56149719b56d05d57a995c5729664233ac SHA1: 7ffa3aec6ce5e9fdb7299ffa6ebc7cca28afd40f MD5sum: 1e82269f7641046d75751fec99533b91 Description: Fast and lightweight 2D rigid body physics library - debug Chipmunk is a simple, lightweight, fast and portable 2D rigid body physics library written in C. It's licensed under the unrestrictive, OSI approved MIT license. Its aim is to give 2D developers access the same quality of physics you find in newer 3D games. . This package contains the debugging symbols. Package: libchise-dev Source: chise-base Version: 0.3.0-2 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 59 Depends: libchise1 (= 0.3.0-2) Priority: optional Section: libdevel Filename: pool/main/c/chise-base/libchise-dev_0.3.0-2_armhf.deb Size: 14006 SHA256: b4ef4dc3929c492250eaa33c2ed48de917cfd4bc3235a50ed5782e7f3a3dc2e5 SHA1: 6785309752c4aa9a99a0c0cd80ff8a524a0c24c0 MD5sum: 10ee6259f32cd688c1f82cde0603c91a Description: C language binding of CHISE, the character information database The CHISE (CHaracter Information Service Environment) project attempts to collect and organize into a Knowledge-Base information about characters in the scripts of the world. libchise is C language binding of CHISE database. Package: libchise1 Source: chise-base Version: 0.3.0-2 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 48 Depends: chise-db, libc6 (>= 2.4), libdb5.1 Priority: optional Section: libs Filename: pool/main/c/chise-base/libchise1_0.3.0-2_armhf.deb Size: 13768 SHA256: 3a01b7cb37ec24b7e7bfab13bcaf60e1707cde4558d110bc8cd3b8903e58a5a3 SHA1: e52956e132eb12177ffc73f11907ca9025be3539 MD5sum: 5c8562bd8471cce64f6ff3a3875b6f73 Description: C language binding of CHISE, the character information database The CHISE (CHaracter Information Service Environment) project attempts to collect and organize into a Knowledge-Base information about characters in the scripts of the world. libchise is C language binding of CHISE database. Package: libchm-bin Source: chmlib Version: 2:0.40a-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 146 Depends: libc6 (>= 2.4), libchm1 (>= 0.40) Homepage: http://www.jedrea.com/chmlib/ Priority: optional Section: libdevel Filename: pool/main/c/chmlib/libchm-bin_0.40a-2_armhf.deb Size: 21538 SHA256: ed66d219f51045c5689a7478450a50f6831dd2319595aba1aefba43abb7f95fd SHA1: ff54d80e798058cc9e45bffae1e395e4cc90ad0b MD5sum: dfd88c47bef5725e493931f2a8beb464 Description: library for dealing with Microsoft CHM files (test programs) It is a very simple library, but sufficient for dealing with all of the chm files. Library currently does not has write support. . CHM files are used on the Microsoft Windows platform to store program documentation, almost always in the form of HTML, with some non-standard quirks. CHM files are a form of archive known as InfoTech Storage Format (ITSF) files and contain some special files to implement features of the CHM viewer. . This package includes test programs. Package: libchm-dev Source: chmlib Version: 2:0.40a-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 68 Depends: libchm1 (= 2:0.40a-2) Homepage: http://www.jedrea.com/chmlib/ Priority: optional Section: libdevel Filename: pool/main/c/chmlib/libchm-dev_0.40a-2_armhf.deb Size: 18048 SHA256: ab324fc512bf7d1edbf350e26654b13893b310e5a1af5e818e96d6855320e13d SHA1: 0456d6e7fde400e9c92a366643d2179b2c9bc960 MD5sum: 70e9d2d84bdfe4b49d4e80b5fc4da870 Description: library for dealing with Microsoft CHM files (development) It is a very simple library, but sufficient for dealing with all of the chm files. Library currently does not has write support. . CHM files are used on the Microsoft Windows platform to store program documentation, almost always in the form of HTML, with some non-standard quirks. CHM files are a form of archive known as InfoTech Storage Format (ITSF) files and contain some special files to implement features of the CHM viewer. . This package includes development headers, libraries and test programs. Package: libchm1 Source: chmlib Version: 2:0.40a-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 78 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://www.jedrea.com/chmlib/ Priority: optional Section: libs Filename: pool/main/c/chmlib/libchm1_0.40a-2_armhf.deb Size: 32370 SHA256: 72e2a8424bfe3406e9b280ae0473df820a2e91e8d2568ce2d5dddfaa199acd8f SHA1: 54b4515255cd83ea0338f90e75a2b2233e1d5b1e MD5sum: b342803c8d25eda8716f65223bc70290 Description: library for dealing with Microsoft CHM files It is a very simple library, but sufficient for dealing with all of the chm files. Library currently does not has write support. . CHM files are used on the Microsoft Windows platform to store program documentation, almost always in the form of HTML, with some non-standard quirks. CHM files are a form of archive known as InfoTech Storage Format (ITSF) files and contain some special files to implement features of the CHM viewer. Package: libcholmod1.7.1 Source: suitesparse Version: 1:3.4.0-3 Architecture: armhf Maintainer: Maintainer: Debian Science Maintainers Installed-Size: 759 Depends: libamd2.2.0 (>= 1:3.4.0), libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libcolamd2.7.1 (>= 1:3.4.0), libgcc1 (>= 1:4.4.0), liblapack3 | liblapack.so.3 | libatlas3-base Homepage: http://www.cise.ufl.edu/research/sparse/SuiteSparse/ Priority: optional Section: libs Filename: pool/main/s/suitesparse/libcholmod1.7.1_3.4.0-3_armhf.deb Size: 369448 SHA256: 40a1a2f6822a3b2371a85c816f5ccc8d3161ec60d0a068c5092e0841d0b56396 SHA1: 171d904cd249f3af23f677584b9fc0d00d7c921c MD5sum: 6304041471e360974e1510732a65ee60 Description: sparse Cholesky factorization library for sparse matrices Suitesparse is a collection of libraries for computations involving sparse matrices. This package includes the following dynamic library: . CHOLMOD sparse Cholesky factorization Package: libchromaprint-dev Source: chromaprint Version: 0.6-2 Architecture: armhf Maintainer: Simon Chopin Installed-Size: 53 Depends: libchromaprint0 (= 0.6-2) Homepage: http://wiki.acoustid.org/wiki/Chromaprint Priority: optional Section: libdevel Filename: pool/main/c/chromaprint/libchromaprint-dev_0.6-2_armhf.deb Size: 6964 SHA256: 90af652912b85f5222e4d3670f93d85079fcda704bf59c25500c094f82f55576 SHA1: ed914564f986d8e187fafa66d5991b253dfdf6f2 MD5sum: 114411a40116b85a5c8606a633c27c64 Description: audio fingerprinting library - development files Chromaprint is a library for calculating audio fingerprints which are used by the Acoustid service. Its main purpose is to provide an accurate identifier for record tracks . This package includes development files needed to compile programs using libchromaprint. Package: libchromaprint-tools Source: chromaprint Version: 0.6-2 Architecture: armhf Maintainer: Simon Chopin Installed-Size: 53 Depends: libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libchromaprint0 (>= 0.2), libgcc1 (>= 1:4.4.0) Homepage: http://wiki.acoustid.org/wiki/Chromaprint Priority: optional Section: sound Filename: pool/main/c/chromaprint/libchromaprint-tools_0.6-2_armhf.deb Size: 10742 SHA256: 141badb6f08657c1c599b9fdba059b09e1a80f5ba16a101d4581a036a2dfa6fa SHA1: b47014eb17a804cd40578dbebbe31256b7f315d5 MD5sum: 05f14c10ecc33fae18daf5ec041c26df Description: audio fingerprinting library - tools Chromaprint is a library for calculating audio fingerprints which are used by the Acoustid service. Its main purpose is to provide an accurate identifier for record tracks . This package provides the fpcalc utility. Package: libchromaprint0 Source: chromaprint Version: 0.6-2 Architecture: armhf Maintainer: Simon Chopin Installed-Size: 94 Pre-Depends: multiarch-support Depends: libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Suggests: libchromaprint-tools, python-acoustid Multi-Arch: same Homepage: http://wiki.acoustid.org/wiki/Chromaprint Priority: optional Section: libs Filename: pool/main/c/chromaprint/libchromaprint0_0.6-2_armhf.deb Size: 28536 SHA256: f4c686b9eed4b3f2eac14eb19c551926e17348fa83d48e9373f1223ce3e80cab SHA1: 004dec5b85ded42e8a51a5c9a70e0077cb52c58d MD5sum: 353944765bdef417e9989a5d3c986c1b Description: audio fingerprint library Chromaprint is a library for calculating audio fingerprints which are used by the Acoustid service. Its main purpose is to provide an accurate identifier for record tracks Package: libchronic-ruby Source: ruby-chronic Version: 0.6.7-2 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-chronic Size: 2812 SHA256: 69dc5c7f7a11c47b065370f60f7fac0915c1fecb30b11a8e2af9acc30b71ad76 SHA1: e34f0a5c8afdc7b17870597597ebe049d702100d MD5sum: 49bc06057b43f0b3b9c20aa6eafee84f Description: Transitional package for ruby-chronic This is a transitional package to ease upgrades to ruby-chronic package It can safely be removed. Homepage: http://chronic.rubyforge.org/ Section: oldlibs Priority: extra Filename: pool/main/r/ruby-chronic/libchronic-ruby_0.6.7-2_all.deb Package: libcib1 Source: pacemaker Version: 1.1.7-1 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 169 Depends: libbz2-1.0, libc6 (>= 2.13-28), libcrmcommon2 (>= 1.1.7), libglib2.0-0 (>= 2.12.0), libgnutls26 (>= 2.12.17-0), libltdl7 (>= 2.4.2), libpam0g (>= 0.99.7.1), libpe-rules2 (>= 1.1.7), libpils2 (>= 1.0.9+hg2665), libplumb2 (>= 1.0.9+hg2665), libuuid1 (>= 2.16), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25) Conflicts: pacemaker (<= 1.0.9.1+hg15626-2) Replaces: pacemaker (<= 1.0.9.1+hg15626-2) Homepage: http://clusterlabs.org/ Priority: optional Section: libs Filename: pool/main/p/pacemaker/libcib1_1.1.7-1_armhf.deb Size: 93672 SHA256: 1596de3dd7a936f811d5bf6633acf7c05b2b96fbcbde39a88372f4682e9ff768 SHA1: df96af667ca3d79693a063d617ecec2cfeeb4d92 MD5sum: c5cf5412920693c33f0436af1a680cef Description: Pacemaker libraries - CIB This package contains some of the libraries provided by and needed for the pacemaker cluster manager. You need to install them if you intend to run pacemaker. Specifically, this package contains the CIB library. Package: libcib1-dev Source: pacemaker Version: 1.1.7-1 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 192 Depends: libcib1 (= 1.1.7-1) Conflicts: pacemaker-dev (<= 1.0.9.1+hg15626-2) Replaces: pacemaker-dev (<= 1.0.9.1+hg15626-2) Homepage: http://clusterlabs.org/ Priority: optional Section: libdevel Filename: pool/main/p/pacemaker/libcib1-dev_1.1.7-1_armhf.deb Size: 95960 SHA256: 8f03a6ab979035c40d2f4383f6228898362fe280a2db06a5889253e43084c019 SHA1: 283b43d9e6f00e8e78c3d2ecf25946cea3d318c6 MD5sum: f8762012625e781c79a4f4fa7d616b92 Description: Development file for pacemaker's cib library This package contains static libraries, symbol files as well as headers provided by the pacemaker cluster manager (CIB). Install it if you want to do development based on pacemaker. Package: libcipux-cat-web-perl Source: cipux-cat-web Version: 3.4.0.3-4.1 Installed-Size: 561 Maintainer: CipUX team Architecture: all Depends: perl, libcgi-formbuilder-perl, libcipux-perl, libdate-manip-perl, libcipux-rpc-client-perl, libtemplate-perl, libclass-std-perl, liblog-log4perl-perl, libreadonly-perl, libscalar-util-numeric-perl, liblocale-maketext-lexicon-perl Recommends: libmodule-list-pluggable-perl Suggests: cipux-cat-web, libsys-info-os-perl Size: 98418 SHA256: 688589f1f9f336f4fb74c58ea83b2a127f8c20b157cbe82dc799896cd8694dc6 SHA1: 8fb5aafb47d149f69e54d53b869c425aa67214ea MD5sum: 53b3888505dd6a9ed9c75c8446929cde Description: user and resource management framework CipUX - web-based admin tools CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . CAT is CipUX Administration Tools, interacting with the core CipUX framework through XML-RPC. . Two CATs are provided officially with CipUX: - cipux-cat-web: generic web-based CAT - cipux-cat-moodle: CipUX plugin for Moodle These can be extended or replaced with custom tools. . This package contains CipUX::CAT::Web - functions used by the generic web-based CAT cipux-cat-web. Homepage: http://www.cipux.org/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/c/cipux-cat-web/libcipux-cat-web-perl_3.4.0.3-4.1_all.deb Package: libcipux-dog-perl Source: cipux-dog Version: 3.4.0.0-6 Installed-Size: 96 Maintainer: CipUX team Architecture: all Depends: perl, libcipux-perl (>= 3.4.0.0), libclass-std-perl, liblog-log4perl-perl, libreadonly-perl Recommends: libreadonly-xs-perl Size: 16974 SHA256: b221c168eb392047494eeab83adadf95a7576f9280a2933d6e4cdd4c84bfa4e4 SHA1: 21da451a6d4f748cdd59c8de36045d8b59df7868 MD5sum: f9412837a6b17811081c60e5a18f1ab3 Description: command execution hook for CipUX CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . This package contains CipUX::Dog, used to execute external system commands. Homepage: http://www.cipux.org/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/c/cipux-dog/libcipux-dog-perl_3.4.0.0-6_all.deb Package: libcipux-object-perl Source: cipux-object Version: 3.4.0.5-2 Installed-Size: 264 Maintainer: CipUX team Architecture: all Depends: perl, libclass-std-perl, libcipux-perl (>= 3.4.0.11~), libcipux-storage-perl (>= 3.4.0.0), libhash-merge-perl, liblog-log4perl-perl, libreadonly-perl, libcrypt-smbhash-perl Recommends: libreadonly-xs-perl Suggests: cipux-object-tools Size: 56596 SHA256: 315b8314f99f682b4c54fcb1f438ca3e04cdd70f93b5fe04e210c63fa26412d8 SHA1: e45a69106d1cdae6bf130aa01dfe414a48a3bb9c MD5sum: 6fa312fbc573682d31d5af527fff4947 Description: object layer of CipUX CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . This package contains CipUX::Object, the CipUX object layer - a generic abstract class, which can be used by other classes or scripts. Homepage: http://www.cipux.org/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/c/cipux-object/libcipux-object-perl_3.4.0.5-2_all.deb Package: libcipux-passwd-perl Source: cipux-passwd Version: 3.4.0.3-2 Installed-Size: 76 Maintainer: CipUX team Architecture: all Depends: perl, libcipux-perl (>= 3.4.0.0), libcipux-rpc-client-perl (>= 3.4.0.0), libclass-std-perl, libreadonly-perl Recommends: libreadonly-xs-perl Size: 13888 SHA256: 3e0615aa96fad6ec0f4dec9f02111204340cd6ea155382541c884a6c4451a9bd SHA1: 91d5f649ace09824ad37b6b5ae5a37728bfd140b MD5sum: 506449a52ca3806a3d19cdae41d20650 Description: routines for cipux-passwd CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . This package contains CipUX::Passwd, providing routines for the command-line tool cipuxpasswd. Homepage: http://www.cipux.org/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/c/cipux-passwd/libcipux-passwd-perl_3.4.0.3-2_all.deb Package: libcipux-perl Source: cipux Version: 3.4.0.13-4 Installed-Size: 178 Maintainer: CipUX team Architecture: all Depends: perl, libarray-unique-perl, libclass-std-perl, libconfig-any-perl (>= 0.18), libconfig-tiny-perl, libdate-manip-perl, perl (>= 5.10.1) | libfile-path-perl (>= 2.06), libhash-merge-perl (>= 0.11), liblog-log4perl-perl, libreadonly-perl, libterm-readkey-perl, libunicode-string-perl, libyaml-perl Recommends: libreadonly-xs-perl, slapd | ldap-server Size: 45724 SHA256: bb3ed81d95a2c903894744d257c66d47343a1cd036fc3d39f9d8f60cd77934ea SHA1: 6175e4864df9ab7ca8830af01f99e1698486e591 MD5sum: da1a2b923a10c0a3c4ed11cacc019a12 Description: user and resource management framework CipUX - base library CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . CAT is CipUX Administration Tools. Two CATs are provided officially with CipUX: - cipux-cat: generic web-based CAT - cipux-cat-moodle: CipUX plugin for Moodle These can be extended or replaced with custom tools. . This package contains the base library for CipUX. Homepage: http://www.cipux.org/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/c/cipux/libcipux-perl_3.4.0.13-4_all.deb Package: libcipux-rbac-simple-perl Source: cipux-rbac-simple Version: 3.4.0.0-4 Installed-Size: 88 Maintainer: CipUX team Architecture: all Depends: perl, libcipux-perl (>= 3.4.0.0), libcipux-task-perl (>= 3.4.0.0), libclass-std-perl, libgraph-perl, liblog-log4perl-perl, libreadonly-perl Recommends: libreadonly-xs-perl Size: 15716 SHA256: 94371621c38a9184be2fdd71bd64fbdde1fb8bd48f7ac6d21fa60884e23bf8fe SHA1: d46dddc574acc0e006d8d54323b79d3419d8a089 MD5sum: a2177e286ada3539e50eea0b5dd77417 Description: simple role-based access control functions for CipUX CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . This package contains CipUX::RBAC::Simple, used by the CipUX XML-RPC server for authorization. Homepage: http://www.cipux.org/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/c/cipux-rbac-simple/libcipux-rbac-simple-perl_3.4.0.0-4_all.deb Package: libcipux-rpc-client-perl Source: cipux-rpc-client Version: 3.4.0.7-2 Installed-Size: 116 Maintainer: CipUX team Architecture: all Depends: perl, libclass-std-perl, libcipux-perl (>= 3.4.0.0), libfrontier-rpc-perl, liblog-log4perl-perl, libreadonly-perl Recommends: libreadonly-xs-perl Size: 20054 SHA256: 8bdc635b91e136fc378cab01534842df0df833c6af78d60723e5f4ff4d7ea26a SHA1: 197d367ab217e4339347feedc4f3d595447e9a7e MD5sum: 9638881766a43b8e4d211f71231b50e6 Description: base class for CipUX XML-RPC clients CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . This package contains CipUX::RPC::Client, providing routines for use with CipUX XML-RPC clients. Homepage: http://www.cipux.org/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/c/cipux-rpc-client/libcipux-rpc-client-perl_3.4.0.7-2_all.deb Package: libcipux-rpc-perl Source: cipux-rpc Version: 3.4.0.9-3 Installed-Size: 246 Maintainer: CipUX team Architecture: all Depends: perl, libclass-std-perl, libauthen-simple-pam-perl, libauthen-simple-perl, libcipux-perl, libcipux-rbac-simple-perl, libcipux-task-perl, libdate-manip-perl, libfrontier-rpc-perl, liblist-moreutils-perl (>= 0.25~02), liblog-log4perl-perl, libreadonly-perl, liblog-dispatch-perl, libticket-simple-perl Recommends: libreadonly-xs-perl Size: 53036 SHA256: 0e0db79efb5873919714822c9accf0a5b886648f2105a3bb7835849292c83b0d SHA1: 223a1b79db414b133dd02fb5ebebb6b297c2e4e4 MD5sum: 3478bbf1fe2ee81ca407d7b210038bd5 Description: XML-RPC routines for perl-based CipUX XML-RPC applications CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . CAT is CipUX Administration Tools. Two CATs are provided officially with CipUX: - cipux-cat: generic web-based CAT - cipux-cat-moodle: CipUX plugin for Moodle These can be extended or replaced with custom tools. . This package contains CipUX::RPC, providing XML-RPC routines for the CipUX XML-RPC server and for perl-based clients like CipUX CAT. Homepage: http://www.cipux.org/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/c/cipux-rpc/libcipux-rpc-perl_3.4.0.9-3_all.deb Package: libcipux-storage-perl Source: cipux-storage Version: 3.4.0.2-6 Installed-Size: 356 Maintainer: CipUX team Architecture: all Depends: perl, libclass-std-perl, libcipux-perl (>= 3.4.0.5), liblog-log4perl-perl, libnet-ldap-perl, libreadonly-perl Recommends: libio-socket-ssl-perl Suggests: cipux-storage-tools Size: 57586 SHA256: b9dd848018e18ac82e7bdfe318e800dac7c2044722f0f4539dfe540247d15bb0 SHA1: cda64101228f1763aa8656eed97784f263c9bd6e MD5sum: 45170e5be63459183bc990b75574d43b Description: storage abstraction layer for CipUX CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . This package contains CipUX::Storage, the storage abstraction layer for CipUX. Homepage: http://www.cipux.org/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/c/cipux-storage/libcipux-storage-perl_3.4.0.2-6_all.deb Package: libcipux-task-perl Source: cipux-task Version: 3.4.0.7-4 Installed-Size: 208 Maintainer: CipUX team Architecture: all Depends: perl, libclass-std-perl, libcipux-perl (>= 3.4.0.0), libcipux-object-perl (>= 3.4.0.2), libcipux-storage-perl (>= 3.4.0.0), liblog-log4perl-perl, libterm-readkey-perl, libreadonly-perl Recommends: libreadonly-xs-perl Suggests: cipux-task-tools Size: 35312 SHA256: a8616d85b68230a329d9fc5a9cfaca3425e351fbc2ff69ff342ca5a58631ff2d SHA1: b2d5686c9fffa7cfd486c57e3d30fbcfd4d7f690 MD5sum: 40b1fa46b66d650bf8a92fbb41dfe80e Description: task library for CipUX CipUX is a modular framework for information abstraction and administration, primarily aimed at administration of users, groups and organisational resources stored in a central LDAP database. . This package contains CipUX::Task, providing task routines for use on command line as well as through the XML-RPC server. Homepage: http://www.cipux.org/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/c/cipux-task/libcipux-task-perl_3.4.0.7-4_all.deb Package: libcitadel-dev Source: libcitadel Version: 8.14-1 Architecture: armhf Maintainer: Debian Citadel Team Installed-Size: 225 Depends: pkg-config, libcitadel3 (= 8.14-1) Homepage: http://www.citadel.org/ Priority: extra Section: libdevel Filename: pool/main/libc/libcitadel/libcitadel-dev_8.14-1_armhf.deb Size: 76906 SHA256: 32910e3bcaeb94e9b204155ba41fa4f61edc3308a3f76891555e2f8d840835de SHA1: c7570af8bfba6c341e2f18c7f6f8e8b285d233a7 MD5sum: 1d02b9198a4f151b6c342b910c35b4e3 Description: Development files for libcitadel3 This library contains the commonly used routines for the citadel suite. . This package provides development files and static libraries. Package: libcitadel3 Source: libcitadel Version: 8.14-1 Architecture: armhf Maintainer: Debian Citadel Team Installed-Size: 149 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4), shared-mime-info Homepage: http://www.citadel.org/ Priority: extra Section: libs Filename: pool/main/libc/libcitadel/libcitadel3_8.14-1_armhf.deb Size: 63654 SHA256: 97962b836abe71c419055aa72e215c7a5e93e87225b1b93ab4d98d66e8537d61 SHA1: 8ca4ebd123783c461c6e74030eab3e8eaf5cf77a MD5sum: f5c62af811a28dd75ca6a795a766575f Description: Citadel toolbox This library contains the commonly used routines for the citadel suite. Package: libcitadel3-dbg Source: libcitadel Version: 8.14-1 Architecture: armhf Maintainer: Debian Citadel Team Installed-Size: 278 Depends: libcitadel3 (= 8.14-1) Homepage: http://www.citadel.org/ Priority: extra Section: debug Filename: pool/main/libc/libcitadel/libcitadel3-dbg_8.14-1_armhf.deb Size: 100162 SHA256: 2fd99776c0476e3d075d8040e927719399bb239b21ef8527f27c69692931c37d SHA1: 9ee61119aa3dfea004abc77dc40345f375ff0d1f MD5sum: 56aa0e59543cd403751eb64e8f02a537 Description: Debugging symbols for libcitadel3 This library contains the commonly used routines for the citadel suite. . This package provides the debugging symbols. Package: libcitygml0 Source: libcitygml Version: 0.14+svn128-1+3p0p1+4 Architecture: armhf Maintainer: YunQiang Su Installed-Size: 195 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdal1 (>= 1.8.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4) Multi-Arch: same Homepage: http://code.google.com/p/libcitygml Priority: optional Section: libs Filename: pool/main/libc/libcitygml/libcitygml0_0.14+svn128-1+3p0p1+4_armhf.deb Size: 71748 SHA256: 0ac707baed573e92c8162c5f8b2c97e30d44659e4b56aee1afcd5dc317a83794 SHA1: 074b6f84d78ea411564956c791eb331b3f3f6264 MD5sum: ccae8159a6a1f59d9c040f26bc575a20 Description: Open source C++ library for parsing CityGML files libcitygml is a small and easy to use open source C++ library for parsing CityGML files in such a way that data can be easily exploited by 3D rendering applications (geometry data are tesselated and optimized for rendering during parsing). For instance, it can be used to develop readers of CityGML files in many 3D based applications (OpenGL, OpenSceneGraph, ...) Most metadata are not lost, they are available through a per-node hashmap. . CityGML (City Geography Markup Language) is an XML-based schema for the modelling and exchange of georeferenced 3D city and landscape models that is quickly being adopted on an international level. . This package contains share library. Package: libcitygml0-bin Source: libcitygml Version: 0.14+svn128-1+3p0p1+4 Architecture: armhf Maintainer: YunQiang Su Installed-Size: 88 Depends: libc6 (>= 2.13-28), libcitygml0 (= 0.14+svn128-1+3p0p1+4), libgcc1 (>= 1:4.4.0), libgdal1 (>= 1.8.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libstdc++6 (>= 4.4.0), libxml2 (>= 2.6.27) Homepage: http://code.google.com/p/libcitygml Priority: optional Section: utils Filename: pool/main/libc/libcitygml/libcitygml0-bin_0.14+svn128-1+3p0p1+4_armhf.deb Size: 23150 SHA256: abc2c99e60122994f5969d847e4c62d95f567c438a2793aa24e074364317e096 SHA1: 3698dda3966e1c711fc5845778f5abbc34b8a7b0 MD5sum: 07806cef9f0e90ad89181600189458e1 Description: Utils of libcitygml - citygml2vrml and citygmltest libcitygml is a small and easy to use open source C++ library for parsing CityGML files in such a way that data can be easily exploited by 3D rendering applications (geometry data are tesselated and optimized for rendering during parsing). For instance, it can be used to develop readers of CityGML files in many 3D based applications (OpenGL, OpenSceneGraph, ...) Most metadata are not lost, they are available through a per-node hashmap. . CityGML (City Geography Markup Language) is an XML-based schema for the modelling and exchange of georeferenced 3D city and landscape models that is quickly being adopted on an international level. . This package contains citygml2vrml and citygmltest. Package: libcitygml0-dev Source: libcitygml Version: 0.14+svn128-1+3p0p1+4 Architecture: armhf Maintainer: YunQiang Su Installed-Size: 427 Depends: libcitygml0 (= 0.14+svn128-1+3p0p1+4) Multi-Arch: same Homepage: http://code.google.com/p/libcitygml Priority: optional Section: libdevel Filename: pool/main/libc/libcitygml/libcitygml0-dev_0.14+svn128-1+3p0p1+4_armhf.deb Size: 110062 SHA256: cdff91271f468608a2efbc1068e69d096d701d3d5871287b0ebe712b9de6f00c SHA1: e39625c6d240e446868294e7bb110f76be367b01 MD5sum: 49690ea3fe86fa57cb56819738402c48 Description: Static and header files of libcitygml libcitygml is a small and easy to use open source C++ library for parsing CityGML files in such a way that data can be easily exploited by 3D rendering applications (geometry data are tesselated and optimized for rendering during parsing). For instance, it can be used to develop readers of CityGML files in many 3D based applications (OpenGL, OpenSceneGraph, ...) Most metadata are not lost, they are available through a per-node hashmap. . CityGML (City Geography Markup Language) is an XML-based schema for the modelling and exchange of georeferenced 3D city and landscape models that is quickly being adopted on an international level. . This package contains develop files: static and header files of libcitygml. Package: libcityhash-dbg Source: cityhash Version: 1.0.3-2 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 57 Depends: libcityhash0 (= 1.0.3-2) Multi-Arch: same Homepage: http://code.google.com/p/cityhash/ Priority: extra Section: debug Filename: pool/main/c/cityhash/libcityhash-dbg_1.0.3-2_armhf.deb Size: 15788 SHA256: 4296ea18b3069b71a2c0685e3e6490959af22192675f15b03457f9363c3b1bfb SHA1: 6ee488b4e1d381bdea294f0e60a14e2239306906 MD5sum: 7042dbe63cf749e8317482bad4b0cc3e Description: debugging symbols for cityhash CityHash provides hash functions designed for fast hashing of strings. The functions mix the input bits thoroughly but are not suitable for cryptography. . CityHash is intended to be fast, under the constraint that it hash very well. . This package provides the debugging symbols for cityhash. Package: libcityhash-dev Source: cityhash Version: 1.0.3-2 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 53 Depends: libcityhash0 (= 1.0.3-2) Homepage: http://code.google.com/p/cityhash/ Priority: optional Section: libdevel Filename: pool/main/c/cityhash/libcityhash-dev_1.0.3-2_armhf.deb Size: 10766 SHA256: 6e8e58c313654ee4cb12a163322c9ab3141295787941f48d8decf0bc8e62e6a5 SHA1: 4e89572a66ae9776938bce1d20fcf88e2639047c MD5sum: ff789ed231713a88f16f675898a54ee6 Description: development files and static library for cityhash CityHash provides hash functions designed for fast hashing of strings. The functions mix the input bits thoroughly but are not suitable for cryptography. . CityHash is intended to be fast, under the constraint that it hash very well. . This package provides the development files and the static library for cityhash. Package: libcityhash0 Source: cityhash Version: 1.0.3-2 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 52 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Multi-Arch: same Homepage: http://code.google.com/p/cityhash/ Priority: optional Section: libs Filename: pool/main/c/cityhash/libcityhash0_1.0.3-2_armhf.deb Size: 13726 SHA256: a4fead7840ce548c1dac27728674c8811f914e015aedc39889e617c0bd26417c SHA1: d18cfd31010dcfa80befe259f0f4a6a0a05b5d85 MD5sum: a557c9ce856e7f592edeaaf6116d92b8 Description: family of non-cryptographic hash functions for strings CityHash provides hash functions designed for fast hashing of strings. The functions mix the input bits thoroughly but are not suitable for cryptography. . CityHash is intended to be fast, under the constraint that it hash very well. Package: libck-connector-dev Source: consolekit Version: 0.4.5-3.1 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 226 Depends: libck-connector0 (= 0.4.5-3.1), libdbus-1-dev Homepage: http://www.freedesktop.org/wiki/Software/ConsoleKit Priority: optional Section: libdevel Filename: pool/main/c/consolekit/libck-connector-dev_0.4.5-3.1_armhf.deb Size: 70374 SHA256: 71f4c21492a529f9f71bafa1fe2d117528f09eaebeb809f364fb1567224b5742 SHA1: 2cf776ddf3bcd184e07cd6196735124a419ef094 MD5sum: 2dcd9440149470fb6fcd4d9a2ff76bed Description: ConsoleKit development files ConsoleKit is a system daemon for tracking what users are logged into the system and how they interact with the computer (e.g. which keyboard and mouse they use). . This package provides the development libraries and headers. Package: libck-connector0 Source: consolekit Version: 0.4.5-3.1 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 94 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2) Multi-Arch: same Homepage: http://www.freedesktop.org/wiki/Software/ConsoleKit Priority: optional Section: libs Filename: pool/main/c/consolekit/libck-connector0_0.4.5-3.1_armhf.deb Size: 58070 SHA256: 14288905b4ca886f9b17bdb960555ed835b4f0b972c4ce47a35e850f73278ac0 SHA1: 4baff58250a271c321def5e911ec7958912447ac MD5sum: e9e465d95e316c07751c8d953d4f306e Description: ConsoleKit libraries ConsoleKit is a system daemon for tracking what users are logged into the system and how they interact with the computer (e.g. which keyboard and mouse they use). . This package provides a convenience library which simplifies the access to ConsoleKit. Package: libckyapplet1 Source: coolkey Version: 1.1.0-12 Architecture: armhf Maintainer: A. Maitland Bottoms Installed-Size: 77 Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Homepage: http://directory.fedoraproject.org/wiki/CoolKey Priority: optional Section: libs Filename: pool/main/c/coolkey/libckyapplet1_1.1.0-12_armhf.deb Size: 22872 SHA256: 43ac0ff631d41d7d65143161fae76638153ee88cf1a065df6e7d4fb37533fa08 SHA1: dcafedf092abdc631dd39c83e4900a526e486aa9 MD5sum: 4dbd8dd3e139f565489bb184c7c1f675 Description: Smart Card Coolkey applet Protocol library for Coolkey applications. Package: libckyapplet1-dev Source: coolkey Version: 1.1.0-12 Architecture: armhf Maintainer: A. Maitland Bottoms Installed-Size: 153 Depends: libckyapplet1 (= 1.1.0-12) Homepage: http://directory.fedoraproject.org/wiki/CoolKey Priority: optional Section: devel Filename: pool/main/c/coolkey/libckyapplet1-dev_1.1.0-12_armhf.deb Size: 33858 SHA256: f852110a1672898fa01dd30b4fd5163155f438fb24956eae0883a8f43291e7e2 SHA1: 52bb4f815b238c418206aa345ff2a27d9489aa4d MD5sum: 6c651e2824ce81f82295fcff43c4fc88 Description: Smart Card Coolkey applet development files Header files and support for Coolkey applications. Package: libclalsadrv-dev Source: clalsadrv Version: 2.0.0-3 Installed-Size: 68 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: libclalsadrv2 (>= 2.0.0-3), libclalsadrv2 (<< 2.0.0+1~), libasound2-dev Size: 8302 SHA256: b8f8d9984c895da65ba24551088452085dd0805f67bdb39c9f817680cb3f100f SHA1: 0bd38cbbdce8bc9d046862e427731e7c31a0a28f MD5sum: 3a4424bbf026de635b858239d0554191 Description: ALSA driver C++ access library (development files) C++ wrapper around the ALSA API. . This package includes the development tools. Homepage: http://www.kokkinizita.net/linuxaudio/ Tag: devel::lang:c++, devel::library, implemented-in::c++, role::devel-lib, works-with::audio Section: libdevel Priority: optional Filename: pool/main/c/clalsadrv/libclalsadrv-dev_2.0.0-3_all.deb Package: libclalsadrv2 Source: clalsadrv Version: 2.0.0-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 50 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Conflicts: libclalsadrv Replaces: libclalsadrv Homepage: http://www.kokkinizita.net/linuxaudio/ Priority: optional Section: libs Filename: pool/main/c/clalsadrv/libclalsadrv2_2.0.0-3_armhf.deb Size: 11580 SHA256: aa40c8eb7866451c72e7340a688937023f45913da2bf8fa47fd33baf14015b33 SHA1: e194bb4cb7f7ffb6ba1350fe2edaf2844dd5f7ef MD5sum: 308568691c18d5129d1793b4402037bc Description: ALSA driver C++ access library C++ wrapper around the ALSA API. . This package includes the shared library object. Package: libclam-dev Source: clam Version: 1.4.0-5.1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1899 Depends: libclam1.4 (= 1.4.0-5.1), pkg-config, libsndfile1-dev, libjack-dev, portaudio19-dev, python Suggests: libclam-doc (= 1.4.0-5.1) Homepage: http://clam-project.org/ Priority: extra Section: libdevel Filename: pool/main/c/clam/libclam-dev_1.4.0-5.1_armhf.deb Size: 435810 SHA256: affac530a1bd2b178a2a459dcc58c9c9fcf8ae60d97c71e457dcd4d5ce290231 SHA1: c0af9343f16e44098784fdf5ccff323594d65724 MD5sum: 1aa2efe1fd30ccb9a336fd860974b348 Description: C++ Library for Audio and Music(development files) CLAM is a framework to develop audio and music applications in C++. It provides tools to perform advanced analysis, transformations and synthesis, visualization for audio and music related objects, and other tools that are useful to abstract target platform for most tasks in an audio applications such as audio devices, file formats, threading... . This is a development library package. Package: libclam-doc Source: clam Version: 1.4.0-5.1 Installed-Size: 61537 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: python Size: 7910134 SHA256: 41420426d54afd70106ce2d5aa2f353e9be8342d9cd1212de9aac63e5fe2abe7 SHA1: f9c239f7cd82f9ef9b179a5f35075dd1ade4659c MD5sum: 5f971670d91f15bcfd9936bb820fb45c Description: C++ Library for Audio and Music (documentation) CLAM is a framework to develop audio and music applications in C++. It provides tools to perform advanced analysis, transformations and synthesis, visualization for audio and music related objects, and other tools that are useful to abstract target platform for most tasks in an audio applications such as audio devices, file formats, threading... . This is a documentation package. Homepage: http://clam-project.org/ Tag: devel::doc, devel::lang:c++, role::documentation Section: doc Priority: extra Filename: pool/main/c/clam/libclam-doc_1.4.0-5.1_all.deb Package: libclam-qtmonitors-dev Source: clam-networkeditor Version: 1.4.0-3.1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 156 Depends: libclam-qtmonitors1.4 (= 1.4.0-3.1), libclam-dev Homepage: http://clam-project.org/ Priority: extra Section: libdevel Filename: pool/main/c/clam-networkeditor/libclam-qtmonitors-dev_1.4.0-3.1_armhf.deb Size: 30740 SHA256: f04013571ec94c91212d1983a2882f49e39c7c4fe5e3a20f7e96e051844ab6cf SHA1: 0ef27239615eeb87cc684de0ef3f8734c8c4a754 MD5sum: 312d2c378bfce0d847eacb2a575a984b Description: development files for libclam-qtmonitors CLAM extensions The CLAM framework can be used to develop rich real-time audio and music applications either by c++ programming or by visual means using the CLAM NetworkEditor. . This package provides the files to develop using modules provided by the clam-qtmonitors CLAM plugin. Package: libclam-qtmonitors1.4 Source: clam-networkeditor Version: 1.4.0-3.1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 2870 Depends: libc6 (>= 2.13-28), libclam1.4, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1 | fglrx-glx, libglu1-mesa | libglu1, libqt4-designer (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.6.1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.6) Homepage: http://clam-project.org/ Priority: extra Section: libs Filename: pool/main/c/clam-networkeditor/libclam-qtmonitors1.4_1.4.0-3.1_armhf.deb Size: 2262842 SHA256: dadf84aa9aa7cd6b44d1e14818d56768060401f926ecc3a05b49604ced439524 SHA1: 826f8169e0f53e9a0a589474e3040d34f436c86e MD5sum: bf97f508f932f71593b0141dec317a15 Description: libclam-qtmonitors CLAM extensions shared library The CLAM framework can be used to develop rich real-time audio and music applications either by c++ programming or by visual means using the CLAM NetworkEditor. . This package provides the shared libraries and the plugins for the for adding graphical processing units to your CLAM applications. Real-time data visualization, control sending... Package: libclam1.4 Source: clam Version: 1.4.0-5.1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 5393 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libid3-3.8.3c2a, libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libmad0 (>= 0.15.1b-3), libogg0 (>= 1.0rc3), libportaudio2 (>= 19+svn20101113), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.6), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libxerces-c28, zlib1g (>= 1:1.1.4) Homepage: http://clam-project.org/ Priority: extra Section: libs Filename: pool/main/c/clam/libclam1.4_1.4.0-5.1_armhf.deb Size: 2027842 SHA256: 6fa5ad1144c262132f71f9f242774db8df423bda263639212fd0aff91a9fb777 SHA1: 1029a4102d9578f22c9788db1f7a04095b53af15 MD5sum: 9d168799e98b04facacb5205f1eab483 Description: C++ Library for Audio and Music CLAM is a framework to develop audio and music applications in C++. It provides tools to perform advanced analysis, transformations and synthesis, visualization for audio and music related objects, and other tools that are useful to abstract target platform for most tasks in an audio applications such as audio devices, file formats, threading... Package: libclamav-client-perl Version: 0.11-2 Installed-Size: 66 Maintainer: Devin Carraway Architecture: all Depends: perl, liberror-perl Suggests: clamav-daemon Size: 13834 SHA256: e8cc010476ebc8e7cd2ffe2660c18389e65abdd19bb48eb1857fc22a2488c193 SHA1: b4e10f3996f1b6001e227d2bdca27c26c39697bd MD5sum: bde5e93c52690386f0128ecd25903b22 Description: Perl client for the ClamAV virus scanner daemon This package supplies ClamAV::Client, a Perl interface to the ClamAV virus scanner via the clamd daemon. It allows connection either over a UNIX domain socket to a local clamd, via TCP to a remote one. . The client package fully implements the clamd socket protocol, with both scanning and daemon management calls provided. Homepage: http://search.cpan.org/dist/ClamAV-Client/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::antivirus Section: perl Priority: extra Filename: pool/main/libc/libclamav-client-perl/libclamav-client-perl_0.11-2_all.deb Package: libclamav-dev Source: clamav Version: 0.99.4+dfsg-1+deb7u1 Architecture: armhf Maintainer: ClamAV Team Installed-Size: 294 Depends: libbz2-dev, libc6-dev | libc-dev, libclamav7 (= 0.99.4+dfsg-1+deb7u1), libidn11-dev, libssl-dev, libtommath-dev, zlib1g-dev Homepage: https://www.clamav.net/ Priority: optional Section: libdevel Filename: pool/main/c/clamav/libclamav-dev_0.99.4+dfsg-1+deb7u1_armhf.deb Size: 254710 SHA256: cc8d8db766c3fc5380db023f80e55e8f6ad826aaae2cd9336a37af1ccf6b60b0 SHA1: 4316f0d674e6dfe6f2dcf0d0ad88534acbfcfdf3 MD5sum: 4a7c1f18ceecf33a0c02ab5987013c14 Description: anti-virus utility for Unix - development files Clam AntiVirus is an anti-virus toolkit for Unix. The main purpose of this software is the integration with mail servers (attachment scanning). The package provides a flexible and scalable multi-threaded daemon in the clamav-daemon package, a command-line scanner in the clamav package, and a tool for automatic updating via the Internet in the clamav-freshclam package. The programs are based on libclamav, which can be used by other software. . The package contains the needed headers and libraries for developing software using the libclamav interface. . This library can be used to develop virus scanner applications. Package: libclamav7 Source: clamav Version: 0.99.4+dfsg-1+deb7u1 Architecture: armhf Maintainer: ClamAV Team Installed-Size: 2393 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjson0 (>= 0.10), libltdl7 (>= 2.4.2), libpcre3 (>= 8.10), libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.7.4), zlib1g (>= 1:1.2.3.3) Suggests: libclamunrar7 Homepage: https://www.clamav.net/ Priority: optional Section: libs Filename: pool/main/c/clamav/libclamav7_0.99.4+dfsg-1+deb7u1_armhf.deb Size: 1312876 SHA256: d67498cc03c187ea4dd730311f7c5bfd6419d0ee0723fe4a325b5ff1481d7dc8 SHA1: 3f3dc3a2f54b81513ce9574c3e78048a73b0cd0a MD5sum: 21884d57b9fa1b8e4cb826835ebcdc6d Description: anti-virus utility for Unix - library Clam AntiVirus is an anti-virus toolkit for Unix. The main purpose of this software is the integration with mail servers (attachment scanning). The package provides a flexible and scalable multi-threaded daemon in the clamav-daemon package, a command-line scanner in the clamav package, and a tool for automatic updating via the Internet in the clamav-freshclam package. The programs are based on libclamav, which can be used by other software. . For programs written using the libclamav library. Libclamav may be used to add virus protection into software. The library is thread-safe, and automatically recognizes and scans archives. Scanning is very fast and most of the time not noticeable. Package: libclanapp-1.0 Source: clanlib Version: 1.0~svn3827-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 5892 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libjpeg8 (>= 8c), libmikmod2 (>= 3.1.10), libogg0 (>= 1.0rc3), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), libvorbis0a (>= 1.1.2), libx11-6, libxi6, libxmu6, libxxf86vm1, zlib1g (>= 1:1.1.4) Homepage: http://www.clanlib.org/ Priority: optional Section: libs Filename: pool/main/c/clanlib/libclanapp-1.0_1.0~svn3827-3_armhf.deb Size: 1611942 SHA256: 530cd5fa7e51d914e9f76eb51852256563117cd0514ebc31d6dbbd28a4b872bf SHA1: a0a75604b89d0535af3e35c60de49b1cafd256bf MD5sum: 55798898002352772648be4de713c340 Description: ClanLib game SDK runtime ClanLib is a multi-platform software development kit, with an emphasis on game development. . On one side, it tries to provide a platform independent interface to write games with, by taking over the low-level work from the game and providing wrappers around toolkits such as DirectFB, DirectX, OpenGL, Vorbis, X11, etc., so that if a game is written with ClanLib, the game should compile on any platform supported by ClanLib without changing its source code. . On the other hand, ClanLib also tries to be a service-minded SDK. In other words, the developers have put great effort into designing the API, to ensure ClanLib's ease of use - while maintaining its power. . This is the runtime part of the ClanLib SDK, needed to run applications built with it. Package: libclang-common-dev Source: clang Version: 1:3.0-6.2 Architecture: armhf Maintainer: LLVM Packaging Team Installed-Size: 800 Replaces: libclang-dev Homepage: http://www.llvm.org/ Priority: optional Section: libdevel Filename: pool/main/c/clang/libclang-common-dev_3.0-6.2_armhf.deb Size: 76728 SHA256: 88c8e877672d74a6180a42809a114ec42d24f117bd5cc03211b2fe773c0f4ec3 SHA1: 2b2ca67031c72997edb8d176d7278fad58e9ff3f MD5sum: c9dcf11c4511e795e8e37a83d86b1bc5 Description: clang library - Common development package The Low-Level Virtual Machine (LLVM) is a collection of libraries and tools that make it easy to build compilers, optimizers, Just-In-Time code generators, and many other compiler-related programs. LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . Clang project is a C, C++, Objective C and Objective C++ front-end for the LLVM compiler. Some of its goals include the following: . End-User Features: . * Fast compiles and low memory use * Expressive diagnostics (examples) * GCC compatibility . Utility and Applications: . * Modular library based architecture * Support diverse clients (refactoring, static analysis, code generation, etc) * Allow tight integration with IDEs * Use the LLVM 'BSD' License . Internal Design and Implementation: . * A real-world, production quality compiler * A simple and hackable code base * A single unified parser for C, Objective C, C++, and Objective C++ * Conformance with C/C++/ObjC and their variants . This package contains the clang generic headers. Package: libclang-dev Source: clang Version: 1:3.0-6.2 Architecture: armhf Maintainer: LLVM Packaging Team Installed-Size: 4783 Depends: libstdc++6-4.6-dev, libclang1 (= 1:3.0-6.2), libclang-common-dev Homepage: http://www.llvm.org/ Priority: optional Section: libdevel Filename: pool/main/c/clang/libclang-dev_3.0-6.2_armhf.deb Size: 987164 SHA256: 662ad25ef72725edd98c97d9ae042398a87c7adeadbd15e2810eaec5812fd398 SHA1: 057d9cdbaaffa7eca73aea022d70999f0b576fc6 MD5sum: 8626645e6a9e47299a82817b4033d9c1 Description: clang library - Development package The Low-Level Virtual Machine (LLVM) is a collection of libraries and tools that make it easy to build compilers, optimizers, Just-In-Time code generators, and many other compiler-related programs. LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . Clang project is a C, C++, Objective C and Objective C++ front-end for the LLVM compiler. Some of its goals include the following: . End-User Features: . * Fast compiles and low memory use * Expressive diagnostics (examples) * GCC compatibility . Utility and Applications: . * Modular library based architecture * Support diverse clients (refactoring, static analysis, code generation, etc) * Allow tight integration with IDEs * Use the LLVM 'BSD' License . Internal Design and Implementation: . * A real-world, production quality compiler * A simple and hackable code base * A single unified parser for C, Objective C, C++, and Objective C++ * Conformance with C/C++/ObjC and their variants . This package contains the clang headers to develop extensions over libclang. Package: libclang1 Source: clang Version: 1:3.0-6.2 Architecture: armhf Maintainer: LLVM Packaging Team Installed-Size: 6892 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libllvm3.0, libstdc++6 (>= 4.6), libstdc++6-4.6-dev Homepage: http://www.llvm.org/ Priority: optional Section: devel Filename: pool/main/c/clang/libclang1_3.0-6.2_armhf.deb Size: 2962070 SHA256: 18bbdab8ef0dfaaf8df4c2c56f208071d7adb13419586715dab39a03832b63c7 SHA1: 8071ff2a81bbe6c305b79e4db065cf24b9aedb4e MD5sum: d88a445f9231e33b27b95713d2982909 Description: clang library The Low-Level Virtual Machine (LLVM) is a collection of libraries and tools that make it easy to build compilers, optimizers, Just-In-Time code generators, and many other compiler-related programs. LLVM uses a single, language-independent virtual instruction set both as an offline code representation (to communicate code between compiler phases and to run-time systems) and as the compiler internal representation (to analyze and transform programs). This persistent code representation allows a common set of sophisticated compiler techniques to be applied at compile-time, link-time, install-time, run-time, or "idle-time" (between program runs). . Clang project is a C, C++, Objective C and Objective C++ front-end for the LLVM compiler. Some of its goals include the following: . End-User Features: . * Fast compiles and low memory use * Expressive diagnostics (examples) * GCC compatibility . Utility and Applications: . * Modular library based architecture * Support diverse clients (refactoring, static analysis, code generation, etc) * Allow tight integration with IDEs * Use the LLVM 'BSD' License . Internal Design and Implementation: . * A real-world, production quality compiler * A simple and hackable code base * A single unified parser for C, Objective C, C++, and Objective C++ * Conformance with C/C++/ObjC and their variants . This package contains the clang library. Package: libclanlib-dev Source: clanlib Version: 1.0~svn3827-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 29656 Depends: libclanapp-1.0 (= 1.0~svn3827-3), libclansdl-1.0 (= 1.0~svn3827-3) Recommends: libfreetype6-dev, libglu1-mesa-dev | xlibmesa-dev | libgl-dev, libjpeg-dev, libmikmod2-dev, libpng12-0-dev, libvorbis-dev Suggests: clanlib-doc (>= 0.6.0-2) Conflicts: clanlib-dev, clanlib0-common, clanlib2 (<< 0.6.1-1-3), libutahglx-dev Replaces: clanlib-dev Provides: clanlib-dev Homepage: http://www.clanlib.org/ Priority: optional Section: libdevel Filename: pool/main/c/clanlib/libclanlib-dev_1.0~svn3827-3_armhf.deb Size: 5156310 SHA256: 2286073cf11e12e1ec6b527b1790e262133646c6ec9e3ed6d0ccc3afd950d8ad SHA1: 9692320a6202450000a76522e2fc0497a171659b MD5sum: 56abe713764f9559187a672858064b82 Description: ClanLib game SDK development files ClanLib is a multi-platform software development kit, with an emphasis on game development. . On one side, it tries to provide a platform independent interface to write games with, by taking over the low-level work from the game and providing wrappers around toolkits such as DirectFB, DirectX, OpenGL, Vorbis, X11, etc., so that if a game is written with ClanLib, the game should compile on any platform supported by ClanLib without changing its source code. . On the other hand, ClanLib also tries to be a service-minded SDK. In other words, the developers have put great effort into designing the API, to ensure ClanLib's ease of use - while maintaining its power. . This is the development part of the ClanLib SDK, which is needed to build applications with it. Package: libclansdl-1.0 Source: clanlib Version: 1.0~svn3827-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 258 Depends: libc6 (>= 2.13-28), libclanapp-1.0, libgcc1 (>= 1:4.4.0), libsdl-gfx1.2-4 (>= 2.0.22), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Homepage: http://www.clanlib.org/ Priority: optional Section: libs Filename: pool/main/c/clanlib/libclansdl-1.0_1.0~svn3827-3_armhf.deb Size: 67616 SHA256: c1c4090194cd6cbe50045fad01ee53f22a9411ba4b736d208e5c4a2feb34718f SHA1: 77918811156adfc19a2ed7fe1922e206a561a7d1 MD5sum: 1070c44e44a7763f47cd42875fa96368 Description: SDL module for ClanLib game SDK ClanLib delivers a platform independent interface to write games with. If a game is written with ClanLib, it should be possible to compile the game under any platform (supported by ClanLib, that is) without changing the application source code. . But ClanLib is not just a wrapper library, providing a common interface to low-level libraries such as DirectFB, DirectX, OpenGL, X11, etc. While platform independence is ClanLib's primary goal, it also tries to be a service-minded game SDK. In other words, great effort has been put into designing the API, to ensure ClanLib's ease of use - while maintaining its power. . This package contains the SDL module (clanSDL). Package: libclass-accessor-chained-perl Version: 0.01.1~debian-2.1 Installed-Size: 80 Maintainer: James Bromberger Architecture: all Depends: libclass-accessor-perl, perl (>= 5.6.0-16) Size: 8008 SHA256: 69b8eb0ff5ac13e4e83e58b94edcc07370969e0c99121afcb8121304a1c57bf8 SHA1: 2da471c9f964df4268353968cabdcad2d0fed65e MD5sum: 3baa068bb9163daac2acdd16fe2206a5 Description: make chained accessors A chained accessor is one that always returns the object when called with parameters (to set), and the value of the field when called with no arguments. . This module subclasses Class::Accessor in order to provide the same mk_accessors interface. . This description was automagically extracted from the module by dh-make-perl. Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-accessor-chained-perl/libclass-accessor-chained-perl_0.01.1~debian-2.1_all.deb Package: libclass-accessor-children-perl Version: 0.02-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-accessor-perl Size: 8526 SHA256: 93fa61f1a6d651330b9b353bc649bb9d33349eda2bb66bdc41315edbd9645a26 SHA1: 03e4c2535cc0113d2de571518060b547ec9ba032 MD5sum: 1b6be8bfd05713d18033c1158a0d33ac Description: perl module for automated child-class/accessor generation Class::Accessor::Children automagically generates child classes which have accessor/mutator methods. . This module inherits Class::Accessor to make accessors. Homepage: http://search.cpan.org/dist/Class-Accessor-Children/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-accessor-children-perl/libclass-accessor-children-perl_0.02-1_all.deb Package: libclass-accessor-class-perl Version: 0.501-3 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-accessor-perl Size: 9754 SHA256: db4f1ef586bca17acd0a4af3884a7157e7f15c115a2e01a6b4bc7437e4aa3fc7 SHA1: 984adb7769d8314c569308c07375102ae061b055 MD5sum: e9d0cf90f2b8f231e50ee852a5185df7 Description: simple class variable accessors Class::Accessor::Class provides a simple way to create accessor and mutator methods for class variables, just as Class::Accessor provides for objects. It can use either an enclosed lexical variable, or a package variable. . This module was once implemented in terms of Class::Accessor, but changes to that module broke this relationship. Class::Accessor::Class is still a subclass of Class::Accessor, strictly for historical reasons. As a side benefit, a class that isa Class::Accessor::Class is also a Class::Accessor and can use its methods. Homepage: http://search.cpan.org/dist/Class-Accessor-Class/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-accessor-class-perl/libclass-accessor-class-perl_0.501-3_all.deb Package: libclass-accessor-classy-perl Version: 0.9.1-1 Installed-Size: 88 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 14646 SHA256: b0bc3b870dc9419033cc1a60b844b9489741a250f5da77a5f5611b646aeec493 SHA1: 541c186888dc6a8ade88b0f0f1ac6d45706227d1 MD5sum: 539c87ebb50f6b6d267f27905b6e3bc4 Description: Perl module providing minimalist, fast accessors Class::Accessor::Classy provides an extremely small-footprint accessor/mutator declaration scheme for fast and convenient object attribute setup. Its intent is to be a simple and speedy mechanism for preventing hash-key typos rather than a full-blown object system with type checking and so on. . The accessor ('foo') and mutator ('set_foo') methods appear as a hidden parent class of your package and stay out of your way otherwise. Homepage: http://search.cpan.org/dist/Class-Accessor-Classy/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-accessor-classy-perl/libclass-accessor-classy-perl_0.9.1-1_all.deb Package: libclass-accessor-grouped-perl Version: 0.10006-1 Installed-Size: 78 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-inspector-perl, libsub-name-perl (>= 0.05) Recommends: libclass-xsaccessor-perl (>= 1.13) Size: 20022 SHA256: e216b51c3b797ab0f9122b079793207cc02976728a05a57fb0f87da7fd34d0a9 SHA1: 37247a19e871afe2638a36d7bc22a5272c3d68b3 MD5sum: b5082ace3a4f67b392965e7071c31769 Description: Perl module to build groups of accessors Class::Accessor::Grouped is a Perl module that allows you to build groups of accessors that will call different getters and setters. . For more information, see Class::Accessor (libclass-accessor-perl). Homepage: http://search.cpan.org/dist/Class-Accessor-Grouped/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-accessor-grouped-perl/libclass-accessor-grouped-perl_0.10006-1_all.deb Package: libclass-accessor-lvalue-perl Version: 0.11-2 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: libclass-accessor-perl, libscalar-list-utils-perl, libwant-perl, perl (>= 5.6.0-16) Size: 8734 SHA256: 39ea33000311bf5f8002bb094d7326f80aab0b810ecdd56b62950cec77769ab1 SHA1: bb0e699282f8bbe4599ca7c3913441bf875ccd44 MD5sum: 7e19f24f120b28aee6a1c728414b23d2 Description: Create Lvalue accessors Class::Accessor::Lvalue subclasses Class::Accessor in order to provide lvalue accessor makers. Homepage: http://search.cpan.org/dist/Class-Accessor-Lvalue/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: extra Filename: pool/main/libc/libclass-accessor-lvalue-perl/libclass-accessor-lvalue-perl_0.11-2_all.deb Package: libclass-accessor-named-perl Version: 0.008-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libclass-accessor-perl, libsub-name-perl, libuniversal-require-perl, libhook-lexwrap-perl Size: 8430 SHA256: 4b06cadf83b7ce2bf8ee7201984608e2d46dab455eb6e489e0256d45bed7dab8 SHA1: da198826bc8f2860aac8973c8f4dab40467f3e1f MD5sum: 135065f5d2cd9dc158a2fc4c9c6fcf25 Description: better profiling output for Class::Accessor Class::Accessor is a great way to automate the tedious task of generating accessors and mutators. One small drawback is that due to the details of the implemenetation, you only get one __ANON__ entry in profiling output. That entry contains all your accessors, which can be a real pain if you're attempting to figure out which of your accessors is being called six billion times. . Class::Accessor::Names is a development aid which uses Hook::LexWrap and Sub::Name to talk your accessors into identifying themselves. While it shouldn't add much additional runtime overhead (as it acts only Class::Accessor's generator functions), it has not been designed for production deployment. Homepage: http://search.cpan.org/dist/Class-Accessor-Named/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-accessor-named-perl/libclass-accessor-named-perl_0.008-1_all.deb Package: libclass-accessor-perl Version: 0.34-1 Installed-Size: 104 Maintainer: Debian Perl Group Architecture: all Depends: perl, libsub-name-perl Size: 25950 SHA256: 46b6db3b405da6e4a6519e03d8578bbfde4f1a7d968eede28574c936bc520797 SHA1: 85e6a74a3b1403edaf1bf289f421872405194b43 MD5sum: e8d44fcd57dcb4a39e53f7956b30dd22 Description: Perl module that automatically generates accessors Class::Accessor automagically generates accessor/mutator methods for your class. Most of the time, writing accessors is an exercise in cutting and pasting. If you make your module a subclass of Class::Accessor and declare your accessor fields with mk_accessors() then you will find yourself with a set of automatically generated accessors which can even be customized. Homepage: http://search.cpan.org/dist/Class-Accessor/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-accessor-perl/libclass-accessor-perl_0.34-1_all.deb Package: libclass-adapter-perl Version: 1.07-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 21814 SHA256: 078ad22e7dd27e03ee5de093b0b6177064fad1de07c677b50a6ffe71e1d13c62 SHA1: 45b23ba4172b6c6c25bc8bb930c5cd62280806da MD5sum: 5200a5ef87733ae5e92e5a7a09a0b8c9 Description: Perl implementation of the "Adapter" Design Pattern The Class::Adapter class is intended as an abstract base class for creating any sort of class or object that follows the Adapter pattern. . The term Adapter refers to a "Design Pattern" of the same name, from the famous "Gang of Four" book "Design Patterns". Although their original implementation was designed for Java and similar single-inheritance strictly-typed langauge, the situation for which it applies is still valid. . An Adapter in this Perl sense of the term is when a class is created to achieve by composition (objects containing other object) something that can't be achieved by inheritance (sub-classing). . This is similar to the Decorator pattern, but is intended to be applied on a class-by-class basis, as opposed to being able to be applied one object at a time, as is the case with the Decorator pattern. Homepage: http://search.cpan.org/dist/Class-Adapter/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-adapter-perl/libclass-adapter-perl_1.07-1_all.deb Package: libclass-autouse-perl Version: 2.01-1 Installed-Size: 97 Maintainer: Debian Perl Group Architecture: all Depends: perl, libprefork-perl Size: 29504 SHA256: 432b3c68e0fad977f954c116a5b3b2dd147b0b6c0997c77a666662b58b90c069 SHA1: 50b860ebec3cec54527cc193c8d8ee2371e3693a MD5sum: a4f572a81187ae9b7319f9f8270b0c2c Description: module for deferring loading ('use'ing) of a class until run time Class::Autouse allows you to specify a class the will only load when a method of the class is called. For large classes that might not be used during the running of a program, such as Date::Manip, this can save you large amounts of memory, and decrease the script load time. Homepage: http://search.cpan.org/dist/Class-Autouse/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-autouse-perl/libclass-autouse-perl_2.01-1_all.deb Package: libclass-base-perl Version: 0.05-1 Installed-Size: 74 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 19196 SHA256: c4c69e8b2926f211591fdbd81031edc38621cb4820e33d53339b9de60ae400cf SHA1: db0f8042b01ab30f941ce4b27e1d19bf7f38ca92 MD5sum: 4bd2066a3b97e40de58438fd694721ad Description: useful base class for deriving other modules Class::Base implements a simple base class from which other modules can be derived, thereby inheriting a number of useful methods such as new(), init(), params(), clone(), error() and debug(). Homepage: http://search.cpan.org/dist/Class-Base/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-base-perl/libclass-base-perl_0.05-1_all.deb Package: libclass-c3-adopt-next-perl Version: 0.12-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libmro-compat-perl, liblist-moreutils-perl Size: 11086 SHA256: df5ff4d98bf24f5337424a977ce651d8dceecf75e9b72347b93b36b78c7e159d SHA1: f677240db170f59c00df23b2ed5bf5e30b1c6bab MD5sum: 6371827c14da02c7a6742160b57cb2cc Description: drop-in replacement for NEXT, using Class::C3 to do the hard work Class::C3::Adopt::NEXT is intended as a drop-in replacement for NEXT, supporting the same interface, but using Class::C3 to do the hard work. You can then write new code without NEXT, and migrate individual source files to use Class::C3 or method modifiers as appropriate, at whatever pace you're comfortable with. Homepage: http://search.cpan.org/dist/Class-C3-Adopt-NEXT/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-c3-adopt-next-perl/libclass-c3-adopt-next-perl_0.12-1_all.deb Package: libclass-c3-componentised-perl Version: 1.001000-1 Installed-Size: 32 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-c3-perl, libclass-inspector-perl, libmro-compat-perl Size: 14072 SHA256: 733ac1539c4b984879f9c3f2d448b59366811340248fbe2c3bd8a322f9aeb80c SHA1: 06b92bd174a292fb4083b066164f488487053c98 MD5sum: 2a89967b00b506d48d784b035d0a4192 Description: module to load mix-ins or components to C3-based classes Class::C3::Componentised is a Perl module that injects multiple base classes into your module using the Class::C3 method resolution order. . Note that plugins loaded this way cannot be used to override methods in your module. Consider MooseX::Object::Pluggable (libmoosex-object-pluggable-perl) if your application requires this. Homepage: http://search.cpan.org/dist/Class-C3-Componentised/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-c3-componentised-perl/libclass-c3-componentised-perl_1.001000-1_all.deb Package: libclass-c3-perl Version: 0.24-1 Installed-Size: 79 Maintainer: Debian Perl Group Architecture: all Depends: perl, libalgorithm-c3-perl Recommends: libclass-c3-xs-perl Size: 23830 SHA256: 3561e9f972ee56e944dc316b7434f8b66de7a90398a2236a2631afd58027047e SHA1: 1894f014395d82cd87a28601a06ec828293315e2 MD5sum: 1c48a49b9be5ea9a2f142570b0c02c1c Description: pragma for using the C3 method resolution order Class::C3 is a Perl pragma that modifies the standard method resolution order from depth-first left-to-right to the more sophisticated C3 method resolution order. . The C3 algorithm aims to provide a sane method resolution order with multiple inheritance. It was first introduced in the Dylan language, later adopted for Python 2.3. It is also the canonical MRO implementation for Perl 6 classes and is the default for Parrot objects as well. Homepage: http://search.cpan.org/dist/Class-C3/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libc/libclass-c3-perl/libclass-c3-perl_0.24-1_all.deb Package: libclass-c3-xs-perl Version: 0.13-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 94 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Enhances: libclass-c3-perl (>= 0.16) Homepage: http://search.cpan.org/dist/Class-C3-XS/ Priority: optional Section: perl Filename: pool/main/libc/libclass-c3-xs-perl/libclass-c3-xs-perl_0.13-1_armhf.deb Size: 15982 SHA256: 957d2891d7385926791b033c6f15756937b53b158fc51e96d14a9cc51fcc6e10 SHA1: 08ac391680f7932481f3aa1d47b759299b9136ff MD5sum: 4ad0d826a33efd573ff282f728efd96f Description: Perl module to accelerate Class::C3 Class::C3::XS is a Perl module designed to enhance the performance of the Class::C3 package (see libclass-c3-perl). It does so automatically and is not designed to be used directly; once installed, it should accelerate all programs that make use of Class::C3 itself. Package: libclass-container-perl Version: 0.12-3 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Depends: perl, libparams-validate-perl Size: 24114 SHA256: 9d85e0a3ca44207d5cdb33f3a0c2ba8dd27e9a5ae59538c17ab841ebdbba9d36 SHA1: 8f086ccef043c0c8355aafca95a794ed04dcdc4c MD5sum: 73f567b70316af40783bddbf4f243826 Description: Perl module to glue object frameworks together transparently The Class::Container module facilitates building frameworks of several classes that inter-operate. It was first designed and built for HTML::Mason, in which the Compiler, Lexer, Interpreter, Resolver, Component, Buffer, and several other objects must create each other transparently, passing the appropriate parameters to the right class, possibly substituting their own subclass for any of these objects. . The main features of Class::Container are: . * Declaration of parameters used by each member in a class framework . * Transparent passing of constructor parameters to the class that needs them . * Ability to create one (automatic) or many (manual) contained objects automatically and transparently Homepage: http://search.cpan.org/dist/Class-Container/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-container-perl/libclass-container-perl_0.12-3_all.deb Package: libclass-contract-perl Version: 1.14-6 Installed-Size: 200 Maintainer: Nacho Barrientos Arias Architecture: all Depends: perl (>= 5.6.0-16) Size: 60742 SHA256: 1a982fd182ddd8a4fcf92f020db6706e026b5b48cef13c3b377af8a06979cceb SHA1: 689a6b1dfcbdc48c24f3bc95da1413bb5a7ef24e MD5sum: db941163f575eae30e0dedb76eff8d86 Description: Design-by-Contract OO in Perl The Class::Contract module implements strong encapsulation, static inheritance, and design-by-contract condition checking for object-oriented Perl. The module provides a declarative syntax for attribute, method, constructor, and destructor definitions at both the object and class level. Pre-conditions, post-conditions, and class invariants are also fully supported. Homepage: http://search.cpan.org/dist/Class-Contract/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-contract-perl/libclass-contract-perl_1.14-6_all.deb Package: libclass-csv-perl Version: 1.03-2.1 Installed-Size: 80 Maintainer: Jose Parrella Architecture: all Depends: perl (>= 5.6.0-16), libclass-accessor-perl, libtext-csv-xs-perl Size: 12472 SHA256: 9fec3613780b6ef6dcb78a4fa2b070eeb53075d98a1fd2ec8a07341e9222624b SHA1: 018a0156550b4c23da8209276f5e8da98b664859 MD5sum: cb95d4fc1ab2e11e19589ca9c4506534 Description: Class based CSV parser/writer This module can be used to create objects from CSV files, or to create CSV files from objects. Text::CSV_XS is used for parsing and creating CSV file lines, so any limitations in Text::CSV_XS will of course be inherant in this module. . This description was automagically extracted from the module by dh-make-perl. Homepage: http://search.cpan.org/dist/Class-CSV/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-csv-perl/libclass-csv-perl_1.03-2.1_all.deb Package: libclass-data-accessor-perl Version: 0.04004-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 10452 SHA256: f322ec99ce4ec1ae55cd6d2afef2a9b8e89b27957bec0474563c8247975bcea1 SHA1: 09bcdba4ea7cffa0e22ddbbffafe21b956bfe363 MD5sum: b97de81d5eae0bd6f3f514a6bf2cfb1d Description: Inheritable, overridable class and instance data accessor creation Class::Data::Accessor is the marriage of Class::Accessor and Class::Data::Inheritable into a single module. It is used for creating accessors to class properties that is overridable in subclasses as well as in class instances. . For example: . Pere::Ubu->mk_classaccessor('Suitcase'); . will generate the method Suitcase() in the class Pere::Ubu. . This new method can be used to get and set a piece of class property. . Pere::Ubu->Suitcase('Red'); $suitcase = Pere::Ubu->Suitcase; Homepage: http://search.cpan.org/dist/Class-Data-Accessor/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libc/libclass-data-accessor-perl/libclass-data-accessor-perl_0.04004-1_all.deb Package: libclass-data-inheritable-perl Version: 0.08-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 8768 SHA256: 23690d324db3636f5b4a95a8f69820b90b16d799c8d3aa57696849a564d8b988 SHA1: 8bfafac67f2fe04b2dad06599e1f23faef4ae2ae MD5sum: e962ef11a5b2c7396609617af9f30460 Description: Inheritable, overridable class data Class::Data::Inheritable is for creating accessor/mutators to class data. That is, if you want to store something about your class as a whole (instead of about a single object). This data is then inherited by your subclasses and can be overriden. . For example: . Pere::Ubu->mk_classdata('Suitcase'); . will generate the method Suitcase() in the class Pere::Ubu. . This new method can be used to get and set a piece of class data. . Pere::Ubu->Suitcase('Red'); $suitcase = Pere::Ubu->Suitcase; Homepage: http://search.cpan.org/dist/Class-Data-Inheritable/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-data-inheritable-perl/libclass-data-inheritable-perl_0.08-1_all.deb Package: libclass-date-perl Version: 1.1.10-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 139 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Class-Date/ Priority: optional Section: perl Filename: pool/main/libc/libclass-date-perl/libclass-date-perl_1.1.10-1_armhf.deb Size: 38880 SHA256: 4ce671acac577cc38aa382094a9b3ec13272b5a27e4cdd96f13b8b02f10f426b SHA1: ef9dfc2c04aa92d5801770789f51068799687f13 MD5sum: 553a0a152ca91cc76e3c16addff0c5ee Description: Perl module for easy date and time manipulation Class::Date provides a general purpose date and time manipulation functions for either relative or absolute dates, featuring an object-oriented interface and overloading. Package: libclass-dbi-abstractsearch-perl Version: 0.07-3 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libclass-dbi-perl (>= 0.93), libsql-abstract-perl (>= 1.20), libsql-abstract-limit-perl (>= 0.1) Size: 8270 SHA256: e08d8e89142b89831bec98acf1d2e46172cbc4312326ecf47cc09ff4ba9c4f76 SHA1: 42eb211e707254da8a67f72fc835f37ec7963368 MD5sum: d1afe7be4865ba8336e5a99e534897ba Description: Abstract Class::DBI's SQL with SQL::Abstract Class::DBI::AbstractSearch is a Class::DBI plugin to glue the SQL::Abstract module into Class::DBI. . Class::DBI provides a convenient abstraction layer to a database. It not only provides a simple database to object mapping layer, but can be used to implement several higher order database functions, at the application level, rather than at the database. . SQL::Abstract provides methods for generating abstract SQL from Perl data structures. Homepage: http://search.cpan.org/dist/Class-DBI-AbstractSearch/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libc/libclass-dbi-abstractsearch-perl/libclass-dbi-abstractsearch-perl_0.07-3_all.deb Package: libclass-dbi-asform-perl Version: 2.42-6 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-dbi-perl, libclass-dbi-plugin-type-perl, libdbi-perl, libhtml-tree-perl Size: 9520 SHA256: e8a1ba8e7bef1ca41500e679fb1168590db952fe2f8b8b3dfbca0fbe09ab3e8d SHA1: d9725dbdcad37a8b5471b55db98830d64a5e186c MD5sum: 54736db3ec9ab526d08e604c5c0f12da Description: module to produce HTML form elements for database columns using Class::DBI The Class::DBI::AsForm module helps to generate HTML forms for creating new database rows or editing existing rows. It maps column names in a database table to HTML form elements which fit the schema. Large text fields are turned into textareas, and fields with a has-a relationship to other Class::DBI tables are turned into select drop-downs populated with objects from the joined class. Homepage: http://search.cpan.org/dist/Class-DBI-AsForm/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with-format::html, works-with::db, works-with::text Section: perl Priority: optional Filename: pool/main/libc/libclass-dbi-asform-perl/libclass-dbi-asform-perl_2.42-6_all.deb Package: libclass-dbi-fromcgi-perl Version: 1.00-4 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libclass-dbi-perl (>= 0.93), libcgi-untaint-perl (>= 0.8) Size: 12006 SHA256: 5681ca947e81d9d903d18b3aa95ace0a256626c7383f79b39489b56ac463b871 SHA1: 98f0d576614e2ec15550b60c407804f182f4fa92 MD5sum: 7b6b2b038203166ffb41408b4144db6f Description: Perl module to update Class::DBI data using CGI::Untaint Lots of times, Class::DBI is used in web-based applications. (In fact, coupled with a templating system that allows you to pass objects, such as Template::Toolkit, Class::DBI is very much your friend for these.) . One of the most irritating things about writing web-based applications is the monotony of writing much of the same stuff over and over again. And, where there's monotony there's a tendency to skip over stuff that is really important, but is a pain to write - like Taint Checking and sensible input validation. (Especially as you can still show a 'working' application without it!). CGI::Untaint can take care of a lot of that for us. . It so happens that CGI::Untaint also plays well with Class::DBI. All you need to do is to 'use Class::DBI::FromCGI' in your class (or in your local Class::DBI subclass that all your other classes inherit from. You do that, don't you?). Homepage: http://search.cpan.org/~tmtm/Class-DBI-FromCGI/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, web::cgi, works-with::db Section: perl Priority: optional Filename: pool/main/libc/libclass-dbi-fromcgi-perl/libclass-dbi-fromcgi-perl_1.00-4_all.deb Package: libclass-dbi-fromform-perl Version: 0.04-3 Installed-Size: 20 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-dbi-fromcgi-perl, libdata-formvalidator-perl Size: 6234 SHA256: 8e6bdb67ba898064e516333ed973b4876eeaa26eac1cb53b5a505e6aa07fd944 SHA1: 8d4c1517763330739c5ce98a6aa68c36cbf53cc8 MD5sum: 4f368c754192e5cc5b8f2ae1a26d7ab8 Description: Perl module to update Class::DBI data using Data::FormValidator Class::DBI::FromForm module allows you to create and update Class::DBI data using Data::FormValidator. Homepage: http://search.cpan.org/dist/Class-DBI-FromForm/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libc/libclass-dbi-fromform-perl/libclass-dbi-fromform-perl_0.04-3_all.deb Package: libclass-dbi-lite-perl Version: 1.033-1 Installed-Size: 195 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdbi-perl, libdbix-contextualfetch-perl, libima-dbi-contextual-perl, libsql-abstract-limit-perl, libsql-abstract-perl Recommends: libcache-memcached-perl, libdbd-sqlite3-perl Size: 70580 SHA256: 71924fac5179e6ca672ac781109fbd88ef4ce7378904b7fcfef644cab81dd69b SHA1: 1427542322fc49a2f1685b4ce41c89f8ce5b4c86 MD5sum: 69955d29f0837cbdb31be1ba7b811f6b Description: lightweight ORM for Perl Class::DBI::Lite offers a simple way to deal with databases in an object-oriented way. . Main difference between Class::DBI and Class::DBI::Lite is Class::DBI::Lite is much more lightweight. Class::DBI::Lite using less resource to deal with database models. . Class::DBI::Lite relies heavily on Ima::DBI::Contextual, SQL::Abstract and Scalar::Util. Homepage: http://search.cpan.org/dist/Class-DBI-Lite/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-dbi-lite-perl/libclass-dbi-lite-perl_1.033-1_all.deb Package: libclass-dbi-loader-perl Version: 0.34-2 Installed-Size: 108 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libclass-dbi-perl (>= 0.89), libdbi-perl (>= 1.31), liblingua-en-inflect-perl Recommends: libclass-dbi-pg-perl (>= 0.07), libclass-dbi-sqlite-perl (>= 0.09), libclass-dbi-mysql-perl Size: 22850 SHA256: 5217a36528e6c1afd6ce4ba4a1804d48768c4f14b3b869ac28a04aa4474b45d8 SHA1: acacdfed6ae53db6c35f15f38d441883af36382c MD5sum: 1f7643c7f86ad73a6efb6f5cfec50045 Description: Perl module for dynamic definition of Class::DBI sub classes Class::DBI::Loader automates the definition of Class::DBI sub-classes. It scans database schemas to set up tables, columns, and primary keys. Class names are defined by table names and namespace option. It currently supports MySQL, Postgres and SQLite. Homepage: http://search.cpan.org/dist/Class-DBI-Loader/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libc/libclass-dbi-loader-perl/libclass-dbi-loader-perl_0.34-2_all.deb Package: libclass-dbi-loader-relationship-perl Version: 1:1.2-4 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-dbi-loader-perl, liblingua-en-inflect-number-perl (>= 1) Size: 8064 SHA256: 681a3e301bb27834d0eebd3e71d0093278d0c6a57482f5f07350210ef7391d93 SHA1: 7f7fe658f869d870c9820f761419bd572cd78288 MD5sum: 09a870f71dd4a52bda70297c41bb6f20 Description: Easier relationship specification in Class::DBI::Loader The Class::DBI::Loader::Relationship module acts as a mix-in, adding the relationship() method to Class::DBI::Loader. Since Class::DBI::Loader knows how to map between table names and class names, there ought to be no need to replicate the names. . In addition, it is common (but not universal) to want reverse relationships defined for has-many relationships, and for has-a relationships to be defined for the linkages surrounding a many-to-many table. . The aim of this module is to simplify the declaration of common database relationships by providing both of these features. . The relationship takes a string. It recognises table names (singular or plural, for convenience) and extracts them from the "sentence". Homepage: http://search.cpan.org/dist/Class-DBI-Loader-Relationship/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libc/libclass-dbi-loader-relationship-perl/libclass-dbi-loader-relationship-perl_1.2-4_all.deb Package: libclass-dbi-mysql-perl Version: 1.00-3 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-dbi-perl (>= 0.94), libdbd-mysql-perl Recommends: libtime-piece-mysql-perl Size: 10842 SHA256: 7a0792644c1c09a8a965606332f0d16702281315b57f1b8a5af37be34c6dbf4e SHA1: 1cd755aaa9c379b9275b0ef6fdd163a04cef0552 MD5sum: c1fa6a40e2530fa10d2f0d3c7f29d28e Description: extensions to Class::DBI for MySQL The Class::DBI::mysql module is an extension to Class::DBI, containing several functions and optimisations for the MySQL database. Homepage: http://search.cpan.org/dist/Class-DBI-mysql/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, role::devel-lib, works-with::db Section: perl Priority: extra Filename: pool/main/libc/libclass-dbi-mysql-perl/libclass-dbi-mysql-perl_1.00-3_all.deb Package: libclass-dbi-pager-perl Version: 0.08-4 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libclass-dbi-perl (>= 0.90), libdata-page-perl (>= 0.13), libexporter-lite-perl (>= 0.01) Size: 8398 SHA256: 01d1a043123186c1410b841c6291a72e87059159c91124c19d74d69885f1683e SHA1: a13ca4ba56d5c42f4051d1b51074b565f5001099 MD5sum: c48ec0979f9874370933e2c6e1cc1d6f Description: pager utility for Class::DBI Class::DBI::Pager is a plugin for Class::DBI, which glues Data::Page with Class::DBI. This module reduces your work a lot, for example when you have to do something like: . * retrieve objects from a database * display objects with 20 items per page . In addition, your work will be reduced more, when you use Template-Toolkit as your templating engine. Homepage: http://search.cpan.org/dist/Class-DBI-Pager/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libc/libclass-dbi-pager-perl/libclass-dbi-pager-perl_0.08-4_all.deb Package: libclass-dbi-perl Version: 3.0.17-4 Installed-Size: 280 Maintainer: Debian Perl Group Architecture: all Depends: libclass-accessor-perl (>= 0.18), libclass-data-inheritable-perl (>= 0.02), libima-dbi-perl (>= 0.33), libclass-trigger-perl (>= 0.07), libuniversal-moniker-perl (>= 0.06), libclone-perl, perl Recommends: libclass-dbi-abstractsearch-perl Suggests: libclass-dbi-pg-perl, libclass-dbi-mysql-perl, libclass-dbi-sqlite-perl, libclass-dbi-loader-perl Size: 119512 SHA256: 524b987db6894bd4256fdb806cbb2517c6c832359d96fa500863cda51477a192 SHA1: bb00073e266a0905bc83988921c2986486cc2015 MD5sum: c8a8fb6c32b018d61eb119d029ff48a9 Description: convenient abstraction layer to a database Class::DBI not only provides a simple database to object mapping layer, but can be used to implement several higher order database functions (triggers, referential integrity, cascading delete etc.), at the application level, rather than at the database. . This is particularly useful when using a database which doesn't support these (such as MySQL), or when you would like your code to be portable across multiple databases which might implement these things in different ways. . In short, Class::DBI aims to make it simple to introduce 'best practice' when dealing with data stored in a relational database. Homepage: http://search.cpan.org/~tmtm/Class-DBI/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libc/libclass-dbi-perl/libclass-dbi-perl_3.0.17-4_all.deb Package: libclass-dbi-pg-perl Version: 0.09-4 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-dbi-perl (>= 0.89), libdbd-pg-perl (>= 1.13) Size: 9224 SHA256: 4bed31cb02d4d74260515938dc5d018d721474cd964b49fdae394defc281ab02 SHA1: 9155288b55576ac50f8c059759c1304acb00cccb MD5sum: eb27af4ca3c40e5eb9cc4b08dfc0f3d2 Description: Class::DBI extension for Postgres Class::DBI::Pg automates the setup of Class::DBI columns and primary keys for PostgreSQL. . It selects the PostgreSQL system catalog and finds out all columns, primary keys and SERIAL type columns. Homepage: http://search.cpan.org/dist/Class-DBI-Pg/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libc/libclass-dbi-pg-perl/libclass-dbi-pg-perl_0.09-4_all.deb Package: libclass-dbi-plugin-abstractcount-perl Version: 0.08-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libclass-dbi-perl (>= 0.95), libclass-dbi-plugin-perl (>= 0.02), libsql-abstract-perl (>= 1.50) Size: 7966 SHA256: 364976b2ba914cd072d50888c0d400cff6bb3c2dc636d257877cecb50e7591fb SHA1: bf58dc0df031f279ebd545ec9247da6b08d74d35 MD5sum: aca7a838fe399ed64edf50361ba20082 Description: Class::DBI plugin to get COUNT(*) results with abstract SQL The Class::DBI::Plugin::AbstractCount module combines the functionality from Class::DBI::Plugin::CountSearch (counting objects without having to use an array or an iterator), and Class::DBI::AbstractSearch, which allows complex where-clauses a la SQL::Abstract. Homepage: http://search.cpan.org/dist/Class-DBI-Plugin-AbstractCount/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, role::devel-lib, role::plugin, works-with::db Section: perl Priority: extra Filename: pool/main/libc/libclass-dbi-plugin-abstractcount-perl/libclass-dbi-plugin-abstractcount-perl_0.08-1_all.deb Package: libclass-dbi-plugin-pager-perl Version: 0.561-4 Installed-Size: 108 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-data-inheritable-perl, libclass-dbi-perl (>= 0.90), libclass-dbi-plugin-abstractcount-perl, libdata-page-perl (>= 2), libsql-abstract-perl (>= 1.55), libuniversal-exports-perl Size: 16468 SHA256: 37cf2917c598e1592841d1292f735eab7310e31d0e23ad0f91705d14bb51dcb6 SHA1: bd51a818c8250e5dc758596f2cf2d01caa94c045 MD5sum: d14864067f89fd0b87dd952f29b060ed Description: Class::DBI::Plugin::Pager - paged queries for CDBI Adds a pager method to your class that can query using SQL::Abstract where clauses, and limit the number of rows returned to a specific subset. Homepage: http://search.cpan.org/dist/Class-DBI-Plugin-Pager/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, role::devel-lib, role::plugin, works-with::db Section: perl Priority: extra Filename: pool/main/libc/libclass-dbi-plugin-pager-perl/libclass-dbi-plugin-pager-perl_0.561-4_all.deb Package: libclass-dbi-plugin-perl Version: 0.03-5 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libclass-dbi-perl (>= 0.90) Size: 7734 SHA256: 06999b99bc36b373034975b79dd47544fa7108b342916df886c64a26da5f6358 SHA1: a510bb37428bc38820327041299f827214c0bbca MD5sum: 9bf657dcff51007713d8060145e26951 Description: abstract base class for Class::DBI plugins The purpose of the Class::DBI::Plugin module is to make writing Class::DBI plugins easier. Writers of plugins should be able to concentrate on the functionality that their module provides, instead of having to deal with the symbol table hackery involved when writing a plugin module. . Class::DBI provides a convenient abstraction layer to a database. It not only provides a simple database to object mapping layer, but can be used to implement several higher order database functions, at the application level, rather than at the database. Homepage: http://search.cpan.org/dist/Class-DBI-Plugin/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libc/libclass-dbi-plugin-perl/libclass-dbi-plugin-perl_0.03-5_all.deb Package: libclass-dbi-plugin-retrieveall-perl Version: 1.04-3 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libclass-dbi-perl (>= 0.95) Size: 7586 SHA256: 4d64151730d6bf22afc7179d8f2afc9078d8eb4b79fd65c21cce5fb23a85fc98 SHA1: b848102f21ef67aaa0b9dc5f03ac74019b7986d6 MD5sum: 47e90a214367453fc2f36ee65b56a434 Description: Class::DBI plugin providing a more complex retrieve_all() method Class::DBI::Plugin::RetrieveAll is a simple plugin to a Class::DBI subclass which provides a 'retrieve_all_sorted_by' method. . This method will be exported into the calling class, and allows for retrieving all the objects of the class, sorted by the given column. . The argument given will be passed straight through to the database 'as is', and is not checked in any way, so an error here will probably result in an error from the database, rather than Class::DBI itself. However, because of this it is possible to pass more complex ORDER BY clauses through: Homepage: http://search.cpan.org/dist/Class-DBI-Plugin-RetrieveAll/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libc/libclass-dbi-plugin-retrieveall-perl/libclass-dbi-plugin-retrieveall-perl_1.04-3_all.deb Package: libclass-dbi-plugin-type-perl Version: 0.02-7 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libclass-dbi-perl (>= 0.94) Size: 6576 SHA256: a9aa6d37e72fbc0fd480d9894f617e9bd00b687f88b4545b9a775266e26c6819 SHA1: c0272ac80a55d6a1aba5e7eb9505f671107a9b1c MD5sum: 95e112bc543ff0f82f90b99fd53b6ca8 Description: Class::DBI plugin to determine type information for table columns The Class::DBI::Plugin::Type module allows Class::DBI-based classes to query their columns for data type information in a database-independent manner. Homepage: http://search.cpan.org/~simon/Class-DBI-Plugin-Type/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libc/libclass-dbi-plugin-type-perl/libclass-dbi-plugin-type-perl_0.02-7_all.deb Package: libclass-dbi-sqlite-perl Version: 0.11-4 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libclass-dbi-perl, libdbd-sqlite3-perl Size: 7160 SHA256: d25a6a1579827e39af979228d049f15438ec9414567640a59d1f7e0e2c7c2dcf SHA1: 3feed07cb2fdfc8b8ec81b926c2e0879ce03cc5e MD5sum: c8389f4008eece0b08e24da8137e737b Description: extension to Class::DBI for sqlite Class::DBI::SQLite is an extension to Class::DBI for DBD::SQLite. It allows you to populate an auto-incremented row id after insert. Homepage: http://search.cpan.org/dist/Class-DBI-SQLite/ Tag: devel::lang:perl, devel::lang:sql, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libc/libclass-dbi-sqlite-perl/libclass-dbi-sqlite-perl_0.11-4_all.deb Package: libclass-dbi-sweet-perl Version: 0.10-1 Installed-Size: 116 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-dbi-perl (>= 3.0.12), libdata-page-perl, libdbd-sqlite3-perl (>= 1.08), libdbi-perl, libsql-abstract-perl (>= 1.55) Size: 26144 SHA256: 1db42d42a470a333ebfd0cd909123402c459fc363e868ec57f58e260883dff27 SHA1: f40345297ba679b565177d9c0f8e249c6ce4539d MD5sum: 628ac59cc1528fd2c9eedcbe82de33cc Description: Perl module providing a sweeter Class::DBI Class::DBI::Sweet provides convenient count, search, page and cache functions in a simple package. It integrates these functions with the usual Class::DBI (libclass-dbi-perl) interface in a convenient and efficient way. Homepage: http://search.cpan.org/dist/Class-DBI-Sweet/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-dbi-sweet-perl/libclass-dbi-sweet-perl_0.10-1_all.deb Package: libclass-default-perl Version: 1.51-2 Installed-Size: 28 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 11156 SHA256: d5dc0c64189a57f1cbc0d3cd2bf25a24c7f0dc487d8242cb51df493d2cc1adbe SHA1: 039af7a1e982e99ebd8637347b818528056b8e0d MD5sum: 95d019c603b65238815be69aee21a859 Description: Perl module to make static calls apply to a default instantiation Class::Default provides a mechanism to allow your class to take static method calls and apply it to a default instantiation of an object. It provides a flexibility to an API that allows it to be used more comfortably in different situations. . This technique appears to be especially useful when writing modules that you want to be used in either a single use or a persistent environment. In a CGI like environment, you want the simplicity of a static interface. You can call Class->method directly, without having to pass an instantiation around constantly. Homepage: http://search.cpan.org/dist/Class-Default/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libc/libclass-default-perl/libclass-default-perl_1.51-2_all.deb Package: libclass-delegator-perl Version: 0.09-1 Installed-Size: 76 Maintainer: Nacho Barrientos Arias Architecture: all Depends: perl (>= 5.6.0-16) Size: 15464 SHA256: f7f2a4a32c95c8caeaa0d1c67b035ee5b6d0ce16d09f8e9187ee90d7d8b3a100 SHA1: 5f37dded814797bc21838fcf9ec42ff93bbb94f8 MD5sum: 0025af064b713559b4f8f54db6537e6d Description: Perl module for a simple and fast object-oriented delegation This module provides a subset of the functionality of Damian Conway's lovely Class::Delegation module. . However the specification semantics of Class::Delegator differ slightly from those of Class::Delegation, so this module isn't a drop-in replacement for Class::Delegation. Homepage: http://search.cpan.org/dist/Class-Delegator/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::source Section: perl Priority: optional Filename: pool/main/libc/libclass-delegator-perl/libclass-delegator-perl_0.09-1_all.deb Package: libclass-errorhandler-perl Version: 0.01-2 Installed-Size: 64 Maintainer: Dominic Hargreaves Architecture: all Depends: perl (>= 5.6.0-16) Size: 6286 SHA256: e84b057a20d32c5bdce6e1aed0683882bf9b8f6b1eb763bd8c41d5dbe0a242c0 SHA1: a691806d1c70fa489e5e89f11eec6c5820782fc7 MD5sum: 0f7a5b61a386376d934901eff955fe37 Description: Base class for error handling Class::ErrorHandler provides an error-handling mechanism that's generic enough to be used as the base class for a variety of OO classes. Subclasses inherit its two error-handling methods, error and errstr, to communicate error messages back to the calling program. Tag: devel::debugger, devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-errorhandler-perl/libclass-errorhandler-perl_0.01-2_all.deb Package: libclass-factory-perl Version: 1.06-2 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 20806 SHA256: 5a02d655ca620ed095ac2511654d478a5131dd3adbaf4a543f74b710ebc16f1b SHA1: a6b28fc4518d50360de737326d44b7e0fa304f08 MD5sum: 28b2cf44c8a16ee10ffdc31780cbd501 Description: Base class for dynamic factory classes This is a simple module that factory classes can use to generate new types of objects on the fly, providing a consistent interface to common groups of objects. . Factory classes are used when you have different implementations for the same set of tasks but may not know in advance what implementations you will be using. With a factory class this is easy. Class::Factory even provides a simple constructor for you. Homepage: http://search.cpan.org/dist/Class-Factory/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-factory-perl/libclass-factory-perl_1.06-2_all.deb Package: libclass-factory-util-perl Version: 1.7-2 Installed-Size: 20 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 7190 SHA256: ccecf939ce70fcd86abc4c042b50fd689c77f88b39f0940852f2026c481288af SHA1: 09fcf90c4283c19e06dbf037b68074750e41ba9e MD5sum: 2f71ff03175f25a993a237a9e4a62752 Description: Utility method for factory classes When this module is loaded, it creates a method in its caller named subclasses(). This method returns a list of the available subclasses for the package. Homepage: http://search.cpan.org/dist/Class-Factory-Util/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-factory-util-perl/libclass-factory-util-perl_1.7-2_all.deb Package: libclass-field-perl Version: 0.15-3 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.1) Size: 7734 SHA256: 5945f0a7532584056d9452e9bdfbb68ceeb7ff871687ce936f910545aaed80ce SHA1: 70c7b24105dcf9adb47f05cc842d41a520bb9c67 MD5sum: 968b80d39fa6413c3f072f9b86947f03 Description: Class Field Accessor Generator Class::Field exports two subroutines, field and const. These functions are used to declare fields and constants in your class. . Class::Field generates custom code for each accessor that is optimized for speed. Homepage: http://search.cpan.org/dist/Class-Field/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-field-perl/libclass-field-perl_0.15-3_all.deb Package: libclass-gomor-perl Version: 1.02-1 Installed-Size: 100 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 18140 SHA256: d8dfd72580d5f5e11ac0d2d645a38fa075ab2093f652920dbf3926be797dd560 SHA1: e5bf86619ca1ac57e0a20ebb109a62542a16963a MD5sum: b71c7dd0b927ed6e8b3a08e1177440af Description: class and object builder Class::Gomor is a Perl class and object builder, providing features like automatic validation of attributes. It can automatically create accessors for scalar or array attributes, as well as supporting custom attributes. Homepage: http://search.cpan.org/dist/Class-Gomor/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-gomor-perl/libclass-gomor-perl_1.02-1_all.deb Package: libclass-handle-perl Version: 1.07-2 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-inspector-perl, libclass-isa-perl | perl (<< 5.10.1-13) Size: 12554 SHA256: c807671d96467c62adb9cfc9f4549404c57c4aa77423ee974c2179232612f078 SHA1: 65de70ebf2443d5b8851d129ccbbb24eb70e8b7a MD5sum: 2616f5654a60583b2f149fb5fd3e5888 Description: module to create objects which are handles to classes Class::Handle is a Perl module that attempts to provide a convenient object wrapper around the various different types of functions that can be performed on a class. It provides what is effectively a combined API from UNIVERSAL, Class::ISA and Class::Inspector for obtaining information about a class, and some additional task methods. Homepage: http://search.cpan.org/dist/Class-Handle/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-handle-perl/libclass-handle-perl_1.07-2_all.deb Package: libclass-inner-perl Version: 0.200001-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8948 SHA256: 73972aaf4329a53ddd1531752e8447a9cb91b0501de8fbce1d9c927e0b62def1 SHA1: 7e03a7799a09b4c512dbac1a2d778892ff18a8fc MD5sum: f886c7d1932b1a6189f19b103a58368f Description: module providing Java-like inner classes Class::Inner is an implementation of an anonymous class with per-object overrideable methods, but with the added attraction of sort-of-working dispatch to the parent class's method. Homepage: http://search.cpan.org/dist/Class-Inner/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-inner-perl/libclass-inner-perl_0.200001-1_all.deb Package: libclass-insideout-perl Version: 1.10-2 Installed-Size: 172 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-isa-perl | perl (<< 5.10.1-13) Size: 45622 SHA256: 51c2e568132991f39e5a7b54ce84726ccfeaf5d54b6d949d2023e2916140a2e5 SHA1: e0a3b5abafa299fab7250b8d8283358b2bda6a5a MD5sum: 264191917bb11715309c16faf38f8fc9 Description: safe, simple inside-out object construction kit Class::InsideOut is a simple, safe and streamlined toolkit for building inside-out objects. Unlike most other inside-out object building modules already on CPAN, this module aims for minimalism and robustness: . * Does not require derived classes to subclass it * Uses no source filters, attributes or CHECK blocks * Supports any underlying object type including black-box inheritance * Does not leak memory on object destruction * Overloading-safe * Thread-safe for Perl 5.8.5 or better * mod_perl compatible * Makes no assumption about inheritance or initializer needs . It provides the minimal support necessary for creating safe inside-out objects and generating flexible accessors. Homepage: http://search.cpan.org/dist/Class-InsideOut/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-insideout-perl/libclass-insideout-perl_1.10-2_all.deb Package: libclass-inspector-perl Version: 1.27-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 20580 SHA256: 6a2a865c3681025eca5d1437b0a5db540fe24ba9644de980dc2430d94b6ec970 SHA1: 5c77e1dbcaec95f4e340b695149281293c1e988a MD5sum: 0197499defd73ed760ee54e46aa1f302 Description: Perl module that provides information about classes Class::Inspector allows you to get information about a loaded class. Most or all of this information can be found in other ways, but they aren't always very friendly, and usually involve a relatively high level of Perl wizardry, or strange and unusual looking code. Class::Inspector attempts to provide an easier, more friendly interface to this information. Homepage: http://search.cpan.org/dist/Class-Inspector/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, use::checking Section: perl Priority: optional Filename: pool/main/libc/libclass-inspector-perl/libclass-inspector-perl_1.27-1_all.deb Package: libclass-isa-perl Version: 0.36-3 Architecture: all Maintainer: Debian Perl Group Installed-Size: 55 Homepage: http://search.cpan.org/dist/Class-ISA/ Priority: standard Section: perl Filename: pool/main/libc/libclass-isa-perl/libclass-isa-perl_0.36-3_all.deb Size: 12300 SHA256: 00f78f5287abc61bbd4a8679b55055de481149a54d01e5aea89e69667d968294 SHA1: f6e3c7e2026632296078e9bc480fd58c53b6aae4 MD5sum: 477959449ee6266b26123ebc6440f936 Description: report the search path for a class's ISA tree Suppose you have a class (like Food::Fish::Fishstick) that is derived, via its @ISA, from one or more superclasses (as Food::Fish::Fishstick is from Food::Fish, Life::Fungus, and Chemicals), and some of those superclasses may themselves each be derived, via its @ISA, from one or more superclasses (as above). . When, then, you call a method in that class ($fishstick->calories), Perl first searches there for that method, but if it's not there, it goes searching in its superclasses, and so on, in a depth-first (or maybe "height-first" is the word) search. In the above example, it'd first look in Food::Fish, then Food, then Matter, then Life::Fungus, then Life, then Chemicals. . This library, Class::ISA, provides functions that return that list -- the list (in order) of names of classes Perl would search to find a method, with no duplicates. Package: libclass-load-perl Version: 0.17-1 Installed-Size: 63 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdata-optlist-perl, libmodule-implementation-perl, libmodule-runtime-perl (>= 0.012), libpackage-stash-perl (>= 0.32), libtry-tiny-perl Size: 13010 SHA256: 19b9ed8081b69ee4d51f9f3818f05dbe24682164728004d407458eee1f2dc358 SHA1: ef1ba9356ef8bce43d7ba0532847b57e10a13dd9 MD5sum: 69430f5659b966a36e69650997338b90 Description: module for loading modules by name "require EXPR" only accepts Class/Name.pm style module names, not Class::Name. For that, Class::Load provides "load_class 'Class::Name'". . It's often useful to test whether a module can be loaded, instead of throwing an error when it's not available. For that, Class::Load provides "try_load_class 'Class::Name'". . Finally, sometimes it is important to know whether a particular class has been loaded. Asking %INC is an option, but that will miss inner packages and any class for which the filename does not correspond to the package name. For that, this module provides "is_class_loaded 'Class::Name'". Homepage: http://search.cpan.org/dist/Class-Load/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-load-perl/libclass-load-perl_0.17-1_all.deb Package: libclass-load-xs-perl Version: 0.04-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 88 Depends: libc6 (>= 2.4), perl (>= 5.14.2-9), perlapi-5.14.2, libclass-load-perl (>= 0.15) Homepage: http://search.cpan.org/dist/Class-Load-XS/ Priority: optional Section: perl Filename: pool/main/libc/libclass-load-xs-perl/libclass-load-xs-perl_0.04-1_armhf.deb Size: 12960 SHA256: 6cbf85223bf3db143e7dc65c475d6d3ab43b97ea0b520a4c284d56bdb2282039 SHA1: a8210ac57181e61dfbc3dd3d78a7d8dcafd3b826 MD5sum: d63acefcec0abd7eba54565a2a59ddef Description: XS implementation of parts of Class::Load Class::Load::XS provides an XS implementation for portions of Class::Load, a module that provides several functions to load classes at runtime. . See Class::Load in the libclass-load-perl package for API details. Package: libclass-loader-perl Version: 2.03-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8704 SHA256: 78f43cf45516215cc3996836c2cf641ec9f57d936212c5bd6269289525eb98fb SHA1: 3c64bc591bd0bb92cda67343f0ac6c59f988da25 MD5sum: 87d69a7d7ac8eabd2fc60a5cca3ff5f1 Description: module to load modules and create objects on command Class::Loader is a Perl module that provides an inheritable class useful for loading a module and automatically constructing an object. Loading this way is beneficial in situations where many modules are available, and one must be appropriately selected at compile time. Homepage: http://search.cpan.org/dist/Class-Loader/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-loader-perl/libclass-loader-perl_2.03-1_all.deb Package: libclass-makemethods-perl Version: 1.01-4 Installed-Size: 1088 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 360764 SHA256: 526a5929ac9bd6875a8c29634ae831ce18ec37debca51b21372cf85e61050403 SHA1: ad1921b0d49417597822750650645934a48ce10d MD5sum: ace67e22cd151801454d89874a2b3bc1 Description: Perl module for generating common types of methods The Class::MakeMethods framework allows Perl class developers to quickly define common types of methods. When a module uses Class::MakeMethods or one of its subclasses, it can select from a variety of supported method types, and specify a name for each method desired. The methods are dynamically generated and installed in the calling package. Homepage: http://search.cpan.org/dist/Class-MakeMethods/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-makemethods-perl/libclass-makemethods-perl_1.01-4_all.deb Package: libclass-meta-perl Version: 0.65-1 Installed-Size: 356 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-isa-perl | perl (<< 5.10.1-13), libdata-types-perl Size: 107408 SHA256: 0a2fd539b5064e84988ace07c56707c2db1655008d6d54b5808c376b09935a09 SHA1: 79a507daea6c04e2f673afe442fcf0b961f557ee MD5sum: 10c6af14b22af558916950a4f9bde103 Description: class automation, introspection, and data validation module Class::Meta provides an interface for automating the creation of Perl classes with attribute data type validation. It differs from other such modules in that it includes an introspection API that can be used as a unified interface for all Class::Meta-generated classes. In this sense, it is an implementation of the "Facade" design pattern. Homepage: http://search.cpan.org/dist/Class-Meta/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libc/libclass-meta-perl/libclass-meta-perl_0.65-1_all.deb Package: libclass-method-modifiers-perl Version: 1.09-1 Installed-Size: 63 Maintainer: Debian Perl Group Architecture: all Depends: perl, libmro-compat-perl Size: 13530 SHA256: 02dc8364e7ac00435fe4baff679d77fd880d69b142e624af0d031a7193ee7c20 SHA1: 230283d18852a3d5c250c5efda9c1a5fc29ae4d3 MD5sum: a6456752e2006a8cf55a26169dc4fae5 Description: Perl module providing method modifiers Class::Method::Modifiers provides three modifiers: before, around, and after. The before and after modifiers are run just before and after the method they modify, but cannot really affect that original method. The remaining modifier, around, is run in place of the original method, with a hook to easily call the original method. Homepage: http://search.cpan.org/dist/Class-Method-Modifiers/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-method-modifiers-perl/libclass-method-modifiers-perl_1.09-1_all.deb Package: libclass-methodmaker-perl Version: 2.18-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 20905 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Class-MethodMaker/ Priority: optional Section: perl Filename: pool/main/libc/libclass-methodmaker-perl/libclass-methodmaker-perl_2.18-1_armhf.deb Size: 497316 SHA256: f2f3010adec745ee56ba0ab95073f7c538610d80d2c0e5b05a36827dd3e2db24 SHA1: a18668554d286699e2597680ff43955104011f8f MD5sum: eabf16ddf4194949c42591a2cb774786 Description: Perl module for creating generic methods Class::MethodMaker is a simple module which solves the problem of having to continually write accessor and mutator methods for your objects that perform standard tasks. Instead of thinking in terms of methods, you install one or more components into a class and methods are automatically created for you. A component is intended as a cohesive unit of data that should only be changed using the provided methods. Package: libclass-mix-perl Version: 0.003-1 Installed-Size: 68 Maintainer: Ivan Kohler Architecture: all Depends: perl (>= 5.10.1) Size: 7930 SHA256: 20dcdcdb02c87ff4197bd500e4187091da6ab9da7c48b33dec7ab437ea612f7e SHA1: 6b6c62038d121a8c490078f90e1803140fbaee56 MD5sum: 88050b297c9262601f5795ee89818c98 Description: dynamic class mixing The mix_class function provided by this module dynamically generates `anonymous' classes with specified inheritance. Homepage: http://search.cpan.org/dist/Class-Mix/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-mix-perl/libclass-mix-perl_0.003-1_all.deb Package: libclass-mixinfactory-perl Version: 0.92-2 Installed-Size: 136 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 40364 SHA256: eb122b892e67669143db5e3491ee597c21ba8a33a5ee5f1aca5fefb755eb5770 SHA1: 3b791997634f8c81e1830a639845c4bf33dca8ae MD5sum: d47ed8b29fb78ecc9151010265ac44f9 Description: Class Factory with Selection of Mixins This distribution facilitates the run-time generation of classes which inherit from a base class and some optional selection of mixin classes. . A factory is provided to generate the mixed classes with multiple inheritance. A NEXT method allows method redispatch up the inheritance chain. Homepage: http://search.cpan.org/dist/Class-MixinFactory/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-mixinfactory-perl/libclass-mixinfactory-perl_0.92-2_all.deb Package: libclass-multimethods-perl Version: 1.70-5 Installed-Size: 132 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 40446 SHA256: 28c2ad291dc186e4513bbfc9af10d6b57fc5a07668f50932cc25b29137f89a1b SHA1: 134b4e8eb9ddd2e8136f1ea3831f4ad10148448c MD5sum: 6a6c512c44822278a7df2928fe5ecc61 Description: Support multimethods and subroutine overloading in Perl Sometimes Perl's standard polymorphic method dispatch mechanism isn't sophisticated enough to cope with the complexities of finding the right method to handle a given situation. . Generally speaking, multiple dispatch is needed whenever two or more objects belonging to different class hierarchies are going to interact, and we need to do different things depending on the combination of actual types of those objects. Typical applications that need this kind of ability include graphical user interfaces, image processing libraries, mixed-precision numerical computation systems, and most types of simulations. Homepage: http://search.cpan.org/dist/Class-Multimethods/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-multimethods-perl/libclass-multimethods-perl_1.70-5_all.deb Package: libclass-objecttemplate-perl Version: 0.7-6 Installed-Size: 32 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 11596 SHA256: f5031e2bd095ac84b215492fedf50a362235b942ea8317b826ba9226998fd0f3 SHA1: c6be570a2cbc2994103463e4047e8cc53923bc06 MD5sum: c7a6be11a4cdd7b9ee525a28731ce245 Description: Perl extension for an optimized template builder base class Class::ObjectTemplate is a utility class to assist in the building of other Object Oriented Perl classes. . It was described in detail in the O'Reilly book, "Advanced Perl Programming" by Sriram Srinivasam. Homepage: http://search.cpan.org/dist/Class-ObjectTemplate/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libc/libclass-objecttemplate-perl/libclass-objecttemplate-perl_0.7-6_all.deb Package: libclass-ooorno-perl Version: 0.011-1 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 16948 SHA256: 9adf94bc5d2bc36a009f206aed7aed60230248616b1e05935756928788f723eb SHA1: 28d539bd9c38b045499f952aa78bb628d62b3c08 MD5sum: 5093fe0a7149e65189af09ccceb0b798 Description: Give your module classic AND OO interfaces Class::OOorNO helps your module handle the input for its subroutines whether called in object-oriented style (as object methods or class methods with the arrow syntax "->"), or in functional programming style (as subroutines imported to the caller's namespace via Exporter). . The bulk of this module comprises a lightweight, pure-Perl emulation of the Devel::Caller library's "called_as_method()" routine which is written in C. . Devel::Caller dives deep into the internals of the Perl interpreter (see perlguts) to trace stack frames and can get the input for any call in the stack. It's really handy for Devel::opment and debugging. . This module is much more lightweight and focuses more on your module's Class:: methods themselves. Homepage: http://search.cpan.org/dist/Class-OOorNO/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-ooorno-perl/libclass-ooorno-perl_0.011-1_all.deb Package: libclass-perl Version: 1.00-1 Installed-Size: 56 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 5636 SHA256: df4416009ad645468cc674895085f161838bfff2a7e3afa855087140c636ae20 SHA1: cce421947c02e89bd9d6053bdc9d3c7208f48c80 MD5sum: ab171832dbaf2f5b035db52bfed474b9 Description: Module providing CLASS and $CLASS as aliases for __PACKAGE__ This module provides CLASS and $CLASS as synonyms for __PACKAGE__. . $CLASS has the additional benefit of working in strings. Homepage: http://search.cpan.org/dist/CLASS/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-perl/libclass-perl_1.00-1_all.deb Package: libclass-pluggable-perl Version: 0.022-2 Installed-Size: 68 Maintainer: Sarah Connor Architecture: all Depends: perl (>= 5.6.0-16) Size: 8188 SHA256: d3e67ee5831f9efc2804a1168fde0ed3193fe65c210fa3f6fd2ff708d2609713 SHA1: 9581a05fbfc1a61a4d6b37ebb17dd96d7f7a9482 MD5sum: 3c799d9c5b8f7a07aa63009db061cea6 Description: Simple pluggable class. This class makes your class (sub class of Class::Pluggable) pluggable. In this documentation, the word "pluggable" has two meanings. . One is just simply adding new method to your pluggable classs from other plugin modules. So, after you plugged some modules to your class, you can use there method exactly same as your own object method. . You can see this kind of plugin mechanism in CGI::Application and CGI::Application::Plugin::Session. Homepage: http://search.cpan.org/dist/Class-Pluggable/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-pluggable-perl/libclass-pluggable-perl_0.022-2_all.deb Package: libclass-prototyped-perl Version: 1.11-3 Installed-Size: 188 Maintainer: Debian Perl Group Architecture: all Depends: perl Suggests: libgraphviz-perl Size: 54764 SHA256: 4b014f8a6bb12fae3d77316cbdf32273fe9d5ee32b5ef394eb5301f8dc16e938 SHA1: 6bd5264f79e7d3867f792644a585e12d8d0843a3 MD5sum: ac18aad93d6796df73b423f8acd95930 Description: Fast prototype-based OO programming in Perl This package provides for efficient and simple prototype-based programming in Perl. You can provide different subroutines for each object, and also have objects inherit their behavior and state from another object. . Class::Prototyped borrows heavily from the programming language Self. The structure of an object is inspected and modified through mirrors, which are created by calling "reflect" on an object or class that inherits from Class::Prototyped. . Prototype-based programming is most useful when the cleanest way for code to use a module is to subclass it, or when one finds oneself avoiding this by passing anonymous subroutines as parameters to "new". Prototype-based programming is also useful in situations that otherwise require storing anonymous subroutines in databases, configuration files, or text files, or when users of a module are expected to override subroutines to change its behabior. Homepage: http://search.cpan.org/dist/Class-Prototyped/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libc/libclass-prototyped-perl/libclass-prototyped-perl_1.11-3_all.deb Package: libclass-returnvalue-perl Version: 0.55-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libdevel-stacktrace-perl Size: 9570 SHA256: c79f56f31b02311dc5dacaa0338d5fadb2ff7f83408e5d3fc5a28e39c9db71eb SHA1: 7b82bb4f599ce1066ac22b75a3f8eed87bbc3077 MD5sum: 0c9824f50c0341dfb0e9e350c4aa31ea Description: A return-value object that lets you treat it as a boolean, array or object Class::ReturnValue is a "clever" return value object that can allow code calling your routine to expect: a boolean value (did it fail) or a list (what are the return values) Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-returnvalue-perl/libclass-returnvalue-perl_0.55-1_all.deb Package: libclass-singleton-perl Version: 1.4-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 13606 SHA256: 18b6c005f34bbf30b0bf594fa17b5284d52a8ee13580ffc358dca53cf1381850 SHA1: 655126a575e440f4f48e4e2e43b4831a7868d0a4 MD5sum: 6fc751583532d91ae98435298f11cfa4 Description: implementation of a "Singleton" class The Class::Singleton module implements a Singleton class from which other classes can be derived. A Singleton describes an object class that can have only one instance in any system. An example of a Singleton might be a print spooler or system registry. . By itself, the Class::Singleton module does very little other than manage the instantiation of a single object. In deriving a class from Class::Singleton, your module will inherit the Singleton instantiation method and can implement whatever specific functionality is required. . For a description and discussion of the Singleton class, see "Design Patterns", Gamma et al, Addison-Wesley, 1995, ISBN 0-201-63361-2. Homepage: http://search.cpan.org/dist/Class-Singleton/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-singleton-perl/libclass-singleton-perl_1.4-1_all.deb Package: libclass-spiffy-perl Version: 0.15-3 Installed-Size: 56 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 23512 SHA256: 6635bd341f65ba910701ea39bc3e5d89a52f33e9ede47a128f3837193c0f42d3 SHA1: b7dcd4864a2fd4fd3a32aeb8f138bbf6165b5757 MD5sum: ab4666c8fa35ae9edf57a97585e313b1 Description: Spiffy Perl interface framework Class::Spiffy is a framework and methodology for doing object oriented programming in Perl. Class::Spiffy combines the best parts of Exporter.pm, base.pm, mixin.pm and SUPER.pm into one magic foundation class. It attempts to fix all the nits and warts of traditional Perl OO, in a clean, straightforward and (perhaps someday) standard way. . Class::Spiffy borrows ideas from other OO languages like Python, Ruby, Java and Perl 6. It also adds a few tricks of its own. . If you take a look on CPAN, there are a ton of OO related modules. When starting a new project, you need to pick the set of modules that makes most sense, and then you need to use those modules in each of your classes. Class::Spiffy, on the other hand, has everything you'll probably need in one module, and you only need to use it once in one of your classes. If you make Class::Spiffy the base class of the basest class in your project, Class::Spiffy will automatically pass all of its magic to all of your subclasses. You may eventually forget that you're even using it! . The most striking difference between Class::Spiffy and other Perl object oriented base classes, is that it has the ability to export things. If you create a subclass of Class::Spiffy, all the things that Class::Spiffy exports will automatically be exported by your subclass, in addition to any more things that you want to export. And if someone creates a subclass of your subclass, all of those things will be exported automatically, and so on. Think of it as "Inherited Exportation", and it uses the familiar Exporter.pm specification syntax. Homepage: http://search.cpan.org/dist/Class-Spiffy/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libc/libclass-spiffy-perl/libclass-spiffy-perl_0.15-3_all.deb Package: libclass-std-fast-perl Version: 0.0.8-1 Installed-Size: 116 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-std-perl Size: 21384 SHA256: feb29237bb2c89f9f0cb089c368ad250cba41b9672f6d7c8442d57bca475eacb SHA1: 8ce23b7a2974f2845c6adfd6af31b9b0742efeeb MD5sum: 5ad65b3079d55a055d567d35ad73ef27 Description: faster but less secure replacement for Class::Std Class::Std::Fast allows you to use the beautiful API of Class::Std in a faster way than Class::Std does. . You can get the object's ident via scalarifiyng your object. . Getting the objects ident is still possible via the ident method, but it's faster to scalarify your object. Homepage: http://search.cpan.org/dist/Class-Std-Fast/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-std-fast-perl/libclass-std-fast-perl_0.0.8-1_all.deb Package: libclass-std-perl Version: 0.0.9-2 Installed-Size: 196 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libversion-perl Size: 49512 SHA256: d0629d3562d7c2b1421b4461bb1e7bed53d9aeb004b455e610f0c1840f4b299d SHA1: fe97d2505d482657346313304fd53b61f5d79cb0 MD5sum: b494434e6b6e1a7be543f709a115f71d Description: Support for creating standard "inside-out" classes This module provides tools that help to implement the "inside out object" class structure in a convenient and standard way. Homepage: http://search.cpan.org/dist/Class-Std/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-std-perl/libclass-std-perl_0.0.9-2_all.deb Package: libclass-std-utils-perl Version: 0.0.3-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 10002 SHA256: da4f2766f0581f4a17f1297a1b149278f8521e595f57924c109453802a56483f SHA1: 09d112e35eb46c37d9a9656a62e54549e5c998c9 MD5sum: 97ab7472ba825c7de3bc3d1f57c4e7be Description: Utility subroutines for building "inside-out" objects Class::Std::Utils provides three utility subroutines that simplify the creation of "inside-out" classes. See Chapters 15 and 16 of "Perl Best Practices" (O'Reilly, 2005) for details. Homepage: http://search.cpan.org/dist/Class-Std-Utils/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-std-utils-perl/libclass-std-utils-perl_0.0.3-1_all.deb Package: libclass-throwable-perl Version: 0.10-2 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 18374 SHA256: 1d556230cbcd1c6b2f16d6513d0608087660032fd37f2bf44a3fd27b5870efa9 SHA1: 3009196de1ad650be7928d86b665776a6d072a5f MD5sum: ddf8f4973ae1e813e71834dcc71e1943 Description: A minimal lightweight exception class This module implements a minimal lightweight exception object. It is meant to be a compromise between more basic solutions like Carp which can only print information and cannot handle exception objects, and more more complex solutions like Exception::Class which can be used to define complex inline exceptions and has a number of module dependencies. Homepage: http://search.cpan.org/dist/Class-Throwable/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libc/libclass-throwable-perl/libclass-throwable-perl_0.10-2_all.deb Package: libclass-trait-perl Version: 0.31-1 Installed-Size: 196 Maintainer: Alexander Zangerl Architecture: all Depends: perl (>= 5.6.0-16), libclass-accessor-perl Size: 52984 SHA256: 02d3dace154752b58c938459b5c626fa308180bd76a59807a6eb0b25fedb6340 SHA1: 56261f0fa0261121774745307129d6583acb7a56 MD5sum: 28ead995c1c5dc70c612b3cb7241c1c9 Description: An implementation of Traits in Perl Traits are a simple composition mechanism for structuring object-oriented programs. A Trait is essentially a parameterized set of methods, which serves as a building block for classes and is the primitive unit of code reuse. Unlike mixins and multiple inheritance, Traits do not use inheritance as the composition operator. Instead, Trait composition is based on a set of operators that are complementary to single inheritance and result in better composition properties. . Traits are a core part of Perl 6 (called Roles there), and this module implements traits for Perl 5. Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-trait-perl/libclass-trait-perl_0.31-1_all.deb Package: libclass-trigger-perl Version: 0.14-1 Installed-Size: 72 Maintainer: Bart Martens Architecture: all Depends: perl, libio-stringy-perl, libclass-data-inheritable-perl (>= 0.02) Size: 15504 SHA256: c487d38e7444ed4b74238254979e3aa1243b7df0cad5e5ed71c7c7935fa3fb94 SHA1: ef11775b669edd1a4feefdb18d391e5ad46fd34d MD5sum: 4d51c2de163893b6f27330725b6dc15c Description: Mix-in to add / call inheritable triggers Class::Trigger is a mixin class to add / call triggers (or hooks) that get called at some points you specify. Homepage: http://search.cpan.org/dist/Class-Trigger/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-trigger-perl/libclass-trigger-perl_0.14-1_all.deb Package: libclass-unload-perl Version: 0.07-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-inspector-perl Size: 6224 SHA256: 9baae713ecae2b465d69d8622a405f89ad5d5e8f66b233eb81d38bf3a7bc421e SHA1: 46860208cc8eca10501ef0d781b25b6c434c5fbe MD5sum: 5b9f9bed003d139f25c708834165eff9 Description: Perl module to unload a class at runtime Class::Unload is a Perl module that unloads the given class by clearing out its symbol table and removing it from %INC during runtime. The unloaded class may then be reloaded later on using 'require'. Homepage: http://search.cpan.org/dist/Class-Unload/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-unload-perl/libclass-unload-perl_0.07-1_all.deb Package: libclass-virtual-perl Version: 0.06-3 Installed-Size: 76 Maintainer: Dominic Hargreaves Architecture: all Depends: perl, libcarp-assert-perl (>= 0.1), libclass-data-inheritable-perl (>= 0.02), libclass-isa-perl | perl (<< 5.10.1-13) Size: 12150 SHA256: e96108b4198e00adad747ac579abe1f288623d29ece3fde169ce63765429d6ee SHA1: 1fe3a04847cdbd71c5f45ebd83c3a07950084067 MD5sum: 1ad0f03f9ee9b8ae7e8a1a01ab7af3cd Description: Base class for virtual base classes This is a base class for implementing virtual base classes (what some people call an abstract class). Kinda kooky. It allows you to explicitly declare what methods are virtual and that must be implemented by subclasses. This might seem silly, since your program will halt and catch fire when an unimplemented virtual method is hit anyway, but there's some benefits. . The error message is more informative. Instead of the usual "Can't locate object method" error, you'll get one explaining that a virtual method was left unimplemented. . Subclass authors can explicitly check to make sure they've implemented all the necessary virtual methods. When used as part of a regression test, it will shield against the virtual method requirements changing out from under the subclass. Homepage: http://search.cpan.org/dist/Class-Virtual/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-virtual-perl/libclass-virtual-perl_0.06-3_all.deb Package: libclass-whitehole-perl Version: 0.04-6 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 7068 SHA256: 7fef46e813e69f4c96b7595b8571cada976c2e88ffef78e20f0d3e37701d6d94 SHA1: 218d4aaa61c8f6f598af6dabce6f6c0adfaf5ffd MD5sum: aa034eaee7ff5836783b0108a6fdb05c Description: base class to treat unhandled method calls as errors It is possible to accidentally inherit an AUTOLOAD method. Often this will happen if a class somewhere in the chain uses AutoLoader or defines one of their own. This can lead to confusing error messages when method lookups fail. . Sometimes you want to avoid this accidental inheritance. In that case, inherit from Class::WhiteHole. All unhandled methods will produce normal Perl error messages. Homepage: http://search.cpan.org/~mschwern/Class-WhiteHole/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclass-whitehole-perl/libclass-whitehole-perl_0.04-6_all.deb Package: libclass-xsaccessor-perl Version: 1.13-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 143 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, perl (<< 5.14.3~), libc6 (>= 2.4) Breaks: libclass-xsaccessor-array-perl (<< 1.05-1) Replaces: libclass-xsaccessor-array-perl (<< 1.05-1) Provides: libclass-xsaccessor-array-perl Homepage: http://search.cpan.org/dist/Class-XSAccessor/ Priority: optional Section: perl Filename: pool/main/libc/libclass-xsaccessor-perl/libclass-xsaccessor-perl_1.13-1_armhf.deb Size: 41848 SHA256: c90270b3c181d6be982b8177014492d2382b3d64abff2b1b57ca58844d579704 SHA1: b7fbb436cbbe3173245b34f3eac66f5a2d247f84 MD5sum: fb9c5885c5129567518fe87234075a8f Description: Perl module providing fast XS accessors Class::XSAccessor implements fast XS accessors both for getting at and setting an object attribute. Additionally, the module supports mutators and simple predicates like those for testing the truth value of a variable. It works only with objects that are implemented as ordinary hashes. . The XS accessor methods were between 1.6 and 2.5 times faster than typical pure-perl accessors in some simple benchmarking. If you usually write clear code, a factor of two speed-up is a good estimate. . Refer to Class::XSAccessor::Array (also included in libclass-xsaccessor-perl) for an implementation that works with array-based objects. Package: libclassad-dev Source: condor Version: 7.8.2~dfsg.1-1+deb7u3 Architecture: armhf Maintainer: Condor Developers Installed-Size: 3035 Depends: libclassad3 (= 7.8.2~dfsg.1-1+deb7u3) Conflicts: libclassad0-dev Replaces: libclassad0-dev Homepage: http://research.cs.wisc.edu/condor Priority: extra Section: libdevel Filename: pool/main/c/condor/libclassad-dev_7.8.2~dfsg.1-1+deb7u3_armhf.deb Size: 592574 SHA256: e0460edb4762fab1c507e8a20cb38393578f6b579f522c0920aee039c903febe SHA1: 9b70ba4fa664e05f5e40fdb9b0cdc7380afed2f1 MD5sum: 909bfaba65571d27bbb9ee36ef22ecb6 Description: Condor classads expression language - development library Classified Advertisements (classads) are the lingua franca of Condor, used for describing jobs, workstations, and other resources. There is a protocol for evaluating whether two classads match, which is used by the Condor central manager to determine the compatibility of jobs, and workstations where they may be run. . This package provides the static library and header files. Package: libclassad3 Source: condor Version: 7.8.2~dfsg.1-1+deb7u3 Architecture: armhf Maintainer: Condor Developers Installed-Size: 816 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libstdc++6 (>= 4.6) Homepage: http://research.cs.wisc.edu/condor Priority: extra Section: science Filename: pool/main/c/condor/libclassad3_7.8.2~dfsg.1-1+deb7u3_armhf.deb Size: 240390 SHA256: bc38d8ca9ab5c834d0b1a55c7887e15e3bb182fe55d955050e2c71c3620de119 SHA1: 9883866870cb171f5a7dc0149bd609df0d2c1516 MD5sum: d0ac946c34c75cc4058fafe7add7cc21 Description: Condor classads expression language - runtime library Classified Advertisements (classads) are the lingua franca of Condor, used for describing jobs, workstations, and other resources. There is a protocol for evaluating whether two classads match, which is used by the Condor central manager to determine the compatibility of jobs, and workstations where they may be run. . This package provides the runtime library. Package: libclassworlds-java Source: classworlds Version: 1.1-final-5 Installed-Size: 104 Maintainer: Debian Java Maintainers Architecture: all Suggests: libclassworlds-java-doc Size: 39296 SHA256: ba5de5b1ce938f640dfff6f8133a84aabe755a825eab3e2817b9e2d98a726ca7 SHA1: 1b9bc6f8627f475efd2ac25ae2f301733082532b MD5sum: 38491ab47cadeca28e0dfa613a04b1f6 Description: framework for container developers requiring manipulation of ClassLoaders Classworlds is a framework for container developers who require complex manipulation of Java's ClassLoaders. Java's native ClassLoader mechanims and classes can cause many headaches and confusion for certain types of application developers. Projects which involve dynamic loading of components or otherwise represent a 'container' that can benefit from the classloading control provided by classworlds. . Classworlds provides a richer set of semantics for class loading than Java's normal mechanisms, while still being able to provide a ClassLoader interface to integrate seamlessly with the Java environment. Homepage: http://classworlds.codehaus.org/ Tag: devel::lang:java, role::documentation Section: java Priority: optional Filename: pool/main/c/classworlds/libclassworlds-java_1.1-final-5_all.deb Package: libclassworlds-java-doc Source: classworlds Version: 1.1-final-5 Installed-Size: 796 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: libclassworlds-java Size: 49452 SHA256: 2a0382284a2c63dc09c7c4ee48c7072157d2a49ae8ada0c30cb9ed67c10c8f2e SHA1: ee7530a2f7125686b33ea9533ecf6b0a3a551c4a MD5sum: b1754d008b412c72897e7514e52f4b26 Description: Documentation for the Classworlds Java library Classworlds is a framework for container developers who require complex manipulation of Java's ClassLoaders. Java's native ClassLoader mechanims and classes can cause many headaches and confusion for certain types of application developers. Projects which involve dynamic loading of components or otherwise represent a 'container' that can benefit from the classloading control provided by classworlds. . Classworlds provides a richer set of semantics for class loading than Java's normal mechanisms, while still being able to provide a ClassLoader interface to integrate seamlessly with the Java environment. . This package provides the API documentation. Homepage: http://classworlds.codehaus.org/ Tag: role::documentation Section: doc Priority: optional Filename: pool/main/c/classworlds/libclassworlds-java-doc_1.1-final-5_all.deb Package: libclaw-application-dev Source: libclaw Version: 1.7.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 677 Depends: libclaw-dev (= 1.7.0-3), libclaw-application1 (= 1.7.0-3), libclaw-logger-dev (= 1.7.0-3) Homepage: http://libclaw.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libc/libclaw/libclaw-application-dev_1.7.0-3_armhf.deb Size: 118842 SHA256: a543ee134ceb0156fb482cd434d69fdd29e5e9feb94c168a24084514f8abd057 SHA1: 7fab39693dbb4b4cb387c4c27c83261410092880 MD5sum: 46d447bb6eece28ff2bb97c687a1ee89 Description: Set of classes to ease the initialisation of the program (development files) Part of the libclaw library. . This library is intended to help to manage the arguments of your program, with automatic management of short/long arguments and help message. . This package contains the development files of the library. Package: libclaw-application1 Source: libclaw Version: 1.7.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 189 Depends: libc6 (>= 2.13-28), libclaw-logger1 (= 1.7.0-3), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.6) Homepage: http://libclaw.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libc/libclaw/libclaw-application1_1.7.0-3_armhf.deb Size: 50618 SHA256: c6f447e3d5076a956d9a1c4ce09604f18fa326e8ac865ff17bf32035249cf2ec SHA1: e92ba636e4ba50d1a969548645616decfa30a6ff MD5sum: c735c1b9b32cfbd55fc7471b700c0597 Description: Set of classes to ease the initialisation of the program Part of the libclaw library. . This library is intended to help to manage the arguments of your program, with automatic management of short/long arguments and help message. Package: libclaw-configuration-file-dev Source: libclaw Version: 1.7.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 308 Depends: libclaw-dev (= 1.7.0-3), libclaw-configuration-file1 (= 1.7.0-3) Homepage: http://libclaw.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libc/libclaw/libclaw-configuration-file-dev_1.7.0-3_armhf.deb Size: 52106 SHA256: 1da8c24a947d6054b72ab84e9cd37621195e7028d3cd90e898ff24c823afddc0 SHA1: f693c4614440959d852d4f77bd5e57b36a85b502 MD5sum: 8f2db29bcb1d97ce1bb94549a3966855 Description: Class to process configuration files (development files) Part of the libclaw library. . This library is intended to help to read configutation files divided in sections made of attribute/value pairs. . This package contains the development files of the library. Package: libclaw-configuration-file1 Source: libclaw Version: 1.7.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 119 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.4.0) Homepage: http://libclaw.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libc/libclaw/libclaw-configuration-file1_1.7.0-3_armhf.deb Size: 30960 SHA256: 50978a0f32ad861419527e185e70119b9ea40c275f804cfd2cc2ba6adb2e731a SHA1: ec3e232c0aa0eddf4286cd86cb3774ccd2636995 MD5sum: ea4e35fa3eca5c9ab32d76e1592ddab0 Description: Class to process configuration files Part of the libclaw library. . This library is intended to help to read configutation files divided in sections made of attribute/value pairs. Package: libclaw-dev Source: libclaw Version: 1.7.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 545 Homepage: http://libclaw.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libc/libclaw/libclaw-dev_1.7.0-3_armhf.deb Size: 82870 SHA256: d1730256d99088fcbd06f1c9b76dabfb74ad29bd3d819091de57257e40483c65 SHA1: be6dbd81a8c7de783b19634422843068fab4ef8f MD5sum: 4994b2fef431e7271ce3a93f78be5463 Description: Claw is a generalist C++ library (development files) Claw is a C++ Library Absolutely Wonderful bringing various nice classes and tools to C++ developers. . This library tries to be standard compliant and as portable as possible. . Claw provides: - tweener classes for easy interpolation, - advanced data structures: automaton, AVL binary search tree, graph, tree, ordered set, trie, maps with multiple types as keys, - geometric tools (2D): box/rectangle, point, line, vector, - image manipulation, reading and writing bitmap, jpeg, targa, png, pcx, xpm files - network tools: use sockets like any standard stream, - text algorithms, - min-max/alpha-beta algorithms, - developer tools: generic singleton, smart pointers, meta-programming concepts, buffered streams, - easy read of conf/ini files, easy access to environment variables, - manipulation of dynamic libraries, - and a lot more... Package: libclaw-doc Source: libclaw Version: 1.7.0-3 Installed-Size: 10909 Maintainer: Debian Games Team Architecture: all Depends: libjs-jquery (>= 1.5) Size: 1369346 SHA256: 814f77655a1b07e4d8d43f60c3a643959fb92a8b28cf637a01d40f1a1b2a9830 SHA1: 559c81af25c7a3175799ddcdd42a2f7c9d9579cd MD5sum: bb0c300adf4983fae1629ebf6c6f32bc Description: Claw is a generalist C++ library (documentation files) Claw is a C++ Library Absolutely Wonderful bringing various nice classes and tools to C++ developers. . This package contains the HTML documentation of the API and a set of example programs. Homepage: http://libclaw.sourceforge.net/ Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/libc/libclaw/libclaw-doc_1.7.0-3_all.deb Package: libclaw-dynamic-library-dev Source: libclaw Version: 1.7.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 77 Depends: libclaw-dev (= 1.7.0-3), libclaw-dynamic-library1 (= 1.7.0-3) Homepage: http://libclaw.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libc/libclaw/libclaw-dynamic-library-dev_1.7.0-3_armhf.deb Size: 15248 SHA256: 58045e4f96398166332f4808fd60c4957439e91b16f1a3b8b6eae4382c8dbca0 SHA1: f29525e99bc87814382891daf63740f2d03d5449 MD5sum: a3a2f5dbac9402e41145fc9f79fee11a Description: Class to ease the manipulation of dynamic libraries (development files) Part of the libclaw library. . This library is intended to ease the use or dynamic libraries: loading, checking the availability of a symbol and getting a function pointer on it. . This package contains the development files of the library. Package: libclaw-dynamic-library1 Source: libclaw Version: 1.7.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 46 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.3.0) Homepage: http://libclaw.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libc/libclaw/libclaw-dynamic-library1_1.7.0-3_armhf.deb Size: 12952 SHA256: c3e391459c551568b2c7469def6e62287de164ad5547c8223428744041e1d77c SHA1: 916d7ce3730a8d4864c6dc2ea4b4e512069df0d1 MD5sum: 20bd87b2b3fb2650c5412d05a37ccfed Description: Class to ease the manipulation of dynamic libraries Part of the libclaw library. . This library is intended to ease the use or dynamic libraries: loading, checking the availability of a symbol and getting a function pointer on it. Package: libclaw-graphic-dev Source: libclaw Version: 1.7.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 2195 Depends: libclaw-dev (= 1.7.0-3), libclaw-graphic1 (= 1.7.0-3), libjpeg-dev, libpng12-dev (>= 1.2.8) Homepage: http://libclaw.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libc/libclaw/libclaw-graphic-dev_1.7.0-3_armhf.deb Size: 388900 SHA256: 6f09471d90f0b00b9c76c632791bf03931c7ce271dc24d7e3f13c50355f8f2d1 SHA1: 9b02018da062b248befa9509317d34cdecdba9e9 MD5sum: 209dc82f02bd31d6ea1d77ad4881a665 Description: Set of classes for image manipulation (development files) Part of the libclaw library. . This library is intended to offer an easy way to deal with images, with read and write support of bmp, jpeg, pcx, png, targa and xbm files, and read only support for gif files. . This package contains the development files of the library. Package: libclaw-graphic1 Source: libclaw Version: 1.7.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 432 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6) Homepage: http://libclaw.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libc/libclaw/libclaw-graphic1_1.7.0-3_armhf.deb Size: 114548 SHA256: 369a85edb15ff575ca9540509ba54aff19f3da62bf9c2d3d7117f9ade04b21eb SHA1: bf5d6dcc01bc1932ebd4d01a6f513cdfec2d92cd MD5sum: 70c55f9c425c99d4ce92f5bfa5b9de2b Description: Set of classes for image manipulation Part of the libclaw library. . This library is intended to offer an easy way to deal with images, with read and write support of bmp, jpeg, pcx, png, targa and xbm files, and read only support for gif files. Package: libclaw-i18n Source: libclaw Version: 1.7.0-3 Installed-Size: 46 Maintainer: Debian Games Team Architecture: all Size: 9554 SHA256: 02f5368e442b3bc874d4112910c56345dad35c33a2d2f8588dd9168d56197976 SHA1: b62ec9a68df9cafe6a38a9976d64e8a62a102fbd MD5sum: 454b11e1d29f8ccf5d19688df00afaaf Description: Internationalisation files for libclaw. This package provides internationalisation files for libclaw in the following languages: . * French Homepage: http://libclaw.sourceforge.net/ Section: libs Priority: extra Filename: pool/main/libc/libclaw/libclaw-i18n_1.7.0-3_all.deb Package: libclaw-logger-dev Source: libclaw Version: 1.7.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 181 Depends: libclaw-dev (= 1.7.0-3), libclaw-logger1 (= 1.7.0-3) Homepage: http://libclaw.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libc/libclaw/libclaw-logger-dev_1.7.0-3_armhf.deb Size: 36280 SHA256: bbfbdfd477d48c2c01a381f463f520dcc578e941020c746a52f93cd3c55aab64 SHA1: 62af0cf56d2fdb4a15e1a63b2b39a03ceeb6e24d MD5sum: f4422ab6f17965fb6bfe9fc000b704f9 Description: Flexible log system (development files) Part of the libclaw library. . This library provides a log system allowing several level of log and redirectable streams. . This package contains the development files of the library. Package: libclaw-logger1 Source: libclaw Version: 1.7.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 79 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.6) Homepage: http://libclaw.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libc/libclaw/libclaw-logger1_1.7.0-3_armhf.deb Size: 22496 SHA256: 2b66a401599a66766d1b74393b98121f294554fbf41826bfd4613586d44e06f7 SHA1: adf9bde9419db12a83942d810cb0a43803124837 MD5sum: fc40a535f6f6cdf36017209f59632742 Description: Flexible log system Part of the libclaw library. . This library provides a log system allowing several level of log and redirectable streams. Package: libclaw-net-dev Source: libclaw Version: 1.7.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 116 Depends: libclaw-dev (= 1.7.0-3), libclaw-net1 (= 1.7.0-3) Homepage: http://libclaw.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libc/libclaw/libclaw-net-dev_1.7.0-3_armhf.deb Size: 20712 SHA256: 9be908e408ec975b8a77531fb230824c4d8c0225ed1bb381cf4208632e1ddee4 SHA1: 8317ea9b316e628ce94e01ecc05899c4b8ff2c05 MD5sum: 86551e13be8cd637d4734f32d00cb9ec Description: C++ standard stream interface for Unix sockets (development files) Part of the libclaw library. . This library provides a C++ standard stream interface to Unix sockets. . This package contains the development files of the library. Package: libclaw-net1 Source: libclaw Version: 1.7.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 45 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.4.0) Homepage: http://libclaw.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libc/libclaw/libclaw-net1_1.7.0-3_armhf.deb Size: 12624 SHA256: 616f9ee397827bf5390445647ee0a5dfffc4d63465e1f11e6f8c79ef13bc90d4 SHA1: 14a651a1347adcf555dbcbdedd0d1d514e796401 MD5sum: d3a91b13e5995c40d50db8a93bda4ee4 Description: C++ standard stream interface for Unix sockets Part of the libclaw library. . This library provides a C++ standard stream interface to Unix sockets. Package: libclaw-tween-dev Source: libclaw Version: 1.7.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 367 Depends: libclaw-dev (= 1.7.0-3), libclaw-tween1 (= 1.7.0-3) Homepage: http://libclaw.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libc/libclaw/libclaw-tween-dev_1.7.0-3_armhf.deb Size: 64000 SHA256: b671b8eeea54726c47aa07246910a76a0f28edbb2fd7e9329aee16d5a0cce613 SHA1: def7ce61376e0f16b609c0261ed1c8d1505e0112 MD5sum: f06336456f13f3423fc810e101124003 Description: C++ tweeners (development files) Part of the libclaw library. . This library provides a set of classes for tweeningin C++. . This package contains the development files of the library. Package: libclaw-tween1 Source: libclaw Version: 1.7.0-3 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 109 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://libclaw.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libc/libclaw/libclaw-tween1_1.7.0-3_armhf.deb Size: 31004 SHA256: f119a26021bae67f5df39e22ef616691d1c7d573da58f0a9fc04b086e41743f0 SHA1: ba9f42edd090d5e7d7019cf09d76cd8bc58eed45 MD5sum: c8506837b20f86287ec71168b7934111 Description: C++ tweeners Part of the libclaw library. . This library provides a set of classes for tweeningin C++. Package: libclaws-mail-dev Source: claws-mail Version: 3.8.1-2+deb7u1 Architecture: armhf Maintainer: Ricardo Mones Installed-Size: 754 Depends: libssl-dev, libenchant-dev Homepage: http://www.claws-mail.org Priority: optional Section: devel Filename: pool/main/c/claws-mail/libclaws-mail-dev_3.8.1-2+deb7u1_armhf.deb Size: 227460 SHA256: 0ee431bde1b2752925700ce43976b2ea57332eef93541b1390379595aed798bf SHA1: d433177c5d131cf2a61f584faf9d18cd05900ba2 MD5sum: c01b5df03352d2b8e414f6791a4723bc Description: Development files for Claws Mail plugins This package provides the development headers needed to build plugins for Claws Mail client. Plugins are loadable modules which extend Claws Mail capabilities. Package: libclean-crypto-java Source: clean-crypto Version: 1-1 Installed-Size: 108 Maintainer: Debian Eucalyptus Maintainers Architecture: all Depends: default-jre-headless Size: 62656 SHA256: b2e7f840eba9bf9f2063888ddb8f3c882be0e66ab248c77777e2f80835f2e847 SHA1: 6bc795f39b4df8bb0f2a408be2494781016302a7 MD5sum: e4af2a7c5407b8dcde52d131ca0a3d3c Description: Simplified and unrestricted javax.crypto bootstrap library Provides a simple unrestricted version of the javax.crypto package which can be provided when bootstrapping the Java Virtual Machine. This is needed to load, e.g., unsigned crypo SPI implementations. Homepage: https://code.launchpad.net/~chris-grze/eucalyptus-commons-ext/clean-crypto Section: java Priority: optional Filename: pool/main/c/clean-crypto/libclean-crypto-java_1-1_all.deb Package: libclearsilver-perl Source: clearsilver Version: 0.10.5-1.3 Architecture: armhf Maintainer: Jesus Climent Installed-Size: 240 Depends: perl (>= 5.14.2-9), libc6 (>= 2.4), zlib1g (>= 1:1.1.4), perlapi-5.14.2 Conflicts: perl-clearsilver (<= 0.10.3-3) Replaces: perl-clearsilver (<= 0.10.3-3) Homepage: http://www.clearsilver.net/ Priority: optional Section: perl Filename: pool/main/c/clearsilver/libclearsilver-perl_0.10.5-1.3_armhf.deb Size: 93044 SHA256: 0c6d25e4f6e40c33b70483e7066f8c1db22ac564897cf7beedd39e621db27ddb SHA1: c86b543ca445912cb43d62cc657a174a3fe69b7d MD5sum: f2a1fa0817b7714f4d60bf19e525a29f Description: Perl bindings for clearsilver Clearsilver is a fast, powerful, and language-neutral HTML template system. In both static content sites and dynamic HTML applications, it provides a separation between presentation code and application logic which makes working with your project easier. Package: libclhep-dev Source: clhep Version: 2.1.2.3-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 3642 Depends: libclhep2.1 (= 2.1.2.3-1) Suggests: clhep-doc Homepage: http://proj-clhep.web.cern.ch/proj-clhep/ Priority: optional Section: libdevel Filename: pool/main/c/clhep/libclhep-dev_2.1.2.3-1_armhf.deb Size: 898708 SHA256: d2a56ad6efe8c1d3321550900d2a0f8d526fa5b9c1a3a4c4ca0cf4e9f4853b21 SHA1: 199685040f90163b59d011e363b80abcc7087777 MD5sum: 2f6a0d68b7fe0e817d472cbda2e22af4 Description: CLHEP: A Class Library for High Energy Physics - development CLHEP provides a set of HEP-specific foundation and utility classes such as random generators, physics vectors, geometry and linear algebra. . This package provides development files of CLHEP. Package: libclhep2.1 Source: clhep Version: 2.1.2.3-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1135 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Multi-Arch: same Homepage: http://proj-clhep.web.cern.ch/proj-clhep/ Priority: optional Section: science Filename: pool/main/c/clhep/libclhep2.1_2.1.2.3-1_armhf.deb Size: 490364 SHA256: 26c44edffd1dd251a20efa29af8682595515958cf2b3be942b76e5d76d896c91 SHA1: 787aa06f8b659013d1b2d6eed14cfcf87d6a225b MD5sum: 5d55ac7238c688c1b8a30250ea43ee5d Description: CLHEP: A Class Library for High Energy Physics CLHEP provides a set of HEP-specific foundation and utility classes such as random generators, physics vectors, geometry and linear algebra. . This package provides run-time library of CLHEP. Package: libcli-dev Source: libcli Version: 1.9.6-1 Architecture: armhf Maintainer: Jonathan McDowell Installed-Size: 49 Depends: libcli1.9 (= 1.9.6-1) Priority: optional Section: libdevel Filename: pool/main/libc/libcli/libcli-dev_1.9.6-1_armhf.deb Size: 8910 SHA256: 796cdff2aea66b767e544a8c7dcc2dcaa0356f0031baf156bd5a23a2a327c4a7 SHA1: 0863a3862a3ca13557dec40813d7992d245b1976 MD5sum: 38b38e6a22c7bcf21efb6cedb85e4356 Description: emulates a cisco style telnet command-line interface (dev files) libcli provides a consistant Cisco style command-line environment for remote clients, with a few common features between every implemtation. . The library is not accessed by itself, rather the software which uses it listens on a defined port for a Telnet connection. This connection is handed off to libcli for processing. . libcli includes support for command history, command line editing and filtering of command output. . This package contains the files necessary for developing applications with libcli. Package: libcli1.9 Source: libcli Version: 1.9.6-1 Architecture: armhf Maintainer: Jonathan McDowell Installed-Size: 64 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Conflicts: libcli1 Replaces: libcli1 Provides: libcli1 Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libc/libcli/libcli1.9_1.9.6-1_armhf.deb Size: 17526 SHA256: 8aa0fb191a9d8a5bb0b286806be363f071511ef24af7fbd99e6b766c85bb03e8 SHA1: 57bea3db2d1edfdf2e246c71ee5bb2d7290e651e MD5sum: 3289f6f69f7924b0624e51d33ef5c619 Description: emulates a cisco style telnet command-line interface libcli provides a consistant Cisco style command-line environment for remote clients, with a few common features between every implemtation. . The library is not accessed by itself, rather the software which uses it listens on a defined port for a Telnet connection. This connection is handed off to libcli for processing. . libcli includes support for command history, command line editing and filtering of command output. Package: libclinica0 Source: clinica Version: 0.2.1~dfsg-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 571 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libgirepository-1.0-1 (>= 0.9.2), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libpeas-1.0-0 (>= 1.0.0), libsqlite3-0 (>= 3.5.9), gir1.2-clinica-0.2 Homepage: http://launchpad.net/clinica-project Priority: optional Section: science Filename: pool/main/c/clinica/libclinica0_0.2.1~dfsg-1_armhf.deb Size: 161596 SHA256: 0113e1c00d58eaa50940dc939e9d6c48fb094355bad36ee153be751dbac8acdb SHA1: 58501ce981aad2f1804a98b51f9ced5f1ff0ebea MD5sum: 550592e21f426bdfb0245fcb4d6584a5 Description: Simple medical records manager (shared library) Simple tool for the desktop to mantain medical records. It is thought to be easy to use and it's mainly addressed to a single doctor. . It features: * Patient management * Doctor management (with associated patients) * Visit creation/editing * Medicine search online (via plugins) * Calendar for events and visits * Extensibility via plugins . This package contains the shared library with the routines shared by clinica and its plugins. Package: libclippoly-dev Source: clippoly Version: 0.11-3 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 242 Depends: libclippoly0 (= 0.11-3) Homepage: http://clippoly.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/c/clippoly/libclippoly-dev_0.11-3_armhf.deb Size: 62466 SHA256: 6abc7c28c0221fdb6befe945c888935620a978a5711fd9658e79827b3f8b0e45 SHA1: 6168adc7b9b98e9f10f6873a080001bfd5359493 MD5sum: c55fecec8468c3acabf26f38e72f8908 Description: polygon clipping routines, development library Robust routines for clipping polygons against each other: finding unions and intersections and differences of polygonal regions. Development library. Package: libclippoly0 Source: clippoly Version: 0.11-3 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 104 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://clippoly.sourceforge.net Priority: optional Section: libs Filename: pool/main/c/clippoly/libclippoly0_0.11-3_armhf.deb Size: 32872 SHA256: af4a4a6b05b7e22c55d931d3ca59f0d50467dfd8bf4915b6d1c553a7cecb5e4f SHA1: b20d9ffed02915a63d561455164c2cdf52b93e55 MD5sum: d00b5030ddb01eb9cc5546b1796b09c0 Description: polygon clipping routines, runtime library Robust routines for clipping polygons against each other: finding unions and intersections and differences of polygonal regions. Runtime library. Package: libclips Source: clips Version: 6.24-3 Architecture: armhf Maintainer: Javier Fernandez-Sanguino Pen~a Installed-Size: 775 Depends: libc6 (>= 2.13-28) Homepage: http://clipsrules.sourceforge.net Priority: optional Section: libs Filename: pool/main/c/clips/libclips_6.24-3_armhf.deb Size: 359750 SHA256: 1aa701c17a4f5a5adf6266b159b8534cac07ded449f62b9b0b08d04a6ebc2b92 SHA1: e6ecc83b06ef53cdeeccbbb246935b316de2a5e5 MD5sum: cc085c166dd3dc38838ad4546621c2ac Description: CLIPS shared libraries CLIPS 6.0 is an OPS-like forward chaining production system written in ANSI C by NASA. The CLIPS inference engine includes truth maintenance, dynamic rule addition, and customizable conflict resolution strategies. . CLIPS, including the runtime version, is easily embeddable in other applications. CLIPS includes an object-oriented language called COOL (CLIPS Object-Oriented Language) which is directly integrated with the inference engine. . This package installs the required shared libraries. Package: libclips-dev Source: clips Version: 6.24-3 Architecture: armhf Maintainer: Javier Fernandez-Sanguino Pen~a Installed-Size: 1875 Depends: libclips (= 6.24-3), libc6-dev Recommends: clips-doc Homepage: http://clipsrules.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/c/clips/libclips-dev_6.24-3_armhf.deb Size: 565006 SHA256: 0d7328fdcb143770c570c6eca6d37e7a3d5a5455fc5865b63e5fa32fadfffe9c SHA1: b384470d4e31fbb8e9d44608858428cf6eaed739 MD5sum: 3f119a11be4dfdf2da9bd2797251d72d Description: CLIPS shared libraries CLIPS 6.0 is an OPS-like forward chaining production system written in ANSI C by NASA. The CLIPS inference engine includes truth maintenance, dynamic rule addition, and customizable conflict resolution strategies. . CLIPS, including the runtime version, is easily embeddable in other applications. CLIPS includes an object-oriented language called COOL (CLIPS Object-Oriented Language) which is directly integrated with the inference engine. . This package installs the static libraries and headers. Package: libcliquer-dev Source: cliquer Version: 1.21-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 57 Depends: libcliquer1 (= 1.21-1) Homepage: http://www.tkk.fi/~pat/cliquer.html Priority: optional Section: libdevel Filename: pool/main/c/cliquer/libcliquer-dev_1.21-1_armhf.deb Size: 8664 SHA256: 77988de89eb13ad4c1e357a1925fb82cbc813af1e933a521932e88a47b40c52e SHA1: 17c0b3aeed038c7d8e54d235851fc8a48d7b3aef MD5sum: 79dd1b6f1f5941ef1d4a4ab311a6019e Description: clique searching library (development headers) This package contains the development headers for the clique searching library found in libcliquer0, which allows searching for cliques in arbitrary weighted and unweighted graphs. Package: libcliquer1 Source: cliquer Version: 1.21-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 73 Depends: libc6 (>= 2.7) Homepage: http://www.tkk.fi/~pat/cliquer.html Priority: optional Section: libs Filename: pool/main/c/cliquer/libcliquer1_1.21-1_armhf.deb Size: 23838 SHA256: 454f3c98a2227fade76eb618d4df29cbe767deb9caa911ba4153fbbac861c2df SHA1: 724abe325c2ad189bf9d33e5e476735501129bd1 MD5sum: 7f5567c9efffd978e5c57097451a9835 Description: clique searching library Cliquer is a set of routines for finding cliques in arbitrary weighted and unweighted graphs. It can search for maximal cliques, maximal-weighted cliques or cliques within a given size range. Package: libclirr-maven-plugin-java Source: clirr-maven-plugin Version: 2.3-1 Installed-Size: 116 Maintainer: Debian Java Maintainers Architecture: all Depends: clirr, libbcel-java, libdoxia-java, libdoxia-sitetools-java, libmaven-reporting-impl-java, libmaven2-core-java, libplexus-i18n-java, libplexus-utils-java Size: 39822 SHA256: 034e76cb1bd40febcdd561b15f71c3adbc127feb4c320d206d317cf8b90ce0ed SHA1: 581fcbd45b11cda172df3e44c8bf81e5c56114a2 MD5sum: 6e9bc685a043ea40d47f3b818ef264ef Description: Clirr Maven Plugin Clirr is a tool that checks Java libraries for binary and source compatibility with older releases. Basically you give it two sets of jar files and Clirr dumps out a list of changes in the public API. The clirr-maven-plugin can be configured to break the build, if it detects incompatible API changes. In a continuous integration process, the clirr-maven-plugin can automatically prevent accidental introduction of binary or source compatibility problems. Additionally, the plugin can generate a report as part of the generated site. Homepage: http://mojo.codehaus.org/clirr-maven-plugin/ Section: java Priority: optional Filename: pool/main/c/clirr-maven-plugin/libclirr-maven-plugin-java_2.3-1_all.deb Package: libcln-dev Source: cln Version: 1.3.2-1.2 Architecture: armhf Maintainer: Richard Kreckel Installed-Size: 6684 Depends: g++, libcln6 (= 1.3.2-1.2), libc6-dev | libc-dev, libgmp-dev, install-info Recommends: info | info-browser Conflicts: cln-dev Replaces: cln-dev Provides: cln-dev Homepage: http://www.ginac.de/CLN/ Priority: optional Section: libdevel Filename: pool/main/c/cln/libcln-dev_1.3.2-1.2_armhf.deb Size: 1273956 SHA256: cb819b9484cd9a988dd6f6189ce3803bba83bf2c7a3e7bc985e74fba3fca51e6 SHA1: 499cd1b6d7a7ad8b9a7ba77e35a9bc336f47abe0 MD5sum: 727f1cf35427f03956e814a0271a1724 Description: Development library for Class Library for Numbers (c++) CLN is a library for computations with all kinds of numbers. It has a rich set of number classes, including integers (with unlimited precision), reals with various precisions (including unlimited), rationals, complex numbers and polynomials. The kernel is written in assembly language. It uses garbage collection (automatic, without imposing any burden on the main application). Many efficient algorithms (i.e. for all transcendental functions) are implemented. . This package provides header files, a static library plus a manual. Package: libcln6 Source: cln Version: 1.3.2-1.2 Architecture: armhf Maintainer: Richard Kreckel Installed-Size: 1396 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libgmp10, libstdc++6 (>= 4.4.0) Suggests: pi Replaces: cln Homepage: http://www.ginac.de/CLN/ Priority: optional Section: libs Filename: pool/main/c/cln/libcln6_1.3.2-1.2_armhf.deb Size: 548304 SHA256: f59943ebb3226001ce0052ae24a4b0d5f071c3fd232356c14538c649e69ec756 SHA1: 83cf5f2ffe07c7d00043a1808157a549ab554529 MD5sum: d6ad37568535c9f054c2e45340779a14 Description: Class Library for Numbers (C++) CLN is a library for computations with all kinds of numbers. It has a rich set of number classes, including integers (with unlimited precision), reals with various precisions (including unlimited), rationals, complex numbers and polynomials. The kernel is written in assembly language. It uses garbage collection (automatic, without imposing any burden on the main application). Many efficient algorithms (i.e. for all transcendental functions) are implemented. Package: libclojure-maven-plugin-java Source: clojure-maven-plugin Version: 1.3.3-3 Installed-Size: 95 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-exec-java, libcommons-io-java, libcommons-lang-java, libmaven2-core-java Recommends: clojure Size: 36532 SHA256: 2bb9f704915d21fcc9d9340689e46d854ca2d77fd505bbb288fc53e401929c39 SHA1: cb7f7137872341bc7d5a84cf80c2e17036e70dc4 MD5sum: 8c6245d6c6861db77064d229c83c3f91 Description: Clojure plugin for Maven Clojure is a dynamic programming language that targets the Java Virtual Machine. It is designed to be a general-purpose language, combining the approachability and interactive development of a scripting language with an efficient and robust infrastructure for multithreaded programming. . This package provides a Maven Mojo allowing for programs written using Clojure to be built with Maven. Homepage: http://github.com/talios/clojure-maven-plugin Section: java Priority: optional Filename: pool/main/c/clojure-maven-plugin/libclojure-maven-plugin-java_1.3.3-3_all.deb Package: libclone-fast-perl Version: 0.96-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 96 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Clone-Fast/ Priority: optional Section: perl Filename: pool/main/libc/libclone-fast-perl/libclone-fast-perl_0.96-1_armhf.deb Size: 22342 SHA256: 9098bb91ddbf4e59039f0d52f8d6dfdef620bd194bdc8525eaac3a60b0ad1c14 SHA1: eee0a6205900857cf735caf0592ee9693b6f5f6f MD5sum: 1d03b3b358f846c6d3e097afd42330d2 Description: module for fast data structure copying Clone::Fast is a Perl module for quickly copying (cloning) arbitrary data structures. Deep references in the structure will refer to the cloned data structure. It is similar in concept to Storable's clone function, but is significantly faster since it doesn't need to convert data structures to and from a binary format. Package: libclone-perl Version: 0.31-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 64 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Clone/ Priority: optional Section: perl Filename: pool/main/libc/libclone-perl/libclone-perl_0.31-1_armhf.deb Size: 12630 SHA256: c6cf06c1a4f54e8e98671cdfd3ac99de8eb37a367f50c82df62a4676c3c9478e SHA1: c42b929fff625f9a6087640065f0d82c204e907c MD5sum: edad487cdb89bbb1886e606d5ce3a7a7 Description: recursively copy Perl datatypes The Clone module provides a clone() method which makes recursive copies of nested hash, array, scalar and reference types, including tied variables and objects. . It is faster (although less flexible) than Storable's dclone. Its functionality is _not_ serializing in-memory objects (i.e. as Data::Dumper or YAML::Dump do), but deep-copying them over to new in-memory structures. Package: libclone-pp-perl Version: 1.02-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 8728 SHA256: 47a7fae82319a6d3d072c093163df6d4f09d22ce8409d3731ff5b81b6b423204 SHA1: d2058660e7d3c5226725c13a6e104fd04910afd4 MD5sum: 80e76146716f295ce8d8c13d386ef345 Description: Recursively copy Perl datatypes Clone::PP provides a general-purpose clone function to make deep copies of Perl data structures. It calls itself recursively to copy nested hash, array, scalar and reference types, including tied variables and objects. . The clone() function takes a scalar argument to copy. To duplicate arrays or hashes, pass them in by reference: . my $copy = clone(\@array); my @copy = @{ clone(\@array) }; my $copy = clone(\%hash); my %copy = %{ clone(\%hash) }; . The clone() function also accepts an optional second parameter that can be used to limit the depth of the copy. If you pass a limit of 0, clone will return the same value you supplied; for a limit of 1, a shallow copy is constructed; for a limit of 2, two layers of copying are done, and so on. Homepage: http://search.cpan.org/dist/Clone-PP/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libclone-pp-perl/libclone-pp-perl_1.02-1_all.deb Package: libcloog-isl-dev Source: cloog Version: 0.17.0-3 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 328 Depends: libisl-dev, libgmp-dev, libcloog-isl3 (= 0.17.0-3), dpkg (>= 1.15.4) | install-info Conflicts: libcloog-ppl-dev Multi-Arch: same Homepage: http://www.CLooG.org Priority: optional Section: libdevel Filename: pool/main/c/cloog/libcloog-isl-dev_0.17.0-3_armhf.deb Size: 72640 SHA256: 4bb611acb842bdbd7641e671fca4d8874fb09107e489d6878e0dfee41b339af5 SHA1: 94ec58ee595bd37ede836875c6414441af600b66 MD5sum: e2d3b6d691126955907972091d248e92 Description: Chunky Loop Generator (development files) CLooG is a software which generates loops for scanning Z-polyhedra. That is, CLooG finds the code or pseudo-code where each integral point of one or more parametrized polyhedron or parametrized polyhedra union is reached. CLooG is designed to avoid control overhead and to produce a very efficient code. . This package contains the development files and the cloog binary. Package: libcloog-isl3 Source: cloog Version: 0.17.0-3 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 193 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgmp10, libisl10 Multi-Arch: same Homepage: http://www.CLooG.org Priority: optional Section: libs Filename: pool/main/c/cloog/libcloog-isl3_0.17.0-3_armhf.deb Size: 54794 SHA256: dfc59abcf3858fcc94d4664122c6b024c75e8c23ace66009cd7dab29eb5ecfd2 SHA1: e2e34acb06f51246981546dc3e2fde42ee0b47c2 MD5sum: 82cbd3f93e97e7157048df9e38313d4d Description: Chunky Loop Generator (runtime library) CLooG is a software which generates loops for scanning Z-polyhedra. . This package contains the runtime library. Package: libcloog-ppl-dev Source: cloog-ppl Version: 0.15.11-4 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 282 Depends: libppl0.11-dev, libgmp-dev, libcloog-ppl0 (= 0.15.11-4), dpkg (>= 1.15.4) | install-info Multi-Arch: same Homepage: http://www.CLooG.org Priority: optional Section: libdevel Filename: pool/main/c/cloog-ppl/libcloog-ppl-dev_0.15.11-4_armhf.deb Size: 93868 SHA256: 7d2c75e35b66e287621f8ac69be50b53bdaa1aa6a3ef08943f5bf03c132f6b25 SHA1: 73287ac06b4e5713cc8b67125d03200eafae9dd0 MD5sum: 5c1b83c742799219781e458c569ce537 Description: Chunky Loop Generator (development files) CLooG is a software which generates loops for scanning Z-polyhedra. That is, CLooG finds the code or pseudo-code where each integral point of one or more parametrized polyhedron or parametrized polyhedra union is reached. CLooG is designed to avoid control overhead and to produce a very efficient code. . This package contains the development files. Package: libcloog-ppl0 Source: cloog-ppl Version: 0.15.11-4 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 151 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgmp10, libgmpxx4ldbl, libppl-c4, libppl9 Multi-Arch: same Homepage: http://www.CLooG.org Priority: optional Section: libs Filename: pool/main/c/cloog-ppl/libcloog-ppl0_0.15.11-4_armhf.deb Size: 54698 SHA256: bfa9df0dc2253f861c4620ef16e14f5293d54e4c7770ae9f71dae6be0ab96e75 SHA1: 9895ae186c801daa7c7f7bed0622cc1b5ec5d678 MD5sum: e3078ee8fbf4a14b5eddd7df6ed06503 Description: Chunky Loop Generator (runtime library) CLooG is a software which generates loops for scanning Z-polyhedra. . This package contains the runtime library. Package: libclthreads-dev Source: clthreads Version: 2.4.0-4 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 54 Depends: libclthreads2 (= 2.4.0-4) Multi-Arch: same Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/ Priority: optional Section: libdevel Filename: pool/main/c/clthreads/libclthreads-dev_2.4.0-4_armhf.deb Size: 6420 SHA256: 61300f40dcf3cb016ba2bd52c12350d13bbf35b336dc67d258b7119a88c745e3 SHA1: f048920adc48dfd6cfa5eb39c31e1741b1bad5ff MD5sum: 13cd20ecdf467a5c6487e7248043ea5f Description: Development file for libclthreads C++ wrapper library around the POSIX threads API. . This package includes the development tools. Package: libclthreads2 Source: clthreads Version: 2.4.0-4 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 53 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Multi-Arch: same Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/ Priority: optional Section: libs Filename: pool/main/c/clthreads/libclthreads2_2.4.0-4_armhf.deb Size: 11164 SHA256: ea7cf8ad0179206591fae6b0bd5f247570530f6adb1de257f72c0c9a8478e412 SHA1: 864805b9ee1b2fc4af0819bde8a87022679663f9 MD5sum: 1e1bb3afb8c64abd50d14d41ed597f43 Description: POSIX threads C++ access library C++ wrapper library around the POSIX threads API. . This package includes the shared library object. Package: libclucene-contribs1 Source: clucene-core-experimental Version: 2.3.3.4-2+rpi1 Architecture: armhf Maintainer: Fathi Boudra Installed-Size: 346 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libclucene-core1 (>= 2.3.3.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://clucene.sourceforge.net Priority: optional Section: libs Filename: pool/main/c/clucene-core-experimental/libclucene-contribs1_2.3.3.4-2+rpi1_armhf.deb Size: 105972 SHA256: 05a5423d1425fb7cfdf92f33d16e4b07ee74b8cd90146bbc497320b454a1eff1 SHA1: 48b6d0a92b27a409ea1a0ebf6860bc4e2fe93985 MD5sum: c9b5278c710923b7e3fb9a5869111392 Description: language specific text analyzers (runtime) CLucene is a C++ port of the popular Apache Lucene search engine (http://lucene.apache.org/java). It is released under LGPL or the Apache License. . CLucene aims to be a high-speed alternative to Java Lucene, its API is very similar to that of the Java version. CLucene has recently been brought up to date with Lucene 1.4.3. It contains most of the same functionality as the Java version, except for Socket, Threading and the special caching modifications. . This package contains the files necessary for running applications that use the CLucene contribs library (language specific text analyzers for CLucene). Package: libclucene-core1 Source: clucene-core-experimental Version: 2.3.3.4-2+rpi1 Architecture: armhf Maintainer: Fathi Boudra Installed-Size: 1543 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://clucene.sourceforge.net Priority: optional Section: libs Filename: pool/main/c/clucene-core-experimental/libclucene-core1_2.3.3.4-2+rpi1_armhf.deb Size: 541758 SHA256: 52b233841962e9eb323222367b81d4e96d2437ab45b7bdbdbe0edac732fe5f36 SHA1: 497d3560aa6b2e8d10fa49fa13e7d02df9c310a3 MD5sum: 50ced0c79551ce899db4a677df58f3ec Description: core library for full-featured text search engine (runtime) CLucene is a C++ port of the popular Apache Lucene search engine (http://lucene.apache.org/java). It is released under LGPL or the Apache License. . CLucene aims to be a high-speed alternative to Java Lucene, its API is very similar to that of the Java version. CLucene has recently been brought up to date with Lucene 1.4.3. It contains most of the same functionality as the Java version, except for Socket, Threading and the special caching modifications. . This package contains the files necessary for running applications that use the CLucene core and shared libraries. Package: libclucene-dev Source: clucene-core Version: 0.9.21b-2 Architecture: armhf Maintainer: Fathi Boudra Installed-Size: 8000 Depends: libclucene0ldbl (= 0.9.21b-2), libc6-dev Homepage: http://clucene.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/c/clucene-core/libclucene-dev_0.9.21b-2_armhf.deb Size: 1298228 SHA256: 068b98c78c7bd88e88d58bd19455c9331733ad30d26b1aa627b031d0946390b3 SHA1: 1467c5cc493847bef3375e76817276220e3b5c0e MD5sum: d4bb7ca706a44ced4beec939cfee76bd Description: library for full-featured text search engine (development) CLucene is a C++ port of the popular Apache Lucene search engine (http://lucene.apache.org/java). It is released under LGPL or the Apache License. . CLucene aims to be a high-speed alternative to Java Lucene, its API is very similar to that of the Java version. CLucene has recently been brought up to date with Lucene 1.4.3. It contains most of the same functionality as the Java version, except for Socket, Threading and the special caching modifications. . This package contains the static libraries and headers for developing applications that use the CLucene search API. Package: libclucene-dev-experimental Source: clucene-core-experimental Version: 2.3.3.4-2+rpi1 Architecture: armhf Maintainer: Fathi Boudra Installed-Size: 1404 Depends: libc6-dev, libclucene-contribs1 (= 2.3.3.4-2+rpi1), libclucene-core1 (= 2.3.3.4-2+rpi1) Conflicts: libclucene-dev Homepage: http://clucene.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/c/clucene-core-experimental/libclucene-dev-experimental_2.3.3.4-2+rpi1_armhf.deb Size: 283232 SHA256: f541b77fa8b615d13eab018249262b3c63d9463149bc43e9d114f271c2c999b3 SHA1: 999c3af92107751f545183959886d288dd808d92 MD5sum: aee9279e13b072f93a06883e68e82472 Description: library for full-featured text search engine (development) CLucene is a C++ port of the popular Apache Lucene search engine (http://lucene.apache.org/java). It is released under LGPL or the Apache License. . CLucene aims to be a high-speed alternative to Java Lucene, its API is very similar to that of the Java version. CLucene has recently been brought up to date with Lucene 1.4.3. It contains most of the same functionality as the Java version, except for Socket, Threading and the special caching modifications. . This package contains the static libraries and headers for developing applications that use the CLucene search API. Package: libclucene0ldbl Source: clucene-core Version: 0.9.21b-2 Architecture: armhf Maintainer: Fathi Boudra Installed-Size: 1956 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Replaces: libclucene0 (<< 0.9.21b-2) Homepage: http://clucene.sourceforge.net Priority: optional Section: libs Filename: pool/main/c/clucene-core/libclucene0ldbl_0.9.21b-2_armhf.deb Size: 482300 SHA256: 1a60f31645c30b279b600fd00a4a600088fc193ce8e5b3f26885397fdeebf216 SHA1: a069f1a841bdcbece46202bfa6b8578868d69512 MD5sum: 327e3d9c99b56a94943d15c53590b2a1 Description: library for full-featured text search engine (runtime) CLucene is a C++ port of the popular Apache Lucene search engine (http://lucene.apache.org/java). It is released under LGPL or the Apache License. . CLucene aims to be a high-speed alternative to Java Lucene, its API is very similar to that of the Java version. CLucene has recently been brought up to date with Lucene 1.4.3. It contains most of the same functionality as the Java version, except for Socket, Threading and the special caching modifications. . This package contains the files necessary for running applications that use the libclucene library. Package: libclucy-clojure Source: clucy Version: 0.3.0-1 Installed-Size: 72 Maintainer: Debian Java Maintainers Architecture: all Depends: clojure1.2, liblucene2-java Size: 14068 SHA256: 1aed421edae3ba6e94f96bb39d7636bf89f4f70a06ac8fe0acff5027e349a2d0 SHA1: f0ae65e4d7277eee17e063d7e8a07b50967e6697 MD5sum: 9e5cddd3fbd52c3746c9d14e1f1b2675 Description: Clojure interface to the Lucene search engine Lucene is a full-text search engine for the Java(TM) programming language. It is not a complete application, but rather a code library and API that can be used to easily add search capabilities to applications. . This package contains a Clojure interface to Lucene. Homepage: https://github.com/weavejester/clucy Section: java Priority: optional Filename: pool/main/c/clucy/libclucy-clojure_0.3.0-1_all.deb Package: libclustalo-dev Source: clustalo Version: 1.1.0-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 914 Depends: libargtable2-dev, clustalo (= 1.1.0-1) Suggests: libclustalo-doc Homepage: http://www.clustal.org/omega/ Priority: optional Section: libdevel Filename: pool/main/c/clustalo/libclustalo-dev_1.1.0-1_armhf.deb Size: 361990 SHA256: 76e901dcb9c0e8a84f81ce4c64a3586f8909e9b8b518e084daa0592506d6564e SHA1: ac441ff1503714db756026a5a3c379c78290fca1 MD5sum: c117b3040f05594356603258b87eb718 Description: library to embed Clustal Omega This package contains headers and libraries to include Clustal Omega in a program. It provides clustal usage with an API. Package: libclustalo-doc Source: clustalo Version: 1.1.0-1 Installed-Size: 2481 Maintainer: Debian Med Packaging Team Architecture: all Size: 1389126 SHA256: d1ee113b48c180ede806737cd51e633f5e969cea2bcb5f0d8238bb21e7b410da SHA1: 579e828ee4a82091037452aac1622f4c26a2b024 MD5sum: dae27a3bf61d74855632f7363c6ef246 Description: API documentation Contains the HTML documentation to use the Clustal Omega library. Documentation is available in /usr/share/doc/libclustalo-dev/api. Homepage: http://www.clustal.org/omega/ Section: doc Priority: optional Filename: pool/main/c/clustalo/libclustalo-doc_1.1.0-1_all.deb Package: libcluster-glue Source: cluster-glue Version: 1.0.9+hg2665-1 Installed-Size: 36 Maintainer: Debian HA Maintainers Architecture: all Depends: liblrm2 (>= 1.0.9+hg2665-1), libpils2 (>= 1.0.9+hg2665-1), libplumb2 (>= 1.0.9+hg2665-1), libplumbgpl2 (>= 1.0.9+hg2665-1), libstonith1 (>= 1.0.9+hg2665-1) Size: 10284 SHA256: bedfc588a875e86222d01c7af3f9f2b5c1ef80cfc0663cf32ecbdc18783a1a40 SHA1: b27354c1ae04fa8a9db498ee00847c8b202e26b1 MD5sum: 858b1b966e1e6f84c15d65fc2e3e656d Description: Reusable cluster libraries (transitional package) This package used to contain all the libraries from cluster-glue, the reusable cluster components package. It's now a transitional package without any content, depending on all the single library packages that now exist. Homepage: http://hg.linux-ha.org/glue/ Tag: admin::cluster, network::hiavailability, role::shared-lib Section: admin Priority: optional Filename: pool/main/c/cluster-glue/libcluster-glue_1.0.9+hg2665-1_all.deb Package: libcluster-glue-dev Source: cluster-glue Version: 1.0.9+hg2665-1 Installed-Size: 36 Maintainer: Debian HA Maintainers Architecture: all Depends: liblrm2-dev (>= 1.0.9+hg2665-1), libpils2-dev (>= 1.0.9+hg2665-1), libplumb2-dev (>= 1.0.9+hg2665-1), libplumbgpl2-dev (>= 1.0.9+hg2665-1), libstonith1-dev (>= 1.0.9+hg2665-1) Size: 10326 SHA256: fb803caf93a522bb767fe4e9c049966d114f89ae111f375cb585d158ef72bcd4 SHA1: c9bf4ef2879a22f212bd12d95d3fa45b288d0fc9 MD5sum: 24a83999d748e4abadd7d646365cf195 Description: The reusable cluster components for Linux HA (transitional package) This package used to contain all the development files for the libraries from cluster-glue, the reusable cluster components package. It's now a transitional package without any content, depending on all the single library development packages that now exist. Homepage: http://hg.linux-ha.org/glue/ Tag: devel::library, role::devel-lib Section: libdevel Priority: optional Filename: pool/main/c/cluster-glue/libcluster-glue-dev_1.0.9+hg2665-1_all.deb Package: libclutter-1.0-0 Source: clutter-1.0 Version: 1.10.8-2+rpi1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1318 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 2.2.0), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10), libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.9.8), libdrm2 (>= 2.3.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.19), libgtk-3-0 (>= 3.3.18), libjson-glib-1.0-0 (>= 0.12.0), libpango1.0-0 (>= 1.29.4), libx11-6 (>= 2:1.2.99.901), libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6 (>= 2:1.2.99.4) Recommends: libclutter-1.0-common Breaks: libcogl5, python-clutter (<< 1.3.2) Multi-Arch: same Homepage: http://www.clutter-project.org/ Priority: optional Section: libs Filename: pool/main/c/clutter-1.0/libclutter-1.0-0_1.10.8-2+rpi1_armhf.deb Size: 539368 SHA256: f53ee53a3ea82304f3bbc21dfaa90657577f0bab0523f8374e0781fb05efd712 SHA1: c28ac0e3c78aeea1bdc0f8065ca2fc89492f3a3a MD5sum: 580229634f141cc9dee4343359548f44 Description: Open GL based interactive canvas library Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. Package: libclutter-1.0-common Source: clutter-1.0 Version: 1.10.8-2+rpi1 Architecture: all Maintainer: Debian GNOME Maintainers Installed-Size: 2448 Multi-Arch: foreign Homepage: http://www.clutter-project.org/ Priority: optional Section: libs Filename: pool/main/c/clutter-1.0/libclutter-1.0-common_1.10.8-2+rpi1_all.deb Size: 477738 SHA256: 618c24e606f908c4e7b815547bcf5c20650659f19b2762a41c709dafc0c6db94 SHA1: fc457e458b8418b1a055d8cbd4b2cf5ab581e80c MD5sum: 59368e265addf08f5c989125f198094d Description: Open GL based interactive canvas library (common files) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. . This package contains the common files, such as translations. Package: libclutter-1.0-dbg Source: clutter-1.0 Version: 1.10.8-2+rpi1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 3277 Depends: libclutter-1.0-0 (= 1.10.8-2+rpi1) Multi-Arch: same Homepage: http://www.clutter-project.org/ Priority: extra Section: debug Filename: pool/main/c/clutter-1.0/libclutter-1.0-dbg_1.10.8-2+rpi1_armhf.deb Size: 1036714 SHA256: a8bd8a62fd147e2e4b9b3a17bd5413f85871ddaa0eca5e3aebfe170da0b6520c SHA1: 9305b2bb68fce82fcedbefafd094a26b74484665 MD5sum: 17a59e0fa429274aa82e546faf2b2640 Description: Open GL based interactive canvas library (debug files) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. . This package contains the debug files. Package: libclutter-1.0-dev Source: clutter-1.0 Version: 1.10.8-2+rpi1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 3500 Depends: libclutter-1.0-0 (= 1.10.8-2+rpi1), gir1.2-clutter-1.0 (= 1.10.8-2+rpi1), libgdk-pixbuf2.0-dev, libcairo2-dev (>= 1.10), libatk1.0-dev (>= 1.7), libpango1.0-dev (>= 1.20), libcogl-dev (>= 1.9.8), libcogl-pango-dev (>= 1.9.8), libgl1-mesa-dev (>= 7.1~rc3-1~), libgtk-3-dev, libdrm-dev, libx11-dev, libxext-dev, libxfixes-dev, libxdamage-dev, libxcomposite-dev, libxi-dev, libjson-glib-dev (>= 0.12) Recommends: pkg-config Suggests: libclutter-1.0-doc Homepage: http://www.clutter-project.org/ Priority: optional Section: libdevel Filename: pool/main/c/clutter-1.0/libclutter-1.0-dev_1.10.8-2+rpi1_armhf.deb Size: 491942 SHA256: 0c6dd65ccd6cf07a7464cb4e9e636abacf9d23aea400fffb143ab4e329c387ae SHA1: 8db128dca63731ec20e3ba82e8642a79c748dffd MD5sum: 73354a16431fe1e61965789cf6c259a1 Description: Open GL based interactive canvas library (development files) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. . This package contains the development files. Package: libclutter-1.0-doc Source: clutter-1.0 Version: 1.10.8-2+rpi1 Architecture: all Maintainer: Debian GNOME Maintainers Installed-Size: 7047 Suggests: devhelp Conflicts: libclutter-0.1-doc, libclutter-doc Replaces: libclutter-0.1-doc Homepage: http://www.clutter-project.org/ Priority: optional Section: doc Filename: pool/main/c/clutter-1.0/libclutter-1.0-doc_1.10.8-2+rpi1_all.deb Size: 827096 SHA256: e03e0f5b24a64449c8a2fe7acc40352bd1c17300317b28555643fa7170bbac11 SHA1: 2f7b604813a53c949e5d9bdaa82408e9c4542880 MD5sum: aeed3a7b7efe6450ec33679f97444b77 Description: Open GL based interactive canvas library (documentation) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. . This package contains the documentation. Package: libclutter-cil Source: clutter-sharp Version: 1.0.0~alpha3~git20090817.r1.349dba6-8 Installed-Size: 488 Maintainer: Debian CLI Libraries Team Architecture: all Depends: libclutter-1.0-0 (>= 1.10.4), libglib2.0-0 (>= 2.32.2), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgtk2.0-0 (>= 2.24.0), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-cairo4.0-cil (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.7) Size: 160528 SHA256: f2b4dfc057732b15bc1c2c2e7da8e098cf41986c2d32ab89d98895c6847a92f5 SHA1: 361d2d66eb213ed92542c04bfd129df956e4b859 MD5sum: e89f99b51762c409d1124a0615e1c61a Description: Open GL based interactive canvas library -- CLI Bindings Open GL based interactive canvas library Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. . This package provides CLI bindings to a build of Clutter that uses the GLX OpenGL backend. Homepage: http://git.clutter-project.org/bindings/clutter-sharp/ Tag: devel::ecma-cli, devel::library, role::shared-lib, uitoolkit::gtk Section: cli-mono Priority: optional Filename: pool/main/c/clutter-sharp/libclutter-cil_1.0.0~alpha3~git20090817.r1.349dba6-8_all.deb Package: libclutter-cil-dev Source: clutter-sharp Version: 1.0.0~alpha3~git20090817.r1.349dba6-8 Installed-Size: 338 Maintainer: Debian CLI Libraries Team Architecture: all Depends: libclutter-cil (= 1.0.0~alpha3~git20090817.r1.349dba6-8) Size: 33130 SHA256: 8e6e5b519c7afe0e140326eaef8a4bae515bfd5986841f7b777239f942ab4116 SHA1: 07699c55769ece74df31e9656c4beb213fa487c3 MD5sum: 843b201c775388513628ae9a900584b6 Description: Open GL based interactive canvas library -- CLI development files Open GL based interactive canvas library Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. . This package provides development files used for compiling CLI applications which use this library. Homepage: http://git.clutter-project.org/bindings/clutter-sharp/ Tag: devel::ecma-cli, devel::library, role::devel-lib Section: cli-mono Priority: optional Filename: pool/main/c/clutter-sharp/libclutter-cil-dev_1.0.0~alpha3~git20090817.r1.349dba6-8_all.deb Package: libclutter-gst-1.0-0 Source: clutter-gst Version: 1.5.4-1+build0 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 153 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libclutter-1.0-0 (>= 1.10.0), libcogl9 (>= 1.9.6), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.26), libgstreamer0.10-0 (>= 0.10.26), libx11-6 Multi-Arch: same Homepage: http://www.clutter-project.org/ Priority: optional Section: libs Filename: pool/main/c/clutter-gst/libclutter-gst-1.0-0_1.5.4-1+build0_armhf.deb Size: 35712 SHA256: 370f7f444a1c2fdd50ad57e9c8f8c3b9d51f37e217c6804b578c8f289694bf33 SHA1: 59e7c30de2c3cdd892b196192588f66957c9dd3e MD5sum: 1fc3db15f02605c0498a1ad8d41e6e69 Description: Open GL based interactive canvas library GStreamer elements Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. Package: libclutter-gst-1.0-dbg Source: clutter-gst Version: 1.5.4-1+build0 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 336 Depends: libclutter-gst-1.0-0 (= 1.5.4-1+build0) Multi-Arch: same Homepage: http://www.clutter-project.org/ Priority: extra Section: debug Filename: pool/main/c/clutter-gst/libclutter-gst-1.0-dbg_1.5.4-1+build0_armhf.deb Size: 87384 SHA256: 827de272914bcec830f99f5c7f2101926da111ae5d3785e56906aad1d73f2739 SHA1: 7f46952239faef7ca8805a972b8dc242c9bc92cf MD5sum: 2c883f05af3915a023333b5367f43f48 Description: Open GL based interactive canvas library GStreamer elements (debug files) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. . This package contains the debug files. Package: libclutter-gst-dev Source: clutter-gst Version: 1.5.4-1+build0 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 210 Depends: libclutter-gst-1.0-0 (= 1.5.4-1+build0), gir1.2-clutter-gst-1.0 (= 1.5.4-1+build0), pkg-config, libclutter-1.0-dev (>= 1.6.10-3), libgstreamer0.10-dev, libgstreamer-plugins-base0.10-dev Suggests: libclutter-gst-doc Conflicts: libclutter-gst-0.10-dev Homepage: http://www.clutter-project.org/ Priority: optional Section: libdevel Filename: pool/main/c/clutter-gst/libclutter-gst-dev_1.5.4-1+build0_armhf.deb Size: 73320 SHA256: 1c74064cf54a7aa863bc658a9b31c8e0055fc3b709a71b74e7fdc068789e2159 SHA1: ac6316f9be711ac62449ebcee7daac32ea388293 MD5sum: 009d01bfdb016d84497b6b148ff9fdd3 Description: Open GL based interactive canvas library GStreamer elements (development files) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. . This package contains the development files. Package: libclutter-gst-doc Source: clutter-gst Version: 1.5.4-1+build0 Installed-Size: 166 Maintainer: Debian GNOME Maintainers Architecture: all Suggests: devhelp Size: 23438 SHA256: 77ea93a98f878587cf8a122cfeb9ba03d12225a4abb91c31bb576f8571a4c578 SHA1: 03f9e194cd7a118a03b9372bbf0407c63e5dd7ff MD5sum: f2e96c7ec8a4db1740c9f5d8760b8002 Description: Open GL based interactive canvas library GStreamer elements (documentation) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. . This package contains the documentation. Homepage: http://www.clutter-project.org/ Tag: made-of::html, made-of::sgml, role::documentation Section: doc Priority: optional Filename: pool/main/c/clutter-gst/libclutter-gst-doc_1.5.4-1+build0_all.deb Package: libclutter-gtk-1.0-0 Source: clutter-gtk (1.2.0-2) Version: 1.2.0-2+b1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 77 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libclutter-1.0-0 (>= 1.10.0), libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.7.4), libdrm2 (>= 2.3.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk-3-0 (>= 3.2.0), libjson-glib-1.0-0 (>= 0.12.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6 Multi-Arch: same Homepage: http://www.clutter-project.org/ Priority: optional Section: libs Filename: pool/main/c/clutter-gtk/libclutter-gtk-1.0-0_1.2.0-2+b1_armhf.deb Size: 23142 SHA256: fb6416dcd89aeb62608fbca0c8149ce56f528e8a3d45642418c1dff7fee499a5 SHA1: 79adee05435677a2801bf70ec2190eb55a70164f MD5sum: 254982bfd066a11f9caa88cbfe1b4518 Description: Open GL based interactive canvas library GTK+ widget Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. Package: libclutter-gtk-1.0-dbg Source: clutter-gtk (1.2.0-2) Version: 1.2.0-2+b1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 208 Depends: libclutter-gtk-1.0-0 (= 1.2.0-2+b1) Multi-Arch: same Homepage: http://www.clutter-project.org/ Priority: extra Section: debug Filename: pool/main/c/clutter-gtk/libclutter-gtk-1.0-dbg_1.2.0-2+b1_armhf.deb Size: 71696 SHA256: c92927efbe8f96300617ca6ced6b60f689e73d2caeef852a4f426c99276d0b86 SHA1: 42869e2d65700c93a8e440edb747e3ddecdca134 MD5sum: 006ee66640a3c008e6fbd77bff1366d8 Description: Open GL based interactive canvas library GTK+ widget (debug files) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. . This package contains the debug files. Package: libclutter-gtk-1.0-dev Source: clutter-gtk (1.2.0-2) Version: 1.2.0-2+b1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 159 Depends: libclutter-gtk-1.0-0 (= 1.2.0-2+b1), gir1.2-gtkclutter-1.0 (= 1.2.0-2+b1), pkg-config, libgtk-3-dev (>= 3.2.0), libclutter-1.0-dev (>= 1.9.16) Suggests: libclutter-gtk-1.0-doc Homepage: http://www.clutter-project.org/ Priority: optional Section: libdevel Filename: pool/main/c/clutter-gtk/libclutter-gtk-1.0-dev_1.2.0-2+b1_armhf.deb Size: 34490 SHA256: 70b9fa71eab52ef890efa96b050dc6bb244fdc03dc3b936f3e319f9b1711de81 SHA1: 59a9b1985b342e860281ffc96eeea26aa654677c MD5sum: 33db840cfb19f672f687e85c63cbf700 Description: Open GL based interactive canvas library GTK+ widget (development files) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. . This package contains the development files. Package: libclutter-gtk-1.0-doc Source: clutter-gtk Version: 1.2.0-2 Installed-Size: 147 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libclutter-gtk-0.10-doc, libclutter-gtk-doc Recommends: libclutter-1.0-doc Suggests: devhelp Conflicts: libclutter-gtk-0.10-doc, libclutter-gtk-doc Size: 25256 SHA256: 4d936589bf35548246a09987ca44720b2839d512a20afb554e535a50f06dcce0 SHA1: 16fb84f0e0bbb465edeb831cfcce73b1290bded8 MD5sum: 46b0fb1999b2199c222c224470d3d774 Description: Open GL based interactive canvas library GTK+ widget (documentation) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. . This package contains the documentation. Homepage: http://www.clutter-project.org/ Tag: devel::doc, role::documentation, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/c/clutter-gtk/libclutter-gtk-1.0-doc_1.2.0-2_all.deb Package: libclutter-imcontext-0.1-0 Source: clutter-imcontext (0.1.4-3) Version: 0.1.4-3+b2 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 74 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libclutter-1.0-0 (>= 1.10.0), libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.7.4), libdrm2 (>= 2.3.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk-3-0 (>= 3.0.0), libjson-glib-1.0-0 (>= 0.12.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6 Recommends: libclutter-imcontext-0.1-bin Multi-Arch: same Homepage: http://www.clutter-project.org/ Priority: optional Section: libs Filename: pool/main/c/clutter-imcontext/libclutter-imcontext-0.1-0_0.1.4-3+b2_armhf.deb Size: 13326 SHA256: a8888852155c7d06199273246f0a5bf0525377968008a9956e95b694274f1e09 SHA1: 52b94a972a6811d3eac437a78ea80dcaa5f5de6a MD5sum: e4d1b84d2d103b858f2dab167093a397 Description: Open GL based interactive canvas library IMContext framework Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. IMContext is an abstract base class defining a generic input method interface. This library ports the GTK+ IMContext to clutter. Package: libclutter-imcontext-0.1-0-dbg Source: clutter-imcontext (0.1.4-3) Version: 0.1.4-3+b2 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 98 Depends: libclutter-imcontext-0.1-0 (= 0.1.4-3+b2) Homepage: http://www.clutter-project.org/ Priority: extra Section: debug Filename: pool/main/c/clutter-imcontext/libclutter-imcontext-0.1-0-dbg_0.1.4-3+b2_armhf.deb Size: 42566 SHA256: d680385cd6694b27a0037bba32c43bc1309a74fcae5a1c6acebfa42c8950238d SHA1: 86f2e57f60ed6e6e862c2f3decc2d51fd6832ccb MD5sum: 74a6bd44c27b49502681960721f46050 Description: Open GL based interactive canvas library IMContext framework (debug files) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. IMContext is an abstract base class defining a generic input method interface. This library ports the GTK+ IMContext to clutter. . This package contains the debug files. Package: libclutter-imcontext-0.1-bin Source: clutter-imcontext (0.1.4-3) Version: 0.1.4-3+b2 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 54 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libclutter-1.0-0 (>= 1.10.0), libclutter-imcontext-0.1-0, libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.7.4), libdrm2 (>= 2.3.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libjson-glib-1.0-0 (>= 0.12.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6 Breaks: libclutter-imcontext-0.1-0 (<< 0.1.4-2) Replaces: libclutter-imcontext-0.1-0 (<< 0.1.4-2) Multi-Arch: foreign Homepage: http://www.clutter-project.org/ Priority: optional Section: misc Filename: pool/main/c/clutter-imcontext/libclutter-imcontext-0.1-bin_0.1.4-3+b2_armhf.deb Size: 7614 SHA256: 54d816e77799456426303ef08cca4776428f5df169f8149dc6595e6b441b27f4 SHA1: 47142593772a94a51a970333c33f4e38c9a571f3 MD5sum: 6c32eb93200477965ca7d674616da9d5 Description: Open GL based interactive canvas library IMContext framework Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. IMContext is an abstract base class defining a generic input method interface. This library ports the GTK+ IMContext to clutter. . This package contains the utilities which are used by the libraries and other packages. Package: libclutter-imcontext-0.1-dev Source: clutter-imcontext (0.1.4-3) Version: 0.1.4-3+b2 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 67 Depends: libclutter-imcontext-0.1-0 (= 0.1.4-3+b2), libclutter-1.0-dev, libglib2.0-dev, pkg-config Suggests: libclutter-imcontext-0.1-doc Homepage: http://www.clutter-project.org/ Priority: optional Section: libdevel Filename: pool/main/c/clutter-imcontext/libclutter-imcontext-0.1-dev_0.1.4-3+b2_armhf.deb Size: 6090 SHA256: 63fe5f7cd117b2b50bed1de53c2b7cd6ee1b6fb654ecfddf83b5f92c37b37b48 SHA1: d21f24d642a920712c0e4e0ac2b2144283e561fb MD5sum: 3dc40633b48ef1534c7c3ea2f2ac3e74 Description: Open GL based interactive canvas library IMContext framework (dev. files) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. IMContext is an abstract base class defining a generic input method interface. This library ports the GTK+ IMContext to clutter. . This package contains the development files. Package: libclutter-imcontext-0.1-doc Source: clutter-imcontext Version: 0.1.4-3 Installed-Size: 133 Maintainer: Ying-Chun Liu (PaulLiu) Architecture: all Suggests: devhelp Size: 17014 SHA256: 6e57d060eb37f80eed2881b60b5214d5ed749d0ed4824ab873ce2dcb1dd673b7 SHA1: fcf7f7d75f654b00735c9a7dc0594853b81e7d3c MD5sum: 260f448d9a6cc03639af52cc3995ba0f Description: Open GL based interactive canvas library IMContext framework (document) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. IMContext is an abstract base class defining a generic input method interface. This library ports the GTK+ IMContext to clutter. . This package contains the documentation. Homepage: http://www.clutter-project.org/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/clutter-imcontext/libclutter-imcontext-0.1-doc_0.1.4-3_all.deb Package: libclutter-perl Version: 1.110-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 53 Depends: perl, gir1.2-clutter-1.0, libcairo-gobject-perl (>= 1.000), libglib-perl (>= 1.253), libglib-object-introspection-perl (>= 0.002), libpango-perl (>= 1.220) Homepage: http://search.cpan.org/dist/Clutter/ Priority: optional Section: perl Filename: pool/main/libc/libclutter-perl/libclutter-perl_1.110-1_armhf.deb Size: 8580 SHA256: f1ad326c8fd8513102a43c69778fce6c910ef1060e33d400b5a06a223746e499 SHA1: f77fd5d5880b692dcc89c1e07a50cd988b77bf2e MD5sum: 5453cf341a4774587a35efd3cfed8dbc Description: perl bindings for an Open GL based interactive canvas library Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. . This package contains the Perl bindings. Package: libcluttergesture-0.0.2-0 Source: clutter-gesture (0.0.2.1-7) Version: 0.0.2.1-7+b2 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 75 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libclutter-1.0-0 (>= 1.10.0), libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.7.4), libdrm2 (>= 2.3.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libjson-glib-1.0-0 (>= 0.12.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6 Multi-Arch: same Homepage: http://www.clutter-project.org/ Priority: optional Section: libs Filename: pool/main/c/clutter-gesture/libcluttergesture-0.0.2-0_0.0.2.1-7+b2_armhf.deb Size: 21944 SHA256: d1a9f2e545d7d3634a4a19bca356d0593444e0ffe3bbdac617ea67564351e8e1 SHA1: f1066d23bd8c9827ec33e71efcfe0e5b93a001ab MD5sum: 67ea034322ef8d3e78be93948a56ebd2 Description: Open GL based interactive canvas library Gesture framework Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. Gesture library allows clutter applications to be aware of gestures and to easily attach some handlers to gesture events. Package: libcluttergesture-0.0.2-0-dbg Source: clutter-gesture (0.0.2.1-7) Version: 0.0.2.1-7+b2 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 99 Depends: libcluttergesture-0.0.2-0 (= 0.0.2.1-7+b2) Homepage: http://www.clutter-project.org/ Priority: extra Section: debug Filename: pool/main/c/clutter-gesture/libcluttergesture-0.0.2-0-dbg_0.0.2.1-7+b2_armhf.deb Size: 44756 SHA256: edcf996cbeb82373ba2104ca16956f6054ec12428956b3ec4335c512ce08d13a SHA1: 5ebd5fc0741e5b12cf0bd74a4232c95de3e09d31 MD5sum: cb143ea88ec709adcd3972bc7eaf1e61 Description: Open GL based interactive canvas library Gesture framework (debug files) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. Gesture library allows clutter applications to be aware of gestures and to easily attach some handlers to gesture events. . This package contains the debug files. Package: libcluttergesture-dev Source: clutter-gesture (0.0.2.1-7) Version: 0.0.2.1-7+b2 Architecture: armhf Maintainer: Ying-Chun Liu (PaulLiu) Installed-Size: 62 Depends: libcluttergesture-0.0.2-0 (= 0.0.2.1-7+b2), libclutter-1.0-dev, pkg-config Homepage: http://www.clutter-project.org/ Priority: optional Section: libdevel Filename: pool/main/c/clutter-gesture/libcluttergesture-dev_0.0.2.1-7+b2_armhf.deb Size: 6712 SHA256: 1148ec926a9b47ec410652c82430914958861d81f507bb6cc1f5a514854c7bda SHA1: 683277c48f0435f723badd4ffea151063d4ffbe3 MD5sum: 763e9a6e5fe8871162445857edbd3617 Description: Open GL based interactive canvas library Gesture framework (dev. files) Clutter is an Open GL based interactive canvas library, designed for creating fast, mainly 2D single window applications such as media box UIs, presentations, kiosk style applications and so on. Gesture library allows clutter applications to be aware of gestures and to easily attach some handlers to gesture events. . This package contains the development files. Package: libclxclient-dev Source: clxclient Version: 3.6.1-6 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 69 Depends: libclxclient3 (>= 3.6.1-6), libclxclient3 (<< 3.6.1+1~), libxft-dev Multi-Arch: same Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/ Priority: optional Section: libdevel Filename: pool/main/c/clxclient/libclxclient-dev_3.6.1-6_armhf.deb Size: 10146 SHA256: 4d4d57eb73216a9cf520e71f9d59372daa64b50561b9d473fa1cae3bc1f75529 SHA1: 1ac7de2be86d0717688073f27953b007777bcf5c MD5sum: bd88d8121b0c601bc8aef8b2fc9f0e3e Description: Development file for libclxclient X Window System C++ access library. . This package includes the development tools. Package: libclxclient3 Source: clxclient Version: 3.6.1-6 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 118 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libclthreads2, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libx11-6, libxft2 (>> 2.1.1) Multi-Arch: same Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/ Priority: optional Section: libs Filename: pool/main/c/clxclient/libclxclient3_3.6.1-6_armhf.deb Size: 38720 SHA256: 616fb0888b2b580114750b150c44da2661e7a6bfcc51f51bbdaf4195e9477150 SHA1: 5a9f7043682c3db0faec0612f2dbae3250dd0914 MD5sum: d7a4dbe2d86fd9217888be1d67153472 Description: X Window System C++ access library C++ wrapper library around the X Window System API. . This package includes the shared library object. Package: libcman-dev Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 92 Depends: libcman3 (= 3.0.12-3.2+rvt+deb7u2) Priority: optional Section: libdevel Filename: pool/main/r/redhat-cluster/libcman-dev_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 32198 SHA256: 0f4019a82fd511320469f0c1c5ccda50e3af1d1f19119513d71b70c2514873ac SHA1: 4733341d03e629810598a4a7367848909bf35242 MD5sum: 2c3cfa217eaebcbaa7391de38b094fc1 Description: Red Hat cluster suite - cluster manager development files This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . CMAN provides a general-purpose Corosync/OpenAIS-based cluster manager. . This package contains the files needed for developing applications. Package: libcman3 Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 68 Depends: libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7), libxml2 (>= 2.7.4) Priority: optional Section: libs Filename: pool/main/r/redhat-cluster/libcman3_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 26926 SHA256: c05056b6e788bac49f1760dc37f612361337ac4cec0a74c49a568a67174caf71 SHA1: d42c74ae9eb8a3adcff0eae973f7984526c646cd MD5sum: 249b67d32f9466c5e4d12e4a0e0bc49f Description: Red Hat cluster suite - cluster manager libraries This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . CMAN provides a general-purpose Corosync/OpenAIS-based cluster manager. . This package contains the client libraries. Package: libcmdparse-ruby Source: ruby-cmdparse Version: 2.0.5-1 Installed-Size: 3 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-cmdparse Size: 2706 SHA256: fa7a1eecb0ed7161fe75edb3174f2c6d8dea27c1e4b335fa682f186fc8962db1 SHA1: 14f0e35c8da85cc77161da31ba558c1bcefbe9f3 MD5sum: 2f9d22af4a97aa33170324e2f4197413 Description: Transitional package for ruby-cmdparse This is a transitional package to ease upgrades to the ruby-cmdparse package. It can safely be removed. Homepage: http://cmdparse.rubyforge.org Tag: devel::lang:ruby Section: oldlibs Priority: extra Filename: pool/main/r/ruby-cmdparse/libcmdparse-ruby_2.0.5-1_all.deb Package: libcmdparse2-ruby Source: ruby-cmdparse Version: 2.0.5-1 Installed-Size: 3 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-cmdparse Size: 2708 SHA256: 76bfe47d80294785719c28e228faddc8f22b9e5b49901755f6e5ad967c9d5e25 SHA1: fbb5f689225cdbaf713f3fb83759a42bc74c3def MD5sum: ba516e64c3b84759da424edcf0185935 Description: Transitional package for ruby-cmdparse This is a transitional package to ease upgrades to the ruby-cmdparse package. It can safely be removed. Homepage: http://cmdparse.rubyforge.org Tag: devel::lang:ruby, devel::library, implemented-in::ruby, interface::commandline, role::dummy, role::program Section: oldlibs Priority: extra Filename: pool/main/r/ruby-cmdparse/libcmdparse2-ruby_2.0.5-1_all.deb Package: libcmdparse2-ruby1.8 Source: ruby-cmdparse Version: 2.0.5-1 Installed-Size: 3 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-cmdparse Size: 2716 SHA256: 4d40213c44fb8671938c2544358e8270e1c66463e43f82ea7cf8c5fcc6f9943d SHA1: 4b8687c27bd43082463e6e48999a0f970aafeb9b MD5sum: 3f59a34b7f79dbc4c13cfe38249bcac7 Description: Transitional package for ruby-cmdparse This is a transitional package to ease upgrades to the ruby-cmdparse package. It can safely be removed. Homepage: http://cmdparse.rubyforge.org Tag: devel::lang:ruby, role::dummy, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-cmdparse/libcmdparse2-ruby1.8_2.0.5-1_all.deb Package: libcminpack-dev Source: cminpack Version: 1.2.2-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 83 Depends: libcminpack1.0.90 (= 1.2.2-1) Recommends: minpack-dev Homepage: http://devernay.free.fr/hacks/cminpack/index.html Priority: optional Section: libdevel Filename: pool/main/c/cminpack/libcminpack-dev_1.2.2-1_armhf.deb Size: 9218 SHA256: 08060edf6e1802fb88f5b334fb6e0b86a45d23a671e22f5c2d3efbe5083b8fd6 SHA1: 62f19b104df83d09c1790d3f42d2381ab780b2f0 MD5sum: 85b1ddd1ca0b34b68c8e7835c0f9b9cb Description: Nonlinear equations and nonlinear least squares problems - development Minpack includes software for solving nonlinear equations and nonlinear least squares problems. Five algorithmic paths each include a core subroutine and an easy-to-use driver. The algorithms proceed either from an analytic specification of the Jacobian matrix or directly from the problem functions. The paths include facilities for systems of equations with a banded Jacobian matrix, for least squares problems with a large amount of data, and for checking the consistency of the Jacobian matrix with the functions. . This is the C re-write of the original fortran minpack implementation. . This package contains development files needed to build cminpack applications. Package: libcminpack1.0.90 Source: cminpack Version: 1.2.2-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 102 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Homepage: http://devernay.free.fr/hacks/cminpack/index.html Priority: optional Section: libs Filename: pool/main/c/cminpack/libcminpack1.0.90_1.2.2-1_armhf.deb Size: 36084 SHA256: 788c37c0df7fdc3f437af37a7ec459a98af25968b98787d46e065a3e002029d9 SHA1: 35adc900b7101f08baa0b41629ef00a2878aa357 MD5sum: c2dc264755e6d5af87154729a449cc64 Description: Nonlinear equations and nonlinear least squares problems - runtime Minpack includes software for solving nonlinear equations and nonlinear least squares problems. Five algorithmic paths each include a core subroutine and an easy-to-use driver. The algorithms proceed either from an analytic specification of the Jacobian matrix or directly from the problem functions. The paths include facilities for systems of equations with a banded Jacobian matrix, for least squares problems with a large amount of data, and for checking the consistency of the Jacobian matrix with the functions. . This is the C re-write of the original fortran minpack implementation. . This package contains the libraries needed to run cminpack applications. Package: libcmis-0.2-0 Source: libcmis Version: 0.1.0-1 Architecture: armhf Maintainer: Rene Engelhard Installed-Size: 183 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4) Priority: optional Section: libs Filename: pool/main/libc/libcmis/libcmis-0.2-0_0.1.0-1_armhf.deb Size: 47904 SHA256: 99ea00148f6617cebee92a7e52f8c281c2cabb074cf72c1cc8e1f90f96b77f91 SHA1: 200595160c2ff5c8abfdeb662ee79d193e88d31a MD5sum: 3bd97e0c966f01410f39dc9180da9076 Description: CMIS protocol client library LibCMIS is a C++ client library for the CMIS interface. This allows C++ applications to connect to any CMIS-enabled repositories. Package: libcmis-0.2-2 Source: libcmis-experimental Version: 0.2.3-1+rpi1 Architecture: armhf Maintainer: Rene Engelhard Installed-Size: 405 Depends: libboost-date-time1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4) Priority: optional Section: libs Filename: pool/main/libc/libcmis-experimental/libcmis-0.2-2_0.2.3-1+rpi1_armhf.deb Size: 155092 SHA256: f2e93244938310203164063c25ff1507addc56dd9f0438fe93837b5955713a78 SHA1: 88ec13c1f77c7962f40b29700f49a11bf826f6ce MD5sum: 22f9bda1f1692a2dbcafe75b0003ada4 Description: CMIS protocol client library LibCMIS is a C++ client library for the CMIS interface. This allows C++ applications to connect to any CMIS-enabled repositories. Package: libcmis-dev Source: libcmis Version: 0.1.0-1 Architecture: armhf Maintainer: Rene Engelhard Installed-Size: 550 Depends: libcmis-0.2-0 (= 0.1.0-1) Priority: optional Section: libdevel Filename: pool/main/libc/libcmis/libcmis-dev_0.1.0-1_armhf.deb Size: 98622 SHA256: 2544236703cfa09c4fb23ffa7e6cce7819860ec69769bbf45a1994f86a7db808 SHA1: 8522a986c9e4b3309f73a9ccb4fb58298e80549f MD5sum: 76934db744e6a1f32de6fec0c62ab5e9 Description: CMIS protocol client library -- development LibCMIS is a C++ client library for the CMIS interface. This allows C++ applications to connect to any CMIS-enabled repositories. . This package contains the development files (headers, ...) for libcmis. Package: libcmis-dev-experimental Source: libcmis-experimental Version: 0.2.3-1+rpi1 Architecture: armhf Maintainer: Rene Engelhard Installed-Size: 949 Depends: libcmis-0.2-2 (= 0.2.3-1+rpi1), libcurl4-gnutls-dev, libxml2-dev Conflicts: libcmis-dev Priority: optional Section: libdevel Filename: pool/main/libc/libcmis-experimental/libcmis-dev-experimental_0.2.3-1+rpi1_armhf.deb Size: 253734 SHA256: d4d74ddb17829bbb853a9ea967afe435b836f433aefce24420b1468b9c4b7844 SHA1: c515dd47f668bd8818d5198335e1951409408d98 MD5sum: 404bea20cd325b97830544d492d39127 Description: CMIS protocol client library -- development LibCMIS is a C++ client library for the CMIS interface. This allows C++ applications to connect to any CMIS-enabled repositories. . This package contains the development files (headers, ...) for libcmis. Package: libcmor-dev Source: cmor Version: 2.8.0-2 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 10780 Depends: libcmor2 (= 2.8.0-2) Recommends: pkg-config Homepage: http://www2-pcmdi.llnl.gov/cmor Priority: extra Section: libdevel Filename: pool/main/c/cmor/libcmor-dev_2.8.0-2_armhf.deb Size: 4756924 SHA256: da98aef903544d5d724961233086f043c61a47d166057e7b0f08d9c33b4d5b5f SHA1: ab6c3fabeaa1ab85e7a8158840f826d4a4916a70 MD5sum: c9c3c249011477c93edffdf31a92533c Description: Development files for Climate Model Output Rewriter The "Climate Model Output Rewriter" (CMOR, pronounced "Seymour") comprises a set of C-based functions, with bindings to both python and FORTRAN 90, that can be used to produce CF-compliant netCDF files that fulfill the requirements of many of the climate community's standard model experiments. These experiments are collectively referred to as MIP's and include, for example, AMIP, CMIP, CFMIP, PMIP, APE, and IPCC scenario runs. The output resulting from CMOR is "self-describing" and facilitates analysis of results across models. Package: libcmor2 Source: cmor Version: 2.8.0-2 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 581 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgfortran3 (>= 4.6), libnetcdfc7, libossp-uuid16, libudunits2-0 Recommends: cmip5-cmor-tables (>= 1.3.9-1) Multi-Arch: same Homepage: http://www2-pcmdi.llnl.gov/cmor Priority: extra Section: libs Filename: pool/main/c/cmor/libcmor2_2.8.0-2_armhf.deb Size: 175612 SHA256: 6d15b1d09eeb5823668d81f2f1f78788809eb799e942f83432a6094be723ce96 SHA1: 5cce90bac8f5f7d89ac4f98da45b7db5ad428980 MD5sum: fba619717e3bbed51599a695e82402cb Description: Climate Model Output Rewriter library The "Climate Model Output Rewriter" (CMOR, pronounced "Seymour") comprises a set of C-based functions, with bindings to both python and FORTRAN 90, that can be used to produce CF-compliant netCDF files that fulfill the requirements of many of the climate community's standard model experiments. These experiments are collectively referred to as MIP's and include, for example, AMIP, CMIP, CFMIP, PMIP, APE, and IPCC scenario runs. The output resulting from CMOR is "self-describing" and facilitates analysis of results across models. Package: libcmph-dev Source: cmph Version: 0.9-1 Architecture: armhf Maintainer: Enrico Tassi Installed-Size: 248 Depends: libcmph0 (= 0.9-1) Homepage: http://cmph.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/c/cmph/libcmph-dev_0.9-1_armhf.deb Size: 76844 SHA256: 5b23d39870c38874f6bb966a044890b0d2d244c8eb68c5a6a70abeb3da713064 SHA1: 0fd59556324d3a541b7987c2866bd3f86314f83f MD5sum: 4c04d1fdcc19f1082a572df3d2d58520 Description: C Minimal Perfect Hashing Library development files Minimal perfect hash functions are widely used for memory efficient storage and fast retrieval of items from static sets, such as words in natural languages, reserved words in programming languages or interactive systems, universal resource locations (URLs) in Web search engines, or item sets in data mining techniques. Package: libcmph-tools Source: cmph Version: 0.9-1 Architecture: armhf Maintainer: Enrico Tassi Installed-Size: 82 Depends: libcmph0 (= 0.9-1), libc6 (>= 2.4) Conflicts: libcmph-bin Replaces: libcmph-bin Homepage: http://cmph.sourceforge.net Priority: optional Section: utils Filename: pool/main/c/cmph/libcmph-tools_0.9-1_armhf.deb Size: 25728 SHA256: 4df98e7f51180c0b1c27b11071a3ea3a65a4dcaae3693450be274ad7e75ce36b SHA1: 3a5541773b3c5e2718687e2dd23eef023a07c792 MD5sum: 247ceac9a936d0a9c1c41f61d42cf173 Description: C Minimal Perfect Hashing Library command line utilities Minimal perfect hash functions are widely used for memory efficient storage and fast retrieval of items from static sets, such as words in natural languages, reserved words in programming languages or interactive systems, universal resource locations (URLs) in Web search engines, or item sets in data mining techniques. . This package contains the cmph command line utility to generate and query minimal perfect hash functions. Package: libcmph0 Source: cmph Version: 0.9-1 Architecture: armhf Maintainer: Enrico Tassi Installed-Size: 166 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://cmph.sourceforge.net Priority: optional Section: libs Filename: pool/main/c/cmph/libcmph0_0.9-1_armhf.deb Size: 69022 SHA256: d57b3b59466085c27f2bd036352097ef1f3f207ff19f7cd449a5f7ff0453e421 SHA1: 37711b68c70128abf91ff8685a0143ceaed0241f MD5sum: 746f26bf1808463ba5eaa6bc418a476a Description: C Minimal Perfect Hashing Library Minimal perfect hash functions are widely used for memory efficient storage and fast retrieval of items from static sets, such as words in natural languages, reserved words in programming languages or interactive systems, universal resource locations (URLs) in Web search engines, or item sets in data mining techniques. Package: libcneartree-dev Source: neartree Version: 3.1.1-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 401 Conflicts: libcneartree3-dev Homepage: http://neartree.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/n/neartree/libcneartree-dev_3.1.1-1_armhf.deb Size: 69184 SHA256: 7b06baafbc69b2c29a1378975c131e568bdaa996c6f281e517615088ed76d0c3 SHA1: 9936a29dd7f8116520c9a4f2a0edc108dc3b6128 MD5sum: 9fd850aeb807fb741e523663433a5e0d Description: Library and headers for solving the Nearest Neighbor Problem Neartree is an API and a library for finding nearest neighbors among points in spaces of arbitrary dimensions. This package provides a C++ template, TNear.h, and C library and header files for compiling programs using Neartree. . The library uses the Nearest Neighbor algorithm after Kalantari and McDonald, (IEEE Transactions on Software Engineering, v. SE-9, pp. 631-634,1983) modified to use recursion instead of a double-linked tree and simplified so that it does less checking for things like is the distance to the right less than the distance to the left; it was found that these checks make little to no difference. Package: libcneartree5 Source: neartree Version: 3.1.1-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 72 Depends: libc6 (>= 2.4), libcvector2 Homepage: http://neartree.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/n/neartree/libcneartree5_3.1.1-1_armhf.deb Size: 21352 SHA256: 4d9d8d09b6252043361987df190dcdabfd2aec40264a628df4b68850ff2d8477 SHA1: d3158fe718bb2e800613cc0c9141da119498dce8 MD5sum: 1636a071dcee85c67f62516f3a72e3e7 Description: Library for solving the Nearest Neighbor Problem Neartree is an API and a library for finding nearest neighbors among points in spaces of arbitrary dimensions. . The library uses the Nearest Neighbor algorithm after Kalantari and McDonald, (IEEE Transactions on Software Engineering, v. SE-9, pp. 631-634,1983) modified to use recursion instead of a double-linked tree and simplified so that it does less checking for things like is the distance to the right less than the distance to the left; it was found that these checks make little to no difference. Package: libcnf-dev Source: cnf Version: 4.0-2 Architecture: armhf Maintainer: Enrico Zini Installed-Size: 1139 Priority: optional Section: libdevel Filename: pool/main/c/cnf/libcnf-dev_4.0-2_armhf.deb Size: 230768 SHA256: 838542a0da36394ded7a1714d9cf0dddcd9e9b3ffdfabed547025a648cd0ebe9 SHA1: b529920a133da2524758df932f843be57d29eef3 MD5sum: 7b48052f155b54ab648624b44f450dbe Description: library for C and Fortran mixed programming The CNF package comprises two sets of software which ease the task of writing portable programs in a mixture of FORTRAN and C. F77 is a set of C macros for handling the FORTRAN/C subroutine linkage in a portable way, and CNF is a set of functions to handle the difference between FORTRAN and C character strings, logical values and pointers to dynamically allocated memory. Package: libcob1 Source: open-cobol Version: 1.1-1 Architecture: armhf Maintainer: Bart Martens Installed-Size: 198 Depends: libc6 (>= 2.13-28), libdb5.1, libgcc1 (>= 1:4.4.0), libgmp10, libncurses5 (>= 5.5-5~), libtinfo5 Homepage: http://www.opencobol.org/ Priority: extra Section: libs Filename: pool/main/o/open-cobol/libcob1_1.1-1_armhf.deb Size: 87588 SHA256: d152afd7ac879b8c27e1b13ce710a6ecd070cadf8bae8abc8cc9d390f83523fd SHA1: cd0d6e8ecf7e29d63be044e3d39cd661dffb5ef1 MD5sum: f845f980b44ae58a5e0a85373cb766c3 Description: COBOL compiler - runtime library This package contains the runtime library for open-cobol. Package: libcob1-dev Source: open-cobol Version: 1.1-1 Architecture: armhf Maintainer: Bart Martens Installed-Size: 364 Depends: libcob1 (= 1.1-1) Homepage: http://www.opencobol.org/ Priority: extra Section: libdevel Filename: pool/main/o/open-cobol/libcob1-dev_1.1-1_armhf.deb Size: 111078 SHA256: 1ee372a4822567a332478b9f6128e73f12b9576615b28c25148fb2982ee6c360 SHA1: 60e176ea8769ae11825d9b6275599d3423bba171 MD5sum: 60aed07108eff1c1a5a0700030eadca8 Description: COBOL compiler - development files This package contains the development files for open-cobol. Package: libcobertura-java Source: cobertura Version: 1.9.4.1+dfsg-3 Installed-Size: 572 Maintainer: Debian Java Maintainers Architecture: all Depends: ant, liblog4j1.2-java, liboro-java, libasm3-java, libservlet2.5-java Recommends: junit Suggests: libcobertura-java-doc (= 1.9.4.1+dfsg-3), libjaxen-java, libjdom1-java, libxalan2-java, libxerces2-java Size: 451554 SHA256: 59505f69ffb013c25722a1e170dc048e58863e7726ab92826e563e43434defc8 SHA1: 160d7943477830b6537ed086ae12ad3019a19fda MD5sum: cecc9a047545a28a65438194dd08c607 Description: java library that calculates the percentage of code accessed by tests Library that measures test coverage by instrumenting a Java code base and watching which lines of code are and are not executed as the test suite runs. In addition to identifying untested code and locating bugs, Cobertura can optimize code by flagging dead, unreachable code and can provide insights into how an API operates in practice. It is based on jcoverage. Homepage: http://cobertura.sourceforge.net/ Section: java Priority: optional Filename: pool/main/c/cobertura/libcobertura-java_1.9.4.1+dfsg-3_all.deb Package: libcobertura-java-doc Source: cobertura Version: 1.9.4.1+dfsg-3 Installed-Size: 20804 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcobertura-java (= 1.9.4.1+dfsg-3) Size: 1944334 SHA256: c7b41d3875b3e13e054f1a052053672f1705e3b2b9d123ec49e06c082f79c72f SHA1: 31bbb32a29ff9dea6650b04ebe05dc33073752c2 MD5sum: 2a2383742a058b36645c6601291642bd Description: documentation for libcobertura-java Documentation for Cobertura which is a java library that calculates the percentage of code accessed by tests. This package includes the javadoc API and examples. Homepage: http://cobertura.sourceforge.net/ Tag: devel::doc, devel::examples, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/cobertura/libcobertura-java-doc_1.9.4.1+dfsg-3_all.deb Package: libcobra-java Version: 0.98.4-4 Installed-Size: 15697 Maintainer: Debian Java Maintainers Architecture: all Size: 1880858 SHA256: bb25f0277e9ec1b86bf3a06345a664281918d6f93ae86422446cf29b84b071a1 SHA1: ff1a25ad14a320ae66562616f9fdfa8e333091ef MD5sum: cde618a530c28cbd9d37e65c441ad942 Description: Java HTML Renderer & Parser Cobra is a pure Java HTML renderer and DOM parser that is being developed to support HTML 4, Javascript and CSS 2. . Cobra can be used as a Javascript-aware and CSS-aware HTML DOM parser, independently of the Cobra rendering engine. Javascript DOM modifications that occur during parsing (e.g. via document.write) will be reflected in the parsed DOM, unless Javascript is disabled. Likewise, DOM element implementations have a getStyle method that can be called to obtain the styling properties of an element. Homepage: http://lobobrowser.org/cobra.jsp Section: java Priority: optional Filename: pool/main/libc/libcobra-java/libcobra-java_0.98.4-4_all.deb Package: libcodeblocks0 Source: codeblocks Version: 10.05-2.1 Architecture: armhf Maintainer: David Paleino Installed-Size: 4107 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), binutils (>= 2.14.90.0.7), file Recommends: codeblocks Homepage: http://codeblocks.org Priority: optional Section: libs Filename: pool/main/c/codeblocks/libcodeblocks0_10.05-2.1_armhf.deb Size: 1797752 SHA256: 06eef3b2d2256a7c2d290ac68975b58df0fd0dd91f1f5bfdce52f393edb3a271 SHA1: cff331009d1ec6294f7098c6a9846bd2c35c515a MD5sum: da1d30576dbad5c01e0769bd24847b45 Description: Code::Blocks shared library Code::Blocks is a cross-platform Integrated Development Environment (IDE). It is based on a self-developed plugin framework allowing unlimited extensibility. Most of its functionality is already provided by plugins. Plugins included in the base package are: * Compiler frontend to many free compilers * Debugger frontend for GDB (and CDB for windows platforms) * Source formatter (based on AStyle) * Wizard to create new C++ classes * Code-completion / symbols-browser (work in progress) * Default MIME handler * Wizard to create new Code::Blocks plugins * To-do list * Extensible wizard based on scripts * Autosave (saves your work in the unfortunate case of a crash) . This package contains the Code::Blocks shared library. Package: libcodemodel-java Version: 2.1-1 Installed-Size: 292 Maintainer: Debian Java Maintainers Architecture: all Depends: ant, junit Size: 146156 SHA256: 6ad0a44187ad324207529a7ba1d2c5d8c8e131735162ebc450e3f2a49af417dc SHA1: 2f9aa939a90ebe26e248f84eb85b22422db54579 MD5sum: 20efdd4630d64ac5d8e6e01335bd7154 Description: Java library for code generators CodeModel is a Java library for code generators; it provides a way to generate Java programs in a way much nicer than PrintStream.println(). This project is a spin-off from the JAXB Reference Implementation for its schema compiler to generate Java source files. Homepage: http://codemodel.java.net/ Section: java Priority: optional Filename: pool/main/libc/libcodemodel-java/libcodemodel-java_2.1-1_all.deb Package: libcodemodel-java-doc Source: libcodemodel-java Version: 2.1-1 Installed-Size: 3268 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcodemodel-java Size: 190944 SHA256: 020b031b0a6d94401e65fe519d98386765b09683d32abde4b67cc35a585d6851 SHA1: f6f31b48cf747a02e38e68c346d97fc387d83820 MD5sum: da32d1eab9ea1b8e5c929c35104907cb Description: Documentation for Java library for code generators CodeModel is a Java library for code generators; it provides a way to generate Java programs in a way much nicer than PrintStream.println(). This project is a spin-off from the JAXB Reference Implementation for its schema compiler to generate Java source files. . This package provides the API documentation for libcodemodel-java. Homepage: http://codemodel.java.net/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcodemodel-java/libcodemodel-java-doc_2.1-1_all.deb Package: libcodenarc-groovy-java Source: codenarc Version: 0.13-2 Installed-Size: 2561 Maintainer: Debian Java Maintainers Architecture: all Depends: groovy, ant, liblog4j1.2-java, libgmetrics-groovy-java Suggests: libcodenarc-groovy-java-doc Size: 2415724 SHA256: 2e7a448c841cc23a11f7b8fe099c1e34c2214b7efea708b956bfeb68a5128cc0 SHA1: f7236bb02e5fb9d5232e1c7d14aa411807464e35 MD5sum: 3abc5cd4c6ac7fa3058f8ff921f62e93 Description: Groovy library that provides static analysis features for Groovy code CodeNarc is a static analysis tool for Groovy source code, enabling monitoring and enforcement of many coding standards and best practices. CodeNarc applies a set of Rules (predefined and/or custom) that are applied to each Groovy file, and generates an HTML report of the results, including a list of rules violated for each source file, and a count of the number of violations per package and for the whole project. . CodeNarc is similar to popular static analysis tools such as PMD or Checkstyle, but is targeted at Groovy source code instead of Java. Homepage: http://codenarc.sourceforge.net/ Section: java Priority: optional Filename: pool/main/c/codenarc/libcodenarc-groovy-java_0.13-2_all.deb Package: libcodenarc-groovy-java-doc Source: codenarc Version: 0.13-2 Installed-Size: 8821 Maintainer: Debian Java Maintainers Architecture: all Recommends: libcodenarc-groovy-java Suggests: default-jdk-doc Size: 533228 SHA256: ec1fd17b1e9df5f69f7a2c74d5f87ab98e86ec983a234a5616760433133add5e SHA1: e08d9e9b1e9d8fdcce9e9bdacbb9c50d50dd7434 MD5sum: 0e3e83c50d84386209fe8397e2d997bd Description: Documentation for libcodenarc-groovy-java Documentation for CodeNarc that is a static analysis tool for Groovy source code, enabling monitoring and enforcement of many coding standards and best practices. CodeNarc applies a set of Rules (predefined and/or custom) that are applied to each Groovy file, and generates an HTML report of the results, including a list of rules violated for each source file, and a count of the number of violations per package and for the whole project. . CodeNarc is similar to popular static analysis tools such as PMD or Checkstyle, but is targeted at Groovy source code instead of Java. Homepage: http://codenarc.sourceforge.net/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/c/codenarc/libcodenarc-groovy-java-doc_0.13-2_all.deb Package: libcoderay-ruby Source: coderay Version: 1.0.6-2 Installed-Size: 29 Maintainer: Joshua Timberman Architecture: all Depends: ruby-coderay Size: 3894 SHA256: 4a03003e2e11dcf260471162abd0206df4d70a0f91678c86e6ee5c3aa4e8841a SHA1: 60120c9bca4bf0a51797c06d4a8978c5ac12912d MD5sum: 1bbf8212e2a1a26becc16637373d08c8 Description: Transitional package for coderay This is a transitional package to ease upgrades to the coderay package. It can safely be removed. Homepage: http://coderay.rubychan.de Tag: devel::prettyprint, implemented-in::ruby, role::dummy, role::shared-lib Section: ruby Priority: extra Filename: pool/main/c/coderay/libcoderay-ruby_1.0.6-2_all.deb Package: libcoderay-ruby1.8 Source: coderay Version: 1.0.6-2 Installed-Size: 29 Maintainer: Joshua Timberman Architecture: all Depends: ruby-coderay Size: 3900 SHA256: 12b176a55ec1bcb523382c6d4f054ebd9820fdb1869ce002406d1d6b3aaf0a5e SHA1: 82b4d046e00f6be4417cb74797d728130d4c797e MD5sum: 793a32478771ac5fbe22f38ce6e01ae0 Description: Transitional package for coderay This is a transitional package to ease upgrades to the coderay package. It can safely be removed. Homepage: http://coderay.rubychan.de Tag: devel::prettyprint, implemented-in::ruby, role::dummy, role::shared-lib Section: ruby Priority: extra Filename: pool/main/c/coderay/libcoderay-ruby1.8_1.0.6-2_all.deb Package: libcogl-common Source: cogl Version: 1.10.2-7+rpi1 Architecture: all Maintainer: Rico Tzschichholz Installed-Size: 878 Multi-Arch: foreign Priority: optional Section: libs Filename: pool/main/c/cogl/libcogl-common_1.10.2-7+rpi1_all.deb Size: 228286 SHA256: e3b9357dbddd6806d2637c9ff6dcd389e6b028dadb0fb15baa464195253c3182 SHA1: d23dfc2494a55dd9b58c897ad52c6aaae2e86a15 MD5sum: e44414b929421156d9842f099144a7c4 Description: Object oriented GL/GLES Abstraction/Utility Layer (common files) Cogl is a small open source library for using 3D graphics hardware to draw pretty pictures. The API departs from the flat state machine style of OpenGL and is designed to make it easy to write orthogonal components that can render without stepping on each others toes. . This package contains the common files, such as translations. Package: libcogl-dev Source: cogl Version: 1.10.2-7+rpi1 Architecture: armhf Maintainer: Rico Tzschichholz Installed-Size: 1047 Depends: libcogl9 (= 1.10.2-7+rpi1), gir1.2-cogl-1.0 (= 1.10.2-7+rpi1), libgl1-mesa-dev (>= 7.1~rc3-1~), libglib2.0-dev (>= 2.28.0), libdrm-dev, libgdk-pixbuf2.0-dev (>= 2.0), libx11-dev, libxcomposite-dev, libxext-dev, libxfixes-dev, libxdamage-dev Recommends: pkg-config Suggests: libcogl-doc Breaks: libclutter-1.0-dev (<< 1.10.0) Replaces: libclutter-1.0-dev (<< 1.7) Priority: optional Section: libdevel Filename: pool/main/c/cogl/libcogl-dev_1.10.2-7+rpi1_armhf.deb Size: 136296 SHA256: b6c714880a77a2b37d1fe3fa1625179d03a39d0deda315c5a817120802fd9b44 SHA1: 9b4dbcd378815eae984064e2af7777215703b03c MD5sum: 53894ff3f03b6c2e24ba44d2bcf2d9b0 Description: Object oriented GL/GLES Abstraction/Utility Layer (development files) Cogl is a small open source library for using 3D graphics hardware to draw pretty pictures. The API departs from the flat state machine style of OpenGL and is designed to make it easy to write orthogonal components that can render without stepping on each others toes. . This package contains the development files for libcogl. Package: libcogl-doc Source: cogl Version: 1.10.2-7+rpi1 Architecture: all Maintainer: Rico Tzschichholz Installed-Size: 2800 Suggests: devhelp Breaks: libclutter-1.0-doc (<< 1.7) Replaces: libclutter-1.0-doc (<< 1.7) Priority: optional Section: doc Filename: pool/main/c/cogl/libcogl-doc_1.10.2-7+rpi1_all.deb Size: 194556 SHA256: 42ce8067d56d1167433e56dc93bc3eb51e9b5702a96583277b05af997fb16987 SHA1: 08ee1e1054776f29645788d133dfc6dcd1c7745c MD5sum: 79301234f8e37ab86e07edd08c38ab85 Description: Object oriented GL/GLES Abstraction/Utility Layer (documentation) Cogl is a small open source library for using 3D graphics hardware to draw pretty pictures. The API departs from the flat state machine style of OpenGL and is designed to make it easy to write orthogonal components that can render without stepping on each others toes. . This package contains the documentation. Package: libcogl-pango-dev Source: cogl Version: 1.10.2-7+rpi1 Architecture: armhf Maintainer: Rico Tzschichholz Installed-Size: 90 Depends: libcogl-pango0 (= 1.10.2-7+rpi1), gir1.2-coglpango-1.0 (= 1.10.2-7+rpi1), libcogl-dev (= 1.10.2-7+rpi1), libglib2.0-dev (>= 2.28.0), libcairo2-dev (>= 1.10), libdrm-dev, libgdk-pixbuf2.0-dev (>= 2.0), libpango1.0-dev (>= 1.20), libx11-dev, libxcomposite-dev, libxext-dev, libxfixes-dev, libxdamage-dev Recommends: pkg-config Suggests: libcogl-doc Breaks: libcogl-dev (<< 1.8.0-1) Replaces: libcogl-dev (<< 1.8.0-1) Priority: optional Section: libdevel Filename: pool/main/c/cogl/libcogl-pango-dev_1.10.2-7+rpi1_armhf.deb Size: 21996 SHA256: f5a4593284dd7943dfd3e27e009887900e9484a574cc67c7cea8677a9757549c SHA1: b982ac885fe5e2db100eea8048282ef6dfe6a1a2 MD5sum: 5ab37f1e8c6fb0392937e968855b12ca Description: Object oriented GL/GLES Abstraction/Utility Layer (development files) Cogl is a small open source library for using 3D graphics hardware to draw pretty pictures. The API departs from the flat state machine style of OpenGL and is designed to make it easy to write orthogonal components that can render without stepping on each others toes. . This package contains the development files for libcogl-pango. Package: libcogl-pango0 Source: cogl Version: 1.10.2-7+rpi1 Architecture: armhf Maintainer: Rico Tzschichholz Installed-Size: 76 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.10), libcogl9 (>= 1.9.6), libdrm2 (>= 2.3.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libpango1.0-0 (>= 1.28.3-5), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3 Recommends: libcogl-common Breaks: libcogl2 (<< 1.8.0-1), libcogl5 (<< 1.10.0-1) Replaces: libcogl2 (<< 1.8.0-1) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/c/cogl/libcogl-pango0_1.10.2-7+rpi1_armhf.deb Size: 27042 SHA256: 3d1cf35f39522c3f571d7c0b38a653f2550f2bb14c1b475d449193c81659f74c SHA1: da87583830ba8ad04037da2a9255938d35eb1e84 MD5sum: c7faf4852adff8c976ceef2ee61fe816 Description: Object oriented GL/GLES Abstraction/Utility Layer Cogl is a small open source library for using 3D graphics hardware to draw pretty pictures. The API departs from the flat state machine style of OpenGL and is designed to make it easy to write orthogonal components that can render without stepping on each others toes. Package: libcogl-pango0-dbg Source: cogl Version: 1.10.2-7+rpi1 Architecture: armhf Maintainer: Rico Tzschichholz Installed-Size: 182 Depends: libcogl-pango0 (= 1.10.2-7+rpi1) Breaks: libcogl2-dbg (<< 1.8.0-1) Replaces: libcogl2-dbg (<< 1.8.0-1) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/c/cogl/libcogl-pango0-dbg_1.10.2-7+rpi1_armhf.deb Size: 56366 SHA256: 96b132cbe21068559303f7437075981aeb9368b4049f59fe86776de688b50e24 SHA1: b81a46d68040127017887c0acd5b4a4b7da7c65f MD5sum: f9c2f3643055444b9457d20735fe7453 Description: Object oriented GL/GLES Abstraction/Utility Layer (debug files) Cogl is a small open source library for using 3D graphics hardware to draw pretty pictures. The API departs from the flat state machine style of OpenGL and is designed to make it easy to write orthogonal components that can render without stepping on each others toes. . This package contains the debug files for libcogl-pango. Package: libcogl9 Source: cogl Version: 1.10.2-7+rpi1 Architecture: armhf Maintainer: Rico Tzschichholz Installed-Size: 503 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdrm2 (>= 2.3.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libgl1-mesa-glx Recommends: libcogl-common Multi-Arch: same Priority: optional Section: libs Filename: pool/main/c/cogl/libcogl9_1.10.2-7+rpi1_armhf.deb Size: 176834 SHA256: 5627a31072eb0c6f3d5aadff687598df96b0bde7e54020ecb08c4b4b16e75131 SHA1: 595cb30902eea042801f9fe0d9df1e141427ff78 MD5sum: 90805fc891d36b3e02fbb43ba11f88a0 Description: Object oriented GL/GLES Abstraction/Utility Layer Cogl is a small open source library for using 3D graphics hardware to draw pretty pictures. The API departs from the flat state machine style of OpenGL and is designed to make it easy to write orthogonal components that can render without stepping on each others toes. Package: libcogl9-dbg Source: cogl Version: 1.10.2-7+rpi1 Architecture: armhf Maintainer: Rico Tzschichholz Installed-Size: 2383 Depends: libcogl9 (= 1.10.2-7+rpi1) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/c/cogl/libcogl9-dbg_1.10.2-7+rpi1_armhf.deb Size: 561808 SHA256: 12cefc57b24d827f72acdf823b50a2cf693eb50a35e2011ca6e1eaffbd7da1a3 SHA1: ac780b4489d33ebd9018e412e532a91e8f939c51 MD5sum: 0b98adac47c59f8838c197e85de20a31 Description: Object oriented GL/GLES Abstraction/Utility Layer (debug files) Cogl is a small open source library for using 3D graphics hardware to draw pretty pictures. The API departs from the flat state machine style of OpenGL and is designed to make it easy to write orthogonal components that can render without stepping on each others toes. . This package contains the debug files for libcogl. Package: libcoin60 Source: coin3 Version: 3.1.3-2.2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 7196 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.6), libx11-6, libxext6 Suggests: libsimage-dev, libfreetype6, libopenal0a, zlib1g, libbz2-1.0 Homepage: http://www.coin3d.org/ Priority: optional Section: libs Filename: pool/main/c/coin3/libcoin60_3.1.3-2.2_armhf.deb Size: 2661508 SHA256: dd7f4376c2f9c1f3ad538303e07cb0942db5ce06dfbee5b00a82fc8b8ec84f3d SHA1: 3ae19db3a0f3590fcd401404be7c89c50143c7e6 MD5sum: a6ad3e74cfe1884c90d2c2c5678f7f34 Description: high-level 3D graphics kit implementing the Open Inventor API Coin is an OpenGL-based, retain-mode 3D graphics library that implements the Open Inventor 2.1 API. It also includes support for VRML97 and 3D audio. Installing the suggested packages will enable extra features: . libsimage-dev enables loading of image files in SbImage libfreetype6 enables use of TrueType fonts libopenal0a enables audio support zlib1g and libbz2 enable transparent read of compressed files Package: libcoin60-dev Source: coin3 Version: 3.1.3-2.2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 17031 Depends: libcoin60 (= 3.1.3-2.2), libx11-dev, libxext-dev, libxi-dev, libxmu-dev, libxpm-dev, libxt-dev, libgl1-mesa-dev | libgl-dev Suggests: libcoin60-doc (= 3.1.3-2.2) Conflicts: inventor-dev, libcoin20-dev, libcoin40-dev Homepage: http://www.coin3d.org/ Priority: optional Section: libdevel Filename: pool/main/c/coin3/libcoin60-dev_3.1.3-2.2_armhf.deb Size: 4207900 SHA256: e8d65db91a30e6398b850d099b672360bf41a142619a3d3947040405e593c5f9 SHA1: 9268b5da0e3f5224a514a47bba56dadbce1d4ccf MD5sum: 299c32be1a0826b9e2a987831decf518 Description: high-level 3D graphics devkit with Open Inventor and VRML97 support Coin is an OpenGL-based, retain-mode 3D graphics library that implements the Open Inventor 2.1 API. It also includes support for VRML97 and 3D audio. Package: libcoin60-doc Source: coin3 Version: 3.1.3-2.2 Installed-Size: 81671 Maintainer: Debian Science Team Architecture: all Suggests: libcoin60-dev (= 3.1.3-2.2) Conflicts: inventor-dev, libcoin20-doc, libcoin40-doc Size: 15535828 SHA256: 92472360f027a100021644944970a573acdac384715f9f44226e1c22a31b8c5f SHA1: c9d99f8f2b3388241d5d4e3adca1b98905b0de9a MD5sum: d26bc77131da94963843720ce3cf4d16 Description: high-level 3D graphics kit with Open Inventor and VRML97 support API documentation for the Coin library (the Open Inventor API) in manpage and HTML format. Homepage: http://www.coin3d.org/ Tag: devel::doc, made-of::html, made-of::man, role::documentation Section: doc Priority: optional Filename: pool/main/c/coin3/libcoin60-doc_3.1.3-2.2_all.deb Package: libcoin60-runtime Source: coin3 Version: 3.1.3-2.2 Installed-Size: 112 Maintainer: Debian Science Team Architecture: all Suggests: libcoin60 Conflicts: libcoin20-runtime, libcoin40-runtime Size: 29990 SHA256: 6f713bd1021febdda24506e4c0d10a241d274457fbe1dfb2ef20d385c4e85d34 SHA1: 8336391b7edcca55dd3b5a845f120b7ae7b1e7b0 MD5sum: b1a56b65891b9b95974dc7fdd0ab95fb Description: high-level 3D graphics kit - external data files External data files used by the Coin library at run-time. The dragger geometry files represent the defaults that are used if the files are not found. This package is completely optional. Homepage: http://www.coin3d.org/ Section: libs Priority: optional Filename: pool/main/c/coin3/libcoin60-runtime_3.1.3-2.2_all.deb Package: libcolamd2.7.1 Source: suitesparse Version: 1:3.4.0-3 Architecture: armhf Maintainer: Maintainer: Debian Science Maintainers Installed-Size: 60 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.cise.ufl.edu/research/sparse/SuiteSparse/ Priority: optional Section: libs Filename: pool/main/s/suitesparse/libcolamd2.7.1_3.4.0-3_armhf.deb Size: 16622 SHA256: 1da5d6dbc9cd4b6325270910519b1240a2725526affef65592918dceeeb856f8 SHA1: 0895ae132467ac663f1f1d15e9d6c05963b0e093 MD5sum: fcb186b86c3c514fffec3a18d4595770 Description: column approximate minimum degree ordering library for sparse matrices Suitesparse is a collection of libraries for computations involving sparse matrices. This package includes the following dynamic library: . COLAMD column approximate minimum degree ordering Package: libcollectdclient-dev Source: collectd Version: 5.1.0-3+deb7u3 Architecture: armhf Maintainer: Sebastian Harl Installed-Size: 93 Depends: libcollectdclient0 (= 5.1.0-3+deb7u3) Homepage: http://collectd.org/ Priority: optional Section: libdevel Filename: pool/main/c/collectd/libcollectdclient-dev_5.1.0-3+deb7u3_armhf.deb Size: 70870 SHA256: 1419818b38fa9cf481d656422b0eb04f50f9dce2d9c9ef67e4460792afef80e1 SHA1: 8b51043a5fb7fd67bcd32a01a78f0cf87956d2c2 MD5sum: 311b99f1ce547ee86cd31bd17063b0ee Description: client library for collectd's control interface (development files) libcollectdclient provides an API to access the control interface provided by the unixsock plugin of collectd, a statistics collection and monitoring daemon. It can be used to access values collected by collectd or dispatch new values and notifications to the daemon. This allows for integration with other applications such as monitoring solutions. . This package contains the header files and the static library. Package: libcollectdclient0 Source: collectd Version: 5.1.0-3+deb7u3 Architecture: armhf Maintainer: Sebastian Harl Installed-Size: 106 Depends: iptables, libc6 (>= 2.13-28), libxtables7 Recommends: collectd Homepage: http://collectd.org/ Priority: optional Section: libs Filename: pool/main/c/collectd/libcollectdclient0_5.1.0-3+deb7u3_armhf.deb Size: 76592 SHA256: 27f1e2ea50825e18129aa5acd1d6cc4def48f56cf5804d6b2df516ee8a666f03 SHA1: 0bbf1557c9e99fee116cea292cd1dc6ca53f7edd MD5sum: 6306c6127b10f9ba6ff10bee6bdee0d7 Description: client library for collectd's control interface libcollectdclient provides an API to access the control interface provided by the unixsock plugin of collectd, a statistics collection and monitoring daemon. It can be used to access values collected by collectd or dispatch new values and notifications to the daemon. This allows for integration with other applications such as monitoring solutions. . This package contains the shared library. Package: libcollection-dev Source: ding-libs Version: 0.1.3-2 Architecture: armhf Maintainer: Debian SSSD Team Installed-Size: 206 Depends: libcollection2 (= 0.1.3-2) Homepage: https://fedorahosted.org/sssd/ Priority: extra Section: libdevel Filename: pool/main/d/ding-libs/libcollection-dev_0.1.3-2_armhf.deb Size: 26868 SHA256: 2a885db7134243f64fcf2a99ed04850e69314845348f908f6af59ea11145eff3 SHA1: 4d2675f3a69e09bcdef46df302a54f4a41c0c9e1 MD5sum: 8e11901e445b27dfb82f5fe1ada4fb20 Description: Development files for libcollection A data-type to collect data in a hierarchical structure for easy iteration and serialization. Development files. . This package is part of the ding-libs, libraries that are required for the proper functioning of SSSD, the System Security Services Daemon. Package: libcollection2 Source: ding-libs Version: 0.1.3-2 Architecture: armhf Maintainer: Debian SSSD Team Installed-Size: 72 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Conflicts: libcollection Replaces: libcollection Provides: libcollection Multi-Arch: same Homepage: https://fedorahosted.org/sssd/ Priority: extra Section: libs Filename: pool/main/d/ding-libs/libcollection2_0.1.3-2_armhf.deb Size: 18496 SHA256: c24ae0995e315357e24b954eda06cd55c5c2cf07bc72098c19041e4f03232072 SHA1: 29277be66581e84d7fe4a1647a0e3dd7be08a16d MD5sum: 4266d9191ffdcb3663b117be52dc03d0 Description: Collection data-type for C A data-type to collect data in a hierarchical structure for easy iteration and serialization. . This package is part of the ding-libs, libraries that are required for the proper functioning of SSSD, the System Security Services Daemon. Package: libcollections15-java Source: collections15 Version: 4.01+ds1-1 Installed-Size: 897 Maintainer: Debian Java Maintainers Architecture: all Depends: junit4 Size: 777168 SHA256: 3ef188c69428bc28dcc29f3e429a5f107e1962e8f9911995e27ec3aa4b0cf276 SHA1: ec5aac251089525c781716634d4081bb28253dc2 MD5sum: 9d819996f5bc7efb27d658aba1868e17 Description: Apache Commons Collections with generics support Collections15 is an extension of the powerful Apache Commons Collections library that takes advantage of the Java 1.5 generics support to offer the same structures as Apache Common Collections, but in a type-safe manner. Homepage: http://larvalabs.com/collections/ Section: java Priority: extra Filename: pool/main/c/collections15/libcollections15-java_4.01+ds1-1_all.deb Package: libcolor-calc-perl Version: 1.073-1 Installed-Size: 91 Maintainer: Debian Perl Group Architecture: all Depends: perl, libgraphics-colornames-perl, libgraphics-colornames-www-perl, libgraphics-colorobject-perl, libparams-validate-perl Size: 26710 SHA256: 444e683cb0b30690e23203ba37e13d00274f380eae1855888934095d023e97b9 SHA1: e81074de3060b15a823f802cb76f6f45e2788f20 MD5sum: 40fa3fded547e47182c78926d6846c23 Description: module for simple calculations with RGB colors Color::Calc is a Perl module that implements simple calculations with RGB colors. This can be used to create a full color scheme from a few colors and provides a variety of output styles including hexadecimal, 3-tuple as well as versions suitable for HTML and PDF. Homepage: http://search.cpan.org/dist/Color-Calc/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcolor-calc-perl/libcolor-calc-perl_1.073-1_all.deb Package: libcolor-library-perl Version: 0.021-1 Installed-Size: 2213 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-accessor-perl, libclass-data-inheritable-perl Size: 523902 SHA256: ee67369a70e932f667d3c2a311a89a4b30bb6ccc08122aa3f3f35e4a96e64f44 SHA1: 0f7943e10da3f5db81bf0e3468bce2ebd931cc73 MD5sum: 753ae21b5695cbf9054716b4b81dcc4d Description: comprehensive named-colour library Color::Library is a Perl module that provides a library of web (SVG, HTML and CSS) colours, X11 colours, Windows system palette colours, and more. It provides a simple way to specify colour names and retrieve the corresponding RGB definition in return. Homepage: http://search.cpan.org/dist/Color-Library/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcolor-library-perl/libcolor-library-perl_0.021-1_all.deb Package: libcolor-palette-perl Version: 0.100002-1 Installed-Size: 23 Maintainer: Debian Perl Group Architecture: all Depends: perl, libmoosex-types-perl, libgraphics-color-perl, liblist-moreutils-perl, libmoose-perl Pre-Depends: dpkg (>= 1.15.6~) Size: 14914 SHA256: f3f824d80e761c195372ab5e21faff62cbf3e9b726234e02d57356bf86660300 SHA1: c3338c66eff6f8833f047e05c8c5bc2eeaf1f4fa MD5sum: a7ea99e43fe51a0a29868e37fcfc1b9a Description: module to manipulate sets of named colours Color::Palette is a framework of Perl modules designed to construct and manipulate sets of named colours. This makes it easy to write applications that can define and validate the color names as required. Colours can be specified using a Graphics::Color object, a CSS-style hex triple (#RRGGBB), an arrayref of RGB values, or as the name of another colour that appears in the palette. Homepage: http://search.cpan.org/dist/Color-Palette/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcolor-palette-perl/libcolor-palette-perl_0.100002-1_all.deb Package: libcolor-scheme-perl Version: 1.02-3 Installed-Size: 84 Maintainer: Ivan Kohler Architecture: all Depends: perl (>= 5.6.0-16) Size: 15918 SHA256: 9cf2b4e20fa9a54f694783a604d987dc9dde3cf534b53b73e97a2e78c9413a84 SHA1: 5c066804efda37986520453a63f865e0aa8d5c19 MD5sum: e90b7e8d791b11da4f46fec0352024e9 Description: Perl module to generate pleasant color schemes This module is a Perl implementation of Color Schemes 2 (http://wellstyled.com/tools/colorscheme2/index-en.html), a color scheme generator. Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcolor-scheme-perl/libcolor-scheme-perl_1.02-3_all.deb Package: libcolor-tools-ruby Source: ruby-color-tools Version: 1.4.1-2 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-color-tools Size: 5600 SHA256: 315b3d2645733ba2d68a63cdc755cb74e1032166e6b0c4bf2828ea8169396429 SHA1: 02578420eafd21a5ef6a79440c23b1e5535a077e MD5sum: 312cf0a653a30f92fa85f37522060a45 Description: Transitional package for ruby-color-tools This is a transitional package to ease upgrades to the ruby-color-tools package. It can safely be removed. Homepage: http://rubyforge.org/projects/color Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-color-tools/libcolor-tools-ruby_1.4.1-2_all.deb Package: libcolor-tools-ruby-doc Source: ruby-color-tools Version: 1.4.1-2 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-color-tools Size: 5604 SHA256: 2bce56345c5356a61eb54ebb28e7725ee8032b4b0565efa9b32db3ad76db43b4 SHA1: 3190a8df576ea8be3f75c2797929c1ae82d37f39 MD5sum: accc1f530d8238b943c88b4653e2fb5a Description: Transitional package for ruby-color-tools This is a transitional package to ease upgrades to the ruby-color-tools package. It can safely be removed. Homepage: http://rubyforge.org/projects/color Tag: devel::doc, devel::lang:ruby, made-of::html, role::documentation, role::dummy Section: doc Priority: optional Filename: pool/main/r/ruby-color-tools/libcolor-tools-ruby-doc_1.4.1-2_all.deb Package: libcolor-tools-ruby1.8 Source: ruby-color-tools Version: 1.4.1-2 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-color-tools Size: 5604 SHA256: fe0f231cb26d71cd1a7295c94a5c8497a90793ed880224967ecd4ce43500c238 SHA1: 89c9f4f931a1c421d2104c4aee1bde8b9569f59b MD5sum: 9cc7554f790e6c29e16c1c0f07cafd10 Description: Transitional package for ruby-color-tools This is a transitional package to ease upgrades to the ruby-color-tools package. It can safely be removed. Homepage: http://rubyforge.org/projects/color Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-color-tools/libcolor-tools-ruby1.8_1.4.1-2_all.deb Package: libcolorblind-dev Source: colorblind Version: 0.0.1-1 Architecture: armhf Maintainer: Daniel Ruoso Installed-Size: 38 Depends: libcolorblind0 (= 0.0.1-1) Priority: extra Section: libs Filename: pool/main/c/colorblind/libcolorblind-dev_0.0.1-1_armhf.deb Size: 3420 SHA256: 87634b9632d05c2a27854c9617ed15052ca1f299e9dfafe838f6e8cf78da9004 SHA1: 7b320f347b1cc813cb168512dbcf1991f7d01744 MD5sum: 6236446a9a11110f737e1c6895af64ea Description: Pixel Filter for colorblind accessibility - headers This library provides an unified way to recalculate colors in order to present alternative views on images for colorblind people. . This package contains the library headers. Package: libcolorblind0 Source: colorblind Version: 0.0.1-1 Architecture: armhf Maintainer: Daniel Ruoso Installed-Size: 39 Depends: libc6 (>= 2.4) Priority: extra Section: libs Filename: pool/main/c/colorblind/libcolorblind0_0.0.1-1_armhf.deb Size: 5188 SHA256: 76fc4e6d6b9e478c09a6da965bc1d5a4b1e1384ff15ccd773ab85d052b6907e6 SHA1: e4fcecf05870e0e56c8806f1506a758660659036 MD5sum: 30938c3ac36de4ac43aa0d23fc140fb8 Description: Pixel Filter for colorblind accessibility This library provides an unified way to recalculate colors in order to present alternative views on images for colorblind people. . This package contains the library files. Package: libcolorchooser-java Source: colorchooser Version: 1.0+dfsg-1 Installed-Size: 172 Maintainer: Debian Java Maintainers Architecture: all Depends: libcolorpicker-java Size: 66852 SHA256: c9e59de4d589de7ed854879dc176ff5f0a8a5a09c778140bcc520c8e2e450656 SHA1: 3803133791b37e25b95bd41544c3402a67e8578d MD5sum: 6c2aef9526951c0061bd7fe5f9e02dd9 Description: A Swing widget for selecting colors The Quick Color Chooser is a Swing component for that lets you choose a color in a single mouse gesture. When clicked, it pops up a palette; dragging the mouse over the palette, you will see the component's color update. Release the mouse when it is the desired color. Homepage: http://java.net/projects/colorchooser Section: java Priority: optional Filename: pool/main/c/colorchooser/libcolorchooser-java_1.0+dfsg-1_all.deb Package: libcolorchooser-java-doc Source: colorchooser Version: 1.0+dfsg-1 Installed-Size: 468 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc Size: 49068 SHA256: 2d7b1ca4d594804ae917afa401810dd9d73511aa17f34c5ac9057e81b083107a SHA1: 6e8ab1457b81d427630b81d04efc6371281beb5e MD5sum: 4350a63424df636ba5868a1e524c0b6d Description: A Swing widget for selecting colors (documentation) The Quick Color Chooser is a Swing component for that lets you choose a color in a single mouse gesture. When clicked, it pops up a palette; dragging the mouse over the palette, you will see the component's color update. Release the mouse when it is the desired color. . This package contains the documentation. Homepage: http://java.net/projects/colorchooser Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/c/colorchooser/libcolorchooser-java-doc_1.0+dfsg-1_all.deb Package: libcolord-dev Source: colord Version: 0.1.21-1 Architecture: armhf Maintainer: Christopher James Halse Rogers Installed-Size: 486 Depends: libcolord1 (= 0.1.21-1), liblcms2-dev, libglib2.0-dev, libdbus-1-dev Homepage: http://www.freedesktop.org/software/colord/ Priority: optional Section: libdevel Filename: pool/main/c/colord/libcolord-dev_0.1.21-1_armhf.deb Size: 93420 SHA256: 0a3274ac4abfd6442a9942199b4291db2166f29ff20e44174524203e09602162 SHA1: dae745442e5d2b9333d4645177d41f2c74c9b439 MD5sum: f615238eae63a1be3dfe536831d9aef6 Description: system service to manage device colour profiles -- development files colord is a system service that makes it easy to manage, install and generate colour profiles to accurately colour manage input and output devices. . It provides a D-Bus API for system frameworks to query, a persistent data store, and a mechanism for session applications to set system policy. . This package contains the development files required to build programs against the libcolord library. Package: libcolord-gtk-dev Source: colord Version: 0.1.21-1 Architecture: armhf Maintainer: Christopher James Halse Rogers Installed-Size: 123 Depends: libcolord-gtk1 (= 0.1.21-1) Homepage: http://www.freedesktop.org/software/colord/ Priority: optional Section: libdevel Filename: pool/main/c/colord/libcolord-gtk-dev_0.1.21-1_armhf.deb Size: 63294 SHA256: fc82a27062740ffd9f01b1fe6ddebf38af681be19dd5e81017e2cf37b1a3596c SHA1: a30b9bfae08ffd33084a9668a6fb9394f5ccc4e6 MD5sum: 9679a111436f3c484dd7060fc5fa8c31 Description: system service to manage device colour profiles -- runtime colord is a system service that makes it easy to manage, install and generate colour profiles to accurately colour manage input and output devices. . It provides a D-Bus API for system frameworks to query, a persistent data store, and a mechanism for session applications to set system policy. . This package contains the development files necessary for a GTK+ program to build against the libcolord-gtk library. Package: libcolord-gtk1 Source: colord Version: 0.1.21-1 Architecture: armhf Maintainer: Christopher James Halse Rogers Installed-Size: 108 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcolord1 (>= 0.1.10), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), liblcms2-2, libpango1.0-0 (>= 1.14.0) Recommends: colord Multi-Arch: same Homepage: http://www.freedesktop.org/software/colord/ Priority: optional Section: libs Filename: pool/main/c/colord/libcolord-gtk1_0.1.21-1_armhf.deb Size: 67046 SHA256: 634487577cffaae31c2ee4dae2b7a13a31072f191c2ad1f04e0c608aba31c6ac SHA1: 210ce6820bbcc369f45fa8d3002d989eb46906bb MD5sum: 814aa62c98fa3cb54db47c7c3044c6ed Description: system service to manage device colour profiles -- runtime colord is a system service that makes it easy to manage, install and generate colour profiles to accurately colour manage input and output devices. . It provides a D-Bus API for system frameworks to query, a persistent data store, and a mechanism for session applications to set system policy. . This package contains a convenience library for GTK+ programs that wish to handle colour management to interact with the colord system daemon. Package: libcolord1 Source: colord Version: 0.1.21-1 Architecture: armhf Maintainer: Christopher James Halse Rogers Installed-Size: 241 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.28.0), liblcms2-2 Recommends: colord Multi-Arch: same Homepage: http://www.freedesktop.org/software/colord/ Priority: optional Section: libs Filename: pool/main/c/colord/libcolord1_0.1.21-1_armhf.deb Size: 111928 SHA256: 6fff27d581c4a03668bdbf78d7e9b1c447832cbaa9c63ed336a0ffa2e0cefb94 SHA1: ab52da2a14039b7765d3daeed15329b0902b4315 MD5sum: da0c98651b9f02b56275c245b5dc6c00 Description: system service to manage device colour profiles -- runtime colord is a system service that makes it easy to manage, install and generate colour profiles to accurately colour manage input and output devices. . It provides a D-Bus API for system frameworks to query, a persistent data store, and a mechanism for session applications to set system policy. . This package contains a gobject-based convenience library for programs to interact with the colord system daemon. Package: libcolorhug-dev Source: colorhug-client Version: 0.1.10-1 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 82 Homepage: http://www.hughski.com/downloads.html Priority: extra Section: libdevel Filename: pool/main/c/colorhug-client/libcolorhug-dev_0.1.10-1_armhf.deb Size: 13890 SHA256: 320d5aafe2dc49526e625f1f05ac9e63630917ad538e89f18b0d0caa7b8c5e9b SHA1: 5d799005e0ab919bfdf0cccc7ee3903b2ce64756 MD5sum: 363289dad8725be29c7762af16e7cc98 Description: Devel files for the Hughski Colorimeter The Hughski ColorHug colorimeter is a low cost open-source hardware sensor used to calibrate screens. . This package includes devel file for the library used by client tools which allows the user to upgrade the firmware on the sensor, set the color calibration matrix or to access the sensor. Package: libcolorhug1 Source: colorhug-client Version: 0.1.10-1 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 98 Depends: libc6 (>= 2.13-28), libcolord1 (>= 0.1.20), libglib2.0-0 (>= 2.30.0), libgusb2 (>= 0.1.3), libusb-1.0-0 (>= 2:1.0.8) Homepage: http://www.hughski.com/downloads.html Priority: extra Section: graphics Filename: pool/main/c/colorhug-client/libcolorhug1_0.1.10-1_armhf.deb Size: 25834 SHA256: bdb6f4543b1794c08c770d92af46b58bd034e1e1c60ce10686843018f0702cc6 SHA1: 0c5b419a65693b3184ed393e1a8fedd42b171a0c MD5sum: c43e76862cb40f7f6f9d177504018cda Description: Library for the Hughski Colorimeter The Hughski ColorHug colorimeter is a low cost open-source hardware sensor used to calibrate screens. . This package includes the library used by client tools which allows the user to upgrade the firmware on the sensor, set the color calibration matrix or to access the sensor. Package: libcolorpicker-java Source: colorpicker Version: 1.0.0-2 Installed-Size: 94 Maintainer: Debian Java Maintainers Architecture: all Size: 60914 SHA256: 31adf3f2f0f11836414260005ad6d6d54326b12ff8d9e5a2815353e6d8f09fb6 SHA1: 72e11d335b6ec87cb1846dc81186f1ac4cebd5a5 MD5sum: c6a202b4f616042f7ffaba29af8d5108 Description: Java control to allow color selection A java package containing a color wheel picker for selecting a color. It can be customized, but out of the box it offers a great interface if you're dealing with a power user. Homepage: https://javagraphics.dev.java.net/ Section: java Priority: optional Filename: pool/main/c/colorpicker/libcolorpicker-java_1.0.0-2_all.deb Package: libcolorpicker-java-doc Source: colorpicker Version: 1.0.0-2 Installed-Size: 876 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc Size: 79038 SHA256: d928c7be56b7299a558e6f138bc315b7aa5a8ba7dda9d7b361a2fdd28564d295 SHA1: c1eeeb078701c1b60ca22bd05c2b4c6eef179c3a MD5sum: 031dd84dd1134cf223369d7a70299a56 Description: Java control to allow color selection (documentation) A java package containing a color wheel picker for selecting a color. . This package contains the documentation. Homepage: https://javagraphics.dev.java.net/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/c/colorpicker/libcolorpicker-java-doc_1.0.0-2_all.deb Package: libcomedi-dev Source: comedilib Version: 0.10.0-3 Architecture: armhf Maintainer: Gudjon I. Gudjonsson Installed-Size: 1411 Depends: libcomedi0 (= 0.10.0-3) Homepage: http://www.comedi.org Priority: optional Section: libdevel Filename: pool/main/c/comedilib/libcomedi-dev_0.10.0-3_armhf.deb Size: 472960 SHA256: 2d305a3195628ea980ea82b7bef81605d9342457d8999ec35ce5b6e4a58298c7 SHA1: 5d41ed7894d7b0a210974805cef6e75cb8fa843b MD5sum: 2ac7c2fd297407194f90cc7165d9059b Description: Development library for Comedi Comedilib is a library for using Comedi, a driver interface for data acquisition hardware. . This package contains headers, static libraries, documentation, and examples for writing software that uses the Comedilib library. You only need to install it if you plan to develop or compile software that uses Comedilib. Package: libcomedi0 Source: comedilib Version: 0.10.0-3 Architecture: armhf Maintainer: Gudjon I. Gudjonsson Installed-Size: 317 Depends: libboost-program-options1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgsl0ldbl (>= 1.9), adduser Recommends: udev Conflicts: libcomedi-0.7.22 Replaces: libcomedi-0.7.22 Homepage: http://www.comedi.org Priority: optional Section: libs Filename: pool/main/c/comedilib/libcomedi0_0.10.0-3_armhf.deb Size: 173172 SHA256: 920892dc732889c80333e9457ec4c4fc37a105b4573fd2ad9d5886906ca6115e SHA1: 4afb0d8277b87c40772a0470da3c98090841a57d MD5sum: 828ac5277b2e644850a0b6ba8af6b207 Description: Library for Comedi Comedilib is a library for using Comedi, a driver interface for data acquisition hardware. Comedi supports a wide variety of ISA and PCI devices that contain analog-to-digital converters, digital-to-analog converters, digital input/output, counters and timers. The full list of support devices can be found in the libcomedi-dev documentation. . This package contains the shared library component of Comedilib. In general, this package will be automatically installed as a dependency of application or other library packages. . In order to effectively use this package, you need to have compiled and installed the Comedi kernel modules. The source for Comedi is available in the comedi-source package, which also contains instructions on how to compile and install the modules. Package: libcomerr2 Source: e2fsprogs Version: 1.42.5-1.1+deb7u1 Architecture: armhf Maintainer: Theodore Y. Ts'o Installed-Size: 91 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Replaces: e2fsprogs (<< 1.34-1) Provides: libcomerr-kth-compat Multi-Arch: same Homepage: http://e2fsprogs.sourceforge.net Priority: required Section: libs Filename: pool/main/e/e2fsprogs/libcomerr2_1.42.5-1.1+deb7u1_armhf.deb Size: 54980 SHA256: 935354390438fe46e8e9b558f2e9d68696f209e2a3478e7f7de1c611d0307623 SHA1: 07345bd25dc511c7987d7371348330348fd6a8d7 MD5sum: 58bcca5e0fd9473987c972cb3cbf4144 Description: common error description library libcomerr is an attempt to present a common error-handling mechanism to manipulate the most common form of error code in a fashion that does not have the problems identified with mechanisms commonly in use. Package: libcomerr2-dbg Source: e2fsprogs Version: 1.42.5-1.1+deb7u1 Architecture: armhf Maintainer: Theodore Y. Ts'o Installed-Size: 110 Depends: libcomerr2 (= 1.42.5-1.1+deb7u1) Homepage: http://e2fsprogs.sourceforge.net Priority: extra Section: debug Filename: pool/main/e/e2fsprogs/libcomerr2-dbg_1.42.5-1.1+deb7u1_armhf.deb Size: 59352 SHA256: 157ad85a11c797b1428a013b45a03a392d862ebb18cbc249d04149daa25bbccf SHA1: 2094afa148eb3ea3a87d3ab99489a350dd2502a2 MD5sum: fd650c6c242b1d1fbc3a238dfeca2ad2 Description: debugging information for libcomerr2 This package includes the debug information useful for debugging the com_err library, contained in the libcomerr2 package. The debugging information is used for execution tracing and core dump analysis. Package: libcommandline-ruby Source: ruby-commandline Version: 0.7.10-12 Installed-Size: 29 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-commandline Size: 4756 SHA256: 9def155754be4e497bbb9cb522fe6de32ad9c804ea0e9361e1766624a759224d SHA1: b02e91e72f8376f64e68fc858265f734992fbb2c MD5sum: c2dbafe1d6e9e866eb0373bd96e9ab25 Description: Transitional package for ruby-commandline This is a transitional package to ease upgrades to the ruby-commandline package. It can safely be removed. Homepage: http://rubyforge.org/projects/optionparser/ Tag: devel::lang:ruby Section: oldlibs Priority: extra Filename: pool/main/r/ruby-commandline/libcommandline-ruby_0.7.10-12_all.deb Package: libcommandline-ruby-doc Source: ruby-commandline Version: 0.7.10-12 Installed-Size: 29 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-commandline-doc Size: 4760 SHA256: 31428cfefa34bcb20a01d0b6be368c45857542fdbbd606946d1924116ed6109d SHA1: 1f091dc666477a6d8d84c823c70dc7a7b35b0a0a MD5sum: cd02053e588e2aea8ab8f7bd8cb9bea5 Description: Transitional package for ruby-commandline-doc This is a transitional package to ease upgrades to the ruby-commandline-doc package. It can safely be removed. Homepage: http://rubyforge.org/projects/optionparser/ Tag: devel::doc, devel::lang:ruby, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/r/ruby-commandline/libcommandline-ruby-doc_0.7.10-12_all.deb Package: libcommandline-ruby1.8 Source: ruby-commandline Version: 0.7.10-12 Installed-Size: 29 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-commandline Size: 4764 SHA256: d312997a543a2aba6fb09e06ab2c00b45ec98b47008801d9b9bf26f0e044699d SHA1: 4af6075654d2ea713524621ef77ca1fb5bab1ca0 MD5sum: 01dbfd67a5bd5f6aea010984672682e7 Description: Transitional package for ruby-commandline This is a transitional package to ease upgrades to the ruby-commandline package. It can safely be removed. Homepage: http://rubyforge.org/projects/optionparser/ Tag: devel::lang:ruby, implemented-in::ruby, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-commandline/libcommandline-ruby1.8_0.7.10-12_all.deb Package: libcommon-sense-perl Version: 3.6-1 Installed-Size: 73 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 23682 SHA256: b944096cad2f1f2910131ed10543494bb51793f93ca6b9ed583f13397bdad5c0 SHA1: 7aff8653fb9c25f87b7c9b749ac4e128c26ecd17 MD5sum: 9aeca6c318458869edb377cd6dac85fd Description: module that implements some sane defaults for Perl programs common::sense implements some sane defaults for Perl programs, as defined by two typical (or not so typical - use your common sense) specimens of Perl coders. Homepage: http://search.cpan.org/dist/common-sense/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcommon-sense-perl/libcommon-sense-perl_3.6-1_all.deb Package: libcommoncpp2-dbg Source: libcommoncpp2 Version: 1.8.1-5 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 893 Depends: libccgnu2-1.8-0 (= 1.8.1-5) Homepage: http://www.gnu.org/software/commoncpp/ Priority: extra Section: debug Filename: pool/main/libc/libcommoncpp2/libcommoncpp2-dbg_1.8.1-5_armhf.deb Size: 723560 SHA256: f2182bf3cc6b1b8a1cfe6ddaa5796b951ece2fe492e7ab87974ba3bcd783b1dc SHA1: 16a159a2ebc0f0770258f4d4307753dbd7fb24a9 MD5sum: fc82de5990860daf2e94d96f4b40ea97 Description: Debug symbols for Common C++ "2" Common C++ is a GNU package which offers portable "abstraction" of system services such as threads, networks, and sockets. Common C++ also offers individual frameworks generally useful to developing portable C++ applications including a object persistence engine, math libraries, threading, sockets, etc. Common C++ is small, and highly portable. Common C++ will support most Unix operating systems as well as Win32, in addition to GNU/Linux. . This package contains the debug symbols. Package: libcommoncpp2-dev Source: libcommoncpp2 Version: 1.8.1-5 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 1447 Depends: libccgnu2-1.8-0 (= 1.8.1-5), libgnutls-dev, zlib1g-dev, libgcrypt11-dev | libgcrypt-dev, pkg-config Suggests: libcommoncpp2-doc Homepage: http://www.gnu.org/software/commoncpp/ Priority: optional Section: libdevel Filename: pool/main/libc/libcommoncpp2/libcommoncpp2-dev_1.8.1-5_armhf.deb Size: 390348 SHA256: 7dac3d9b9b05d8cd846b50e805eb87f2c35f0dfe9fd45a4d6bec89eb2f56c834 SHA1: 2d943aefe05ff207dcb353a9effa44f9cc596e61 MD5sum: d8d1b527b770c9037551a1498336c061 Description: Header files and static libraries for Common C++ "2" Common C++ is a GNU package which offers portable "abstraction" of system services such as threads, networks, and sockets. Common C++ also offers individual frameworks generally useful to developing portable C++ applications including a object persistence engine, math libraries, threading, sockets, etc. Common C++ is small, and highly portable. Common C++ will support most Unix operating systems as well as Win32, in addition to GNU/Linux. . This package contains the development files. Package: libcommoncpp2-doc Source: libcommoncpp2 Version: 1.8.1-5 Installed-Size: 11313 Maintainer: Debian VoIP Team Architecture: all Depends: dpkg (>= 1.15.4) | install-info Size: 2061514 SHA256: 1bdcacc4e39c1ea01cd22314fe3961451e0dbe070ed32962baf769335a050fc6 SHA1: 07e4d21cb3cdccd79f058650285796fcb04cac18 MD5sum: e4c3334586209888b6264961de759240 Description: Documentation files for Common C++ "2" Common C++ "2" is a GNU package which offers portable "abstraction" of system services such as threads, networks, and sockets. Common C++ also offers individual frameworks generally useful to developing portable C++ applications including a object persistence engine, math libraries, threading, sockets, etc. Common C++ is small, and highly portable. Common C++ will support most Unix operating systems as well as Win32, in addition to GNU/Linux. . This package contains the library development documentation. Homepage: http://www.gnu.org/software/commoncpp/ Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html, made-of::man, role::documentation, suite::gnu Section: doc Priority: optional Filename: pool/main/libc/libcommoncpp2/libcommoncpp2-doc_1.8.1-5_all.deb Package: libcommons-attributes-java Version: 2.2-8 Installed-Size: 184 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java2-runtime-headless Size: 68384 SHA256: 7fe52671f9e4ada3253cdfc1d890843d25c2179397fd040a08215121f62c9744 SHA1: 6d41c4a759363922e60a1b30d898ebbebd1b988b MD5sum: 0fadd7d2639121192d79f233730cecce Description: adds C#/.Net-style attributes to Java code The Apache Jakarta Commons Attributes library enables Java programmers to use C#/.Net-style attributes in their code. Homepage: http://commons.apache.org/attributes/ Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: extra Filename: pool/main/libc/libcommons-attributes-java/libcommons-attributes-java_2.2-8_all.deb Package: libcommons-attributes-java-doc Source: libcommons-attributes-java Version: 2.2-8 Installed-Size: 1076 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcommons-attributes-java Size: 58486 SHA256: c2957a8309db03ec3a60c6aaaad680800817991d08c5c1edefd9084fc27c4f63 SHA1: 5c2866642788431297c315ada897b11a490d0836 MD5sum: 1be747f453af3bb57991c6b1f1bc3d22 Description: adds C#/.Net-style attributes to Java code - documentation The Apache Jakarta Commons Attributes library enables Java programmers to use C#/.Net-style attributes in their code. . This package contains API documentation (Javadoc) of Commons Attributes. Homepage: http://commons.apache.org/attributes/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/libc/libcommons-attributes-java/libcommons-attributes-java-doc_2.2-8_all.deb Package: libcommons-beanutils-java Source: commons-beanutils Version: 1.8.3-3 Installed-Size: 516 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-logging-java, libcommons-parent-java (>= 22) Recommends: libcommons-collections3-java Suggests: libcommons-beanutils-java-doc Size: 427250 SHA256: 52d7d8940a43c7cfacb3fefe9582427cdcf58989d8aab537ed9b3d52c98addff SHA1: fbad44409d55a02b366ee880fa1a5c0568319f28 MD5sum: 0a2a3127d4c7b56c8e1d8497cf13b8cd Description: utility for manipulating JavaBeans The BeanUtils Component contains a set of Java classes that provide static utility methods useful in manipulating Java classes that conform to the JavaBeans Specification naming patterns for bean properties in a dynamic fashion. Homepage: http://commons.apache.org/beanutils/ Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/c/commons-beanutils/libcommons-beanutils-java_1.8.3-3_all.deb Package: libcommons-beanutils-java-doc Source: commons-beanutils Version: 1.8.3-3 Installed-Size: 9330 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc, libcommons-logging-java-doc Suggests: libcommons-beanutils-java Size: 1976330 SHA256: f5034f1b603e5c5026d5bb0eb6db72ce5b99fb6767ee788ceccd43efac3086af SHA1: b071e2fda116cd1b9560444380fee17d6a3cc8e7 MD5sum: a89e6efbe7f1f4e7df9f9150f4feb06e Description: Javadoc API for libcommons-beanutils-java The javadocs API for libcommons-beanutils-java which is utility for manipulating JavaBeans. Homepage: http://commons.apache.org/beanutils/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/commons-beanutils/libcommons-beanutils-java-doc_1.8.3-3_all.deb Package: libcommons-cli-java Version: 1.2-3 Installed-Size: 108 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-lang-java (>= 2.0) Size: 39222 SHA256: d4541eff650d1fda88f3bf9ab20d1ff0a7ed0cd763d9f05d829822334d529485 SHA1: 908517a87dcff1e4c8276f9df5046d3eef259a55 MD5sum: 5abc55adcb51d053b908dc7a66ed2436 Description: API for working with the command line arguments and options You define arguments you want to parse, parse arguments the user entered and then you can retrieve them like properties Homepage: http://commons.apache.org/cli/ Tag: devel::lang:java, devel::library, implemented-in::java, interface::commandline, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/libc/libcommons-cli-java/libcommons-cli-java_1.2-3_all.deb Package: libcommons-codec-java Version: 1.6-1 Installed-Size: 300 Maintainer: Debian Java Maintainers Architecture: all Size: 194042 SHA256: d786dc38fe986f224587b4e252c7ad5fc234a552c7df551849168440a51dbe14 SHA1: ffa71b2a2f106b3155748aadfb2a1274fa218c3c MD5sum: 2d5f2f715e66f1e69e3e3cdf8d7096c6 Description: encoder and decoders such as Base64 and hexadecimal codec The codec package contains simple encoder and decoders for various formats such as Base64 and Hexadecimal. In addition to these widely used encoders and decoders, the codec package also maintains a collection of phonetic encoding utilities. . This is a part of the Apache Commons Project. Homepage: http://commons.apache.org/codec/ Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/libc/libcommons-codec-java/libcommons-codec-java_1.6-1_all.deb Package: libcommons-codec-java-doc Source: libcommons-codec-java Version: 1.6-1 Installed-Size: 2850 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcommons-codec-java Size: 202922 SHA256: 46c0575f6610e1f7c679eec3098c4bfc003a8acebf6c821de61176edc0be0db0 SHA1: a196ebe3ddefface0257f261134a4e72c5ba1aa9 MD5sum: db865ee7d960a20dbc78df91e439f52a Description: encoder and decoders such as Base64 and hexadecimal codec - documentation The codec package contains simple encoder and decoders for various formats such as Base64 and Hexadecimal. In addition to these widely used encoders and decoders, the codec package also maintains a collection of phonetic encoding utilities. . This is a part of the Apache Commons Project. . This package contains API Javadoc of Apache Commons Project Homepage: http://commons.apache.org/codec/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcommons-codec-java/libcommons-codec-java-doc_1.6-1_all.deb Package: libcommons-collections-java Version: 2.1.1-10 Installed-Size: 288 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcommons-collections-java-doc Size: 168116 SHA256: 0b5b1bca871929fa83d0a50cbe2cb9b1fa34b5e2f5c6e0a95233b7902ba77ba3 SHA1: f5bd5cc5fbe944eb32eca5aeb868079ec7e12d00 MD5sum: 307aa6e9214e5631dcaaf482ad416322 Description: set of abstract data type interfaces and implementations The Java Collections Framework provides a set of abstract data type interfaces and implementations that offer both a wealth of useful functionality, and a solid foundation for extending that functionality. Homepage: http://commons.apache.org/collections/ Tag: devel::lang:java, devel::library, implemented-in::java, role::shared-lib Section: java Priority: optional Filename: pool/main/libc/libcommons-collections-java/libcommons-collections-java_2.1.1-10_all.deb Package: libcommons-collections-java-doc Source: libcommons-collections-java Version: 2.1.1-10 Installed-Size: 2088 Maintainer: Debian Java Maintainers Architecture: all Replaces: libcommons-collections-java (<< 2.1.1-10) Conflicts: libcommons-collections-java (<< 2.1.1-10) Size: 176474 SHA256: b421845dc0c33daf7f61421fc749d1bee0de790030ba6aad51180199ee326f82 SHA1: 78d0cc705e1c41b7ebb327d3b9f81d4f4a6d8d97 MD5sum: bed445bf1f10194622d555bd89beb216 Description: set of abstract data type interfaces and implementations - doc The Java Collections Framework provides a set of abstract data type interfaces and implementations that offer both a wealth of useful functionality, and a solid foundation for extending that functionality. . This package contains Javadoc API documentation for libcommons-collections-java package. Homepage: http://commons.apache.org/collections/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcommons-collections-java/libcommons-collections-java-doc_2.1.1-10_all.deb Package: libcommons-collections3-java Version: 3.2.1-5+deb7u1 Installed-Size: 734 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcommons-collections3-java-doc Size: 606258 SHA256: cb4e62477ee674bf213e21e8f86a97f60a60ffed6e9d43f764642d0d3bb5eaaa SHA1: 9cf10b0b135e092f53b7b3fd9429c26a2cfb92b1 MD5sum: ed58b1d0aa3d353e40a9849b51fdda10 Description: A set of abstract data type interfaces and implementations The Java Collections Framework provides a set of abstract data type interfaces and implementations that offer both a wealth of useful functionality, and a solid foundation for extending that functionality. Homepage: http://commons.apache.org/collections/ Section: libs Priority: optional Filename: pool/main/libc/libcommons-collections3-java/libcommons-collections3-java_3.2.1-5+deb7u1_all.deb Package: libcommons-collections3-java-doc Source: libcommons-collections3-java Version: 3.2.1-5+deb7u1 Installed-Size: 13135 Maintainer: Debian Java Maintainers Architecture: all Size: 909742 SHA256: 21b7098b06c96229c770ef5e5457fe52f81360e33d39ce718060dd1b60761cdc SHA1: b66bad4b9c8da309bc4145972fa261c6f15a4090 MD5sum: 5e10642e8e3ca71402e7644958d20235 Description: Documentation for libcommons-collections3-java Javadocs for libcommons-collections3-java which is a Java collection framework. Homepage: http://commons.apache.org/collections/ Section: doc Priority: optional Filename: pool/main/libc/libcommons-collections3-java/libcommons-collections3-java-doc_3.2.1-5+deb7u1_all.deb Package: libcommons-compress-java Version: 1.4.1-2 Installed-Size: 302 Maintainer: Debian Java Maintainers Architecture: all Depends: libxz-java Size: 224356 SHA256: b10e7963c96a02cca0cd62d6c0ae52298a8e6b3f905fb9419c35bcaf33e0b815 SHA1: 8f2a7b1750f47800654e9acb69897870d46a96fb MD5sum: 7992ce2970a400322c2938201438979c Description: Java API for working with tar, zip and bzip2 files Commons Compress defines an API for working with tar, zip and bzip2 files. Homepage: http://commons.apache.org/compress/ Tag: implemented-in::java, works-with-format::tar, works-with-format::zip, works-with::archive Section: java Priority: optional Filename: pool/main/libc/libcommons-compress-java/libcommons-compress-java_1.4.1-2_all.deb Package: libcommons-configuration-java Source: commons-configuration Version: 1.7-1 Installed-Size: 428 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-beanutils-java, libcommons-collections3-java, libcommons-digester-java, libcommons-lang-java, libcommons-logging-java, libjaxp1.3-java, libservlet2.5-java Recommends: ant, libcommons-codec-java, libcommons-jexl-java, libcommons-jxpath-java, libcommons-vfs-java, liblog4j1.2-java, libxml-commons-resolver1.1-java (>= 1.2) Size: 319352 SHA256: a34bf5453d558555af678de6d8f2a43410938bc459b7a65fed5de8fabb23faba SHA1: a243da079127b123913928f0c45355ca49adc6ee MD5sum: 49e9f0ace789425d714363d3d5d9ee76 Description: Java based library providing a generic configuration interface Commons Configuration provides a generic configuration interface which enables an application to read configuration data from a variety of sources: - Properties files - XML documents - Windows INI files - Property list files (.plist) - JNDI - JDBC Datasource - System properties - Applet parameters - Servlet parameters Additional sources of configuration parameters can be created by using custom configuration objects. Homepage: http://commons.apache.org/configuration/ Section: java Priority: optional Filename: pool/main/c/commons-configuration/libcommons-configuration-java_1.7-1_all.deb Package: libcommons-configuration-java-doc Source: commons-configuration Version: 1.7-1 Installed-Size: 8348 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc Suggests: libcommons-configuration-java Size: 917376 SHA256: 32c2d9dc0b4f9e7d4196ab9325531dbea6a7d6ab91aa9ef23c4cf670c7a0fde8 SHA1: 686e01fdc01e73e5ba47c72b3266dfb2b0854721 MD5sum: 1604ce4b1f4f9204acb3fff65d2aabd3 Description: API Documentation for commons-configuration Commons Configuration provides a generic configuration interface which enables an application to read configuration data from a variety of sources: - Properties files - XML documents - Windows INI files - Property list files (.plist) - JNDI - JDBC Datasource - System properties - Applet parameters - Servlet parameters Additional sources of configuration parameters can be created by using custom configuration objects. . This package contains the API documentation for commons-configuration. Homepage: http://commons.apache.org/configuration/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/commons-configuration/libcommons-configuration-java-doc_1.7-1_all.deb Package: libcommons-csv-java Source: commons-csv Version: 0.1-SNAPSHOT+svn678580-3 Installed-Size: 50 Maintainer: Debian Java Maintainers Architecture: all Size: 21406 SHA256: a035ca82f839d736b327cc280bf3bf4629b0563b5766f7b294007413fdbd3c5b SHA1: 48bd82adbe307c0864dd85e31c444f0997da3333 MD5sum: e856103ed86bd3d88d387e808abeda7b Description: a Java library for working with csv (comma separated values) files libcommons-csv-java is the Apache Commons library for working with csv (comma separated value) files. Homepage: http://commons.apache.org/sandbox/csv/ Section: java Priority: optional Filename: pool/main/c/commons-csv/libcommons-csv-java_0.1-SNAPSHOT+svn678580-3_all.deb Package: libcommons-csv-java-doc Source: commons-csv Version: 0.1-SNAPSHOT+svn678580-3 Installed-Size: 588 Maintainer: Debian Java Maintainers Architecture: all Size: 47162 SHA256: fafb9fb9ce6f005f3b5cc969e40d8cd481c9ec8cfb1094f395a2bb08b56fba1c SHA1: e5110f30e18b35c1963a8f7211da9d3f9b6f2872 MD5sum: 8f880a9ae1ac59a37f7fdef2a721a6a7 Description: documentation for libcommons-csv-java libcommons-csv-java is the Apache Commons library for working with csv (comma separated value) files. . This package contains the API documentation for the Commons CSV library Homepage: http://commons.apache.org/sandbox/csv/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/commons-csv/libcommons-csv-java-doc_0.1-SNAPSHOT+svn678580-3_all.deb Package: libcommons-daemon-java Source: commons-daemon Version: 1.0.10-3 Installed-Size: 415 Maintainer: Debian Java Maintainers Architecture: all Recommends: jsvc Suggests: java-virtual-machine Size: 60332 SHA256: d3691b430f72454028ec41cd23904382f1186077d8a9da9f9fbb3bbc3788c10f SHA1: 972677be440b29a05869d2093ccafc79958c1e6b MD5sum: 01a768f97a8bab37a0e143db1d73d549 Description: library to launch Java applications as daemons The Daemon package from Apache Commons can be used to implement Java applications which can be launched as daemons. For example the program will be notified about a shutdown so that it can perform cleanup tasks before its process of execution is destroyed by the operation system. . This package contains the java library. You will also need the actual binary for your architecture which is provided by the jsvc package. Homepage: http://commons.apache.org/daemon/ Tag: devel::lang:java, devel::library, implemented-in::java, interface::daemon, role::shared-lib Section: java Priority: optional Filename: pool/main/c/commons-daemon/libcommons-daemon-java_1.0.10-3_all.deb Package: libcommons-dbcp-java Version: 1.4-3 Installed-Size: 167 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-pool-java Recommends: libgeronimo-jta-1.1-spec-java Suggests: libcommons-dbcp-java-doc Size: 152568 SHA256: def34b4495b30d13f969d68959a4554d7a23ff2ce4527eb7b5abb5090b55a86b SHA1: 43ac723894a04f7a6e90a388ed83c174ce41a6aa MD5sum: c960c025884b6dd52ecdca13e8427f20 Description: Database Connection Pooling Services The DBCP package provides database connection pooling services. The following features are supported . * DataSource and Driver interfaces to the pool. * Support for arbitrary sources of the underlying Connections. * Integration with arbitrary org.apache.commons.pool.ObjectPool implementations. * Support for Connection validation, expiration, etc. * Support for PreparedStatement pooling. * XML configuration. . This is a part of the Apache Commons Project. Homepage: http://commons.apache.org/dbcp/ Tag: devel::lang:java, devel::library, implemented-in::java, network::load-balancing, role::devel-lib, role::shared-lib, works-with::db Section: java Priority: optional Filename: pool/main/libc/libcommons-dbcp-java/libcommons-dbcp-java_1.4-3_all.deb Package: libcommons-dbcp-java-doc Source: libcommons-dbcp-java Version: 1.4-3 Installed-Size: 3267 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc, libcommons-pool-java-doc Suggests: libcommons-dbcp-java Size: 638396 SHA256: 829267c7dee56e2a00af30ca758ca14e3914d62cfcb137f7ab19411bb9bb6c2b SHA1: 49c860ea348d3535c80e20df1c07160dbb221714 MD5sum: 10a3320c5d6b6b9a97f56ac1ace7d9c5 Description: Database Connection Pooling Services - documentation The DBCP package provides database connection pooling services. The following features are supported . * DataSource and Driver interfaces to the pool. * Support for arbitrary sources of the underlying Connections. * Integration with arbitrary org.apache.commons.pool.ObjectPool implementations. * Support for Connection validation, expiration, etc. * Support for PreparedStatement pooling. * XML configuration. . This is a part of the Apache Commons Project. . This package contains Javadoc API Documentation of Commons DBCP. Homepage: http://commons.apache.org/dbcp/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcommons-dbcp-java/libcommons-dbcp-java-doc_1.4-3_all.deb Package: libcommons-digester-java Version: 1.8.1-3 Installed-Size: 256 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-beanutils-java (>= 1.7-1), libcommons-logging-java Suggests: libcommons-digester-java-doc Size: 168780 SHA256: 68c676772e601b4351028f303d445c2ce2ec646a229ebe4d7874a477773765c9 SHA1: 59c64d40458908c0fc89ef9622230aebb7c7e317 MD5sum: 90008641edac4c82e53505224c65f098 Description: Rule based XML Java object mapping tool Commons-Digester converts XML to a Java object by a set of mapping rules. A rich set of predefined rules is available for your use. You can also create your own rules. Homepage: http://commons.apache.org/digester/ Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib, works-with-format::xml Section: java Priority: optional Filename: pool/main/libc/libcommons-digester-java/libcommons-digester-java_1.8.1-3_all.deb Package: libcommons-digester-java-doc Source: libcommons-digester-java Version: 1.8.1-3 Installed-Size: 3080 Maintainer: Debian Java Maintainers Architecture: all Size: 242576 SHA256: 2edc716b7a534eff9e45d69ab6ce2b9366958910a1708ec684a5ddca86381144 SHA1: c29906190572712617c785e3c44e3b1fccd9db4d MD5sum: 2677eac1f7ef2352ac42356974cc9ec6 Description: Rule based XML Java object mapping tool (documentation) Commons-Digester converts XML to a Java object by a set of mapping rules. A rich set of predefined rules is available for your use. You can also create your own rules. . This package contains the documentation. Homepage: http://commons.apache.org/digester/ Tag: devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcommons-digester-java/libcommons-digester-java-doc_1.8.1-3_all.deb Package: libcommons-discovery-java Version: 0.5-3 Installed-Size: 206 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-logging-java Suggests: libcommons-discovery-java-doc Size: 136284 SHA256: 1b285f5410ed76cfdb362ec0071545b15256194082dbf3e26114d00ba40ac4c8 SHA1: 75971ce0f2a36d0ef82da0cbc27efde115d31002 MD5sum: 791e144c96af3d7c71af53ab50747c6f Description: locates classes that implement a given Java interface The Discovery Component is about discovering, or finding, implementations for pluggable interfaces. It provides facilities instantiating classes in general, and for lifecycle management of singleton (factory) classes. . Fundamentally, Discovery locates classes that implement a given Java interface. The discovery pattern, though not necessarily this package, is used in many projects including JAXP (SaxParserFactory and others) and commons-logging (LogFactory). By extracting this pattern, other projects can (re)use it and take advantage of improvements to the pattern as Discovery evolves. . Discovery improves over previous implementations by establishing facilities for working within managed environments. These allow configuration and property overrides without appealing to the global System properties (which are scoped across an entire JVM). Homepage: http://commons.apache.org/discovery/ Tag: devel::lang:java, devel::library, implemented-in::java Section: java Priority: optional Filename: pool/main/libc/libcommons-discovery-java/libcommons-discovery-java_0.5-3_all.deb Package: libcommons-discovery-java-doc Source: libcommons-discovery-java Version: 0.5-3 Installed-Size: 1986 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc, libcommons-logging-java-doc Suggests: libcommons-discovery-java Size: 366920 SHA256: 99d28559844103df944d846a6b8060ecc01d8a6ef4a3d43f9c9511606a7d7916 SHA1: 44da2eaa414179f220b6dfc21b18ab3798a82744 MD5sum: 9b68d4771d94bfa08d3a339219f30648 Description: locates classes that implement a given Java interface (documentation) The Discovery Component is about discovering, or finding, implementations for pluggable interfaces. It provides facilities instantiating classes in general, and for lifecycle management of singleton (factory) classes. . Fundamentally, Discovery locates classes that implement a given Java interface. The discovery pattern, though not necessarily this package, is used in many projects including JAXP (SaxParserFactory and others) and commons-logging (LogFactory). By extracting this pattern, other projects can (re)use it and take advantage of improvements to the pattern as Discovery evolves. . Discovery improves over previous implementations by establishing facilities for working within managed environments. These allow configuration and property overrides without appealing to the global System properties (which are scoped across an entire JVM). . This package contains the documentation. Homepage: http://commons.apache.org/discovery/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcommons-discovery-java/libcommons-discovery-java-doc_0.5-3_all.deb Package: libcommons-el-java Version: 1.0-7 Installed-Size: 2040 Maintainer: Debian Java Maintainers Architecture: all Depends: libservlet2.5-java Size: 218560 SHA256: e8f6cc28cb8d3b929c4cd2b27ceba950e9c41021ad2643d3577cd1bab953ffbe SHA1: e4b52ec89d71bc4689531b2244c809917496bb90 MD5sum: aba523f9763490186093471652e31472 Description: Implementation of the JSP2.0 Expression Language interpreter The JSP 2.0 specification provides a portable API for evaluating "EL Expressions": The classes and interfaces in the javax.servlet.jsp.el package describe and define programmatic access to the Expression Language evaluator. This package is an implementation of the API. Homepage: http://commons.apache.org/el/ Tag: devel::lang:java, devel::library, devel::web, implemented-in::java, role::devel-lib, role::shared-lib, web::scripting Section: java Priority: optional Filename: pool/main/libc/libcommons-el-java/libcommons-el-java_1.0-7_all.deb Package: libcommons-exec-java Source: commons-exec Version: 1.0.1-1 Installed-Size: 116 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java2-runtime-headless Suggests: java-virtual-machine Size: 40274 SHA256: 093ec3c4d59cffc0213102ad40cbab556bed25196508797c98d92f6b1334c0f8 SHA1: ad0fe0ef99689e62ea97b814aef585ac77b03b32 MD5sum: bf1205b87f3c35acd12fd09b82d7386f Description: a library to reliably execute external processes from within the JVM Executing external processes from Java is a well-known problem area. It is inherently platform dependent and requires the developer to know and test for platform specific behaviors, for example using cmd.exe on Windows or limited buffer sizes causing deadlocks. The JRE support for this is very limited, albeit better with the new Java SE 1.5 ProcessBuilder class. . Reliably executing external processes can also require knowledge of the environment variables before or after the command is executed. In J2SE 1.1-1.4 there is no support for this, since the method, System.getenv(), for retrieving environment variables is deprecated. . There are currently several different libraries that for their own purposes have implemented frameworks around Runtime.exec() to handle the various issues outlined above. The commons-exec package aims at coordinating and learning from these initiatives to create and maintain a simple, reusable and well-tested package. Homepage: http://commons.apache.org/exec/ Section: java Priority: optional Filename: pool/main/c/commons-exec/libcommons-exec-java_1.0.1-1_all.deb Package: libcommons-fileupload-java Version: 1.2.2-1+deb7u3 Installed-Size: 119 Maintainer: Debian Java Maintainers Architecture: all Depends: libportlet-api-2.0-spec-java, libservlet2.5-java Size: 54574 SHA256: 5dcab617af7a39e58a19282153f9944d96ea0e8feb89633d64e04dfb64dce09f SHA1: 030e2d918fa8debf331db8f3734e583bbdb0f7b6 MD5sum: ac951b51b59c37684e4bf39707b8d5f3 Description: File upload capability to your servlets and web applications The Commons FileUpload package makes it easy to add robust, high-performance, file upload capability to your servlets and web applications. . FileUpload parses HTTP requests which conform to RFC 1867, "Form-based File Upload in HTML". That is, if an HTTP request is submitted using the POST method, and with a content type of "multipart/form-data", then FileUpload can parse that request, and make the results available in a manner easily used by the caller. Homepage: http://commons.apache.org/fileupload/ Recommends: libcommons-io-java Section: libs Priority: optional Filename: pool/main/libc/libcommons-fileupload-java/libcommons-fileupload-java_1.2.2-1+deb7u3_all.deb Package: libcommons-fileupload-java-doc Source: libcommons-fileupload-java Version: 1.2.2-1+deb7u3 Installed-Size: 1690 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: libcommons-fileupload-java Size: 372994 SHA256: 4cfb10390ec76bed64d4260923ed379fa24f743c1442dcb8b5a6b9969f7c6314 SHA1: 612d991b998a77caeadb634053493b309dd9beea MD5sum: 9ceee4eaa7dbb3a6f34650de53eb70ff Description: Javadoc API documentation for Commons FileUploads FileUpload parses HTTP requests which conform to RFC 1867, "Form-based File Upload in HTML". That is, if an HTTP request is submitted using the POST method, and with a content type of "multipart/form-data", then FileUpload can parse that request, and make the results available in a manner easily used by the caller. . This package contains Javadoc API documentation for Commons FileUpload. Homepage: http://commons.apache.org/fileupload/ Section: doc Priority: optional Filename: pool/main/libc/libcommons-fileupload-java/libcommons-fileupload-java-doc_1.2.2-1+deb7u3_all.deb Package: libcommons-httpclient-java Source: commons-httpclient Version: 3.1-10.2+deb7u2 Installed-Size: 376 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-logging-java, libcommons-codec-java Suggests: libcommons-httpclient-java-doc Size: 299864 SHA256: 1bfdec88c1546de8f80e83b326ae7f57398e7e49ec769c3ff32c16e654c3a5b2 SHA1: 1dde755d7920285593d38793a6cdee6fe9ee2ae1 MD5sum: fb11ef73f33e3e766f1f49248e5bdda7 Description: A Java(TM) library for creating HTTP clients The Jakarta Commons HTTPClient library provides an efficient, up-to-date, and feature-rich package implementing the client side of the most recent HTTP standards and recommendations. Homepage: http://hc.apache.org/httpclient-3.x Tag: devel::lang:java, devel::library, implemented-in::java, protocol::http, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/c/commons-httpclient/libcommons-httpclient-java_3.1-10.2+deb7u2_all.deb Package: libcommons-httpclient-java-doc Source: commons-httpclient Version: 3.1-10.2+deb7u2 Installed-Size: 14427 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcommons-httpclient-java Size: 1547594 SHA256: f7f3fe2b384037205fa0155217e7ddf2a40a1acbe5a382e6d8b19824d1235b5d SHA1: 0e2cbacb2ded498d3695780ff43d27a361ab9979 MD5sum: 3adcf98e9ccbf484cd66ab48b682fbc1 Description: Documentation for libcommons-httpclient-java The Jakarta Commons HTTPClient library provides an efficient, up-to-date, and feature-rich package implementing the client side of the most recent HTTP standards and recommendations. .. This package contains the documentation for the Jakarta Commons HTTPClient library. Homepage: http://hc.apache.org/httpclient-3.x Tag: devel::doc, made-of::html, protocol::http, role::documentation Section: doc Priority: optional Filename: pool/main/c/commons-httpclient/libcommons-httpclient-java-doc_3.1-10.2+deb7u2_all.deb Package: libcommons-io-java Source: commons-io Version: 1.4-4 Installed-Size: 167 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcommons-io-java-doc Size: 102500 SHA256: ab437e3702585e8bfbf3a68458d583889156768c5e856c644ad217aea9cda374 SHA1: db49b25ff17239661d70808dc8038d26895fb294 MD5sum: c1204dcd5f18757150327349d93655d3 Description: Common useful IO related classes Commons-IO contains utility classes, stream implementations, file filters and endian classes. Homepage: http://commons.apache.org/io/ Tag: devel::lang:java, role::shared-lib Section: java Priority: optional Filename: pool/main/c/commons-io/libcommons-io-java_1.4-4_all.deb Package: libcommons-io-java-doc Source: commons-io Version: 1.4-4 Installed-Size: 2370 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcommons-io-java Size: 186476 SHA256: 405a2fc339ce1137be70d78f2ea6e00f181d6bd59535abe42ac1e944553dee00 SHA1: e03dc439accd6dc458d4952a916a51e039037d6f MD5sum: 573067e5e695b44552ef471b90351d28 Description: Common useful IO related classes - documentation Commons-IO contains utility classes, stream implementations, file filters and endian classes. . This package provides the API documentation for the Commons-IO library. Homepage: http://commons.apache.org/io/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/commons-io/libcommons-io-java-doc_1.4-4_all.deb Package: libcommons-javaflow-java Source: commons-javaflow Version: 0.0~svn20060411-5 Installed-Size: 172 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java2-runtime-headless, libcommons-logging-java, libcommons-jci-java, libbcel-java Size: 88728 SHA256: ce43a899c621951096b00ae0fc243cc2b0509e34dcfccba537b964e468300643 SHA1: 189a4f46658f3efaabcc880de89f004f11d55491 MD5sum: b80647394318d170ae6844b05c8ed0fb Description: Java implementation of Continuations concept Commons Javaflow is a pure Java implementation of the Continuations concept. . For more information about Continuation, you can have a look on or . To use Javaflow in your program, Javaflow needs to enhance its Java byte code: - statically, using the Javaflow Ant task as a part of your build process - dynamically, at runtime, by using Javaflow's ContinuationClassLoader. Homepage: http://commons.apache.org/sandbox/javaflow/ Tag: devel::compiler, devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib, works-with::software:source Section: java Priority: optional Filename: pool/main/c/commons-javaflow/libcommons-javaflow-java_0.0~svn20060411-5_all.deb Package: libcommons-javaflow-java-doc Source: commons-javaflow Version: 0.0~svn20060411-5 Installed-Size: 2232 Maintainer: Debian Java Maintainers Architecture: all Recommends: libbcel-java-doc Suggests: libcommons-javaflow-java Size: 110792 SHA256: c9d173b9e8d33996b86d7fd5810a39b0bdbacdac44e8846d31ddf52a48183d36 SHA1: 9fb8db7e8a3e9194f8c8dc46ce3d1ca0e4468281 MD5sum: 720e5a3a88036eeac79455cd23da6960 Description: Java implementation of Continuations concept - documentation Commons Javaflow is a pure Java implementation of the Continuations concept. . For more information about Continuation, you can have a look on or . To use Javaflow in your program, Javaflow needs to enhance its Java byte code: - statically, using the Javaflow Ant task as a part of your build process - dynamically, at runtime, by using Javaflow's ContinuationClassLoader. . This package contains Javadoc API of Commons Javaflow. Homepage: http://commons.apache.org/sandbox/javaflow/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/commons-javaflow/libcommons-javaflow-java-doc_0.0~svn20060411-5_all.deb Package: libcommons-jci-eclipse-java Source: commons-jci Version: 1.0-5 Installed-Size: 92 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-jci-java (= 1.0-5), libecj-java Size: 20128 SHA256: aacfec4a854bae5dcf9611468a1208e3de9de1708708d6eeecc8e06c5d994068 SHA1: c61e396cc983e0842ebc706a358133233e629dcf MD5sum: 748ca3011852b6482d01d4ea6292d368 Description: common Java interface for various compilers - Eclipse JDT Commons JCI is a common Java compiler interface for various compilers. . It can be used to either compile Java (or any other language that can be compiled to Java classes like e.g. Groovy or Javascript) to Java. . It is integrated with a Java FAM (Filesystem Alteration Monitor) that can be used with JCI compiling/reloading classloader. . This package contains Eclipse JDT compiler module of Commons JCI. Homepage: http://commons.apache.org/jci/ Tag: devel::compiler, devel::library, implemented-in::java, role::devel-lib, role::shared-lib, works-with::software:source Section: java Priority: optional Filename: pool/main/c/commons-jci/libcommons-jci-eclipse-java_1.0-5_all.deb Package: libcommons-jci-groovy-java Source: commons-jci Version: 1.0-5 Installed-Size: 84 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-jci-java (= 1.0-5), groovy Size: 13920 SHA256: 818091e1798691ba913fe3b3b895fdc1dbfc1b2a2d362f6cb0be8d62a395d9ed SHA1: b558a777672d60c70b471f165b804c27d291bbd5 MD5sum: 1595bbbd0e49f047fcc1c778b1d6bc54 Description: common Java interface for various compilers - Groovy Commons JCI is a common Java compiler interface for various compilers. . It can be used to either compile Java (or any other language that can be compiled to Java classes like e.g. Groovy or Javascript) to Java. . It is integrated with a Java FAM (Filesystem Alteration Monitor) that can be used with JCI compiling/reloading classloader. . This package contains Groovy compiler module of Commons JCI. Homepage: http://commons.apache.org/jci/ Tag: devel::compiler, devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib, works-with::software:source Section: java Priority: optional Filename: pool/main/c/commons-jci/libcommons-jci-groovy-java_1.0-5_all.deb Package: libcommons-jci-janino-java Source: commons-jci Version: 1.0-5 Installed-Size: 88 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-jci-java (= 1.0-5), janino Size: 17646 SHA256: f949eb22e1c0efe069969be4b2c6b42c1621a05b02fc574d072cba5819719e19 SHA1: 3982ca640dd010c4e9e755d8c7d1e9c0582c5544 MD5sum: 20ea651f67ee472312c05452cf371298 Description: common Java interface for various compilers - Janino Commons JCI is a common Java compiler interface for various compilers. . It can be used to either compile Java (or any other language that can be compiled to Java classes like e.g. Groovy or Javascript) to Java. . It is integrated with a Java FAM (Filesystem Alteration Monitor) that can be used with JCI compiling/reloading classloader. . This package contains Janino compiler module of Commons JCI. Homepage: http://commons.apache.org/jci/ Tag: devel::compiler, devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib, works-with::software:source Section: java Priority: optional Filename: pool/main/c/commons-jci/libcommons-jci-janino-java_1.0-5_all.deb Package: libcommons-jci-java Source: commons-jci Version: 1.0-5 Installed-Size: 168 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-io-java, libcommons-lang-java, libcommons-logging-java, libasm3-java Size: 43758 SHA256: d8b5937445e1aaf717de5b8b314cb7a5039d3f4a7dd1bf7cb1b148213892a38a SHA1: 6c88e288bb2a2685c810af2da10f82a129d4f2a2 MD5sum: 9766328e1e251d3514d89244f70e2f54 Description: common Java interface for various compilers - Core and FAM Commons JCI is a common Java compiler interface for various compilers. . It can be used to either compile Java (or any other language that can be compiled to Java classes like e.g. Groovy or Javascript) to Java. . It is integrated with a Java FAM (Filesystem Alteration Monitor) that can be used with JCI compiling/reloading classloader. . This package contains FAM and Core module of Commons JCI. Homepage: http://commons.apache.org/jci/ Tag: devel::compiler, devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib, scope::utility, works-with::software:source Section: java Priority: optional Filename: pool/main/c/commons-jci/libcommons-jci-java_1.0-5_all.deb Package: libcommons-jci-java-doc Source: commons-jci Version: 1.0-5 Installed-Size: 1380 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc Suggests: libcommons-jci-java Size: 72128 SHA256: ef76cc892aa7d2608f1cb5265fd9c28474c43eee371cda07e65ebf178d4a0f7c SHA1: 9b1ca7a425821dafefd0a5273606ed32ffc6e554 MD5sum: b74f0e8d3756b391c7beb1094da197e1 Description: common Java interface for various compilers - documentation Commons JCI is a common Java compiler interface for various compilers. . It can be used to either compile Java (or any other language that can be compiled to Java classes like e.g. Groovy or Javascript) to Java. . It is integrated with a Java FAM (Filesystem Alteration Monitor) that can be used with JCI compiling/reloading classloader. . This package contains Javadoc API of Commons JCI. Homepage: http://commons.apache.org/jci/ Tag: devel::doc, devel::examples, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/commons-jci/libcommons-jci-java-doc_1.0-5_all.deb Package: libcommons-jci-rhino-java Source: commons-jci Version: 1.0-5 Installed-Size: 88 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-jci-java (= 1.0-5), librhino-java Size: 16648 SHA256: a0a0e352d42e5e4aad4c56f6ef3e5eeddba4a9681dc0ee4cfe623f269c026db4 SHA1: 651e5f7bd42ffb93511cebf1596c1b5a18fcb444 MD5sum: cdf03e46bdbf4f5c35a25f3ed018b3e2 Description: common Java interface for various compilers - Javascript Commons JCI is a common Java compiler interface for various compilers. . It can be used to either compile Java (or any other language that can be compiled to Java classes like e.g. Groovy or Javascript) to Java. . It is integrated with a Java FAM (Filesystem Alteration Monitor) that can be used with JCI compiling/reloading classloader. . This package contains Rhino compiler (Javascript) module of Commons JCI. Homepage: http://commons.apache.org/jci/ Tag: devel::compiler, devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib, works-with::software:source Section: java Priority: optional Filename: pool/main/c/commons-jci/libcommons-jci-rhino-java_1.0-5_all.deb Package: libcommons-jexl-java Version: 1.1-3 Installed-Size: 186 Maintainer: Debian Java Maintainers Architecture: all Size: 122612 SHA256: 85db5fe95a0940ae0950f329e80d8830c8b627bfa2a0b9b0eaa5a4d4d88fbed4 SHA1: cde8fe506e49b799a4ed9a0eba3eeee26f32dee6 MD5sum: 6e49a966da7e35ac211b1bed36c8eda9 Description: expression language engine This library is an expression language engine for easy embedding in applications and frameworks. It implements an extented version of the Expression Language of the JSTL (Java Standard Tag Library, java server related technology). Homepage: http://commons.apache.org/jexl Tag: devel::lang:java, devel::library, implemented-in::java Section: java Priority: optional Filename: pool/main/libc/libcommons-jexl-java/libcommons-jexl-java_1.1-3_all.deb Package: libcommons-jxpath-java Version: 1.3-5 Installed-Size: 360 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcommons-jxpath-java-doc Size: 270166 SHA256: c29e04e7a75d95112f6b3bfaa8e4c326f68ec2e6a914f2b57a90c35db06e9ee3 SHA1: 20d8126fe08dc2fc4ddd19318d2bb1d9b57363cc MD5sum: 75cb9350e48cc11b8e3af9bd1ff599af Description: manipulate javabean using XPath syntax This library provides the java developer the ability to manipulate javabeans with the XPath syntax. It also supports java collections, objects with dynamic properties (like Map, ServletContext, etc) and mechanism for adding support for additional models. . One of the most important feature of the component is the unification of reference syntax between DOM and java. Homepage: http://commons.apache.org/jxpath/ Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib Section: java Priority: optional Filename: pool/main/libc/libcommons-jxpath-java/libcommons-jxpath-java_1.3-5_all.deb Package: libcommons-jxpath-java-doc Source: libcommons-jxpath-java Version: 1.3-5 Installed-Size: 5804 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcommons-jxpath-java Size: 389880 SHA256: 9caaa83d137a8c08f62f7d2e34a998a94cab4da53757b5f882459bd0a444a690 SHA1: 96a89521e498cd53be0316c4ee6723a07274bfef MD5sum: a27eaff971791f2485f337650b0edd20 Description: Javadoc API for libcommons-jxpath-java The javadoc API for libcommons-jxpath-java which is a library to manipulate javabeans with the XPath syntax. Homepage: http://commons.apache.org/jxpath/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcommons-jxpath-java/libcommons-jxpath-java-doc_1.3-5_all.deb Package: libcommons-lang-java Version: 2.6-3 Installed-Size: 349 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-parent-java (>= 12) Suggests: libcommons-lang-java-doc Size: 270166 SHA256: 65d1de37669b6a91b88a8d8afc1b2b1d483efb5bef5c97851bd0564499a55b30 SHA1: 6d41b87cd3a6285c2a4afc257e5648f9fa22d210 MD5sum: 851daf7a5e7a0c200753f906d6f2d3c3 Description: Commons Lang - an extension of the java.lang package The Lang Component contains a set of Java classes that provide helper methods for standard Java classes, especially those found in the java.lang package in the Sun JDK. The following classes are included: . * StringUtils - Helper for java.lang.String. * CharSetUtils - Methods for dealing with CharSets, which are sets of characters such as [a-z] and [abcdez]. * RandomStringUtils - Helper for creating randomised Strings. * NumberUtils - Helper for java.lang.Number and its subclasses. * NumberRange - A range of numbers with an upper and lower bound. * ObjectUtils - Helper for java.lang.Object. * SerializationUtils - Helper for serializing Objects. * SystemUtils - Utility class defining the Java system properties. * NestedException package - A sub-package for the creation of nested exceptions. * Enum package - A sub-package for the creation of enumerated types. * Builder package - A sub-package for the creation of equals, hashCode, compareTo and toString methods. Homepage: http://commons.apache.org/lang/ Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib Section: java Priority: optional Filename: pool/main/libc/libcommons-lang-java/libcommons-lang-java_2.6-3_all.deb Package: libcommons-lang-java-doc Source: libcommons-lang-java Version: 2.6-3 Installed-Size: 7540 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcommons-lang-java, default-jdk-doc Size: 1265460 SHA256: e8f9a198bb624e493797f473f4a8e025bee15df414e11ddedd092c25431620aa SHA1: c9d0d2bc286dc78cc11955f1b75b9e3a1802dd38 MD5sum: 22eb4920b6429520c0de11683423e6ca Description: Documentation for Commons Lang - an extension of the java.lang package The Lang Component contains a set of Java classes that provide helper methods for standard Java classes, especially those found in the java.lang package in the Sun JDK. The following classes are included: . * StringUtils - Helper for java.lang.String. * CharSetUtils - Methods for dealing with CharSets, which are sets of characters such as [a-z] and [abcdez]. * RandomStringUtils - Helper for creating randomised Strings. * NumberUtils - Helper for java.lang.Number and its subclasses. * NumberRange - A range of numbers with an upper and lower bound. * ObjectUtils - Helper for java.lang.Object. * SerializationUtils - Helper for serializing Objects. * SystemUtils - Utility class defining the Java system properties. * NestedException package - A sub-package for the creation of nested exceptions. * Enum package - A sub-package for the creation of enumerated types. * Builder package - A sub-package for the creation of equals, hashCode, compareTo and toString methods. . This package contains the API documentation of libcommons-lang-java Homepage: http://commons.apache.org/lang/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcommons-lang-java/libcommons-lang-java-doc_2.6-3_all.deb Package: libcommons-lang3-java Version: 3.1-1 Installed-Size: 386 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcommons-lang3-java-doc Size: 293888 SHA256: 15621fc8a42ed16ca6db525139d6a174ae7d1d3956af5a021cebd5b744f00b01 SHA1: 9dc258584809d37954f7ce5f98de3b110ed6f88a MD5sum: c46fa5bf8ba0bfbdad99efb4470b5931 Description: Extension of the java.lang package (for Java 5+) The Commons Lang components contains a set of Java classes that provide helper methods for standard Java classes, especially those found in the java.lang package in the JDK 5+. . Commons Lang 3.x use a different package (org.apache.commons.lang3) than the previous versions (org.apache.commons.lang), allowing it to be used at the same time as an earlier version. . Commons Lang 3.x is only compatible with JDK 1.5+ Homepage: http://commons.apache.org/lang/ Section: java Priority: optional Filename: pool/main/libc/libcommons-lang3-java/libcommons-lang3-java_3.1-1_all.deb Package: libcommons-lang3-java-doc Source: libcommons-lang3-java Version: 3.1-1 Installed-Size: 7162 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: libcommons-lang3-java Size: 1306126 SHA256: aa78783ee06f103567fca2c8f5cca8c5df98973c1c4385645cb8e1e822839de3 SHA1: 6f6daa009811de005608b7568e02dc2576359244 MD5sum: 0e67afd3a664b80639196a261e079f87 Description: Documentation for extension of the java.lang package (for Java 5+) The Commons Lang components contains a set of Java classes that provide helper methods for standard Java classes, especially those found in the java.lang package in the JDK 5+. . Commons Lang 3.x use a different package (org.apache.commons.lang3) than the previous versions (org.apache.commons.lang), allowing it to be used at the same time as an earlier version. . Commons Lang 3.x is only compatible with JDK 1.5+ . This package contains the API documentation of libcommons-lang3-java. Homepage: http://commons.apache.org/lang/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcommons-lang3-java/libcommons-lang3-java-doc_3.1-1_all.deb Package: libcommons-launcher-java Version: 1.1-6 Installed-Size: 828 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-collections-java, libcommons-logging-java Size: 133102 SHA256: 7a5987d0dc27f6fdcfe9a47e1be833e649eacc08beb0a7625544025613ce5c78 SHA1: b731f9286391c7c0ddbdc15bb0c3e66f4e3cbad5 MD5sum: 8d40c1c2dd9565a64f32a8e3edd6e498 Description: cross platform java application launcher Commons-launcher eliminates the need for a batch or shell script to launch a Java class. Some situations where elimination of a batch or shell script may be desirable are: . * You want to avoid having to determining where certain application paths are e.g. your application's home directory, etc. Determining this dynamically in a Windows batch scripts is very tricky on some versions of Windows or when softlinks are used on Unix platforms. . * You want to avoid having to handle native file and path separators or native path quoting issues. . * You need to enforce certain system properties e.g. java.endorsed.dirs when running with JDK 1.4. . * You want to allow users to pass in custom JVM arguments or system properties without having to parse and reorder arguments in your script. This can be tricky and/or messy in batch and shell scripts. . * You want to bootstrap system properties from a configuration file instead hard-coding them in your batch and shell scripts. . * You want to provide localized error messages which is very tricky to do in batch and shell scripts. . This is a part of the Apache Commons Project. Homepage: http://commons.apache.org/launcher/ Tag: devel::lang:java Section: java Priority: optional Filename: pool/main/libc/libcommons-launcher-java/libcommons-launcher-java_1.1-6_all.deb Package: libcommons-logging-java Version: 1.1.1-9 Installed-Size: 207 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-parent-java Suggests: libavalon-framework-java, libcommons-logging-java-doc, libexcalibur-logkit-java, liblog4j1.2-java, libservlet2.5-java Size: 112984 SHA256: 6a680796f1ecf60397d6136a5e2d831a7bb3f3002a725faec64c275df352436f SHA1: cae6908673d5f9396d004ac982dc347f70cd26ad MD5sum: 6400502613f90aaeec6a3beae1f2eaf2 Description: commmon wrapper interface for several logging APIs Provides a simple, component oriented interface together with wrappers for several existing java logging systems. The user can choose at runtime which system he want to use for logging. Homepage: http://commons.apache.org/logging Tag: admin::logging, devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/libc/libcommons-logging-java/libcommons-logging-java_1.1.1-9_all.deb Package: libcommons-logging-java-doc Source: libcommons-logging-java Version: 1.1.1-9 Installed-Size: 936 Maintainer: Debian Java Maintainers Architecture: all Size: 100590 SHA256: d714077400da988d85ffbd0e66cfd110c073edffa6e8cdb6bbd37d76817c2b0d SHA1: 0c547d392289dbea2e09390b8a7cbd52a545e858 MD5sum: 59d4d17ddfc15b5b755c9d530cd36a05 Description: commmon wrapper interface for several logging APIs (documentation) Provides a simple, component oriented interface together with wrappers for several existing java logging systems. The user can choose at runtime which system he want to use for logging. . This package contains the documentation. Homepage: http://commons.apache.org/logging Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcommons-logging-java/libcommons-logging-java-doc_1.1.1-9_all.deb Package: libcommons-math-java Source: commons-math Version: 2.2-2 Installed-Size: 1043 Maintainer: Debian Java Maintainers Architecture: all Size: 895722 SHA256: fc393849fbfd22824e51eab75c7f67a22454ae467cc3ea2693646d55ef74612b SHA1: 89a780ba4084a343e89474680a6fce152b904947 MD5sum: 3456388136a860468ec951b8a05c531f Description: Java lightweight mathematics and statistics components Commons Math is a Java library of lightweight, self-contained mathematics and statistics components. . Commons Math is made up of a small set of math/stat utilities addressing programming problems like the ones in the list below: - Computing means, variances and other summary statistics for a list of numbers - Fitting a line to a set of data points using linear regression - Finding a smooth curve that passes through a collection of points (interpolation) - Fitting a parametric model to a set of measurements using least-squares methods - Solving equations involving real-valued functions (i.e. root-finding) - Solving systems of linear equations - Solving Ordinary Differential Equations - Minimizing multi-dimensional functions - Generating random numbers with more restrictions (e.g distribution, range) than what is possible using the JDK - Generating random samples and/or datasets that are "like" the data in an input file - Performing statistical significance tests - Miscellaneous mathematical functions such as factorials, binomial coefficients and "special functions" (e.g. gamma, beta functions) . This is a part of the Apache Commons Project. Homepage: http://commons.apache.org/math/ Tag: devel::lang:java, devel::library, field::mathematics, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/c/commons-math/libcommons-math-java_2.2-2_all.deb Package: libcommons-math-java-doc Source: commons-math Version: 2.2-2 Installed-Size: 22019 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcommons-math-java Size: 1766810 SHA256: 2b070637682eea681bb880a1d015d1f715d62c32ee67057d22f418fad2180c26 SHA1: 6fc891b544df392e263a6cb59cfe069c60f75a3d MD5sum: 6c28e123a5969ac14cbde205e206dbba Description: Java lightweight mathematics and statistics components - documentation Commons Math is a Java library of lightweight, self-contained mathematics and statistics components. . Commons Math is made up of a small set of math/stat utilities addressing programming problems like the ones in the list below: - Computing means, variances and other summary statistics for a list of numbers - Fitting a line to a set of data points using linear regression - Finding a smooth curve that passes through a collection of points (interpolation) - Fitting a parametric model to a set of measurements using least-squares methods - Solving equations involving real-valued functions (i.e. root-finding) - Solving systems of linear equations - Solving Ordinary Differential Equations - Minimizing multi-dimensional functions - Generating random numbers with more restrictions (e.g distribution, range) than what is possible using the JDK - Generating random samples and/or datasets that are "like" the data in an input file - Performing statistical significance tests - Miscellaneous mathematical functions such as factorials, binomial coefficients and "special functions" (e.g. gamma, beta functions) . This is a part of the Apache Commons Project. . This package provides the API documentation for Commons Math. Homepage: http://commons.apache.org/math/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/commons-math/libcommons-math-java-doc_2.2-2_all.deb Package: libcommons-modeler-java Version: 2.0.1-6 Installed-Size: 200 Maintainer: Debian Java Maintainers Architecture: all Depends: libmx4j-java, libcommons-logging-java, libcommons-digester-java Size: 118768 SHA256: 2e9a002e1279d6b93f46f603f06c0b4100442adc802f581f24bf8b23620625b0 SHA1: 7f8b1eddb286e1fcd8e5314583811ef5811214f0 MD5sum: 6e9809fcdac7c4d3f5653844f5a69873 Description: convenience library to use Java Management Extensions (JMX) Commons Modeler from the Apache Commons project simplifies using the Java Management Extensions (JMX). It provides the following services to application developers who wish to make their applications manageable via JMX: * Reads an XML-based description of the Model MBeans to be provided for a set of managed resources. * Provides a registry of these descriptions. * Supplies a base Model MBean implementation class that can be used for all management activities where the attribute accesses or operation calls are simply reflected on to the managed object. Homepage: http://commons.apache.org/modeler/ Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/libc/libcommons-modeler-java/libcommons-modeler-java_2.0.1-6_all.deb Package: libcommons-modeler-java-doc Source: libcommons-modeler-java Version: 2.0.1-6 Installed-Size: 1628 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcommons-modeler-java Size: 118620 SHA256: b8053c8a456482429f04cd318067e6af5c8f2d2308111bc7d6e78ebe42b1a15b SHA1: e2ca9f75ded33642171b18688fa910f866eb4c50 MD5sum: 815477cb0fb0455468635511a4f909a8 Description: documentation and examples for Commons Modeler Commons Modeler from the Apache Commons project simplifies using the Java Management Extensions (JMX). . This package contains the API Javadoc and examples. Homepage: http://commons.apache.org/modeler/ Tag: devel::doc, devel::lang:java, devel::library, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcommons-modeler-java/libcommons-modeler-java-doc_2.0.1-6_all.deb Package: libcommons-net-java Source: libcommons-net1-java Version: 1.4.1-5 Installed-Size: 32 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-net1-java (= 1.4.1-5) Size: 3758 SHA256: 548daf8e1d7117df103c25a4f431b0ed1d7368f00183925c17d8a3334bbd044a SHA1: 4a2f6c90eaaaa18fbd6e8c17beff126b138efe3d MD5sum: 9813bd5390588583786f6d091ac9d47c Description: dummy package for upgrading to libcommons-net-java This package can be safely removed after ugrading to libcommons-net1-java. Homepage: http://commons.apache.org/net/ Tag: devel::lang:java, devel::library, implemented-in::java, network::client, network::service, protocol::finger, protocol::ftp, protocol::nntp, protocol::pop3, protocol::smtp, protocol::telnet, protocol::tftp, role::shared-lib Section: java Priority: optional Filename: pool/main/libc/libcommons-net1-java/libcommons-net-java_1.4.1-5_all.deb Package: libcommons-net1-java Version: 1.4.1-5 Installed-Size: 6284 Maintainer: Debian Java Maintainers Architecture: all Replaces: libcommons-net-java (<< 1.4.1-4~) Depends: liboro-java (>= 2.0.8) Conflicts: libcommons-net-java (<< 1.4.1-4~) Size: 565732 SHA256: c5c3401ee405ba3ba67b3a76700fb985806400fa4368477f3bf765062be8ba4b SHA1: b3ac5c21f231347ec1264cb221731b053a396a9b MD5sum: 1c760802eec4894e7f2c6d79516600ec Description: internet protocol suite Java library This is an Internet protocol suite Java library originally developed by ORO, Inc. This version supports Finger, Whois, TFTP, Telnet, POP3, FTP, NNTP, SMTP, and some miscellaneous protocols like Time and Echo as well as BSD R command support. The purpose of the library is to provide fundamental protocol access, not higher-level abstractions. Therefore, some of the design violates object-oriented design principles. Our philosophy is to make the global functionality of a protocal accesible (e.g., TFTP send file and receive file) when possible, but also provide access to the fundamental protocols where applicable so that the programmer may construct his own custom implementations (e.g, the TFTP packet classes and the TFTP packet send and receive methods are exposed). NetComponents was originally a commercial product, but after ORO dissolved, it was continued to be made available for those who found it useful. However, no updates have been made since version 1.3.8, released in 1998. Now that certain contract obligations have expired, it is possible to make the source code freely available under the Apache Software License. . This is a part of the Apache Commons Project. Homepage: http://commons.apache.org/net/ Tag: role::shared-lib Section: java Priority: optional Filename: pool/main/libc/libcommons-net1-java/libcommons-net1-java_1.4.1-5_all.deb Package: libcommons-net2-java Version: 2.2-2 Installed-Size: 262 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcommons-net2-java-doc Size: 192770 SHA256: def8740fec0171a8f0932777e29f5d65bd3c8b8aa390342a829a8175e44af13d SHA1: 1b150a33a83623ff1f038a0f8c288be7e959a177 MD5sum: a96598d88ba867f3d3a29b15fe21c05a Description: internet protocol suite Java library This is an Internet protocol suite Java library originally developed by ORO, Inc. This version supports Finger, Whois, TFTP, Telnet, POP3, FTP, NNTP, SMTP, and some miscellaneous protocols like Time and Echo as well as BSD R command support. The purpose of the library is to provide fundamental protocol access, not higher-level abstractions. Therefore, some of the design violates object-oriented design principles. Our philosophy is to make the global functionality of a protocal accesible (e.g., TFTP send file and receive file) when possible, but also provide access to the fundamental protocols where applicable so that the programmer may construct his own custom implementations (e.g, the TFTP packet classes and the TFTP packet send and receive methods are exposed). NetComponents was originally a commercial product, but after ORO dissolved, it was continued to be made available for those who found it useful. However, no updates have been made since version 1.3.8, released in 1998. Now that certain contract obligations have expired, it is possible to make the source code freely available under the Apache Software License. . This is a part of the Apache Jakarta Project. Homepage: http://commons.apache.org/net/ Section: java Priority: optional Filename: pool/main/libc/libcommons-net2-java/libcommons-net2-java_2.2-2_all.deb Package: libcommons-net2-java-doc Source: libcommons-net2-java Version: 2.2-2 Installed-Size: 5831 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc Suggests: libcommons-net2-java Size: 448704 SHA256: 6dcd89f9750cb87dcfeab6b4851314df7e2cbc89a406aeda739472e3ef520ebc SHA1: ae9e710d7a3ebf763ce48cc8fd125c737afbb3ee MD5sum: 6ca538eb05bf7438c95bcebd0684c8d4 Description: internet protocol suite Java library - API docs This is an Internet protocol suite Java library originally developed by ORO, Inc. This version supports Finger, Whois, TFTP, Telnet, POP3, FTP, NNTP, SMTP, and some miscellaneous protocols like Time and Echo as well as BSD R command support. The purpose of the library is to provide fundamental protocol access, not higher-level abstractions. Therefore, some of the design violates object-oriented design principles. Our philosophy is to make the global functionality of a protocal accesible (e.g., TFTP send file and receive file) when possible, but also provide access to the fundamental protocols where applicable so that the programmer may construct his own custom implementations (e.g, the TFTP packet classes and the TFTP packet send and receive methods are exposed). NetComponents was originally a commercial product, but after ORO dissolved, it was continued to be made available for those who found it useful. However, no updates have been made since version 1.3.8, released in 1998. Now that certain contract obligations have expired, it is possible to make the source code freely available under the Apache Software License. . This is a part of the Apache Jakarta Project. . This package contains the API documentation for libcommons-net-java. Homepage: http://commons.apache.org/net/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcommons-net2-java/libcommons-net2-java-doc_2.2-2_all.deb Package: libcommons-openpgp-java Version: 0+svn533492-3 Installed-Size: 56 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcommons-openpgp-java-doc Size: 16758 SHA256: 6576fe6d1ffe6fd6261f19f1da434d4d0cd97fbefa75426169eba548f32ffc38 SHA1: f2a06eacb357fd9d500b766e4b4d41d96189fe90 MD5sum: c41d6f137f90ad87f6d04e1651e8b288 Description: a common and simple interface for generating and verifying OpenPGP signatures Currently implemented using BouncyCastle, it is intended to allow pluggable providers so that alternate open source and commercial providers can be used. . The library was started by Maven and Ant committers to enable the use of OpenPGP from these tools. Currently, Maven uses it in its development version to sign libraries released to the repository. Homepage: http://jakarta.apache.org/commons/sandbox/openpgp/ Section: java Priority: optional Filename: pool/main/libc/libcommons-openpgp-java/libcommons-openpgp-java_0+svn533492-3_all.deb Package: libcommons-openpgp-java-doc Source: libcommons-openpgp-java Version: 0+svn533492-3 Installed-Size: 476 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: libcommons-openpgp-java Size: 24916 SHA256: b7c5dffc145df4db9e3dafd70ef89554a8099460a07260c86e0cddda87fd1b7a SHA1: 4edd3e391056979076b755671e42c8878b43e2b4 MD5sum: e4cc485837073253abc08f49355a9a4e Description: a common and simple interface for generating and verifying OpenPGP signatures Currently implemented using BouncyCastle, it is intended to allow pluggable providers so that alternate open source and commercial providers can be used. . The library was started by Maven and Ant committers to enable the use of OpenPGP from these tools. Currently, Maven uses it in its development version to sign libraries released to the repository. . This package contains the API documentation for libcommons-openpgp-java Homepage: http://jakarta.apache.org/commons/sandbox/openpgp/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation, security::cryptography Section: doc Priority: optional Filename: pool/main/libc/libcommons-openpgp-java/libcommons-openpgp-java-doc_0+svn533492-3_all.deb Package: libcommons-parent-java Source: commons-parent Version: 22-2 Installed-Size: 86 Maintainer: Debian Java Maintainers Architecture: all Depends: libapache-pom-java (>= 9) Size: 6786 SHA256: 610943527d3e7859aa337bd079180ccc6a1e1400334c5353859aba3a936eaf28 SHA1: 6092d69f67ef32a680c0820f2544bc73280425d4 MD5sum: de9be2d613ce96ffd98ce7107bc7611a Description: Maven metadata for Apache Commons project This package contains the Maven metadata shared between all components of the Apache Commons project. Homepage: http://commons.apache.org/ Section: java Priority: optional Filename: pool/main/c/commons-parent/libcommons-parent-java_22-2_all.deb Package: libcommons-pool-java Source: commons-pool Version: 1.5.6-1 Installed-Size: 168 Maintainer: Debian Java Maintainers Architecture: all Size: 94868 SHA256: 19014b018aeb6b1b5185d580f5c3487513a6c8e39a3f952bb3aa63f6e6916de6 SHA1: fc9a51598c6b12371eb0aa54adc9f12b5d882921 MD5sum: a1bea70391af09e8e2c2eb30fba54c78 Description: pooling implementation for Java objects Pool provides an Object-pooling API, with three major aspects: 1. A generic object pool interface that clients and implementors can use to provide easily interchangeable pooling implementations. 2. A toolkit for creating modular object pools. 3. Several general purpose pool implementations. Homepage: http://commons.apache.org/pool/ Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/c/commons-pool/libcommons-pool-java_1.5.6-1_all.deb Package: libcommons-pool-java-doc Source: commons-pool Version: 1.5.6-1 Installed-Size: 1300 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc Suggests: libcommons-pool-java Size: 100048 SHA256: c4fc3c3fd21b1a688987bd824b6b47dd1d8a0bc9806288ca8a7557bf5f482fbd SHA1: 04dd5b2cf0f9885a018a0761c8f7b170e39d71cc MD5sum: 52bd8fd2de3bc9c37fca45efbe1fe90a Description: pooling implementation for Java objects - documentation Pool provides an Object-pooling API, with three major aspects: 1. A generic object pool interface that clients and implementors can use to provide easily interchangeable pooling implementations. 2. A toolkit for creating modular object pools. 3. Several general purpose pool implementations. . This package contains Javadoc API Documentation of Commons Pool. Homepage: http://commons.apache.org/pool/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/commons-pool/libcommons-pool-java-doc_1.5.6-1_all.deb Package: libcommons-validator-java Version: 1:1.3.1-9 Installed-Size: 290 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-beanutils-java (>= 1.7), libcommons-collections-java (>= 2.1), libcommons-digester-java (>= 1.6), libcommons-logging-java (>= 1.0.4), sgml-base (>= 1.26+nmu2) Recommends: liboro-java (>= 2.0.8) Size: 145206 SHA256: 04c58fb743f76b596a112df6cd6cb3fd8bcd280c1d139e0ffc916e02714a7fff SHA1: bdd8f8f1464caf67b1f9fdb33b69928c5e814d6e MD5sum: 7a310888ac628d1485c1d92327d22940 Description: ease and speed development and maintenance of validation rules The Commons Validator is a basic validation framework that lets you define validation rules for a JavaBean in an xml file. . Validation rules can be defined in an xml file which keeps them abstracted from JavaBean you are validating. Homepage: http://commons.apache.org/validator/ Tag: devel::lang:java, devel::library, implemented-in::java, use::checking, works-with-format::xml Section: java Priority: optional Filename: pool/main/libc/libcommons-validator-java/libcommons-validator-java_1.3.1-9_all.deb Package: libcommons-validator-java-doc Source: libcommons-validator-java Version: 1:1.3.1-9 Installed-Size: 1815 Maintainer: Debian Java Maintainers Architecture: all Size: 172510 SHA256: c8d569ee87467b9431a139ac68e37bd3b6f38eb258379da96b08e7b9813a5d34 SHA1: 3f0054ab6ee30a770c55fcc678e6bad7b0550b1a MD5sum: a7c934546bcdf50827334e26d7a0b517 Description: API documentation for Commons Validator The Commons Validator is a basic validation framework that lets you define validation rules for a JavaBean in an xml file. . Validation rules can be defined in an xml file which keeps them abstracted from JavaBean you are validating. . This package contains the API documentation for libcommons-validator-java. Homepage: http://commons.apache.org/validator/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcommons-validator-java/libcommons-validator-java-doc_1.3.1-9_all.deb Package: libcommons-vfs-java Source: commons-vfs Version: 2.0-3 Installed-Size: 415 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-logging-java, libcommons-parent-java (>= 22), libmaven-scm-java Recommends: ant, libcommons-collections3-java, libcommons-httpclient-java, libcommons-net2-java, libjdom1-java (>= 1.1.2), libjsch-java Suggests: libcommons-vfs-java-doc Size: 364060 SHA256: f1361efee344a32b2464b90556b2bac63c682d7995f5b79129020431267f31e7 SHA1: 116ce78a2eb0e32437452b8046b99117b3a2392c MD5sum: e5cee04d955888034460f112d1dca334 Description: Java API for accessing various filesystems Commons VFS provides a single API for accessing various different file systems. It presents a uniform view of the files from various different sources, such as the files on local disk, on an HTTP server, or inside a Zip archive. . This is a part of the Apache Commons Project. Homepage: http://commons.apache.org/vfs/ Tag: devel::lang:java, devel::library, implemented-in::java, protocol::ftp, protocol::http, protocol::sftp, protocol::webdav, role::devel-lib, role::shared-lib, works-with::archive, works-with::file Section: java Priority: optional Filename: pool/main/c/commons-vfs/libcommons-vfs-java_2.0-3_all.deb Package: libcommons-vfs-java-doc Source: commons-vfs Version: 2.0-3 Installed-Size: 8888 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc Suggests: libcommons-vfs-java Size: 600326 SHA256: 4bf4193de54ed96db557c0580b42d01946d49a5d9cd6ba53c2521871b767ee7f SHA1: b8d1c8c4b13557e93ace2d5d1fed9b72e2354ea0 MD5sum: ecdb425492da4c0f07a148b36a1e1069 Description: Java API for accessing various filesystems - documentation Commons VFS provides a single API for accessing various different file systems. It presents a uniform view of the files from various different sources, such as the files on local disk, on an HTTP server, or inside a Zip archive. . This is a part of the Apache Commons Project. . This package provides the API documentation for Commons VFS. Homepage: http://commons.apache.org/vfs/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/commons-vfs/libcommons-vfs-java-doc_2.0-3_all.deb Package: libcompfaceg1 Source: libcompface Version: 1:1.5.2-5 Architecture: armhf Maintainer: Hakan Ardo Installed-Size: 57 Depends: libc6 (>= 2.7) Conflicts: compface (<= 89.11.11-10) Priority: optional Section: libs Filename: pool/main/libc/libcompface/libcompfaceg1_1.5.2-5_armhf.deb Size: 14060 SHA256: 7cef27d8c3a2bd0ca392428efb5ea4b8ff2faf25572ecf1f7d0aa39a11144ad0 SHA1: 4d49994afc9484d05ceb76cfee09dc37485832b6 MD5sum: 2091e386d21ce70858b92801034d7226 Description: Compress/decompress images for mailheaders, libc6 runtime Converts 48x48 .xbm format (X bitmap) files to a compressed format that can be placed in your X-Face: mail header. Some mailreaders, like exmh will then display this image when the user is reading your mail. Package: libcompfaceg1-dev Source: libcompface Version: 1:1.5.2-5 Architecture: armhf Maintainer: Hakan Ardo Installed-Size: 75 Depends: libcompfaceg1, libc6-dev Conflicts: compface (<= 89.11.11-10) Priority: optional Section: devel Filename: pool/main/libc/libcompface/libcompfaceg1-dev_1.5.2-5_armhf.deb Size: 16494 SHA256: 8db279c31d30d1bb47f44917c367d17ecd30dd78153482c2add4be1c27c5529d SHA1: 47d19af31fc9ab222334c8436d63d277b3ebee2f MD5sum: 5d06dddaaeca639ffe1a1228e486fa17 Description: Compress/decompress images for mailheaders, libc6 devel Converts 48x48 .xbm format (X bitmap) files to a compressed format that can be placed in your X-Face: mail header. Some mailreaders, like exmh will then display this image when the user is reading your mail. Package: libcompizconfig-dev Source: libcompizconfig Version: 0.8.4-2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 203 Depends: libcompizconfig0 (= 0.8.4-2) Priority: extra Section: libdevel Filename: pool/main/libc/libcompizconfig/libcompizconfig-dev_0.8.4-2_armhf.deb Size: 51748 SHA256: 7815895c46f00c20f65c52b10f7f4b8f8b07339298ad1433eba78b8d2d0d47c4 SHA1: 5d5631ecd50a455d6b23d177c3c02124fd9985d2 MD5sum: b2d3018aa13d139fbed428f3ac5cebbe Description: Configuration settings library for compiz-fusion - development files Compiz Fusion is the result of the re-unification of the Beryl-project and the community around the Compiz Window Manager. It seeks to provide an easy and fun-to-use windowing environment, allowing use of the graphics hardware to provide impressive effects, amazing speed and unrivalled usefulness . This package provides the development files for the compiz-fusion configuration system. Package: libcompizconfig0 Source: libcompizconfig Version: 0.8.4-2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 155 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1), libx11-6, libxml2 (>= 2.7.4), compiz-core, compiz-core-abiversion-20090619 Priority: extra Section: libs Filename: pool/main/libc/libcompizconfig/libcompizconfig0_0.8.4-2_armhf.deb Size: 44660 SHA256: f94b2732cb3823a90bdbd4027ea436c6dbe875ac0cfebd0d0aae42b34538fe6c SHA1: 48f898b2302780c4b3370a0023becdd01480f000 MD5sum: 3cd0570749824633c5e8eb56708ce72f Description: Configuration settings library for compiz-fusion Compiz Fusion is the result of the re-unification of the Beryl-project and the community around the Compiz Window Manager. It seeks to provide an easy and fun-to-use windowing environment, allowing use of the graphics hardware to provide impressive effects, amazing speed and unrivalled usefulness . This package provides the libraries and runtime files for the compiz-fusion configuration system. Package: libcomplearn-dev Source: libcomplearn Version: 1.1.7-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 546 Depends: libcomplearn1 (= 1.1.7-2), libcomplearn1-headers (= 1.1.7-2), libgsl0-dev, pkg-config, libxml2-dev, libglib2.0-dev Homepage: http://complearn.org/ Priority: optional Section: libdevel Filename: pool/main/libc/libcomplearn/libcomplearn-dev_1.1.7-2_armhf.deb Size: 383734 SHA256: 6461c5c5c9a7f73b75cf113a03c9ebb99fe1d0ed0fb2c053b444568e8b44206f SHA1: 98b010979b97afbc34efbbfcaea3924a1cab40bd MD5sum: 3eb4f5fc06d86d237d6e4878289464a9 Description: machine-learning through data compression development files complearn is a library for parameter-free universal learning. Using this library, developers can access a wealth of powerful and general techniques in artificial intelligence and pattern recognition including fields such as genomics, language evolution, music recognition, and much more Package: libcomplearn-gomp-dev Source: libcomplearn Version: 1.1.7-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 191 Depends: libcomplearn-gomp1 (= 1.1.7-2), libcomplearn1-headers (= 1.1.7-2), libgsl0-dev, pkg-config, libxml2-dev, libglib2.0-dev Homepage: http://complearn.org/ Priority: optional Section: libdevel Filename: pool/main/libc/libcomplearn/libcomplearn-gomp-dev_1.1.7-2_armhf.deb Size: 55224 SHA256: ca6f6052c05b55d00324bb83bd50168446cd571628ebe2e2264f9b2e9fbae328 SHA1: b4f76b39789f47ea18d1d63cae01c918184f46b8 MD5sum: 386f5ec999f5e1b06a4ac557a03a9866 Description: CompLearn library, OpenMP version development files complearn is a multi-core library for parameter-free universal learning. Using this library, developers can access a wealth of powerful and general techniques in artificial intelligence and pattern recognition including genomics, search term clustering, language evolution, music recognition, and much more Package: libcomplearn-gomp1 Source: libcomplearn Version: 1.1.7-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 156 Depends: libgomp1, libbz2-1.0, libc6 (>= 2.7), libglib2.0-0 (>= 2.30.0), libgsl0ldbl (>= 1.9), libxml2 (>= 2.7.4) Homepage: http://complearn.org/ Priority: optional Section: libs Filename: pool/main/libc/libcomplearn/libcomplearn-gomp1_1.1.7-2_armhf.deb Size: 51148 SHA256: 25d9e863c5edbdc1b97cb683adced1fcae8cd103d6a8ec9c74ed05e038bc95ed SHA1: 5101866bc05105d93a4f728bc2c9ade0413ed7b2 MD5sum: 7c068038a8cb7b19fdd1768a38394847 Description: machine-learning core library runtime files with OpenMP (libgomp) complearn makes artificial intelligence easy and fast on multi-core microprocessors. By using the powerful statistical analysis already built within data compression programs, this library delivers high-quality results with very low effort Package: libcomplearn-ruby Version: 1.0.8-2 Installed-Size: 48 Maintainer: Deepak Tripathi Architecture: all Depends: libcomplearn-ruby1.8 Size: 4422 SHA256: c26d829c1fa131bba43e4681815f7185a83016fe5a13fc47d21e4e5e85bcebce SHA1: 8b3475d9b3b3e91cc50bca51b456b5bcc4e11da8 MD5sum: 8ae2767eacf9f8dbdd759db3e1823a67 Description: Ruby binding to CompLearn library (dummy package) This is a Ruby binding for the popular and robust CompLearn learning package, compLearn is a suite of simple-to-use utilities that you can use to apply compression techniques to the process of discovering and learning patterns. . Dummy package depending on the library for the current default version of Ruby. Homepage: http://complearn.org/ Section: ruby Priority: optional Filename: pool/main/libc/libcomplearn-ruby/libcomplearn-ruby_1.0.8-2_all.deb Package: libcomplearn-ruby1.8 Source: libcomplearn-ruby Version: 1.0.8-2 Installed-Size: 88 Maintainer: Deepak Tripathi Architecture: all Depends: ruby1.8, complearn-tools Size: 7330 SHA256: 954f7323f60cf871b8ec79b2896e52966f16ca98238b96ad60fad58406f4fcfb SHA1: bf26a6315ab748a189d0d3f8fcf77c01c3040424 MD5sum: 730e6b97fb77de8ede49f55459975e41 Description: Ruby binding to CompLearn machine-learning library Ruby 1.8 binding for the popular and robust CompLearn learning package, compLearn is a suite of simple-to-use utilities that you can use to apply compression techniques to the process of discovering and learning patterns. Homepage: http://complearn.org/ Section: ruby Priority: optional Filename: pool/main/libc/libcomplearn-ruby/libcomplearn-ruby1.8_1.0.8-2_all.deb Package: libcomplearn1 Source: libcomplearn Version: 1.1.7-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 220 Depends: libbz2-1.0, libc6 (>= 2.7), libglib2.0-0 (>= 2.30.0), libgsl0ldbl (>= 1.9), libxml2 (>= 2.7.4) Homepage: http://complearn.org/ Priority: optional Section: libs Filename: pool/main/libc/libcomplearn/libcomplearn1_1.1.7-2_armhf.deb Size: 62552 SHA256: 065aa06cbe6b3ee4e9c06623f2f4cd6db7881f6eb8cac83f12f9d032585c0f79 SHA1: 59d1b29ae2d5280f03a48ca6afa26ac1864357fa MD5sum: cfad283ce30964422ddee9a44bfca7bc Description: machine-learning with data compression core library runtime files complearn makes artificial intelligence easy. By using the powerful statistical analysis already built within data compression programs, this library delivers high-quality results with very low effort Package: libcomplearn1-dbg Source: libcomplearn Version: 1.1.7-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 609 Depends: libcomplearn1 Homepage: http://complearn.org/ Priority: extra Section: debug Filename: pool/main/libc/libcomplearn/libcomplearn1-dbg_1.1.7-2_armhf.deb Size: 214702 SHA256: 5f814bc64cee427bfe9eeb81e71546eb42545653ea54836e6e81bd05036a93f5 SHA1: b27f7b50500b008c63d6f053f99b23df83b2fcdc MD5sum: 6685bcd528b68e374246cbbac6a7675f Description: debugging complearn library for development Debug symbols for this compression based machine learning library. Package: libcomplearn1-headers Source: libcomplearn Version: 1.1.7-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 101 Depends: libgsl0-dev, libxml2-dev, libglib2.0-dev Homepage: http://complearn.org/ Priority: optional Section: libdevel Filename: pool/main/libc/libcomplearn/libcomplearn1-headers_1.1.7-2_armhf.deb Size: 16316 SHA256: ea866f6f72abcbacf4e9d65a47c2cab7f8072a38a591c6fc89664c45afdfb160 SHA1: 7ca3cdd91460b9331bfadbd96076a42952b81630 MD5sum: 5ba4a7115172104d1953c940d9d5d022 Description: machine-learning through data compression header files complearn is a library for parameter-free universal learning. Using these headers, developers can access a wealth of powerful and general techniques in machine learning and pattern recognition including genomics, optical character recognition, fuzzy matching, music recognition, and much more Package: libcompress-bzip2-perl Version: 2.09-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 207 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libbz2-1.0, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Compress-Bzip2/ Priority: optional Section: perl Filename: pool/main/libc/libcompress-bzip2-perl/libcompress-bzip2-perl_2.09-2_armhf.deb Size: 58360 SHA256: 469ecabd542eae2d076e124c725d9f4ff5032f674013a8091716287a617694d9 SHA1: 5565f4758a2ab05cc133024d39e591dc353ffe7c MD5sum: 70aaaa174249d7bc86af1a22990abcf8 Description: Perl interface to Bzip2 compression library The Compress::Bzip2 module provides a Perl interface to the Bzip2 compression library, a relevant subset of the functionality provided by Bzip2 is available in Compress::Bzip2. . The module can be split into two general areas of functionality, namely in-memory compression/decompression and read/write access to bzip2 files. Package: libcompress-raw-bzip2-perl Version: 2.052-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 119 Depends: libbz2-1.0, libc6 (>= 2.13-28), perl (>= 5.14.2-9), perlapi-5.14.2 Homepage: http://search.cpan.org/dist/Compress-Raw-Bzip2/ Priority: optional Section: perl Filename: pool/main/libc/libcompress-raw-bzip2-perl/libcompress-raw-bzip2-perl_2.052-1_armhf.deb Size: 27010 SHA256: feddbaa17b0db82eda41c61ff941521fff84504da4764908d281c0481d814002 SHA1: 7b53f4a52d5bdb8d485340bc89e73f99366e0ad2 MD5sum: 5d5593e4331eac093c230f3cae0d5d1a Description: low-Level interface to bzip2 compression library Compress::Raw::Bzip2 provides a low-level interface to bzip2 compression library for perl. . Usually, you don't need to use this directly; modules like IO::Compress::Bzip2 in the libio-compress-perl package provide a nice, more high-level interface for your in your own perl programs. Package: libcompress-raw-zlib-perl Version: 2.052-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 208 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), zlib1g (>= 1:1.2.2.3) Homepage: http://search.cpan.org/dist/Compress-Raw-Zlib/ Priority: optional Section: perl Filename: pool/main/libc/libcompress-raw-zlib-perl/libcompress-raw-zlib-perl_2.052-1_armhf.deb Size: 56496 SHA256: 28bc574fa4276950ce6f02122ccba5d69057ec521346b4f7377c06b77747be73 SHA1: 94597126159eea2d626e63e0255ee27eded2057b MD5sum: b1f1d664e2b7a6ba1edb1977ac23724b Description: low-level interface to zlib compression library Compress::Raw::Zlib is a Perl module that provides low-level interface to the zlib compression library. It provides the core compression and decompression functionality useful for supporting other higher-level modules. Other modules provide support for file and stream compression. Package: libconcord-dev Source: concordance Version: 0.24-1.1 Architecture: armhf Maintainer: Mathieu Trudel-Lapierre Installed-Size: 144 Depends: libconcord2 (= 0.24-1.1) Breaks: libconcord1-dev Replaces: libconcord1-dev Homepage: http://sourceforge.net/projects/concordance Priority: optional Section: libdevel Filename: pool/main/c/concordance/libconcord-dev_0.24-1.1_armhf.deb Size: 44534 SHA256: c4efd4411c47a8befa10732a2633495f5e5ee106e1541bcf93d901b3c434c505 SHA1: c887b7d8542d84156e871e3a0d8cf2bbf2abe600 MD5sum: 9e53d05a3f0e4886029e51e39c106451 Description: Harmony remote configuration tool - development files The concordance software suite allows you to program your Logitech Harmony remote using a configuration object retreived from the harmony website. The Logitech Harmony is a highly configuration universal remote than can control most multimedia devices. . This package contains the C libraries development files for the concordance framework. Package: libconcord2 Source: concordance Version: 0.24-1.1 Architecture: armhf Maintainer: Mathieu Trudel-Lapierre Installed-Size: 114 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libusb-0.1-4 (>= 2:0.1.12) Homepage: http://sourceforge.net/projects/concordance Priority: optional Section: libs Filename: pool/main/c/concordance/libconcord2_0.24-1.1_armhf.deb Size: 36496 SHA256: 8919dbf908d0a2080f7d1018121fbf799f81c42969f2e931ab3b4dd7e7f65c3a SHA1: d324a2667193b7b49ce349bb418652acc5eaa0d5 MD5sum: 68dde95193eb1939f60440871abc0099 Description: Harmony remote configuration tool - runtime libraries The concordance software suite allows you to program your Logitech Harmony remote using a configuration object retreived from the harmony website. The Logitech Harmony is a highly configuration universal remote than can control most multimedia devices. . This package contains the C Libraries for the concordance framework. Package: libconcurrent-java Source: concurrent-dfsg Version: 1.3.4-4 Installed-Size: 200 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java2-runtime-headless Size: 133384 SHA256: c383dbc2d68a0a5e66d09abcadc4dfe94a3eb181865be4f4303ab6be76dbeeca SHA1: bc06b3602bd879fa9cf6c94e021197db1076074c MD5sum: 9dd07a705f6148bca69cdc15972b83b2 Description: utility classes for concurrent java programming The concurrent java library provides standardized, efficient versions of utility classes commonly encountered in concurrent Java programming. Discussions of the rationale and applications of several of these classes can be found in the second edition of Concurrent Programming in Java. . The package mainly consists of implementations of a few interfaces: . * Sync -- locks, conditions * Channel -- queues, buffers * Barrier -- multi-party synchronization * SynchronizedVariable -- atomic ints, refs etc * java.util.Collection -- collections * Executor -- replacements for direct use of Thread Homepage: http://gee.cs.oswego.edu/dl/classes/EDU/oswego/cs/dl/util/concurrent/intro.html Tag: devel::lang:java Section: java Priority: optional Filename: pool/main/c/concurrent-dfsg/libconcurrent-java_1.3.4-4_all.deb Package: libconcurrent-java-doc Source: concurrent-dfsg Version: 1.3.4-4 Installed-Size: 3548 Maintainer: Debian Java Maintainers Architecture: all Suggests: libconcurrent-java Size: 316420 SHA256: b19d893e1c31fe953605e472483c553be88674112d451e871bcbf037b98df22d SHA1: 89685f5bf265fd9dfe488872c89ec10682c52256 MD5sum: 84e3044b01fb3a2a7a33b3bce7123b89 Description: documentation and javadoc API for libconcurrent-java The concurrent java library provides standardized, efficient versions of utility classes commonly encountered in concurrent Java programming. . This package contains the introduction and javadoc API. Homepage: http://gee.cs.oswego.edu/dl/classes/EDU/oswego/cs/dl/util/concurrent/intro.html Tag: devel::doc, devel::examples, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/concurrent-dfsg/libconcurrent-java-doc_1.3.4-4_all.deb Package: libconcurrentlinkedhashmap-java Version: 1.1~jdk5-1 Installed-Size: 144 Maintainer: Debian Java Maintainers Architecture: all Size: 48786 SHA256: 7c626c21dd0d559bbe0c490be1237cd459d9579bba9bc40cb1d74a53ae18dfef SHA1: 0a8d3c16649e595c9387f39c3f2daae04f9197cd MD5sum: f29207e0dfab2e6cb900b2717c812bb7 Description: Implementation of java.util.LinkedHashMap with concurrency support Java library that provides a hash table supporting full concurrency of retrievals, adjustable expected concurrency for updates, and a maximum capacity to bound the map by. This implementation differs from java.util.concurrent.ConcurrentHashMap in that it maintains a page replacement algorithm that is used to evict an entry when the map has exceeded its capacity. Unlike the Java Collections Framework, this map does not have a publicly visible constructor and instances are created through a Builder. Homepage: http://code.google.com/p/concurrentlinkedhashmap/ Section: java Priority: optional Filename: pool/main/libc/libconcurrentlinkedhashmap-java/libconcurrentlinkedhashmap-java_1.1~jdk5-1_all.deb Package: libconcurrentlinkedhashmap-java-doc Source: libconcurrentlinkedhashmap-java Version: 1.1~jdk5-1 Installed-Size: 424 Maintainer: Debian Java Maintainers Architecture: all Recommends: libconcurrentlinkedhashmap-java Suggests: default-jdk-doc Size: 31776 SHA256: 883d3ebf14ab11c0ccd1694f7c3685236fdfcfb384ea4d573ec8c69f42953cb3 SHA1: 9edf8f408ed63df7ebb340ed104842f833ad8e87 MD5sum: 1a4c36ddcd69fe779d090b180800d7e3 Description: Documentation for libconcurrentlinkedhashmap-java Documentation for concurrentlinkedhashmap that is a Java library that provides a hash table supporting full concurrency of retrievals, adjustable expected concurrency for updates, and a maximum capacity to bound the map by. This implementation differs from java.util.concurrent.ConcurrentHashMap in that it maintains a page replacement algorithm that is used to evict an entry when the map has exceeded its capacity. Unlike the Java Collections Framework, this map does not have a publicly visible constructor and instances are created through a Builder. . This package contains the javadoc files of libconcurrentlinkedhashmap-java. Homepage: http://code.google.com/p/concurrentlinkedhashmap/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libconcurrentlinkedhashmap-java/libconcurrentlinkedhashmap-java-doc_1.1~jdk5-1_all.deb Package: libconfdb-dev Source: corosync Version: 1.4.2-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 244 Depends: libconfdb4 (= 1.4.2-3) Conflicts: libcorosync-dev (<= 1.4.2-1) Replaces: libcorosync-dev (<= 1.4.2-1) Priority: optional Section: libdevel Filename: pool/main/c/corosync/libconfdb-dev_1.4.2-3_armhf.deb Size: 164472 SHA256: 5a27070571675a124acc960f1c67864647b64291c0b90125604a048d360b9d30 SHA1: 9d8a23ca3f8054cfc14ecda4f106cc9ffdf62a74 MD5sum: cba9d0c3b56e88b5369a9bc9cc64b1a5 Description: Standards-based cluster framework, Confdb devel files Corosync is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package contains the Confdb library, which is a library included in Corosync. Package: libconfdb4 Source: corosync Version: 1.4.2-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 211 Depends: libc6 (>= 2.13-28), libcoroipcc4 (>= 1.4.2) Conflicts: libcorosync4 (<= 1.4.2-1) Replaces: libcorosync4 (<= 1.4.2-1) Priority: optional Section: libs Filename: pool/main/c/corosync/libconfdb4_1.4.2-3_armhf.deb Size: 161322 SHA256: 7cd695613ae236de5e7602d24774ca8328f0027f6a4f0e4e75faffdabf93ebee SHA1: 2f3c724553c65004572bb500852132ff0a757a21 MD5sum: 960b294d766d5af911ed7cb36996c1f2 Description: Standards-based cluster framework, Confdb library Corosync is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package contains the Confdb library, which is a library included in Corosync. Package: libconfig++-dbg Source: libconfig Version: 1.4.8-5 Architecture: armhf Maintainer: Jose Luis Tallon Installed-Size: 137 Depends: libconfig++9 (= 1.4.8-5) Multi-Arch: same Homepage: http://www.hyperrealm.com/libconfig/ Priority: extra Section: debug Filename: pool/main/libc/libconfig/libconfig++-dbg_1.4.8-5_armhf.deb Size: 81554 SHA256: 380f862075984a4227ba92620f9a690825550e159861b3f4792b575a92e66942 SHA1: 133139e816d7a31e55a73a743abd796693385dca MD5sum: b21d8e915f68c17429abae0e22710aab Description: parsing and manipulation of structured config files(C++ debug symbols) This library features a fully reentrant parser and includes bindings for both the C and C++ programming languages. It runs on modern POSIX-compliant systems such as Linux, Solaris, and Mac OS X (Darwin), as well as on Microsoft Windows 2000/XP and later (Visual Studio or MinGW). . This library allows parsing, manipulating and writing structured configuration files. . This package provides debugging information for the libconfig++ library. Package: libconfig++-dev Source: libconfig Version: 1.4.8-5 Architecture: armhf Maintainer: Jose Luis Tallon Installed-Size: 428 Depends: libconfig++9 (= 1.4.8-5), libconfig-dev, pkg-config (>= 0.20) Breaks: libconfig++8-dev (<< 1.4.8) Replaces: libconfig++8-dev (<< 1.4.8) Multi-Arch: same Homepage: http://www.hyperrealm.com/libconfig/ Priority: optional Section: libdevel Filename: pool/main/libc/libconfig/libconfig++-dev_1.4.8-5_armhf.deb Size: 140714 SHA256: 1047e33c6670b90f86d267aa1f0036b6531e25f3fde18932977c7574a76da5a2 SHA1: 140bbaf36b98336ef67f086be13b9f25b945022e MD5sum: 7a54e802c955c2560c8e0909c8c8b33c Description: parsing and manipulation of structured config files(C++ development) This library features a fully reentrant parser and includes bindings for both the C and C++ programming languages. It runs on modern POSIX-compliant systems such as Linux, Solaris, and Mac OS X (Darwin), as well as on Microsoft Windows 2000/XP and later (Visual Studio or MinGW). . This library allows parsing, manipulating and writing structured configuration files. Its main features are: - A fully reentrant parser: Multiple configurations can be parsed in concurrent threads at the same time. - A simple, structured configuration file format: more readable and compact than XML and more flexible than Windows' "INI" file format. - A low-footprint implementation - Proper documentation. . This package contains the files needed in order to use libconfig in your own C++ programs. Package: libconfig++8-dev Source: libconfig Version: 1.4.8-5 Architecture: armhf Maintainer: Jose Luis Tallon Installed-Size: 33 Depends: libconfig++-dev Homepage: http://www.hyperrealm.com/libconfig/ Priority: extra Section: oldlibs Filename: pool/main/libc/libconfig/libconfig++8-dev_1.4.8-5_armhf.deb Size: 9618 SHA256: a9fe5b68af0ca545e18d5a8e3a4d8175b6e01313bfdf07438abc371c863eb012 SHA1: 656f00caade9af8c06aae8e4cd5800fbc1c2abc7 MD5sum: 1201118e30ef0607f9235c344a33b6c8 Description: Transitional package for libconfig++-dev This is a transitional package for libconfig++-dev, and can be safely removed when no other package depends on it. Package: libconfig++9 Source: libconfig Version: 1.4.8-5 Architecture: armhf Maintainer: Jose Luis Tallon Installed-Size: 116 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Multi-Arch: same Homepage: http://www.hyperrealm.com/libconfig/ Priority: optional Section: libs Filename: pool/main/libc/libconfig/libconfig++9_1.4.8-5_armhf.deb Size: 40010 SHA256: 7276527533054f0dcf4599fe5ccedd82d5ea5ac71bb69f9be01d541b07ad6095 SHA1: 5637bfa1f9642cf5b70f9c0c609231689592c6be MD5sum: a90f0593af037f513a7adfe35e5efe02 Description: parsing and manipulation of structured configuration files(C++ binding) This library features a fully reentrant parser and includes bindings for both the C and C++ programming languages. It runs on modern POSIX-compliant systems such as Linux, Solaris, and Mac OS X (Darwin), as well as on Microsoft Windows 2000/XP and later (Visual Studio or MinGW). . This library allows parsing, manipulating and writing structured configuration files. . This is the C++ binding. Package: libconfig-any-perl Version: 0.23-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl Recommends: libconfig-general-perl, libconfig-tiny-perl, libjson-perl, libxml-simple-perl, libyaml-libyaml-perl | libyaml-syck-perl | libyaml-perl Size: 37230 SHA256: fc80b89da97ba284e883c7ad2180b236800d199bba74570b26c736b2491bf4f6 SHA1: 1cb2eb76e20e1c46860b1548d038cbcae9862118 MD5sum: cf581377baa27ef68d1558231d363d2f Description: module to load configuration from different file formats Config::Any provides a facility for Perl applications and libraries to load configuration data from multiple different file formats. It supports XML, YAML, JSON, Apache-style configuration, Windows INI files, and even Perl code. . The rationale for this module is as follows: Perl programs are deployed on many different platforms and integrated with many different systems. Systems administrators and end users may prefer different configuration formats than the developers. The flexibility inherent in a multiple format configuration loader allows different users to make different choices, without generating extra work for the developers. As a developer you only need to learn a single interface to be able to use the power of different configuration formats. Homepage: http://search.cpan.org/dist/Config-Any/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-any-perl/libconfig-any-perl_0.23-1_all.deb Package: libconfig-apacheformat-perl Version: 1.2-4 Installed-Size: 100 Maintainer: Debian Perl Group Architecture: all Depends: libclass-methodmaker-perl (>= 1.08), perl (>= 5.6.0-16) Size: 24972 SHA256: 13cae38d0044e69a5de22bca5104fdb64ec345230931f6edcf9e25ac2af9f974 SHA1: eff705ef15e4c74bc986eb5a5b717f69503d90aa MD5sum: 2bdf645f2aa8d9089e47f3d33dc04362 Description: use Apache format config files This module is designed to parse a configuration file in the same syntax used by the Apache web server (see http://httpd.apache.org for details). This allows you to build applications which can be easily managed by experienced Apache admins. Also, by using this module, you'll benefit from the support for nested blocks with built-in parameter inheritance. This can greatly reduce the amount or repeated information in your configuration files. Homepage: http://search.cpan.org/dist/Config::ApacheFormat/ Tag: devel::lang:perl, implemented-in::perl, use::configuring, works-with::text Section: perl Priority: optional Filename: pool/main/libc/libconfig-apacheformat-perl/libconfig-apacheformat-perl_1.2-4_all.deb Package: libconfig-augeas-perl Version: 0.903-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 110 Depends: perl (>= 5.14.2-11), perlapi-5.14.2, libaugeas0 (>= 0.10.0), libc6 (>= 2.13-28) Homepage: http://search.cpan.org/dist/Config-Augeas/ Priority: optional Section: perl Filename: pool/main/libc/libconfig-augeas-perl/libconfig-augeas-perl_0.903-1_armhf.deb Size: 28106 SHA256: f97b973f97a248ba8106b641f60bed7a38c07ae77e1b3f50a9d9a711b1d871ff SHA1: ef1ff23543de6478dca804f724cacd097666ac2b MD5sum: 67671c809d098c265eb0c5b9f55a12bd Description: module for manipulating configuration files through Augeas Config::Augeas is a Perl module that provides an object-oriented interface to the Augeas open source configuration library. The API is more "Perlish" than its C counterpart, making it natural to use with Perl. . Augeas is a library and command line tool that addresses the common problem of handling configuration data, providing a means to easily edit many types of configuration files. (See the augeas package for details) Package: libconfig-auto-perl Version: 0.42-1 Installed-Size: 74 Maintainer: Debian Perl Group Architecture: all Depends: perl, libconfig-inifiles-perl, libio-string-perl, libxml-simple-perl, libyaml-perl (>= 0.67) Size: 20492 SHA256: 51f21fef71363453e30478975211a390dfb091d29b36077c61884ee945e2c572 SHA1: 656d4a46dae1ecef2388a78319e46db15c8698cc MD5sum: 5978757dc2d7a64afc6bfd819c878154 Description: magical config file parser Config::Auto allows developers to parse config files without needing to specify the format of the file (though they can do it). . It even looks for the config file in standard places (working dir, home dir, /etc) and tries some common config file names if the path isn't specified in the call. . It then determines the format of the config file, parses it and then returns a data structure containing the configuration data. The format of this structure depends on the format of the file. . At the moment, libconfig-auto-perl knows about colon, space and equals separated, XML, Perl, Windows INI, BIND9 and irssi style config files, though files in BIND9 or irssi format cannot be parsed. Homepage: http://search.cpan.org/dist/Config-Auto/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::configuring Section: perl Priority: optional Filename: pool/main/libc/libconfig-auto-perl/libconfig-auto-perl_0.42-1_all.deb Package: libconfig-autoconf-perl Version: 0.19-1 Installed-Size: 104 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcapture-tiny-perl Size: 27050 SHA256: 74c3b074d4e614b2baff486a43d9564fb014e79266c9e6c333cb1a772b38f9d9 SHA1: 97eec4b4146db2a45d9868d47ff0dea2d4081d2c MD5sum: 34c63868047ea60b6b71820e9c5bc271 Description: Perl module to implement some of AutoConf's macros in pure Perl Config::AutoConf simulates some of the tasks AutoConf macros do, e.g. detecting a command, detecting a library, etc. Homepage: http://search.cpan.org/dist/Config-AutoConf/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-autoconf-perl/libconfig-autoconf-perl_0.19-1_all.deb Package: libconfig-dbg Source: libconfig Version: 1.4.8-5 Architecture: armhf Maintainer: Jose Luis Tallon Installed-Size: 85 Depends: libconfig9 (= 1.4.8-5) Multi-Arch: same Homepage: http://www.hyperrealm.com/libconfig/ Priority: extra Section: debug Filename: pool/main/libc/libconfig/libconfig-dbg_1.4.8-5_armhf.deb Size: 38914 SHA256: c4eda899bf91079a4abdf2319a6cbcd52996bba8b2333683432c7d929ef47983 SHA1: 6fc59c8f986f31a204b8b2a04ff7f81a788f522a MD5sum: a9f91d266fb9555e64d798697e6e1f12 Description: parsing and manipulation of structured config files(debug symbols) This library features a fully reentrant parser and includes bindings for both the C and C++ programming languages. It runs on modern POSIX-compliant systems such as Linux, Solaris, and Mac OS X (Darwin), as well as on Microsoft Windows 2000/XP and later (Visual Studio or MinGW). . This library allows parsing, manipulating and writing structured configuration files. . This package provides debugging information for the libconfig library. Package: libconfig-dev Source: libconfig Version: 1.4.8-5 Architecture: armhf Maintainer: Jose Luis Tallon Installed-Size: 234 Depends: libconfig9 (= 1.4.8-5), pkg-config (>= 0.20), dpkg (>= 1.15.4) | install-info Recommends: libconfig-doc Breaks: libconfig8-dev (<< 1.4.8) Replaces: libconfig8-dev (<< 1.4.8) Multi-Arch: same Homepage: http://www.hyperrealm.com/libconfig/ Priority: optional Section: libdevel Filename: pool/main/libc/libconfig/libconfig-dev_1.4.8-5_armhf.deb Size: 96126 SHA256: 9d3faf15286234957c69551dd8c23404a2190ec228686a21a54e392c5e533c3c SHA1: 28138b804926ed8e87eed711d8bcbfdeea6b1b0f MD5sum: 7dd41d6a33c41b05abbf376b728e2376 Description: parsing and manipulation of structured config files(development) This library features a fully reentrant parser and includes bindings for both the C and C++ programming languages. It runs on modern POSIX-compliant systems such as Linux, Solaris, and Mac OS X (Darwin), as well as on Microsoft Windows 2000/XP and later (Visual Studio or MinGW). . This library allows parsing, manipulating and writing structured configuration files. Its main features are: - A fully reentrant parser: Multiple configurations can be parsed in concurrent threads at the same time. - A simple, structured configuration file format: more readable and compact than XML and more flexible than Windows' "INI" file format. - A low-footprint implementation - Proper documentation. . This package contains the files needed in order to use libconfig in your own programs. Package: libconfig-doc Source: libconfig Version: 1.4.8-5 Installed-Size: 288 Maintainer: Jose Luis Tallon Architecture: all Replaces: libconfig-dev (<= 1.4.8) Breaks: libconfig-dev (<= 1.4.8) Size: 295040 SHA256: 4348e501d9dae74339cc826444c308ec047d97f1c5b1b403222f6ce0c004b530 SHA1: b4d90ae44c026fa7c9cebde0806a7fa65b7963f7 MD5sum: bff6b1c8a31014e6b47f7f7d5bc04e83 Description: parsing and manipulation of structured config files(Documentation) This library features a fully reentrant parser and includes bindings for both the C and C++ programming languages. It runs on modern POSIX-compliant systems such as Linux, Solaris, and Mac OS X (Darwin), as well as on Microsoft Windows 2000/XP and later (Visual Studio or MinGW). . This library allows parsing, manipulating and writing structured configuration files. . This package provides documentation for the libconfig library. Homepage: http://www.hyperrealm.com/libconfig/ Section: doc Priority: optional Filename: pool/main/libc/libconfig/libconfig-doc_1.4.8-5_all.deb Package: libconfig-file-ocaml-dev Source: ocaml-config-file Version: 1.1-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 321 Depends: ocaml-nox-3.12.1 Recommends: ocaml-findlib Provides: libconfig-file-ocaml-dev-qnzi6 Homepage: http://config-file.forge.ocamlcore.org/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-config-file/libconfig-file-ocaml-dev_1.1-1_armhf.deb Size: 55354 SHA256: 3f47634f3d924d2b2f415a736bb5af752628f3544a49234093ac57d71f0402e1 SHA1: fea4ebc5856cf724cf142149ac48af5157c56f7a MD5sum: b013fcf8c76c537edfadea74b908fbbd Description: OCaml library for managing configuration files (dev files) Config_file is an OCaml library used to manage the configuration file(s) of an application. You simply define your options and it performs the loading and saving of the options. Each option is defined from an option class (for example an "int" option) or from a combination of classes (for example to create "list of int" options). Package: libconfig-file-perl Version: 1.50-2 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Replaces: libconfigfile-perl Provides: libconfigfile-perl Depends: perl (>= 5.6.0-16) Conflicts: libconfigfile-perl Size: 10014 SHA256: c6288e9a3a8e3709a1c37b8e0964c9839593b3a42a94280196a3238f0f172677 SHA1: 06006c506c3b31f60440f52bf1b7622d3db34cac MD5sum: 9507b887164fd024b802350173983f0b Description: Parses simple configuration files ConfigFile parses simple configuration files and store its values in an anonymous hash reference. The syntax of the configuration file is quite simple: . # This is a comment VALUE_ONE = foo VALUE_TWO = $VALUE_ONE/bar VALUE_THREE = The value contains a \# (hash). # This is a comment. COMPOSED_VALUE[one] = The first component of a clustered value COMPOSED_VALUE[two] = The second component of a clustered value Homepage: http://search.cpan.org/dist/Config-File/ Tag: devel::lang:perl, implemented-in::perl, use::configuring Section: perl Priority: optional Filename: pool/main/libc/libconfig-file-perl/libconfig-file-perl_1.50-2_all.deb Package: libconfig-general-perl Version: 2.50-1 Installed-Size: 208 Maintainer: Francesco Cecconi Architecture: all Depends: perl Size: 70854 SHA256: 703abf1c355377f9f2a552ddaae9beac7b9ec1f48c38d52e3af4c344e84d67e8 SHA1: ca549b2d473f24fcdeb035a0bbe0b4c8e0c414f7 MD5sum: 617b25ec8062e3ee1b60e4c599077520 Description: Generic Configuration Module This module opens a configuration file and parses its contents for you. After parsing it returns a hash which contains all options and their associated values from your configuration file. . The format of configuration files supported by Config::General is inspired by the well known Apache configuration format, in fact, this module is fully capable of parsing Apache configurations, but the output is not usable by Apache. You can also just use simple name/value pairs in your configuration files. . In addition to the capabilities of an Apache configuration file it supports some enhancements such as here-documents, C-style comments and multi-line options. Homepage: http://search.cpan.org/dist/Config-General/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::configuring Section: perl Priority: optional Filename: pool/main/libc/libconfig-general-perl/libconfig-general-perl_2.50-1_all.deb Package: libconfig-gitlike-perl Version: 1.08-1 Installed-Size: 118 Maintainer: Debian Perl Group Architecture: all Depends: perl, libany-moose-perl Size: 36018 SHA256: d7645391eeae9257614772ade5076275c9b6f125404826391b6e4c2bb599e33f SHA1: c87d9b8d307aa63428554ef348d5c639d1215872 MD5sum: 69c13f7c62e975e9fd2ac80177044063 Description: Perl module for Git-compatible config file parsing Config::GitLike provides a Perl interface for parsing, writing, and managing configuration files of the format used by the version control system Git. It supports config-file inheritance in the same way that Git does: system-wide, user-wide, and per-directory config files can be specified and loaded, with values from more local files overriding those in less-local files. . For more information on this configuration file format, see: Homepage: http://search.cpan.org/dist/Config-GitLike/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-gitlike-perl/libconfig-gitlike-perl_1.08-1_all.deb Package: libconfig-grammar-perl Version: 1.10-1 Installed-Size: 124 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 24534 SHA256: a0d124f67c7162029fa5b9046d57dd927cdc3c63de0eb9ebc29a12f38dd60c69 SHA1: c7be4f106b53b58922381f87198630f794a49ad4 MD5sum: 04721400e4e50ee87a536dacb801c76a Description: grammar-based user-friendly config parser Config::Grammar is a module to parse configuration files. The configuration may consist of multiple-level sections with assignments and tabular data. The parsed data will be returned as a hash containing the whole configuration. Config::Grammar uses a grammar that is supplied upon creation of a Config::Grammar object to parse the configuration file and return helpful error messages in case of syntax errors. Using the makepod method you can generate documentation of the configuration file format. . The maketmpl method can generate a template configuration file. If your grammar contains regexp matches, the template will not be all that helpful as Config::Grammar is not smart enough to give you sensible template data based in regular expressions. The related function maketmplmin generates a minimal configuration template without examples, regexps or comments and thus allows an experienced user to fill in the configuration data more efficiently. Homepage: http://search.cpan.org/dist/Config-Grammar/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-grammar-perl/libconfig-grammar-perl_1.10-1_all.deb Package: libconfig-ini-perl Version: 1:0.019-1 Installed-Size: 85 Maintainer: Debian Perl Group Architecture: all Depends: perl, libmixin-linewise-perl Size: 23288 SHA256: c593470fe4e2c57ba87e4ccaa54d92bff5cb97ae352cfb2fe5e3f6ce48f52aa2 SHA1: 68f0a26a8878b89a15eaf2213929418718f430b9 MD5sum: 34f01b691ba084c6210e4314106cab3b Description: Perl module for reading and writing .ini files Config::INI::Reader and Config::INI::Writer are "yet another config module" implementing yet another slightly different take on the undeniably easy to read ".ini" file format. Their default behavior is quite similar to that of Config::Tiny, on which it is based. . The chief difference is that Config::INI is designed to be subclassed to allow for side-effects and self-reconfiguration to occur during the course of reading its input. Homepage: http://search.cpan.org/dist/Config-INI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-ini-perl/libconfig-ini-perl_0.019-1_all.deb Package: libconfig-inifiles-perl Version: 2.75-1 Installed-Size: 151 Maintainer: Debian Perl Group Architecture: all Depends: liblist-moreutils-perl Size: 54836 SHA256: fe9d426792b9022a562129b66499e84d0a2c4ca1b6c9fb80dabedc8cba4c8dab SHA1: f6da9275b0778b2dd969cf3e520669cbbdf5ab05 MD5sum: 3eac48e8d3263a41914fd21e0826ca00 Description: Read .ini-style configuration files Config::IniFiles provides a way to have readable configuration files outside your Perl script. Configurations can be imported (inherited, stacked,...), sections can be grouped, and settings can be accessed from a tied hash. Homepage: http://search.cpan.org/dist/Config-IniFiles/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::configuring Section: perl Priority: extra Filename: pool/main/libc/libconfig-inifiles-perl/libconfig-inifiles-perl_2.75-1_all.deb Package: libconfig-inihash-perl Version: 3.01.01-1 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libhash-case-perl, libhash-withdefaults-perl (>= 0.04) Size: 14182 SHA256: 813df77a752c2868766fd2955c0d2d7783a8bc5847945bfe135a9ff631ab1160 SHA1: 7d5a2fe4dc590605f2de39741cbbc5e14cb7014b MD5sum: e9075c1503e20a90a712ec5e9c7c1b0b Description: Perl extension for reading and writing INI files Config::IniHash reads and writes INI files, useful for storing settings. Homepage: http://search.cpan.org/dist/Config-IniHash/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, use::configuring Section: perl Priority: optional Filename: pool/main/libc/libconfig-inihash-perl/libconfig-inihash-perl_3.01.01-1_all.deb Package: libconfig-jfdi-perl Version: 0.065-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl, libany-moose-perl, libcarp-clan-share-perl, libclone-perl, libconfig-any-perl, libconfig-general-perl, libdata-visitor-perl (>= 0.24), libgetopt-usaginator-perl, libhash-merge-simple-perl, liblist-moreutils-perl, libpath-class-perl, libsub-install-perl Size: 15414 SHA256: ced096f14fc11e084487a1a64c89cc5a03bcab02d27d30a173cfc5e895b1cf00 SHA1: a925953e0749f81c8a1e1b01773819d9a241bd6c MD5sum: fb85a269702953154eebe7df6c5a5356 Description: Perl module to load any configuration files Config::JFDI scans a directory for files matching a certain name. If such a file is found, and it matches an extension that Config::Any can read, then the configuration from that file will be loaded. It also looks for special files that end with a "_local" suffix, which take precedence over any other configuration files. The precedence takes place by merging the local config with the standard file using Hash::Merge::Simple (libhash-merge-simple-perl). . Essentially, this is an implementation of Catalyst::Plugin::ConfigLoader that exists outside of Catalyst. Homepage: http://search.cpan.org/dist/Config-JFDI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-jfdi-perl/libconfig-jfdi-perl_0.065-1_all.deb Package: libconfig-json-perl Version: 1.5100-1 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl, libjson-perl (>= 2.16), libany-moose-perl Size: 14998 SHA256: 5884c88dc577698d6f81bce70194fc45da811284ec63b328f6ed66ae1c911853 SHA1: c4d18306391b1fefdc34c0a609de988e3d7936cf MD5sum: 7accb431cb26dde4fed225d3a7ab3e91 Description: parser for JSON-based configuration files Config::JSON is a Perl module capable of parsing and writing configuration files written in JavaScript Object Notation (JSON). It supports arbitrary file inclusion (even hierarchical includes) and comments in the file. It is beneficial in environments that already use JSON, since the parser is already loaded into memory. Homepage: http://search.cpan.org/dist/Config-JSON/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-json-perl/libconfig-json-perl_1.5100-1_all.deb Package: libconfig-merge-perl Version: 1.01-1 Installed-Size: 248 Maintainer: Debian Perl Group Architecture: all Depends: perl, libconfig-any-perl Recommends: libyaml-perl, libjson-perl, libjson-xs-perl, libyaml-syck-perl, libxml-simple-perl, libconfig-tiny-perl, libconfig-general-perl Size: 32312 SHA256: f6d69972140b1560f3f592dee5980bd8d839b22c08ea11e4772c81b6a901a833 SHA1: f2ed5ce2147f1b9f360e4c3ffeaf92951032a03e MD5sum: 6b2c47f84efc21205990db97b620fab3 Description: umbrella interface to configuration modules Config::Merge allows one to load a configuration directory tree containing YAML, JSON, XML, Perl, INI or Config::General files. The interface can be used in either a functional or an object oriented way. Homepage: http://search.cpan.org/dist/Config-Merge/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-merge-perl/libconfig-merge-perl_1.01-1_all.deb Package: libconfig-model-approx-perl Version: 1.004-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl, libconfig-model-perl (>= 1.245), liblog-log4perl-perl Recommends: libconfig-model-tkui-perl Suggests: libconfig-model-cursesui-perl Size: 12788 SHA256: 590bfc592f05e15eda8cb2c06c5aea1e4e5ef96004f67de228fdc60deabe8494 SHA1: 66ca1eb8a70b2447425b00c1f56b5de3e86518ac MD5sum: 17b6e493ca599c62cc1e75bbe37c2a0f Description: Approx proxy server configuration editor Config::Model::Approx and config-edit -application approx provide a configuration model and a configuration editor for /etc/approx/approx.conf. . When the following dependencies are installed, you will get extra functionalities: . * A graphical Approx configuration editor and a graphical configuration wizard with libconfig-model-tkui-perl * A curses Approx configuration editor with libconfig-model-cursesui-perl . When run with '-ui none', Approx configuration editor can also be used as a command line to validate Approx configuration or to upgrade an older configuration without user interaction. Homepage: http://search.cpan.org/dist/Config-Model-Approx/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-model-approx-perl/libconfig-model-approx-perl_1.004-1_all.deb Package: libconfig-model-backend-augeas-perl Version: 0.112-1 Installed-Size: 82 Maintainer: Debian Perl Group Architecture: all Depends: perl, libconfig-augeas-perl, libconfig-model-perl (>= 1.255), libexception-class-perl, liblog-log4perl-perl Size: 17438 SHA256: 20e6a1bafe92c6f602e02692ee488e685acfd9d5d7420f8db5bf88a678f6ecb1 SHA1: b834f6e6c8c30655053581306fb712784a6d7a51 MD5sum: 22839103ae4fe18611a6f4b9acbd8916 Description: configuration reader and writer powered by Augeas Config::Model::Backend::Augeas provides a way to load or store configuration data through Config::Augeas. This way, the structure and commments of the original configuration file are preserved. . With Config::Model::Backend::Augeas, Config::Model now provides a way to load and save data through RedHat's Augeas library. . Config::Model and Augeas are both configuration editing tool. Both parse configuration files in their native formats and transforms them into a tree. Configuration changes are made by manipulating this tree and saving it back into native config files. . Both Augeas and Config::Model rely on a tree to represent configuration data. . On one side, Augeas is able to load and write data while respecting the structure and comments of the original configuration file. But Augeas purpose is not to validate the semantic content of the configuration file. . On the other side, Config::Model is able to validate the semantic content of the configuration file, but it will discard all comments and will write back configuration data using a canonical order. Thus the comments and structure of the original configuration file is not preserved. . You can now have the best of both worlds by using the Augeas library to load and write data from Config::Model configuration tree. You can now instruct Config::Model to use Augeas backend in the autoread and autowrite feature. See Config::Model::Autoread for details. . Unfortunately, you must ensure that the tree representation specified in Config::Model and Augeas lens are quite close together: - Config::Model element names and Augeas lens names must be identical - Both structures must be very close. Each Config::Model node must have a corresponsing lens. . See Config::Model::Backend::Augeas documentation to use Augeas with your configuration model. . For more details on Config::Model see: . http://config-model.wiki.sourceforge.net/ . For more details on Augeas, see: . http://augeas.net Homepage: http://search.cpan.org/dist/Config-Model-Backend-Augeas/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-model-backend-augeas-perl/libconfig-model-backend-augeas-perl_0.112-1_all.deb Package: libconfig-model-cursesui-perl Version: 1.104-1 Installed-Size: 120 Maintainer: Debian Perl Group Architecture: all Depends: perl, libconfig-model-perl (>= 1.233), libcurses-ui-perl (>= 0.9606), libexception-class-perl Size: 19560 SHA256: 22b5f9ded57d4efb2cba8af10440fb36d9bb530181502f362b9af7d107350d97 SHA1: 325159155997232325929881fdfd74549988a034 MD5sum: 46b074a66b09d14a4794af3d86a5fe27 Description: curses interface to edit config data through Config::Model Config::Model::CursesUI provides a Curses interface to the configuration editor provided by Config::Model. . For instance, with this module, Config::Model and Config::Model::OpenSsh, you get a curses configuration editor for sshd_config. . This interface is used by config-edit program provided by Config::Model. Homepage: http://search.cpan.org/dist/Config-Model-CursesUI/ Tag: admin::configuring, devel::lang:perl, devel::library, implemented-in::perl, interface::text-mode, role::devel-lib, role::plugin Section: perl Priority: optional Filename: pool/main/libc/libconfig-model-cursesui-perl/libconfig-model-cursesui-perl_1.104-1_all.deb Package: libconfig-model-itself-perl Version: 1.232-1 Installed-Size: 255 Maintainer: Debian Perl Group Architecture: all Depends: perl, libconfig-model-perl (>= 2.020), libconfig-model-tkui-perl, libdata-compare-perl, liblog-log4perl-perl, libpath-class-perl, libpod-pom-perl, libyaml-perl Size: 75468 SHA256: 6779a5395b0fb86b999b4b6ab8354b799539c4ed1c6dbd955c89492a0bfe4e36 SHA1: 3afd46f29c16a7e983f3bfc89a5997a6f8220eb2 MD5sum: 1d1e830a1241a74a97837d8473304e2e Description: graphical model editor for Config::Model Config::Model::Itself and the command config-model-edit provide a Perl/Tk graphical interface to edit configuration models that will be used by Config::Model. . Config::Model::Itself also provides a model for Config::Model (hence the Itself name, you can also think of it as a meta-model). The model editor will use this meta-model to construct the graphical interface so you can edit the configuration model for *your* application. [ Config::Model::Itself is the "eat your own dog food" principle applied to Config::Model ;-) ] . Let's step back a little to explain. Any configuration data is, in essence, structured data. This data could be stored, for instance, in an XML file. A configuration model is a way to describe the structure and relation of all items of a configuration data set. . This configuration model is also expressed as structured data. This structure data is structured and follows a set of rules which are described for humans in Config::Model. . The structure and rules documented in Config::Model are also expressed in a model in the files provided with Config::Model::Itself. . Hence the possibity to verify, modify configuration data provided by Config::Model can also be applied on configuration models. . The model editor program is config-model-edit. . Since the model editor and the configuration data editor are based on the same graphical module, you will use similar UIs to edit configuration data (for instance OpenSsh configuration data from sshd_config) and OpenSsh model (if you need to add new parameters in OpenSsh model) . Once this package is installed, you can run: . $ config-model-edit -model . For instance, if you have installed Config::Model::OpenSsh (not yet packaged), you can run: . $ config-model-edit -model OpenSsh . If you save OpenSsh configuration model, config-model-edit will create a lib directory and write the modified model there. Homepage: http://config-model.wiki.sourceforge.net Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-model-itself-perl/libconfig-model-itself-perl_1.232-1_all.deb Package: libconfig-model-openssh-perl Version: 1.225-1 Installed-Size: 337 Maintainer: Debian Perl Group Architecture: all Depends: perl, libany-moose-perl, libconfig-model-perl (>= 2.015), liblog-log4perl-perl Recommends: libconfig-model-tkui-perl, libconfig-model-backend-augeas-perl Suggests: libconfig-model-cursesui-perl Size: 93404 SHA256: 386f887ceb7a3842a8907f182db3d1299b7ec23598e7099ec08c3ce3e1f187d3 SHA1: fba5e48811d59f57dc605d5778672b83121487a6 MD5sum: 17ef3a48a055f3d36c85ce8fd4f434d9 Description: configuration file editor for OpenSsh Config::Model::OpenSsh is a configuration model for editing OpenSSH configuration files, namely, /etc/ssh/sshd_config, /etc/ssh/ssh_config (for root) and ~/.ssh/config (for other users). . You can get extra functionality by installing some optional dependencies: . * A graphical OpenSSH file editor with libconfig-model-tkui-perl * A curses OpenSSH file editor with libconfig-model-cursesui-perl . Comments of the files are preserved during edition. Comments can be edited with the graphical interface and saved back in the configuration files. . Using '-ui none', the OpenSSH configuration editor can also be used as a command line to validate the semantic content of configuration files. Homepage: http://search.cpan.org/dist/Config-Model-OpenSsh/ Tag: admin::configuring, devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-model-openssh-perl/libconfig-model-openssh-perl_1.225-1_all.deb Package: libconfig-model-perl Version: 2.021-3+deb7u1 Installed-Size: 1766 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.11.1), libany-moose-perl, libanyevent-http-perl, libanyevent-perl, libapt-pkg-perl, libcarp-assert-more-perl, libexception-class-perl, libfile-homedir-perl, libhash-merge-perl (>= 0.12), liblist-moreutils-perl, liblog-log4perl-perl, libmouse-perl, libmousex-nativetraits-perl, libmousex-strictconstructor-perl, libnamespace-autoclean-perl, libparse-recdescent-perl, libpod-pom-perl, libsoftware-license-perl (>= 0.103002-3), libtext-autoformat-perl, libtext-diff-perl, libyaml-perl (>= 0.67), lintian Recommends: bash-completion (>= 1:1.0-3), libfuse-perl, fuse-utils, libmodule-corelist-perl (>= 2.54) | perl (>= 5.15.1) Suggests: libterm-readline-perl-perl | libterm-readline-gnu-perl, libconfig-model-tkui-perl Enhances: lcdproc Size: 700880 SHA256: 61f4ec18100d24004eb6983f0c00c4452852d72b7c63a715a011c98fb0b98df6 SHA1: 05e2d0a8c5e356f3f76baeb48665b85bfe9d7e04 MD5sum: 1891c3bdb299f3a573168e60205515d8 Description: module for describing and editing configuration data Config::Model is a Perl framework that enables project developers to provide an interactive configuration system for their software. It supports various frontend interfaces (graphical, curses-based or plain terminal) to users. It handles configuration files semantically rather than syntactically, meaning that developers can specify the structure and constraints of the data along with a mechanism to read and write the files. . Using the structure and constraint information, Config::Model will generate interactive configuration editors that include help and data validation. It is equally simple to use graphical (via Tk) interfaces as well as curses- and ReadLine-based terminal interfaces. . Config::Model also provides a facility to help configuration data upgrade that can be triggered during package upgrades. . Config::Model provides configuration model and editor for: - popularity-contest - fstab (/etc/fstab) - dpkg (with control and copyright aka DEP-5) - lcdproc (/etc/LCDd.conf) - multistrap . Config::Model provides several user interfaces: - shell-like interface - virtual file system where each config parameter is mapped to a file - graphical user interface with libconfig-model-tkui-perl Homepage: https://github.com/dod38fr/config-model/wiki Tag: admin::configuring, devel::debian, devel::lang:perl, devel::library, implemented-in::perl, interface::commandline, interface::text-mode, role::devel-lib, role::plugin, role::program Section: perl Priority: optional Filename: pool/main/libc/libconfig-model-perl/libconfig-model-perl_2.021-3+deb7u1_all.deb Package: libconfig-model-tkui-perl Version: 1.337-2 Installed-Size: 220 Maintainer: Debian Perl Group Architecture: all Depends: perl, libconfig-model-perl (>= 2.015), libexception-class-perl, libfile-slurp-perl, liblog-log4perl-perl, libpod-pom-perl, libtk-dirselect-perl, libtk-pod-perl, perl-tk Suggests: libtk-objscanner-perl Enhances: libconfig-model-perl Size: 72886 SHA256: 3680895cd17b34f1d22e81e061953ffdbec80ea51c6ac61c43613cae98a0bf0c SHA1: 6698c3d376a65e4832504d09627f0535d83d3dcc MD5sum: c22d39a092c3441e20017574cc82d308 Description: Tk GUI to edit config data through Config::Model Config::Model::TkUI provides a Perl/Tk interface to: - the configuration editor provided by Config::Model. - the configuration model editor provided by Config::Model::Itself . For instance, with Config::Model::TkUI, Config::Model and Config::Model::OpenSsh, you get a graphical configuration editor for sshd_config. . To get the graphical editor, just install Config::Model::TkUI, and the config-edit command (provided by libconfig-model-perl) will automagically launch the graphical interface. Homepage: http://config-model.wiki.sourceforge.net Tag: devel::lang:perl, devel::library, implemented-in::perl, interface::x11, role::plugin, uitoolkit::tk, x11::application Section: perl Priority: optional Filename: pool/main/libc/libconfig-model-tkui-perl/libconfig-model-tkui-perl_1.337-2_all.deb Package: libconfig-mvp-perl Version: 2.200002-1 Installed-Size: 132 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-load-perl, libmoose-perl (>= 0.91), libmoosex-oneargnew-perl, libparams-util-perl, librole-hasmessage-perl, librole-identifiable-perl, libthrowable-perl, libtie-ixhash-perl, libtry-tiny-perl, perl (>= 5.10.1) | libtest-simple-perl (>= 0.88) Breaks: libconfig-mvp-reader-ini-perl (<< 2.101460~) Size: 49576 SHA256: efda670ffc19d103ee3e771db0079adca0fe50597674c68e8985cb60fd36612a SHA1: 73915976d958ce2ef19ed39df3fae630246175eb MD5sum: 80e9bdee133111d46e108f047ad22584 Description: multivalue-property package-oriented configuration module MVP is a mechanism for loading configuration (or other information) for libraries. It doesn't read a file or a database. It's a helper for things that do. . The idea is that you end up with a Config::MVP::Sequence object, and that you can use that object to fully configure your library or application. The sequence will contain a bunch of Config::MVP::Section objects, each of which is meant to provide configuration for a part of your program. Most of these sections will be directly related to a Perl library that you'll use as a plugin or helper. Each section will have a name, and every name in the sequence will be unique. Homepage: http://search.cpan.org/dist/Config-MVP/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-mvp-perl/libconfig-mvp-perl_2.200002-1_all.deb Package: libconfig-mvp-reader-ini-perl Version: 2.101461-1 Installed-Size: 24 Maintainer: Debian Perl Group Architecture: all Replaces: libconfig-ini-mvp-perl (<< 0.024-2) Depends: perl, libconfig-ini-perl, libconfig-mvp-perl (>= 2.101460), libmoose-perl Enhances: libconfig-mvp-perl Size: 6262 SHA256: 6ff1d089011fcef0e68c3d9aa0d2533fe67b8b70ac2201f186484892d8dd510c SHA1: 27a1e3560b1111ee21daa2cc433f611d612075d7 MD5sum: 60b35108534ad411c728d2cf5e6091f3 Description: Perl module providing a MVP config reader for .ini files Config::MVP::Reader::INI implements a reader for .ini files for use with Config::MVP. Homepage: http://search.cpan.org/dist/Config-MVP-Reader-INI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-mvp-reader-ini-perl/libconfig-mvp-reader-ini-perl_2.101461-1_all.deb Package: libconfig-pit-perl Version: 0.04-1 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.10.1), libfile-homedir-perl, liblist-moreutils-perl, libpath-class-perl, libyaml-syck-perl (>= 1) Size: 10338 SHA256: 12c607c92128733eec4c7d3af1a285f3cfb47e0bb7eca39f0032da2e2830fe3a SHA1: c4cfbe51dc95431b43d5c173696bcb080c02ad2e MD5sum: 19c6436a9673fb91e5341fe877f201d7 Description: Perl module for Manage settings Config::Pit is account setting management library. This library automates editing settings used in scripts. . Original library is written in Ruby and published as pit gem with management command. Homepage: http://search.cpan.org/dist/Config-Pit/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-pit-perl/libconfig-pit-perl_0.04-1_all.deb Package: libconfig-record-perl Version: 1.1.2-1 Installed-Size: 78 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 18858 SHA256: 57d926242ec84c01906d4031b89c9c7c23b1bbd116724eb768fdab6fdc647885 SHA1: 88f787bd4f770427d916496cd6acefbaae5a017f MD5sum: a14cc94b66c42109bf5b4f15e64a9b9f Description: Perl module for configuration file access Config::Record provides an API for loading and saving of simple configuration file records. Entries in the configuration file are essentially key, value pairs, with the key and values separated by a single equals symbol. The key consists only of alphanumeric characters. There are three types of values: scalar values, array values and hash values. Homepage: http://search.cpan.org/dist/Config-Record/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-record-perl/libconfig-record-perl_1.1.2-1_all.deb Package: libconfig-scoped-perl Version: 0.22-1 Installed-Size: 126 Maintainer: Debian Perl Group Architecture: all Depends: perl, liberror-perl, libparse-recdescent-perl Size: 34024 SHA256: 6562f0c58aaf34f8157579983b38936327948bf28f4e52e4be3fac2b90b88789 SHA1: 3fdc2759fcef72fe613eecc140fae11f00230f35 MD5sum: 292b02d285dd2cb5ba93625b016ab5e6 Description: Feature rich configuration file parser Config::Scoped is a configuration file parser for complex configuration files based on Parse::RecDescent. Files similar to the ISC named or ISC dhcpd configurations are possible. In order to be fast a precompiled grammar and optionally a config cache is used. Homepage: http://search.cpan.org/dist/Config-Scoped/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, use::configuring Section: perl Priority: optional Filename: pool/main/libc/libconfig-scoped-perl/libconfig-scoped-perl_0.22-1_all.deb Package: libconfig-simple-perl Version: 4.59-6 Installed-Size: 116 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 31198 SHA256: 1d19c5c5c15cb8e01a511e6f8e532b12686ba1d3633d4321e287783fd7ba0a32 SHA1: 6a3f263a3333b2d5ab743afaeb03a889bc652f08 MD5sum: 2ac1acd7e2fe8002289aa2e1d2c958e4 Description: simple configuration file class Reading and writing configuration files is one of the most frequent aspects of any software design. Config::Simple is the library to help you with it. . Config::Simple is a class representing configuration file object. It supports several configuration file syntax and tries to identify the file syntax to parse them accordingly. Library supports parsing, updating and creating configuration files. Homepage: http://search.cpan.org/dist/Config-Simple Tag: devel::lang:perl, devel::library, implemented-in::perl, use::configuring Section: perl Priority: optional Filename: pool/main/libc/libconfig-simple-perl/libconfig-simple-perl_4.59-6_all.deb Package: libconfig-std-perl Version: 0.9-1 Installed-Size: 100 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-std-perl Size: 25434 SHA256: 002bb0bac26bef35a25ffbffdb47fd5bd1be01c92bdd25e61169fb7c1a11e133 SHA1: 599744c1469ada1f57927f1b10f1709beca76e82 MD5sum: 2f5c578f475cfc8ababf2465d147f966 Description: Load and save configuration files in a standard format Config::Std implements yet another damn configuration-file system. . The configuration language is deliberately simple and limited, and the module works hard to preserve as much information (section order, comments, etc.) as possible when a configuration file is updated. Homepage: http://search.cpan.org/dist/Config-Std/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfig-std-perl/libconfig-std-perl_0.9-1_all.deb Package: libconfig-tiny-perl Version: 2.14-1 Installed-Size: 68 Maintainer: Dominic Hargreaves Architecture: all Depends: perl Size: 14234 SHA256: 6a583fef7036c06db1bd3ec1fd763660cbc1803c7783e934cec4f4c3f2af2d98 SHA1: 4bddaa18a60478a2c85243fafb30d3cd3609969a MD5sum: d075723f56b8e598a8538cc677a6d804 Description: Read/Write .ini style files with as little code as possible Config::Tiny is a perl class to read and write .ini style configuration files with as little code as possible, reducing load time and memory overhead. Memory usage is normally scoffed at in Perl, but in my opinion should be at least kept in mind. . This module is primarily for reading human written files, and anything we write shouldn't need to have documentation/comments. If you need something with more power, move up to Config::Simple, Config::General or one of the many other Config:: modules. To rephrase, Config::Tiny does not preserve your comments, whitespace, or the order of your config file. Homepage: http://search.cpan.org/dist/Config-Tiny/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::configuring Section: perl Priority: optional Filename: pool/main/libc/libconfig-tiny-perl/libconfig-tiny-perl_2.14-1_all.deb Package: libconfig-yaml-perl Version: 1.42-2 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl, libyaml-perl Size: 10324 SHA256: dfb54a42ad0324e27cabe62b675b2106d7a082d8f51db759769c42dcc9859fd9 SHA1: c64e681eff4a7293c45d67c650a7b585a5025e51 MD5sum: d267bd1aef051b3197f50e19fd9b40ee Description: module for manipulating YAML-based configuration files Config::YAML is a somewhat object-oriented wrapper around the YAML module designed to make reading and writing configuration files simple. It handles multiple configuration files (e.g. system and per-user configuration or a gallery application with per-directory configuration) with ease. Homepage: http://search.cpan.org/dist/Config-YAML/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::configuring Section: perl Priority: optional Filename: pool/main/libc/libconfig-yaml-perl/libconfig-yaml-perl_1.42-2_all.deb Package: libconfig8-dev Source: libconfig Version: 1.4.8-5 Architecture: armhf Maintainer: Jose Luis Tallon Installed-Size: 33 Depends: libconfig-dev Homepage: http://www.hyperrealm.com/libconfig/ Priority: extra Section: oldlibs Filename: pool/main/libc/libconfig/libconfig8-dev_1.4.8-5_armhf.deb Size: 9610 SHA256: 3f89da6466636bda68a50df3c50c0eff27c50e5ad1af59b85ee177853c7da99e SHA1: 0ec4a4c084b3d442a23d3c9a8eae16bb067088ef MD5sum: 0d877ca6781cb4e1fe73476c5dd6b2a7 Description: Transitional package for libconfig-dev This is a transitional package for libconfig-dev, and can be safely removed when no other package depends on it. Package: libconfig9 Source: libconfig Version: 1.4.8-5 Architecture: armhf Maintainer: Jose Luis Tallon Installed-Size: 82 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://www.hyperrealm.com/libconfig/ Priority: optional Section: libs Filename: pool/main/libc/libconfig/libconfig9_1.4.8-5_armhf.deb Size: 29772 SHA256: b61bf2b409636a27f0b95d831f17be43a5c13dc68cba61ebebfb5154193e4a61 SHA1: 016351e88a0fdd0e33288217160808ae4ade4819 MD5sum: bf3f9c352efbafcedc79a7428b0c0160 Description: parsing and manipulation of structured configuration files This library features a fully reentrant parser and includes bindings for both the C and C++ programming languages. It runs on modern POSIX-compliant systems such as Linux, Solaris, and Mac OS X (Darwin), as well as on Microsoft Windows 2000/XP and later (Visual Studio or MinGW). . This library allows parsing, manipulating and writing structured configuration files. Package: libconfigreader-perl Version: 0.5-4 Installed-Size: 112 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 23722 SHA256: bdfc7e0097a013c5058ee535cc1e1b5da85101a386e45cb56261a7d84bb114a5 SHA1: b77ad3b6ae4a0f4b35693db0f654cfe50205dee1 MD5sum: 2c7c8eab1426930faddc5f78c96b24de Description: Perl module for reading configuration files The ConfigReader library is a set of classes which reads directives from a configuration file. . Provided classes: * ConfigReader::Spec * ConfigReader::Values * ConfigReader::DirectiveStyle Tag: devel::lang:perl, devel::library, implemented-in::perl, use::configuring Section: perl Priority: optional Filename: pool/main/libc/libconfigreader-perl/libconfigreader-perl_0.5-4_all.deb Package: libconfigreader-simple-perl Version: 1.28-3 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Replaces: squidtaild (<< 2.1a6-5.4) Depends: perl Conflicts: squidtaild (<< 2.1a6-5.4) Size: 17518 SHA256: 68b9bd8ebeb26d66853c2e013621153ef54d0cf4b07ce93d1901227f764c8fb1 SHA1: 6e4cf6c28e09361ffc8eb34579c95706fc75d9b8 MD5sum: aa864f9a3ff4db22b9c1e81d996818f1 Description: simple configuration file parser ConfigReader::Simple reads and parses simple configuration files. It is designed to be smaller and simpler than the ConfigReader module and is more suited to simple configuration files. Homepage: http://search.cpan.org/dist/ConfigReader-Simple/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconfigreader-simple-perl/libconfigreader-simple-perl_1.28-3_all.deb Package: libconfuse-common Source: confuse Version: 2.7-4 Installed-Size: 20 Maintainer: Aurelien Jarno Architecture: all Replaces: libconfuse0 (<< 2.7-2) Size: 7322 SHA256: c68737830eb9e473773051b7109ece62854b1291c527c3abfa96d840fa787f54 SHA1: 0d23bf3d91a6923fef4a11c67a92e839c024f525 MD5sum: 24d324e960cf2e8cc41e611db1f19a52 Description: Common files for libConfuse This package contains internationalization files for the confuse library. Multi-Arch: foreign Homepage: http://www.nongnu.org/confuse/ Section: libs Priority: optional Filename: pool/main/c/confuse/libconfuse-common_2.7-4_all.deb Package: libconfuse-dev Source: confuse Version: 2.7-4 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 573 Depends: libconfuse0 (= 2.7-4) Homepage: http://www.nongnu.org/confuse/ Priority: optional Section: libdevel Filename: pool/main/c/confuse/libconfuse-dev_2.7-4_armhf.deb Size: 108772 SHA256: ca7b38e67e2cbe8a7d14db38f3561de1791fc2fa2a0da075a71144ed9beb1f35 SHA1: deb0f7ff0d7f2f021e9d4d413f0746355ddb2c85 MD5sum: 057cdc57e9727e9c46da417f33d5172a Description: Development files for libConfuse This package contains the header files and static library needed to compile applications that use the confuse library. Package: libconfuse0 Source: confuse Version: 2.7-4 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 79 Pre-Depends: multiarch-support Depends: libc6 (>= 2.7), libconfuse-common (= 2.7-4) Multi-Arch: same Homepage: http://www.nongnu.org/confuse/ Priority: optional Section: libs Filename: pool/main/c/confuse/libconfuse0_2.7-4_armhf.deb Size: 25226 SHA256: 36994aa43cc0d99462924e2fa14637470da3f11931110b132a79088d14cc4597 SHA1: 5889a56bd42b71ef6e661780710a8a82c6937a09 MD5sum: 166651ec7a9c4b5f0205f080dfcdda3e Description: Library for parsing configuration files libConfuse is a configuration file parser library written in C. It supports sections and (lists of) values (strings, integers, floats, booleans or other sections), as well as some other features (such as single/double-quoted strings, environment variable expansion, functions and nested include statements). . It makes it very easy to add configuration file capability to a program using a simple API. Package: libconqat-ccsm-commons-java Version: 2.7.dfsg-1 Installed-Size: 316 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless Size: 251954 SHA256: ec9b06fb9444d438652ef0ee021fee3c8b13d2e9764b5f0afb798a201055dfbf SHA1: 502c0c29a544d748d8f4b6e436cd57b9edb6f6e4 MD5sum: 4cecc658677d0c71fa35a9b7008b5c1a Description: ConQAT library of utility functions and classes This library is used by ConQAT and all other CCSM products. Homepage: http://conqat.in.tum.de/index.php/Ccsm-Commons_Library Section: java Priority: optional Filename: pool/main/libc/libconqat-ccsm-commons-java/libconqat-ccsm-commons-java_2.7.dfsg-1_all.deb Package: libconqat-ccsm-commons-java-doc Source: libconqat-ccsm-commons-java Version: 2.7.dfsg-1 Installed-Size: 12172 Maintainer: Debian Java Maintainers Architecture: all Depends: libconqat-ccsm-commons-java (= 2.7.dfsg-1) Size: 833658 SHA256: 95fde82c56cf9c29645701fd867b20b76e7c62c3ee909525c079985eee424915 SHA1: cfa37789d9c0f680ad889744e5c028e3baa14034 MD5sum: 5942e3dcd51862c83301c141d5751cf2 Description: ConQAT library of utility functions and classes This library is used by ConQAT and all other CCSM products. . This package contains the javadoc documentation. Homepage: http://conqat.in.tum.de/index.php/Ccsm-Commons_Library Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libconqat-ccsm-commons-java/libconqat-ccsm-commons-java-doc_2.7.dfsg-1_all.deb Package: libconqat-simulink-java Version: 2.7.dfsg-1 Installed-Size: 344 Maintainer: Debian Science Team Architecture: all Depends: default-jre-headless, libconqat-ccsm-commons-java, cup Size: 300674 SHA256: 50f5e0875129c47425c537d2f53022b56efd8dcecbaaa48f26ff8ddebf49898a SHA1: dae19b4e42d874d6f1c2dc4317e5c64352ec9be9 MD5sum: 4dffef47cc369ddda2caa4924110d3e6 Description: Simulink parsing library for Java The Simulink library provides a Java parser for MDL files. Simulink, developed by MathWorks, is a commercial and proprietary tool for modeling, simulating and analyzing multidomain dynamic systems. Homepage: http://conqat.in.tum.de/index.php/Simulink_Library Section: java Priority: optional Filename: pool/main/libc/libconqat-simulink-java/libconqat-simulink-java_2.7.dfsg-1_all.deb Package: libconqat-simulink-java-doc Source: libconqat-simulink-java Version: 2.7.dfsg-1 Installed-Size: 2724 Maintainer: Debian Science Team Architecture: all Depends: libconqat-simulink-java (= 2.7.dfsg-1) Size: 361924 SHA256: 8715a83db770ee2511ba912f71306069019b5faf030b4fe62c487a16a9af0685 SHA1: 60ec5db0b4358b7172e24b2457464d03765861b6 MD5sum: 097f263116f00e1c6a7174c7165663de Description: Simulink parsing library for Java The Simulink library provides a Java parser for MDL files. Simulink, developed by MathWorks, is a commercial and proprietary tool for modeling, simulating and analyzing multidomain dynamic systems. . This package contains the javadoc documentation. Homepage: http://conqat.in.tum.de/index.php/Simulink_Library Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libconqat-simulink-java/libconqat-simulink-java-doc_2.7.dfsg-1_all.deb Package: libconsole Source: console-tools Version: 1:0.2.3dbs-70 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 437 Depends: libc6 (>= 2.13-28) Conflicts: console-tools-libs Priority: optional Section: libs Filename: pool/main/c/console-tools/libconsole_0.2.3dbs-70_armhf.deb Size: 144908 SHA256: 930000ca882d91167039bc4faf5bf5ce0b300a01287baee7064c8eb266466e4a SHA1: 24354d88499d86f3d451e994507df6bdbaef74c8 MD5sum: 84985e1191c1e571cf30653f26b12838 Description: Shared libraries for Linux console and font manipulation This package includes the libconsole and libcfont libraries, which are intended to provide a high-level programming interface to the Linux console, and console-font files. Package: libconst-fast-perl Version: 0.011-1 Installed-Size: 50 Maintainer: Debian Perl Group Architecture: all Depends: perl, libsub-exporter-perl Size: 7966 SHA256: 924d6a21cd5c89024ec0ee2c877e873e447e37f00077ceea9a5407459b0901da SHA1: 3753b8990d9bcee3bc2a43fe3fc4d36a4ca8c90d MD5sum: d0d77ffe5f247fa3899dae9c530f0844 Description: Facility for creating read-only scalars, arrays, and hashes Const::Fast is a perl module for creating read-only scalars, arrays, and hashes. It enables you to set a variable to the given value and subsequently make it readonly. Arrays and hashes will be made deeply readonly. . This module uses the builtin readonly feature of perl, making access to the variables just as fast as any normal variable without the weird side-effects of ties. Homepage: http://search.cpan.org/dist/Const-Fast/ Section: perl Priority: optional Filename: pool/main/libc/libconst-fast-perl/libconst-fast-perl_0.011-1_all.deb Package: libconstantine-java Version: 0.7-3 Installed-Size: 332 Maintainer: Nicolas Duboc Architecture: all Depends: default-jre | java5-runtime Size: 237822 SHA256: 188146b97b7975f3a39ebaabbf9bb2edb3776ea57b79fc735ec1801a386e6e33 SHA1: 23f16547567c2ee4a3dd074a65aac0e9bbd69963 MD5sum: 10baaefe5940917448a588c337f35542 Description: platform constants for Java This package provides Java values for common platform C constants like those of files errno.h or sys/socket.h. . The upstream version of this package is now also known as jnr-constants. Homepage: http://github.com/wmeissner/jnr-constants/ Tag: role::shared-lib Section: java Priority: optional Filename: pool/main/libc/libconstantine-java/libconstantine-java_0.7-3_all.deb Package: libconstantine-java-doc Source: libconstantine-java Version: 0.7-3 Installed-Size: 7224 Maintainer: Nicolas Duboc Architecture: all Recommends: w3m | www-browser Suggests: libconstantine-java Size: 317614 SHA256: 1e17a8278ba1926cea45a8494de82688a5ab6b88c0dcee3a7bf460192b9f2d5c SHA1: b8498ca14718829f89c69f82e3ac048a9320bf03 MD5sum: ed0f383bd5ac7cd350d4786220556b26 Description: Java Constantine library API documentation This package includes the development documentation for the Constantine Java library which provides Java values for common platform C constants like those of files errno.h or sys/socket.h. . The upstream version of this package is now also known as jnr-constants. Homepage: http://github.com/wmeissner/jnr-constants/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libconstantine-java/libconstantine-java-doc_0.7-3_all.deb Package: libcontactsdb-dev Source: libcontactsdb Version: 0.5-8 Architecture: armhf Maintainer: Moray Allan Installed-Size: 70 Depends: libcontactsdb0 (= 0.5-8) Replaces: matchbox (<< 1:0.8.2) Multi-Arch: same Homepage: http://gpe.linuxtogo.org/ Priority: optional Section: libdevel Filename: pool/main/libc/libcontactsdb/libcontactsdb-dev_0.5-8_armhf.deb Size: 11606 SHA256: f9604a9d6fdd777278be1c9f557e51842a115ea39e2d8b54084f5bc53e2a37e5 SHA1: 91a633f93994571901af1ed0332cbe2385a13ea7 MD5sum: 317753b7be532ab810366a625f5a32e9 Description: shared library for accessing gpe-calendar database [development] This is the development package for programs that need to access the gpe-calendar database. Package: libcontactsdb0 Source: libcontactsdb Version: 0.5-8 Architecture: armhf Maintainer: Moray Allan Installed-Size: 59 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpepimc0, libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libsqlite0 (>= 2.8.17) Multi-Arch: same Homepage: http://gpe.linuxtogo.org/ Priority: optional Section: libs Filename: pool/main/libc/libcontactsdb/libcontactsdb0_0.5-8_armhf.deb Size: 12368 SHA256: a888b59b2a0aff120678a26a4dc74fdf4a48030867802ec6b0170a7b205b69a4 SHA1: a988ca125976ba5eb2cf27192ee676d658bcbd9d MD5sum: b8f4b1ba6d7876db916257be44c795f0 Description: shared library for accessing gpe-calendar database [runtime] This is the runtime package for programs that need to access the gpe-calendar database. Package: libcontactsdb0-dbg Source: libcontactsdb Version: 0.5-8 Architecture: armhf Maintainer: Moray Allan Installed-Size: 77 Depends: libcontactsdb0 (= 0.5-8) Homepage: http://gpe.linuxtogo.org/ Priority: extra Section: debug Filename: pool/main/libc/libcontactsdb/libcontactsdb0-dbg_0.5-8_armhf.deb Size: 16340 SHA256: 0542b7ff0af0d2257cc2f039ceba06751bf5b85a217e69a196f1769ffc733615 SHA1: bf1d86bcc85c31514c6dce4f8195c9ebd429dfce MD5sum: 01a33cd52a9417775f608553a9ba37b2 Description: shared library for accessing gpe-calendar database [debugging] This is the debugging package for programs that need to access the gpe-calendar database. Package: libcontext-preserve-perl Version: 0.01-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 7224 SHA256: 79c8c911b05b5c829082860640d1862399a70741f20b45fe59a80b2e4568a458 SHA1: 1761a6a32747a89d65f286e5af56af7ee4e7f5bf MD5sum: e1cf998867cf6f57c594b114b994e44f Description: Perl module to preserve calling context Context::Preserve allows developers to preserve the calling context of their subroutines, which is useful for functions that call other functions and return their results, with some possible modifications. This means that, if your module is called in scalar, list or void context, the given subroutine is called in the same context and the appropriate 'thing' is returned. . This means that it is easy to write functions that call other functions, modify their result and return it, in a seamless manner. Homepage: http://search.cpan.org/dist/Context-Preserve/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcontext-preserve-perl/libcontext-preserve-perl_0.01-1_all.deb Package: libcontextual-return-perl Version: 0.004003-1 Installed-Size: 196 Maintainer: Debian Perl Group Architecture: all Depends: perl, libwant-perl Size: 60216 SHA256: c3a932750a70e5c5db744b9bbcb105cb534cba44cbc8f6813837c15132f3fb0b SHA1: 5e907875341366e5ea932ad84e04a7e508911255 MD5sum: eeb7d21bc939c57523d7f6d10d6abf76 Description: module for creating context-sensitive return values Contextual::Return provides a collection of named blocks that allow a return statement to return different values depending on the context in which it's called. Homepage: http://search.cpan.org/dist/Contextual-Return/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcontextual-return-perl/libcontextual-return-perl_0.004003-1_all.deb Package: libconvert-ascii-armour-perl Version: 1.4-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl, perl (>= 5.10) | libio-compress-perl | libcompress-zlib-perl Size: 10102 SHA256: 61c93c59fb0143ee865b27db699bd11a21be69426dcc72e4210688e53bf51e37 SHA1: 536d41ae7cfda4fa3b1fddeaedcd36945445f398 MD5sum: b2b58ffaf2debe49d594684a6e4f8486 Description: module to convert binary into ASCII-armoured messages Convert::ASCII::Armour is a Perl module designed to convert hashes of binary octets into ASCII messages suitable for transfer over 6-bit clean transport channels. The encoded ASCII resembles PGP's armoured messages, but are in no way compatible with PGP. Homepage: http://search.cpan.org/dist/Convert-ASCII-Armour/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconvert-ascii-armour-perl/libconvert-ascii-armour-perl_1.4-1_all.deb Package: libconvert-asn1-perl Version: 0.26-1 Installed-Size: 150 Maintainer: Debian Perl Group Architecture: all Depends: perl, libmath-bigint-perl (>= 1.997) | perl (>= 5.15.3) Size: 46622 SHA256: 99af291bd7239f8bc7b95e88d49373d55608123409b075c75ddad168ce01a9ae SHA1: 6046e9577f4e36d9ef9191408a22e4cf5180a49a MD5sum: 0332f2c208fc14f1f09cc67898d05f98 Description: Perl module for encoding and decoding ASN.1 data structures Convert::ASN1 will parse ASN.1 descriptions and will encode from and decode to perl data structures using a hierarchy of references. Homepage: http://search.cpan.org/dist/Convert-ASN1/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconvert-asn1-perl/libconvert-asn1-perl_0.26-1_all.deb Package: libconvert-base32-perl Version: 0.05-1 Installed-Size: 49 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 6808 SHA256: f76a17151671396e4124eae594940cdf5496c388b3d5bf9fd57288887c67c1b3 SHA1: 369130311936aec6269096b7515342f9ebfb406f MD5sum: 79c9550b38c204efd0fa35d218132727 Description: module for encoding and decoding of base32 strings Convert::Base32 provides functions to convert string from / to Base32 encoding, specified in RACE internet-draft. The Base32 encoding is designed to encode non-ASCII characters in DNS-compatible host name parts. . See http://tools.ietf.org/html/draft-ietf-idn-race-03 for more details. Homepage: http://search.cpan.org/dist/Convert-Base32/ Section: perl Priority: optional Filename: pool/main/libc/libconvert-base32-perl/libconvert-base32-perl_0.05-1_all.deb Package: libconvert-ber-perl Version: 1.3200-1 Installed-Size: 136 Maintainer: Nacho Barrientos Arias Architecture: all Depends: perl Size: 33362 SHA256: 6032ec80561eb1805b50307789787bf4bcc2bd53b7a9144af452290cd8e697cb SHA1: 3deba1bfcd903c4ddbeb40f8fdc6858d3bef8610 MD5sum: f981f7603fb7853e67dd12cae636b3c2 Description: Perl implementation of Basic Encoding Rules (BER) Convert::BER is a perl object class implementation to encode and decode objects as described by ITU-T standard X.209 (ASN.1) using Basic Encoding Rules (BER). Homepage: http://search.cpan.org/dist/Convert-BER/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: extra Filename: pool/main/libc/libconvert-ber-perl/libconvert-ber-perl_1.3200-1_all.deb Package: libconvert-binary-c-perl Version: 0.76-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 865 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://search.cpan.org/dist/Convert-Binary-C/ Priority: optional Section: perl Filename: pool/main/libc/libconvert-binary-c-perl/libconvert-binary-c-perl_0.76-1_armhf.deb Size: 295014 SHA256: 89bc8c757cc02ccaa9956b89ed1b5ecbd10d743fbcdb00321ded1b15ccae5379 SHA1: 0ae02bee232f085f169122fbd0d65688e800b97f MD5sum: 9a8c1f520cff782f18ce751accc01c6f Description: Binary Data Conversion using C Types Convert::Binary::C is a preprocessor and parser for C type definitions. It is highly configurable and supports arbitrarily complex data structures. Its object-oriented interface has pack and unpack methods that act as replacements for Perl's pack and unpack and allow to use C types instead of a string representation of the data structure for conversion of binary data from and to Perl's complex data structures. . Actually, what Convert::Binary::C does is not very different from what a C compiler does, just that it doesn't compile the source code into an object file or executable, but only parses the code and allows Perl to use the enumerations, structs, unions and typedefs that have been defined within your C source for binary data conversion, similar to Perl's pack and unpack. Package: libconvert-binhex-perl Version: 1.119+pristine-3 Installed-Size: 120 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 30338 SHA256: 4ae1a6e8cafc52acfa7e708061146433f00b2f95ad072167cb8354ebc6971b38 SHA1: 4ae67cfab67b8a1dd8475d5c6533a7c58fa1e4b2 MD5sum: 6f0d4e07e5f709f0a7e92f28294fa865 Description: Perl5 module for extracting data from macintosh BinHex files BinHex is a format used by Macintosh for transporting Mac files safely through electronic mail, as short-lined, 7-bit, semi-compressed data streams. This module provides a means of converting those data streams back into into binary data. Homepage: http://search.cpan.org/dist/Convert-BinHex/ Tag: devel::lang:perl, devel::library, implemented-in::perl, works-with::mail Section: perl Priority: optional Filename: pool/main/libc/libconvert-binhex-perl/libconvert-binhex-perl_1.119+pristine-3_all.deb Package: libconvert-color-perl Version: 0.08-1 Installed-Size: 176 Maintainer: Debian Perl Group Architecture: all Depends: perl, liblist-utilsby-perl Recommends: x11-common Size: 44548 SHA256: 347113944b8f11a7241d0f0c2d87d3c64e87ef152a73068476d6831bbb7a31f8 SHA1: e6a411e06db8b4df85a91f3310a73b7e235c16b0 MD5sum: 6c5456248f14444469e28dbc6de44b6d Description: Perl module for color space conversions and named lookups Convert::Color provides conversions between commonly used ways to express colors. It provides conversions between color spaces such as RGB and HSV, and it provides ways to look up colors by a name. Homepage: http://search.cpan.org/dist/Convert-Color/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconvert-color-perl/libconvert-color-perl_0.08-1_all.deb Package: libconvert-nls-date-format-perl Version: 0.05-1 Installed-Size: 51 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 7530 SHA256: a7b4daf677922292ff192495d04ec3aa74476e1904b9925d7005bacce24ce186 SHA1: 4ac9df84e76040c36d662464303c53636b3abf1e MD5sum: bfb9664617975aa48f895bb262975c36 Description: module for converting Oracle NLS_DATE_FORMAT <-> strftime Format Strings Convert::NLS_DATE_FORMAT converts Oracle's NLS_DATE_FORMAT string into a strptime format string, or the reverse. Homepage: http://search.cpan.org/dist/Convert-NLS_DATE_FORMAT/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconvert-nls-date-format-perl/libconvert-nls-date-format-perl_0.05-1_all.deb Package: libconvert-pem-perl Version: 0.08-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-errorhandler-perl, libconvert-asn1-perl (>= 0.10), libcrypt-des-ede3-perl Size: 22218 SHA256: 276c7fb6691078220a13096396ed64e35e3a2ada9739d7894101c22fc3982c89 SHA1: 13c04deb3e58fc502f01c5e22bf9c8950c1cb8fd MD5sum: 41eb68c0e922daf6e7d94b56596402ae Description: Perl module for reading/writing encrypted ASN.1 PEM files Convert::PEM reads/writes encrypted ASN.1 PEM files. The files can optionally be encrypted using a symmetric cipher algorithm, such as 3DES. Homepage: http://search.cpan.org/dist/Convert-PEM/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconvert-pem-perl/libconvert-pem-perl_0.08-1_all.deb Package: libconvert-tnef-perl Version: 0.17-11 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Depends: perl, libio-stringy-perl, libmime-tools-perl Size: 24270 SHA256: 737ded66b7fa9fd0559e230983bf125473fe3aea94bb1b47607441327ba62862 SHA1: 4bf61a25dfcdc06d44af37b42efa48edc0c1ce31 MD5sum: 901f6c8b8b595acca5302c05a1e97067 Description: Perl module to read TNEF files TNEF stands for Transport Neutral Encapsulation Format, and if you've ever been unfortunate enough to receive one of these files as an email attachment, you may want to use this module. Homepage: http://search.cpan.org/dist/Convert-TNEF/ Tag: devel::lang:perl, implemented-in::perl, use::converting, use::viewing, works-with::mail Section: perl Priority: optional Filename: pool/main/libc/libconvert-tnef-perl/libconvert-tnef-perl_0.17-11_all.deb Package: libconvert-units-perl Version: 1:0.43-1 Installed-Size: 48 Maintainer: Krzysztof Krzyzaniak (eloy) Architecture: all Depends: perl5 Size: 14990 SHA256: 7c4d7896c27d24940ab55f40031abacc5845377f6da0bf159a0b678238d2bebc SHA1: 84e4e67d291136832745cad5ee0fb9f294e7349c MD5sum: f5647be5e58c4a341c22e0de1c070377 Description: Perl module for performing unit conversions The Units package is a collection of modules for parsing strings with unit measurements (such as "12pt" or "3 meters") and converting them to some other unit (such as "picas" or "inches"). Tag: devel::lang:perl, devel::library, implemented-in::perl, use::converting Section: perl Priority: extra Filename: pool/main/libc/libconvert-units-perl/libconvert-units-perl_0.43-1_all.deb Package: libconvert-uulib-perl Version: 1:1.4~dfsg-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 285 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Convert::UUlib/ Priority: optional Section: perl Filename: pool/main/libc/libconvert-uulib-perl/libconvert-uulib-perl_1.4~dfsg-1_armhf.deb Size: 109810 SHA256: acafa4aa2e3ba6ab20c5f3261e7e00f3fe8102b908911237f42a770ce71590c8 SHA1: 8b9146eef6d808c66119ced39a649738d5d513e7 MD5sum: 766964d83ad82c8c5d4358571481593c Description: Perl interface to the uulib library (a.k.a. uudeview/uuenview) Convert::UUlib is a versatile and powerful decoder/encoder library for a variety of encodings used in Usenet and Mail (uuencode, xxencode, b64, binhex...). . The library contains a variety of heuristics to reliably decode any files found in the input files, whether part of a single mail folder or spread over hundreds of files. Its two-pass architecture makes it possible to decode hundreds of megabytes in one sweep, without using much virtual memory. Package: libconvert-ytext-perl Version: 0.1.2-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8404 SHA256: c579ecaf9434bf4c4d29e2dd639539d722f8c2c4605fd5ef79fa53f5ff873b46 SHA1: 9ea049bbdfe510364c29159556d0c0bfdfd6371b MD5sum: 7ef363a358d0a2a52bcd4cdcd66e5515 Description: Perl module to quote strings suitably for RFC2822 local parts Convert::YText converts strings to and from "YText", a format inspired by xtext defined in RFC1894, the MIME base64 and quoted-printable types (RFC 1394). The main goal is encode a UTF8 string into something safe for use as the local part in an internet email address (RFC2822). . By default spaces are replaced with "+", "/" with "~", the characters "A-Za-z0-9_.-" encode as themselves, and everything else is written "=USTR=" where USTR is the base64 (using "A-Za-z0-9_." as digits) encoding of the unicode character code. The encoding is configurable (see below). Homepage: http://search.cpan.org/dist/Convert-YText/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libconvert-ytext-perl/libconvert-ytext-perl_0.1.2-1_all.deb Package: libcoq-ocaml Source: coq Version: 8.3.pl4+dfsg-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 2195 Depends: liblablgtk2-ocaml-yeug0, ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Breaks: coq (<< 8.3~), coq-libs, libcoq-ocaml-dev (<< 8.3~) Replaces: coq (<< 8.3~), coq-libs, libcoq-ocaml-dev (<< 8.3~) Provides: libcoq-ocaml-x6al0 Homepage: http://coq.inria.fr/ Priority: optional Section: ocaml Filename: pool/main/c/coq/libcoq-ocaml_8.3.pl4+dfsg-2_armhf.deb Size: 533226 SHA256: 710515aad506e53a2e99710761fec7b6fa545e43a9a519db223e5ebd0a4bc806 SHA1: d1a841840cc93d9f4120eb43f3535b203db235e4 MD5sum: 5120074b29eb8057bbd7feba4928e5f7 Description: runtime libraries for Coq Coq is a proof assistant for higher-order logic, which allows the development of computer programs consistent with their formal specification. It is developed using Objective Caml and Camlp5. . This package provides runtime libraries for Coq. Package: libcoq-ocaml-dev Source: coq Version: 8.3.pl4+dfsg-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 9114 Depends: coq (= 8.3.pl4+dfsg-2), camlp5-1kaa3, libcoq-ocaml-x6al0, liblablgtk2-ocaml-dev-yeug0, ocaml-nox-3.12.1 Breaks: coq (<< 8.2-1+dfsg-1), coq-libs (<< 8.2.pl1) Replaces: coq (<< 8.2-1+dfsg-1), coq-libs (<< 8.2.pl1) Provides: libcoq-ocaml-dev-x6al0 Homepage: http://coq.inria.fr/ Priority: optional Section: ocaml Filename: pool/main/c/coq/libcoq-ocaml-dev_8.3.pl4+dfsg-2_armhf.deb Size: 2535814 SHA256: f75eedbe6bf25644f82a91da8f77ed8883ebd26cc6ee4c215267d7b956a81782 SHA1: d2b9357497bd7bdcd1217d87d067ab4ba68695bf MD5sum: 2a98fae39f5df69749f392f3fb0b2333 Description: development libraries and tools for Coq Coq is a proof assistant for higher-order logic, which allows the development of computer programs consistent with their formal specification. It is developed using Objective Caml and Camlp5. . This package provides coqmktop, and libraries needed to develop OCaml-side extensions to Coq. Package: libcore-ocaml Source: janest-core Version: 107.01-5 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 104 Depends: ocaml-findlib, ocaml-base-nox-3.12.1, libc6 (>= 2.13-28) Provides: libcore-ocaml-o4cd4 Homepage: http://www.janestcapital.com/ocaml/ Priority: optional Section: ocaml Filename: pool/main/j/janest-core/libcore-ocaml_107.01-5_armhf.deb Size: 27740 SHA256: 563463df55377df94462c4fd6effb3497e00014b8d053b07d481e70eb7f044e5 SHA1: 494ba4f7f932f7a31b50f11eb18a2718cd779a50 MD5sum: 869b85a2d4b8f9a60fe7a1cf377aa11d Description: Jane Street's standard library for OCaml (runtime) Core is an alternative to the OCaml standard library developed by Jane Street Capital. . Core does a number of things: . * provides tail recursive versions of non tail recursive functions in the standard library; * changes the signature of many of the standard modules to enforce consistent naming conventions; * includes generic serialization for most types; * adds some new modules as well as new functionality to existing modules. . Beware that Core extends some functionality of the legacy standard library, and outright changes or replaces other; backward compatibility . The code of Core is routinely reviewed within Jane Street Capital according to their quality assurance requirements. . This package contains the runtime stuff you need to run programs using Core. Package: libcore-ocaml-dev Source: janest-core Version: 107.01-5 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 11629 Depends: ocaml-findlib, libbin-prot-camlp4-dev-lkay7, libcore-ocaml-o4cd4, libfields-camlp4-dev-w8id6, libres-ocaml-dev-klsr3, libsexplib-camlp4-dev-lkae0, ocaml-nox-3.12.1 Recommends: libcore-ocaml-doc Provides: libcore-ocaml-dev-o4cd4 Homepage: http://www.janestcapital.com/ocaml/ Priority: optional Section: ocaml Filename: pool/main/j/janest-core/libcore-ocaml-dev_107.01-5_armhf.deb Size: 4145612 SHA256: 118f5fd5ba6ff2587e874ee3f05510055d73522d022c9db73f1639a7ba84a32e SHA1: c1a1466673a7fc0e761d1d5fc109e582deb4317d MD5sum: e2c00af5bb2a26bae186eff7d803d280 Description: Jane Street's standard library for OCaml Core is an alternative to the OCaml standard library developed by Jane Street Capital. . Core does a number of things: . * provides tail recursive versions of non tail recursive functions in the standard library; * changes the signature of many of the standard modules to enforce consistent naming conventions; * includes generic serialization for most types; * adds some new modules as well as new functionality to existing modules. . Beware that Core extends some functionality of the legacy standard library, and outright changes or replaces other; backward compatibility with the legacy standard library has not to be expected. . The code of Core is routinely reviewed within Jane Street Capital according to their quality assurance requirements. . This package contains the development stuff you need to use Core in your programs. Package: libcore-ocaml-doc Source: janest-core Version: 107.01-5 Installed-Size: 30280 Maintainer: Debian OCaml Maintainers Architecture: all Enhances: libcore-ocaml-dev Size: 1441648 SHA256: 4fcb2649bb8a942f3fce481887df6c4d892ee521b96b176d8fbb3d1d9590a599 SHA1: d358a4bb5e915731403769afbaa0b39fab6f4f3a MD5sum: b4c7306f9fba6b8b0e41289fdb9f8a91 Description: Jane Street's standard library for OCaml - documentation Core is an alternative to the OCaml standard library developed by Jane Street Capital. . Core does a number of things: . * provides tail recursive versions of non tail recursive functions in the standard library; * changes the signature of many of the standard modules to enforce consistent naming conventions; * includes generic serialization for most types; * adds some new modules as well as new functionality to existing modules. . Beware that Core extends some functionality of the legacy standard library, and outright changes or replaces other; backward compatibility . The code of Core is routinely reviewed within Jane Street Capital according to their quality assurance requirements. . This package contains the ocamldoc API reference in HTML format for Core. Homepage: http://www.janestcapital.com/ocaml/ Tag: devel::doc, devel::lang:ocaml, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/j/janest-core/libcore-ocaml-doc_107.01-5_all.deb Package: libcore-renderer-java Source: xhtmlrenderer Version: 0.0~R8-1 Installed-Size: 1952 Maintainer: Debian Java Maintainers Architecture: all Depends: libitext-java (>= 2.0) Size: 1786490 SHA256: 4f23d38a4c54b2b8a983fa7582ca887ef1f09dae1b51f41a46772827ddc77880 SHA1: a8d03ef1b97e4f16b9f699c0133d2f35f4c00d99 MD5sum: 57bce7317713ffa1c89332afa740b7fa Description: Java library that provides an XML/XHTML/CSS 2.1 Renderer xhtmlrender is an XML/CSS renderer, which means it takes XML files as input, applies formatting and styling using CSS, and generates a rendered representation of that XML as output. The output may go to the screen (in a GUI), to an image or to a PDF file. . The main target for content is XHTML 1.0 (strict), an XML document format that standardizes HTML. However, xhtmlrenderer accepts any well-formed XML for rendering as long as CSS is provided that tells how to lay it out. . In the case of XHTML, default stylesheets are provided out of the box and packaged within the library. Homepage: https://xhtmlrenderer.dev.java.net/ Section: java Priority: optional Filename: pool/main/x/xhtmlrenderer/libcore-renderer-java_0.0~R8-1_all.deb Package: libcore-renderer-java-doc Source: xhtmlrenderer Version: 0.0~R8-1 Installed-Size: 17940 Maintainer: Debian Java Maintainers Architecture: all Recommends: libcore-renderer-java Suggests: default-jdk-doc Size: 2852160 SHA256: 9060a867e73ea2190a3b1812e8a006c52d17103fbfb82eb8accabfc613c174c9 SHA1: ee280299c57f94cc75a54f6f6f2cf487874bc5dc MD5sum: 3cf700dd765ed14001a9cb1fdfff19ab Description: Documentation for libcore-renderer-java Documentation for xhtmlrender that is an XML/CSS renderer, which means it takes XML files as input, applies formatting and styling using CSS, and generates a rendered representation of that XML as output. The output may go to the screen (in a GUI), to an image or to a PDF file. . The main target for content is XHTML 1.0 (strict), an XML document format that standardizes HTML. However, xhtmlrenderer accepts any well-formed XML for rendering as long as CSS is provided that tells how to lay it out. . In the case of XHTML, default stylesheets are provided out of the box and packaged within the library. . This package contains the javadoc files of libcore-renderer-java and the user guide reference for xhtmlrenderer. Homepage: https://xhtmlrenderer.dev.java.net/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/x/xhtmlrenderer/libcore-renderer-java-doc_0.0~R8-1_all.deb Package: libcorelinux-dev Source: libcorelinux Version: 0.4.32-7.3 Architecture: armhf Maintainer: Christophe Prud'homme Installed-Size: 1127 Depends: libcorelinuxc2a, libc6-dev Suggests: libcorelinux-doc, libcorelinux-examples Priority: optional Section: libdevel Filename: pool/main/libc/libcorelinux/libcorelinux-dev_0.4.32-7.3_armhf.deb Size: 212046 SHA256: a0cda0d2a041c1a32d6a20c844e189d49b1b60c2c4980e74dfc76744212f099c SHA1: 216dedfb6536f98235f98aa5d9b3b4be58e776c8 MD5sum: c5ff23013c3a3b1ea025b3315463b0ee Description: Foundation Classes, Design Patterns, IPC and Threads OOA and OOD for Linux development kit. . This package provides the include files, the manpages and the static library for development with corelinux. . OOA: Object Oriented Analysis OOD: Object Oriented Design check http://corelinux.sourceforge.net . libcorelinux provides the following features: . Foundation classes Inter-process communication Process and threads Design Patterns (complete) Creational Design Patterns Structural Design Patterns Behavioral Design Patterns Package: libcorelinux-doc Source: libcorelinux Version: 0.4.32-7.3 Installed-Size: 5132 Maintainer: Christophe Prud'homme Architecture: all Size: 515426 SHA256: b83af472f2ca2dd006e1fbfc7f30052139bb516f4dcb284d204d83bdea8f71f1 SHA1: 2b5f0efbe6c008cc9ab0db748985e76e71228bdc MD5sum: be73843625c0a3f90358e99b6a6b5e6d Description: Foundation Classes, Design Patterns, IPC and Threads OOA and OOD for Linux: reference manual. . This package provides the full reference manual in HTML, PS and PDF format. . HTML: /usr/share/doc/libcorelinux-doc/html/index.html PS: /usr/share/doc/libcorelinux-doc/corelinux-ref.ps.gz PDF: /usr/share/doc/libcorelinux-doc/corelinux-ref.pdf.gz . OOA: Object Oriented Analysis OOD: Object Oriented Design check http://corelinux.sourceforge.net . libcorelinux provides the following features: . Foundation classes Inter-process communication Process and threads Design Patterns (complete) Creational Design Patterns Structural Design Patterns Behavioral Design Patterns Tag: devel::doc, devel::library, made-of::html, made-of::pdf, made-of::postscript, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcorelinux/libcorelinux-doc_0.4.32-7.3_all.deb Package: libcorelinux-examples Source: libcorelinux Version: 0.4.32-7.3 Installed-Size: 576 Maintainer: Christophe Prud'homme Architecture: all Depends: libcorelinux-dev Size: 219488 SHA256: d443617f1c6bab8751b08e1297b8d0ae25fa4783ca6c7fcf4d5c72c51ac969ed SHA1: 81f0f2231516dba494848b56a5ec89dd180fd3b4 MD5sum: 3f2b094b1aee665f88e29dbf3077dc88 Description: Foundation Classes, Design Patterns, IPC and Threads OOA and OOD for Linux: examples. . This package provides examples for corelinux. . OOA: Object Oriented Analysis OOD: Object Oriented Design check http://corelinux.sourceforge.net . libcorelinux provides the following features: . Foundation classes Inter-process communication Process and threads Design Patterns (complete) Creational Design Patterns Structural Design Patterns Behavioral Design Patterns Tag: devel::examples, devel::library, implemented-in::c++, role::source Section: devel Priority: optional Filename: pool/main/libc/libcorelinux/libcorelinux-examples_0.4.32-7.3_all.deb Package: libcorelinuxc2a Source: libcorelinux Version: 0.4.32-7.3 Architecture: armhf Maintainer: Christophe Prud'homme Installed-Size: 258 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: libcorelinux Replaces: libcorelinux Priority: optional Section: libs Filename: pool/main/libc/libcorelinux/libcorelinuxc2a_0.4.32-7.3_armhf.deb Size: 89796 SHA256: 350576843fb78c9edc32e494f19abd4c2d2af88551fa2ba8fc1db44aa98a5646 SHA1: 1cef5f1a760b5b71ae3c281982fd37240c9bcf4e MD5sum: 82f79865e47ca5b76b463d5274a30f7c Description: Foundation Classes, Design Patterns, IPC and Threads OOA and OOD for Linux dynamic libraries. . This package provides the shared libraries for corelinux so that you can run any corelinux based code on the machine. . OOA: Object Oriented Analysis OOD: Object Oriented Design check http://corelinux.sourceforge.net . libcorelinux provides the following features: . Foundation classes Inter-process communication Process and threads Design Patterns (complete) Creational Design Patterns Structural Design Patterns Behavioral Design Patterns Package: libcoro-perl Version: 6.080-3+rpi1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 447 Depends: perl (>= 5.14.2-12+rpi1), perlapi-5.14.2, libc6 (>= 2.13-28), libguard-perl, libanyevent-perl, libcommon-sense-perl Recommends: libevent-perl, libio-aio-perl Homepage: http://search.cpan.org/dist/Coro/ Priority: optional Section: perl Filename: pool/main/libc/libcoro-perl/libcoro-perl_6.080-3+rpi1_armhf.deb Size: 230302 SHA256: ea449ebd388fac91da362c8463414c4c95cb9f622ab6357fc1672728c2ab7042 SHA1: ab46ab00bf50f6bbdca7c2e6a024be30aa8c3ea4 MD5sum: 680408ef617113da37c73acc06d31be3 Description: Perl framework implementing coroutines Coro is a collection of modules which manages continuations in general, most often in the form of cooperative threads (also called coros, or simply "coro" in the documentation). They do not actually execute at the same time, even on machines with multiple processors. . The specific flavor of thread offered by this module also guarantees you that it will not switch between threads unless necessary. It switches at easily- identified points in your program, so locking and parallel access are rarely an issue, making threaded programming much safer and easier than using other threading models. . Coro provides a full shared address space, which makes communication between threads very easy. A parallel matrix multiplication benchmark runs over 300 times faster on a single core than perl's ithreads on a quad core using all four cores. Package: libcoroipcc-dev Source: corosync Version: 1.4.2-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 203 Depends: libcoroipcc4 (= 1.4.2-3) Conflicts: libcorosync-dev (<= 1.4.2-1) Replaces: libcorosync-dev (<= 1.4.2-1) Priority: optional Section: libdevel Filename: pool/main/c/corosync/libcoroipcc-dev_1.4.2-3_armhf.deb Size: 152216 SHA256: 7b61fe97a9e433e1db2c95e0bd97e43cf464925cdf3e1861bacdeaf954bdc2b5 SHA1: ced5eb70a5af98b523c86e5a257fc79a7c3a19fd MD5sum: b8f13bbd2d1a4958052892fdb0efee04 Description: Standards-based cluster framework, CoroIPCC devel files Corosync is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package contains the CoroIPCC library, which is a library included in Corosync. Package: libcoroipcc4 Source: corosync Version: 1.4.2-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 186 Depends: libc6 (>= 2.13-28) Conflicts: libcorosync4 (<= 1.4.2-1) Replaces: libcorosync4 (<= 1.4.2-1) Priority: optional Section: libs Filename: pool/main/c/corosync/libcoroipcc4_1.4.2-3_armhf.deb Size: 151758 SHA256: 3422134fc86f4ce71227dadac5d5b1245ee44cc26c15a783fdc8f072a32bd2d3 SHA1: f9b145eee4142b78dd12f69ac507c0d76927e6e7 MD5sum: aa7fa909e34d901adcdbec291960ca84 Description: Standards-based cluster framework, Coroipcc library Corosync is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package contains the Coroipcc library, which is a library included in Corosync. Package: libcoroipcs-dev Source: corosync Version: 1.4.2-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 204 Depends: libcoroipcs4 (= 1.4.2-3) Conflicts: libcorosync-dev (<= 1.4.2-1) Replaces: libcorosync-dev (<= 1.4.2-1) Priority: optional Section: libdevel Filename: pool/main/c/corosync/libcoroipcs-dev_1.4.2-3_armhf.deb Size: 153586 SHA256: 855e99e23f306880f3cfe0de75a7dde0400bcdb999d79bcf5b005a60e63b653f SHA1: 9ebc87355af655d5874961ed16ba560fd3d99d2a MD5sum: db2afa93776f13650c596b342de0321b Description: Standards-based cluster framework, CoroIPCS devel files Corosync is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package contains the CoroIPCS library, which is a library included in Corosync. Package: libcoroipcs4 Source: corosync Version: 1.4.2-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 185 Depends: libc6 (>= 2.13-28) Conflicts: libcorosync4 (<= 1.4.2-1) Replaces: libcorosync4 (<= 1.4.2-1) Priority: optional Section: libs Filename: pool/main/c/corosync/libcoroipcs4_1.4.2-3_armhf.deb Size: 152298 SHA256: e394cf05664257f2238ba88075705a1e3db980b7083a3b9e7b508e481b9b4de1 SHA1: ce2623e93de0bd568e690257e13c503499b389a1 MD5sum: ba8d162e8f2bd37a76cfbd5862b25092 Description: Standards-based cluster framework, Coroipcs library Corosync is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package contains the Coroipcs library, which is a library included in Corosync. Package: libcorona-perl Version: 0.1004-3 Architecture: armhf Maintainer: Dmitry E. Oboukhov Installed-Size: 75 Depends: perl, libcoro-perl, libanyevent-perl, libnet-server-coro-perl, libev-perl, libnet-server-perl, libplack-perl Homepage: http://search.cpan.org/dist/Corona/ Priority: extra Section: perl Filename: pool/main/libc/libcorona-perl/libcorona-perl_0.1004-3_armhf.deb Size: 12012 SHA256: 43cd447c27207e894187e740708d0cf712c1fd7a2a1f3f6710e827da7759c5e0 SHA1: 049cab9e9a2550a731b5f4dc6f9de042c7679361 MD5sum: 5caa4f5bdc9ef304754541197fea9de9 Description: Coro based PSGI web server Corona is a Coro based Plack web server. It uses Net::Server::Coro under the hood, which means we have coroutines (threads) for each socket, active connections and a main loop. Package: libcorosync-dev Source: corosync Version: 1.4.2-3 Installed-Size: 163 Maintainer: Debian HA Maintainers Architecture: all Depends: libcfg-dev, libconfdb-dev, libcoroipcc-dev, libcoroipcs-dev, libcpg-dev, libevs-dev, liblogsys-dev, libpload-dev, libquorum-dev, libsam-dev, libtotem-pg-dev, libvotequorum-dev, corosync-dev Conflicts: libopenais-dev (<< 0.85), libopenais-legacy-dev (<< 1.0.0) Size: 142320 SHA256: 404cec137f547320889a81a29dc478c2ccba3cf41b30b9434ae170e56b9a46f3 SHA1: 06e96c127ede7d51c42092ced10ca791f086a2b5 MD5sum: 1280ef85ad99edeab3bbbea1fc4e4072 Description: Standards-based cluster framework (transitional package) The corosync project is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package used to contain the Corosync development files and remains as a transitional package to pull the real files in. Tag: devel::library, role::devel-lib Section: libdevel Priority: optional Filename: pool/main/c/corosync/libcorosync-dev_1.4.2-3_all.deb Package: libcorosync4 Source: corosync Version: 1.4.2-3 Installed-Size: 163 Maintainer: Debian HA Maintainers Architecture: all Depends: libcfg4, libconfdb4, libcoroipcc4, libcoroipcs4, libcpg4, libevs4, liblogsys4, libpload4, libquorum4, libsam4, libtotem-pg4, libvotequorum4 Conflicts: libopenais2 (<< 0.85) Size: 142296 SHA256: 1cc3f32e6fcb76ab5ee53bb91f60a1f56bd77218df0c8fc1cb7147f1eaa4d4bc SHA1: d1676ac457b9968d1e628dc70ea9c75291aac38f MD5sum: 5217619c0c2d4e93eafda84c47a990a0 Description: Standards-based cluster framework (transitional package) The corosync project is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package used to contain the Corosync libraries and remains as a transitional package to pull the real libraries in. Tag: role::shared-lib Section: libs Priority: optional Filename: pool/main/c/corosync/libcorosync4_1.4.2-3_all.deb Package: libcortado-java Source: cortado Version: 0.6.0-1 Installed-Size: 836 Maintainer: Debian Java Maintainers Architecture: all Replaces: cortado (<< 0.5.2) Size: 728940 SHA256: 3ce0df276042b5936d2166538bff2af4d77a2358a95db32dc3cd746532a52b4d SHA1: 7a8e56cc0edb5d5340a55da45fd96dad58d0bcb8 MD5sum: 4ba332658436b6cbd160b4a7a624cdf6 Description: streaming applet for Ogg formats By embedding the cortado applet in your website, you can give viewers access to streams from either the Flumotion streaming server or any HTTP server providing multimedia files without the need for a locally installed media player supporting the correct formats on the visitor's computer. Cortado currently include Java decoders for Ogg Theora, Ogg Vorbis (the JOrbis library), Mulaw audio, MJPEG and Flumotion's Smoke codec. . The package cotains the actual jar files that can be used by other programs to provide Theora playback capability. Homepage: http://theora.org/cortado/ Tag: implemented-in::java, works-with-format::oggtheora, works-with-format::oggvorbis, works-with::audio Section: java Priority: optional Filename: pool/main/c/cortado/libcortado-java_0.6.0-1_all.deb Package: libcos4-1 Source: omniorb-dfsg Version: 4.1.6-2 Architecture: armhf Maintainer: Debian CORBA Team Installed-Size: 6972 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libomniorb4-1 (>= 4.1.6), libomnithread3c2 (>= 4.0.6), libstdc++6 (>= 4.4.0) Homepage: http://omniorb.sourceforge.net Priority: optional Section: libs Filename: pool/main/o/omniorb-dfsg/libcos4-1_4.1.6-2_armhf.deb Size: 1726604 SHA256: d701a405f93862c78341711e885a49992fc5f425d5c6875dfd04898675a8647d SHA1: 3ac38ab99cbf14b7aafc455d163f929061b0ef40 MD5sum: b3969d6fdde1b9e8b1c9ec37801bf581 Description: omniORB CORBA services stubs omniORB4 is a freely available Common Object Request Broker Architecture (CORBA) 2.6 compliant object request broker (ORB) implementation. It is based on the IIOP communications protocol and should be interoperable with any other CORBA 2.6 compliant ORB. . This includes libcos4 and libcosdynamic4. Package: libcos4-1-dbg Source: omniorb-dfsg Version: 4.1.6-2 Architecture: armhf Maintainer: Debian CORBA Team Installed-Size: 2774 Depends: libcos4-1 (= 4.1.6-2) Homepage: http://omniorb.sourceforge.net Priority: extra Section: debug Filename: pool/main/o/omniorb-dfsg/libcos4-1-dbg_4.1.6-2_armhf.deb Size: 580302 SHA256: 9b776c0b148641ca8fc2384e82c593074b6fbc333919c800adacdc9bea45936b SHA1: ff49d9dba499e92bdd3cebe907b19a06edf94daa MD5sum: 18c6a70524cb23edf44527a0740f58a0 Description: omniORB CORBA services stubs debugging symbols omniORB4 is a freely available Common Object Request Broker Architecture (CORBA) 2.6 compliant object request broker (ORB) implementation. It is based on the IIOP communications protocol and should be interoperable with any other CORBA 2.6 compliant ORB. . This includes the debug versions of libcos4 and libcosdynamic4. Package: libcos4-dev Source: omniorb-dfsg Version: 4.1.6-2 Architecture: armhf Maintainer: Debian CORBA Team Installed-Size: 11913 Depends: libcos4-1 (= 4.1.6-2), libomniorb4-dev (= 4.1.6-2), pkg-config Homepage: http://omniorb.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/o/omniorb-dfsg/libcos4-dev_4.1.6-2_armhf.deb Size: 2195184 SHA256: bcbe94308944efb8b1c5652214a13d62aee75a0922857c329a20ccfae17fa0c1 SHA1: fac0b8068233af5fbe860f506bedeb9855a94242 MD5sum: 3cb765ee25e4ccd9bd73343dd46a3677 Description: omniORB CORBA services stubs development files omniORB4 is a freely available Common Object Request Broker Architecture (CORBA) 2.6 compliant object request broker (ORB) implementation. It is based on the IIOP communications protocol and should be interoperable with any other CORBA 2.6 compliant ORB. . This includes developer files for libcos4 and libcosdynamic4. Package: libcothreads-ocaml-dev Source: cothreads Version: 0.10-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 345 Depends: ocaml-nox-3.12.1 Provides: libcothreads-ocaml-dev-lzgr3 Homepage: http://sourceforge.net/projects/cothreads/ Priority: optional Section: ocaml Filename: pool/main/c/cothreads/libcothreads-ocaml-dev_0.10-3_armhf.deb Size: 79014 SHA256: b7d7c3506641b507e57399c4024a372b910c562a673230e776bb5773e5f07ac1 SHA1: cabc19aee8dfcb8c9c3a24fc20d188e6e751b96b MD5sum: 2b259bcd9c87c69908863a1c3230ab83 Description: concurrent programming library for OCaml This library enhances the Threads library of the standard OCaml distribution in two dimensions: . - It implements the same API of the standard Threads library on different execution engines (process, networker), so that a single copy of source code can be compiled and deployed to different environments without modification. - It is also a super set of the standard Threads library, with extra components (STM etc.), functions (spawn etc.) and features (object-level compatibility etc.). . This package provides static libraries, interfaces, and documentation for coThreads. Package: libcourriel-perl Version: 0.29-1 Installed-Size: 224 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-format-mail-perl, libdatetime-format-natural-perl, libdatetime-perl, libdevel-partialdump-perl, libemail-abstract-perl, libemail-address-perl, libemail-messageid-perl, libemail-mime-encodings-perl, libfile-libmagic-perl, libfile-slurp-perl, liblist-allutils-perl, liblist-moreutils-perl, libmoose-perl, libmoosex-params-validate-perl, libmoosex-role-parameterized-perl, libmoosex-strictconstructor-perl, libmoosex-types-common-perl, libmoosex-types-perl, libnamespace-autoclean-perl, libsub-exporter-perl Size: 76490 SHA256: 8264d1869603be91f084d1e86a40e97eb0bb36bccb49b691a51adbe312a86cbc SHA1: 96836c389872fe5e1d85b9c339244c865b02572f MD5sum: e891c8547b098f6011d6076b23895629 Description: high level email parsing and manipulation module The Courriel class exists to provide a high level API for working with emails, particular for processing incoming email. It is primarily a wrapper around the other classes in the Courriel distro, especially Courriel::Headers, Courriel::Part::Single, and Courriel::Part::Multipart. If you need lower level information about an email, it should be available from one of this classes. . This software is still very alpha, and the API may change without warning in future versions. Homepage: http://search.cpan.org/dist/Courriel/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcourriel-perl/libcourriel-perl_0.29-1_all.deb Package: libcoy-perl Version: 0.06-6 Installed-Size: 128 Maintainer: Debian Perl Group Architecture: all Provides: liblingua-en-hyphenate-perl Depends: perl (>= 5.6.0-16), liblingua-en-inflect-perl Size: 24502 SHA256: ad81479a86a7669b95dc0b048b1d0e2c1904699383335977dc8e693bd7d91040 SHA1: 0ad02ee47936fc26d0ccbca5e54c4b6af6ce1ccd MD5sum: b290b8eb6a8bd4a0c76f291512aebcab Description: replace perl error messages with haiku Coy is a perl module that generates haiku based on the error messages a perl program outputs. The haiku are not canned, they are generated fresh each time based on the error message. . Before use Coy: run code...read rebuke. After use Coy: run code...haiku! Tag: devel::debugger, devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcoy-perl/libcoy-perl_0.06-6_all.deb Package: libcoyotl-3.1-4 Source: libcoyotl Version: 3.1.0-5 Architecture: armhf Maintainer: Al Stone Installed-Size: 68 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0) Conflicts: libcoyotl3c2 Replaces: libcoyotl3c2 Priority: optional Section: libs Filename: pool/main/libc/libcoyotl/libcoyotl-3.1-4_3.1.0-5_armhf.deb Size: 20930 SHA256: 22ac3140680b310cc571a08fd8b6375e6ed3b4a9f68ef3579f830b20cf398825 SHA1: 2ec812586939785911797a81306e2cc18d0bc12b MD5sum: c47aa990bf467a7d181009dbd8096615 Description: portable C++ classes used by acovea The classes provided here do not easily fit into a single category; in essence, these are tools that the author of the acovea package has found useful in a variety of applications. . Among the algorithms included: . - a polymorphic collection of the best pseudorandom number generators, including the Mersenne Twister and Marsaglia's favorites. . - utilities for working with real numbers, including additional functions for trigonometry, least common multiple, greatest common denominator, rounding, and other purposes. . - a simple cross-platform command-line parser. . - a framework for generating rectangular mazes. . - a template for fixed-point math based on different integer sizes and decimal point locations. . - templatized sorting utilities (designed before standard C++'s use of , but still useful). . - validation tools for "Design by Contract" programming. Package: libcoyotl-dev Source: libcoyotl Version: 3.1.0-5 Architecture: armhf Maintainer: Al Stone Installed-Size: 775 Depends: libcoyotl-3.1-4 (= 3.1.0-5) Priority: optional Section: libdevel Filename: pool/main/libc/libcoyotl/libcoyotl-dev_3.1.0-5_armhf.deb Size: 160214 SHA256: 7dfc0709d69ffc437fa98a4ab58cc4c10f3e47ebeb891a6bc600d9c815eb0b85 SHA1: 37dc772ed83dbd9e31a3501aa088c5932128f533 MD5sum: 3f258eb1496eef2050d7c9027af3c48f Description: portable C++ classes used by acovea -- development files This package provides the files needed to develop code that uses the libcoyotl library. . The classes provided here do not easily fit into a single category; in essence, these are tools that the author of the acovea package has found useful in a variety of applications. . Among the algorithms included: . - a polymorphic collection of the best pseudorandom number generators, including the Mersenne Twister and Marsaglia's favorites. . - utilities for working with real numbers, including additional functions for trigonometry, least common multiple, greatest common denominator, rounding, and other purposes. . - a simple cross-platform command-line parser. . - a framework for generating rectangular mazes. . - a template for fixed-point math based on different integer sizes and decimal point locations. . - templatized sorting utilities (designed before standard C++'s use of , but still useful). . - validation tools for "Design by Contract" programming. Package: libcpan-changes-perl Version: 0.19-1 Installed-Size: 91 Maintainer: Debian Perl Group Architecture: all Depends: perl, perl (>= 5.11.4) | libversion-perl (>= 1:0.7900) Pre-Depends: dpkg (>= 1.15.6~) Size: 23300 SHA256: f8d3df193353e81ee497d7ff9e30cc03a87eb9dee11b56847721f8d4ce52e065 SHA1: 965044268b6e802ab2aef8155e90a7827ae57161 MD5sum: 42bfd46c9ee25c878d8e217b132c17a6 Description: module for reading and writing CPAN Changes files CPAN::Changes is a Perl module for working with the quasi-standard Changes file commonly included with modern CPAN distributions. It allows developers to programmatically read and write files that comply with the preliminary CPAN::Changes::Spec specification. Homepage: http://search.cpan.org/dist/CPAN-Changes/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcpan-changes-perl/libcpan-changes-perl_0.19-1_all.deb Package: libcpan-checksums-perl Version: 2.03-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.10), libcompress-bzip2-perl, libdata-compare-perl Size: 10642 SHA256: b81346fbfd5c672c077460d617571cd3a2d23c6754479f57cf892c0f44356b67 SHA1: cc520b5fe751d3f4c3d6789166f8308f9647372f MD5sum: deb7a99855f34e2d6dac2bae626c1542 Description: Perl module to write a CHECKSUMS file for a directory as on CPAN CPAN::Checksums writes CHECKSUMS files like the ones found on CPAN. This file contains PGP-signed checksum data which provides various ways to verify files, including a list of file sizes and checksums using various algorithms. Homepage: http://search.cpan.org/dist/CPAN-Checksums/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcpan-checksums-perl/libcpan-checksums-perl_2.03-1_all.deb Package: libcpan-distnameinfo-perl Version: 0.12-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 9472 SHA256: acc6a63e904f80173e6d0c16b6d908bcd21be653567b37be25d16d82e17c7a07 SHA1: 6dfb0efd1d254d4d90dcaa6d208688e346a0bc9f MD5sum: 38675079f3fcc89632eae14f34708366 Description: module to extract distribution name and version from a filename CPAN::DistnameInfo is a Perl module that extracts the name and version from a CPAN distribution name. It uses heuristics similar to those used by the main site to extract the distribution information. It also reports if the version is to be treated as a developer release. Homepage: http://search.cpan.org/dist/CPAN-DistnameInfo/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcpan-distnameinfo-perl/libcpan-distnameinfo-perl_0.12-1_all.deb Package: libcpan-inject-perl Version: 1.13-1 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Provides: cpaninject Depends: perl, libcpan-checksums-perl, libfile-chmod-perl, libparams-util-perl Size: 17294 SHA256: d135ea75064d379fca3a65183442b4c119c62368e9a0eb70f9018aaf2ef09e52 SHA1: 4e5f2d973b05f989af6c6df942ba3ccb3d53a6b6 MD5sum: 0479055918e8a9a60c5115041aa8581e Description: module for injecting distributions into CPAN sources CPAN::Inject is a Perl module that provides a simple and reusable interface for injecting arbitrary packages to a CPAN mirror. Specifically, it accepts any Perl distribution tarball and performs some processing to make it look like it came from CPAN. Subsequently, the package will be installable from the CPAN Shell, with the full automatic recursive dependency resolution. . This package also provides a command line utility, cpaninject, which can be used to inject arbitrary packages under the officially blessed "Reserved Local CPAN Author" id, LOCAL. Homepage: http://search.cpan.org/dist/CPAN-Inject/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcpan-inject-perl/libcpan-inject-perl_1.13-1_all.deb Package: libcpan-meta-check-perl Version: 0.004-1 Installed-Size: 56 Maintainer: Debian Perl Group Architecture: all Depends: libcpan-meta-perl (>= 2.120920), libcpan-meta-requirements-perl, perl (>= 5.14) | libmodule-metatadata-perl, perl Size: 9430 SHA256: 19f195f10b8ebd4a2ebc2bcbb231a49ec069a4a99a662ac435c2369853d52d8e SHA1: 3723c1451ff4910d8c0a0582c6f1ecf50d512d90 MD5sum: 308f5c42a2c60f8bb529780030bb0a12 Description: verify requirements in a CPAN::Meta object CPAN::Meta::Check verifies if requirements described in a CPAN::Meta object are present. Homepage: http://search.cpan.org/dist/CPAN-Meta-Check/ Section: perl Priority: optional Filename: pool/main/libc/libcpan-meta-check-perl/libcpan-meta-check-perl_0.004-1_all.deb Package: libcpan-meta-perl Version: 2.120921-1 Installed-Size: 218 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcpan-meta-requirements-perl, libcpan-meta-yaml-perl (>= 0.008), libjson-pp-perl (>= 2.27200) | perl (>= 5.15.9), libparse-cpan-meta-perl (>= 1.4403) Size: 77022 SHA256: 32d31089b67b6e1484f29d702e7fc596d4cf3b0c83d24cff3b56cc8d873236bd SHA1: 0896ff35cc16a2086852cf61299350246814179c MD5sum: 2a3970686cef5c25d300d42b8bb1944b Description: Perl module to access distribution metadata for a CPAN distribution Software distributions released to the CPAN include a META.json or, for older distributions, META.yml which describes the distribution, its contents, and the requirements for building and installing the distribution. The data structure stored in the META.json file is described in CPAN::Meta::Spec. . CPAN::Meta provides a simple class to represent this distribution metadata (or distmeta), along with some helpful methods for interrogating that data. Homepage: http://search.cpan.org/dist/CPAN-Meta/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcpan-meta-perl/libcpan-meta-perl_2.120921-1_all.deb Package: libcpan-meta-requirements-perl Version: 2.122-1 Installed-Size: 69 Maintainer: Debian Perl Group Architecture: all Replaces: libcpan-meta-perl (<< 2.120921-1) Depends: perl Breaks: libcpan-meta-perl (<< 2.120921-1) Size: 13738 SHA256: 2bfbff06b108187044dfebb01f45713583af9520fde2d9020eb93907b29cdf7c SHA1: 4d6a7597e8131aa727420de046ccc8281ae117d6 MD5sum: e122b8e63d2530ec26acdd18561fd156 Description: set of version requirements for a CPAN dist A CPAN::Meta::Requirements object models a set of version constraints like those specified in the META.yml or META.json files in CPAN distributions. It can be built up by adding more and more constraints, and it will reduce them to the simplest representation. . Logically impossible constraints will be identified immediately by thrown exceptions. Homepage: http://search.cpan.org/dist/CPAN-Meta-Requirements/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcpan-meta-requirements-perl/libcpan-meta-requirements-perl_2.122-1_all.deb Package: libcpan-meta-yaml-perl Version: 0.008-1 Installed-Size: 67 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 12268 SHA256: 6d982ca9dcd97b89abd4b26a37c1ed3c83bfbf1a44149b87845e03182debc3a4 SHA1: ac74d80a209c7eba6c7828bf45391c74e58f445e MD5sum: 47a563f1589441df112bc90e2e3db0b1 Description: reimplementation of a subset of YAML for CPAN Meta files CPAN::Meta::YAML implements a subset of the YAML specification for use in reading and writing CPAN metadata files like META.yml and MYMETA.yml. It should not be used for any other general YAML parsing or generation task. Homepage: http://search.cpan.org/dist/CPAN-Meta-YAML/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcpan-meta-yaml-perl/libcpan-meta-yaml-perl_0.008-1_all.deb Package: libcpan-mini-perl Version: 1.111008-3 Installed-Size: 95 Maintainer: Debian Perl Group Architecture: all Provides: minicpan Depends: perl, libfile-homedir-perl, liburi-perl, libwww-perl Size: 27326 SHA256: 8a517c575d630bbc6911193cd7fa6afeb79d3de4ea24e9b7a59bb50a2fc8061e SHA1: bebf65b292b26cf5d337d5ea005010515e6f04e8 MD5sum: 75461cd3b18aafde3a319452374b1f71 Description: module for creating a minimal mirror of CPAN CPAN::Mini is a Perl module that provides a simple mechanism to build and update a minimal mirror of the CPAN on your local disk. It contains only those files needed to install the newest version of every distribution. . This package also provides a command line utility, minicpan, which can be used to create and update such mirrors easily and without writing any code. Homepage: http://search.cpan.org/dist/CPAN-Mini/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcpan-mini-perl/libcpan-mini-perl_1.111008-3_all.deb Package: libcpan-perl-releases-perl Version: 0.60-1 Installed-Size: 69 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 11916 SHA256: c281208fe132f2e64dfd63e1ce6a0e70ad5a035111d8dbf61e39874587a2bfbb SHA1: 0a1b715e89c6744e90e6b909259e70a3df7cfc01 MD5sum: 38a1b289d03f49928f777fe8e6c26743 Description: module for mapping Perl releases on CPAN to the location of the tarballs CPAN::Perl::Releases is a module that contains the mappings of all Perl releases that have been uploaded to CPAN to the authors/id/ path that the tarballs reside in. . This is static data, but newer versions of this module will be made available as new releases of Perl are uploaded to CPAN. Homepage: http://search.cpan.org/dist/CPAN-Perl-Releases/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcpan-perl-releases-perl/libcpan-perl-releases-perl_0.60-1_all.deb Package: libcpan-uploader-perl Version: 0.103001-1 Installed-Size: 66 Maintainer: Debian Perl Group Architecture: all Depends: perl, libgetopt-long-descriptive-perl, libterm-readkey-perl, libwww-perl Size: 13458 SHA256: 964704627ad764e017da97d9e32c0c2cfbf9168a43aada8d9b2361fd5232002c SHA1: ad5bfa9587b0866414cf6faa4e6efb4f9acbae39 MD5sum: d88d5b2ada8cf1da07cc7bf835bf9e05 Description: module to upload packages to the CPAN CPAN::Uploader is a Perl module which allows developers to upload their modules and packages to the Comprehensive Perl Archive Network (CPAN) via the [Perl programming] Authors Upload Server (more commonly known as PAUSE). . It provides a direct programmatic interface via a singleton class, but also includes a command-line utility called `cpan-upload' to do the same. Homepage: http://search.cpan.org/dist/CPAN-Uploader/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcpan-uploader-perl/libcpan-uploader-perl_0.103001-1_all.deb Package: libcpandb-perl Version: 0.17-1 Installed-Size: 129 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-perl (>= 2:0.4900), liborlite-perl (>= 1.51), liborlite-mirror-perl, liborlite-statistics-perl, libparams-util-perl (>= 1.00) Size: 43480 SHA256: 4e2ebe3e89fb94e3d453d81360f5882d5c8ad3d9cbf24d18205d5a340b45fa9f SHA1: a426896fe9c17f339c370965eace868cd17106e4 MD5sum: 5e9151cd7933bcfb15e3736d8bc53b98 Description: Perl module for working with the unified CPAN database CPANDB is a module for retrieving various types of information about CPAN modules. It pulls data from different places, combining them in one SQLite database for convenient use. Homepage: http://search.cpan.org/dist/CPANDB/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcpandb-perl/libcpandb-perl_0.17-1_all.deb Package: libcpg-dev Source: corosync Version: 1.4.2-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 212 Depends: libcpg4 (= 1.4.2-3) Conflicts: libcorosync-dev (<= 1.4.2-1) Replaces: libcorosync-dev (<= 1.4.2-1) Priority: optional Section: libdevel Filename: pool/main/c/corosync/libcpg-dev_1.4.2-3_armhf.deb Size: 155174 SHA256: 11139b79fad652a5459951f171d35c42ccd77f3df8040a100d055593cc51607e SHA1: 4044dbb058b8ca9b256a31bdc4cde48df3e2eaf3 MD5sum: c12536740c4e27cab474a2db538ab196 Description: Standards-based cluster framework, Cpg devel files Corosync is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package contains the Cpg library, which is a library included in Corosync. Package: libcpg4 Source: corosync Version: 1.4.2-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 190 Depends: libc6 (>= 2.13-28), libcoroipcc4 (>= 1.4.2) Conflicts: libcorosync4 (<= 1.4.2-1) Replaces: libcorosync4 (<= 1.4.2-1) Priority: optional Section: libs Filename: pool/main/c/corosync/libcpg4_1.4.2-3_armhf.deb Size: 153224 SHA256: 881b7e5f1055ac40fc8c33b3fb9cf2f13bb10aa17887dde00a485dff3bd731a2 SHA1: df046eb5dff03e3a292ddd426765f0153a38f706 MD5sum: fd806ea3bfabf74995da587899412d2e Description: Standards-based cluster framework, Cpg library Corosync is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package contains the Cpg library, which is a library included in Corosync. Package: libcpl-dev Source: cpl Version: 6.1.1-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 2330 Depends: libcext-dev, libcfitsio3-dev, libcplcore20 (= 6.1.1-2), libcpldfs20 (= 6.1.1-2), libcpldrs20 (= 6.1.1-2), libcplui20 (= 6.1.1-2), wcslib-dev Homepage: http://www.eso.org/sci/software/cpl/ Priority: optional Section: libdevel Filename: pool/main/c/cpl/libcpl-dev_6.1.1-2_armhf.deb Size: 837592 SHA256: 0ed4578ba448b8685afe4a501b94d3d80a42eac7e929812310077c73fc1cad37 SHA1: f66b12ff92cfc993d3cf91474fc89f6be54f4302 MD5sum: a252e7fab392893918e0bbaaa0483113 Description: ESO library for automated astronomical data-reduction The Common Pipeline Library (CPL) comprises a set of ISO-C libraries that provide a comprehensive, efficient and robust software toolkit. It forms a basis for the creation of automated astronomical data-reduction tasks (known as "pipelines"). . The CPL was developed to standardise the way instrument pipelines are built, to shorten their development cycle and to ease their maintenance. However, it may be more generally applied to any similar application, and the details of the CPL code have been engineered in a way to make the library portable and flexible, as well as minimising external dependencies. . The CPL provides a host of functionality, presented in a clear, generic and uniform manner. Among its many features, the CPL offers: . * many useful low-level data types (images, tables, matrices, strings, property lists, ...), * many fundamental statistic, arithmetic and conversion operations for these data types. * medium-level data access methods (e.g. a data abstraction layer for FITS files), * data table organisation and manipulation, * keyword/value handling and management, * a standardised application interface for pipeline recipes, and, * support for dynamic loading of recipe modules. . Despite the current bias towards instrument pipeline development, the library also provides a variety of general-purpose image- and signal-processing functions, making it an excellent framework for the creation of more generic data-handling packages. . This package contains the static library and the C header files. Package: libcpl-doc Source: cpl Version: 6.1.1-2 Installed-Size: 3828 Maintainer: Debian Science Maintainers Architecture: all Suggests: libcpl-dev Size: 570186 SHA256: 716eaa98ba0f87dd48b3b2314313aaa7f01affb0b18569f5c537b17f134b3264 SHA1: f8d6e2d56dab21e862b24b96da75bbac4012365b MD5sum: 6607e5ecb0f140f08fafcb8ea313ba23 Description: API documentation for the Common Pipeline Library The Common Pipeline Library (CPL) comprises a set of ISO-C libraries that provide a comprehensive, efficient and robust software toolkit. It forms a basis for the creation of automated astronomical data-reduction tasks (known as "pipelines"). . This package contains the API documentation for libcpl. Multi-Arch: foreign Homepage: http://www.eso.org/sci/software/cpl/ Tag: devel::doc, devel::lang:c, field::astronomy, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/cpl/libcpl-doc_6.1.1-2_all.deb Package: libcplcore20 Source: cpl Version: 6.1.1-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1477 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcext0, libcfitsio3 (>= 3.260), libfftw3-3, libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1) Multi-Arch: same Homepage: http://www.eso.org/sci/software/cpl/ Priority: optional Section: libs Filename: pool/main/c/cpl/libcplcore20_6.1.1-2_armhf.deb Size: 658430 SHA256: 4e21308a91e07fa03ca95ac819fd4cd3dcb7c5ed9f89a55e7e51de44cb252e02 SHA1: 6b57081344676596a75abb8b96f0370f1cdf5dbc MD5sum: fb300f6db665dd8de8e7a54e170e5555 Description: Fundamental CPL data types and functions The CPLCORE library provides the fundamental CPL data types (such as cpl image, cpl table, cpl vector, cpl matrix etc.), the operations defined on these data types, and elementary utility functions. There should not be any physical units associated with any of the data types or functions of that library. . The Common Pipeline Library (CPL) comprises a set of ISO-C libraries that provide a comprehensive, efficient and robust software toolkit. It forms a basis for the creation of automated astronomical data-reduction tasks (known as "pipelines"). Package: libcpldfs20 Source: cpl Version: 6.1.1-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 218 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcext0, libcfitsio3 (>= 3.060), libcplcore20, libcplui20, libgomp1 (>= 4.2.1) Multi-Arch: same Homepage: http://www.eso.org/sci/software/cpl/ Priority: optional Section: libs Filename: pool/main/c/cpl/libcpldfs20_6.1.1-2_armhf.deb Size: 168786 SHA256: 74c2c9ed1bc488e5a5790ac5185fea79f889ac5c8ce58db911618bd824916658 SHA1: 937d3d4854fb04b2566175f3d12caf4ce584d262 MD5sum: f86bddaf8e481142afe0bd0146874706 Description: CPL functions for Data Flow System compatibility The functions of this library ensure the compliance of pipeline products with the Data Flow System (DFS) of the European Southern Observatory (ESO). . The Common Pipeline Library (CPL) comprises a set of ISO-C libraries that provide a comprehensive, efficient and robust software toolkit. It forms a basis for the creation of automated astronomical data-reduction tasks (known as "pipelines"). Package: libcpldrs20 Source: cpl Version: 6.1.1-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 347 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcext0, libcfitsio3 (>= 3.060), libcplcore20, libfftw3-3, libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libwcs4 (>= 4.8.1) Multi-Arch: same Homepage: http://www.eso.org/sci/software/cpl/ Priority: optional Section: libs Filename: pool/main/c/cpl/libcpldrs20_6.1.1-2_armhf.deb Size: 232894 SHA256: 247691792c14f47dee96e36fc4230f6da06a3e2ba055dfa6be46d88df52ae602 SHA1: dc84c6b8e94e96064f93767cb02d0d7e55c62327 MD5sum: bbfd9447d6a94fa7b95b69667734a280 Description: CPL higher level data processing algorithms The CPLDRS (DRS for Data Reduction System) implements higher level data processing algorithms (such as wavelength calibration, image recombination, dark-frame correction and so on). . The Common Pipeline Library (CPL) comprises a set of ISO-C libraries that provide a comprehensive, efficient and robust software toolkit. It forms a basis for the creation of automated astronomical data-reduction tasks (known as "pipelines"). Package: libcplui20 Source: cpl Version: 6.1.1-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 266 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcext0, libcfitsio3 (>= 3.060), libcplcore20, libgomp1 (>= 4.2.1) Multi-Arch: same Homepage: http://www.eso.org/sci/software/cpl/ Priority: optional Section: libs Filename: pool/main/c/cpl/libcplui20_6.1.1-2_armhf.deb Size: 185128 SHA256: 6434ba989529825f222e76021b0a3d5b569be5dc3e0d576f71adf568e08c635d SHA1: efc82e38fd619e41f0a622464f285ba4ba7a22bd MD5sum: 3e341300d523b0abcd1b88094c7ac727 Description: CPL framework interface library The CPLUI (UI for User Interface) provides services defining the standard interface for recipes and provides more complex data reduction related utilities and services. In particular, it contains the necessary types to handle the plugin interface, the parameters used to handle command-line options and the (set of) frames used to store the input list of files. . The Common Pipeline Library (CPL) comprises a set of ISO-C libraries that provide a comprehensive, efficient and robust software toolkit. It forms a basis for the creation of automated astronomical data-reduction tasks (known as "pipelines"). Package: libcppcutter-dev Source: cutter-testing-framework Version: 1.1.7-1.2 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 129 Depends: libcppcutter0 (= 1.1.7-1.2), cutter-testing-framework-bin (= 1.1.7-1.2) Homepage: http://cutter.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/c/cutter-testing-framework/libcppcutter-dev_1.1.7-1.2_armhf.deb Size: 68722 SHA256: 3e59c3a5d25a0b24256313729d49e3aa1ccfe57f2e72bdcaa0ab480d1af91a85 SHA1: e1b596273f6cd4b4c93ab845d00de62bf566a18f MD5sum: 6b39d3547feea4d91f57000e38d2a94c Description: Cutter C++ integration (Development files) Cutter is a xUnit family Unit Testing Framework for C and C++. . This package provides library files and header files to write tests for Cutter with C++ support. Package: libcppcutter0 Source: cutter-testing-framework Version: 1.1.7-1.2 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 130 Depends: libc6 (>= 2.13-28), libcutter0, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libstdc++6 (>= 4.3.0) Homepage: http://cutter.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/c/cutter-testing-framework/libcppcutter0_1.1.7-1.2_armhf.deb Size: 75168 SHA256: 01454372d8fc2125273e69dde67cc47d4b3523a9ab6b79fe16689641d8f49a81 SHA1: 7c56e6a7cc23774c5eb1bcae4821a4d25cf529cd MD5sum: 187b5168272b828881ec016de3e524cd Description: Cutter C++ integration (shared library) Cutter is a xUnit family Unit Testing Framework for C and C++. . This package provides shared library to write tests for Cutter with C++ support. Package: libcppunit-1.12-1 Source: cppunit Version: 1.12.1-4 Architecture: armhf Maintainer: Steve M. Robbins Installed-Size: 277 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://cppunit.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/c/cppunit/libcppunit-1.12-1_1.12.1-4_armhf.deb Size: 125094 SHA256: f836df4d507bbdf3c39ee282e13f31801a686f2d8fd0b49d4424f4eea7610d2d SHA1: fb6eae911a01a5ad7a8786a8e3e32647ce87c7ba MD5sum: 6312d17c8d1dea2c719d5e7f0526d5f5 Description: Unit Testing Library for C++ CppUnit is a simple Framework for incorporating test cases in your C++ code. It is similar to, and inspired by, xUnit and JUnit. Package: libcppunit-dev Source: cppunit Version: 1.12.1-4 Architecture: armhf Maintainer: Steve M. Robbins Installed-Size: 859 Depends: libcppunit-1.12-1 (= 1.12.1-4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: libqttestrunner1c2a (= 1.12.1-4), libcppunit-doc Replaces: cppunit (<< 1.10.0-3) Homepage: http://cppunit.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/c/cppunit/libcppunit-dev_1.12.1-4_armhf.deb Size: 241040 SHA256: 6bd5394cc48732e2165b523d96abb933bd6e0224578702a0a07464ea46f24e44 SHA1: bfb570f59a2c4f43c17d3c3cf14ac2526447c0c6 MD5sum: 6f94f7447bdff2d0dee32dcc48ee3d6d Description: Unit Testing Library for C++ CppUnit is a simple Framework for incorporating test cases in your C++ code. It is similar to, and inspired by, xUnit and JUnit. . Package libqttestrunner1 is required for using the Qt-based test runner GUI. Package: libcppunit-doc Source: cppunit Version: 1.12.1-4 Installed-Size: 4166 Maintainer: Steve M. Robbins Architecture: all Replaces: cppunit (<< 1.10.0-3), libcppunit-dev (<< 1.10.2-6) Conflicts: cppunit (<< 1.10.0-3), libcppunit-dev (<< 1.10.2-6) Size: 758956 SHA256: 2dd31c56ef81f6f4efa687ea41c9efc30ad7a5abae840ae0498b6f3a861d89fa SHA1: 3d4f5beeca7fee3722f7edfc2f16ca05f7414bf4 MD5sum: b4749a52f6ba500ffce751328bf9d0af Description: Unit Testing Library for C++ CppUnit is a simple Framework for incorporating test cases in your C++ code. It is similar to, and inspired by, xUnit and JUnit. . Package libqttestrunner1 is required for using the Qt-based test runner GUI. Homepage: http://cppunit.sourceforge.net/ Tag: devel::examples, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/cppunit/libcppunit-doc_1.12.1-4_all.deb Package: libcppunit-subunit-dev Source: subunit Version: 0.0.8+bzr176-1 Architecture: armhf Maintainer: Jelmer Vernooij Installed-Size: 73 Depends: libcppunit-subunit0 (= 0.0.8+bzr176-1) Homepage: http://code.launchpad.net/subunit Priority: optional Section: libdevel Filename: pool/main/s/subunit/libcppunit-subunit-dev_0.0.8+bzr176-1_armhf.deb Size: 11850 SHA256: 77ed1b9ac3184bb278ce908d0b173b0e380efef46ce2c3d6d9415168600aa95d SHA1: 0af1ff12a4dbed99660b9bfe91812a95940e3b0d MD5sum: 8f4b832a508fc62da102eb085c1c5342 Description: SubunitTestProgressListener for CPPUnit - Development headers subunit is a protocol for test activity reporting. The SubunitTestProgressListener permits outputting Subunit from a CPPUnit test suite. . The libcppunit-subunit-dev package contains the C++ development headers, pkgconfig data file and a static version of the library. Package: libcppunit-subunit0 Source: subunit Version: 0.0.8+bzr176-1 Architecture: armhf Maintainer: Jelmer Vernooij Installed-Size: 58 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.4.0) Multi-Arch: same Homepage: http://code.launchpad.net/subunit Priority: optional Section: devel Filename: pool/main/s/subunit/libcppunit-subunit0_0.0.8+bzr176-1_armhf.deb Size: 11082 SHA256: 90e733c5bba42cf21716348884c356f94b49ca182d2f7dd35be1123c0e486f57 SHA1: dee24557222bc9cec63fb316ca6a25a7ac892cc9 MD5sum: 1a9f9d8a1a31c2b20d42971fec75dee8 Description: SubunitTestProgressListener for CPPUnit - C++ shared library subunit is a protocol for test activity reporting. The SubunitTestProgressListener permits outputting Subunit from a CPPUnit test suite. Package: libcpputest-dev Source: cpputest Version: 3.1-2 Architecture: armhf Maintainer: Raphaël Hertzog Installed-Size: 806 Multi-Arch: same Homepage: http://www.cpputest.org Priority: optional Section: libdevel Filename: pool/main/c/cpputest/libcpputest-dev_3.1-2_armhf.deb Size: 165184 SHA256: c697162853813f5f64c89f36cdaf1293bf0ec06edf5bf11653bc23051bc4d56f SHA1: 4b0e128c2d195a2c418151e61ccf79a9d5306b2a MD5sum: 89c634485e13180adce0e24c75e413c3 Description: C/C++ based unit test framework — headers and static libraries CppUTest is a C/C++ based unit xUnit test framework for unit testing and for test-driving your code. It is written in C++ but is used in C and C++ projects and frequently used in embedded systems. . CppUTest has a couple design principles: * Simple to use and small * Portable to old and new platforms . CppUTest also has support for building mocks and can be used by practitioners of Test Driven Development. . This is the package with the headers and the static libraries implementing the test framework. Package: libcps-perl Version: 0.15-1 Installed-Size: 130 Maintainer: Debian Perl Group Architecture: all Depends: perl Recommends: libsub-name-perl Size: 47444 SHA256: 24bbfbfd977ace1500fbc7227914f3941946b62bf0cf3573ec2df3c1693dd92a SHA1: d00471db360c90ee6fd32743d63b6940817cc0ef MD5sum: 52c0287bfad18b18fb9c7ad5617850c3 Description: module to manage flow of control in Continuation Passing Style CPS is a Perl module that enables developers to write code in Continuation Passing Style, which is a style of writing code where the normal call/return mechanism is replaced by explicit "continuations". It is useful whenever some form of asynchronous or event-based programming is in use. Homepage: http://search.cpan.org/dist/CPS/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcps-perl/libcps-perl_0.15-1_all.deb Package: libcpufreq-dev Source: cpufrequtils Version: 008-1 Architecture: armhf Maintainer: Mattia Dongili Installed-Size: 59 Depends: libcpufreq0 (= 008-1) Homepage: http://kernel.org/pub/linux/utils/kernel/cpufreq/cpufrequtils.html Priority: optional Section: libdevel Filename: pool/main/c/cpufrequtils/libcpufreq-dev_008-1_armhf.deb Size: 13284 SHA256: 72607c02ba327da63713d9ae74b778dfd8ef31cfd8181b858da088fa38217d47 SHA1: fcf3e717864270d08765800a49fdce4393e1a28d MD5sum: e884906de499527a1222d06eb27d4943 Description: development files to deal with the cpufreq Linux kernel feature This package provides everything that is needed for developing own programs using libcpufreq. Package: libcpufreq0 Source: cpufrequtils Version: 008-1 Architecture: armhf Maintainer: Mattia Dongili Installed-Size: 50 Depends: libc6 (>= 2.13-28) Homepage: http://kernel.org/pub/linux/utils/kernel/cpufreq/cpufrequtils.html Priority: optional Section: libs Filename: pool/main/c/cpufrequtils/libcpufreq0_008-1_armhf.deb Size: 12922 SHA256: 43c6da1928532159b59356bcfa6d156d9aa146444ad07235d967a5e51af80fab SHA1: fdaa9299ffdf3d2aaf8698351611c39c6fbf7bc9 MD5sum: e8e2a994b05f8a7955b311e3f6b3c6cd Description: shared library to deal with the cpufreq Linux kernel feature This library provide an unified method to access the CPUFreq kernel interface. Package: libcpuset-dev Source: libcpuset Version: 1.0-3 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 364 Depends: libcpuset1 (= 1.0-3), libbitmask-dev Homepage: http://oss.sgi.com/projects/cpusets/ Priority: optional Section: libdevel Filename: pool/main/libc/libcpuset/libcpuset-dev_1.0-3_armhf.deb Size: 105862 SHA256: e32cace4d2cd9fdaddca220d704736bd21bfb1e6ded52f361e7882293a5eefc9 SHA1: 132ec31f08028893681a822eeb50182b6eda71a5 MD5sum: 21eb04fadd3e4b7d2dc6a008cc50a57a Description: header files and docs for libcpuset Contains the header files and documentation for libcpuset for use in developing applications that use the libcpuset library. . The Cpuset System is a processor and memory placement mechanism that enables a system administrator to confine tasks to running on certain CPUs, and to allocating memory on certain Memory Nodes. The libcpuset library provides a convenient 'C' API to cpusets. Package: libcpuset1 Source: libcpuset Version: 1.0-3 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 102 Pre-Depends: multiarch-support Depends: libbitmask1, libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://oss.sgi.com/projects/cpusets/ Priority: optional Section: libs Filename: pool/main/libc/libcpuset/libcpuset1_1.0-3_armhf.deb Size: 40506 SHA256: ce8a1560d00bc1cb159012b67d688fc9cc4728d2dbba59c923aeb8452b4ddea2 SHA1: 49694e75ad72547bce75fccd986a636b301646cc MD5sum: 48a947ce6c39bdeb7935438cf8323028 Description: assigns a set of CPUs and Memory Nodes to a set of tasks The Cpuset System is a processor and memory placement mechanism that enables a system administrator to confine tasks to running on certain CPUs, and to allocating memory on certain Memory Nodes. The libcpuset library provides a convenient 'C' API to cpusets. Package: libcql-parser-perl Version: 1.10-1 Installed-Size: 204 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-accessor-perl, libclone-perl, libstring-tokenizer-perl Size: 51180 SHA256: ba9d80b5653e1b4e6beec8861ab2ad960b23bcbd73fe4ec24cdba8e266b10680 SHA1: a5119d0ae6bbab8e86630ca43a45e1cadd9606fa MD5sum: 2101bd367cb4604305ba531daf1beac1 Description: Common Query Language parser CQL::Parser is a base class for boolean nodes in a CQL parse tree. See CQL::AndNode and CQL::OrNode. CQL::BooleanNode inherits from CQL::Node. Typically you'll want to use CQL::AndNode or CQL::OrNode to instantiate the object. Homepage: http://search.cpan.org/dist/CQL-Parser/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcql-parser-perl/libcql-parser-perl_1.10-1_all.deb Package: libcqrlib2 Source: cqrlib Version: 1.1.2-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 47 Depends: libc6 (>= 2.4) Homepage: http://sourceforge.net/projects/cqrlib/ Priority: extra Section: libs Filename: pool/main/c/cqrlib/libcqrlib2_1.1.2-1_armhf.deb Size: 11378 SHA256: d751bcadf4c4066aea359b3a197b7819164c0ace3ba4ee0ce1c8e681f74dafc0 SHA1: 9db5fe8541022a50873a6e25c6cc6b6765d626f5 MD5sum: 5d0ea59cc65804d4a151c892f23f545c Description: Library for quaternion arithmetic and rotation math Quaternions are four-dimensional numbers which form an associative normed division algebra over the real numbers. Unit quaternions are a convenient and efficient way to represent orientations and rotations in three dimensions. . CQRlib is an ANSI C implementation of a utility library for quaternion arithmetic and quaternion rotation math. Package: libcqrlib2-dev Source: cqrlib Version: 1.1.2-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 102 Homepage: http://sourceforge.net/projects/cqrlib/ Priority: extra Section: libdevel Filename: pool/main/c/cqrlib/libcqrlib2-dev_1.1.2-1_armhf.deb Size: 26216 SHA256: 8372a5541eb6c925923ce55e9ead2f3cdfc6685238af8b9bf7e44c543c16431f SHA1: 84346bb57120f9b42ea27de135f94e4a31d44e4d MD5sum: df81d5a0059a2bb29a5e9c023573067b Description: Library and headers for quaternion arithmetic and rotation math Quaternions are four-dimensional numbers which form an associative normed division algebra over the real numbers. Unit quaternions are a convenient and efficient way to represent orientations and rotations in three dimensions. . CQRlib is an ANSI C implementation of a utility library for quaternion arithmetic and quaternion rotation math. . This package contains files needed for compiling programs using CQRlib. Package: libcr-dbg Source: blcr Version: 0.8.5-2 Architecture: armhf Maintainer: Alan Woodland Installed-Size: 1921 Depends: libcr0 (= 0.8.5-2) Suggests: blcr-testsuite Homepage: https://ftg.lbl.gov/projects/CheckpointRestart/ Priority: extra Section: debug Filename: pool/main/b/blcr/libcr-dbg_0.8.5-2_armhf.deb Size: 761442 SHA256: 898f788adf780c2a77df4d5010361c1185945cbe60e2ceede8f7c92cb109ef7f SHA1: 7c4e86d5d4554c1cec3929cea34ce57ac7e218cf MD5sum: c2edafff85080b04de6a7af89a17e2e9 Description: Libraries to Checkpoint and Restart Linux processes BLCR (Berkeley Lab Checkpoint/Restart) allows programs running on Linux to be "checkpointed" (written entirely to a file), and then later "restarted". . BLCR can checkpoint both single- and multithreaded (pthreads) programs linked with the NPTL implementation of pthreads. BLCR is also able to save and restore groups of related processes together with the pipes that connect them. . This package contains the debug symbols for the libcr library. Package: libcr-dev Source: blcr Version: 0.8.5-2 Architecture: armhf Maintainer: Alan Woodland Installed-Size: 134 Depends: libcr0 (= 0.8.5-2) Homepage: https://ftg.lbl.gov/projects/CheckpointRestart/ Priority: optional Section: libdevel Filename: pool/main/b/blcr/libcr-dev_0.8.5-2_armhf.deb Size: 43824 SHA256: c9170d06dc96dffb70fdaffaa51f63937733a8d40e2bdb46c873de77f1befc62 SHA1: 4dbb4dffdf68c47d6c4e778e3874f5307c7c1215 MD5sum: eb4643a842dcbd5d1e064adba048e451 Description: Development files for BLCR BLCR (Berkeley Lab Checkpoint/Restart) allows programs running on Linux to be "checkpointed" (written entirely to a file), and then later "restarted". . BLCR can checkpoint both single- and multithreaded (pthreads) programs linked with the NPTL implementation of pthreads. BLCR is also able to save and restore groups of related processes together with the pipes that connect them. . BLCR can checkpoint and restore (most) un-modified programs, however BLCR aware applications can customise this process further. . This package contains headers and files needed to compile applications that work with BLCR. Package: libcr0 Source: blcr Version: 0.8.5-2 Architecture: armhf Maintainer: Alan Woodland Installed-Size: 82 Depends: libc6 (>= 2.13-28) Suggests: blcr-dkms Conflicts: blcr-dkms (<< 0.8.4-3) Homepage: https://ftg.lbl.gov/projects/CheckpointRestart/ Priority: optional Section: libs Filename: pool/main/b/blcr/libcr0_0.8.5-2_armhf.deb Size: 33606 SHA256: a28a28a23d78a9648a76c089ed6d7ab779e60740a67559236201387f80c292f8 SHA1: d95d9d380e44b336130ffb9425ca3ffeb3e91160 MD5sum: fe70478f73d9c612af52ec08a1d7578a Description: Libraries to Checkpoint and Restart Linux processes BLCR (Berkeley Lab Checkpoint/Restart) allows programs running on Linux to be "checkpointed" (written entirely to a file), and then later "restarted". . BLCR can checkpoint both single- and multithreaded (pthreads) programs linked with the NPTL implementation of pthreads. BLCR is also able to save and restore groups of related processes together with the pipes that connect them. . This package contains the libraries needed to by programs to use BLCR. Package: libcrack2 Source: cracklib2 Version: 2.8.19-3+deb7u1 Architecture: armhf Maintainer: Jan Dittberner Installed-Size: 155 Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Recommends: cracklib-runtime Homepage: http://sourceforge.net/projects/cracklib Priority: optional Section: libs Filename: pool/main/c/cracklib2/libcrack2_2.8.19-3+deb7u1_armhf.deb Size: 59040 SHA256: e4edfc3a87c7179708f1b2eeecc94109038bd5047a6e32929641af9e01a2dd98 SHA1: ecc3934618de52134756af165f9ab96457bce2c7 MD5sum: e98615fd87efa4b5ecc0478941f5d588 Description: pro-active password checker library Shared library for cracklib2 which contains a C function which may be used in a passwd like program. The idea is simple: try to prevent users from choosing passwords that could be guessed by crack by filtering them out, at source. cracklib2 is NOT a replacement passwd program. cracklib2 is a LIBRARY. Package: libcrack2-dev Source: cracklib2 Version: 2.8.19-3+deb7u1 Architecture: armhf Maintainer: Jan Dittberner Installed-Size: 69 Depends: libcrack2 (= 2.8.19-3+deb7u1) Recommends: cracklib-runtime Homepage: http://sourceforge.net/projects/cracklib Priority: extra Section: libdevel Filename: pool/main/c/cracklib2/libcrack2-dev_2.8.19-3+deb7u1_armhf.deb Size: 36056 SHA256: 9251afb9783c6f01d4af6dc59e1fd568d08983c986adfc059c760dc543d67f36 SHA1: 1c2e0e16c90655d37a30ae05a6ec16845c6f06c1 MD5sum: dc3b963f459bd99a999e4a1da149f1b2 Description: pro-active password checker library - development files Header files, static libraries, documentation, and symbolic links developers using cracklib2 will need. . If you want to build software using cracklib2 you will need to install this package. For developing Python based applications for cracklib2 you need to install python-cracklib instead. Package: libcreal-ocaml-dev Source: ocamlcreal Version: 0.7-6 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 372 Depends: libgmp-ocaml-dev-o7zi2, ocaml-nox-3.12.1 Provides: libcreal-ocaml-dev-tb8b2 Priority: optional Section: ocaml Filename: pool/main/o/ocamlcreal/libcreal-ocaml-dev_0.7-6_armhf.deb Size: 118372 SHA256: 17975aa414d9b76085bf3491da823a2d7ae6fdb1851234e08bc023b377735e51 SHA1: 2d0559f1521bdf834536fd603a5558a84ffaa65b MD5sum: 09412941fccff110a0b0dcf0fd3510e3 Description: O'Caml library that implements exact real arithmetic This module implements exact real arithmetic, following Valerie Menissier-Morain Ph.D. thesis (http://www-calfor.lip6.fr/~vmm/). . A real x is represented as a function giving, for any n, an approximation zn/4^n of x such that |zn/4^n - x| < 1, where zn is an arbitrary precision integer (of type Gmp.Z.t). . Coercions from type int, Gmp.Z.t, Gmp.Q.t, basic operations (addition, subtraction, multiplication, division, power, square root) and transcendental functions (sin, cos, tan, log, exp, arcsin, arccos, etc.) and a few constants (pi, e) are provided. . A small reverse-polish calculator is provided to test the library. Package: libcrimson-java Source: xml-crimson Version: 1:1.1.3-11 Installed-Size: 244 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcrimson-java-doc Size: 191500 SHA256: 909c4fa1c7204fe50a532be5bdeae70cfa54168a4af0647cdf0f150d4a965aaf SHA1: 79f6cc248fcbca0f574cff16d81a6432639a6464 MD5sum: 78cef611fc44c072ccca5a0386373bc5 Description: XML parser which support the Java API for XML Processing (JAXP) Crimson supports the Java API for XML Processing (JAXP) version 1.1 specification by providing implementations for the following package hierarchies: javax.xml.parsers, org.w3c.dom (DOM level2), org.xml.sax.*(SAX 2.0). Tag: devel::lang:java, devel::library, implemented-in::java, role::app-data, role::documentation, works-with-format::xml Section: java Priority: optional Filename: pool/main/x/xml-crimson/libcrimson-java_1.1.3-11_all.deb Package: libcrimson-java-doc Source: xml-crimson Version: 1:1.1.3-11 Installed-Size: 288 Maintainer: Debian Java Maintainers Architecture: all Suggests: libcrimson-java Size: 195662 SHA256: 468ccf913f9bb10bbd489300694860b74c6a5a35a8979e9bf6e5df71b3de9e6f SHA1: 572b750092f3d0261fc52ccfdebb9b7d7da7b65d MD5sum: 1a1d671e4221251dfe51aab1d0381061 Description: XML parser which support the Java API for XML Processing (JAXP) Crimson supports the Java API for XML Processing (JAXP) version 1.1 specification by providing implementations for the following package hierarchies: javax.xml.parsers, org.w3c.dom (DOM level2), org.xml.sax.*(SAX 2.0). Tag: devel::doc, devel::lang:java, devel::library, made-of::html, role::documentation, works-with-format::xml Section: doc Priority: optional Filename: pool/main/x/xml-crimson/libcrimson-java-doc_1.1.3-11_all.deb Package: libcriticism-perl Version: 1.02-1 Installed-Size: 50 Maintainer: Debian Perl Group Architecture: all Depends: perl Recommends: libperl-critic-perl (>= 1.089) Size: 10088 SHA256: 0894f30a965c3e96b1bd0f597722f75b3ecc1c1376ba759529ac090158b5e7ca SHA1: cd18e726eecf1e8108ee8dff1655a92f43ce851a MD5sum: 9b46f3730848c42d21db0fad99d17c95 Description: Perl pragma to enforce coding standards and best-practices The cristicism pragma enforces coding standards and promotes best-practices by running your file through Perl::Critic before every execution. In a production system, this usually isn't feasible because it adds a lot of overhead at start-up. If you have a separate development environment, you can effectively bypass the "criticism" pragma by not installing Perl::Critic in the production environment. If Perl::Critic can't be loaded, then "criticism" just fails silently. Homepage: http://search.cpan.org/dist/criticism/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcriticism-perl/libcriticism-perl_1.02-1_all.deb Package: libcrmcluster1 Source: pacemaker Version: 1.1.7-1 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 209 Depends: libbz2-1.0, libc6 (>= 2.13-28), libcrmcommon2 (>= 1.1.7), libglib2.0-0 (>= 2.12.0), libgnutls26 (>= 2.12.17-0), libltdl7 (>= 2.4.2), libpam0g (>= 0.99.7.1), libpils2 (>= 1.0.9+hg2665), libplumb2 (>= 1.0.9+hg2665), libstonithd1 (>= 1.1.7), libuuid1 (>= 2.16), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25) Conflicts: pacemaker (<= 1.0.9.1+hg15626-2) Replaces: pacemaker (<= 1.0.9.1+hg15626-2) Homepage: http://clusterlabs.org/ Priority: optional Section: libs Filename: pool/main/p/pacemaker/libcrmcluster1_1.1.7-1_armhf.deb Size: 110104 SHA256: 72f7be9ef2763acab83c268e62a5a8c7be7971ae78fbcde2f0479d83f7e9c38c SHA1: d92b9b77450c606853f787a439673bee261307c4 MD5sum: 0f2023d3403575b415dd19629d360a67 Description: Pacemaker libraries - CRM This package contains some of the libraries provided by and needed for the pacemaker cluster manager. You need to install them if you intend to run pacemaker. Specifically, this package contains the CRM cluster library. Package: libcrmcluster1-dev Source: pacemaker Version: 1.1.7-1 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 229 Depends: libcrmcluster1 (= 1.1.7-1) Conflicts: pacemaker-dev (<= 1.0.9.1+hg15626-2) Replaces: pacemaker-dev (<= 1.0.9.1+hg15626-2) Homepage: http://clusterlabs.org/ Priority: optional Section: libdevel Filename: pool/main/p/pacemaker/libcrmcluster1-dev_1.1.7-1_armhf.deb Size: 94728 SHA256: c3b4769830084af0d14df6f5e416e05525ad985966525d6a512fe5ed98eb02d3 SHA1: ae282ee4e95439f41ebaca87065e4cbb392abba9 MD5sum: b01ab51304c4e955c5b5c0501a095e7b Description: Development file for pacemaker's crm library This package contains static libraries, symbol files as well as headers provided by the pacemaker cluster manager (CRM). Install it if you want to do development based on pacemaker. Package: libcrmcommon2 Source: pacemaker Version: 1.1.7-1 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 254 Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgnutls26 (>= 2.12.17-0), libltdl7 (>= 2.4.2), libpam0g (>= 0.99.7.1), libpils2 (>= 1.0.9+hg2665), libplumb2 (>= 1.0.9+hg2665), libuuid1 (>= 2.16), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25) Conflicts: pacemaker (<= 1.0.9.1+hg15626-2) Replaces: pacemaker (<= 1.0.9.1+hg15626-2) Homepage: http://clusterlabs.org/ Priority: optional Section: libs Filename: pool/main/p/pacemaker/libcrmcommon2_1.1.7-1_armhf.deb Size: 133366 SHA256: 9878df5007ef925bd26b35d5610497155436a307596ddecc987cfac58e385ec7 SHA1: 34e637105bd10adba49e70b7dddbca7f30a44e3f MD5sum: f59148397aef6ec0c40cecb8fa312d20 Description: Pacemaker libraries - common CRM This package contains some of the libraries provided by and needed for the pacemaker cluster manager. You need to install them if you intend to run pacemaker. Specifically, this package contains the common CRM library. Package: libcrmcommon2-dev Source: pacemaker Version: 1.1.7-1 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 391 Depends: libcrmcommon2 (= 1.1.7-1), cluster-glue-dev (>= 1.0.7+hg2618) Conflicts: pacemaker-dev (<= 1.0.9.1+hg15626-2) Replaces: pacemaker-dev (<= 1.0.9.1+hg15626-2) Homepage: http://clusterlabs.org/ Priority: optional Section: libdevel Filename: pool/main/p/pacemaker/libcrmcommon2-dev_1.1.7-1_armhf.deb Size: 163862 SHA256: e5786d4b4efd698bf11d458ba40533d805ff2d8129309c15fedd452e8c60f4df SHA1: 3dbc62f1109b0eb0c6d98c38898ea2e8d8b0dd44 MD5sum: 159046854e2edd1707180b62532be83c Description: Development file for pacemaker's common library This package contains static libraries, symbol files as well as headers provided by the pacemaker cluster manager (common CRM). Install it if you want to do development based on pacemaker. Package: libcroco-tools Source: libcroco Version: 0.6.6-2+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 75 Depends: libc6 (>= 2.13-28), libcroco3 (>= 0.6.2), libglib2.0-0 (>= 2.12.0), libxml2 (>= 2.7.4) Breaks: libcroco3 (<< 0.6.2-2) Replaces: libcroco3 (<< 0.6.2-2) Multi-Arch: foreign Priority: optional Section: libs Filename: pool/main/libc/libcroco/libcroco-tools_0.6.6-2+deb7u1_armhf.deb Size: 64042 SHA256: eb5595be29f95012c829e04174530543ff37d9f3bd5e457385b70c8a3ec28497 SHA1: 828b79fdfbcd3fa90f0d293654aa688d0aa72b5c MD5sum: 69b2581c9ed9df642f9bfca68fbaf4af Description: Cascading Style Sheet (CSS) parsing and manipulation toolkit - utils Services provided by Libcroco * A parser module that provides o A SAC like API. SAC stands for Simple API for CSS. SAC is an event driven API which resembles SAX in the xml world. o A CSSOM like API. CSSOM stands for Cascading Style Sheet Object Model. . The libcroco parser implements the CSS Level 2 specification, the CSS forward compatibility rules and the CSS cascading rules. . * A CSS2 selection engine Given an xml element node (that obviously comes from an xml document) and a stylesheet cascade, the Libcroco selection engine can evaluate the css selectors of the cascade and return the style properties associated to the xml element node. . Note that the xml manipulation toolkit used by the libcroco selection engine at the moment is libxml2. . This package contains csslint, a standalone utility based on libcroco that can be used for testing CSS correctness. Package: libcroco3 Source: libcroco Version: 0.6.6-2+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 266 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libxml2 (>= 2.7.4) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libc/libcroco/libcroco3_0.6.6-2+deb7u1_armhf.deb Size: 118830 SHA256: a83d4cfc8ebd83379545974a30413a0386860aba9590074dd5f5de5d2f4e9b68 SHA1: 5358ea80fc40d16109fa7caf526239251cab9b85 MD5sum: 09181d0b421827fc7611aef4cce24213 Description: Cascading Style Sheet (CSS) parsing and manipulation toolkit Services provided by Libcroco * A parser module that provides o A SAC like API. SAC stands for Simple API for CSS. SAC is an event driven API which resembles SAX in the xml world. o A CSSOM like API. CSSOM stands for Cascading Style Sheet Object Model. . The libcroco parser implements the CSS Level 2 specification, the CSS forward compatibility rules and the CSS cascading rules. . * A CSS2 selection engine Given an xml element node (that obviously comes from an xml document) and a stylesheet cascade, the Libcroco selection engine can evaluate the css selectors of the cascade and return the style properties associated to the xml element node. . Note that the xml manipulation toolkit used by the libcroco selection engine at the moment is libxml2. . This package contains the shared libraries. Package: libcroco3-dev Source: libcroco Version: 0.6.6-2+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 470 Depends: libcroco3 (= 0.6.6-2+deb7u1), libxml2-dev (>= 2.4.23), libglib2.0-dev (>= 2.0) Multi-Arch: same Priority: optional Section: libdevel Filename: pool/main/libc/libcroco/libcroco3-dev_0.6.6-2+deb7u1_armhf.deb Size: 140190 SHA256: f5281d1d84428fd878187605b900b3197f5afafbc44a9385c90a8497502cede6 SHA1: 09cd40f69936500585e9ff2c448a79db381997f4 MD5sum: f5e8340e458a9f19b0c156c14d28c76e Description: Cascading Style Sheet (CSS) parsing and manipulation toolkit Services provided by Libcroco * A parser module that provides o A SAC like API. SAC stands for Simple API for CSS. SAC is an event driven API which resembles SAX in the xml world. o A CSSOM like API. CSSOM stands for Cascading Style Sheet Object Model. . The libcroco parser implements the CSS Level 2 specification, the CSS forward compatibility rules and the CSS cascading rules. . * A CSS2 selection engine Given an xml element node (that obviously comes from an xml document) and a stylesheet cascade, the Libcroco selection engine can evaluate the css selectors of the cascade and return the style properties associated to the xml element node. . Note that the xml manipulation toolkit used by the libcroco selection engine at the moment is libxml2. . This package contains the header files and static libraries which is needed for developing the GTK+ applications. Package: libcry-ocaml-dev Source: ocaml-cry Version: 0.2.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 137 Depends: ocaml-nox-3.12.1, ocaml-findlib Provides: libcry-ocaml-dev-0kav3 Homepage: http://savonet.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-cry/libcry-ocaml-dev_0.2.2-1_armhf.deb Size: 19492 SHA256: 39f940c7ea6d303a970d9e9e4ebd9e28fce30f9298a2bae2f78a37d63e12e4f9 SHA1: 541c9fdb18e557b5549714a85de7a5e5201d2d76 MD5sum: 6290a58fb9ae3d6aa286812536cb68bc Description: MP3/Ogg Vorbis broadcast OCaml module This OCaml module implements the protocols used to connect and send source data to icecast2 and shoutcast servers. . It is a low-level implementation, so it only does the minimal source connection. In particular, it does not handle synchronisation. Hence, the task of sending audio data to the streaming server at real time rate is up to the programmer, contrary to the main implementation, libshout. Package: libcrypt-blowfish-perl Version: 2.12-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 87 Depends: libc6 (>= 2.4), perl (>= 5.14.2-9), perlapi-5.14.2 Homepage: http://search.cpan.org/dist/Crypt-Blowfish/ Priority: optional Section: perl Filename: pool/main/libc/libcrypt-blowfish-perl/libcrypt-blowfish-perl_2.12-1_armhf.deb Size: 20482 SHA256: a511e7bdf468a21202cc3b05453f377b8b39aa2d07d709b2cdd9767c6d0c5f12 SHA1: b6f7916cedd9da092e88ab2089b412709bbf4f0b MD5sum: 888463fb3b1243c36801d00a45931080 Description: Blowfish cryptography for Perl This is Crypt::Blowfish, an XS-based implementation of the Blowfish cryptography algorithm designed by Bruce Schneier. It's designed to take full advantage of Crypt::CBC when desired. Blowfish keys may be up to 448 bits (56 bytes) long. Package: libcrypt-cast5-perl Version: 0.05-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 93 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Recommends: libcrypt-cbc-perl Homepage: http://search.cpan.org/dist/Crypt-CAST5/ Priority: optional Section: perl Filename: pool/main/libc/libcrypt-cast5-perl/libcrypt-cast5-perl_0.05-1_armhf.deb Size: 25854 SHA256: dfdaa22c9d110b4841edcba709d51c2770066f3537c7e1c899ad562612182f5c SHA1: 26a349579b1253997463ffdad1845ff8feb3b38c MD5sum: 9a1d553408104ce042cffb68f2ce26a2 Description: CAST5 block cipher Crypt::CAST5 provides an implementation of the CAST5 block cipher using compiled C code for increased speed. CAST5 is also known as CAST-128. It is a product of the CAST design procedure developed by C. Adams and S. Tavares. The CAST5 cipher is available royalty-free. Package: libcrypt-cbc-perl Version: 2.30-1 Installed-Size: 124 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libcrypt-rijndael-perl | libcrypt-blowfish-perl | libcrypt-des-perl | libcrypt-des-ede3-perl Size: 30200 SHA256: 39eead73d9b5fc403a5c39ce2057798c6d2244d85e7a5ff65cdb9318bc7d57eb SHA1: e065b608dc7a9046edf9b90ab5c9ba89f3d6a5c8 MD5sum: 0f3f5a5230702728fdcd5a3a60c22ab0 Description: Implementation of cipher block chaining (CBC) mode In combination with a block cipher such as Crypt::Rijndael or Crypt::Blowfish, you can encrypt and decrypt messages of arbitrarily long length. The encrypted messages are compatible with the encryption format used by SSLeay. Homepage: http://search.cpan.org/dist/Crypt-CBC/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::cryptography Section: perl Priority: optional Filename: pool/main/libc/libcrypt-cbc-perl/libcrypt-cbc-perl_2.30-1_all.deb Package: libcrypt-ciphersaber-perl Source: ciphersaber Version: 0.61-4 Installed-Size: 76 Maintainer: Stefan Hornburg (Racke) Architecture: all Depends: perl (>= 5.6.0-16) Size: 10570 SHA256: 9b56c6c4abda108a3287d9bafd360eab702195e12c4ff2b9ef8c7573fda5cd77 SHA1: a2d7ee54ba5d545b049c66cd7c3463aeaef045c0 MD5sum: c80c352201c89b46f0fdd67ca6c7a301 Description: Perl module implementing CipherSaber encryption The Crypt::CipherSaber module implements CipherSaber encryption, described at http://ciphersaber.gurus.com. It is simple, fairly speedy, and relatively secure algorithm based on RC4. . Encryption and decryption are done based on a secret key, which must be shared with all intended recipients of a message. Tag: devel::lang:perl, devel::library, implemented-in::perl, security::cryptography Section: perl Priority: optional Filename: pool/main/c/ciphersaber/libcrypt-ciphersaber-perl_0.61-4_all.deb Package: libcrypt-des-ede3-perl Version: 0.01-1.1 Installed-Size: 60 Maintainer: Pierre-Matthieu Alamy Architecture: all Depends: libcrypt-des-perl, perl (>= 5.6.0-16) Size: 6296 SHA256: 1a4bc914950b11655ab8850c58e3f79801b39e6d5644ddc1fd5373f05ffae7b2 SHA1: c1c5fad4ed9bcd634158ed9bfb838e0453f1ee00 MD5sum: 6f636194452c5dbd114a8b8903b1dee2 Description: Triple-DES EDE encryption/decryption for perl Crypt::DES_EDE3 implements DES-EDE3 encryption. This is triple-DES encryption where an encrypt operation is encrypt-decrypt-encrypt, and decrypt is decrypt-encrypt-decrypt. This implementation uses Crypt::DES to do its dirty DES work, and simply provides a wrapper around that module: setting up the individual DES ciphers, initializing the keys, and performing the encryption/decryption steps. . You're probably best off not using this module directly, as the encrypt and decrypt methods expect 8-octet blocks. You might want to use the module in conjunction with Crypt::CBC, for example. This would be DES-EDE3-CBC, or triple-DES in outer CBC mode. Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcrypt-des-ede3-perl/libcrypt-des-ede3-perl_0.01-1.1_all.deb Package: libcrypt-des-perl Version: 2.05-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 90 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Crypt-DES/ Priority: optional Section: perl Filename: pool/main/libc/libcrypt-des-perl/libcrypt-des-perl_2.05-2_armhf.deb Size: 21170 SHA256: e6913dad8d6f529e53f46652b3344786756888245181c6b2f8847c63bddb60f0 SHA1: e0bef83ccbb56da260d46603e34a267520b4f41a MD5sum: b8cf119d523e32e2d4bf7f2a393d7cec Description: Perl DES encryption module This is Crypt::DES, an XS-based implementation of the DES cryptography algorithm. . The module implements the Crypt::CBC interface, which has blocksize, keysize, encrypt and decrypt functions. Package: libcrypt-dh-gmp-perl Version: 0.00010-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 105 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libgmp10 Homepage: http://search.cpan.org/dist/Crypt-DH-GMP/ Priority: optional Section: perl Filename: pool/main/libc/libcrypt-dh-gmp-perl/libcrypt-dh-gmp-perl_0.00010-1_armhf.deb Size: 19948 SHA256: e2299c2b6a90f3b04208712548dbf98154af802aedab3afb8b72bbb87cae7ddc SHA1: d7bfc6a4ca98f85ce111715278ec2e97930dff50 MD5sum: 39f6bc548adc43a1ca929ef8be1dc4ce Description: Crypt::DH replacement that uses GMP directly Crypt::DH::GMP is a (somewhat) portable replacement to Crypt::DH, implemented mostly in C. . In the beginning, there was Crypt::DH. However, Crypt::DH suffers from a couple of problems: GMP/Pari libraries are almost always required; Crypt::DH additionally has Math::BigInt in between GMP. Instantiating an object comes with a relatively high cost, and if you make many computations in one go, your program will suffer dramatically because of this. . Crypt::DH::GMP attempts to alleviate these problems by providing a Crypt::DH-compatible layer, which, instead of doing calculations via Math::BigInt, directly works with libgmp in C. . This means that essentially 2 call stacks worth of expensive Perl method calls are eliminated and also only load 1 (Crypt::DH::GMP) module instead of 3 (Crypt::DH + Math::BigInt + Math::BigInt::GMP) is needed. . These add up to a fairly significant increase in performance. Package: libcrypt-dh-perl Version: 0.06-3 Installed-Size: 72 Maintainer: Dominic Hargreaves Architecture: all Depends: perl (>= 5.6.0-16), perl-modules Recommends: libmath-bigint-gmp-perl Size: 10276 SHA256: dc03d638a9c8d71089c83df6302cd4e8dfb79c4b39b4a1eb933ba113ab0db4da SHA1: 5e3fadce12b5fd685186010c8909333c6f90e300 MD5sum: 095d542286980207f5926d26bcfddcbf Description: Diffie-Hellman key exchange system implemented in Perl Diffie-Hellman is an algorithm by which two parties can agree on a shared secret key, known only to them. The secret is negotiated over an insecure network without the two parties ever passing the actual shared secret, or their private keys, between them. Homepage: http://search.cpan.org/dist/Crypt-DH/ Tag: devel::lang:perl, implemented-in::perl, security::cryptography Section: perl Priority: optional Filename: pool/main/libc/libcrypt-dh-perl/libcrypt-dh-perl_0.06-3_all.deb Package: libcrypt-dsa-perl Version: 1.17-3 Installed-Size: 104 Maintainer: Debian Perl Group Architecture: all Depends: perl, libconvert-asn1-perl, libconvert-pem-perl, libdata-buffer-perl, libfile-spec-perl, libfile-which-perl Recommends: libmath-bigint-gmp-perl Size: 34648 SHA256: 8a963f0912f314d5ba01956410cd4f48466a1ca1cfcbcd980c0e664ed1802585 SHA1: 7c0103589c31f0e643473fbdd7d3edef4973da3a MD5sum: 2b32bfe72a1d214e9c29e5cd3c2ab4fc Description: Perl module for DSA Signatures and Key Generation Crypt::DSA is a pure Perl implementation of DSA (Digital Signature Algorithm). It provides DSA signing, signature verification, and key generation. Homepage: http://search.cpan.org/dist/Crypt-DSA/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcrypt-dsa-perl/libcrypt-dsa-perl_1.17-3_all.deb Package: libcrypt-ecb-perl Version: 1.40-2 Installed-Size: 84 Maintainer: Ivan Kohler Architecture: all Depends: perl (>= 5.6.0-16) Size: 14744 SHA256: 1bca022d1a4a77ed5e94822a9d8528024a2b0505c09139c1c6661bfc1785b4f1 SHA1: bbd18933b28a0f0d1fb6ff4c9e7801bb802fdbec MD5sum: c24cf88dce868f5edeca6853dd7fd35d Description: Perl library to encrypt data using ECB mode This module is a Perl-only implementation of the ECB mode. In combination with a block cipher such as DES, IDEA or Blowfish, you can encrypt and decrypt messages of arbitrarily long length. Though for security reasons other modes than ECB such as CBC should be preferred. See textbooks on cryptography if you want to know why. . The functionality of the module can be accessed via OO methods or via standard function calls. Remember that some crypting module like for example Blowfish has to be installed. The syntax follows that of Crypt::CBC meaning you can access Crypt::ECB exactly like Crypt::CBC, though Crypt::ECB is more flexible. For example you can change the key or the cipher without having to create a new crypt object. Tag: devel::lang:perl, devel::library, implemented-in::perl, security::cryptography Section: perl Priority: optional Filename: pool/main/libc/libcrypt-ecb-perl/libcrypt-ecb-perl_1.40-2_all.deb Package: libcrypt-eksblowfish-perl Version: 0.008-1 Architecture: armhf Maintainer: Ivan Kohler Installed-Size: 156 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libxsloader-perl, libclass-mix-perl Priority: optional Section: perl Filename: pool/main/libc/libcrypt-eksblowfish-perl/libcrypt-eksblowfish-perl_0.008-1_armhf.deb Size: 50864 SHA256: fc6e73771a54999bf357b442ea48953bc4155e1cd21179fc9544da840dc263cc SHA1: 48014bf3b98071d605b4ca0c083e99b0ab95cc7f MD5sum: 4338d1e736569d587ee8aa72eb617d47 Description: Perl module implementing the Eksblowfish block cipher An object of this type encapsulates a keyed instance of the Eksblowfish block cipher, ready to encrypt and decrypt. . Eksblowfish is a variant of the Blowfish cipher, modified to make the key setup very expensive. ("Eks" stands for "expensive key schedule".) This doesn't make it significantly cryptographically stronger, but is intended to hinder brute-force attacks. It also makes it unsuitable for any application requiring key agility. It was designed by Niels Provos and David Mazieres for password hashing in OpenBSD. See Crypt::Eksblowfish::Bcrypt for the hash algorithm. . Eksblowfish is a parameterised (family-keyed) cipher. It takes a cost parameter that controls how expensive the key scheduling is. It also takes a family key, known as the "salt". Cost and salt parameters together define a cipher family. Within each family, a key determines an encryption function in the usual way. See Crypt::Eksblowfish::Family for a way to encapsulate an Eksblowfish cipher family. Package: libcrypt-gcrypt-perl Version: 1.25-1 Architecture: armhf Maintainer: Daniel Kahn Gillmor Installed-Size: 168 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libgcrypt11 (>= 1.4.5) Homepage: http://search.cpan.org/dist/Crypt-GCrypt/ Priority: optional Section: perl Filename: pool/main/libc/libcrypt-gcrypt-perl/libcrypt-gcrypt-perl_1.25-1_armhf.deb Size: 42516 SHA256: 74ab9022191b605bbfb041782d2627951843b13d6684d58b57562288d18afe25 SHA1: 60bc5d71f2dde5cf778ab739453b4e7e4f55a422 MD5sum: da3faed2c084a9c6f274c6a1d5235047 Description: Perl interface to the GNU Cryptographic library Crypt::GCrypt provides an object interface to the C libgcrypt library. It currently supports symmetric encryption/decryption, while asymmetric cryptography is being worked on. Package: libcrypt-generatepassword-perl Version: 0.03-4 Installed-Size: 2068 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 200880 SHA256: 29e171d154a45241c6f24caf9465efab28a08e109ef0df47b4af8f0003e45760 SHA1: be95deeb71b05f0541bf81c6419baf2bb44801db MD5sum: eab600a58952a9a67df471bb192b6d81 Description: perl module to generate secure passwords Crypt::GeneratePassword lets you generate secure random passwords with a reasonable amount of pronounceability. It avoids the problems associated with the FIPS-181 NIST standard as used by Crypt::RandPasswd. Homepage: http://search.cpan.org/dist/Crypt-GeneratePassword/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::cryptography Section: perl Priority: optional Filename: pool/main/libc/libcrypt-generatepassword-perl/libcrypt-generatepassword-perl_0.03-4_all.deb Package: libcrypt-gpg-perl Version: 1.52-1 Installed-Size: 104 Maintainer: Roberto Jimeno Architecture: all Depends: gnupg, libipc-run-perl, libtimedate-perl, perl (>= 5.6.0-16) Size: 25612 SHA256: 00e753894e95d97566424d6db873252814f09adc8a2f45c4c888fd96d7b30073 SHA1: e0437db0066176e81487c88bb11a01dff9a9140d MD5sum: b913139bf43156c3b4a6bd40d94f127f Description: An Object Oriented Interface to GnuPG The Crypt::GPG module provides near complete access to GnuPG functionality through an object oriented interface. It provides methods for encryption, decryption, signing, signature verification, key generation, key export and import, and most other key management functions. . This module works almost identically to its cousin, Crypt::PGP5. The two modules together provide a uniform interface to deal with both PGP and GnuPG. Eventually, these modules will be folded into a single module which will interface with GnuPG as well as all versions of PGP. Tag: devel::lang:perl, devel::library, implemented-in::perl, security::cryptography Section: perl Priority: optional Filename: pool/main/libc/libcrypt-gpg-perl/libcrypt-gpg-perl_1.52-1_all.deb Package: libcrypt-hcesha-perl Version: 0.70-3 Installed-Size: 96 Maintainer: Jonas Genannt Architecture: all Depends: perl, libmime-base64-perl Size: 10020 SHA256: 77b1b81ff4e36828cc26176763f2066cb05f865a7ca6a4a3aff6cc7cd7b8550b SHA1: 1af89e75090df6881a4703f7da72c5eced870b82 MD5sum: b50c8323c0af52d83fc066967a84ce32 Description: Perl extension for one way hash chaining encryption using SHA This module implements a chaining block cipher using a one way hash. This method of encryption is the same that is used by radius (RFC2138) and is also described in Applied Cryptography. . Two interfaces are provided in the module. The first is straight block encryption/decryption the second does base64 mime encoding/decoding of the encrypted/decrypted blocks. . The idea is that the two sides have a shared secret that supplies one of the keys and a randomly generated block of bytes provides the second key. The random key is passed in cleartext between the two sides. . An example client and server are packaged as modules with this module. They are used in the tests. They can be found in the examples directory. Homepage: http://search.cpan.org/dist/Crypt-HCE_SHA/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::cryptography Section: perl Priority: optional Filename: pool/main/libc/libcrypt-hcesha-perl/libcrypt-hcesha-perl_0.70-3_all.deb Package: libcrypt-mysql-perl Version: 0.04-4 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 68 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Crypt-MySQL/ Priority: optional Section: perl Filename: pool/main/libc/libcrypt-mysql-perl/libcrypt-mysql-perl_0.04-4_armhf.deb Size: 8678 SHA256: 62a5f869b1be5c51abe224122c8e9e98877ce767aea2681deab11aabd6661c00 SHA1: f78573bbe044dff41a032868a9c1b863f018250a MD5sum: e584a656d500fde1fb5d31a40a4c57a1 Description: Perl module to emulate the MySQL PASSWORD() function Crypt::MySQL emulates MySQL PASSWORD() SQL function, without libmysqlclient. You can compare encrypted passwords, without real MySQL environment. Package: libcrypt-openssl-bignum-perl Version: 0.04-3 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 114 Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), perl (>= 5.14.2-11), perlapi-5.14.2 Homepage: http://perl-openssl.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libc/libcrypt-openssl-bignum-perl/libcrypt-openssl-bignum-perl_0.04-3_armhf.deb Size: 22938 SHA256: 8cf9cbf7f778b777e23924947d8f3daf1ee552838239f18020a6cad0686d915b SHA1: dd1abd5afe82143733288e038aeb42fde00a851c MD5sum: c31e884dee6fd08557beb01f622e9828 Description: Access OpenSSL multiprecision integer arithmetic libraries Presently, many though not all of the arithmetic operations that OpenSSL provides are exposed to Perl via Crypt::OpenSSL::Bignum. In addition, this module can be used to provide access to bignum values produced by other OpenSSL modules, such as key parameters from Crypt::OpenSSL::RSA. Package: libcrypt-openssl-dsa-perl Version: 0.13-6 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 117 Depends: libc6 (>= 2.4), libssl1.0.0 (>= 1.0.0), perl (>= 5.14.2-9), perlapi-5.14.2 Homepage: http://perl-openssl.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libc/libcrypt-openssl-dsa-perl/libcrypt-openssl-dsa-perl_0.13-6_armhf.deb Size: 22898 SHA256: 9a2c3c38c8103e22e0604ee5a922eb2bd23fae78272a5443948cd7f4c842673c SHA1: 123f6d7c29f539e94747254d8330433d134ff844 MD5sum: 3c344a9643646444519c41309e9ed408 Description: module which implements the DSA signature verification system Crypt::OpenSSL::DSA is a wrapper to the DSA (Digital Signature Algorithm) functions contained in the OpenSSL crypto library. It allows you to create public/private key pair, sign messages and verify signatures, as well as manipulate the keys at the low level. Package: libcrypt-openssl-random-perl Version: 0.04-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 79 Depends: libc6 (>= 2.4), libssl1.0.0 (>= 1.0.0), perl (>= 5.14.2-9), perlapi-5.14.2 Homepage: http://perl-openssl.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libc/libcrypt-openssl-random-perl/libcrypt-openssl-random-perl_0.04-1_armhf.deb Size: 10602 SHA256: 3cd1ec7d84eeaa0aec900d680c122ccfaaf4b3c3afd948cc5dcc86d8973c3a6f SHA1: b817c6095359b70a117297682730a0c43287f13d MD5sum: aed416f83503b915e733f2eecec52544 Description: Access to the OpenSSL pseudo-random number generator Perl module for accessing the OpenSSL pseudo-random number generator Package: libcrypt-openssl-rsa-perl Version: 0.28-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 133 Depends: libc6 (>= 2.4), libssl1.0.0 (>= 1.0.0), perl (>= 5.14.2-9), perlapi-5.14.2, libcrypt-openssl-bignum-perl Homepage: http://perl-openssl.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libc/libcrypt-openssl-rsa-perl/libcrypt-openssl-rsa-perl_0.28-1_armhf.deb Size: 28278 SHA256: 171f907595fe7264c91caee6769eb3141e04a9863b1a437d645a200475fd7a26 SHA1: f4b06f8be6bad8dc8e9bce57b2d31fe94278df93 MD5sum: d1733fd6ac928bc5cbd55b3334ff9dd7 Description: module for RSA encryption using OpenSSL Crypt::OpenSSL::RSA is a Perl module that provides glue to the RSA functions in the OpenSSL library. It provides the following functionalities: create a key from a string, make a new key, save key to a string, save public portion of key to a string using format compatible with OpenSSL's command-line rsa tool, encrypt, decrypt, sign, verify, return the size in bytes of a key, check the validity of a key. Package: libcrypt-openssl-x509-perl Version: 1.8.2-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 131 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libssl1.0.0 (>= 1.0.0) Homepage: http://search.cpan.org/dist/Crypt-OpenSSL-X509/ Priority: optional Section: perl Filename: pool/main/libc/libcrypt-openssl-x509-perl/libcrypt-openssl-x509-perl_1.8.2-1_armhf.deb Size: 32146 SHA256: 8de2f1c737b2d0b3631d0f9554e98678d42b4deab88ce22ec54c886bbf68dc16 SHA1: e9eac62076cf61e105bc469f29ca8be49fb4c80e MD5sum: 02e6f93c3d0d76c8dd044a45627ea5cd Description: Perl extension to OpenSSL's X509 API The Crypt::OpenSSL::X509 module implements a large majority of OpenSSL's useful X509 API. Package: libcrypt-passwdmd5-perl Version: 1.3-10 Installed-Size: 64 Maintainer: Bart Martens Architecture: all Depends: perl Size: 10480 SHA256: ebc5572e9ab12196c47d6c027bbbc7bfb66a9ae38df4786024c89f934cc1f645 SHA1: 18687a55ed70e0b2e3801899aa67e7b65b943ecc MD5sum: 4898927b1bd662174762f3af979f8469 Description: interoperable MD5-based crypt() for perl This package provides various crypt()-compatible interfaces to the MD5-based crypt() function found in various *nixes. It's based on the implementation found on FreeBSD 2.2.[56]-RELEASE Homepage: http://search.cpan.org/dist/Crypt-PasswdMD5/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::cryptography Section: perl Priority: optional Filename: pool/main/libc/libcrypt-passwdmd5-perl/libcrypt-passwdmd5-perl_1.3-10_all.deb Package: libcrypt-random-source-perl Version: 0.07-1 Installed-Size: 115 Maintainer: Debian Perl Group Architecture: all Depends: libany-moose-perl, libcapture-tiny-perl, libmodule-find-perl, libsub-exporter-perl, libnamespace-clean-perl, perl Size: 39472 SHA256: 18fcbafd8c3d022d5ba38e2e494dfef549f4686fc8224f391ada8f718ec3e84b SHA1: 0d73a36d83fff7e61a18e7a8f1137ba2b5c89fa4 MD5sum: 1ffed1730d372c8af1f3f01fe940e325 Description: get weak or strong random data from pluggable sources Crypt::Random::Source provides implementations for a number of byte oriented sources of random data. Homepage: http://search.cpan.org/dist/Crypt-Random-Source/ Section: perl Priority: optional Filename: pool/main/libc/libcrypt-random-source-perl/libcrypt-random-source-perl_0.07-1_all.deb Package: libcrypt-rc4-perl Version: 2.02-2 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8150 SHA256: 08fc5c46737017e81f568d452eda813fe98739b5d5c3b0e02f2d1b7c578ea02d SHA1: 875f506c4fd50e5aa98361b8430723407104f670 MD5sum: b3ccd1720524b44f66eb3737cf072290 Description: Perl implementation of the RC4 encryption algorithm Crypt::RC4 is intended to be a simple implementation of the RC4 algorithm, which can be used to generate a pseudo-random stream of bits (or keystream). . It should be noted that the RC4 algorithm itself is severely broken, and the upstream Perl module has not been updated since Dec 2001. It is included in Debian only because it is needed by other packages. In general this package should not be used for new projects, and where it is used appropriate defensive methods should be understood and applied. Homepage: http://search.cpan.org/dist/Crypt-RC4/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcrypt-rc4-perl/libcrypt-rc4-perl_2.02-2_all.deb Package: libcrypt-rijndael-perl Version: 1.08-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 101 Depends: libc6 (>= 2.4), perl (>= 5.14.2-9), perlapi-5.14.2 Homepage: http://search.cpan.org/dist/Crypt-Rijndael/ Priority: optional Section: perl Filename: pool/main/libc/libcrypt-rijndael-perl/libcrypt-rijndael-perl_1.08-1_armhf.deb Size: 25906 SHA256: 8de39f5a8e07fbe07deac187396a094d46df36d6f791d3ed9ba235c30e0c0195 SHA1: 85e20d10fa83b1c97c4656cb6617474746b11411 MD5sum: 87eb0bb361414d9d228a0430cab985c6 Description: Perl module implementing the Rijndael algorithm Crypt::Rijndael is a Perl module that provides an XS-based implementation of the Advanced Encryption Standard (AES) algorithm Rijndael, designed by Joan Daemen and Vincent Rijmen. Package: libcrypt-saltedhash-perl Version: 0.06-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 13670 SHA256: d17e29cf1dc83703064083f84d25e46a24107f9cce5afe7cbdce0e8fb8fb3775 SHA1: 5e686d594d7f3376901dfe7dec89c021caabc375 MD5sum: d37d1376bebe76baf2f6e294e1720b02 Description: module for handling salted hashes Crypt::SaltedHash is a Perl module that provides an object oriented interface to create salted (or seeded) hashes of clear text data. The formalization of this concept originates from RFC-3112 and is extended by the use of different digital algorithms. Homepage: http://search.cpan.org/dist/Crypt-SaltedHash/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcrypt-saltedhash-perl/libcrypt-saltedhash-perl_0.06-1_all.deb Package: libcrypt-simple-perl Version: 0.06-6 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libcompress-zlib-perl, libcrypt-blowfish-perl, libemail-mime-encodings-perl, libfreezethaw-perl Size: 9450 SHA256: 9465d90ded87c2228cb9958b951a3697569b6376148b16433943cecdb3fd151c SHA1: df1393bb25f0b29466421c0246fc57387c1fbad5 MD5sum: 1ed4b1d8e07a147b16f36aef5968d135 Description: Perl library to encrypt stuff simply Maybe you have a web application and you need to store some session data at the client side (in a cookie or hidden form fields) but you don't want the user to be able to mess with the data. Maybe you want to save secret information to a text file. Maybe you have better ideas of what to do with encrypted stuff! . The Crypt::Simple module will convert all your data into nice base64 text that you can save in a text file, send in an email, store in a cookie or web page, or bounce around the Net. The data you encrypt can be as simple or as complicated as you like. Homepage: http://search.cpan.org/dist/Crypt-Simple/ Tag: devel::lang:perl, implemented-in::perl, security::cryptography Section: perl Priority: optional Filename: pool/main/libc/libcrypt-simple-perl/libcrypt-simple-perl_0.06-6_all.deb Package: libcrypt-smbhash-perl Version: 0.12-3 Installed-Size: 80 Maintainer: Alexander Zangerl Architecture: all Depends: perl (>= 5.6.0-16), libdigest-md4-perl Size: 13886 SHA256: 6352dfdf5fe2a2d27586e31bdde7c6303c0145cd351c5f11b61b11f0c2283ca7 SHA1: 15896011c1be3f3f17c3a9c1dbf07b56af04e0a3 MD5sum: db223177ae95fe19fcb5b23a06fe4d09 Description: generate LM/NT hash of a password for samba This module provides functions to generate LM/NT hashes used in Samba's 'smbpasswd' file. . The module is a direct port of code from Samba and is written entirely in perl, so it is slower than a C implementation but it is easily ported and installed. Homepage: http://www.cpan.org/authors/id/B/BJ/BJKUIT/ Tag: devel::lang:perl, devel::library, implemented-in::perl, protocol::smb, security::cryptography Section: perl Priority: extra Filename: pool/main/libc/libcrypt-smbhash-perl/libcrypt-smbhash-perl_0.12-3_all.deb Package: libcrypt-smime-perl Version: 0.10-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 111 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libssl1.0.0 (>= 1.0.0) Homepage: http://search.cpan.org/dist/Crypt-SMIME/ Priority: optional Section: perl Filename: pool/main/libc/libcrypt-smime-perl/libcrypt-smime-perl_0.10-1_armhf.deb Size: 22350 SHA256: ecaba15a206285ce4a15ed00a85c8d29c073ce0dc96eaea35394142fa4851657 SHA1: 9917af184d54a49c884747c619d7909536a011ae MD5sum: 651dc81c5123174206d002a489f0d474 Description: S/MIME message signing, verification, encryption and decryption module Crypt::SMIME provides a class for handling S/MIME messages. It can sign, verify, encrypt and decrypt messages. . Crypt::SMIME operates on email messages as simple strings, so there's no particular integration with other MAIL::* or MIME::* modules. Rather, it can co-operate with any module capable of accepting / outputting the entire message as a single string. Package: libcrypt-ssleay-perl Version: 0.58-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 169 Depends: libc6 (>= 2.4), libssl1.0.0 (>= 1.0.0), perl (>= 5.14.2-9), perlapi-5.14.2 Homepage: http://search.cpan.org/dist/Crypt-SSLeay/ Priority: optional Section: perl Filename: pool/main/libc/libcrypt-ssleay-perl/libcrypt-ssleay-perl_0.58-1_armhf.deb Size: 50506 SHA256: adabe5b30ff207b4ac7610b95f5f6a10703393612401c30ecb1f743bd0a4082d SHA1: 600819877e15734be98fa96b12a3a6a93eec85ea MD5sum: 16b3b15dd6f699da034f620847456dfd Description: OpenSSL support for LWP This perl module provides support for the HTTPS protocol under LWP, so that an LWP::UserAgent can make HTTPS GET & HEAD & POST requests. Please see perldoc LWP for more information on POST requests. . The Crypt::SSLeay package contains Net::SSL, which is automatically loaded by LWP::Protocol::https on HTTPS requests, and provides the necessary SSL glue for that module to work via these deprecated modules: Crypt::SSLeay::CTX, Crypt::SSLeay::Conn and Crypt::SSLeay::X509. . Work on Crypt::SSLeay has been continued only to provide HTTPS support for the LWP - libwww perl libraries. If you want access to the OpenSSL API via perl, check out Sampo's Net::SSLeay (available in Debian as the libnet-ssleay-perl package). Package: libcrypt-twofish-perl Version: 2.12-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 99 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Crypt-Twofish/ Priority: optional Section: perl Filename: pool/main/libc/libcrypt-twofish-perl/libcrypt-twofish-perl_2.12-1_armhf.deb Size: 18708 SHA256: a0963d234229d0e19558fa7be1f71917f97f5db96aa5743da12c789932b4f343 SHA1: 1ee4153509c51f339bc86ea6e0379233ffdbe633 MD5sum: c2fd47f7ce1cb35f0a215692196c1e68 Description: Perl module for Twofish Encryption Algorithm Crypt::Twofish implements Twofish encryption using an interface compatible with Crypt::CBC interface. . Twofish is a 128-bit symmetric block cipher with a variable length (128, 192, or 256-bit) key, developed by Counterpane Labs. It is unpatented and free for all uses, as described at . Package: libcrypt-unixcrypt-perl Version: 1.0-5 Installed-Size: 92 Maintainer: Bart Martens Architecture: all Depends: perl (>= 5.6.0-16) Size: 13376 SHA256: 20b3633b9e372a30e24056c7e3ce8d24845626b25dd24aea640ad59249dfbc25 SHA1: 323147f39a04c096f08c24039df58b80e31472f4 MD5sum: fdbd80cc3fb69474c94a291f1aae0bd2 Description: Perl-only implementation of the crypt(3) function The Crypt::UnixCrypt module is a portable implementation of the Unix library function crypt(). It is especially useful on systems whose perl does not implement the built-in crypt() function. . This module won't overload a built-in crypt() unless forced by a true value of the variable $Crypt::UnixCrypt::OVERRIDE_BUILTIN. Homepage: http://search.cpan.org/~mvorl/Crypt-UnixCrypt/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::cryptography Section: perl Priority: optional Filename: pool/main/libc/libcrypt-unixcrypt-perl/libcrypt-unixcrypt-perl_1.0-5_all.deb Package: libcrypt-unixcrypt-xs-perl Version: 0.08-1 Architecture: armhf Maintainer: Ivan Kohler Installed-Size: 91 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Priority: optional Section: perl Filename: pool/main/libc/libcrypt-unixcrypt-xs-perl/libcrypt-unixcrypt-xs-perl_0.08-1_armhf.deb Size: 20608 SHA256: 52a154de0b080008450ec7b35a54c0cfdcadd22ba95fba3f55a3e8e90d78f74d SHA1: 84640deb1a75fac81b84557ab519dfba5f91bf59 MD5sum: efb38efa173756dd3bcf150058a9fda5 Description: Perl XS interface for a portable traditional crypt() function This module implements the DES-based Unix crypt function. For those who need to construct non-standard variants of crypt, the various building blocks used in crypt are also supplied separately. Package: libcrypt-util-perl Version: 0.11-1 Installed-Size: 150 Maintainer: Debian Perl Group Architecture: all Depends: libdata-guid-perl, libmoose-perl, libstorable-perl, libsub-exporter-perl, libnamespace-clean-perl, perl Recommends: libcrypt-blowfish-perl, libcrypt-cbc-perl, libcrypt-des-perl, libcrypt-rijndael-perl, libcrypt-twofish-perl, libdigest-hmac-perl, libdigest-whirlpool-perl, libmime-base32-perl, libmime-base64-urlsafe-perl, liburi-perl Suggests: libcrypt-cfb-perl, libcrypt-eax-perl, libcrypt-rc6-perl, libcrypt-ripemd160-perl, libcrypt-serpent-perl, libdigest-cmac-perl Size: 46296 SHA256: d3e1d397bb6782a4d0507e77346d869202d8d115d8eb38e085bd5ae60c46062c SHA1: 446a50ea68fbfa66becd4bea4d82292a5acc42fe MD5sum: 4a1ebbff1134ec1a8e040d81846a24dc Description: lightweight Crypt/Digest convenience API Crypt::Util provides an easy, intuitive and forgiving API for wielding crypto-fu. . The API is designed as a cascade, with rich features built using simpler ones. This means that the option processing is uniform throughout, and the behaviors are generally predictable. . Note that Crypt::Util doesn't do any crypto on its own, but delegates the actual work to the various other crypto modules on the CPAN. Crypt::Util merely wraps these modules, providing uniform parameters, and building on top of their polymorphism with higher level features. Homepage: http://search.cpan.org/dist/Crypt-Util/ Section: perl Priority: optional Filename: pool/main/libc/libcrypt-util-perl/libcrypt-util-perl_0.11-1_all.deb Package: libcrypt-x509-perl Version: 0.51-1 Installed-Size: 112 Maintainer: Daniel Kahn Gillmor Architecture: all Depends: perl, libconvert-asn1-perl (>= 0.19) Size: 21240 SHA256: 47ec4f8fcda351da4da4f5e84842d68417204af9ca4fb48dd814f3d928d4aa5d SHA1: 04700cc059d5e66813012fc9ed1c15734c82d1ee MD5sum: b158f949d78469dba4a63738e6ca3b06 Description: Parse X.509 certificates with Perl Crypt::X509 is a Perl module for parsing X.509 certificates. Methods are provided for accessing most certificate elements. Homepage: http://search.cpan.org/dist/Crypt-X509/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::cryptography Section: perl Priority: optional Filename: pool/main/libc/libcrypt-x509-perl/libcrypt-x509-perl_0.51-1_all.deb Package: libcryptgps-ocaml-dev Source: cryptgps Version: 0.2.1-7 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 519 Depends: ocaml-findlib, ocaml-nox-3.12.1 Provides: libcryptgps-ocaml-dev-m8ur8 Homepage: http://projects.camlcity.org/projects/cryptgps.html Priority: optional Section: ocaml Filename: pool/main/c/cryptgps/libcryptgps-ocaml-dev_0.2.1-7_armhf.deb Size: 128176 SHA256: 65a446ed9e398e774bbb2cdecdedeaa338db57d4ca61127ce88c31dc96e2d72f SHA1: 91736821ba0ef75743c4b0da5ffe6dd368fc2dd3 MD5sum: 1c320a7e28d0be82b7bff5793c36f976 Description: OCaml implementation of symmetric cryptographic algorithms: Blowfish, DES, 3DES This OCaml library implements some well-known symmetric cryptographic algorithms, namely: Blowfish, DES, 3DES. . This package contains all the development stuff needed to use cryptgps in OCaml programs. Package: libcrypto++-dev Source: libcrypto++ Version: 5.6.1-6+deb7u3 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 17944 Depends: libcrypto++9 (= 5.6.1-6+deb7u3) Homepage: http://www.cryptopp.com Priority: optional Section: libdevel Filename: pool/main/libc/libcrypto++/libcrypto++-dev_5.6.1-6+deb7u3_armhf.deb Size: 3307044 SHA256: f13085d9e64045c2e938b7af5a8282c749861f3dc4ace83a49969e375f336e46 SHA1: fffb7bf845a76a8f481f14a92f7c25f61622e6c5 MD5sum: 85d6bb0383dce3e3bac6d8c4579cf5a3 Description: General purpose cryptographic library - C++ development Crypto++ is library for creating C++ programs which use cryptographic algorithms. The library uses a Pipes & Filters architecture with heavy use of templates and abstract base classes. The cryptographic tools include: * Authenticated encryption schemes (GCM, CCM, EAX), * High speed stream ciphers (Panama, Sosemanuk, Salsa20, XSalsa20), * AES and candidates (Rijndael, RC6, MARS, Twofish, Serpent, CAST-256), * Other symmetric block ciphers (IDEA, Triple-DES, Camellia, SEED, RC5, Blowfish, TEA, XTEA, Skipjack, SHACAL-2), * Block cipher modes of operation (ECB, CBC, CTS, CFB, OFB, CTR), * Message authentication codes (VMAC, HMAC, CMAC, CBC-MAC, DMAC, Two-Track-MAC), * Hash functions (SHA-1, SHA-224, SHA-256, SHA-384, SHA-512, Tiger, WHIRLPOOL, RIPEMD-128, RIPEMD-256, RIPEMD-160, RIPEMD-320), * Public-key cryptography (RSA, DSA, ElGamal, NR, RW, LUC, LUCELG, DLIES, ESIGN), * Padding schemes for public-key systems (PKCS#1, OAEP, PSS, PSSR, EMSA2, EMSA5), * Key agreement schemes (DH, MQV, LUCDIF, XTR-DH), * Elliptic curve cryptography (ECDSA, ECNR, ECIES, ECDH, ECMQV), * Backwards compatibility algorithms (MD2, MD4, MD5, Panama Hash, DES, ARC4, SEAL 3.0, WAKE, WAKE-OFB, DESX, RC2, SAFER, 3-WAY, GOST, SHARK, CAST-128, Square), * Pseudo-random number generators, and a whole lot more. Alternative libraries are libgcrypt and nettle. . This package contains the header files needed for developing using the Crypto++ class library and the static library with libtool supoport. Package: libcrypto++-doc Source: libcrypto++ Version: 5.6.1-6+deb7u3 Installed-Size: 31685 Maintainer: Laszlo Boszormenyi (GCS) Architecture: all Conflicts: libcrypto++-dev (<= 5.2.1c2-3) Size: 5614438 SHA256: 72d792769ad593ae741b4b2b0e324caaaddc3bd64669b558942ccf1eeeff556e SHA1: f4cb5ba22c2860dee45b2c50178636382d692996 MD5sum: 56313ac5a41a5fc6fbc16637e32e0c48 Description: General purpose cryptographic library - documentation Crypto++ is library for creating C++ programs which use cryptographic algorithms. The library uses a Pipes & Filters architecture with heavy use of templates and abstract base classes. The cryptographic tools include: * Authenticated encryption schemes (GCM, CCM, EAX), * High speed stream ciphers (Panama, Sosemanuk, Salsa20, XSalsa20), * AES and candidates (Rijndael, RC6, MARS, Twofish, Serpent, CAST-256), * Other symmetric block ciphers (IDEA, Triple-DES, Camellia, SEED, RC5, Blowfish, TEA, XTEA, Skipjack, SHACAL-2), * Block cipher modes of operation (ECB, CBC, CTS, CFB, OFB, CTR), * Message authentication codes (VMAC, HMAC, CMAC, CBC-MAC, DMAC, Two-Track-MAC), * Hash functions (SHA-1, SHA-224, SHA-256, SHA-384, SHA-512, Tiger, WHIRLPOOL, RIPEMD-128, RIPEMD-256, RIPEMD-160, RIPEMD-320), * Public-key cryptography (RSA, DSA, ElGamal, NR, RW, LUC, LUCELG, DLIES, ESIGN), * Padding schemes for public-key systems (PKCS#1, OAEP, PSS, PSSR, EMSA2, EMSA5), * Key agreement schemes (DH, MQV, LUCDIF, XTR-DH), * Elliptic curve cryptography (ECDSA, ECNR, ECIES, ECDH, ECMQV), * Backwards compatibility algorithms (MD2, MD4, MD5, Panama Hash, DES, ARC4, SEAL 3.0, WAKE, WAKE-OFB, DESX, RC2, SAFER, 3-WAY, GOST, SHARK, CAST-128, Square), * Pseudo-random number generators, and a whole lot more. Alternative libraries are libgcrypt and nettle. . This package includes the HTML reference guide to the source code. Homepage: http://www.cryptopp.com Enhances: libcrypto++-dev Section: doc Priority: optional Filename: pool/main/libc/libcrypto++/libcrypto++-doc_5.6.1-6+deb7u3_all.deb Package: libcrypto++-utils Source: libcrypto++ Version: 5.6.1-6+deb7u3 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 3319 Depends: libc6 (>= 2.13-28), libcrypto++9, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: libcrypto++-dev (= 5.2.1c2-1) Homepage: http://www.cryptopp.com Priority: optional Section: utils Filename: pool/main/libc/libcrypto++/libcrypto++-utils_5.6.1-6+deb7u3_armhf.deb Size: 889454 SHA256: 66a956f28531e9a42dc21f909c2dd224e0442c37c9e947775374a8afd2f7517d SHA1: 725ce4429b16786f3fcd99f578a2c0ca2ed5a050 MD5sum: 0b4b6d8f8b885e898cb72212ec0935ad Description: General purpose cryptographic library - utilities and data files Crypto++ is library for creating C++ programs which use cryptographic algorithms. The library uses a Pipes & Filters architecture with heavy use of templates and abstract base classes. The cryptographic tools include: * Authenticated encryption schemes (GCM, CCM, EAX), * High speed stream ciphers (Panama, Sosemanuk, Salsa20, XSalsa20), * AES and candidates (Rijndael, RC6, MARS, Twofish, Serpent, CAST-256), * Other symmetric block ciphers (IDEA, Triple-DES, Camellia, SEED, RC5, Blowfish, TEA, XTEA, Skipjack, SHACAL-2), * Block cipher modes of operation (ECB, CBC, CTS, CFB, OFB, CTR), * Message authentication codes (VMAC, HMAC, CMAC, CBC-MAC, DMAC, Two-Track-MAC), * Hash functions (SHA-1, SHA-224, SHA-256, SHA-384, SHA-512, Tiger, WHIRLPOOL, RIPEMD-128, RIPEMD-256, RIPEMD-160, RIPEMD-320), * Public-key cryptography (RSA, DSA, ElGamal, NR, RW, LUC, LUCELG, DLIES, ESIGN), * Padding schemes for public-key systems (PKCS#1, OAEP, PSS, PSSR, EMSA2, EMSA5), * Key agreement schemes (DH, MQV, LUCDIF, XTR-DH), * Elliptic curve cryptography (ECDSA, ECNR, ECIES, ECDH, ECMQV), * Backwards compatibility algorithms (MD2, MD4, MD5, Panama Hash, DES, ARC4, SEAL 3.0, WAKE, WAKE-OFB, DESX, RC2, SAFER, 3-WAY, GOST, SHARK, CAST-128, Square), * Pseudo-random number generators, and a whole lot more. Alternative libraries are libgcrypt and nettle. . This package includes a utility for benchmarking and using the various Crypto++ routines from the command line. It also includes the test vectors for validating both the Crypto++ implementation and other implementations of these routines. Package: libcrypto++9 Source: libcrypto++ Version: 5.6.1-6+deb7u3 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 3819 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.cryptopp.com Priority: optional Section: libs Filename: pool/main/libc/libcrypto++/libcrypto++9_5.6.1-6+deb7u3_armhf.deb Size: 1202622 SHA256: debd6a392658f1ac6cc618dfa9dcc4c9c6bef8927ea69aea2e155b5c8c9399c6 SHA1: cf41ae3d67b30e07c5a74a567ed401dd7d99e972 MD5sum: 8fd83915373de47e9eb70e68cb3d0c7f Description: General purpose cryptographic library - shared library General purpose cryptographic library for C++. . This package contains the shared libraries and should only be installed if other packages depend on it. Package: libcrypto++9-dbg Source: libcrypto++ Version: 5.6.1-6+deb7u3 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 29378 Depends: libcrypto++9 (= 5.6.1-6+deb7u3) Conflicts: libcrypto++8-dbg Homepage: http://www.cryptopp.com Priority: extra Section: debug Filename: pool/main/libc/libcrypto++/libcrypto++9-dbg_5.6.1-6+deb7u3_armhf.deb Size: 8915782 SHA256: 32af59e2ec518811627ae16e86f6a854f7035956e0b5196fcc63296c7d6b1d7e SHA1: e876bff51e07adfa116167576d64892042f2f0b2 MD5sum: 7ca8d0c24b9769ad3fa2cc3e9cbd678c Description: General purpose cryptographic library - debug symbols General purpose cryptographic library for C++. . This package contains the debug symbols for the shared library and should only be installed if you debugging a program that uses the shared library. Package: libcryptokit-ocaml Source: cryptokit Version: 1.5-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 524 Depends: ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Provides: libcryptokit-ocaml-3jzv6 Homepage: http://forge.ocamlcore.org/projects/cryptokit/ Priority: optional Section: ocaml Filename: pool/main/c/cryptokit/libcryptokit-ocaml_1.5-1_armhf.deb Size: 213034 SHA256: f9e5e231815c33b161ed8eb537a70da5f8a8777a8a16817b630d6229ff83481e SHA1: cbcbd3567a912f0637c723a38df7e3af53d14b98 MD5sum: 05fe459cd0f96219d706432d49f7c8cb Description: cryptographic algorithm library for OCaml - runtime The Cryptokit library for Objective Caml provides a variety of cryptographic primitives that can be used to implement cryptographic protocols in security-sensitive applications. The primitives provided include: . - Symmetric-key ciphers: AES, DES, Triple-DES, ARCfour, in ECB, CBC, CFB and OFB modes. - Public-key cryptography: RSA encryption, Diffie-Hellman key agreement. - Hash functions and MACs: SHA-1, MD5, and MACs based on AES and DES. - Random number generation. - Encodings and compression: base 64, hexadecimal, Zlib compression. . Additional ciphers and hashes can easily be used in conjunction with the library. In particular, basic mechanisms such as chaining modes, output buffering, and padding are provided by generic classes that can easily be composed with user-provided ciphers. More generally, the library promotes a "Lego"-like style of constructing and composing transformations over character streams. . This package provides just the shared library for Cryptokit. Package: libcryptokit-ocaml-dev Source: cryptokit Version: 1.5-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 554 Depends: zlib1g-dev, libcryptokit-ocaml-3jzv6, ocaml-nox-3.12.1 Provides: libcryptokit-ocaml-dev-3jzv6 Homepage: http://forge.ocamlcore.org/projects/cryptokit/ Priority: optional Section: ocaml Filename: pool/main/c/cryptokit/libcryptokit-ocaml-dev_1.5-1_armhf.deb Size: 118794 SHA256: 86743defcd44c55834f1d53a2c4540f4866f09c04d913cbc444295ba2c7f85ed SHA1: 363d8ec08f9c397eaded825e595eea48ec3b72a1 MD5sum: c7765d2bb6572b70f8d3bf169efb5d25 Description: cryptographic algorithm library for OCaml - development The Cryptokit library for Objective Caml provides a variety of cryptographic primitives that can be used to implement cryptographic protocols in security-sensitive applications. The primitives provided include: . - Symmetric-key ciphers: AES, DES, Triple-DES, ARCfour, in ECB, CBC, CFB and OFB modes. - Public-key cryptography: RSA encryption, Diffie-Hellman key agreement. - Hash functions and MACs: SHA-1, MD5, and MACs based on AES and DES. - Random number generation. - Encodings and compression: base 64, hexadecimal, Zlib compression. . Additional ciphers and hashes can easily be used in conjunction with the library. In particular, basic mechanisms such as chaining modes, output buffering, and padding are provided by generic classes that can easily be composed with user-provided ciphers. More generally, the library promotes a "Lego"-like style of constructing and composing transformations over character streams. . This package provides static libraries, interfaces, and documentation for Cryptokit. Package: libcryptsetup-dev Source: cryptsetup Version: 2:1.4.3-4 Architecture: armhf Maintainer: Debian Cryptsetup Team Installed-Size: 108 Depends: libcryptsetup4 (= 2:1.4.3-4) Homepage: http://code.google.com/p/cryptsetup/ Priority: optional Section: libdevel Filename: pool/main/c/cryptsetup/libcryptsetup-dev_1.4.3-4_armhf.deb Size: 52198 SHA256: defcd23f58f9ba705a5a4b4d2b105abd9a9e49582e9391b4e738ed0a9d1df382 SHA1: 70493c12358ae629888c7338513573f88362fb5b MD5sum: 84fc29c40a9c8fe22cd8b872c525c036 Description: disk encryption support - development files Cryptsetup provides an interface for configuring encryption on block devices (such as /home or swap partitions), using the Linux kernel device mapper target dm-crypt. It features integrated Linux Unified Key Setup (LUKS) support. . This package provides the libcryptsetup development files. Package: libcryptsetup4 Source: cryptsetup Version: 2:1.4.3-4 Architecture: armhf Maintainer: Debian Cryptsetup Team Installed-Size: 160 Depends: libc6 (>= 2.13-28), libdevmapper1.02.1 (>= 2:1.02.74), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.6-3), libuuid1 (>= 2.16), libgpg-error0 (>= 1.10-0.1) Homepage: http://code.google.com/p/cryptsetup/ Priority: optional Section: libs Filename: pool/main/c/cryptsetup/libcryptsetup4_1.4.3-4_armhf.deb Size: 89542 SHA256: 7f4f276cc30418f47842fe0be75f2948532766dbf2544e4e7e0bf453a44b7537 SHA1: 45b58a486cbbf4f71b6dbac80f5f1db5b5837cae MD5sum: 061c7746513e9e82b58751d8dd3e96db Description: disk encryption support - shared library Cryptsetup provides an interface for configuring encryption on block devices (such as /home or swap partitions), using the Linux kernel device mapper target dm-crypt. It features integrated Linux Unified Key Setup (LUKS) support. . This package provides the libcryptsetup shared library. Package: libcryptui-dev Source: libcryptui Version: 3.2.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 93 Depends: libglib2.0-dev (>= 2.10.0), libgtk-3-dev (>= 2.90.0), libcryptui0a (= 3.2.2-1), gir1.2-cryptui-0.0 (= 3.2.2-1) Suggests: libcryptui-doc Multi-Arch: same Homepage: http://developer.gnome.org/libcryptui/ Priority: optional Section: libdevel Filename: pool/main/libc/libcryptui/libcryptui-dev_3.2.2-1_armhf.deb Size: 16146 SHA256: ab4cfb31d0c13b92815bf4384042f0c461260156740ae79f32a6f90ea3d948e2 SHA1: 17e187596258f3f16d495c2c94d551b07dce153c MD5sum: 82b227a253ea566ca13d1637f271f12c Description: UI library for OpenPGP prompts (development) CryptUI is a library to manage OpenPGP prompts on GUI applications. . This package contains the development files and documentation needed to build applications using the CryptUI library. Package: libcryptui-doc Source: libcryptui Version: 3.2.2-1 Installed-Size: 232 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libcryptui-dev (<< 3.2) Recommends: libgtk-3-doc Suggests: devhelp Breaks: libcryptui-dev (<< 3.2) Size: 30702 SHA256: 4163f54bdb74f7b654d09b37a3d320ffb51344cdc22fe80da20d29e7706b29c7 SHA1: 59dc24f7cc839780c307748207b13686b42d5f4b MD5sum: e1e759b043c8fbb54df933c597503358 Description: UI library for OpenPGP prompts (documentation) CryptUI is a library to manage OpenPGP prompts on GUI applications. . This package contains the HTML documentation and API reference for the CryptUI library. Multi-Arch: foreign Homepage: http://developer.gnome.org/libcryptui/ Tag: devel::doc, role::documentation, security::cryptography Section: doc Priority: optional Filename: pool/main/libc/libcryptui/libcryptui-doc_3.2.2-1_all.deb Package: libcryptui0a Source: libcryptui Version: 3.2.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 96 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), seahorse-daemon (= 3.2.2-1) Conflicts: libcryptui0 Breaks: seahorse (<< 3.2), seahorse-plugins (<< 3.2) Multi-Arch: same Homepage: http://developer.gnome.org/libcryptui/ Priority: optional Section: libs Filename: pool/main/libc/libcryptui/libcryptui0a_3.2.2-1_armhf.deb Size: 31862 SHA256: bc749a47e69d8e8103649d5ae9208fd6034f8c18352efcce2f57244c1faf0020 SHA1: 8107d25355d0c11d879646948cffaf7827f7a34d MD5sum: 11b9372da7901077eb32fac9010bd20c Description: UI library for OpenPGP prompts CryptUI is a library to manage OpenPGP prompts on GUI applications. . This package contains the shared library needed to run programs built against the CryptUI library. Package: libcsfml-audio1.6 Source: libcsfml Version: 1.6-1 Architecture: armhf Maintainer: Debian Games team Installed-Size: 56 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsfml-audio1.6, libstdc++6 (>= 4.3.0) Homepage: http://www.sfml-dev.org Priority: extra Section: libs Filename: pool/main/libc/libcsfml/libcsfml-audio1.6_1.6-1_armhf.deb Size: 12188 SHA256: ce53cb38ba64a7e0713176943a687c44f687d6cbd61a7895ac85160126b29103 SHA1: 997dd8ec22aa08f84bd39348a1d2e2564fe2f943 MD5sum: 2dc3db88fd583a83fb9340af45111f5b Description: Libraries for the C Binding of SFML - Audio Part SFML is an simple but fast library for providing access to multimedia libraries. It can be used to develop OpenGL Applications with OpenAL Audio. It Supports Cross Platform Development . The Audio library is used to play sound and music in games. It is able to load OGG/Vorbis files. Package: libcsfml-dev Source: libcsfml Version: 1.6-1 Architecture: armhf Maintainer: Debian Games team Installed-Size: 322 Depends: libcsfml-audio1.6 (= 1.6-1), libcsfml-graphics1.6 (= 1.6-1), libcsfml-network1.6 (= 1.6-1), libcsfml-system1.6 (= 1.6-1), libcsfml-window1.6 (= 1.6-1) Conflicts: libcsfml1.deb3-dev Replaces: libcsfml1.deb3-dev Homepage: http://www.sfml-dev.org Priority: extra Section: libdevel Filename: pool/main/libc/libcsfml/libcsfml-dev_1.6-1_armhf.deb Size: 33742 SHA256: f62c0ba7d47616803c0b34b259448f063e1b92de46f2deebe37c71427c90545d SHA1: d45b94f1c6560d35fa74d9289066d00c6611da5c MD5sum: b4a0ab9e85a5fde45dc671c9eba126e4 Description: Developmentfiles for the C binding of SFML SFML is an simple and fast library for providing access to multimedia libraries. It can be used to develop OpenGL Aplications with OpenAL Audio. It supports Cross-Platform Development . This Package provides the developmentfiles for the C Binding Package: libcsfml-doc Source: libcsfml Version: 1.6-1 Installed-Size: 3208 Maintainer: Debian Games team Architecture: all Size: 974314 SHA256: 395f7c367a55dd80a8f992b65df0789e3e3ed7fdabee92812ae02e231ff2d27d SHA1: 750e046d73c898e664397f278276ddd58ec5bea8 MD5sum: 2dc8d34ec7349a98216e2ba5142cf9b7 Description: Libraries for the C Binding of SFML - Documentation SFML is an simple but fast library for providing access to multimedia libraries. It can be used to develop OpenGL Applications with OpenAL Audio. It Supports Cross Platform Development . This package includes documentation for all CSFML libraries. Homepage: http://www.sfml-dev.org Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/libc/libcsfml/libcsfml-doc_1.6-1_all.deb Package: libcsfml-graphics1.6 Source: libcsfml Version: 1.6-1 Architecture: armhf Maintainer: Debian Games team Installed-Size: 82 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsfml-graphics1.6, libsfml-system1.6, libsfml-window1.6, libstdc++6 (>= 4.4.0) Homepage: http://www.sfml-dev.org Priority: extra Section: libs Filename: pool/main/libc/libcsfml/libcsfml-graphics1.6_1.6-1_armhf.deb Size: 22984 SHA256: d3ae41ffc79ea95730711193bc929ea5c25401a25305098576ace5468fe46c18 SHA1: 5f12c61e5544afdbca186e8e03b409aff3db5de7 MD5sum: 2e2a0fe888e4245f3a39864960531473 Description: Libraries for the C Binding of SFML - Graphics Part SFML is an simple but fast library for providing access to multimedia libraries. It can be used to develop OpenGL Applications with OpenAL Audio. It Supports Cross Platform Development . The Graphics library contains all the OpenGL and drawing code. It needs the Window library for Window creation. Package: libcsfml-network1.6 Source: libcsfml Version: 1.6-1 Architecture: armhf Maintainer: Debian Games team Installed-Size: 83 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsfml-network1.6, libstdc++6 (>= 4.3.0) Homepage: http://www.sfml-dev.org Priority: extra Section: libs Filename: pool/main/libc/libcsfml/libcsfml-network1.6_1.6-1_armhf.deb Size: 22210 SHA256: 13ce5e87d62932a84f0ef0ab8591fa2bc649091c0d9eedce798aa8901e4d0e10 SHA1: 6c4bf60a1f0b97fc9c2312cf1c8c514718bb575f MD5sum: 2e4f24fceedeffeb9a6603b63d248c75 Description: Libraries for the C Binding of SFML - Network Part SFML is an simple but fast library for providing access to multimedia libraries. It can be used to develop OpenGL Applications with OpenAL Audio. It Supports Cross Platform Development . The Network Library brings basic networking support as needed by games. Package: libcsfml-system1.6 Source: libcsfml Version: 1.6-1 Architecture: armhf Maintainer: Debian Games team Installed-Size: 37 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsfml-system1.6, libstdc++6 (>= 4.3.0) Homepage: http://www.sfml-dev.org Priority: extra Section: libs Filename: pool/main/libc/libcsfml/libcsfml-system1.6_1.6-1_armhf.deb Size: 5860 SHA256: 0f91cbfa276d22f42a096d80b0f76cb3616e35c8e3119371b3e414c9afe10e58 SHA1: 375f1da06e4eb96d624c4f00b715f9f7f4ebe168 MD5sum: 85d0bffcc6c0495a13aa3768175b301e Description: Libraries for the C Binding of SFML - System Part SFML is an simple but fast library for providing access to multimedia libraries. It can be used to develop OpenGL Applications with OpenAL Audio. It Supports Cross Platform Development . The system library brings useful system utilities like multithreading and UNICODE handling Package: libcsfml-window1.6 Source: libcsfml Version: 1.6-1 Architecture: armhf Maintainer: Debian Games team Installed-Size: 45 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsfml-window1.6, libstdc++6 (>= 4.3.0) Homepage: http://www.sfml-dev.org Priority: extra Section: libs Filename: pool/main/libc/libcsfml/libcsfml-window1.6_1.6-1_armhf.deb Size: 8286 SHA256: 2b6821e74418a8ed5a93cba04dd188a78d93d94b5f52834c1c65a168f4ebd24e SHA1: 50c5ab4ff346d3ece778f92ec53b264deeaddba9 MD5sum: 0e5d96130850a8255763537df6388566 Description: Libraries for the C Binding of SFML - Window Part SFML is an simple but fast library for providing access to multimedia libraries. It can be used to develop OpenGL Applications with OpenAL Audio. It Supports Cross Platform Development . The Window library provides basic utilities for creating and managing Windows Package: libcsfml1.6-dbg Source: libcsfml Version: 1.6-1 Architecture: armhf Maintainer: Debian Games team Installed-Size: 124 Depends: libcsfml-audio1.6 (= 1.6-1) | libcsfml-graphics1.6 (= 1.6-1) | libcsfml-network1.6 (= 1.6-1) | libcsfml-system1.6 (= 1.6-1) | libcsfml-window1.6 (= 1.6-1) Homepage: http://www.sfml-dev.org Priority: extra Section: debug Filename: pool/main/libc/libcsfml/libcsfml1.6-dbg_1.6-1_armhf.deb Size: 24570 SHA256: 554e9a27c1b8588f95f5f93db882ae314f8a0cd285d64758c747b3301a82e154 SHA1: 62106c20e5045d9994a3107c6e0f8ba33440ea09 MD5sum: 57533552681aa5f0e28ed60363dc7e62 Description: Libraries for the C Binding of SFML - Debug Files SFML is an simple but fast library for providing access to multimedia libraries. It can be used to develop OpenGL Applications with OpenAL Audio. It Supports Cross Platform Development . This package includes debug symbols for all CSFML libraries. Package: libcsiro0 Source: plplot Version: 5.9.9-5 Architecture: armhf Maintainer: Andrew Ross Installed-Size: 104 Depends: libc6 (>= 2.13-28), libqhull5 (>= 2003.1) Homepage: http://www.plplot.org Priority: optional Section: libs Filename: pool/main/p/plplot/libcsiro0_5.9.9-5_armhf.deb Size: 55164 SHA256: 3c6dac3b4b96f0ce8cb5fc74d119e2ed509702163ef9c821363f0e30586a973a SHA1: 16511e22ae3ea18300c863f2dc5a14bee05837b2 MD5sum: b2b475f602ccdf459fd80e527e03d274 Description: Scientific plotting library (CSIRO libraries) This package contains two libraries developed by Pavel Sakov, from the CSIRO Marine Research, used in geometry applications: . * libcsirocsa: bivariate Cubic Spline Approximation library * libcsironn: Natural Neighbours interpolation library . The source code for these libraries are part of the plplot source package. Package: libcsnd-dev Source: csound Version: 1:5.17.11~dfsg-3 Installed-Size: 230 Maintainer: Debian Multimedia Maintainers Architecture: all Replaces: libcsnd5.1 Provides: liblua5.1-luacsnd-dev Depends: libcsnd5.2 (>= 1:5.17.11~dfsg-3), libcsnd5.2 (<< 1:5.17.11~dfsg-3.1~) Suggests: liblua5.1-luacsnd5.2 Size: 155214 SHA256: 972f9d4ab25bf6017cc8c469cdf730cdcc0f102bfea2fd2acf901c2e3e448af0 SHA1: 9d30a84dbb056f0eeea7dbad4d8c5aed2ce630a1 MD5sum: 1101ab7538438f713c7f36d922f6a636 Description: development files for Csound -- C++ API This package contains the development files needed to build programs using the C++ bindings to the Csound library. It also includes the development symlink for the lua module, but you have to manually install liblua5.1-luacsnd5.2 for that to work. . For more information on Csound, see the csound package. Homepage: http://www.csounds.com Tag: devel::library, role::devel-lib Section: libdevel Priority: extra Filename: pool/main/c/csound/libcsnd-dev_5.17.11~dfsg-3_all.deb Package: libcsnd-java Source: csound Version: 1:5.17.11~dfsg-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 490 Depends: libc6 (>= 2.13-28), libcsnd5.2, libcsound64-5.2 (>= 1:5.17.6~dfsg), libgcc1 (>= 1:4.4.0), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0) Recommends: csound Suggests: java-virtual-machine Homepage: http://www.csounds.com Priority: optional Section: java Filename: pool/main/c/csound/libcsnd-java_5.17.11~dfsg-3_armhf.deb Size: 287296 SHA256: 79398dbb1fb479b10aaaf22fa05c1a8a6c227dc2a1dd87950fd5e21a957912aa SHA1: 73fd25a4bcc9079388c15205568d12de82287ddb MD5sum: b0b9ad039121797c5b503e0d7ee330ac Description: Java bindings for the Csound API These are the java bindings for the Csound API. . For more information on Csound, see the csound package Package: libcsnd5.2 Source: csound Version: 1:5.17.11~dfsg-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 338 Depends: libc6 (>= 2.13-28), libcsound64-5.2 (>= 1:5.17.6~dfsg), libgcc1 (>= 1:4.4.0), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0) Homepage: http://www.csounds.com Priority: optional Section: sound Filename: pool/main/c/csound/libcsnd5.2_5.17.11~dfsg-3_armhf.deb Size: 202656 SHA256: 699baec40ad45b932a9a963489da5c8997fe359e0d5e1089a6d5a6167215df7c SHA1: 8fb9f0da819674d713902ae989ce031f3a956651 MD5sum: 12a503c008bffffe61c0f9b6f5517242 Description: C++ bindings for the Csound API This is the C++ binding for the Csound API. . For more information on Csound, see the csound package. Package: libcsoap-dev Source: libcsoap Version: 1.1.0-17.1 Architecture: armhf Maintainer: Russell Coker Installed-Size: 225 Depends: libcsoap1, pkg-config Priority: optional Section: libdevel Filename: pool/main/libc/libcsoap/libcsoap-dev_1.1.0-17.1_armhf.deb Size: 70612 SHA256: 3828a60019f2b79d64a2e6c8ff9653941d16647ebc65d1862012f3c104260d1f SHA1: 4248e60f0eb4b00ddc29fb2362f3b0de7938df14 MD5sum: 3ce0b3bfe1e1c99db00ddffcc4ff57b3 Description: development header files for CSOAP library This package contains files needed to compile libcsoap applications. Package: libcsoap1 Source: libcsoap Version: 1.1.0-17.1 Architecture: armhf Maintainer: Russell Coker Installed-Size: 64 Depends: libc6 (>= 2.4), libxml2 (>= 2.7.4), libnanohttp1 Conflicts: libnanohttp1 (<= 1.1.0-13) Priority: optional Section: libs Filename: pool/main/libc/libcsoap/libcsoap1_1.1.0-17.1_armhf.deb Size: 18860 SHA256: 7fb7c70dd08a0ba66eadbd91f2ceb64470ceb9985683baae6185a889e2c7b382 SHA1: f1d1c7ae4b5a9f9b3b137a34d52fddaa2d515321 MD5sum: 8c1f8400305313d1751d105341ce12ef Description: shared CSOAP library for SOAP client/server in C This project in ANSI C provides a convenient SOAP API for clients and servers Package: libcsoap1-dbg Source: libcsoap Version: 1.1.0-17.1 Architecture: armhf Maintainer: Russell Coker Installed-Size: 136 Depends: libcsoap1 Priority: extra Section: debug Filename: pool/main/libc/libcsoap/libcsoap1-dbg_1.1.0-17.1_armhf.deb Size: 42660 SHA256: c0d50c58c21665201a6e25bb39ea09b505d6a68c7bf29976a5caba3dae033540 SHA1: 0b149a0ef2bde4b0d80b6fea49f11356c4a1cc03 MD5sum: ecab4f9df9828159e45b1370d09938de Description: shared CSOAP library for SOAP client/server in C Debug symbols included in this C SOAP library for network programming Package: libcsound64-5.2 Source: csound Version: 1:5.17.11~dfsg-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 2638 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfluidsynth1, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblo7 (>= 0.26~repack), libpng12-0 (>= 1.2.13-4), libportaudio2 (>= 19+svn20101113), libportmidi0, libpulse0 (>= 0.99.1), libpython2.7 (>= 2.7), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.6), libstk0c2a, zlib1g (>= 1:1.1.4), csound-data Recommends: csound, stk Breaks: csound (<< 1:5.07.0.dfsg-1) Homepage: http://www.csounds.com Priority: optional Section: libs Filename: pool/main/c/csound/libcsound64-5.2_5.17.11~dfsg-3_armhf.deb Size: 1256132 SHA256: 4b961ee61b10702e430a4eb4d092224075218c1d4715555508315fc142d627a2 SHA1: 86b4dec7e26d7e994d097380e5ec5913b3ab381a MD5sum: 4da14b1f8d514728f2b388e1532af20d Description: main library for Csound This package contains the library which provides most of the Csound functionality. This library was built using 64-bit precision for the calculations (this doesn't mean you need a 64-bit processor). . For more information on Csound, see the csound package. Package: libcsound64-dev Source: csound Version: 1:5.17.11~dfsg-3 Installed-Size: 381 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: libcsound64-5.2 (>= 1:5.17.11~dfsg-3), libcsound64-5.2 (<< 1:5.17.11~dfsg-3.1~) Size: 194222 SHA256: be364da7d7096a925ee7f89933ca5f1dfc42c4e002580c06e2ce85f253ac2fe4 SHA1: 9b9bfb8a8dc6e3028fac7fde7122b096c17fc044 MD5sum: 236d77acfb19edda605060c1d8ea7777 Description: development files for Csound This package contains the development files needed to build programs linking to the Csound library. . For more information on Csound, see the csound package. Homepage: http://www.csounds.com Tag: devel::library, role::devel-lib Section: libdevel Priority: extra Filename: pool/main/c/csound/libcsound64-dev_5.17.11~dfsg-3_all.deb Package: libcsound64-doc Source: csound Version: 1:5.17.11~dfsg-3 Installed-Size: 13316 Maintainer: Debian Multimedia Maintainers Architecture: all Recommends: csound, libcsound64-dev Size: 2451006 SHA256: 26183d59baef6bbd53cec3a62b1832784e867a144925e1ac3422faac05aa0ee4 SHA1: 36eaafec421a8fffb883c002af49bcb647a8b8c7 MD5sum: fd918218d0c69ada45139933ba5e0bff Description: Csound API documentation This package contains the API documentation for the Csound library in HTML format. . For more information on Csound, see the csound package. Homepage: http://www.csounds.com Tag: devel::doc, made-of::html, made-of::pdf, role::documentation Section: doc Priority: extra Filename: pool/main/c/csound/libcsound64-doc_5.17.11~dfsg-3_all.deb Package: libcsoundac-dev Source: csound Version: 1:5.17.11~dfsg-3 Installed-Size: 353 Maintainer: Debian Multimedia Maintainers Architecture: all Replaces: libcsoundac5.1 Depends: libcsoundac5.2 (>= 1:5.17.11~dfsg-3), libcsoundac5.2 (<< 1:5.17.11~dfsg-3.1~) Size: 180600 SHA256: c67e860355f383e1528bd427a08cb537b407928aa486eed1c0a5d2edc846100f SHA1: afe0755cf0342b8246901f5ac30300b5c83ccd37 MD5sum: 819ee847f07cef3119a4abfc5a2e7af0 Description: development files for CsoundAC This package contains the development files needed to build programs using the CsoundAC algorithmic composition system. . For more information on Csound and CsoundAC, see the csound and csoundac packages. Homepage: http://www.csounds.com Tag: devel::library, role::devel-lib, role::shared-lib Section: libdevel Priority: extra Filename: pool/main/c/csound/libcsoundac-dev_5.17.11~dfsg-3_all.deb Package: libcsoundac5.2 Source: csound Version: 1:5.17.11~dfsg-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 924 Depends: libc6 (>= 2.13-28), libcsnd5.2, libcsound64-5.2 (>= 1:5.17.6~dfsg), libfltk1.1 (>= 1.1.6), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0), libx11-6, libxext6 Homepage: http://www.csounds.com Priority: optional Section: sound Filename: pool/main/c/csound/libcsoundac5.2_5.17.11~dfsg-3_armhf.deb Size: 477158 SHA256: 8cdb77d3cfdd5549b2f237f72a63e70612bf054d49ffa46eca112e4dbaa97400 SHA1: d96a6ec61083b3c70d6f95e98ba9a2309d360e73 MD5sum: 68e4adcfb31f8f9fae6eb1caf6f303a8 Description: the Csound Algorithmic Composition library This is the core library for CsoundAC. It provides all the CsoundAC functionality. It also contains the development files to create C++ programs. . For more information on Csound, see the csound package. Package: libcsparse2.2.3 Source: suitesparse Version: 1:3.4.0-3 Architecture: armhf Maintainer: Maintainer: Debian Science Maintainers Installed-Size: 76 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.cise.ufl.edu/research/sparse/SuiteSparse/ Priority: optional Section: libs Filename: pool/main/s/suitesparse/libcsparse2.2.3_3.4.0-3_armhf.deb Size: 30102 SHA256: cb784a2a6767f4f6169281e27fe95f635aa1649bab193e4c08177102f8174b0a SHA1: 9b676d1673f11d93f75802cdea0b893eeb6f1c14 MD5sum: b55ac9a88e5bf267b442d3e12f5036a3 Description: concise sparse matrix library Suitesparse is a collection of libraries for computations involving sparse matrices. This package includes the following dynamic library: . CSparse a concise sparse matrix package Package: libcss-dom-perl Version: 0.14-1 Installed-Size: 416 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclone-perl Size: 132314 SHA256: eb78e49c254a56e0b69e275968b3f4cfe22aab342922aabfae350cfd76bfb9b0 SHA1: 6f82e45b6c721ba3c44a134109eb0425cb180398 MD5sum: 283dba6d08b1a22bd683aa958297a462 Description: interface to the Document Object Model for Cascading Style Sheets This set of modules provides the CSS-specific interfaces described in the W3C DOM recommendation. The CSS::DOM class itself implements the StyleSheet and CSSStyleSheet DOM interfaces. Homepage: http://search.cpan.org/dist/CSS-DOM/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcss-dom-perl/libcss-dom-perl_0.14-1_all.deb Package: libcss-minifier-perl Version: 0.01-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8804 SHA256: 0c867ceeaf68d1d086ab5612235ee38a8294134ab5795ead65a17880eeae0ca8 SHA1: 42fc9d96e78ddc8d536ed7d6c023b76f27106346 MD5sum: a440893fe529bd712ea7019fc55f4b8e Description: Perl extension for minifying CSS CSS::Minifier removes unnecessary whitespace from CSS. The primary requirement developing this module is to not break working stylesheets: if working CSS is in input then working CSS is output. The Mac/Internet Explorer comment hack will be minimized but not stripped and so will continue to function. . This module understands space, horizontal tab, new line, carriage return, and form feed characters to be whitespace. Any other characters that may be considered whitespace are not minimized. These other characters include paragraph separator and vertical tab. . For static CSS files, it is recommended that you minify during the build stage of web deployment. If you minify on-the-fly then it might be a good idea to cache the minified file. Minifying static files on-the-fly repeatedly is wasteful. Homepage: http://search.cpan.org/dist/CSS-Minifier/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcss-minifier-perl/libcss-minifier-perl_0.01-1_all.deb Package: libcss-minifier-xs-perl Version: 0.07-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 86 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/CSS-Minifier-XS/ Priority: optional Section: perl Filename: pool/main/libc/libcss-minifier-xs-perl/libcss-minifier-xs-perl_0.07-1_armhf.deb Size: 13852 SHA256: 590092216672b4363dc29cd31290b63aff88032932406c9cc4d290543afb11e0 SHA1: fdefdaac37d08c23a22272ee7a075052f2e73564 MD5sum: a7e640118ae96b8cce0e05ed636571ef Description: XS based CSS minifier CSS::Minifier::XS is a CSS "minifier"; its designed to remove un-necessary whitespace and comments from CSS files, while also not breaking the CSS. . CSS::Minifier::XS is similar in function to CSS::Minifier, but is substantially faster as its written in XS and not just pure Perl. Package: libcss-packer-perl Version: 1.002001-1 Installed-Size: 58 Maintainer: Debian Perl Group Architecture: all Depends: perl, libregexp-reggrp-perl (>= 1.002) Size: 9800 SHA256: bbccd7143d3b579943e8cf28f7cdda81256ede0401dc102c24d4ca65f4e9618f SHA1: 9ee950d1368d828aae5ee0eba97eb17e2b17755b MD5sum: 8ca7273c070bdc9b0019b6966b805ad2 Description: fast pure perl CSS cleaner CSS::Packer is a pure Perl library for CSS cleanup. It can do CSS reformatting, whitespace and newline removal, in order to get a "pretty-printed" version or a minimal size version of the original CSS code. Homepage: http://search.cpan.org/dist/CSS-Packer/ Tag: devel::lang:perl, devel::library, devel::web, implemented-in::perl, use::editing, works-with::text Section: perl Priority: optional Filename: pool/main/libc/libcss-packer-perl/libcss-packer-perl_1.002001-1_all.deb Package: libcss-parser-pp0 Source: htmlcxx Version: 0.85-2 Architecture: armhf Maintainer: Ludovico Cavedon Installed-Size: 78 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Multi-Arch: same Homepage: http://htmlcxx.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/h/htmlcxx/libcss-parser-pp0_0.85-2_armhf.deb Size: 20016 SHA256: 8a140f1a8a52d51e70a6b8193b0cfed8eaeb7556efff92d5328bdab7318a2ea5 SHA1: ab9fe8d898db2b691798218e4e7e83ff153a0ea1 MD5sum: 0a991642cc46ba349c1e7b3871d894f1 Description: simple CSS1 parser library for C++ htmlcxx is a simple non-validating CSS1 and HTML parser for C++. Although there are several other html parsers available, htmlcxx has some characteristics that make it unique: . * STL like navigation of DOM tree, using excellent tree.hh library from Kasper Peeters * It is possible to reproduce exactly, character by character, the original document from the parse tree * Bundled CSS parser * Optional parsing of attributes * C++ code that looks like C++ (not so true anymore) * Offsets of tags/elements in the original document are stored in the nodes of the DOM tree . The parsing politics of htmlcxx were created trying to mimic Mozilla Firefox (http://www.mozilla.org) behavior. So you should expect parse trees similar to those create by Firefox. However, differently from Firefox, htmlcxx does not insert non-existent stuff in your html. Therefore, serializing the DOM tree gives exactly the same bytes contained in the original HTML document. . This package contains the C++ runtime library for CSS parsing. Package: libcss-parser0 Source: htmlcxx Version: 0.85-2 Architecture: armhf Maintainer: Ludovico Cavedon Installed-Size: 66 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://htmlcxx.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/h/htmlcxx/libcss-parser0_0.85-2_armhf.deb Size: 18086 SHA256: b43c1b10d7bc4e8a09920df8145e88b6331717f769bbc5cfbfe238fe9dd60270 SHA1: f8da60f9bf2b4a10aaaa54baeea52e56f6872a5f MD5sum: 14e158f8c6acd94eda5e79791cf17c35 Description: simple CSS1 parser library for C htmlcxx is a simple non-validating CSS1 and HTML parser for C++. Although there are several other html parsers available, htmlcxx has some characteristics that make it unique: . * STL like navigation of DOM tree, using excellent tree.hh library from Kasper Peeters * It is possible to reproduce exactly, character by character, the original document from the parse tree * Bundled CSS parser * Optional parsing of attributes * C++ code that looks like C++ (not so true anymore) * Offsets of tags/elements in the original document are stored in the nodes of the DOM tree . The parsing politics of htmlcxx were created trying to mimic Mozilla Firefox (http://www.mozilla.org) behavior. So you should expect parse trees similar to those create by Firefox. However, differently from Firefox, htmlcxx does not insert non-existent stuff in your html. Therefore, serializing the DOM tree gives exactly the same bytes contained in the original HTML document. . This package contains the C runtime library for CSS parsing. Package: libcss-perl Version: 1.08-1+nmu3 Installed-Size: 889 Maintainer: Alejandro Garrido Mota Architecture: all Depends: perl, libparse-recdescent-perl (>= 1) Size: 67734 SHA256: d6dffd81b9e905387301da6aebb779b9ab673007d55f154081f1bb0ddd80c7eb SHA1: 3a6dd986570eae3599e87d14b14bf58d9f740fd6 MD5sum: 25764bd8541aca70d560061819f9d1e5 Description: object oriented access to Cascading Style Sheets (CSS) This module can be used, along with a CSS::Parse::* module, to parse CSS data and represent it as a tree of objects. Using a CSS::Adaptor::* module, the CSS data tree can then be transformed into other formats. Homepage: http://search.cpan.org/dist/CSS/ Tag: devel::lang:perl, devel::web, implemented-in::perl, use::checking, works-with-format::html, works-with::text Section: perl Priority: optional Filename: pool/main/libc/libcss-perl/libcss-perl_1.08-1+nmu3_all.deb Package: libcss-squish-perl Version: 0.09-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl, liburi-perl Size: 13036 SHA256: 4af9f54c9a716f5863ea5a86b28bc0f5e0fc32344a6ad71e106cf26ef8695f56 SHA1: 904abdc74037b8b1d3311d1dfcf9f75e342114d9 MD5sum: 52fb5b14bedfcd55355fefedc753480a Description: module to compact many CSS files into one big file CSS::Squish is a Perl module that takes a list of CSS files and concatenates them, honouring any valid @import statements included in the files, following the CSS 2.1 specification. Media-specific @import statements will be honoured by enclosing the included file in a @media rule. . Future versions may include methods to compact whitespace and other parts of the CSS itself, but this functionality is not yet supported. Homepage: http://search.cpan.org/dist/CSS-Squish/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, works-with-format::html Section: perl Priority: optional Filename: pool/main/libc/libcss-squish-perl/libcss-squish-perl_0.09-1_all.deb Package: libcss-tiny-perl Version: 1.19-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 13250 SHA256: 70cf1d4d77b729086d9c2e37fd3580de75c32c4d779ca48bca0c3cbf83fc51b4 SHA1: f8927cd781267d01f50d8187bcfae8dd75abe6aa MD5sum: 5ac13728ee540299e2be2632f02bb909 Description: Perl module to read/write .css files with as little code as possible CSS::Tiny is a perl class to read and write .css stylesheets with as little code as possible, reducing load time and memory overhead. CSS.pm requires about 2.6M of memory to load, which is a large amount of overhead if you only want to do trivial things. . This module is primarily for reading and writing simple files, and anything you write shouldn't need to have documentation/comments. If you need something with more power, move up to CSS.pm. Homepage: http://search.cpan.org/dist/CSS-Tiny/ Tag: devel::lang:perl, devel::library, implemented-in::perl, works-with-format::html, works-with::text Section: perl Priority: optional Filename: pool/main/libc/libcss-tiny-perl/libcss-tiny-perl_1.19-1_all.deb Package: libcssparser-java Source: cssparser Version: 0.9.5-1 Installed-Size: 344 Maintainer: Ludovico Cavedon Architecture: all Depends: libsac-java Size: 251370 SHA256: 5ff877d2578f3d7a88164d16740fde7e1b0537459422cc13d6b02e523f6dbdd4 SHA1: 2426174519f22dea6218ec8845b744ad2269e48c MD5sum: 23fa77a27d25891979bcc10443411b1e Description: Java CSS2 Parser The CSS Parser is a Java library, that inputs Cascading Style Sheets Level 2 source text and outputs a Document Object Model Level 2 Style tree. Alternatively, applications can use SAC: The Simple API for CSS. Its purpose is to allow developers working with Java to incorporate Cascading Style Sheet information, primarily in conjunction with XML application developments. Homepage: http://cssparser.sourceforge.net/ Section: java Priority: optional Filename: pool/main/c/cssparser/libcssparser-java_0.9.5-1_all.deb Package: libcssparser-java-doc Source: cssparser Version: 0.9.5-1 Installed-Size: 2592 Maintainer: Ludovico Cavedon Architecture: all Depends: libsac-java Size: 351154 SHA256: c271f987e65a14c955506d51578ba781274600af243d497f99970d28bcd8e74b SHA1: 10e8dece80df9e9b984e8b1d8758112132f018af MD5sum: 5d111f26487e87eb27a809aa898b42a5 Description: Java CSS2 Parser (documentation) The CSS Parser is a Java library, that inputs Cascading Style Sheets Level 2 source text and outputs a Document Object Model Level 2 Style tree. Alternatively, applications can use SAC: The Simple API for CSS. Its purpose is to allow developers working with Java to incorporate Cascading Style Sheet information, primarily in conjunction with XML application developments. . This package provides the API documentation for libcssparser-java. Homepage: http://cssparser.sourceforge.net/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/c/cssparser/libcssparser-java-doc_0.9.5-1_all.deb Package: libcsv-java Version: 2.0-12 Installed-Size: 47 Maintainer: Debian Java Maintainers Architecture: all Size: 18084 SHA256: 0119f4d35623e2e849eb098079e3034313067468403689106067babd4ceb4f5b SHA1: 1c76bfe5bf70524cf2574ceef6e568afad4c07d0 MD5sum: 6e08352db787f83c0c94f972a045b74a Description: CSV IO library for Java Java CSV is a small fast open source java library for reading and writing CSV and plain delimited text files. All kinds of CSV files can be handled, text qualified, Excel formatted, etc. Homepage: http://sourceforge.net/projects/javacsv/ Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib, works-with::spreadsheet Section: java Priority: optional Filename: pool/main/libc/libcsv-java/libcsv-java_2.0-12_all.deb Package: libcsv-java-doc Source: libcsv-java Version: 2.0-12 Installed-Size: 224 Maintainer: Debian Java Maintainers Architecture: all Replaces: libcsv-java (<< 2.0-11) Recommends: default-jdk-doc Breaks: libcsv-java (<< 2.0-11) Size: 21210 SHA256: aaca68742aefe0f805e748c107b38f7e558059104d30d659dc5fe213cb15776a SHA1: 82736a79c75be7946d7097cd5b667de8e55091d2 MD5sum: 3434c7f48cf60852b5f0db76bb46a156 Description: CSV IO library for Java (documentation) Java CSV is a small fast open source java library for reading and writing CSV and plain delimited text files. All kinds of CSV files can be handled, text qualified, Excel formatted, etc. . This package contains the documentation. Homepage: http://sourceforge.net/projects/javacsv/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libcsv-java/libcsv-java-doc_2.0-12_all.deb Package: libcsv-ocaml-dev Source: ocaml-csv Version: 1.2.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 249 Depends: ocaml-nox-3.12.1 Recommends: ocaml-findlib Suggests: csvtool Provides: libcsv-ocaml-dev-s3qs2 Homepage: http://forge.ocamlcore.org/projects/csv/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-csv/libcsv-ocaml-dev_1.2.2-1_armhf.deb Size: 66126 SHA256: 6891da0fc20bf8365b9ce0e37e8757efef5ef719a3a2cfe95d475d36604deade SHA1: b43c7f370dafe171131b347308ccac02fc80304e MD5sum: 36c28109d64baaa10fde862a9e0c7193 Description: library to read and write CSV files in OCaml OCaml CSV is a library to read and write CSV (comma-separated values) files. It also supports all extensions used by Excel - eg. quotes, newlines, 8 bit characters in fields, etc. . This package contains all the development stuff you need to develop OCaml programs which use OCaml CSV. Package: libct4 Source: freetds Version: 0.91-2+deb7u1 Architecture: armhf Maintainer: Steve Langasek Installed-Size: 371 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0), freetds-common Multi-Arch: same Homepage: http://www.freetds.org/ Priority: optional Section: libs Filename: pool/main/f/freetds/libct4_0.91-2+deb7u1_armhf.deb Size: 192248 SHA256: 82bcfc2fe872edc513eafc0da095d6766f7ebfccdc865ee16be084e149adc470 SHA1: c4548e886b7fbfe474e371a14cf05b143cd7a9a3 MD5sum: 84e5d91336b42be248b209cd305aabfe Description: libraries for connecting to MS SQL and Sybase SQL servers FreeTDS is an implementation of the Tabular DataStream protocol, used for connecting to MS SQL and Sybase servers over TCP/IP. . This package includes the shared libraries for the Sybase CT-Lib API. You will need this if you plan to connect to MS SQL or Sybase servers, or use the PHP sybase or Perl DBD::Sybase extensions. Package: libctapimkt0 Source: libctapimkt Version: 1.0.1-1.1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 42 Depends: libc6 (>= 2.4) Homepage: http://sourceforge.net/projects/ctapi-mkt Priority: optional Section: libs Filename: pool/main/libc/libctapimkt/libctapimkt0_1.0.1-1.1_armhf.deb Size: 7184 SHA256: 9c38cfdf732e05dec02f4c97b024e3258cb4ab929df11d72f28fdc2cf73dab9f SHA1: 3a4c2d211779fb950eb7d8e705e935db95f6652b MD5sum: 230b315e0a01c75538a7694e4b81ea33 Description: Read German Krankenversichertenkarte and eGK Library and program to read the German health insurance card (KVK) and the German electronic health card (eGK) from a certified card reading device on the serial port in Linux with kernel 2.6.x. Package: libctapimkt0-dev Source: libctapimkt Version: 1.0.1-1.1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 50 Depends: libctapimkt0 (= 1.0.1-1.1) Conflicts: libctapimkt-dev Provides: libctapimkt-dev Homepage: http://sourceforge.net/projects/ctapi-mkt Priority: optional Section: libdevel Filename: pool/main/libc/libctapimkt/libctapimkt0-dev_1.0.1-1.1_armhf.deb Size: 7792 SHA256: 9c5434ba317e423ead41023f4a29cbf2b9574e99f413dcbc9289fd63b3724691 SHA1: 0bd07366892ed3a7f0dd7017154fa574373fd86c MD5sum: 389592f31b98d18e88910ea8099cea2f Description: Read German Krankenversichertenkarte and eGK Library and program to read the German health insurance card (KVK) and the German electronic health card (eGK) from a certified card reading device on the serial port in Linux with kernel 2.6.x. . This package contains the development library. Package: libctdb-dev Source: ctdb Version: 1.12+git20120201-5 Architecture: armhf Maintainer: Debian Samba Maintainers Installed-Size: 185 Depends: ctdb (= 1.12+git20120201-5) Breaks: ctdb (<< 1.10) Replaces: ctdb (<< 1.10) Multi-Arch: same Homepage: http://ctdb.samba.org/ Priority: extra Section: libdevel Filename: pool/main/c/ctdb/libctdb-dev_1.12+git20120201-5_armhf.deb Size: 51998 SHA256: ed06112380d64509adca7c084bb068b8c72898095518ead49aeca68624ef919d SHA1: 43a209f7a1dcb769a869ebd1b311638e1ae45187 MD5sum: f4baaa8d7f2fb505289a7a45662d08cd Description: clustered database to store temporary data -- development files CTDB is a cluster implementation of the TDB database used by Samba and other projects to store temporary data. If an application is already using TDB for temporary data it is very easy to convert that application to be cluster aware and use CTDB instead. . More detailed description can be found in the ctdb package. . This package provides development files for CTDB. Package: libctemplate-dev Source: ctemplate Version: 2.2-3 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1513 Depends: libctemplate2 (= 2.2-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://code.google.com/p/google-ctemplate/ Priority: optional Section: libdevel Filename: pool/main/c/ctemplate/libctemplate-dev_2.2-3_armhf.deb Size: 416946 SHA256: 98fcaa28d99e2723fdd12c7b750b26014f6a5f67fabd143bc6986f2ecda7be81 SHA1: cdc6440ae9ce83dc4828cb678731b7d7d0eaf917 MD5sum: e1218f0634973b2b5da17bfa3adacd35 Description: Simple but powerful template language for C++ - development files This package contains a library implementing a simple but powerful template language for C++. It emphasizes separating logic from presentation: it is impossible to embed application logic in this template language. The devel package contains static and debug libraries and header files for developing applications that use the ctemplate package. This package contains the ctemplate development files. Package: libctemplate2 Source: ctemplate Version: 2.2-3 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 629 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://code.google.com/p/google-ctemplate/ Priority: optional Section: libs Filename: pool/main/c/ctemplate/libctemplate2_2.2-3_armhf.deb Size: 224522 SHA256: 8cef4e9be6bedc1e9a81915bfeebd65fc0b2757aeb7d6c582b647c377e0835d3 SHA1: e205ef95ded3a8ce39501a28978d16edf7ddd5c3 MD5sum: df6a0b080dcb9be5ebbbf6e160d8385f Description: Simple but powerful template language for C++ This package contains a library implementing a simple but powerful template language for C++. It emphasizes separating logic from presentation: it is impossible to embed application logic in this template language. This limits the power of the template language without limiting the power of the template *system*. Indeed, Google's "main" web search uses this system exclusively for formatting output. Package: libctl-dev Source: libctl Version: 3.1.0-5 Architecture: armhf Maintainer: Thorsten Alteholz Installed-Size: 211 Depends: libctl3 (= 3.1.0-5), libc6-dev, guile-1.8-dev Recommends: libctl-doc Homepage: http://ab-initio.mit.edu/wiki/index.php/Libctl Priority: optional Section: libdevel Filename: pool/main/libc/libctl/libctl-dev_3.1.0-5_armhf.deb Size: 81308 SHA256: 7ab2f68b846804dedbbcbfb31c18f60014d2a6e6ae92d1cd1d44bfdeea5e29ea SHA1: c89de60fe8370e8364954b74c710527c676db426 MD5sum: c56ad4260bc0e1e75ede0c43721260af Description: library for flexible control files, development version libctl is a free Guile-based library implementing flexible control files for scientific simulations. It was written to support the MIT Photonic-Bands program, but will hopefully prove useful in other programs too. . This package contains the header files and static libraries. Package: libctl-doc Source: libctl Version: 3.1.0-5 Installed-Size: 147 Maintainer: Thorsten Alteholz Architecture: all Recommends: libctl-dev Size: 68132 SHA256: d667851439432bb7cf16315af2d4917aebfddadabc86fd96b655b7893115c3ac SHA1: 8f16bd611194bcf004a5a95a42e2fb1c9f5ace77 MD5sum: bbaaab46eafffd4044df68681a5b778c Description: library for flexible control files, documentation libctl is a free Guile-based library implementing flexible control files for scientific simulations. It was written to support the MIT Photonic-Bands program, but will hopefully prove useful in other programs too. . This package contains the documentation in HTML format and a simple example of how to use it. Homepage: http://ab-initio.mit.edu/wiki/index.php/Libctl Tag: devel::doc, devel::lang:scheme, devel::library, field::physics, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libc/libctl/libctl-doc_3.1.0-5_all.deb Package: libctl3 Source: libctl Version: 3.1.0-5 Architecture: armhf Maintainer: Thorsten Alteholz Installed-Size: 305 Depends: guile-1.8-libs, libc6 (>= 2.13-28), libgmp10, libltdl7 (>= 2.4.2) Homepage: http://ab-initio.mit.edu/wiki/index.php/Libctl Priority: optional Section: libs Filename: pool/main/libc/libctl/libctl3_3.1.0-5_armhf.deb Size: 94360 SHA256: f8362f075151686215881304ad7f486fe6816cfc3c952b99398e2bcb712d65ec SHA1: 1882b66ba227df86d6bc22c4309662f3d2b31382 MD5sum: 44189ad37f1be74f6f570552cf0a32a1 Description: library for flexible control files libctl is a free Guile-based library implementing flexible control files for scientific simulations. It was written to support the MIT Photonic-Bands program, but will hopefully prove useful in other programs too. . This package contains the runtime libraries required to run any software using libctl. Package: libctpl-dev Source: ctpl Version: 0.3.3.dfsg-2 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 92 Depends: libglib2.0-dev, libctpl2 (= 0.3.3.dfsg-2) Suggests: libctpl-doc Multi-Arch: same Homepage: http://ctpl.tuxfamily.org/ Priority: optional Section: libdevel Filename: pool/main/c/ctpl/libctpl-dev_0.3.3.dfsg-2_armhf.deb Size: 14710 SHA256: d4b43e489f17786fd1c0a4446f727edefcd9d1ca7acf2b27717e1c86176ac7ea SHA1: 7b55eb4d796bf8098d091c4948c6e92a0c1845f9 MD5sum: ba718e5d6201bbe9a4f18bce3e4fd819 Description: template engine written in C, development files CTPL is a C template engine library allowing fast and easy computation of templates with precise control over the parsing environment. . CTPL supports a wide range of input types through GIO like in-memory, files, FTP, HTTP and more. . This package contains the header files which are needed for developing CTPL applications. Package: libctpl-doc Source: ctpl Version: 0.3.3.dfsg-2 Installed-Size: 564 Maintainer: Geany Packaging Team Architecture: all Recommends: libglib2.0-doc (>= 2.16) Size: 63750 SHA256: d52019f9ceeaad2b61017cfafa660cad67b0fda7363a8e8017469ea083f97a48 SHA1: 93a5bc73dad8dc0b642eac0b57f190a43d20e139 MD5sum: d5e2966e99ef875e653d98a588c8738d Description: template engine written in C, documentation files CTPL is a C template engine library allowing fast and easy computation of templates with precise control over the parsing environment. . CTPL supports a wide range of input types through GIO like in-memory, files, FTP, HTTP and more. . This package contains the documentation for CTPL. Homepage: http://ctpl.tuxfamily.org/ Tag: devel::doc, devel::lang:c, role::documentation Section: doc Priority: optional Filename: pool/main/c/ctpl/libctpl-doc_0.3.3.dfsg-2_all.deb Package: libctpl2 Source: ctpl Version: 0.3.3.dfsg-2 Architecture: armhf Maintainer: Geany Packaging Team Installed-Size: 89 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.30.0) Multi-Arch: same Homepage: http://ctpl.tuxfamily.org/ Priority: optional Section: libs Filename: pool/main/c/ctpl/libctpl2_0.3.3.dfsg-2_armhf.deb Size: 30212 SHA256: 8a7b31e460f1ebecf9de2bd7c0ac0238d2f09b8d72a672e8ff0e2c5b338624dd SHA1: b72d1659dfe965256318ba8166597c49494f1f0f MD5sum: a5ccda6f545e8112554d0bb95a437dd4 Description: template engine written in C CTPL is a C template engine library allowing fast and easy computation of templates with precise control over the parsing environment. . CTPL supports a wide range of input types through GIO like in-memory, files, FTP, HTTP and more. Package: libcuba-doc Source: cuba Version: 3.0+20111124-2 Installed-Size: 276 Maintainer: Igor Pashev Architecture: all Size: 211590 SHA256: 6bfc1ca7d0f8806c1f9d52e6c041601bbea41135e12acf83d2a2e78255c5a9e0 SHA1: 2d0921d44df349145a40708b38b51b3743edcb6e MD5sum: b68106ae7c4bc6d81cc6405d911ebe6d Description: library for multidimensional numerical integration: documentation The Cuba library offers a choice of four independent routines for multidimensional numerical integration: Vegas, Suave, Divonne, and Cuhre. They work by very different methods, first three are Monte Carlo based. All four have a C/C++, Fortran interface and can integrate vector integrands. Their invocation is very similar, so it is easy to substitute one method by another for cross-checking. For further safeguarding, the output is supplemented by a chi-square probability which quantifies the reliability of the error estimate. . This package includes documentation and examples for the Cuba library. Homepage: http://www.feynarts.de/cuba/ Tag: devel::doc, devel::examples, role::documentation Section: doc Priority: optional Filename: pool/main/c/cuba/libcuba-doc_3.0+20111124-2_all.deb Package: libcuba3 Source: cuba Version: 3.0+20111124-2 Architecture: armhf Maintainer: Igor Pashev Installed-Size: 630 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Multi-Arch: same Homepage: http://www.feynarts.de/cuba/ Priority: optional Section: math Filename: pool/main/c/cuba/libcuba3_3.0+20111124-2_armhf.deb Size: 281748 SHA256: e62d5f7a1b07723ff740de095e4be4be650e410c3c8e08f20ee8a1af68b5567c SHA1: ba0f643beaa1b1e94be1001ae28439eeb9863b5b MD5sum: 6fc9ff7a4acab089ef97b38cf629bebf Description: library for multidimensional numerical integration The Cuba library offers a choice of four independent routines for multidimensional numerical integration: Vegas, Suave, Divonne, and Cuhre. They work by very different methods, first three are Monte Carlo based. All four have a C/C++, Fortran interface and can integrate vector integrands. Their invocation is very similar, so it is easy to substitute one method by another for cross-checking. For further safeguarding, the output is supplemented by a chi-square probability which quantifies the reliability of the error estimate. . This package provides the shared libraries required to run programs compiled with Cuba. To compile your own programs you also need to install libuba3-dev. Package: libcuba3-dbg Source: cuba Version: 3.0+20111124-2 Architecture: armhf Maintainer: Igor Pashev Installed-Size: 322 Depends: libcuba3 (= 3.0+20111124-2) Provides: libcuba-dbg Homepage: http://www.feynarts.de/cuba/ Priority: extra Section: debug Filename: pool/main/c/cuba/libcuba3-dbg_3.0+20111124-2_armhf.deb Size: 272306 SHA256: df0c49d35faea161b509699d5abe68f97f64246384500056844b6767d41f4855 SHA1: 81bb93e71563bd24505133d094d78330f673834c MD5sum: 72b09531640ee5106449f0ac41f7c770 Description: library for multidimensional numerical integration: debug symbols The Cuba library offers a choice of four independent routines for multidimensional numerical integration: Vegas, Suave, Divonne, and Cuhre. They work by very different methods, first three are Monte Carlo based. All four have a C/C++, Fortran interface and can integrate vector integrands. Their invocation is very similar, so it is easy to substitute one method by another for cross-checking. For further safeguarding, the output is supplemented by a chi-square probability which quantifies the reliability of the error estimate. . This package contains debugging symbols for libcuba3. Package: libcuba3-dev Source: cuba Version: 3.0+20111124-2 Architecture: armhf Maintainer: Igor Pashev Installed-Size: 710 Depends: libcuba3 (= 3.0+20111124-2) Suggests: libcuba-doc, cuba-partview Provides: libcuba-dev Homepage: http://www.feynarts.de/cuba/ Priority: optional Section: libdevel Filename: pool/main/c/cuba/libcuba3-dev_3.0+20111124-2_armhf.deb Size: 287556 SHA256: 4c3f563d8c0fd779fbac792c4986eaecccea7581dd0875a984d1f81dc0bb0f4c SHA1: cf6bc3e7295364dc7633001be86c907765fa5a87 MD5sum: ee6006521ca37f3d53c2e31c1995f1ad Description: library for multidimensional numerical integration: development files The Cuba library offers a choice of four independent routines for multidimensional numerical integration: Vegas, Suave, Divonne, and Cuhre. They work by very different methods, first three are Monte Carlo based. All four have a C/C++, Fortran interface and can integrate vector integrands. Their invocation is very similar, so it is easy to substitute one method by another for cross-checking. For further safeguarding, the output is supplemented by a chi-square probability which quantifies the reliability of the error estimate. . This package contains the header file, static library and symbolic links that developers using Cuba will need. Package: libcudf-dev Source: cudf Version: 0.6.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 547 Depends: libc6-dev, libglib2.0-dev, libncurses5-dev Homepage: http://www.mancoosi.org/cudf/ Priority: optional Section: libdevel Filename: pool/main/c/cudf/libcudf-dev_0.6.2-1_armhf.deb Size: 147544 SHA256: 3eb113cb79d4e9ec3b67c04b1715ef433579481237cc2e43d8d0acca1ab82750 SHA1: 44cc55fbf70edf455ce58b868e9fa216752c06e8 MD5sum: fd84e011682139a314da3884941877f5 Description: C library to access descriptions of package upgrade problems libCUDF is a library to manipulate so called CUDF documents. A CUDF document describe an upgrade problem, as faced by package managers in popular package-based GNU/Linux distributions. . A CUDF document consists of a package universe and of a user request. The package universe describes all packages known to the package manager, a subset of which (the package status) denotes the package being currently installed on the target machine. The user request denotes a change to the package status as requested by the user. . A CUDF document is naturally complemented by a solution describing the resulting package status (if any) implementing the user request, as found by a package manager. . libCUDF enables manipulation of CUDF and related documents. . This package contains a C static library binding the OCaml implementation of libCUDF so that it can be used from the C programming language. Package: libcudf-ocaml-dev Source: cudf Version: 0.6.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 424 Depends: ocaml-findlib, libextlib-ocaml-dev, libextlib-ocaml-dev-2t4w2, ocaml-nox-3.12.1 Provides: libcudf-ocaml-dev-tbya1 Homepage: http://www.mancoosi.org/cudf/ Priority: optional Section: ocaml Filename: pool/main/c/cudf/libcudf-ocaml-dev_0.6.2-1_armhf.deb Size: 66560 SHA256: 806f0d6c854c8de0586166a6c01d20b7ba63f183bc7ef4cd7dc5eb2b3dcaf1cf SHA1: d1dc1f348a7988bde532034046fc1d6af2d74b5a MD5sum: cbfa0a025e6499c8556a02770a5ad808 Description: OCaml library to access descriptions of package upgrade problems libCUDF is a library to manipulate so called CUDF documents. A CUDF document describe an upgrade problem, as faced by package managers in popular package-based GNU/Linux distributions. . A CUDF document consists of a package universe and of a user request. The package universe describes all packages known to the package manager, a subset of which (the package status) denotes the package being currently installed on the target machine. The user request denotes a change to the package status as requested by the user. . A CUDF document is naturally complemented by a solution describing the resulting package status (if any) implementing the user request, as found by a package manager. . libCUDF enables manipulation of CUDF and related documents. . This package contains all the development stuff you need to use libCUDF in your OCaml programs. Package: libcue-dev Source: libcue Version: 1.4.0-1 Architecture: armhf Maintainer: Taylor LeMasurier-Wren Installed-Size: 49 Depends: libcue1 (= 1.4.0-1) Homepage: http://sourceforge.net/projects/libcue/ Priority: optional Section: libdevel Filename: pool/main/libc/libcue/libcue-dev_1.4.0-1_armhf.deb Size: 4520 SHA256: 8edb655d51f14a5e65b1239b1ff0ffb187950d6558c88a746007bdcb00a0933e SHA1: e9bba5271c2f017df67c7fbc55e693a625cec5de MD5sum: f1368fd9a27e608a00d31cfcc6aeda35 Description: CUE Sheet Parser Library - development files Libcue is intended to parse a so called cue sheet from a char string or a file pointer. For handling of the parsed data a convenient API is available. . This package contains the development files Package: libcue1 Source: libcue Version: 1.4.0-1 Architecture: armhf Maintainer: Taylor LeMasurier-Wren Installed-Size: 77 Depends: libc6 (>= 2.4) Homepage: http://sourceforge.net/projects/libcue/ Priority: optional Section: libs Filename: pool/main/libc/libcue/libcue1_1.4.0-1_armhf.deb Size: 24372 SHA256: 9c5cbc2a720446b9d2a83d25cf65509d699a34678334d474741955878562f009 SHA1: 2837e1f37fa57ffdfd3e8e4f4f517293dc7fafe7 MD5sum: ca4f2807be9a55d03ce1b5233180fa93 Description: CUE Sheet Parser Library Libcue is intended to parse a so called cue sheet from a char string or a file pointer. For handling of the parsed data a convenient API is available. Package: libcunit1 Source: cunit Version: 2.1-0.dfsg-10 Architecture: armhf Maintainer: Philipp Benner Installed-Size: 86 Depends: libc6 (>= 2.13-28) Homepage: http://cunit.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/c/cunit/libcunit1_2.1-0.dfsg-10_armhf.deb Size: 25102 SHA256: fff1b819983ea2be56b6714380cd30662f6f2ee92c957467b8ec78801d424a59 SHA1: 100ac4983f6c5ed095dd51044d28197a9c2cb94b MD5sum: 77f1e708b638ccaad295034a704c5141 Description: Unit Testing Library for C CUnit is a simple framework for incorporating test cases in your C code similar to JUnit or CppUnit. It provides C programmers a basic testing functionality with a flexible variety of user interfaces. It uses a simple framework for building test structures, and provides a rich set of assertions for testing common data types. In addition, several different interfaces are provided for running tests and reporting results. Package: libcunit1-dev Source: cunit Version: 2.1-0.dfsg-10 Architecture: armhf Maintainer: Philipp Benner Installed-Size: 169 Depends: libcunit1 (= 2.1-0.dfsg-10) Suggests: libcunit1-doc (= 2.1-0.dfsg-10) Homepage: http://cunit.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/c/cunit/libcunit1-dev_2.1-0.dfsg-10_armhf.deb Size: 45650 SHA256: 189deb6e83b0455a1d22f2c7d2dadfbb3a819a2853acdc40828524335003043c SHA1: 4f2d831b0c1ce8426aad0c24682dab2712940389 MD5sum: a4eec5800b3ae1553280ff0c9e0f270d Description: Unit Testing Library for C -- development files CUnit is a simple framework for incorporating test cases in your C code similar to JUnit or CppUnit. It provides C programmers a basic testing functionality with a flexible variety of user interfaces. It uses a simple framework for building test structures, and provides a rich set of assertions for testing common data types. In addition, several different interfaces are provided for running tests and reporting results. Package: libcunit1-doc Source: cunit Version: 2.1-0.dfsg-10 Installed-Size: 273 Maintainer: Philipp Benner Architecture: all Size: 112410 SHA256: 2e1ee5368b52a35ad3078a66b81df79ae9a71a593af6d0456b927b02ea07709d SHA1: 15167df4464ec87c457cd0320545647a86eb9dd8 MD5sum: ca93558ed036a4d089a2992d9b39528d Description: Unit Testing Library for C -- documentation CUnit is a simple framework for incorporating test cases in your C code similar to JUnit or CppUnit. It provides C programmers a basic testing functionality with a flexible variety of user interfaces. It uses a simple framework for building test structures, and provides a rich set of assertions for testing common data types. In addition, several different interfaces are provided for running tests and reporting results. Homepage: http://cunit.sourceforge.net/ Tag: devel::doc, devel::examples, devel::lang:c, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/c/cunit/libcunit1-doc_2.1-0.dfsg-10_all.deb Package: libcunit1-ncurses Source: cunit Version: 2.1-0.dfsg-10 Architecture: armhf Maintainer: Philipp Benner Installed-Size: 104 Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5 Conflicts: libcunit1 Homepage: http://cunit.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/c/cunit/libcunit1-ncurses_2.1-0.dfsg-10_armhf.deb Size: 30104 SHA256: 0abe1268a053c2b24f5bf607bd64e09494ab360cde17c9b92671fa40d1f3b043 SHA1: bb37810550057e0a21e0eea084fc19253e6a0db5 MD5sum: 0105f4c6c29848799628074753149937 Description: Unit Testing Library for C (ncurses) CUnit is a simple framework for incorporating test cases in your C code similar to JUnit or CppUnit. It provides C programmers a basic testing functionality with a flexible variety of user interfaces. It uses a simple framework for building test structures, and provides a rich set of assertions for testing common data types. In addition, several different interfaces are provided for running tests and reporting results. Package: libcunit1-ncurses-dev Source: cunit Version: 2.1-0.dfsg-10 Architecture: armhf Maintainer: Philipp Benner Installed-Size: 179 Depends: libcunit1-ncurses (= 2.1-0.dfsg-10) Suggests: libcunit1-doc (= 2.1-0.dfsg-10) Conflicts: libcunit1-dev Homepage: http://cunit.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/c/cunit/libcunit1-ncurses-dev_2.1-0.dfsg-10_armhf.deb Size: 46742 SHA256: 7cf75f7b8208b60f4ed5f26d0a980478afaefa98a1f9917a98405047e99ef440 SHA1: d6d7409ed3fdaacb8d85fd72cdb29207a30e8c31 MD5sum: c4e74f198ae5478fc57c0e1920b2c2df Description: Unit Testing Library for C (ncurses) -- development files CUnit is a simple framework for incorporating test cases in your C code similar to JUnit or CppUnit. It provides C programmers a basic testing functionality with a flexible variety of user interfaces. It uses a simple framework for building test structures, and provides a rich set of assertions for testing common data types. In addition, several different interfaces are provided for running tests and reporting results. Package: libcups2 Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 391 Pre-Depends: multiarch-support Depends: libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), libgnutls26 (>= 2.12.17-0), libgssapi-krb5-2 (>= 1.10+dfsg~), zlib1g (>= 1:1.1.4) Suggests: cups-common Conflicts: libcupsys2 Breaks: cups (<< 1.5.0) Replaces: libcupsys2 Provides: libcupsys2 Multi-Arch: same Homepage: http://www.cups.org Priority: optional Section: libs Filename: pool/main/c/cups/libcups2_1.5.3-5+deb7u7_armhf.deb Size: 238424 SHA256: ca05728ce80a878960e2580aff7dee9eb73a5166ea368285f68d9de3c6d2704f SHA1: 520cc305a71bffe573ab5eb8865547baf9379d25 MD5sum: 12c70d496b043b51ded63adb29afc2cb Description: Common UNIX Printing System(tm) - Core library The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides the base shared libraries for CUPS. Package: libcups2-dev Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 666 Depends: libcups2 (= 1.5.3-5+deb7u7), libgnutls-dev, libkrb5-dev Provides: libcupsys2-dev Homepage: http://www.cups.org Priority: optional Section: libdevel Filename: pool/main/c/cups/libcups2-dev_1.5.3-5+deb7u7_armhf.deb Size: 311904 SHA256: 697c569193b238246cb727f29935aad3d80c6c21bd8a49742316aeadb4a97c7c SHA1: 6fd0f10644cde07b4416277b47b613b66919c60d MD5sum: d5f043151dba57ebcc0b168005738311 Description: Common UNIX Printing System(tm) - Development files CUPS library The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides the files necessary for developing CUPS-aware applications and CUPS drivers, as well as examples how to communicate with cups from different programming languages (Perl, Java, and PHP). Package: libcupscgi1 Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 138 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcups2 (= 1.5.3-5+deb7u7) Multi-Arch: same Homepage: http://www.cups.org Priority: optional Section: libs Filename: pool/main/c/cups/libcupscgi1_1.5.3-5+deb7u7_armhf.deb Size: 114304 SHA256: 32939000d81db01f5de3a4d9bb2abb77212dfb7be567a58245ab6cba1efe0668 SHA1: 401d6cef482bd437fd3d22ce9fb0c503034fe287 MD5sum: c8d47f6121be28910973f1072d2c2042 Description: Common UNIX Printing System(tm) - CGI library The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides a shared library for CGI programs in the CUPS web interface Package: libcupscgi1-dev Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 161 Depends: libcupscgi1 (= 1.5.3-5+deb7u7), libcups2-dev (= 1.5.3-5+deb7u7) Homepage: http://www.cups.org Priority: optional Section: libdevel Filename: pool/main/c/cups/libcupscgi1-dev_1.5.3-5+deb7u7_armhf.deb Size: 121376 SHA256: 82ef45505540e20613ca64ae14b0d907d06b2983b835b6f2b0c1fa3ae200065d SHA1: dca79d8449597ef2de4559f196a5711853a83f5d MD5sum: d7d2fb32d2ec3aa3bcdeeaae30084694 Description: Common UNIX Printing System(tm) - Development files for CGI library The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides the files necessary for developing CGI programs for the CUPS web interface using libcupscgi. Package: libcupsdriver1 Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 121 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcups2 (= 1.5.3-5+deb7u7) Multi-Arch: same Homepage: http://www.cups.org Priority: optional Section: libs Filename: pool/main/c/cups/libcupsdriver1_1.5.3-5+deb7u7_armhf.deb Size: 105040 SHA256: 3a74a9578bee10fa5cbcc6f6478c536b7d0cee7983dd369e66abd1889f36f142 SHA1: b5c3ee5eec0c4f54766bc91306488f7f11ff0bc4 MD5sum: 7650ce698c88afe65c2a2b0a492a897e Description: Common UNIX Printing System(tm) - Driver library The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides a shared library with useful functions for printer drivers. Package: libcupsdriver1-dev Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 132 Depends: libcupsdriver1 (= 1.5.3-5+deb7u7), libcups2-dev (= 1.5.3-5+deb7u7), libcupsimage2-dev (= 1.5.3-5+deb7u7) Homepage: http://www.cups.org Priority: optional Section: libdevel Filename: pool/main/c/cups/libcupsdriver1-dev_1.5.3-5+deb7u7_armhf.deb Size: 107438 SHA256: 4dd1ed1947131c4eb8644b07ac433dced75313780490449bbd9bc853a39de847 SHA1: 8b9f0e4e7f3c1a07f7e701e7e81bf21d8216f4e1 MD5sum: bdda51745afa256c6557a51ca852530a Description: Common UNIX Printing System(tm) - Development files driver library The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides the files necessary for developing printer drivers using libcupsdriver. Package: libcupsfilters-dev Source: cups-filters Version: 1.0.18-2.1+deb7u2 Architecture: armhf Maintainer: Debian CUPS Maintainers Installed-Size: 159 Depends: libcupsfilters1 (= 1.0.18-2.1+deb7u2) Homepage: http://www.openprinting.org/ Priority: optional Section: libdevel Filename: pool/main/c/cups-filters/libcupsfilters-dev_1.0.18-2.1+deb7u2_armhf.deb Size: 70178 SHA256: 1ce3bb2f32146d5ccd97953e9958059c9c7c04b0766bd01c8f3d565298ee614b SHA1: 7852f2f4d0fba3fbad5520d47b2766006204ba64 MD5sum: e91995f25d68393298f75462ad273bcc Description: OpenPrinting CUPS Filters - Development files for the library Header files to develop applications (CUPS filters, printer drivers) using libcupsfilters. Package: libcupsfilters1 Source: cups-filters Version: 1.0.18-2.1+deb7u2 Architecture: armhf Maintainer: Debian CUPS Maintainers Installed-Size: 112 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libcupsimage2 (>= 1.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgssapi-krb5-2 (>= 1.6.dfsg.2), libjpeg8 (>= 8c), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libpng12-0 (>= 1.2.13-4), libtiff4 (>> 3.9.5-3~), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://www.openprinting.org/ Priority: optional Section: libs Filename: pool/main/c/cups-filters/libcupsfilters1_1.0.18-2.1+deb7u2_armhf.deb Size: 61464 SHA256: 12fe1798903149d5af7f646bf535f063e8c7117d46dc85689cf176d518982f12 SHA1: 8608ae4062fa137a80916b33c81e6461943757e6 MD5sum: 77fc7988db8ecba78043136f8e8f9962 Description: OpenPrinting CUPS Filters - Shared library This library contains functions commonly used by the CUPS filters in the OpenPrinting CUPS filters package, currently functions to read several different image formats and to convert bitmaps between different color spaces. Package: libcupsimage2 Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 175 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcups2 (= 1.5.3-5+deb7u7), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libtiff4 (>> 3.9.5-3~) Multi-Arch: same Homepage: http://www.cups.org Priority: optional Section: libs Filename: pool/main/c/cups/libcupsimage2_1.5.3-5+deb7u7_armhf.deb Size: 132252 SHA256: cda90f212f3b0a995d71e14b6edde6048a7dcabc0f7fa3d8db9109609c450aae SHA1: df6ebf184180c8527c6a42daebad949cdcbe33f9 MD5sum: f1a5e10065aa8de62c49e3957b87484e Description: Common UNIX Printing System(tm) - Raster image library The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides the image libraries for handling the CUPS raster format. Package: libcupsimage2-dev Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 141 Depends: libcupsimage2 (= 1.5.3-5+deb7u7), libcups2-dev (= 1.5.3-5+deb7u7), libpng-dev, libtiff-dev, libjpeg8-dev | libjpeg-dev, zlib1g-dev Homepage: http://www.cups.org Priority: optional Section: libdevel Filename: pool/main/c/cups/libcupsimage2-dev_1.5.3-5+deb7u7_armhf.deb Size: 56188 SHA256: ec9951cfd067615ec0a7f4871bd21b946b23a39b0a9e63e190c481384022b31e SHA1: 3b8c43f305be0a359bb2607f534570f9bbc39512 MD5sum: c7ef84f6edf7bda1cfe13a4a46343a79 Description: Common UNIX Printing System(tm) - Development files CUPS image library The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides the files necessary for developing applications that use the CUPS raster image format. Package: libcupsmime1 Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 109 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcups2 (= 1.5.3-5+deb7u7) Breaks: cups (<< 1.5.0) Multi-Arch: same Homepage: http://www.cups.org Priority: optional Section: libs Filename: pool/main/c/cups/libcupsmime1_1.5.3-5+deb7u7_armhf.deb Size: 97818 SHA256: 8f38ab568db5f956a2055141928feab09b8faef4042e3a0eb7a630d9637227fd SHA1: bb0c1613239cb81b06c0f75b4a2537c4984eea99 MD5sum: af1b8c920b5d90b926ea313b90fe7b32 Description: Common UNIX Printing System(tm) - MIME library The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides a shared library for file type detection and file conversion filter chain building. Package: libcupsmime1-dev Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 112 Depends: libcupsmime1 (= 1.5.3-5+deb7u7), libcups2-dev (= 1.5.3-5+deb7u7) Homepage: http://www.cups.org Priority: optional Section: libdevel Filename: pool/main/c/cups/libcupsmime1-dev_1.5.3-5+deb7u7_armhf.deb Size: 99348 SHA256: 2058ddc319001a90165280c67ded42c1a7cd190ab7faec56fc31667e483fc7ed SHA1: 6fc9c78a8f09f9175c7919dd9d82d93d3a56c887 MD5sum: 98ef0526894359e8755a11d01de3587a Description: Common UNIX Printing System(tm) - Development files MIME library The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides the files necessary for developing programs using libcupsmime. Package: libcupsppdc1 Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 201 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcups2 (= 1.5.3-5+deb7u7), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Multi-Arch: same Homepage: http://www.cups.org Priority: optional Section: libs Filename: pool/main/c/cups/libcupsppdc1_1.5.3-5+deb7u7_armhf.deb Size: 136840 SHA256: a90d4fcc98c3f8c59aa5bc2e6c3fe5e7e2ab81d466aba89b61095d06471ae6d8 SHA1: c40398011204661f43d81793f82890b0f84dbf64 MD5sum: 03a2ee4234e4799ce6c94ff59d9b3dfe Description: Common UNIX Printing System(tm) - PPD manipulation library The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides the base shared library for generating and manipulating PPD files. Package: libcupsppdc1-dev Source: cups Version: 1.5.3-5+deb7u7 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 285 Depends: libcupsppdc1 (= 1.5.3-5+deb7u7), libcups2-dev (= 1.5.3-5+deb7u7) Homepage: http://www.cups.org Priority: optional Section: libdevel Filename: pool/main/c/cups/libcupsppdc1-dev_1.5.3-5+deb7u7_armhf.deb Size: 154920 SHA256: 30d5232e22aac25404ab8743d981c9842a1aeb5197d9c01f85e3a888980d5aa9 SHA1: c54d184a23aef65e62dab905861a4d984c6fa6a2 MD5sum: 5ef83c961fd27025e26a05f88aba71b7 Description: Common UNIX Printing System(tm) - Development files PPD library The Common UNIX Printing System (or CUPS(tm)) is a printing system and general replacement for lpd and the like. It supports the Internet Printing Protocol (IPP), and has its own filtering driver model for handling various document types. . This package provides the files necessary for developing programs using libcupsppdc. Package: libcupt2-0 Source: cupt Version: 2.5.9 Architecture: armhf Maintainer: Cupt developers Installed-Size: 1926 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libstdc++6 (>= 4.6) Recommends: libcupt2-0-downloadmethod-curl | libcupt2-0-downloadmethod-wget, bzip2, gpgv, ed Suggests: cupt, lzma, xz-utils, debdelta (>= 0.31), dpkg-dev, dpkg-repack Conflicts: libcupt2-0-experimental Breaks: debdelta (<< 0.31), libcupt2-0-downloadmethod-curl (<< 2.2.0~rc1), libcupt2-0-downloadmethod-wget (<< 2.2.0~rc1) Homepage: http://wiki.debian.org/Cupt Priority: optional Section: admin Filename: pool/main/c/cupt/libcupt2-0_2.5.9_armhf.deb Size: 719832 SHA256: 866f0377f38e17fb7c3c2f0830d82602861803b559630a2fc798178cd3720ebc SHA1: 73d5e7db6dbbc49ae5211c371dc6a8d3b43c3a85 MD5sum: 8e4bfe69aa2b271a1fe3c444324694ab Description: alternative front-end for dpkg -- runtime library This is a Cupt library implementing front-end to dpkg. . Provides: - parsing and manipulating configuration info; - parsing indexes metadata info; - version strings comparison; - inspection of the binary and source package' versions; - parsing and querying info about installed packages; - parsing and working with relations and relation expressions; - download manager and downloaders for some schemes; - problem resolver; - worker for modifying system state. . See also description of 'cupt' package for more information. Package: libcupt2-0-downloadmethod-curl Source: cupt Version: 2.5.9 Architecture: armhf Maintainer: Cupt developers Installed-Size: 100 Depends: libc6 (>= 2.13-28), libcupt2-0 (>= 2.3.0~rc1), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.5) Homepage: http://wiki.debian.org/Cupt Priority: optional Section: admin Filename: pool/main/c/cupt/libcupt2-0-downloadmethod-curl_2.5.9_armhf.deb Size: 47220 SHA256: e8b283c7492b290dcd381ee4bf5024061a1759e57187484e9dd9de56a3aee68d SHA1: 508caadb7900009fc9926d16d6b72d5825d5d5c3 MD5sum: 8902d44b85d83d32ba7da8e8fdde7d90 Description: alternative front-end for dpkg -- libcurl download method This package provides http(s) and ftp download handlers for Cupt library using libcurl. . See also description of libcupt2-0 package. Package: libcupt2-0-downloadmethod-wget Source: cupt Version: 2.5.9 Architecture: armhf Maintainer: Cupt developers Installed-Size: 107 Depends: libc6 (>= 2.13-28), libcupt2-0 (>= 2.3.0~rc1), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.5), wget Homepage: http://wiki.debian.org/Cupt Priority: optional Section: admin Filename: pool/main/c/cupt/libcupt2-0-downloadmethod-wget_2.5.9_armhf.deb Size: 48196 SHA256: 84da07d3e1a4e7691e8fa10869c7b63c69d5a9317b1bd024d21fe3d7b08b8974 SHA1: 63f83766dab61e26d2930fcdc683d34baae08c5d MD5sum: aa447713bf485f62bd3ebd4aa8765d77 Description: alternative front-end for dpkg -- wget download method This package provides http(s) and ftp download handlers for Cupt library using wget. . See also description of libcupt2-0 package. Package: libcupt2-dev Source: cupt Version: 2.5.9 Architecture: armhf Maintainer: Cupt developers Installed-Size: 186 Depends: libcupt2-0 (= 2.5.9) Suggests: libcupt2-doc Conflicts: libcupt2-dev-experimental Homepage: http://wiki.debian.org/Cupt Priority: optional Section: libdevel Filename: pool/main/c/cupt/libcupt2-dev_2.5.9_armhf.deb Size: 53318 SHA256: 0b664cc486d52778faf7bb03d4bb1fa01a270a6195e9d510a312ebda725b092a SHA1: 59f9e9d8a340fe4674bffc872d6207af3c2533a4 MD5sum: bed40facf383cad210f1b3bdb21bbcca Description: alternative front-end for dpkg -- development files This package provides headers for Cupt library. . See also description of libcupt2-0 package. Package: libcupt2-doc Source: cupt Version: 2.5.9 Installed-Size: 2063 Maintainer: Cupt developers Architecture: all Size: 286412 SHA256: f9d79e73d485250220e7970a0123be160767ec0ada4ea932f1478384ff17b655 SHA1: ff699991f60def7d00dae58267acda7a6dc57d78 MD5sum: 55cf1d01577ea13ea6e8db0932fbbc77 Description: alternative front-end for dpkg -- library documentation This package provides documentation for Cupt library. . See also description of libcupt2-0 package. Homepage: http://wiki.debian.org/Cupt Tag: admin::package-management, devel::doc, role::documentation, suite::debian Section: doc Priority: optional Filename: pool/main/c/cupt/libcupt2-doc_2.5.9_all.deb Package: libcurl-ocaml Source: ocurl Version: 0.5.3-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 115 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), ocaml-base-nox-3.12.1 Provides: libcurl-ocaml-ka7l5 Homepage: http://sourceforge.net/projects/ocurl Priority: optional Section: ocaml Filename: pool/main/o/ocurl/libcurl-ocaml_0.5.3-2_armhf.deb Size: 22114 SHA256: e6fd025f4d6deabd25e25e2ff996ad783871e1004c48c5640069a60f57337fe2 SHA1: e26b1b6d3869e71785a61e88b048551f915cf73e MD5sum: 1f0bdd80af369ea178a1dd749e071f06 Description: OCaml curl bindings (Runtime Library) This Objective Caml library provides multi-protocol file transfer facilities based on the curl library. . This package contains only the shared runtime stub libraries. Package: libcurl-ocaml-dev Source: ocurl Version: 0.5.3-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 610 Depends: libcurl-ocaml-ka7l5, ocaml-nox-3.12.1, libcurl4-gnutls-dev (>= 7.15.0-2), ocaml-findlib (>= 1.2.5) Provides: libcurl-ocaml-dev-ka7l5 Homepage: http://sourceforge.net/projects/ocurl Priority: optional Section: ocaml Filename: pool/main/o/ocurl/libcurl-ocaml-dev_0.5.3-2_armhf.deb Size: 85768 SHA256: f639a9320dcce0e78237c30e752a64091db35b1ea27cd340113d2d0e5bd14296 SHA1: b4393ecbd6829c637b3e613a10df2afbdc5043b8 MD5sum: eb92de77ece143b002062672529416f8 Description: OCaml libcurl bindings (Development package) This Objective Caml library provides multi-protocol file transfer facilities based on the curl library. . This package contains the development part of the OCaml curl package. Package: libcurl3 Source: curl Version: 7.26.0-1+wheezy25+deb7u1 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 538 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgssapi-krb5-2 (>= 1.10+dfsg~), libidn11 (>= 1.13), libldap-2.4-2 (>= 2.4.7), librtmp0 (>= 2.3), libssh2-1 (>= 1.2.6), libssl1.0.0 (>= 1.0.1), zlib1g (>= 1:1.1.4) Recommends: ca-certificates Conflicts: libcurl4 Replaces: libcurl2 (<< 1:7.11.2-2), libcurl4 Multi-Arch: same Homepage: http://curl.haxx.se Priority: optional Section: libs Filename: pool/main/c/curl/libcurl3_7.26.0-1+wheezy25+deb7u1_armhf.deb Size: 319084 SHA256: 4d440e7a782ec9186ccded3883ad5899e68c86de980aa84f8842dc67b05076f3 SHA1: b463c4f66ec9d121613d9800e984bb851063ef48 MD5sum: 5ca2359a9711451f144c83547d0161da Description: easy-to-use client-side URL transfer library (OpenSSL flavour) libcurl is an easy-to-use client-side URL transfer library, supporting DICT, FILE, FTP, FTPS, GOPHER, HTTP, HTTPS, IMAP, IMAPS, LDAP, POP3, POP3S, RTMP, RTSP, SCP, SFTP, SMTP, SMTPS, TELNET and TFTP. . libcurl supports SSL certificates, HTTP POST, HTTP PUT, FTP uploading, HTTP form based upload, proxies, cookies, user+password authentication (Basic, Digest, NTLM, Negotiate, Kerberos), file transfer resume, http proxy tunneling and more! . libcurl is free, thread-safe, IPv6 compatible, feature rich, well supported, fast, thoroughly documented and is already used by many known, big and successful companies and numerous applications. . SSL support is provided by OpenSSL. Package: libcurl3-dbg Source: curl Version: 7.26.0-1+wheezy25+deb7u1 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 3109 Depends: libcurl3 (= 7.26.0-1+wheezy25+deb7u1) | libcurl3-gnutls (= 7.26.0-1+wheezy25+deb7u1) | libcurl3-nss (= 7.26.0-1+wheezy25+deb7u1) Suggests: libc-dbg Conflicts: libcurl4-dbg Replaces: libcurl4-dbg Provides: libcurl4-dbg Multi-Arch: same Homepage: http://curl.haxx.se Priority: extra Section: debug Filename: pool/main/c/curl/libcurl3-dbg_7.26.0-1+wheezy25+deb7u1_armhf.deb Size: 2824860 SHA256: e0d7d9e310355b34cf001ec4dd5b2162c1e3726acf1793a3fca629793a6b622e SHA1: cc5a38472e846db1b54dd2e25648812c5d9a028d MD5sum: 95f09175b6e6886431d5818155f3e28b Description: debugging symbols for libcurl (OpenSSL, GnuTLS and NSS flavours) libcurl is an easy-to-use client-side URL transfer library, supporting DICT, FILE, FTP, FTPS, GOPHER, HTTP, HTTPS, IMAP, IMAPS, LDAP, POP3, POP3S, RTMP, RTSP, SCP, SFTP, SMTP, SMTPS, TELNET and TFTP. . libcurl supports SSL certificates, HTTP POST, HTTP PUT, FTP uploading, HTTP form based upload, proxies, cookies, user+password authentication (Basic, Digest, NTLM, Negotiate, Kerberos), file transfer resume, http proxy tunneling and more! . libcurl is free, thread-safe, IPv6 compatible, feature rich, well supported, fast, thoroughly documented and is already used by many known, big and successful companies and numerous applications. . This package provides the debugging symbols of the OpenSSL, GnuTLS and NSS versions of libcurl3. It might be useful in debug sessions of software which uses libcurl. Package: libcurl3-gnutls Source: curl Version: 7.26.0-1+wheezy25+deb7u1 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 522 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgssapi-krb5-2 (>= 1.10+dfsg~), libidn11 (>= 1.13), libldap-2.4-2 (>= 2.4.7), librtmp0 (>= 2.3), libssh2-1 (>= 1.2.6), zlib1g (>= 1:1.1.4) Recommends: ca-certificates Conflicts: libcurl4-gnutls Replaces: libcurl4-gnutls Multi-Arch: same Homepage: http://curl.haxx.se Priority: optional Section: libs Filename: pool/main/c/curl/libcurl3-gnutls_7.26.0-1+wheezy25+deb7u1_armhf.deb Size: 310374 SHA256: 7683c6a8124ab3172a0ed014feb8ec1b93d052294def4e48c323fc6d5818ed0d SHA1: dd332a755d9f7d87c8624e330067edc1dfeaf9ac MD5sum: f2126f043f6cd0853ba7385b3a8d6e38 Description: easy-to-use client-side URL transfer library (GnuTLS flavour) libcurl is an easy-to-use client-side URL transfer library, supporting DICT, FILE, FTP, FTPS, GOPHER, HTTP, HTTPS, IMAP, IMAPS, LDAP, POP3, POP3S, RTMP, RTSP, SCP, SFTP, SMTP, SMTPS, TELNET and TFTP. . libcurl supports SSL certificates, HTTP POST, HTTP PUT, FTP uploading, HTTP form based upload, proxies, cookies, user+password authentication (Basic, Digest, NTLM, Negotiate, Kerberos), file transfer resume, http proxy tunneling and more! . libcurl is free, thread-safe, IPv6 compatible, feature rich, well supported, fast, thoroughly documented and is already used by many known, big and successful companies and numerous applications. . SSL support is provided by GnuTLS. Package: libcurl3-nss Source: curl Version: 7.26.0-1+wheezy25+deb7u1 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 534 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgssapi-krb5-2 (>= 1.10+dfsg~), libidn11 (>= 1.13), libldap-2.4-2 (>= 2.4.7), libnspr4 (>= 2:4.9-2~), libnss3 (>= 2:3.26), librtmp0 (>= 2.3), libssh2-1 (>= 1.2.6), zlib1g (>= 1:1.1.4) Recommends: ca-certificates Conflicts: libcurl4-nss Replaces: libcurl4-nss Multi-Arch: same Homepage: http://curl.haxx.se Priority: optional Section: libs Filename: pool/main/c/curl/libcurl3-nss_7.26.0-1+wheezy25+deb7u1_armhf.deb Size: 316694 SHA256: 51cf62bd2131ef465cd039a17d11b3af8c7bcb7d1b9570c8decd72b182e89568 SHA1: 00d70f7b7782198051d82d8dd20fd15bb855c94e MD5sum: 4fae5b8217bc1d3158c8ae3c49e478f2 Description: easy-to-use client-side URL transfer library (NSS flavour) libcurl is an easy-to-use client-side URL transfer library, supporting DICT, FILE, FTP, FTPS, GOPHER, HTTP, HTTPS, IMAP, IMAPS, LDAP, POP3, POP3S, RTMP, RTSP, SCP, SFTP, SMTP, SMTPS, TELNET and TFTP. . libcurl supports SSL certificates, HTTP POST, HTTP PUT, FTP uploading, HTTP form based upload, proxies, cookies, user+password authentication (Basic, Digest, NTLM, Negotiate, Kerberos), file transfer resume, http proxy tunneling and more! . libcurl is free, thread-safe, IPv6 compatible, feature rich, well supported, fast, thoroughly documented and is already used by many known, big and successful companies and numerous applications. . SSL support is provided by NSS. Package: libcurl4-gnutls-dev Source: curl Version: 7.26.0-1+wheezy25+deb7u1 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 2315 Depends: libcurl3-gnutls (= 7.26.0-1+wheezy25+deb7u1), libc6-dev | libc-dev, libgnutls-dev, libidn11-dev, libkrb5-dev, libldap2-dev, librtmp-dev, libssh2-1-dev, zlib1g-dev Suggests: libcurl3-dbg Conflicts: libcurl-dev, libcurl4-nss-dev, libcurl4-openssl-dev Replaces: libcurl-dev, libcurl-ssl-dev, libcurl3-gnutls-dev, libcurl4-dev Provides: libcurl-dev, libcurl-ssl-dev, libcurl3-gnutls-dev, libcurl4-dev Homepage: http://curl.haxx.se Priority: optional Section: libdevel Filename: pool/main/c/curl/libcurl4-gnutls-dev_7.26.0-1+wheezy25+deb7u1_armhf.deb Size: 1215968 SHA256: e8b4cbb2b565160e093896172ce8510f509421ac9d2ccdf787c53728fba0a3e9 SHA1: 390aa29cea3d21d3cde520806ba0c168e966adcf MD5sum: 56b738dbb568e87df89f4427238009b4 Description: development files and documentation for libcurl (GnuTLS flavour) libcurl is an easy-to-use client-side URL transfer library, supporting DICT, FILE, FTP, FTPS, GOPHER, HTTP, HTTPS, IMAP, IMAPS, LDAP, POP3, POP3S, RTMP, RTSP, SCP, SFTP, SMTP, SMTPS, TELNET and TFTP. . libcurl supports SSL certificates, HTTP POST, HTTP PUT, FTP uploading, HTTP form based upload, proxies, cookies, user+password authentication (Basic, Digest, NTLM, Negotiate, Kerberos), file transfer resume, http proxy tunneling and more! . libcurl is free, thread-safe, IPv6 compatible, feature rich, well supported, fast, thoroughly documented and is already used by many known, big and successful companies and numerous applications. . This package provides the development files (ie. includes, static library, manual pages) that allow to build software which uses libcurl. HTML and PDF versions of all the manual pages are also provided. . SSL support is provided by GnuTLS. Package: libcurl4-nss-dev Source: curl Version: 7.26.0-1+wheezy25+deb7u1 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 2327 Depends: libcurl3-nss (= 7.26.0-1+wheezy25+deb7u1), libc6-dev | libc-dev, libidn11-dev, libkrb5-dev, libldap2-dev, libnss3-dev, librtmp-dev, libssh2-1-dev, zlib1g-dev Suggests: libcurl3-dbg Conflicts: libcurl-dev, libcurl4-gnutls-dev, libcurl4-openssl-dev Replaces: libcurl-dev, libcurl-ssl-dev, libcurl3-nss-dev, libcurl4-dev Provides: libcurl-dev, libcurl-ssl-dev, libcurl3-nss-dev, libcurl4-dev Homepage: http://curl.haxx.se Priority: optional Section: libdevel Filename: pool/main/c/curl/libcurl4-nss-dev_7.26.0-1+wheezy25+deb7u1_armhf.deb Size: 1221714 SHA256: 0437179ee08a3ef780d3e944c27603de6e3e63629ca46655276a9af75a8e1a75 SHA1: ac862259b04a48af1100ef0c1d1c091aee5a8075 MD5sum: 265d5096685ae681f8b4ddd64a205bba Description: development files and documentation for libcurl (NSS flavour) libcurl is an easy-to-use client-side URL transfer library, supporting DICT, FILE, FTP, FTPS, GOPHER, HTTP, HTTPS, IMAP, IMAPS, LDAP, POP3, POP3S, RTMP, RTSP, SCP, SFTP, SMTP, SMTPS, TELNET and TFTP. . libcurl supports SSL certificates, HTTP POST, HTTP PUT, FTP uploading, HTTP form based upload, proxies, cookies, user+password authentication (Basic, Digest, NTLM, Negotiate, Kerberos), file transfer resume, http proxy tunneling and more! . libcurl is free, thread-safe, IPv6 compatible, feature rich, well supported, fast, thoroughly documented and is already used by many known, big and successful companies and numerous applications. . This package provides the development files (ie. includes, static library, manual pages) that allow to build software which uses libcurl. HTML and PDF versions of all the manual pages are also provided. . SSL support is provided by NSS. Package: libcurl4-openssl-dev Source: curl Version: 7.26.0-1+wheezy25+deb7u1 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 2337 Depends: libcurl3 (= 7.26.0-1+wheezy25+deb7u1), libc6-dev | libc-dev, libidn11-dev, libkrb5-dev, libldap2-dev, librtmp-dev, libssh2-1-dev, libssl-dev, zlib1g-dev Suggests: libcurl3-dbg Conflicts: libcurl-dev, libcurl4-gnutls-dev, libcurl4-nss-dev Replaces: libcurl-dev, libcurl-ssl-dev, libcurl3-dev (<< 7.14.1-1), libcurl3-openssl-dev Provides: libcurl-dev, libcurl-ssl-dev, libcurl3-dev, libcurl3-openssl-dev, libcurl4-dev Homepage: http://curl.haxx.se Priority: optional Section: libdevel Filename: pool/main/c/curl/libcurl4-openssl-dev_7.26.0-1+wheezy25+deb7u1_armhf.deb Size: 1224682 SHA256: 0197357faa2f2726fae8468c526c763a43d0282882aa1b36d3080f831f5d5851 SHA1: 326d55da9e4c1f8dbcbe52e4287946985130b826 MD5sum: dc7549ccad67eff118526e48cef0457d Description: development files and documentation for libcurl (OpenSSL flavour) libcurl is an easy-to-use client-side URL transfer library, supporting DICT, FILE, FTP, FTPS, GOPHER, HTTP, HTTPS, IMAP, IMAPS, LDAP, POP3, POP3S, RTMP, RTSP, SCP, SFTP, SMTP, SMTPS, TELNET and TFTP. . libcurl supports SSL certificates, HTTP POST, HTTP PUT, FTP uploading, HTTP form based upload, proxies, cookies, user+password authentication (Basic, Digest, NTLM, Negotiate, Kerberos), file transfer resume, http proxy tunneling and more! . libcurl is free, thread-safe, IPv6 compatible, feature rich, well supported, fast, thoroughly documented and is already used by many known, big and successful companies and numerous applications. . This package provides the development files (ie. includes, static library, manual pages) that allow to build software which uses libcurl. HTML and PDF versions of all the manual pages are also provided. . SSL support is provided by OpenSSL. Package: libcurses-ocaml Source: ocaml-curses Version: 1.0.3-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 104 Depends: ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), libncursesw5 (>= 5.6+20070908), libtinfo5 Provides: libcurses-ocaml-8nu90 Homepage: http://www.nongnu.org/ocaml-tmk/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-curses/libcurses-ocaml_1.0.3-2_armhf.deb Size: 24040 SHA256: 668326ce58a52736de76f7221e39cbd907996fba099de9a070b07ed40d547464 SHA1: d82b5070525b027bc98ccb3f843e5b54e61785c9 MD5sum: 61571e690089d4e8c8b48ed57d6a1374 Description: OCaml bindings for the ncurses library (runtime) The ncurses library provides functions to create rich text-mode interfaces. This package contains the necessary files to use the ncurses library in OCaml. . This package contains only the shared runtime stub libraries. Package: libcurses-ocaml-dev Source: ocaml-curses Version: 1.0.3-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 513 Depends: libcurses-ocaml-8nu90, ocaml-nox-3.12.1, libncursesw5-dev, libcurses-ocaml (= 1.0.3-2) Provides: libcurses-ocaml-dev-8nu90 Homepage: http://www.nongnu.org/ocaml-tmk/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-curses/libcurses-ocaml-dev_1.0.3-2_armhf.deb Size: 73880 SHA256: 9efa931cd92ec1159d9142da683a66126fb89bec2f9e2a535b917f811be5db18 SHA1: e5a0af6692b596b4cb5dac55409247bcfb9a1097 MD5sum: 2fd67dd2d3de2ab3eaca35f1f4033a55 Description: OCaml bindings for the ncurses library The ncurses library provides functions to create rich text-mode interfaces. This package contains the necessary files to use the ncurses library in OCaml. . This package contains all the development stuff you need to use ocaml-curses in your programs. Package: libcurses-perl Version: 1.28-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 489 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libncursesw5 (>= 5.6+20070908), libtinfo5 Homepage: http://search.cpan.org/dist/Curses/ Priority: optional Section: perl Filename: pool/main/libc/libcurses-perl/libcurses-perl_1.28-1_armhf.deb Size: 114416 SHA256: 613d673ff4570b5d3ef87bc512ec60ce0d50a676f6492d18309e9e522f3352fd SHA1: c924a9fdddbe6489ed9e1ba679f699044ab16f92 MD5sum: f5ce64ad8fb160b67df610ee1dc038c0 Description: Curses interface for Perl libcurses-perl (the Curses module from CPAN) will let you use the ncurses/curses terminal screen manipulation routines from Perl programs. Package: libcurses-ui-perl Version: 0.9609-1 Installed-Size: 860 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcurses-perl, libterm-readkey-perl Size: 241374 SHA256: bb1c6c199867e7d2daf462c17186454a22ef70430addf7124664001f24d15dea SHA1: 942a82a8e520e4c786c21ce23cd31a62f181d2cc MD5sum: f3087fa1910994b8c71349cde537c576 Description: curses-based OO user interface framework for Perl A UI framework for Perl based on the curses library. Curses::UI contains the standard set of widgets for use in constructing user interfaces, plus the following more advanced widgets: calendar, progress bar, text editor (including word wrapping and undo), and text viewer. It supports a variety of dialog windows, from the standard basic and error dialogs to file browsers, progress bars, and a calendar. Curses::UI also has internationalization support and currently has localization information for several languages. Homepage: http://search.cpan.org/dist/Curses-UI/ Tag: devel::lang:perl, devel::library, implemented-in::perl, interface::text-mode, role::devel-lib, uitoolkit::ncurses Section: perl Priority: optional Filename: pool/main/libc/libcurses-ui-perl/libcurses-ui-perl_0.9609-1_all.deb Package: libcurses-widgets-perl Version: 1.997-5 Installed-Size: 256 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libcurses-perl (>= 1.02) Size: 81994 SHA256: d02fb0f5863d40a33e8acdb6f0f7c973f837491148627e31804ebe223a10bb82 SHA1: d80a4ab43daf34f42fc3ab16d6a78f20eeb88766 MD5sum: de46c6554a62699377c7a41f365a0bf8 Description: Curses widget interface for Perl This module provides a standard library of functions and widgets for use in creating Curses-based interfaces. Should work reliably with both Curses and nCurses libraries. . Current widgets include text field, list box, button sets, calendar, message box, input box Tag: devel::lang:perl, devel::library, implemented-in::perl, interface::text-mode, role::devel-lib, uitoolkit::ncurses Section: perl Priority: optional Filename: pool/main/libc/libcurses-widgets-perl/libcurses-widgets-perl_1.997-5_all.deb Package: libcutter-dev Source: cutter-testing-framework Version: 1.1.7-1.2 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 476 Depends: libcutter0 (= 1.1.7-1.2) Homepage: http://cutter.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/c/cutter-testing-framework/libcutter-dev_1.1.7-1.2_armhf.deb Size: 107870 SHA256: f8012376c2c4fb0eeb51f911aba2491d1cb86428015d211581ebaa26bace6917 SHA1: dc177f0322bcd9692bf6573fe47943c929571660 MD5sum: bfa9d22eefbc7f5bcabf1197bc568a61 Description: Development files to write tests with Cutter Cutter is a xUnit family Unit Testing Framework for C and C++. . This package provides library files and header files to write tests with Cutter. Package: libcutter0 Source: cutter-testing-framework Version: 1.1.7-1.2 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 445 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8) Homepage: http://cutter.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/c/cutter-testing-framework/libcutter0_1.1.7-1.2_armhf.deb Size: 199896 SHA256: 94f66d28387443e425832ac1ba2320158fa1fea412eb11a929593ffc4b0475f6 SHA1: 8ce094f4740a3a981f78717d3d6aee3c5cee22a3 MD5sum: 44fdaf20805e9e7f8c5b58d099664327 Description: Shared library of Cutter Cutter is a xUnit family Unit Testing Framework for C and C++. . This package provides shared library for Cutter. Package: libcv-dev Source: opencv Version: 2.3.1-11+deb7u4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 62 Depends: libopencv-core-dev, libopencv-imgproc-dev, libopencv-flann-dev, libopencv-features2d-dev, libopencv-calib3d-dev, libopencv-objdetect-dev, libopencv-legacy-dev, libopencv-video-dev, libopencv-ml-dev Conflicts: libcvaux-dev (<= 2.1.0-7), libhighgui-dev (<= 2.1.0-7) Homepage: http://opencv.willowgarage.com Priority: optional Section: libdevel Filename: pool/main/o/opencv/libcv-dev_2.3.1-11+deb7u4_armhf.deb Size: 13662 SHA256: 56b67d1e2e54d23eea894654724458b8a67d096a37abd21e166fb71928718504 SHA1: 0f118291f4383409e072d93f429dd2ab93bf9072 MD5sum: 021e99fa8adbbc9a37d6cfe55b26b374 Description: Translation package for libcv-dev This package provide files for translation from libcv-dev to subdivided packages. . This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision). . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libcv2.3 Source: opencv Version: 2.3.1-11+deb7u4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 44 Depends: libopencv-core2.3, libopencv-imgproc2.3, libopencv-flann2.3, libopencv-features2d2.3, libopencv-calib3d2.3, libopencv-objdetect2.3, libopencv-legacy2.3, libopencv-video2.3, libopencv-ml2.3 Homepage: http://opencv.willowgarage.com Priority: optional Section: devel Filename: pool/main/o/opencv/libcv2.3_2.3.1-11+deb7u4_armhf.deb Size: 11244 SHA256: b766b29dad55e215b38e9f07a6cb9d12a448aa98148303a2037dc894f4f1541c SHA1: 8b9b9231a9e3968bc54feeeb56fd050433ea9229 MD5sum: 7be3a4b50683395e36d4e6e0d90f4da3 Description: computer vision library - libcv* translation package This package provide files for translation from libcv2.1 to libcv2.3. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libcvaux-dev Source: opencv Version: 2.3.1-11+deb7u4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 50 Depends: libopencv-core-dev, libopencv-imgproc-dev, libopencv-features2d-dev, libopencv-calib3d-dev, libopencv-objdetect-dev, libopencv-legacy-dev, libopencv-video-dev, libopencv-contrib-dev Homepage: http://opencv.willowgarage.com Priority: optional Section: libdevel Filename: pool/main/o/opencv/libcvaux-dev_2.3.1-11+deb7u4_armhf.deb Size: 12498 SHA256: 60b908833dce5881daff8d8e2d561a0df1028e1fe2905c35b354a893f318244c SHA1: 76b6cf72b5eb41f7972454241e8bb67fb62576cf MD5sum: f63a96151fc8e4562dc2973aa2d661d0 Description: Translation package for libcvaux-dev This package provide files for translation from libcvaux-dev to subdivided packages. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libcvaux2.3 Source: opencv Version: 2.3.1-11+deb7u4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 44 Depends: libopencv-core2.3, libopencv-imgproc2.3, libopencv-features2d2.3, libopencv-calib3d2.3, libopencv-objdetect2.3, libopencv-legacy2.3, libopencv-video2.3, libopencv-contrib2.3 Homepage: http://opencv.willowgarage.com Priority: optional Section: devel Filename: pool/main/o/opencv/libcvaux2.3_2.3.1-11+deb7u4_armhf.deb Size: 11244 SHA256: 41318d9ce222c8c3dff93c61322bf7ac5301181b8d604765b4e590eddb83e796 SHA1: 714e5eeca278bfd9e8c19df91bb31aaffeadc0d3 MD5sum: f4437dc2e507407fc6b4e87c2454f5c2 Description: computer vision library - libcvaux translation package This package provide files for translation from libcvaux2.1 to libcvaux2.3. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libcvc3-5 Source: cvc3 Version: 2.4.1-4 Architecture: armhf Maintainer: Christopher L. Conway Installed-Size: 5135 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libstdc++6 (>= 4.6) Homepage: http://www.cs.nyu.edu/acsys/cvc3/ Priority: extra Section: libs Filename: pool/main/c/cvc3/libcvc3-5_2.4.1-4_armhf.deb Size: 2185254 SHA256: a4d6f6d90a9fc4a6ffb2a888feacdb24d0f48e06ca992f280bbb0a78dc87e70d SHA1: 0511a8cb4db5569d68ee1399e97b60a0879e65a2 MD5sum: 40908c63ee6685b064f631fa259265ca Description: Automatic theorem prover library for SMT problems CVC3 is an automatic theorem prover for Satisfiability Modulo Theories (SMT) problems. It can be used to prove the validity (or, dually, the satisfiability) of first-order formulas in a large number of built-in logical theories and their combination. . CVC3 is the last offspring of a series of popular SMT provers, which originated at Stanford University with the SVC system. In particular, it builds on the code base of CVC Lite, its most recent predecessor. Its high level design follows that of the Sammy prover. . CVC3 works with a version of first-order logic with polymorphic types and has a wide variety of features including: . * several built-in base theories: rational and integer linear arithmetic, arrays, tuples, records, inductive data types, bit vectors, and equality over uninterpreted function symbols; * support for quantifiers; * an interactive text-based interface; * rich C, C++, and Java APIs for embedding in other systems; * proof and model generation abilities; * predicate subtyping; * essentially no limit on its use for research or commercial purposes (see license). . This package contains the files necessary for running applications that use the CVC3 library. Package: libcvc3-5-java Source: cvc3 Version: 2.4.1-4 Installed-Size: 104 Maintainer: Christopher L. Conway Architecture: all Depends: libcvc3-5-jni (>= 2.4.1-4) Size: 71508 SHA256: 82411166ffdadf66f1810604c5651e0295252a479c8f5a44f6b28781519fa76e SHA1: a305ab556d8d374c61e66db6d4f614611a197956 MD5sum: 5b6558bea959ebbc36eee19f2cbed0b5 Description: Java bindings for CVC3 (bytecode library) CVC3 is an automatic theorem prover for Satisfiability Modulo Theories (SMT) problems. It can be used to prove the validity (or, dually, the satisfiability) of first-order formulas in a large number of built-in logical theories and their combination. . CVC3 is the last offspring of a series of popular SMT provers, which originated at Stanford University with the SVC system. In particular, it builds on the code base of CVC Lite, its most recent predecessor. Its high level design follows that of the Sammy prover. . CVC3 works with a version of first-order logic with polymorphic types and has a wide variety of features including: . * several built-in base theories: rational and integer linear arithmetic, arrays, tuples, records, inductive data types, bit vectors, and equality over uninterpreted function symbols; * support for quantifiers; * an interactive text-based interface; * rich C, C++, and Java APIs for embedding in other systems; * proof and model generation abilities; * predicate subtyping; * essentially no limit on its use for research or commercial purposes (see license). . This package provides architecture-independent files of the Java bindings for CVC3 Homepage: http://www.cs.nyu.edu/acsys/cvc3/ Section: java Priority: extra Filename: pool/main/c/cvc3/libcvc3-5-java_2.4.1-4_all.deb Package: libcvc3-5-jni Source: cvc3 Version: 2.4.1-4 Architecture: armhf Maintainer: Christopher L. Conway Installed-Size: 223 Depends: libc6 (>= 2.13-28), libcvc3-5 (>= 2.4.1), libgcc1 (>= 1:4.4.0), libgmp10, libstdc++6 (>= 4.4.0) Breaks: libcvc3-2-jni Replaces: libcvc3-2-jni Homepage: http://www.cs.nyu.edu/acsys/cvc3/ Priority: extra Section: java Filename: pool/main/c/cvc3/libcvc3-5-jni_2.4.1-4_armhf.deb Size: 67924 SHA256: 288a303ff6ea797f2d9100275aa39ef16fcf345dd5f6d44200544928f3da4604 SHA1: f5289cb5cac5774c68fa95a6c7e7bbc0d0eed6e0 MD5sum: 2de739724e6e0f42e5d9d518a3ce7505 Description: Java bindings for CVC3 (native library) CVC3 is an automatic theorem prover for Satisfiability Modulo Theories (SMT) problems. It can be used to prove the validity (or, dually, the satisfiability) of first-order formulas in a large number of built-in logical theories and their combination. . CVC3 is the last offspring of a series of popular SMT provers, which originated at Stanford University with the SVC system. In particular, it builds on the code base of CVC Lite, its most recent predecessor. Its high level design follows that of the Sammy prover. . CVC3 works with a version of first-order logic with polymorphic types and has a wide variety of features including: . * several built-in base theories: rational and integer linear arithmetic, arrays, tuples, records, inductive data types, bit vectors, and equality over uninterpreted function symbols; * support for quantifiers; * an interactive text-based interface; * rich C, C++, and Java APIs for embedding in other systems; * proof and model generation abilities; * predicate subtyping; * essentially no limit on its use for research or commercial purposes (see license). . This package provides the native library needed for the Java bindings for CVC3 Package: libcvc3-dev Source: cvc3 Version: 2.4.1-4 Architecture: armhf Maintainer: Christopher L. Conway Installed-Size: 803 Depends: libcvc3-5 (= 2.4.1-4) Breaks: libcvc3-2-dev, libcvc3-5-dev Replaces: libcvc3-2-dev, libcvc3-5-dev Homepage: http://www.cs.nyu.edu/acsys/cvc3/ Priority: extra Section: libdevel Filename: pool/main/c/cvc3/libcvc3-dev_2.4.1-4_armhf.deb Size: 194864 SHA256: 016be9e6f68400cf5c4605bb6e5b4149031505a68709d974377df72ea1cc45d5 SHA1: 74178d861787a24954fea981fb1407d8cfc4220e MD5sum: 6c5f7481ee9dd35134cf6df0b5e19a74 Description: Automatic theorem prover library for SMT problems (development files) CVC3 is an automatic theorem prover for Satisfiability Modulo Theories (SMT) problems. It can be used to prove the validity (or, dually, the satisfiability) of first-order formulas in a large number of built-in logical theories and their combination. . CVC3 is the last offspring of a series of popular SMT provers, which originated at Stanford University with the SVC system. In particular, it builds on the code base of CVC Lite, its most recent predecessor. Its high level design follows that of the Sammy prover. . CVC3 works with a version of first-order logic with polymorphic types and has a wide variety of features including: . * several built-in base theories: rational and integer linear arithmetic, arrays, tuples, records, inductive data types, bit vectors, and equality over uninterpreted function symbols; * support for quantifiers; * an interactive text-based interface; * rich C, C++, and Java APIs for embedding in other systems; * proof and model generation abilities; * predicate subtyping; * essentially no limit on its use for research or commercial purposes (see license). . This package contains the files necessary for building applications that use the CVC3 library. Package: libcvector2 Source: cvector Version: 1.0.3-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 34 Depends: libc6 (>= 2.4) Homepage: http://sourceforge.net/projects/cvector/ Priority: extra Section: libs Filename: pool/main/c/cvector/libcvector2_1.0.3-1_armhf.deb Size: 4162 SHA256: 57b4074536f84da1942b8ad05a02789fe5fa8f04954e337be37810f4eab17193 SHA1: 8bd33e50260b92a12a1c77e1cbfe48bda0d15556 MD5sum: ea5e56184d7b490f4d950a16a52eabb5 Description: ANSI C implementation of dynamic arrays CVector is an ANSI C library which implements dynamic arrays approximating the functionality of the C++ vector class. Package: libcvector2-dev Source: cvector Version: 1.0.3-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 46 Depends: libcvector2 (= 1.0.3-1) Homepage: http://sourceforge.net/projects/cvector/ Priority: extra Section: libdevel Filename: pool/main/c/cvector/libcvector2-dev_1.0.3-1_armhf.deb Size: 8644 SHA256: 4129c711448e184a1bbc4df946ee356056f96efb16505cc426ccf33a5d228091 SHA1: 2c42587c585c0e6a3bb289d52e27d4bdfc81969d MD5sum: 1c747325ed855b6a80e9b8de6a2f9da4 Description: ANSI C implementation of dynamic arrays CVector is an ANSI C library which implements dynamic arrays approximating the functionality of the C++ vector class. . This package contains files needed for compiling programs using CVector. Package: libcvm1 Source: cvm Version: 0.96-1 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 171 Depends: libbg1, libc6 (>= 2.13-28) Suggests: libcvm1-dev Priority: optional Section: libs Filename: pool/main/c/cvm/libcvm1_0.96-1_armhf.deb Size: 81450 SHA256: 396aea20cc0a111f147de26ee1e084d3050bf6f04306d06cb6019d15cb573d35 SHA1: ed7e0af60ebbd3948701826b429c69872b8ebfc8 MD5sum: 9a1eb5956bd38dc7616db5ace4a330dd Description: Credential Validation Modules (shared libraries) CVM is a framework for validating a set of credentials against a database using a filter program. The modules act as a filter, taking a set of credentials as input and writing a set of facts as output if those credentials are valid. Optional input is given to the module through environment variables. . Some of the ideas for CVM came from experience with PAM (pluggable authentication modules), the checkpassword interface used by qmail-pop3d, and the "authmod" interface used by Courier IMAP and POP3. This framework places fewer restrictions on the invoking client than checkpassword does, and is much simpler to implement on both sides than PAM and the authmod framework. . See http://untroubled.org/cvm/cvm.html for more information. Package: libcvm1-dev Source: cvm Version: 0.96-1 Architecture: armhf Maintainer: Gerrit Pape Installed-Size: 306 Depends: libcvm1 (= 0.96-1) Recommends: cvm Conflicts: cvm-dev, libcvm-dev Provides: cvm-dev, libcvm-dev Priority: optional Section: libdevel Filename: pool/main/c/cvm/libcvm1-dev_0.96-1_armhf.deb Size: 113446 SHA256: e6373cbc39f848ddf865afc89339c97b08fa658575c54974f96a421b23c2ac32 SHA1: 504f5d06561de2242d4768b5657afddf7062c198 MD5sum: 45731383fee459529a2cc73377a2790d Description: Credential Validation Modules (development files, documentation) CVM is a framework for validating a set of credentials against a database using a filter program. The modules act as a filter, taking a set of credentials as input and writing a set of facts as output if those credentials are valid. Optional input is given to the module through environment variables. . Some of the ideas for CVM came from experience with PAM (pluggable authentication modules), the checkpassword interface used by qmail-pop3d, and the "authmod" interface used by Courier IMAP and POP3. This framework places fewer restrictions on the invoking client than checkpassword does, and is much simpler to implement on both sides than PAM and the authmod framework. . See http://untroubled.org/cvm/cvm.html for more information. Package: libcvs-perl Version: 0.07-4 Installed-Size: 145 Maintainer: Debian Perl Group Architecture: all Depends: perl, cvs, libclass-accessor-perl, libio-pty-perl, libipc-run-perl Size: 24782 SHA256: 32dd78be8e95902b629adc6b199b76423547c60201a70027de3956a38cfd4ccc SHA1: 9d533718cee40584cc5bf68083c5b02a4b5177bf MD5sum: e7f10fd261d16e172e47229d7b69894f Description: object oriented Perl interface to the CVS command The Cvs module is a wrapper around the cvs command with an object oriented interface. Homepage: http://search.cpan.org/dist/Cvs/ Tag: devel::lang:perl, devel::rcs, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libc/libcvs-perl/libcvs-perl_0.07-4_all.deb Package: libcw3 Source: unixcw Version: 3.0.2-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 101 Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28) Recommends: cw | cwcp | xcwcp Conflicts: unixcw Replaces: unixcw Homepage: http://unixcw.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/u/unixcw/libcw3_3.0.2-1_armhf.deb Size: 38940 SHA256: 2fbd2c19940d72cb4e157eb8cf93b5c91e8cfdce3f86d3784f5eaac9cb90b874 SHA1: 3342bfe8098c49fb3dd4fd93db2fa78c936fff90 MD5sum: 1bb4dde22c0c06d72e8f8e8928883df9 Description: Morse code tutor - shared library The unixcw project provides support for learning to use Morse code. . This package provides shared library files to handle Morse code signals and to generate sound on the console buzzer or a sound card through OSS or ALSA. Package: libcw3-dev Source: unixcw Version: 3.0.2-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 141 Depends: libcw3 (= 3.0.2-1), libasound2-dev Conflicts: unixcw-dev Replaces: unixcw-dev Homepage: http://unixcw.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/u/unixcw/libcw3-dev_3.0.2-1_armhf.deb Size: 55062 SHA256: 8b4368513e2d43333050eb92baaadfed049222b43c07ddf1fd34f843e4252570 SHA1: 7ffd3c6cfef2addc646919b6246616d36fcf7856 MD5sum: 5275f2aab071e6e9f1e218a3101f5133 Description: Morse code tutor - development files The unixcw project provides support for learning to use Morse code. . This package provides the static library, headers, and manual page for development of programs that handle (i.e. send and receive) Morse code. Package: libcwidget-dev Source: cwidget Version: 0.5.16-3.4 Architecture: armhf Maintainer: Daniel Burrows Installed-Size: 2258 Depends: libcwidget3 (= 0.5.16-3.4), libsigc++-2.0-dev, libncursesw5-dev, pkg-config Suggests: libcwidget-doc Homepage: http://cwidget.alioth.debian.org Priority: extra Section: libdevel Filename: pool/main/c/cwidget/libcwidget-dev_0.5.16-3.4_armhf.deb Size: 633944 SHA256: 9d9a18e6a7b0b44955bd8c560aae84204438628d6d22d269c6fde92acbdb926c SHA1: b103095d093a10c63fd655a97170e7f42a16dd83 MD5sum: 307c67e73a41a88d525f26df511dddc7 Description: high-level terminal interface library for C++ (development files) libcwidget is a modern user interface library modeled on GTK+ and Qt, but using curses as its display layer and with widgets that are tailored to a terminal environment. . This package contains files that are needed to write or compile software that uses libcwidget. . WARNING: this is a newly created library whose development is closely tied to the development of aptitude. Its API may be incomplete and is likely to change considerably version-over-version! You may want to avoid cwidget for stable projects. Package: libcwidget-doc Source: cwidget Version: 0.5.16-3.4 Installed-Size: 6445 Maintainer: Daniel Burrows Architecture: all Recommends: iceweasel | www-browser Suggests: libcwidget-dev Size: 902280 SHA256: e8c8cb316f8079a8a0019acbb7e3c5c86a0d1d06fc40923e8cfb748bc165f4ca SHA1: 0440c3e9216335835af88cf08b5f8d1ccb5670eb MD5sum: d987b3424769a73c2c935d8a963f3c9b Description: high-level terminal interface library for C++ (documentation) libcwidget is a modern user interface library modeled on GTK+ and Qt, but using curses as its display layer and with widgets that are tailored to a terminal environment. . This package contains documentation for libcwidget, in HTML format. Homepage: http://cwidget.alioth.debian.org Tag: devel::doc, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/c/cwidget/libcwidget-doc_0.5.16-3.4_all.deb Package: libcwidget3 Source: cwidget Version: 0.5.16-3.4 Architecture: armhf Maintainer: Daniel Burrows Installed-Size: 1262 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncursesw5 (>= 5.6+20070908), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libtinfo5 Suggests: libcwidget-dev Homepage: http://cwidget.alioth.debian.org Priority: important Section: libs Filename: pool/main/c/cwidget/libcwidget3_0.5.16-3.4_armhf.deb Size: 373326 SHA256: 5a43cd66940b3a9519c58447826967ae8c616c0ddeb9a36c0bcdbbeaccb901c0 SHA1: 60b33871dce0d8cac993a26bb1367d4e5a5cf2a2 MD5sum: b3d12b2585e80622d9161b446a074ce0 Description: high-level terminal interface library for C++ (runtime files) libcwidget is a modern user interface library modeled on GTK+ and Qt, but using curses as its display layer and with widgets that are tailored to a terminal environment. . This package contains the files that are required to run programs compiled against libcwidget. Package: libcwidget3-dbg Source: cwidget Version: 0.5.16-3.4 Architecture: armhf Maintainer: Daniel Burrows Installed-Size: 7270 Depends: libcwidget3 (= 0.5.16-3.4) Suggests: libcwidget-dev Homepage: http://cwidget.alioth.debian.org Priority: extra Section: debug Filename: pool/main/c/cwidget/libcwidget3-dbg_0.5.16-3.4_armhf.deb Size: 2434460 SHA256: 76c240d2c12dc5b87f81355a36fb54c42777a8e53a53cd411016ae5df2e95498 SHA1: 95e17ba78abb3d12c03f1d70ee3862b4a14e8406 MD5sum: d4387b9e2875cd48df5815f0882e171a Description: high-level terminal interface library for C++ (debugging files) libcwidget is a modern user interface library modeled on GTK+ and Qt, but using curses as its display layer and with widgets that are tailored to a terminal environment. . This package contains debugging symbols for libcwidget-dbg. It is only needed if you want to generate useful backtraces for programs using cwidget. Package: libcwiid-dev Source: cwiid Version: 0.6.00+svn201-3 Architecture: armhf Maintainer: Romain Beauxis Installed-Size: 104 Depends: libcwiid1 (= 0.6.00+svn201-3), libbluetooth-dev, pkg-config Conflicts: libcwiid0-dev Replaces: libcwiid0-dev, libcwiid1-dev Homepage: http://abstrakraft.org/cwiid/ Priority: extra Section: libdevel Filename: pool/main/c/cwiid/libcwiid-dev_0.6.00+svn201-3_armhf.deb Size: 30636 SHA256: 97d062776cd079f7535631372b7f55705f4c89b934ea272fe1bf72b98cc96c17 SHA1: d9e8bc1b8824d7b9498b81f43f207263cdfc73f3 MD5sum: ea69d44768681ad98dce0cb147bfcb86 Description: library to interface with the wiimote -- developpement CWiid is a working userspace driver along with various applications implementing event drivers, multiple wiimote connectivity, gesture recognition, and other Wiimote-based functionality. . This package provides the developpement files needed for building against Cwiid. Package: libcwiid1 Source: cwiid Version: 0.6.00+svn201-3 Architecture: armhf Maintainer: Romain Beauxis Installed-Size: 78 Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28) Homepage: http://abstrakraft.org/cwiid/ Priority: extra Section: libs Filename: pool/main/c/cwiid/libcwiid1_0.6.00+svn201-3_armhf.deb Size: 27224 SHA256: 03d4da385b575c0c9d9a88ebab98a1c2d50a9e2ff914a242545bbd47bd5d0961 SHA1: ae24538cd64a2840c69514d177961b74af222812 MD5sum: b069b061b811322f45632df5af6140a7 Description: library to interface with the wiimote -- runtime files CWiid is a working userspace driver along with various applications implementing event drivers, multiple wiimote connectivity, gesture recognition, and other Wiimote-based functionality. . This package provides the wiimote library that abstracts the interface to the wiimote by hiding the details of the underlying Bluetooth connection. Package: libcwiimote-dbg Source: libcwiimote Version: 0.4+svn83ant-4 Architecture: armhf Maintainer: Leo Costela Installed-Size: 109 Depends: libcwiimote3 (= 0.4+svn83ant-4) Homepage: http://libwiimote.sourceforge.net Priority: extra Section: debug Filename: pool/main/libc/libcwiimote/libcwiimote-dbg_0.4+svn83ant-4_armhf.deb Size: 28136 SHA256: a1be872f34d5f12a49a3d0c222be26237cf80fad2b1c620a34cac809f99b5db7 SHA1: a4c1bc2d380aed71a3424df6a1bc018edbd385ab MD5sum: f1a1bf4624b53606394b15c1276551a5 Description: a simple wiimote library (debug symbols) libwiimote is a C-library that provides a simple API for communicating with the Nintendo Wii Remote (aka. wiimote). . This package includes the debug symbols needed to debug programs that make use of libwiimote. Package: libcwiimote-dev Source: libcwiimote Version: 0.4+svn83ant-4 Architecture: armhf Maintainer: Leo Costela Installed-Size: 102 Depends: libcwiimote3 (= 0.4+svn83ant-4), libbluetooth-dev Homepage: http://libwiimote.sourceforge.net Priority: extra Section: libdevel Filename: pool/main/libc/libcwiimote/libcwiimote-dev_0.4+svn83ant-4_armhf.deb Size: 19630 SHA256: 32145f640de40baf913680bc39afcd2a62c4fbda3ca9a9601e8eda9ad6b667a1 SHA1: 6ff7a91a6b7e48c4aa7ea7b67a1f7610a0354f69 MD5sum: fba117848fbe0217680c3c003b254ecf Description: a simple wiimote library (development files) libwiimote is a C-library that provides a simple API for communicating with the Nintendo Wii Remote (aka. wiimote). . This package includes the development files needed to build programs that make use of libwiimote. Package: libcwiimote3 Source: libcwiimote Version: 0.4+svn83ant-4 Architecture: armhf Maintainer: Leo Costela Installed-Size: 56 Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28) Homepage: http://libwiimote.sourceforge.net Priority: extra Section: libs Filename: pool/main/libc/libcwiimote/libcwiimote3_0.4+svn83ant-4_armhf.deb Size: 14942 SHA256: 07153abed36fec201ce539ff887c0f260a71f8c05de60b3ce37d328c12415b7f SHA1: 77b2d460e7b87409628d21b348d0b5ff22cbc225 MD5sum: c481d45f9d55076d7b7969ed0a671207 Description: a simple wiimote library libwiimote is a C-library that provides a simple API for communicating with the Nintendo Wii Remote (aka. wiimote). Package: libcwnn-dev Source: freewnn Version: 1.1.1~a021+cvs20100325-6 Architecture: armhf Maintainer: Keita Maehara Installed-Size: 286 Conflicts: cwnn-dev, freewnn-cserver-dev Replaces: cwnn-dev, freewnn-cserver-dev Homepage: http://sourceforge.jp/projects/freewnn/ Priority: optional Section: libdevel Filename: pool/main/f/freewnn/libcwnn-dev_1.1.1~a021+cvs20100325-6_armhf.deb Size: 108958 SHA256: e3dff75e7c854b4ba8f4e1c239896b9fd6843745f59a83d7941986d94acf68d9 SHA1: 69e0fd672c93ff957228250407adde9a5ea41f2f MD5sum: 677bf99ee0aa0eb4fb50e925a724d632 Description: Header files and static library for cWnn (FreeWnn cserver) FreeWnn cserver (cWnn) is an integrated Chinese input system running on Unix workstation. It supports a wide range of input methods, satisfying the needs of the Chinese users from all over the world, including P.R.China and Taiwan. FreeWnn cserver is capable of carrying out Hanzi conversion from an arbitrary Pinyin or Zhuyin sequence, hence improving the speed of Pinyin/Zhuyin input. . This package contains the header files and the static library for cWnn. Install this package if you wish to develop your own cWnn client programs. Package: libcwnn0 Source: freewnn Version: 1.1.1~a021+cvs20100325-6 Architecture: armhf Maintainer: Keita Maehara Installed-Size: 189 Depends: libc6 (>= 2.4) Homepage: http://sourceforge.jp/projects/freewnn/ Priority: optional Section: libs Filename: pool/main/f/freewnn/libcwnn0_1.1.1~a021+cvs20100325-6_armhf.deb Size: 89376 SHA256: e6f27c9302c245f20b71a46c411d595155561dead0355d5e3906fe23eb18ed5d SHA1: 0f2380dc021f914c39acb7f598775db578fb9cfa MD5sum: 7f9c720e484126e4abff13a769096504 Description: FreeWnn library for cWnn (FreeWnn cserver) FreeWnn cserver (cWnn) is an integrated Chinese input system running on Unix workstation. It supports a wide range of input methods, satisfying the needs of the Chinese users from all over the world, including P.R.China and Taiwan. FreeWnn cserver is capable of carrying out Hanzi conversion from an arbitrary Pinyin or Zhuyin sequence, hence improving the speed of Pinyin/Zhuyin input. . This package contains the dynamic libraries for cWnn. Package: libcxgb3-1 Source: libcxgb3 Version: 1.3.1-1 Architecture: armhf Maintainer: Roland Dreier Installed-Size: 66 Depends: libc6 (>= 2.13-28), libibverbs1 (>= 1.1.3) Homepage: http://www.openfabrics.org/ Priority: extra Section: libs Filename: pool/main/libc/libcxgb3/libcxgb3-1_1.3.1-1_armhf.deb Size: 20010 SHA256: 8d940ed67262de145d8d4c7da4cbbd1e1fe585cb733e6be776487bd00280746d SHA1: f03d0cb9ff413729614837e5a8190dbe78d2f864 MD5sum: 7f07806fa16393784b0d575d12f1ae1b Description: Userspace driver for Chelsio T3-based iWARP adapters libcxgb3 is a device-specific driver for Chelsio T3-based iWARP (RDMA over IP/ethernet) adapters for the libibverbs library. This allows userspace processes to access Chelsio iWARP hardware directly with low latency and low overhead. . This package contains the loadable plug-in. Package: libcxgb3-1-dbg Source: libcxgb3 Version: 1.3.1-1 Architecture: armhf Maintainer: Roland Dreier Installed-Size: 151 Depends: libcxgb3-1 (= 1.3.1-1) Homepage: http://www.openfabrics.org/ Priority: extra Section: debug Filename: pool/main/libc/libcxgb3/libcxgb3-1-dbg_1.3.1-1_armhf.deb Size: 55770 SHA256: abe1b0700a521c66995ea61aec5a4a9d213872ad665244f30d94c455ebd0f1a9 SHA1: d954139f257538dde759a305fe97a95b7bf017d0 MD5sum: e628fd9adbaa5b20418ceedb1b87060c Description: Debugging symbols for the libcxgb3 driver libcxgb3 is a device-specific driver for Chelsio T3-based iWARP (RDMA over IP/ethernet) adapters for the libibverbs library. This allows userspace processes to access Chelsio iWARP hardware directly with low latency and low overhead. . This package contains the debugging symbols associated with libcxgb3-1. They will automatically be used by gdb for debugging libcxgb3-related issues. Package: libcxgb3-dev Source: libcxgb3 Version: 1.3.1-1 Architecture: armhf Maintainer: Roland Dreier Installed-Size: 59 Depends: libcxgb3-1 (= 1.3.1-1) Homepage: http://www.openfabrics.org/ Priority: extra Section: libdevel Filename: pool/main/libc/libcxgb3/libcxgb3-dev_1.3.1-1_armhf.deb Size: 20432 SHA256: 7361ad52b569ae7f7d2947a4bb4f1a45bd5a052a172ad5b2722e935c10b6ae69 SHA1: 9edb411674963c4fd89a2deb97de911832a08cee MD5sum: 5c5b758beddba4dc1e0818c7ab774307 Description: Development files for the libcxgb3 driver libcxgb3 is a device-specific driver for Chelsio T3-based iWARP (RDMA over IP/ethernet) adapters for the libibverbs library. This allows userspace processes to access Chelsio iWARP hardware directly with low latency and low overhead. . This package contains static versions of libcxgb3 that may be linked directly to an application, which may be useful for debugging. Package: libcxsparse2.2.3 Source: suitesparse Version: 1:3.4.0-3 Architecture: armhf Maintainer: Maintainer: Debian Science Maintainers Installed-Size: 190 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.cise.ufl.edu/research/sparse/SuiteSparse/ Priority: optional Section: libs Filename: pool/main/s/suitesparse/libcxsparse2.2.3_3.4.0-3_armhf.deb Size: 52064 SHA256: e46c27d0da729a6b831a996f616ce70deebbab24318aa18f18c9f30406e8b963 SHA1: 89a6138b7c4a9bfd76a9084c07c48c06c7a62dc3 MD5sum: 920602abc5711b86da17b229948b3902 Description: concise sparse matrix library (complex, int and long int support) Suitesparse is a collection of libraries for computations involving sparse matrices. This package includes the following dynamic library: . CXSparse CSparse extended: complex matrix, int and long int support Package: libcxxtools-dev Source: cxxtools Version: 2.1.1-1 Architecture: armhf Maintainer: Kari Pahula Installed-Size: 14088 Depends: libstdc++6-4.6-dev | libstdc++-dev, libcxxtools8 (= 2.1.1-1) Homepage: http://www.tntnet.org/cxxtools.html Priority: optional Section: libdevel Filename: pool/main/c/cxxtools/libcxxtools-dev_2.1.1-1_armhf.deb Size: 3074184 SHA256: ef33de605dc46748928c394097cc4abce26b6422a73b7146bb747b4736cbb7fa SHA1: 273b3e88df47c8a5a0246db3516f6cf302fb1535 MD5sum: fc4afaf3670932ce9f0f8a37ea734d07 Description: library of unrelated but useful C++ classes cxxtools contains an argument-parser, a base-64 encoder/decoder, a C++ interface to iconv, md5-stream for easy MD5 calculation, threading classes, socket classes, a dynamic exception-safe buffer, a wrapper for dlopen/dlsym, a pool template (e.g., for a connection pool in a multi-threaded application), query_params, and a class for easy parsing of CGI parameters (GET and POST) in a CGI program. . This package has the development headers and the static libraries. Package: libcxxtools8 Source: cxxtools Version: 2.1.1-1 Architecture: armhf Maintainer: Kari Pahula Installed-Size: 2239 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.tntnet.org/cxxtools.html Priority: optional Section: libs Filename: pool/main/c/cxxtools/libcxxtools8_2.1.1-1_armhf.deb Size: 766526 SHA256: 492f7c030013f03359447ecace7250dfddbd2f174bc3bbd1128c0bbcc1e2ef98 SHA1: 9ac8a0a99684a0d50407aee4b5b3081d0b7b1946 MD5sum: d4bf1a47405c1d3b2dfe771e0f1779ef Description: library of unrelated but useful C++ classes cxxtools contains an argument-parser, a base-64 encoder/decoder, a C++ interface to iconv, md5-stream for easy MD5 calculation, threading classes, socket classes, a dynamic exception-safe buffer, a wrapper for dlopen/dlsym, a pool template (e.g., for a connection pool in a multi-threaded application), query_params, and a class for easy parsing of CGI parameters (GET and POST) in a CGI program. Package: libcyrus-imap-perl Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: libcyrus-imap-perl24 Size: 944 SHA256: d6f871cbda4572a069500120981ee61af721a838c5fdd62b0fc5f63dbd022258 SHA1: 79bd7631b7b5d3da839de5655039167d7204c409 MD5sum: a01b2163f127ae04138f6a4dbfcdc82d Description: Interface to Cyrus imap client imclient library (metapackage) This is an empty package that depends on the current "best" version of libcyrus-imap-perl (currently libcyrus-imap-perl24), as determined by the Cyrus IMAPD maintainers. Install this package if in doubt about which Cyrus IMAPD version you want, as this is the one we consider to be in the best shape. . For more information, please see the cyrus-common-2.4 package. Homepage: http://www.cyrusimap.org/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: mail Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/libcyrus-imap-perl_2.4.16-4+deb7u2_all.deb Package: libcyrus-imap-perl22 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Installed-Size: 21 Maintainer: Debian Cyrus Team Architecture: all Depends: cyrus-common, libcyrus-imap-perl24 Size: 978 SHA256: 41ad32ee211fca368cd2a539b0aff17cbca6e754b8af4eb0ddba16095e5c8e07 SHA1: 7c1e2d7c1c402f595cd1eb2d15109c9a8fe538b1 MD5sum: 2d7613a7d1d34b89732f3e1d0559eefa Description: Transitional package for libcyrus-imap-perl24 This is a transitional package to ease upgrades to the libcyrus-imap-perl24 package. It can safely be removed. Homepage: http://www.cyrusimap.org/ Tag: devel::lang:perl, implemented-in::perl, role::dummy, role::shared-lib Section: perl Priority: extra Filename: pool/main/c/cyrus-imapd-2.4/libcyrus-imap-perl22_2.4.16-4+deb7u2_all.deb Package: libcyrus-imap-perl24 Source: cyrus-imapd-2.4 Version: 2.4.16-4+deb7u2 Architecture: armhf Maintainer: Debian Cyrus Team Installed-Size: 423 Depends: perl (>= 5.14.2-21+rpi2+deb7u1), perlapi-5.14.2, libc6 (>= 2.13-28), libdb5.1, libsasl2-2 (>= 2.1.24), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4) Conflicts: libcyrus-imap-perl (<< 2.4.16-4+deb7u2), libcyrus-imap-perl22 (<< 2.4), libcyrus-imap-perl23 (<< 2.4) Replaces: libcyrus-imap-perl (<< 2.4.16-4+deb7u2), libcyrus-imap-perl22 (<< 2.4), libcyrus-imap-perl23 (<< 2.4) Provides: libcyrus-imap-perl, libcyrus-imap-perl22, libcyrus-imap-perl23 Homepage: http://www.cyrusimap.org/ Priority: extra Section: perl Filename: pool/main/c/cyrus-imapd-2.4/libcyrus-imap-perl24_2.4.16-4+deb7u2_armhf.deb Size: 164706 SHA256: f905a6b8e767d20a585b268ba95da8b38c7aca10bca38743b729975159659c32 SHA1: 76c16b8712dc3ee07f05b38c8ff69ae2ca67c238 MD5sum: 2416309cfb7fff4f61fb3499e1253a8d Description: Interface to Cyrus imap client imclient library The Cyrus::IMAP module provides an interface to the Cyrus imclient library. These are primarily useful for implementing cyradm operations within a Perl script; there are easier ways to implement general client operations, although they may be more limited in terms of authentication options when talking to a Cyrus imapd. . The modules that compose Cyrus::SIEVE are also included, but they are undocumented upstream. . For more information, please see the cyrus-common-2.4 package. Package: libdacs-dev Source: dacs Version: 1.4.27b-2 Architecture: armhf Maintainer: Christoph Berg Installed-Size: 2277 Depends: libdacs1 (= 1.4.27b-2) Conflicts: dacs-dev (<< 1.4.22) Replaces: dacs-dev (<< 1.4.22) Provides: dacs-dev Homepage: http://dacs.dss.ca/ Priority: optional Section: libdevel Filename: pool/main/d/dacs/libdacs-dev_1.4.27b-2_armhf.deb Size: 841950 SHA256: a633d6c7ab56d0b00d18bbe5715f182cf983babcbcbde0e922bd061a3e50dabe SHA1: 40ca9ec4de82787e0b3820870eef00ae33f70fbe MD5sum: 5c904e12671e8c228cfdb128cdee6eff Description: Distributed Access Control System (DACS) - shared library DACS is a light-weight single sign-on and role-based access control system for web servers and server-based software. It is also an authentication and authorization toolkit for programmers. DACS makes secure resource sharing and remote access via the web easier, safer, and more efficient. . This package contains development files for the DACS shared library. Package: libdacs1 Source: dacs Version: 1.4.27b-2 Architecture: armhf Maintainer: Christoph Berg Installed-Size: 1234 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://dacs.dss.ca/ Priority: optional Section: libs Filename: pool/main/d/dacs/libdacs1_1.4.27b-2_armhf.deb Size: 561416 SHA256: 381f6001d44f7139027a61dfcb8f0df414a2bf7846c49711cbb1309413a3a76f SHA1: 5ff143e255b9d69ee3265643a0596f0e9e8cf94d MD5sum: 075895635e7f6f3fd9076648bce949cf Description: Distributed Access Control System (DACS) - shared library DACS is a light-weight single sign-on and role-based access control system for web servers and server-based software. It is also an authentication and authorization toolkit for programmers. DACS makes secure resource sharing and remote access via the web easier, safer, and more efficient. . This package contains the DACS shared library. Package: libdaemon-control-perl Version: 0.000009-1 Installed-Size: 29 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 15246 SHA256: 6d37621ed25b6e1508107b0ad8c6cd00faca8afacdb08462b481ef7a5df7bcde SHA1: 4c165b03210198a314a996a1c5e7b96ea806296e MD5sum: f1babdaeebaa1d066662357d35660f78 Description: Create init scripts in Perl Daemon::Control provides a library for creating init scripts in Perl. Your Perl script just needs to set the accessors for what and how you want something to run and the library takes care of the rest. . You can launch programs through the shell (/usr/sbin/my_program) or launch Perl code itself into a daemon mode. Single and double fork methods are supported and in double-fork mode all the things you would expect like reopening STDOUT/STDERR, switching UID/GID are supported. Homepage: http://search.cpan.org/dist/Daemon-Control/ Section: perl Priority: optional Filename: pool/main/libd/libdaemon-control-perl/libdaemon-control-perl_0.000009-1_all.deb Package: libdaemon-dev Source: libdaemon Version: 0.14-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 484 Depends: libdaemon0 (= 0.14-2) Homepage: http://0pointer.de/lennart/projects/libdaemon/ Priority: optional Section: libdevel Filename: pool/main/libd/libdaemon/libdaemon-dev_0.14-2_armhf.deb Size: 120012 SHA256: d012a2f4bd4b276b8644c55ba1595051772372bcbb2d8d7e4617acb99eeb12b6 SHA1: 5ad7115b2a9c54df796e50587fbf0f959999c9d3 MD5sum: 0045eaffcb0cb1e09c186b857b9bc325 Description: lightweight C library for daemons - development files libdaemon is a leightweight C library which eases the writing of UNIX daemons. It consists of the following parts: . * Wrapper around fork() for correct daemonization of a process * Wrapper around syslog() for simple log output to syslog or STDERR * An API for writing PID files * An API for serializing signals into a pipe for use with select() or poll() * An API for running subprocesses with STDOUT and STDERR redirected to syslog . Routines like these are included in most of the daemon software available. It is not simple to get these done right and code duplication is not acceptable. . This package includes the header files and the static version of the library and documentation. Package: libdaemon0 Source: libdaemon Version: 0.14-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 58 Depends: libc6 (>= 2.13-28) Homepage: http://0pointer.de/lennart/projects/libdaemon/ Priority: optional Section: libs Filename: pool/main/libd/libdaemon/libdaemon0_0.14-2_armhf.deb Size: 17108 SHA256: 177a6bdcce96e1f907543efa18c0fdec596bd576a219f1aebf56140a50d44951 SHA1: 37918282715fdfee62506c9bd239ee072c4ec887 MD5sum: 2fff0d17f99bf14c95dfd5fea1bcfe52 Description: lightweight C library for daemons - runtime library libdaemon is a leightweight C library which eases the writing of UNIX daemons. It consists of the following parts: . * Wrapper around fork() for correct daemonization of a process * Wrapper around syslog() for simple log output to syslog or STDERR * An API for writing PID files * An API for serializing signals into a pipe for use with select() or poll() * An API for running subprocesses with STDOUT and STDERR redirected to syslog . Routines like these are included in most of the daemon software available. It is not simple to get these done right and code duplication is not acceptable. . This package includes the libdaemon run time shared library. Package: libdaemon0-dbg Source: libdaemon Version: 0.14-2 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 91 Depends: libdaemon0 (= 0.14-2) Homepage: http://0pointer.de/lennart/projects/libdaemon/ Priority: extra Section: debug Filename: pool/main/libd/libdaemon/libdaemon0-dbg_0.14-2_armhf.deb Size: 27346 SHA256: 1aa0cabc31bb05d377dbb6bfb20706aa40897fb68a0c88d47e988f8390813af9 SHA1: a6e1b5235be0becf00be6bf389d03908ec4bd6a1 MD5sum: b6f6359fdd5fe8ce39ad9df457b964c8 Description: lightweight C library for daemons - debugging symbols libdaemon is a leightweight C library which eases the writing of UNIX daemons. It consists of the following parts: . * Wrapper around fork() for correct daemonization of a process * Wrapper around syslog() for simple log output to syslog or STDERR * An API for writing PID files * An API for serializing signals into a pipe for use with select() or poll() * An API for running subprocesses with STDOUT and STDERR redirected to syslog . Routines like these are included in most of the daemon software available. It is not simple to get these done right and code duplication is not acceptable. . This package contains the debugging symbols for libdaemon. Package: libdaemons-ruby Source: ruby-daemons Version: 1.1.5-2 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-daemons Size: 2932 SHA256: a25e028895ffadf5c591c92e1961ea80d1d7647e58c8a5ffe25a45b101340a16 SHA1: 72e3446cb8e583eb2fc704830fb4f7dc4e5b2a0e MD5sum: 4e7a02504fe1f2d9cabdcf5fe4ba79be Description: Transitional package for ruby-daemons This is a transitional package to ease upgrades to the ruby-daemons package. It can safely be removed. Homepage: http://daemons.rubyforge.org Tag: role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-daemons/libdaemons-ruby_1.1.5-2_all.deb Package: libdaemons-ruby1.8 Source: ruby-daemons Version: 1.1.5-2 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-daemons Size: 2938 SHA256: 11affe7c9b3f4b707d65f970a9c87d0ed764b950d9dc170de8efd98164989214 SHA1: c392858bf7748379653e08a4ce40488667217e3d MD5sum: 7002c5df2c7850987a34415d0a2edddb Description: Transitional package for ruby-daemons This is a transitional package to ease upgrades to the ruby-daemons package. It can safely be removed. Homepage: http://daemons.rubyforge.org Tag: devel::lang:ruby, implemented-in::ruby, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-daemons/libdaemons-ruby1.8_1.1.5-2_all.deb Package: libdancer-logger-psgi-perl Version: 0.04-2 Installed-Size: 54 Maintainer: Debian Perl Group Architecture: all Depends: libdancer-perl, libplack-perl, perl Size: 8940 SHA256: e3789f7f142e585c61762a5861c830ab047f8b955c28fefdc841c7978c8a1a21 SHA1: dd8d6fe1fe23b83604978e89361d71930c7e4998 MD5sum: faca118255178845b2fc68af0f17116d Description: PSGI Log handler for Dancer Dancer is a Perl web application framework. . Dancer::Logger:PSGI is an interface between your Dancer application and psgix.logger. Message will be logged in whatever logger you decided to use in your Plack handler. If no logger is defined, nothing will be logged. Homepage: http://search.cpan.org/dist/Dancer-Logger-PSGI/ Section: perl Priority: optional Filename: pool/main/libd/libdancer-logger-psgi-perl/libdancer-logger-psgi-perl_0.04-2_all.deb Package: libdancer-perl Version: 1.3095+dfsg-1 Installed-Size: 919 Maintainer: Debian Perl Group Architecture: all Depends: perl, libhttp-body-perl (>= 1.07), libhttp-server-simple-psgi-perl, libmime-types-perl, libtry-tiny-perl (>= 0.09), liburi-perl (>= 1.59) Recommends: libjs-jquery Suggests: libclone-perl, libjson-perl, libplack-perl, libtemplate-perl, perl (>= 5.11.1) | libtest-simple-perl (>= 0.94), libxml-parser-perl | libxml-sax-perl, libxml-simple-perl Size: 419634 SHA256: 997366c599cc4479738884d6a737d41db47bbb5cd9f171f1651d0d57d890f906 SHA1: ee24711c0f04f93be865c5cced8f0d89bf8fd11e MD5sum: 5b4f2e311e9ecda76cd379bb10762f23 Description: effortless web application framework Dancer is a Perl web application framework that aims to provide the simplest way for writing web applications, and offers the flexibility to scale between a very simple lightweight web service consisting of a few lines of code in a single file, all the way up to a more complex fully-fledged web application with session support, templates for views and layouts, etc. . If you don't want to write CGI scripts by hand, and find other frameworks such as Catalyst (see libcatalyst-perl) too complex or cumbersome for your project, Dancer is what you need. Homepage: http://search.cpan.org/dist/Dancer/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdancer-perl/libdancer-perl_1.3095+dfsg-1_all.deb Package: libdancer-plugin-database-perl Version: 1.82-1 Installed-Size: 57 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdancer-perl, libdbi-perl Size: 32898 SHA256: 0cf4c521bd365cdf3a537b9584268fabc72f814889f481e08d2c885d995ea9c5 SHA1: e03adf85c0125e09b40c8c6c98477a9ed66417fc MD5sum: 804672ab14b051a29138763ee2dbf519 Description: plugin providing easy database connections Dancer::Plugin::Database is a Dancer plugin that provides an easy way to obtain a connected DBI database handle by simply calling the "database" keyword within your Dancer application. It also ensures that the database handle is still connected and valid. . Additionally, connection credentials and other information are retrieved from the Dancer configuration, leaving your code nice and clean. Homepage: http://search.cpan.org/dist/Dancer-Plugin-Database/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdancer-plugin-database-perl/libdancer-plugin-database-perl_1.82-1_all.deb Package: libdancer-plugin-dbic-perl Version: 0.1506-1 Installed-Size: 62 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdbix-class-perl, libdbix-class-schema-loader-perl (>= 0.07002), libdancer-perl Size: 15644 SHA256: c0b28f07d752ab9a57dfbef735093933091b82d35b0f9ceddbe1e41bf21fff82 SHA1: b24a657000c2fbe4c0eabe5c263c487f2c8decdb MD5sum: 533a61f9afbdf58a29f326619d400bb6 Description: DBIx::Class interface for Dancer applications Dancer is a Perl web application framework. . Dancer::Plugin::DBIX provides an easy way to obtain DBIx::Class::ResultSet instances via the function schema(), which it automatically imports. You just need to point to a dsn in your Dancer configuration file. So you no longer have to write boilerplate DBIC setup code. Homepage: http://search.cpan.org/dist/Dancer-Plugin-DBIC/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdancer-plugin-dbic-perl/libdancer-plugin-dbic-perl_0.1506-1_all.deb Package: libdancer-plugin-flashmessage-perl Version: 0.314-1 Installed-Size: 56 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdancer-perl (>= 1.3001) Size: 9042 SHA256: dab6cd34a3f48d581c9a60f67b5ed6c457c7ec09687c161901ec2b17972e316a SHA1: 10de0573a48d91667febcae4a111530584ddc90d MD5sum: e0e40ef033598d5cb907e862b9c76085 Description: Dancer plugin to display temporary, so called "flash messages" Dancer::Plugin::FlashMessage helps you display temporary messages, also known as "flash messages". Flash messages can be used to inform the user that a certain operation was successful in way that doesn't take space when there are no messages to be shown. . The plugin provides a flash() method for setting the message and takes care of attaching the content to the session, propagating it to the templating system, and then removing it from the session. . The developer's only remaining job is to provide a place in the views or the layout where the message will be displayed. . Dancer is a lightweight yet powerful web application framework. Homepage: http://search.cpan.org/dist/Dancer-Plugin-FlashMessage/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdancer-plugin-flashmessage-perl/libdancer-plugin-flashmessage-perl_0.314-1_all.deb Package: libdancer-plugin-rest-perl Version: 0.07-1 Installed-Size: 59 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdancer-perl Suggests: libyaml-perl, libjson-perl, libxml-simple-perl Size: 11472 SHA256: ba684c3c85e39f0fab48d89fd2be6d657629a330969c87a5b554359e24978ab7 SHA1: 0c427cdd2072d79e7308be1c222b9e77f8256ace MD5sum: fdaf0f1a8fd87cb1cfa13d7e284963d4 Description: REST plugin for Dancer Dancer is a Perl web application framework. . Dancer::Plugin::REST is a Dancer plugin to transform your Dancer app into a RESTful webservice. Homepage: http://search.cpan.org/dist/Dancer-Plugin-rest/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdancer-plugin-rest-perl/libdancer-plugin-rest-perl_0.07-1_all.deb Package: libdancer-session-cookie-perl Version: 0.15-1 Installed-Size: 54 Maintainer: Alexandre Mestiashvili Architecture: all Depends: perl, libcrypt-cbc-perl, libcrypt-rijndael-perl, libdancer-perl, libstring-crc32-perl Size: 8224 SHA256: 769dfc4bbe9be1cbaa75723d7fb927beed21947c313f4a84efdc49a1204333a6 SHA1: c4f9b246fb1215fe9820eb9be5c304be45be6621 MD5sum: c08ee10d0c6150e60c5cc5fd93f5fc0a Description: encrypted cookie-based session backend for Dancer Dancer::Session::Cookie implements a session engine for sessions stored entirely in cookies. Usually only session id is stored in cookies and the session data itself is saved in some external storage, e.g. database. This module allows one to avoid using external storage at all. . Since server cannot trust any data returned by client in cookies, this module uses cryptography to ensure integrity and also secrecy. The data your application stores in sessions is completely protected from both tampering and analysis on the client-side. Homepage: http://search.cpan.org/dist/Dancer-Session-Cookie/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdancer-session-cookie-perl/libdancer-session-cookie-perl_0.15-1_all.deb Package: libdancer-session-memcached-perl Version: 0.2020-1 Installed-Size: 51 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcache-memcached-perl, libdancer-perl (>= 1.130) Size: 6548 SHA256: 71a0415f33ac7cfb3b1a4225bbbe8a3f2aaae5237cab78e39354ac51ed52579f SHA1: 24fcea5c62d8fa7ab7000bcd76226816548db2be MD5sum: a98dbc7dc7caea560c39e83a3d5f271a Description: Memcached-based session backend for Dancer Dancer::Session::Memcached implements a session engine based on the Memcached API. Sessions are stored as memcached objects via a list of Memcached servers. . Dancer is a lightweight yet powerful web application framework. Homepage: http://search.cpan.org/dist/Dancer-Session-Memcached/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdancer-session-memcached-perl/libdancer-session-memcached-perl_0.2020-1_all.deb Package: libdancer-xml0 Source: dancer-xml Version: 0.8.2.1-3 Architecture: armhf Maintainer: Junichi Uekawa Installed-Size: 38 Depends: libc6 (>= 2.4) Priority: optional Section: libs Filename: pool/main/d/dancer-xml/libdancer-xml0_0.8.2.1-3_armhf.deb Size: 6498 SHA256: 5f57823f316f068ffd9ae19dda3bdfd556dde5c39c4fd3c4fe4eae3623a82275 SHA1: ad96fbd5ae4567b97646f7021dd6213b8b244e7c MD5sum: b0cea1bdd03fc073b7174d77a611e142 Description: simplistic and non-comformant xml parser library A minimal parser for XML file format. This library does not try to conform to standards, but tries to be a standalone minimal implementation of XML parser. . Runtime library for dancer-xml file parser. Package: libdancer-xml0-dev Source: dancer-xml Version: 0.8.2.1-3 Architecture: armhf Maintainer: Junichi Uekawa Installed-Size: 328 Depends: libdancer-xml0 (= 0.8.2.1-3) Conflicts: libdancer-xml-dev Provides: libdancer-xml-dev Priority: optional Section: devel Filename: pool/main/d/dancer-xml/libdancer-xml0-dev_0.8.2.1-3_armhf.deb Size: 47526 SHA256: df9977575f28b3baaa073662f490c07a9560ad961df033a7aa631af976969e3f SHA1: c8dadfbbc8f2844c4ecc7206596318487e14de89 MD5sum: ebe19d33c07bb06ebc24561030b7ab7d Description: simplistic and non-comformant xml parser library A minimal parser for XML file format. This library does not try to conform to standards, but tries to be a standalone minimal implementation of XML parser. . Includes the necessary files for compiling programs with libdancer-xml library, and some documentation Package: libdanga-socket-perl Version: 1.61-1 Installed-Size: 116 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libsys-syscall-perl Size: 26866 SHA256: df0f2deaba4859efe57dd8cd52400cfc00a4b19ed3e615f6e2a619d84d3eebae SHA1: ed45bf9519a7e2f0bca0262fcd6056b2a0de876d MD5sum: 5fbe2b0b185445d1e7126cce3c891720 Description: event loop and event-driven async socket base class Danga::Socket is an abstract base class for objects backed by a socket which provides the basic framework for event-driven asynchronous IO, designed to be fast. Danga::Socket is both a base class for objects, and an event loop. . Callers subclass Danga::Socket. Danga::Socket's constructor registers itself with the Danga::Socket event loop, and invokes callbacks on the object for readability, writability, errors, and other conditions. . Because Danga::Socket uses the "fields" module, your subclasses must too. Homepage: http://search.cpan.org/dist/Danga-Socket/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdanga-socket-perl/libdanga-socket-perl_1.61-1_all.deb Package: libdansguardian-perl Version: 0.6-2 Installed-Size: 64 Maintainer: Alejandro Garrido Mota Architecture: all Depends: perl Size: 9044 SHA256: 1ad0751ce3596465d8271c51813304c1a78a3d6add970f2062cca3201a76bc05 SHA1: 756601a3975b504c183022fe683c6a94a22ac7fc MD5sum: 8065caf62a5f7c5aacb8f112901c3a76 Description: Simple module for administer dansguardian's control files Dansguardian Perl module is small module for administer dansguardian's content control files. It let you add, remove and get information from files control. Homepage: http://search.cpan.org/dist/dansguardian/ Tag: admin::configuring, devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, use::proxying Section: perl Priority: optional Filename: pool/main/libd/libdansguardian-perl/libdansguardian-perl_0.6-2_all.deb Package: libdap-bin Source: libdap Version: 3.11.1-11 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 137 Depends: libdapclient3 (= 3.11.1-11), libc6 (>= 2.13-28), libdap11, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Multi-Arch: foreign Homepage: http://www.opendap.org/ Priority: optional Section: utils Filename: pool/main/libd/libdap/libdap-bin_3.11.1-11_armhf.deb Size: 90190 SHA256: 0a82b21948b407b3406438dfab12fbf5443989694aa654d9e2123c56b5e23c6b SHA1: e0d63b0a1169418b7f8dc17a4bef5a7bb91a32f8 MD5sum: 05e3cf7ba2800e418f6916ef4fffda5e Description: Binaries for the libdap Data Access Protocol library OPeNDAP provides software that allows you to access data over the internet, from programs that weren't originally designed for that purpose, as well as some that were. While OPeNDAP is the original developer of the Data Access protocol which its software uses, many other groups have adopted DAP and provide compatible clients, servers and software development kits. . This package contains the 'getdap' client binary. Package: libdap-dev Source: libdap Version: 3.11.1-11 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 2331 Depends: libdap11 (= 3.11.1-11), libdapserver7 (= 3.11.1-11), libdapclient3 (= 3.11.1-11), libxml2-dev, libcurl4-gnutls-dev, uuid-dev, pkg-config Conflicts: libdnet-dev Multi-Arch: same Homepage: http://www.opendap.org/ Priority: optional Section: libdevel Filename: pool/main/libd/libdap/libdap-dev_3.11.1-11_armhf.deb Size: 723350 SHA256: b82a72781ab7d3184f811a891121cf890611f6624fd23dce2b1a0f0289be438e SHA1: 743b27d9db0888cb5bf0ed518bfe0a091318b035 MD5sum: f62ab02ab90dec0986b62f6030d3a15b Description: Development files (headers and static libraries) for libdap OPeNDAP provides software that allows you to access data over the internet, from programs that weren't originally designed for that purpose, as well as some that were. While OPeNDAP is the original developer of the Data Access protocol which its software uses, many other groups have adopted DAP and provide compatible clients, servers and software development kits. . This package contains header files, pkgconfig files and static libraries for DAP. Package: libdap-doc Source: libdap Version: 3.11.1-11 Installed-Size: 75809 Maintainer: Alastair McKinstry Architecture: all Size: 62547122 SHA256: 4e7839eb37406843ada874d2a76c24f7c767c8e8c0ee610379dfbf376e1f2ad2 SHA1: c04779859c9789080c56fb6f58f8fac4f560d402 MD5sum: 7e334098b3ab464ead0fc941e9456e70 Description: Documentation for the libdap Data Access Protocol library OPeNDAP provides software that allows you to access data over the internet, from programs that weren't originally designed for that purpose, as well as some that were. While OPeNDAP is the original developer of the Data Access protocol which its software uses, many other groups have adopted DAP and provide compatible clients, servers and software development kits. Homepage: http://www.opendap.org/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libd/libdap/libdap-doc_3.11.1-11_all.deb Package: libdap11 Source: libdap Version: 3.11.1-11 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 900 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4) Conflicts: libdap10 Breaks: libdap10 Replaces: libdap10 Multi-Arch: same Homepage: http://www.opendap.org/ Priority: optional Section: libs Filename: pool/main/libd/libdap/libdap11_3.11.1-11_armhf.deb Size: 399876 SHA256: 091b8902bf0dd0a39c4fb1057962d7bfeeeaeda691268b32fa38d9f08abaccd3 SHA1: cd67d97e4d81f532c61a0cc4026555d5f78f9a9f MD5sum: c86583f7ffc91d305372988acee4c007 Description: Open-source Project for a Network Data Access Protocol library OPeNDAP provides software that allows you to access data over the internet, from programs that weren't originally designed for that purpose, as well as some that were. While OPeNDAP is the original developer of the Data Access protocol which its software uses, many other groups have adopted DAP and provide compatible clients, servers and software development kits. . The DAP is a NASA community standard; here is the offical link to the specification. . With OPeNDAP software, you access data using a URL, just like a URL you would use to access a web page. However, before you request any data, you need to know how to request it in a form your browser can handle. OPeNDAP data is stored in binary form, and by default, it is transmitted that way, too. Package: libdapclient3 Source: libdap Version: 3.11.1-11 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 291 Pre-Depends: multiarch-support Depends: libdap11 (= 3.11.1-11), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Breaks: libdap10 Replaces: libdap10 Multi-Arch: same Homepage: http://www.opendap.org/ Priority: optional Section: libs Filename: pool/main/libd/libdap/libdapclient3_3.11.1-11_armhf.deb Size: 156310 SHA256: a5fa65e47c5c0a37d7b26231a44fbaa2390762f240a0fd15b5e10fd97ca606a5 SHA1: e7c01a37691a6aae9c980e6a723992e6c55e70ff MD5sum: 2a5e1fd981bd12f93d89009134032806 Description: Client library for the Network Data Access Protocol OPeNDAP provides software that allows you to access data over the internet, from programs that weren't originally designed for that purpose, as well as some that were. While OPeNDAP is the original developer of the Data Access protocol which its software uses, many other groups have adopted DAP and provide compatible clients, servers and software development kits. . This package provides the client library libdapclient3. Package: libdapserver7 Source: libdap Version: 3.11.1-11 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 165 Pre-Depends: multiarch-support Depends: libdap11 (= 3.11.1-11), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libuuid1 (>= 2.16) Breaks: libdap10 Replaces: libdap10 Multi-Arch: same Homepage: http://www.opendap.org/ Priority: optional Section: libs Filename: pool/main/libd/libdap/libdapserver7_3.11.1-11_armhf.deb Size: 98522 SHA256: 39683e16d692a2d2038494afc79d99660354f94f0ef903ed739b16679f19be4f SHA1: d39e1d9956cb41c4a23a39b12a19428aeb93ac2b MD5sum: b1995e7f6c8b37fe5a70c3a8e74ab480 Description: Server library for the Network Data Access Protocol OPeNDAP provides software that allows you to access data over the internet, from programs that weren't originally designed for that purpose, as well as some that were. While OPeNDAP is the original developer of the Data Access protocol which its software uses, many other groups have adopted DAP and provide compatible clients, servers and software development kits. . This package provides the server library libdapclient3. Package: libdaq-dev Source: daq Version: 0.6.2-2 Architecture: armhf Maintainer: Javier Fernandez-Sanguino Pen~a Installed-Size: 330 Depends: libdaq0 (= 0.6.2-2) Homepage: http://www.snort.org/snort-downloads Priority: extra Section: libdevel Filename: pool/main/d/daq/libdaq-dev_0.6.2-2_armhf.deb Size: 121588 SHA256: 938475f46fa2993c9a465690edf2eb77f05d51dc03557de365e7887b891737f2 SHA1: a44a96f9b39e072bf9266c3412d22eca56d869f6 MD5sum: 1e55651e0214935c7647eb5ce97d97b8 Description: Data Acquisition library for packet I/O - development files DAQ is a library that introduces an abstraction layer to PCAP functions facilitation operation in a variety of hardware and software interfaces. . It was written for Snort but it may be useful to other packet processing applicatons. . This package contains the static library and the C header files. Package: libdaq0 Source: daq Version: 0.6.2-2 Architecture: armhf Maintainer: Javier Fernandez-Sanguino Pen~a Installed-Size: 188 Depends: libc6 (>= 2.13-28) Homepage: http://www.snort.org/snort-downloads Priority: extra Section: libs Filename: pool/main/d/daq/libdaq0_0.6.2-2_armhf.deb Size: 74820 SHA256: cd33a514fa8aa39dce7bf2d79c506a794e79f489a1bea10e17bf592e5e67ca34 SHA1: 551d3ee76b3c29b93493ba317a1942fbae0634db MD5sum: 7e34f5bb58327fe79e38cd16843510fc Description: Data Acquisition library for packet I/O - shared library DAQ is a library that introduces an abstraction layer to PCAP functions facilitation operation in a variety of hardware and software interfaces. . It was written for Snort but it may be useful to other packet processing applicatons. . This package contains the shared library. Package: libdar-dev Source: dar Version: 2.4.5.debian.1-1 Architecture: armhf Maintainer: Brian May Installed-Size: 11463 Depends: libdar64-5 (= 2.4.5.debian.1-1) Homepage: http://dar.linux.free.fr/ Priority: optional Section: libdevel Filename: pool/main/d/dar/libdar-dev_2.4.5.debian.1-1_armhf.deb Size: 2302760 SHA256: 774c4d246c63c609b7f6a947d305ec3ab6dfdb159601f6af442610e99ac0ab24 SHA1: a3d43edb0491168e29e2b97663abdf376eeae996 MD5sum: bc53dda9dfa18b8f18d462ba4ea15dbd Description: Disk ARchive: Development files for shared library Full featured archiver with support for differential backups, slices, compression, ATTR/ACL support. DAR also supports Pipes for remote operations, including with ssh. Package: libdar64-5 Source: dar Version: 2.4.5.debian.1-1 Architecture: armhf Maintainer: Brian May Installed-Size: 2350 Depends: libattr1 (>= 1:2.4.46-7), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgpg-error0 (>= 1.10), liblzo2-2, libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Homepage: http://dar.linux.free.fr/ Priority: optional Section: libs Filename: pool/main/d/dar/libdar64-5_2.4.5.debian.1-1_armhf.deb Size: 755770 SHA256: 5040314bac4c3b4b1cc7badda4932bb88bffcef10e25b5b00d9f400de3ffc797 SHA1: c787e01f3014c95e31a82ceb65527739afdb3e54 MD5sum: 320a45fb35fb7b3cb29fdfc94460caf3 Description: Disk ARchive: Shared library Full featured archiver with support for differential backups, slices, compression, ATTR/ACL support. DAR also supports Pipes for remote operations, including with ssh. . This package contains the shared library for accessing archives. Package: libdata-alias-perl Version: 1.16-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 128 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Data-Alias/ Priority: optional Section: perl Filename: pool/main/libd/libdata-alias-perl/libdata-alias-perl_1.16-1_armhf.deb Size: 35388 SHA256: cb4970cf120e03f70e1e59d050d75b59af62fef5a0d7dfda9de6213e3092799f SHA1: 19bb153361a4c252e8ba8bc8a72ca568f4e23e1d MD5sum: fffeaf90fda75c6ebe16ae6bc789ee7c Description: module to create aliases instead of copies Data::Alias is a Perl module that enables developers to apply "aliasing semantics" to a section of code, causing aliases to be made whereever Perl would normally make copies instead. You can use this to improve efficiency and readability, when compared to using references. Package: libdata-amf-perl Version: 0.09-3 Installed-Size: 202 Maintainer: Debian Perl Group Architecture: all Depends: perl, libany-moose-perl, libdatetime-perl, libuniversal-require-perl, libxml-libxml-perl Size: 105218 SHA256: 7c9b020825ed05854dc18b2ce739a0271262705dfc1a68ef4c340a7a864d6f72 SHA1: f66f7858a8eebf45edc1bd99da266540ea05684b MD5sum: 25be48c17e1c3962c813201250c96a8a Description: Perl module for serialize / deserialize AMF data This module is (de)serializer for Adobe's AMF (Action Message Format). Data::AMF is core module and it recognize only AMF data, not AMF packet. If you want to read/write AMF Packet, see Data::AMF::Packet instead. Homepage: http://search.cpan.org/dist/Data-AMF/ Tag: implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-amf-perl/libdata-amf-perl_0.09-3_all.deb Package: libdata-buffer-perl Version: 0.04-1.1 Installed-Size: 72 Maintainer: Pierre-Matthieu Alamy Architecture: all Depends: perl (>= 5.6.0-16) Size: 11708 SHA256: 170f21b8aeb491f42268f7c9471b89de6d5297d1e09bed87b09f398266be7961 SHA1: b94b20e423c4a0af857910e7561da78175aa7952 MD5sum: 06272f348a2c6d98733754e591a423c0 Description: Read/write buffer class for perl Data::Buffer implements a low-level binary buffer in which you can get and put integers, strings, and other data. Internally the implementation is based on pack and unpack, such that Data::Buffer is really a layer on top of those built-in functions. Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-buffer-perl/libdata-buffer-perl_0.04-1.1_all.deb Package: libdata-clone-perl Version: 0.003-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 85 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.11) Homepage: http://search.cpan.org/dist/Data-Clone/ Priority: optional Section: perl Filename: pool/main/libd/libdata-clone-perl/libdata-clone-perl_0.003-1_armhf.deb Size: 14086 SHA256: 6560edb7f349b9f0dbd526ea3d0769964ab57b41d18e4d3be56e8ee0e625e42c SHA1: ca7b232429d0c0b91cd9e5f0d31c312db8def85e MD5sum: 4f9975a8ead4421ce5040140013165f6 Description: Perl module for polymorphic data cloning Data::Clone does data cloning, i.e. copies things recursively. This is smart so that it works with not only non-blessed references, but also with blessed references (i.e. objects). When clone() finds an object, it calls a clone method of the object if the object has a clone, otherwise it makes a surface copy of the object. That is, this module does polymorphic data cloning. . Although there are several modules on CPAN which can clone data, this module has a different cloning policy from almost all of them. Package: libdata-compare-perl Version: 1.22-0.1 Installed-Size: 56 Maintainer: Miguelangel Jose Freitas Loreto Architecture: all Depends: perl, libfile-find-rule-perl (>= 0.10) Suggests: libscalar-properties-perl Size: 22542 SHA256: 879077e048cdd69fce1689797dae90693191f2f11f23401f02a60546e799b644 SHA1: e461a4c641c7619d82a6f30809bad172967fe172 MD5sum: 8f4882512854730a82abd0f3be30588b Description: perl module to compare perl data structures recursively The Data::Compare module compares two perl data structures recursively, it natively handles several built-in data types - scalars, references to scalars, references to arrays, references to hashes, references to subroutines, compiled regular expressions, and globs. For objects, it tries to Do The Right Thing and compares the underlying data type. . However, this is not always what you want. This is especially true if you have complex objects which overload stringification and/or numification. So you can extend this module with your own plugins for special data structures, by using Data::Compare::Plugins (this is not an extra package, it is included here). Homepage: http://search.cpan.org/dist/Data-Compare/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-compare-perl/libdata-compare-perl_1.22-0.1_all.deb Package: libdata-dump-perl Version: 1.21-1 Installed-Size: 95 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 30502 SHA256: 038f4e4a90a1cf358049aafdbbd499bcc3243e4bf26d2e158c8de6ebf2522360 SHA1: b5f84fe451f0337832bceb7a0bb87995b5b44a74 MD5sum: d81526463b322d6abcb78598c5b82bb5 Description: Perl module to help dump data structures Data::Dump provides a single function called dump that takes a list of values as its argument and produces a string as its result. The string contains Perl code that, when evaled, produces a deep copy of the original arguments. The string is formatted for easy reading. . If called in void context, the dump is printed on standard error instead of being returned. If you don't like importing a function that overrides Perl's not-so-useful builtin, then you can also import the same function as "pp" (the mnemonic for "pretty-print"). Homepage: http://search.cpan.org/dist/Data-Dump/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-dump-perl/libdata-dump-perl_1.21-1_all.deb Package: libdata-dump-streamer-perl Version: 2.34-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 256 Depends: perl (>= 5.14.2-11), perlapi-5.14.2, libc6 (>= 2.13-28), libb-utils-perl Recommends: libpadwalker-perl Homepage: http://search.cpan.org/dist/Data-Dump-Streamer/ Priority: optional Section: perl Filename: pool/main/libd/libdata-dump-streamer-perl/libdata-dump-streamer-perl_2.34-1_armhf.deb Size: 75612 SHA256: 8df7ba4750f50cdde676175fa0e3d748722743ec4d9647dbcbb7c593cf7e31ec SHA1: 8e24067df74abe38feeee2728b9432d2459347df MD5sum: 7cd63515d8bbb09543a9ba2f1abe00ef Description: module for serializing a data structure as Perl code Data::Dump::Streamer is a Perl module that serializes scalars, objects or reference variables and provides their contents in Perl syntax. Special data structures including closures, self-referential structures and objects are output correctly. This module is very similar in concept to the core module Data::Dumper, except this module is designed to output to a stream instead of constructing its output in memory (trading speed for memory). Package: libdata-dumper-concise-perl Version: 2.020-1 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Depends: perl Recommends: libdevel-argnames-perl Size: 16510 SHA256: 731638919a5b5267712f24a97776dd18d84c31d3d3b49002ef8f6182de26a51a SHA1: 943bb99e8bf8404a40201bb663a9a6e7f686bb99 MD5sum: 1120610f52c5683e6e10ca1b5358854c Description: module for more shorter Data::Dumper-like output Data::Dumper::Concise is a Perl module designed to produce useful debugging output, eliding unnecessary information. It exists as a convenient way to reproduce a set of Dumper options useful for most applications. . A similar module, Data::Dump::Streamer (libdata-dump-streamer-perl) provides even shorter output but is overkill for most applications. In comparison, this module is Pure Perl, which means it is less likely to segfault. Homepage: http://search.cpan.org/dist/Data-Dumper-Concise/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-dumper-concise-perl/libdata-dumper-concise-perl_2.020-1_all.deb Package: libdata-dumper-simple-perl Version: 0.11-4 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), perl-modules Size: 13116 SHA256: 0b28511f8956db173c2d25f67ed070da340d9c49b38b1103b0fda8186d822e18 SHA1: 5d8ee8c42c1a4ca48ca545acf3ec52a5e9ed8b62 MD5sum: a58a920b50884276ce57046451ca0890 Description: Easily dump variables together with their names (Data::Dumper-like) This module allows the user to dump variables in a Data::Dumper format. Unlike the default behavior of Data::Dumper, the variables are named (instead of $VAR1, $VAR2, etc.) Data::Dumper provides an extended interface that allows the programmer to name the variables, but this interface requires a lot of typing and is prone to tyops (sic). This module fixes that. Homepage: http://search.cpan.org/dist/Data-Dumper-Simple/ Tag: devel::debugger, devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libd/libdata-dumper-simple-perl/libdata-dumper-simple-perl_0.11-4_all.deb Package: libdata-dumpxml-perl Version: 1.06-1 Architecture: armhf Maintainer: Matthias Urlichs Installed-Size: 65 Depends: perl, libarray-refelem-perl, libxml-parser-perl Priority: optional Section: perl Filename: pool/main/libd/libdata-dumpxml-perl/libdata-dumpxml-perl_1.06-1_armhf.deb Size: 14430 SHA256: 083518fb3773e35aa43b3970b0877481f0f61acbc7207642ed2b6d27f9da62a1 SHA1: 108847eccc1e91a9f4ab4cadf7327aad4c3baad2 MD5sum: 9e69293c70061138baa8cbf44ca64f37 Description: Dump arbitrary perl data structures as XML and restore them This module provides a single function called dump_xml() that takes a list of Perl values as its argument and produces a string as its result. . The string returned is an XML document that represents any Perl data structures passed to the function. Reference loops are handled correctly. Package: libdata-entropy-perl Version: 0.007-1 Installed-Size: 160 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcrypt-rijndael-perl, libdata-float-perl, libparams-classify-perl, libhttp-lite-perl Size: 45872 SHA256: d7a18bc58925a15d42ca843eb838c4c22cea3e0ad2161de6cd956d6d7b29849e SHA1: d3f28c8a0000cc35f590f45cff01784fcef196b2 MD5sum: d916c48d16cea8938420c2d3ca8e5613 Description: Perl module for entropy (randomness) management This module maintains a concept of a current selection of entropy source. Algorithms that require entropy can use the source nominated by this module, avoiding the need for entropy source objects to be explicitly passed around. This is convenient because usually one entropy source will be used for an entire program run and so an explicit entropy source parameter would rarely vary. There is also a default entropy source, avoiding the need to explicitly configure a source at all. . If nothing is done to set a source then it defaults to the use of Rijndael (AES) in counter mode (see Data::Entropy::RawSource::CryptCounter and Crypt::Rijndael), keyed using Perl's built-in rand function. This gives a data stream that looks like concentrated entropy, but really only has at most the entropy of the rand seed. Within a single run it is cryptographically difficult to detect the correlation between parts of the pseudo-entropy stream. If more true entropy is required then it is necessary to configure a different entropy source. Homepage: http://search.cpan.org/dist/Data-Entropy/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-entropy-perl/libdata-entropy-perl_0.007-1_all.deb Package: libdata-faker-perl Version: 0.07-3 Installed-Size: 160 Maintainer: Ivan Kohler Architecture: all Depends: perl Size: 42316 SHA256: 7c848b23429900d47d5a20515b9499dcfa8a9ac1f8772828fd09fdce99729425 SHA1: 565f81b38fab12e33aa6c9150caa2337cd4a2118 MD5sum: fe67119ef33ca77d4f6a348dc42368be Description: Perl extension for generating fake data This module creates fake (but reasonable) data that can be used for things such as filling databases with fake information during development of database related applications. Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-faker-perl/libdata-faker-perl_0.07-3_all.deb Package: libdata-float-perl Version: 0.012-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl, perl (>= 5.10.1) | libparent-perl Size: 28872 SHA256: 029c36a3b68d1326d3f3e44688b2a424d440f59846f397a73e69a3db1a060c8d SHA1: be5cae25a21a7ff4308e5af2056be0a3a1a28a8c MD5sum: ddd19ef649ea4ccbd87cf2c7eaf6cb30 Description: Perl module encapsulating the floating point data type Data::Float is about the native floating point numerical data type. A floating point number is one of the types of datum that can appear in the numeric part of a Perl scalar. This module supplies constants describing the native floating point type, classification functions, and functions to manipulate floating point values at a low level. Homepage: http://search.cpan.org/dist/Data-Float/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-float-perl/libdata-float-perl_0.012-1_all.deb Package: libdata-flow-perl Version: 1.02-1 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 11116 SHA256: e6c8307869374b18e87e51189a10fdc7a774defea58d911e308651cd93d04fde SHA1: e70d503abf79f6b832bfc1b10ddc250e3c98abc5 MD5sum: 4c1f80868e1f811b1b39efb534e5c2bd Description: Perl extension for simple-minded recipe-controlled build of data Data::Flow allows you to define recipes for building data. A recipe contains all of the rules for determining the value of the data involved based on a set of defaults and/or interreactions with other pieces of data in an automated fashion. You can define any or all of: - default values - prerequisites (other fields that must have values before the field in question can be determined) - subroutines to build the field data given all requirements Homepage: http://search.cpan.org/dist/Data-Flow/ Tag: devel::lang:c, devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-flow-perl/libdata-flow-perl_1.02-1_all.deb Package: libdata-format-html-perl Version: 0.5-2 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libswitch-perl | perl (<< 5.10.1-13) Size: 7814 SHA256: 99bdd79a32c1ef76fae3f7f6b27781b300a06364ba513eb87fd3fd31a93c110c SHA1: 8a023efae8fba04bb9a00ed12c120888d2581afb MD5sum: 02bb53a820af2c001560d20c5e6090af Description: Perl module for dumping Perl objects to HTML Data::Format::HTML is a Perl module that takes a data structure as input and produces HTML suitable for output. It has support for most common Perl data types, even working with complicated nested data structures. In theory, you can pass in any sort of data type and get a "pretty" HTML representation of it. CSS rules can also extend this, but that behaviour is not yet documented. Homepage: http://search.cpan.org/dist/Data-Format-HTML/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-format-html-perl/libdata-format-html-perl_0.5-2_all.deb Package: libdata-formvalidator-constraints-datetime-perl Version: 1.11-1 Installed-Size: 100 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdata-formvalidator-perl, libdatetime-format-strptime-perl, libdatetime-perl Recommends: libdatetime-format-builder-perl Suggests: libdatetime-format-pg-perl, libdatetime-format-mysql-perl Size: 14516 SHA256: ded2c590d27084107ddc89d140fa2cd65b5f817bfcb1a87483e5678d082bf781 SHA1: 6b24ae332e88965d67f41a41d92a004411529254 MD5sum: 07ea15ab2fce419773193f1a9d66d3d2 Description: date and time constraint plugin for Data::FormValidator Data::FormValidator::Constraints::DateTime provides constraint routines for Data::FormValidator based upon the DateTime module. It provides an easy mechanism for validating dates of any format (using strptime(3)) and transforming those dates (as long as you 'untaint' the fields) into valid DateTime objects, or into strings that would be properly formatted for various database engines. Homepage: http://search.cpan.org/dist/Data-FormValidator-Constraints-DateTime/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-formvalidator-constraints-datetime-perl/libdata-formvalidator-constraints-datetime-perl_1.11-1_all.deb Package: libdata-formvalidator-perl Version: 4.70-1 Installed-Size: 248 Maintainer: Debian Perl Group Architecture: all Depends: perl, libemail-valid-perl, libfile-mmagic-perl, libimage-size-perl, libmime-types-perl, libperl6-junction-perl, libregexp-common-perl Recommends: libdate-calc-perl Size: 99054 SHA256: 2d1e2206feda0b0a1e730a7a6f1ff95beec12590ae10b55622a8316768fc37d8 SHA1: c74c0c982b1be041b397ef81b03076129063f558 MD5sum: caad6e5a4a4cdf13d342de30e50b2961 Description: module to validate user input, mainly for HTML forms Data::FormValidator is a Perl module that presents users template-generated forms that can be automatically validated later. It lets you define profiles which declare required an optional fields, as well as any field constraints. Results are provided as an object, which makes it easy to handle missing and invalid results, return error messages, or process valid data. Homepage: http://search.cpan.org/dist/Data-FormValidator/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, use::checking Section: perl Priority: optional Filename: pool/main/libd/libdata-formvalidator-perl/libdata-formvalidator-perl_4.70-1_all.deb Package: libdata-guid-perl Version: 0.046-1 Installed-Size: 58 Maintainer: Debian Perl Group Architecture: all Depends: libossp-uuid-perl, libsub-exporter-perl, libsub-install-perl, perl Size: 12524 SHA256: 0ed3adfcbf694f17ff14730fc3857fb797646fb6d0f0f2144a33995cc0b00a58 SHA1: f9747ad8166fd23a036719f57a7fb6d7c2a7b865 MD5sum: 36d4b7c0fcbadf903df5d33f20789f77 Description: globally unique identifiers Data::GUID provides a simple interface for generating and using globally unique identifiers. Homepage: http://search.cpan.org/dist/Data-GUID/ Section: perl Priority: optional Filename: pool/main/libd/libdata-guid-perl/libdata-guid-perl_0.046-1_all.deb Package: libdata-hexdumper-perl Version: 3.0001-1 Installed-Size: 62 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 14428 SHA256: 6ea3318911ee0df198115edc3cc7018467544ec476b051e0e887e6ef64be505d SHA1: 567255ced24704a024a6821879baba8a0e04f3b6 MD5sum: f82cb35eb196e1998705bc388ee461d7 Description: module for formatting binary data in a human-readable way Data::Hexdumper provides a simple way to format arbitrary binary data into a nice human-readable format, somewhat similar to the Unix 'hexdump' utility. . It gives the programmer a considerable degree of flexibility in how the data is formatted, with sensible defaults. It is envisaged that it will primarily be of use for those wrestling alligators in the swamp of binary file formats, which is why it was written in the first place. Homepage: http://search.cpan.org/dist/Data-Hexdumper/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-hexdumper-perl/libdata-hexdumper-perl_3.0001-1_all.deb Package: libdata-ical-perl Version: 0.18+dfsg-1 Installed-Size: 197 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-accessor-perl, libclass-returnvalue-perl, libtext-vfile-asdata-perl Size: 76808 SHA256: f73ce340ea3c9e3bf263de567e77b74e64fb811be143ad16df2735b8fe2a7db4 SHA1: 3dc009163260644746366667bc56575bc5b43601 MD5sum: eb1d77ff684900e901b7ce355f8c68d5 Description: Perl module for manipulating iCalendar (RFC2445) files Data::ICal provides an implementation of Perl objects that represent a given VCALENDAR object as defined in the iCalendar protocol (RFC 2445, MIME type "text/calendar"), as implemented in many popular calendaring programs such as Apple's iCal. . Each Data::ICal object is a collection of "entries", which are objects of a subclass of Data::ICal::Entry. The types of entries defined by iCalendar (which refers to them as "components") include events, to-do items, journal entries, free/busy time indicators and time zone descriptors, but this module currently only implements to-do items and events. Homepage: http://search.cpan.org/dist/Data-ICal/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::editing, works-with::pim Section: perl Priority: optional Filename: pool/main/libd/libdata-ical-perl/libdata-ical-perl_0.18+dfsg-1_all.deb Package: libdata-integer-perl Version: 0.004-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl, perl (>= 5.10.1) | libparent-perl Size: 21942 SHA256: 2f44a20efc40b4920c02fcfe2bf03adbaed8d5eef11d8c6e046a2a0541d753f7 SHA1: 98b9dafa5844a5c0fb92c75704e1f531c9f86d89 MD5sum: 2789d9c2fe63890e34fce79d4b9dab8d Description: Perl modules handling details of the native integer data type Data::Integer is about the native integer numerical data type. A native integer is one of the types of datum that can appear in the numeric part of a Perl scalar. This module supplies constants describing the native integer type. . There are actually two native integer representations: signed and unsigned. Both are handled by this module. Homepage: http://search.cpan.org/dist/Data-Integer/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-integer-perl/libdata-integer-perl_0.004-1_all.deb Package: libdata-javascript-anon-perl Version: 1.03-2 Installed-Size: 67 Maintainer: Taku YASUI Architecture: all Depends: libparams-util-perl, libclass-default-perl Size: 15590 SHA256: 7d563728fc7aa29d51306face263175c5b24c48ce3b5927f3a5b61bef73ffdce SHA1: 98d27b57e11583ed809edab6d5d71df92ea353eb MD5sum: f9419c95810c2bec3d352e0cb6fc27c8 Description: Dump big dumb Perl structs to anonymous JavaScript structs Data::JavaScript::Anon provides the ability to dump large simple data structures to JavaScript. That is, things that don't need to be a class, or have special methods or whatever. Homepage: http://search.cpan.org/dist/Data-JavaScript-Anon/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-javascript-anon-perl/libdata-javascript-anon-perl_1.03-2_all.deb Package: libdata-javascript-perl Version: 1.13-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 12606 SHA256: 5e43576097fa66a863cd2b2ad8d3fa121d84d9c1b9ff48576670d11081e008e6 SHA1: 2861dbc37d508445025e82a22c4c0f5901ed83be MD5sum: d675b430af9c1f62211fd3f88acf8cf2 Description: dump perl data structures into JavaScript code Data::JavaScript is mainly inteded for CGI programming, when a perl script generates a page with client side JavaScript code that needs access to structures created on the server. . It works by creating one line of JavaScript code per datum. Therefore, structures cannot be created anonymously and need to be assigned to variables. However, this format enables dumping large structures. Homepage: http://search.cpan.org/dist/Data-JavaScript/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, role::source Section: perl Priority: optional Filename: pool/main/libd/libdata-javascript-perl/libdata-javascript-perl_1.13-1_all.deb Package: libdata-miscellany-perl Version: 1.100850-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 12344 SHA256: 8f42787bdff511a3fd4cf8dff2a647b850d2e7be3e5e1cb22525a0392cf9a680 SHA1: 45fdbf077354c18ecbf4147e2dfb9dcb37f611de MD5sum: a9a527d41be37f0dd8ad10b6442290f9 Description: collection of miscellaneous subroutines Data::Miscellany is a collection of miscellaneous subroutines useful in wide but varying scenarios; a catch-all module for things that don't obviously belong anywhere else. . Obviously, what's considered useful differs from person to person, but this particular collection should be useful in object-oriented frameworks, such as Class::Framework and Data::Conveyor. Homepage: http://search.cpan.org/dist/Data-Miscellany/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-miscellany-perl/libdata-miscellany-perl_1.100850-1_all.deb Package: libdata-munge-perl Version: 0.04-1 Installed-Size: 50 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8414 SHA256: 1c70a095cc1380588220bdff33bc7fb322909a118e8bcb17b093d3b996ca8cee SHA1: 5c30ccac793d48b357880f1a8ec38996f5d54888 MD5sum: cc55cdf0f320e51118c6c1f0c6a7a440 Description: collection of various utility functions Data::Munge defines a few generally useful utility functions. For example, a replacement for map which makes mutations of $_ more convenient, and a programmatic way to run arbitrary substitutions against strings. Homepage: http://search.cpan.org/dist/Data-Munge/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-munge-perl/libdata-munge-perl_0.04-1_all.deb Package: libdata-objectdriver-perl Version: 0.09-1 Installed-Size: 428 Maintainer: Dominic Hargreaves Architecture: all Depends: perl, libdbi-perl, libclass-accessor-perl, libclass-data-inheritable-perl, libclass-trigger-perl Recommends: libtext-simpletable-perl Size: 127088 SHA256: e6becb3c5597a9860d680c8efb66cd4c0018318f74f814313cc140813cd58969 SHA1: db2cab950ceb76180e1f05441749f49985cb0008 MD5sum: 45e3bb5c28496101e8081660416bb6c2 Description: Simple, transparent data interface, with caching Data::ObjectDriver is an object relational mapper, meaning that it maps object-oriented design concepts onto a relational database. . It's inspired by, and descended from, the MT::ObjectDriver classes in Six Apart's Movable Type and TypePad weblogging products. But it adds in caching and partitioning layers, allowing you to spread data across multiple physical databases, without your application code needing to know where the data is stored. Homepage: http://search.cpan.org/dist/Data-ObjectDriver/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-objectdriver-perl/libdata-objectdriver-perl_0.09-1_all.deb Package: libdata-optlist-perl Version: 0.107-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl, libparams-util-perl, libsub-install-perl Size: 10704 SHA256: 7c78fee339bb180483b1b9420df08318125bf423cde7a2b3ef5f96042d7c585f SHA1: 5ff204ed5c3d90703adb83e33199128d5619b290 MD5sum: 417a8a5aedeea5fabac824985f5dd25c Description: module to parse and validate simple name/value option pairs Data::OptList is a Perl module useful for working with simple name-and-value option pairs. It assumes any defined scalar is a name and any reference after it is its value. Homepage: http://search.cpan.org/dist/Data-OptList/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-optlist-perl/libdata-optlist-perl_0.107-1_all.deb Package: libdata-page-perl Version: 2.02-1 Installed-Size: 72 Maintainer: Bart Martens Architecture: all Depends: perl, libclass-accessor-chained-perl Size: 12320 SHA256: 85aca2aa02085b1d3ee74b7b3daf8c12ec20a6a2503c99b2cf55477ef69ac265 SHA1: 37ffaafc69eea4290aa9e64b7b054c4992f5a1b1 MD5sum: 03a1103bca3eb53f812710c27ed34bcf Description: Help when paging through sets of results When searching through large amounts of data, it is often the case that a result set is returned that is larger than we want to display on one page. This results in wanting to page through various pages of data. The maths behind this is unfortunately fiddly, hence this module. . The main concept is that you pass in the number of total entries, the number of entries per page, and the current page number. You can then call methods to find out how many pages of information there are, and what number the first and last entries on the current page really are. Homepage: http://search.cpan.org/dist/Data-Page/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-page-perl/libdata-page-perl_2.02-1_all.deb Package: libdata-pageset-perl Version: 1.05-2 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libdata-page-perl Size: 10456 SHA256: 143c57fc38cdea12b2d19b3f6714807f1bbdec09eec404edb2f89a879710893a SHA1: aebb6a3535b8c793cf1b4c0365ac697607f257c4 MD5sum: 2595b2f5eb38d63a237ba6e6582d395c Description: Perl module for page numbering and page sets The object produced by Data::Pageset can be used to create page navigation, it inherits from Data::Page and has access to all methods from this object. . In addition it also provides methods for dealing with set of pages, so that if there are too many pages you can easily break them into chunks for the user to browse through. . You can even choose to view page numbers in your set in a 'sliding' fassion. . The object can easily be passed to a templating system such as Template Toolkit or be used within a script. Homepage: http://search.cpan.org/dist/Data-Pageset/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-pageset-perl/libdata-pageset-perl_1.05-2_all.deb Package: libdata-parsebinary-perl Version: 0.31~dfsg-1 Installed-Size: 265 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 77550 SHA256: 9dc3f14544c6ab02caff50e3773353a68b801e85f569d3a71527374a832259c6 SHA1: 46d6a7a290d4c9e5aa2818f708424754748a1341 MD5sum: 14e5fed8e1c5702d11b9dfb38ab1b1f2 Description: yet another parser for binary structures Data::ParseBinary is a Perl Port for PyConstructs . . Data::ParseBinary enables writing declarations for simple and complex binary structures, parsing binary to hash/array data structure, and building binary data from hash/array data structure. Homepage: http://search.cpan.org/dist/Data-ParseBinary/ Section: perl Priority: optional Filename: pool/main/libd/libdata-parsebinary-perl/libdata-parsebinary-perl_0.31~dfsg-1_all.deb Package: libdata-password-perl Version: 1.07-3 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl Suggests: ispell | aspell Size: 9100 SHA256: 92a14eddf48fb1cc82583a24bc0aa9d1894da33aabf02ecc396867864b203e17 SHA1: 59d74d6a0429a4a0ae604229ba88fab2dabcebee MD5sum: 117f483abbccaff4c13f3b540db6ed8d Description: Perl extension for assessing password quality Data::Password checks potential passwords for crackability. It checks that the password is in the appropriate length, that it has enough character groups, that it does not contain the same chars repeatedly or ascending or descending characters, or characters close to each other in the keyboard. It will also attempt to search the ispell word file for existance of whole words. Homepage: http://search.cpan.org/dist/Data-Password/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-password-perl/libdata-password-perl_1.07-3_all.deb Package: libdata-peek-perl Version: 0.38-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 101 Depends: perl (>= 5.14.2-11), perlapi-5.14.2, libc6 (>= 2.13-28) Suggests: perltidy Homepage: http://search.cpan.org/dist/Data-Peek/ Priority: optional Section: perl Filename: pool/main/libd/libdata-peek-perl/libdata-peek-perl_0.38-1_armhf.deb Size: 26764 SHA256: 46c0585620d4bd48c30376a058a53ae0f7c5f74aefdfb0c987674b90b8ec1382 SHA1: 22882b764b4a8ad5274db98ba2aaffdf7946861d MD5sum: 75e7e1fe0b0c603a4e6df28db5daa215 Description: module providing low-level manipulation of Perl data Data::Peek is a module that allows for low-level manipulation of Perl data structures, particularly for displaying the internal representation of given Perl variables. . In particular, it allows one to look at a scalar value to determine whether it contains a string (PV), integer (IV or UV) or floating point number (NV); the reference count of that scalar (REFCOUNT) and other flags (such as whether the string is UTF-8). . This module can also create triple variables, which are similar to the dual variables provided by Scalar::Util (see libscalar-util-perl). Package: libdata-phrasebook-loader-yaml-perl Version: 0.09-1 Installed-Size: 36 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdata-phrasebook-perl, libyaml-perl Size: 11874 SHA256: 2c2a295e2313f2eeb5f0bfe0b3b26b4e94a80296a5579d5ae1324ab3a47530f4 SHA1: 150e12c585f8c6d3fbdfa4943a3aa002bba776e1 MD5sum: 230fad598124e4fe6bcc6498022ae092 Description: loader class for Data::Phrasebook using YAML Data::Phrasebook::Loader::YAML implements phrasebook patterns using YAML. . Phrases can be contained within one or more dictionaries, with each phrase accessible via a unique key. Phrases may contain placeholders, please see Data::Phrasebook for an explanation of how to use these. Groups of phrases are kept in a dictionary. In this implementation a single YAML file is one complete dictionary. Homepage: http://search.cpan.org/dist/Data-Phrasebook-Loader-YAML/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-phrasebook-loader-yaml-perl/libdata-phrasebook-loader-yaml-perl_0.09-1_all.deb Package: libdata-phrasebook-perl Version: 0.31-1 Installed-Size: 180 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 49000 SHA256: 64e14f2804beb3963ea0d928e666a88afdea8f2ba2fc822a2f7c3b0b2e73650d SHA1: 12af418b67368f75233e35b10ad96c756ed82645 MD5sum: 9e184d4192c39a5851ba83e5342e6105 Description: perl implementation of the phrasebook paradigm The phrasebook pattern is a technique to separate expressions in one language (e.g. SQL) from the main code that is written in another (e.g. Perl). The translations are kept in a separate file - the phrasebook. Homepage: http://search.cpan.org/dist/Data-Phrasebook/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-phrasebook-perl/libdata-phrasebook-perl_0.31-1_all.deb Package: libdata-printer-perl Version: 0.30-1 Installed-Size: 101 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.13.3), libclone-perl, libfile-homedir-perl (>= 0.91), libhash-fieldhash-perl, libmoose-perl (>= 0.81), libsort-naturally-perl Size: 56762 SHA256: 64bb7dc5cd7f89960c448cf876ffb966dfbb353b7c5918a2e366be34b81b8214 SHA1: 932b7f4014c99853ef3aea1fcad5f518b335569c MD5sum: 31f2246aaa672a8055064d0471186d23 Description: colored pretty-printer of Perl data structures and objects Data::Printer is a tool designed to display Perl variables and objects on screen, properly formatted for inspection by a human being. . Many people use Data::Dumper for this task, although it was designed primarily to stringify data structures such that they can be eval'ed back in. It thus lacks all the visual clues, extra information and filtering possibilities that Data::Printer has to offer: . * Sane defaults * Highly customizable * Colored output by default * Human-friendly output, with array index and custom separators * Full object dumps including methods, inheritance and internals * Exposes extra information such as tainted data and weak references * Ability to easily create filters for objects and regular structures * Ability to load settings from a .dataprinter file Homepage: http://search.cpan.org/dist/Data-Printer/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-printer-perl/libdata-printer-perl_0.30-1_all.deb Package: libdata-random-perl Version: 0.07-1 Installed-Size: 490 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdate-calc-perl, libgd-gd2-perl | libgd-gd2-noxpm-perl Size: 156452 SHA256: 72bfc43e6ad30498d0cc835ad38378f34fe222140344bbed3b21af4c228eab0c SHA1: 269b03f4c33b3c10338ff6feafbd3ec553e8fcaf MD5sum: b63c2832f14b006e18ffdc927f27b497 Description: Perl module to generate random data Data::Random is a module used to generate random data. It is useful mostly for test programs. Homepage: http://search.cpan.org/dist/Data-Random/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-random-perl/libdata-random-perl_0.07-1_all.deb Package: libdata-report-perl Version: 0.10-1 Installed-Size: 128 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libtext-csv-perl (>= 1.00), libhtml-parser-perl Size: 27432 SHA256: 7b695b46e9251d36d8e3e64da81f8d134b4987133c31810282e5205ce411cfa6 SHA1: b9119b778ee0ed2bab3dc0be5de2b9bf14de8b42 MD5sum: 360898a1d769417db3d9b52e857f8a22 Description: Framework for flexible reporting Data::Report is a flexible, plugin-driven reporting framework. It makes it easy to define reports that can be produced in text, HTML and CSV. Textual ornaments like extra empty lines, dashed lines, and cell lines can be added in a way similar to HTML style sheets. Homepage: http://search.cpan.org/dist/Data-Report/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, use::converting, use::text-formatting, works-with::text Section: perl Priority: optional Filename: pool/main/libd/libdata-report-perl/libdata-report-perl_0.10-1_all.deb Package: libdata-rmap-perl Version: 0.62-1 Installed-Size: 62 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 15334 SHA256: 187bef0ebc553173067a03341145ccf13dfba115c9ca30ed0a632ac59096d847 SHA1: 049e1b11ca1272b5992f33c3710bebc006d5ace8 MD5sum: b93f9937083fd918415be9bdcd007f81 Description: Perl module implementing a recursive map, applying a block to a data structure Data::Rmap traverses HASH, ARRAY, SCALAR and GLOB reference types, evaluating a BLOCK for either only scalar values, arrays, hashes, references, all elements or a customizable combination of these, and returns a list composed of the results of such evaluations. Homepage: http://search.cpan.org/dist/Data-Rmap/ Section: perl Priority: optional Filename: pool/main/libd/libdata-rmap-perl/libdata-rmap-perl_0.62-1_all.deb Package: libdata-section-perl Version: 0.101621-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl, libmro-compat-perl, libsub-exporter-perl Size: 11970 SHA256: 102f95e64939cbe636e8baa0dc651de117808fff2f75c1d4e9212dcf51313b41 SHA1: cf7d4209509f33554ca46b9aef9db7ec233c4f67 MD5sum: 04bf6ad9b45fb60fb8c032deb6e326cc Description: module to read chunks of data from a module's DATA section Data::Section is a Perl module that provides an easy way to access multiple named chunks of line-oriented data in your module's DATA section. It was written to allow modules to store their own templates, but is probably useful for other purposes as well. Homepage: http://search.cpan.org/dist/Data-Section/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-section-perl/libdata-section-perl_0.101621-1_all.deb Package: libdata-section-simple-perl Version: 0.03-1 Installed-Size: 53 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 7598 SHA256: c7d29e50fd380cd1a340b560a594d5cecf61bf63433f45bf27e845b3b0474e5a SHA1: a234760c66ffa520896331464b67b16320db5587 MD5sum: d7810d80c9e7ee33223140fe52365820 Description: Perl module for reading data from __DATA__ section of the file Data::Section::Simple is a simple module to extract data from __DATA__ section of the file. . This module does not implement caching (yet) which means in every get_data_section or get_data_section($name) this module seeks and re-reads the data section. If you want to avoid doing so for the better performance, you should implement caching in your own caller code. Homepage: http://search.cpan.org/dist/Data-Section-Simple/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-section-simple-perl/libdata-section-simple-perl_0.03-1_all.deb Package: libdata-serializer-perl Version: 0.59-1 Installed-Size: 208 Maintainer: Debian Perl Group Architecture: all Depends: perl Suggests: libcompress-zlib-perl, libconfig-general-perl, libcrypt-blowfish-perl, libcrypt-cbc-perl, libfreezethaw-perl, libjson-perl, libphp-serialization-perl, libxml-dumper-perl, libxml-simple-perl, libyaml-perl, libyaml-syck-perl Size: 70770 SHA256: e5efdd88c85b7c9b5abe5e868bd678775621fae902249ed67659293c6551f8f7 SHA1: 258f2929983ab4bc00c7a39346cc976528c42cb6 MD5sum: 6418052d4de7ef97f5c2e0e0817d090b Description: module that serializes data structures Data::Serializer provides a unified interface to the various serializing modules currently available. Adds the functionality of both compression and encryption. Homepage: http://search.cpan.org/dist/Data-Serializer/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-serializer-perl/libdata-serializer-perl_0.59-1_all.deb Package: libdata-show-perl Version: 0.002001-1 Installed-Size: 55 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdata-dump-perl (>= 1.17) Size: 10738 SHA256: 9fcfa4dda2d706ff45ba111e5afb366a891d913d59f6290c230cd2a93d764496 SHA1: c5cd8d05ab529ba67106a91688197dcd89914278 MD5sum: 1e23a439a8af5f6e7de402a1134cb139 Description: Perl module to dump data structures with name and point-of-origin Data::Show provides a simple wrapper around the Data::Dump module. . A call to show data-dumps its arguments, prefaced by a divider line that reports the arguments and the file and line from which show() was called. Homepage: http://search.cpan.org/dist/Data-Show/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-show-perl/libdata-show-perl_0.002001-1_all.deb Package: libdata-showtable-perl Version: 3.3-7 Installed-Size: 188 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 55730 SHA256: ceba0cada00da26b3af1f936927cf6afdb28f1d7670879d2ff534d5cb64c966e SHA1: c1bc4c4aa2e5b2e3156da116d25a37a8e4309699 MD5sum: 3adfe2737fd0f6c22c707be0c17b8ca3 Description: Perl module to print arrays of data in a formatted listing Date::ShowTable is a Perl module which defines subroutines to print arrays of data in a nicely formatted listing, using one of four possible formats: simple table, boxed table, list style, and HTML-formatting (for World-Wide-Web output). In other words, showtable is a data formatting program. Using the '-html' option, showtable can accept ASCII tabular data and format it appropriately for display through a Web-browser. Homepage: http://search.cpan.org/dist/Data-ShowTable/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-showtable-perl/libdata-showtable-perl_3.3-7_all.deb Package: libdata-sorting-perl Version: 0.9-4 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 21728 SHA256: 146bb5917a88efd29eb1deba52aaf9f1c9478a6a8fb85140c9fca958f38469bc SHA1: 500260c73203d5730c6028dd0792506d0ccccbce MD5sum: cf8a23534c538eecb5418471a345ef42 Description: Perl module for multi-key sort using function results Data::Sorting provides functions to sort the contents of arrays based on a collection of extraction and comparison rules. Extraction rules are used to identify the attributes of array elements on which the ordering is based; comparison rules specify how those values should be ordered. . Index strings may be used to retrieve values from array elements, or function references may be passed in to call on each element. Comparison rules are provided for numeric, bytewise, and case-insensitive orders, as well as a 'natural' comparison that places numbers first, in numeric order, followed by the remaining items in case-insensitive textual order. Homepage: http://search.cpan.org/dist/Data-Sorting/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::organizing Section: perl Priority: optional Filename: pool/main/libd/libdata-sorting-perl/libdata-sorting-perl_0.9-4_all.deb Package: libdata-stag-perl Version: 0.11-2 Installed-Size: 1188 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libio-string-perl Recommends: perl-tk, libgd-gd2-perl | libgd-gd2-noxpm-perl, libxml-libxslt-perl, libmldbm-perl, libgraph-perl, libxml-perl Size: 509314 SHA256: c209560bf4805050efc8dc54f9872d89c087426f793a586c1a1d1fa0f56767f0 SHA1: 0804b56b99a1844fcbb363a7e356cf6ee1ca3e72 MD5sum: fabff37374baf4eb80f1a4ed7127c059 Description: Structured Tags datastructures This module is for manipulating data as hierarchical tag/value pairs (Structured TAGs or Simple Tree AGgreggates). These datastructures can be represented as nested arrays, which have the advantage of being native to perl. A simple example is shown below: . [ person=> [ [ family_name => $family_name ], [ given_name => $given_name ], [ phone_no => $phone_no ] ] ], . Data::Stag uses a subset of XML for import and export. This means the module can also be used as a general XML parser/writer (with certain caveats). Homepage: http://stag.sourceforge.net/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-stag-perl/libdata-stag-perl_0.11-2_all.deb Package: libdata-stream-bulk-perl Version: 0.11-1 Installed-Size: 117 Maintainer: Debian Perl Group Architecture: all Depends: perl, libmoose-perl (>= 0.90), libnamespace-clean-perl (>= 0.08), libpath-class-perl, libsub-exporter-perl Size: 46342 SHA256: 331acd522a344bb404e2d5edf29dfde386ddfd512f3f9a05a4fc67a7ad93e4d0 SHA1: 269964c512a801a99f65e073b42620fbe090dd3a MD5sum: 2cdae709c1f82639a3709187f56e0381 Description: N at a time iteration API Data::Stream::Bulk is a utility for working with data streams of indefinite size. It tries to find a middle ground between one-at-a-time and all-at-once processing of data sets by providing a common interface for both. . Often, data sets from streams can fit entirely in memory, so operating on them with an array is simple and appropriate. However, in cases where the stream is not bounded, the array can grow to a very large size and quickly consume all available memory. This module allows developers to work with data in blocks which are guaranteed to fit in memory. Homepage: http://search.cpan.org/dist/Data-Stream-Bulk/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-stream-bulk-perl/libdata-stream-bulk-perl_0.11-1_all.deb Package: libdata-streamdeserializer-perl Version: 0.06-1 Architecture: armhf Maintainer: Dmitry E. Oboukhov Installed-Size: 112 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/~unera/Data-StreamDeserializer/ Priority: extra Section: perl Filename: pool/main/libd/libdata-streamdeserializer-perl/libdata-streamdeserializer-perl_0.06-1_armhf.deb Size: 22892 SHA256: 50cc1a4e390d90f1b5a915b155f42454e184919cac711393987fb83d8c02be54 SHA1: d4e190d69fe7a4c64218cecbc067127e8f5b08cb MD5sum: 7f49361e1136f6449a95d03209e890a7 Description: non-blocking deserializer The package provides an interface to deserialize perl objects in non-blocking mode. It can be used with event machines. Package: libdata-streamserializer-perl Version: 0.07-1 Architecture: armhf Maintainer: Dmitry E. Oboukhov Installed-Size: 89 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/~unera/Data-StreamSerializer/ Priority: extra Section: perl Filename: pool/main/libd/libdata-streamserializer-perl/libdata-streamserializer-perl_0.07-1_armhf.deb Size: 16738 SHA256: 119afe053354bb0c34ddd1f0d2c49c9dcf1994d5dfe2f0f52e4b05c04f6dac1e SHA1: 7a665b25594b7436ca7b6cb1c844879f905e4b38 MD5sum: 1c9de36ad9f614e9a129c61df107450b Description: non-blocking serializer The package provides an interface to serialize perl objects in non-blocking mode. It can be used with event machines. Package: libdata-structure-util-perl Version: 0.15-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 117 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Data-Structure-Util/ Priority: optional Section: perl Filename: pool/main/libd/libdata-structure-util-perl/libdata-structure-util-perl_0.15-2_armhf.deb Size: 24594 SHA256: fab0fab9217c0ddbad9c0766d96c3e8b5615f98acf0a1375af9627ebfe909528 SHA1: ec0253a210dae18f5da8a643802b6f45bc30fa7b MD5sum: 0c46fdd54ee38f5faa6eaf01105f716b Description: module to change nature of data within a data structure Data::Structure::Util is a Perl module for manipulating data within data structures. It can process an entire tree of data structures and perform the requested operation on each element as appropriate. It is fast, since it is written in C. . For example, it can be used to transform all strings within a data structure to UTF-8. Package: libdata-transformer-perl Version: 0.04-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 10104 SHA256: dda246865a65be30234ceeaf36eab1ab5570be550c0e215913bf250a13c7ed9f SHA1: bdb7830a548e05e1749cff5a7c09ab6659cfd11c MD5sum: 67caae3c0355ae6377db00b672e082af Description: Perl module to traverse data structures, altering them in place Data::Transformer can use callback subroutines to transform data or collect information. . It is possible to affect objects inside the data structures by specifying a callback keyed to the name of the class they belong to. Homepage: http://search.cpan.org/dist/Data-Transformer/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-transformer-perl/libdata-transformer-perl_0.04-1_all.deb Package: libdata-treedumper-perl Version: 0.40-1 Installed-Size: 168 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcheck-isa-perl, libclass-isa-perl | perl (<< 5.10.1-13), libdevel-size-perl, libsort-naturally-perl, libterm-size-perl Size: 41554 SHA256: 983eb56d79333e9a9297b258a4fe6b0400dec907557c45643ce2f65f7fa43fc5 SHA1: bced0661a41484c59c38ae7c6dd8029cc8dbf3af MD5sum: 4633f16b392c6ddd24e401ce1157b18f Description: module for dumping data structures in various formats Data::TreeDumper is a Perl module that provides dumps of Perl variable data in a tree-like fashion. It is flexible and customizable, providing various output formatting processors and means of filtering and sorting the output. Homepage: http://search.cpan.org/dist/Data-TreeDumper/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-treedumper-perl/libdata-treedumper-perl_0.40-1_all.deb Package: libdata-treedumper-renderer-dhtml-perl Version: 0.09-1 Installed-Size: 112 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Recommends: libdata-treedumper-perl Size: 12234 SHA256: fe5430d0257b6618e45e74f31a0e91093097c987f561352e7075cce28fdbe516 SHA1: c45462615c772c95713bddec345c8dc65844b4d7 MD5sum: 3419ee96709453b7e70dcf33af24ddf6 Description: simple Perl DHTML renderer for Data::TreeDumper Data::TreeDumper dumps Perl variable data in a tree-like fashion and allows the use of various output formatting processors. . Data::TreeDumper::Renderer::DHTML is such a processor which outputs the data as DHTML code. Homepage: http://search.cpan.org/dist/Data-TreeDumper-Renderer-DHTML/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-treedumper-renderer-dhtml-perl/libdata-treedumper-renderer-dhtml-perl_0.09-1_all.deb Package: libdata-treedumper-renderer-gtk-perl Version: 0.02-1 Installed-Size: 104 Maintainer: David Paleino Architecture: all Depends: perl, libglib-perl, libgtk2-perl, libdata-treedumper-perl (>= 0.33) Size: 8844 SHA256: 7bcfcc559671abc4c1c0d7d230e8f1efad71bc7e898aa9be12bb2f85ace8adbb SHA1: 32fefa60ddf1568fc100f2b7475adb694ba557b5 MD5sum: f2e2d8a1daca5f5ec5cee83bba25634b Description: Gtk2::TreeView renderer for Data::TreeDumper This widget is the GUI equivalent of Data::TreeDumper; it will display a perl data structure in a TreeView, allowing you to fold and unfold child data structures and get a quick feel for what's where. Right-clicking anywhere in the view brings up a context menu, from which the user can choose to expand or collapse all items. Homepage: http://search.cpan.org/dist/Data-TreeDumper-Renderer-GTK/ Tag: devel::lang:perl, devel::library, implemented-in::perl, uitoolkit::gtk Section: perl Priority: optional Filename: pool/main/libd/libdata-treedumper-renderer-gtk-perl/libdata-treedumper-renderer-gtk-perl_0.02-1_all.deb Package: libdata-types-perl Version: 0.09-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 13082 SHA256: db87809b32634a1e5796efb7a0687843bea5f00ffc1846efe4a1da1befabdbbf SHA1: dd4521b3ec4629038584bb9de15412d9632b70ff MD5sum: 3ec9e0199d2a00a46f3cd19446ed4ac3 Description: module for validating and converting data types Data::Types exports a number of functions that are useful for validating and converting data types. . It is intended for use in applications where data types are more important than they typically are in Perl -- e.g., database applications. Homepage: http://search.cpan.org/dist/Data-Types/ Tag: devel::lang:perl, implemented-in::perl, use::checking, use::converting, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdata-types-perl/libdata-types-perl_0.09-1_all.deb Package: libdata-util-perl Version: 0.59-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 202 Depends: perl (>= 5.14.2-11), perlapi-5.14.2, libc6 (>= 2.13-28) Homepage: http://search.cpan.org/dist/Data-Util/ Priority: optional Section: perl Filename: pool/main/libd/libdata-util-perl/libdata-util-perl_0.59-1_armhf.deb Size: 58366 SHA256: 03c238b487512307774713144203f1d5b98f2eb6e38584f3faaeeb3e0b032664 SHA1: 7b8c10743a41f65c953b0f6033bf935b323d673a MD5sum: 3a2d9ab845550ed7cc0d3094059f4257 Description: selection of utilities for data and data types Data::Util provides utility functions for data and data types, including functions for subroutines and symbol table hashes (stashes). . The implementation of this module is both Pure Perl and XS. Package: libdata-uuid-libuuid-perl Version: 0.05-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 100 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libuuid1 (>= 2.16), libasa-perl, libsub-exporter-perl Homepage: http://search.cpan.org/dist/Data-UUID-LibUUID/ Priority: optional Section: perl Filename: pool/main/libd/libdata-uuid-libuuid-perl/libdata-uuid-libuuid-perl_0.05-1_armhf.deb Size: 17758 SHA256: abe12e386eb94bdda146bfbc9b7ebd225a42f0fd61d4ea5304b1b58d7bdb5b1c SHA1: a1ca8437beb7278a134dc3d661251efc63ebe05e MD5sum: 98bc8bee768e3fe1d2f0699db58ed444 Description: uuid.h based UUID generation module Data::UUID::LibUUID provides bindings for libuuid. The module provides several methods for manipulating UUIDs. Package: libdata-validate-domain-perl Version: 0.10-1 Installed-Size: 63 Maintainer: Alexander Wirt Architecture: all Depends: perl, libnet-domain-tld-perl Size: 12270 SHA256: 507131d11a1e0b0cb96f83a161f5fecb955edf6ac48a3d4fccf0c2be31f416cd SHA1: 0f564179e57ef752a67144b88ad88d24361cc2c2 MD5sum: 1d1fb98c92f9934f25cb79fb13c69b91 Description: perl domain name validation functions Data::Validate::Domain collects domain validation routines to make input validation and untainting easier and more readable. Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libd/libdata-validate-domain-perl/libdata-validate-domain-perl_0.10-1_all.deb Package: libdata-validate-email-perl Version: 0.04-1 Installed-Size: 108 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libdata-validate-domain-perl (>= 0.04), libemail-address-perl Size: 9784 SHA256: 6650677b069ed667f9e202ac103c684785a5db5785f6897b7b7a2568a141231a SHA1: ec50d3c5493a46bdb1907fd1cf1b725387456ee7 MD5sum: 7d06b299ced210f442cbaaed07a84a5f Description: validator for email addresses written in Perl Data::Validate::Email collects common email validation routines to make input validation, and untainting easier and more readable. . The main purpose of this module is to check if a mail address is a valid mail address and if it is compliant with RFC822 . The module will also check if the domain is a proper one using Data::Validate::Domain. Homepage: http://search.cpan.org/dist/Data-Validate-Email/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-validate-email-perl/libdata-validate-email-perl_0.04-1_all.deb Package: libdata-validate-ip-perl Version: 0.14-1 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl, libnet-ipv6addr-perl, libnet-netmask-perl Size: 13996 SHA256: 0438c1916da7713c5dac07b34367824c02a1e00c875ddc715d7da28a242c5301 SHA1: 4704103d4b17dba338b0dbaeac820a63f2cd8a36 MD5sum: 2d5028cb630736d4eccb1dabd5142488 Description: Perl module for IP validation Data::Validate::IP collects IP validation routines to make input validation and untainting easier and more readable. All functions return an untainted value if the test passes, and undef if it fails. This means that you should always check for a defined status explicitly. Don't assume the return value will be true. Homepage: http://search.cpan.org/dist/Data-Validate-IP/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, use::checking Section: perl Priority: optional Filename: pool/main/libd/libdata-validate-ip-perl/libdata-validate-ip-perl_0.14-1_all.deb Package: libdata-validate-uri-perl Version: 0.06-1 Installed-Size: 89 Maintainer: Dominic Hargreaves Architecture: all Depends: perl, libdata-validate-domain-perl, libdata-validate-ip-perl Size: 11734 SHA256: 0fb63b014fe6506273c778a18cc863fa8d9cac6365c4d7018793572791994254 SHA1: 916bdd4d04610bf2d35f698466b1a874ebadd15e MD5sum: 22e6712767ad89e20c970e3469a08ac2 Description: common URI validation methods This module collects common URI validation routines to make input validation and untainting easier and more readable. Homepage: http://search.cpan.org/dist/Data-Validate-URI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-validate-uri-perl/libdata-validate-uri-perl_0.06-1_all.deb Package: libdata-visitor-perl Version: 0.28-1 Installed-Size: 85 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-load-perl, libmoose-perl (>= 0.89), libnamespace-clean-perl (>= 0.19), libtie-toobject-perl Recommends: libdata-alias-perl Size: 23018 SHA256: 9967021d8891fa373f263b167ca7eab6eae86f1caa7c9a8021567d44cc74efd9 SHA1: 4073515c54c258f479255c84947098bded2f4429 MD5sum: cb055c20ce5b5109808ce383b0b32149 Description: Visitor implementation for Perl data structures Data::Visitor is a simple visitor implementation for Perl data structures. It has a main dispatcher method, visit, which takes a single Perl value and then calls the methods appropriate for that value. Homepage: http://search.cpan.org/dist/Data-Visitor/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-visitor-perl/libdata-visitor-perl_0.28-1_all.deb Package: libdata-walk-perl Version: 1.00-1 Installed-Size: 44 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 15428 SHA256: 3d351ffc8673026301ffc363e597b8c7e48775d8733a763d1d3a966ebe1af041 SHA1: 7bd921ce15891fa262726928e7e06fd26444b5d8 MD5sum: 9e4311fc8226df82afea3308d21c66bb Description: Traverse Perl data structures With Data::Walk, one can have a call back function being called for each node in a given structure. It works with hash references, array references and blessed references of arbitrary depth. . Data::Walk is similar to File::Find, but instead of directory hiearchies, it works with Perl data. . Homepage: http://search.cpan.org/dist/Data-Walk/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdata-walk-perl/libdata-walk-perl_1.00-1_all.deb Package: libdata-yaml-perl Version: 0.0.6-1 Installed-Size: 77 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 20794 SHA256: 6899c332ef697bb5df2fa7bbc3ae70e20cf65eac90baeb65fd889af7e0aadb4a SHA1: 7f10b54d16b61dec2cda16e5387acd338648d71c MD5sum: dea01cb07f82fba35ffb0ad2db1bc631 Description: Easy YAML serialisation of Perl data structures In the spirit of YAML::Tiny, Data::YAML::Reader and Data::YAML::Writer provide lightweight, dependency-free YAML handling. While YAML::Tiny is designed principally for working with configuration files Data::YAML concentrates on the transparent round-tripping of YAML serialized Perl data structures. . As an example of why this distinction matters consider that YAML::Tiny doesn't handle hashes with keys containing non-printable characters. This is fine for configuration files but likely to cause problems when handling arbitrary Perl data structures. Data::YAML handles exotic hash keys correctly. . The syntax accepted by Data::YAML is a subset of YAML. Specifically it is the same subset of YAML that Data::YAML::Writer produces. See Data::YAML for more information. Homepage: http://search.cpan.org/dist/Data-YAML/ Section: perl Priority: optional Filename: pool/main/libd/libdata-yaml-perl/libdata-yaml-perl_0.0.6-1_all.deb Package: libdataobjects-mysql-ruby Source: ruby-dataobjects-mysql Version: 0.10.8-4 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dataobjects-mysql Size: 4232 SHA256: 86d46d10f049c0b52e87a31c8fda11303d8f863e1243092a0ee6b031fad8a09a SHA1: 93c24e722fcfa20179a9fc745785da17db45fc7e MD5sum: 3de22b9cc34a75d88695f2018b68a86a Description: Transitional package for ruby-dataobjects-mysql This is a transitional package to ease upgrades to the ruby-dataobjects-mysql package. It can safely be removed. Homepage: https://github.com/datamapper/do Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dataobjects-mysql/libdataobjects-mysql-ruby_0.10.8-4_all.deb Package: libdataobjects-mysql-ruby1.8 Source: ruby-dataobjects-mysql Version: 0.10.8-4 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dataobjects-mysql Size: 4238 SHA256: 7fba51c463ab6d8d3c27ab66fbbeaffc789544259ffb8939933f0c01fd974656 SHA1: 512a192a044d1fed9ea75add5ccd7db77675cdf8 MD5sum: e84d67555a54e98898eec3e1178c8414 Description: Transitional package for ruby-dataobjects-mysql This is a transitional package to ease upgrades to the ruby-dataobjects-mysql package. It can safely be removed. Homepage: https://github.com/datamapper/do Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dataobjects-mysql/libdataobjects-mysql-ruby1.8_0.10.8-4_all.deb Package: libdataobjects-mysql-ruby1.9.1 Source: ruby-dataobjects-mysql Version: 0.10.8-4 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dataobjects-mysql Size: 4244 SHA256: 88db0e83bcfa6f5141406b6ee07a0cbde5143853b7651ae5e6dba3f9d04fc636 SHA1: 3772a5a8db974914b534bc3ed54dbc4beaf6e8c7 MD5sum: 64410a833f5befa293db38d2e1c9a43e Description: Transitional package for ruby-dataobjects-mysql This is a transitional package to ease upgrades to the ruby-dataobjects-mysql package. It can safely be removed. Homepage: https://github.com/datamapper/do Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dataobjects-mysql/libdataobjects-mysql-ruby1.9.1_0.10.8-4_all.deb Package: libdataobjects-postgres-ruby Source: ruby-dataobjects-postgres Version: 0.10.8-2 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dataobjects-postgres Size: 2976 SHA256: f945a3ea8da8fbec9814645023fd8a50b221bdc61e1b07a40c01e125c49d6807 SHA1: 8ede77a36fff97cedc78b4528e412ad7e118d7a4 MD5sum: ae0644ee3f40f96d8020964f681666a8 Description: Transitional package for ruby-dataobjects-postgres This is a transitional package to ease upgrades to the ruby-dataobjects-postgres package. It can safely be removed. Homepage: https://github.com/datamapper/do Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dataobjects-postgres/libdataobjects-postgres-ruby_0.10.8-2_all.deb Package: libdataobjects-postgres-ruby1.8 Source: ruby-dataobjects-postgres Version: 0.10.8-2 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dataobjects-postgres Size: 2986 SHA256: 7446cee4ff868f8de0b0e071af49c7c824fec157141c2a31eb63dcf2ca18bee2 SHA1: 86ef4b2448018084762ee30931ba0f19761bdb39 MD5sum: 777338ce984fd5a17df6b395eb356026 Description: Transitional package for ruby-dataobjects-postgres This is a transitional package to ease upgrades to the ruby-dataobjects-postgres package. It can safely be removed. Homepage: https://github.com/datamapper/do Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dataobjects-postgres/libdataobjects-postgres-ruby1.8_0.10.8-2_all.deb Package: libdataobjects-postgres-ruby1.9.1 Source: ruby-dataobjects-postgres Version: 0.10.8-2 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dataobjects-postgres Size: 2990 SHA256: f498ffc4ed6d494fec5a2e0a105a6bc49abd296724cbbb945948d882e69fee4a SHA1: fdaf160b97ca5d6c6a3fe0ea35dbd95fcf04455b MD5sum: bc21374715fdbfc8898952540118fd39 Description: Transitional package for ruby-dataobjects-postgres This is a transitional package to ease upgrades to the ruby-dataobjects-postgres package. It can safely be removed. Homepage: https://github.com/datamapper/do Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dataobjects-postgres/libdataobjects-postgres-ruby1.9.1_0.10.8-2_all.deb Package: libdataobjects-ruby Source: ruby-dataobjects Version: 0.10.8-4 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dataobjects Size: 4370 SHA256: b9b4ca61ceb0f1cf9551c2edd2077d4c0c5da97909c14f49c66d1080a6d62cc8 SHA1: 87aabea2088ac6c1e94c88bf437ab43d52d83623 MD5sum: 70691b93b2d2745c431b0d92d8e6d48d Description: Transitional package to ruby-dataobjects This is a transitional package to ease upgrades to the ruby-dataobjects package. It can be safely removed. Homepage: http://github.com/datamapper/do Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dataobjects/libdataobjects-ruby_0.10.8-4_all.deb Package: libdataobjects-ruby1.8 Source: ruby-dataobjects Version: 0.10.8-4 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dataobjects Size: 4376 SHA256: 70550a6fa30268cdabfe385c433a391e1577f9c53db815c633e115180f70bc8a SHA1: ba24c8f94e5ab5b87bb9f8833744de1ccdcfc876 MD5sum: f44b25532a6c5cf925693b71b42f3d5f Description: Transitional package to ruby-dataobjects This is a transitional package to ease upgrades to the ruby-dataobjects package. It can be safely removed. Homepage: http://github.com/datamapper/do Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dataobjects/libdataobjects-ruby1.8_0.10.8-4_all.deb Package: libdataobjects-ruby1.9.1 Source: ruby-dataobjects Version: 0.10.8-4 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dataobjects Size: 4380 SHA256: 1534cc7c2be39963fdf5ba0c2154a8c237a25b7ea13051513543535e68559818 SHA1: 08d8b06de298746ae04cb71fdd7e71b4714fdcf3 MD5sum: 6ce3012eb5dc93dcd76caaf1a84b4fd0 Description: Transitional package to ruby-dataobjects This is a transitional package to ease upgrades to the ruby-dataobjects package. It can be safely removed. Homepage: http://github.com/datamapper/do Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dataobjects/libdataobjects-ruby1.9.1_0.10.8-4_all.deb Package: libdataobjects-sqlite3-ruby Source: ruby-dataobjects-sqlite3 Version: 0.10.8-3 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dataobjects-sqlite3 Size: 4108 SHA256: 22f80ec4f528d45aff053e403d012efcd591d5de71ed5ce4c348f63e982e567f SHA1: c43e2baecebe0e10487162d2c9577503612e5f67 MD5sum: a6be5bf2a546681416360461c1cdd010 Description: Transitional package for ruby-dataobjects-sqlite3 This is a transitional package to ease upgrades to the ruby-dataobjects-sqlite3 package. It can safely be removed. Homepage: https://github.com/datamapper/do Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dataobjects-sqlite3/libdataobjects-sqlite3-ruby_0.10.8-3_all.deb Package: libdataobjects-sqlite3-ruby1.8 Source: ruby-dataobjects-sqlite3 Version: 0.10.8-3 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dataobjects-sqlite3 Size: 4118 SHA256: 5e621c789bcb0a6b74ac4df53bd508c89d7f0c905fa08585b89c36b4a7477474 SHA1: 671fbab6a195a18efd514d512f220b233ba1cf70 MD5sum: 91cd41d6e4b2b4721fd14354319ffbdd Description: Transitional package for ruby-dataobjects-sqlite3 This is a transitional package to ease upgrades to the ruby-dataobjects-sqlite3 package. It can safely be removed. Homepage: https://github.com/datamapper/do Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dataobjects-sqlite3/libdataobjects-sqlite3-ruby1.8_0.10.8-3_all.deb Package: libdataobjects-sqlite3-ruby1.9.1 Source: ruby-dataobjects-sqlite3 Version: 0.10.8-3 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dataobjects-sqlite3 Size: 4124 SHA256: 52a58f2756367c0a1c45ce01a17e0f83b067257e176e954c3313caa9b69e965b SHA1: c9f53a6be833199e795e61ba54b0546ca897542c MD5sum: f205be54a21ec26f68aab6521a2ff5c7 Description: Transitional package for ruby-dataobjects-sqlite3 This is a transitional package to ease upgrades to the ruby-dataobjects-sqlite3 package. It can safely be removed. Homepage: https://github.com/datamapper/do Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dataobjects-sqlite3/libdataobjects-sqlite3-ruby1.9.1_0.10.8-3_all.deb Package: libdatapager-perl Version: 0.01-2 Installed-Size: 68 Maintainer: Dmitry E. Oboukhov Architecture: all Depends: perl (>= 5.6.0-16) Size: 8122 SHA256: 228b446693103c8b775bc879eecb2983fa0458fbd85157884cfa5b1ea9743f7e SHA1: 4540213f96ebceaaef9512feefdbb5224fde7b0b MD5sum: e4bf1e53758c081d89cf667aefe16f7c Description: Data::Pager - flexible data pager This Perl-class implements the familiar pager where the current position is centered. Homepage: http://search.cpan.org/~vidul/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatapager-perl/libdatapager-perl_0.01-2_all.deb Package: libdate-calc-perl Version: 6.3-1 Installed-Size: 728 Maintainer: Debian Perl Group Architecture: all Depends: perl, libbit-vector-perl (>= 7.1), libcarp-clan-perl (>= 6.04) Recommends: libdate-calc-xs-perl Size: 218194 SHA256: 887bdcbab965120dc6d4720aeab30a0bee59bbb9b879a642a57e1a33019145f4 SHA1: fa5a038d7418ac7fbbe8f0021a1e0444a55c8475 MD5sum: eb81c1f3969e8968354c9b53382af046 Description: Perl library for accessing dates Date::Calc is a Perl module which provides a variety of date calculations based on the Gregorian calendar (the one used in Western countries today), complying with the ISO/R 2015-1971 and DIN 1355 standards which specify things such as what leap years are, when they occur, how the week numbers are defined, what's the first day of the week, how many weeks (52 or 53) a given year has, and so on. . Although the Gregorian calendar was only adopted 1582 by most (not all) European countries (some countries continued to use the Julian calendar until as late as the beginning of the 20th century!), this package allows you to extrapolate the Gregorian calendar back until the year 1. . If Date::Calc::XS (libdate-calc-xs-perl) is available, this package will automatically accelerate its operation using the C/XS implementation. Homepage: http://search.cpan.org/dist/Date-Calc/ Tag: devel::lang:perl, devel::library, implemented-in::c, implemented-in::perl, role::devel-lib, use::timekeeping Section: perl Priority: optional Filename: pool/main/libd/libdate-calc-perl/libdate-calc-perl_6.3-1_all.deb Package: libdate-calc-xs-perl Version: 6.3-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 200 Depends: perl (>= 5.14.2-11), perlapi-5.14.2, libc6 (>= 2.13-28), libbit-vector-perl (>= 7.1), libcarp-clan-perl, libdate-calc-perl (>= 6.3) Breaks: libdate-calc-perl (<< 6.2-1) Replaces: libdate-calc-perl (<< 6.2-1) Homepage: http://search.cpan.org/dist/Date-Calc-XS/ Priority: optional Section: perl Filename: pool/main/libd/libdate-calc-xs-perl/libdate-calc-xs-perl_6.3-1_armhf.deb Size: 59384 SHA256: ee85ef4c48725380909c1109574a07717ee6f0af161e1dc0b26b52111cb9e396 SHA1: b721ba3212b9689ab5e625d92f58de33de9d2c81 MD5sum: bd6f707a08bc4d6c5ca64b31863b68d3 Description: Perl library for accessing dates Date::Calc::XS is a C/XS-based implementation of the Date::Calc Perl module. It interfaces with a C library to provide a wide variety of calculations based on the Gregorian calendar. This package is never used directly. See Date::Calc's documentation (libdate-calc-perl) for usage details. Package: libdate-convert-perl Version: 0.16-3 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 19546 SHA256: 71e95a48da5facdc6ae61887609d89376ea466c29db265cbf1eb84d8bd92afe6 SHA1: a76f29c3212d6d2c70a2bda771e02bca22601a66 MD5sum: debcb69a175e1a2d8048e7e56731feb4 Description: Convert Between any two Calendrical Formats Date::Convert is intended to allow you to convert back and forth between any arbitrary date formats (ie. pick any from: Gregorian, Julian, Hebrew, Absolute, and any others that get added on). Tag: devel::lang:perl, devel::library, implemented-in::perl, interface::commandline Section: perl Priority: optional Filename: pool/main/libd/libdate-convert-perl/libdate-convert-perl_0.16-3_all.deb Package: libdate-hijri-perl Version: 0.02-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 8606 SHA256: ae298ab54ba5f28c4b3e996c167f09360286ce6d316f01571cef0a2a0984b40f SHA1: 90fa615e10874dcee429eed6821fc09e8cac9868 MD5sum: f01f0bb3eaea74c597bb287248c64e27 Description: Perl module to convert Gregorian dates to Hijri and vice versa The Date::Hijri module converts Gregorian dates to Islamic (Hijri) and vice versa. . The dates must be given as an array containing the day, month and year, and return the corresponding date as a list with the same elements. Homepage: http://search.cpan.org/dist/Date_Hijri/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libd/libdate-hijri-perl/libdate-hijri-perl_0.02-1_all.deb Package: libdate-iso8601-perl Version: 0.003-1 Installed-Size: 80 Maintainer: Ivan Kohler Architecture: all Depends: perl (>= 5.10.1) Size: 13936 SHA256: 344f2e1f4551f21122aa7aeda04774e65258cbf48aad3f5245a76be69112ba9c SHA1: 24bbfc493c9df88c404945217f14268ae10ef002 MD5sum: 384cb8e227c6c2dc36cf6c0d2dda07b7 Description: Perl handling of the three ISO 8601 numerical calendars The international standard ISO 8601 "Data elements and interchange formats - Information interchange - Representation of dates and times" defines three distinct calendars by which days can be labelled. It also defines textual formats for the representation of dates in these calendars. Date::ISO8601 provides functions to convert dates between these three calendars and Chronological Julian Day Numbers, which is a suitable format to do arithmetic with. It also supplies functions that describe the shape of these calendars, to assist in calendrical calculations. It also supplies functions to represent dates textually in the ISO 8601 formats. ISO 8601 also covers time of day and time periods, but this module does nothing relating to those parts of the standard; this is only about labelling days. . The first ISO 8601 calendar divides time up into years, months, and days. It corresponds exactly to the Gregorian calendar, invented by Aloysius Lilius and promulgated by Pope Gregory XIII in the late sixteenth century, with AD (CE) year numbering. This calendar is applied to all time, not just to dates after its invention nor just to years 1 and later. Thus for ancient dates it is the proleptic Gregorian calendar with astronomical year numbering. . This description was automagically extracted from the module by dh-make-perl. Homepage: http://search.cpan.org/dist/Date-ISO8601/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdate-iso8601-perl/libdate-iso8601-perl_0.003-1_all.deb Package: libdate-jd-perl Version: 0.003-1 Installed-Size: 92 Maintainer: Ivan Kohler Architecture: all Depends: perl (>= 5.6) Size: 19960 SHA256: c90e40379e03074736625661cbcea51a5580c1cc4893a582d71ca1a0054c9216 SHA1: 810223cdc5950fc54097016611b4c19c52e48636 MD5sum: c84d27e307cf8870eea5611964d1aa70 Description: conversion between flavours of Julian Date For date and time calculations it is convenient to represent dates by a simple linear count of days, rather than in a particular calendar. This is such a good idea that it has been invented several times. If there were a single such linear count then it would be the obvious data interchange format between calendar modules. With several versions, calendar modules can use such sensible data formats and still have interoperability problems. Date::JD tackles that problem, by performing conversions between different flavours of day count. These day count systems are generically known as "Julian Dates", after the most venerable of them. . Among Julian Date systems there are also some non-trivial differences of concept. There are systems that count only complete days, and those that count fractional days also. There are some that are fixed to Universal Time (time on the prime meridian), and others that are interpreted according to a timezone. Some consider the day to start at noon and others at midnight, which is semantically significant for the complete-day counts. The functions of this module appropriately handle the semantics of all the non-trivial conversions. Homepage: http://search.cpan.org/dist/Date-JD/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdate-jd-perl/libdate-jd-perl_0.003-1_all.deb Package: libdate-leapyear-perl Version: 1.72-1 Installed-Size: 56 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 5052 SHA256: ec2c74689e7ccc4e4296f3313676e980006cc6a2722ce1fd6447c6323e1d8a74 SHA1: 396dfcc295e9391609fc53306ec55589cea75d0a MD5sum: 1d375b1e6e1acf27ccf396394911b210 Description: Perl module to determine whether or not a year is a leapyear Date::Leapyear is a simple, non-OO module to determine whether or not a year is a leap year. It exports one function, isleap, which returns 1 or 0, which determines whether a year is leap or not Homepage: http://search.cpan.org/dist/Date-Leapyear/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::timekeeping Section: perl Priority: optional Filename: pool/main/libd/libdate-leapyear-perl/libdate-leapyear-perl_1.72-1_all.deb Package: libdate-manip-perl Version: 6.32-1 Installed-Size: 11276 Maintainer: Debian Perl Group Architecture: all Depends: perl, libyaml-syck-perl Size: 2870232 SHA256: 7ae496c4719a9ac50af66d8e8fd089bf398326578e36ce07bb65dca463eec616 SHA1: cdc75e58166f5896a2917319a0880e7340676c53 MD5sum: 6737b3e500a160ab4c52192b87a67cbf Description: module for manipulating dates Date::Manip is a Perl module that contains a set of routines designed to make any common date/time manipulation easy to do. It aims to make operations like comparing two times, calculating a time a given amount of time from another, or parsing international times simpler. . The focus of Date::Manip has been to be able to do ANY desired date or time operation easily, though not necessarily quickly. There are other modules that can do a small subset of these operations quicker, so if speed is a primary issue, you should look elsewhere. Homepage: http://search.cpan.org/dist/Date-Manip/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::timekeeping Section: perl Priority: optional Filename: pool/main/libd/libdate-manip-perl/libdate-manip-perl_6.32-1_all.deb Package: libdate-pcalc-perl Version: 6.1-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 816 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libbit-vector-perl (>= 7.1), libcarp-clan-perl Homepage: http://search.cpan.org/dist/Date-Pcalc/ Priority: optional Section: perl Filename: pool/main/libd/libdate-pcalc-perl/libdate-pcalc-perl_6.1-1_armhf.deb Size: 261008 SHA256: 259bd94ac2c5a1e7d13e478fdbac224823b798ba710268f9b6aeedcd32ea0ecd SHA1: 08022b8da497f5406862a8f59db740898a0deeb2 MD5sum: baf75145e1782c7330fed248805600c4 Description: Perl module for Gregorian calendar date calculations This package consists of a Perl module for all kinds of date calculations based on the Gregorian calendar (the one used in all western countries today), thereby complying with all relevant norms and standards: ISO/R 2015-1971, DIN 1355 and, to some extent, ISO 8601 (where applicable). . This module is a direct translation of Steffen Beyer's excellent Date::Calc module to Perl. Package: libdate-simple-perl Version: 3.03.03-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 129 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://search.cpan.org/dist/Date-Simple/ Priority: optional Section: perl Filename: pool/main/libd/libdate-simple-perl/libdate-simple-perl_3.03.03-1_armhf.deb Size: 41266 SHA256: e790d468c5b167475daef7fa8290f9a911bc89dfe615a2a44be232a2eca50056 SHA1: a9fdcf96ddee5b354087d9d56387b1d69cd5ef15 MD5sum: 8626d908526ba8529de75093523666bb Description: a simple date object for Perl Dates are complex enough without times and timezones. This module may be used to create simple date objects. . It handles validation, interval arithmetic, day-of-week calculation and transparent date formatting. . It does not deal with hours, minutes, seconds, and time zones. Package: libdatetime-astro-sunrise-perl Version: 0.01.01-3 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-perl Size: 13236 SHA256: 626856100672d7a004ff90fdcfc0422950fefba8cc9599a29e463263d5120d94 SHA1: 5f66390c36d8a98ff54d673df14849d07709d26b MD5sum: 9ace25144489489b757fc5923abb31d2 Description: module for computing the time of sunrise and sunset DateTime::Astro::Sunrise returns DateTime objects for sunrise and sunset for a given day. Homepage: http://search.cpan.org/dist/DateTime-Astro-Sunrise/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-astro-sunrise-perl/libdatetime-astro-sunrise-perl_0.01.01-3_all.deb Package: libdatetime-calendar-discordian-perl Version: 1.0-1 Installed-Size: 68 Maintainer: Jaldhar H. Vyas Architecture: all Depends: perl, libdatetime-locale-perl, libparams-validate-perl Size: 14230 SHA256: 65a2a5d9474aa62ebd3c744c46435d809a68f0bb4332bbb147e4a721f6fb837d SHA1: 9cd2ff477c67db62e70efce4b6e777478e24912a MD5sum: c9a80502c87342e39d85adf04bb22e34 Description: Perl extension for the Discordian Calendar This is a module that implements the Discordian calendar made popular in the "Illuminatus!" trilogy by Robert Shea and Robert Anton Wilson and the Church of the SubGenius. It follows the DateTime API. Tag: devel::lang:perl, devel::library, implemented-in::perl, use::timekeeping Section: perl Priority: optional Filename: pool/main/libd/libdatetime-calendar-discordian-perl/libdatetime-calendar-discordian-perl_1.0-1_all.deb Package: libdatetime-event-cron-perl Version: 0.08-1 Installed-Size: 73 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-perl, libdatetime-set-perl, libset-crontab-perl Size: 13676 SHA256: b80980c79da7661d8fc446f214d4565771c2d8be49767961779a082952b5bb06 SHA1: 13a6d9d077f83dd10a480144e988f260c19888b2 MD5sum: e14c65ab7a11b8de0bd5511bfea08202 Description: DateTime extension for generating recurrence sets from crontab lines and files DateTime::Event::Cron generates DateTime events and DateTime::Set objects based on crontab-style entries. The crontab formats are the extended V7 standard as described in crontab(5) on Linux systems and as noted in the documentation for Set::Crontab. Homepage: http://search.cpan.org/dist/DateTime-Event-Cron/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-event-cron-perl/libdatetime-event-cron-perl_0.08-1_all.deb Package: libdatetime-event-ical-perl Version: 0.10-1 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-perl, libdatetime-event-recurrence-perl Size: 14142 SHA256: 3044d600c81ce55e2d973c5b2cd0703f0a98858004fb992c4b4aaf1f8281b2a3 SHA1: 3da61738758546355fb849249c11e611eb03a391 MD5sum: 968ad0faa7ad00af5ec76ec6da31482d Description: Perl DateTime extension for computing RFC2445 recurrences DateTime::Event::ICal is a Perl module that provides convenience methods for easily creating DateTime::Set objects for RFC2445 style recurrences, such as 'last friday of march'. Homepage: http://search.cpan.org/dist/DateTime-Event-ICal/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::timekeeping Section: perl Priority: optional Filename: pool/main/libd/libdatetime-event-ical-perl/libdatetime-event-ical-perl_0.10-1_all.deb Package: libdatetime-event-recurrence-perl Version: 0.16-1 Installed-Size: 100 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libdatetime-perl, libdatetime-set-perl Size: 18748 SHA256: 6bcd92f179ef63983ce4683c1851e5176650090fc64217da1a2a65f94cd9a01c SHA1: 2108eaf38eab2f5c8ee8e9564c68eb9d37a4f49a MD5sum: 4b960d764d641baa78d34106810ed7ff Description: Perl module to create recurring DateTime instances DateTime::Event::Recurrence provides a way to create sets of DateTime objects the recur at specified intervals. Often, you will want DateTime::Set objects for dates that should occur at intervals like "once a month" or "every day." . More complex relationships can be created too, such as, "every Monday, Wednesday and Thursday at 10:00 AM and 2:00 PM." Homepage: http://search.cpan.org/dist/DateTime-Event-Recurrence/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::timekeeping Section: perl Priority: optional Filename: pool/main/libd/libdatetime-event-recurrence-perl/libdatetime-event-recurrence-perl_0.16-1_all.deb Package: libdatetime-event-sunrise-perl Version: 0.0501-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: libdatetime-perl (>= 0.21), libdatetime-set-perl (>= 0.16), perl (>= 5.6.0-16) Size: 15784 SHA256: 36deb6e56273dc42f835b65d0c5f7cd971d3d2acea30197a9c5d9d3982e53fd2 SHA1: 05e759f6317d498822a9fd50e166400f2500ba2a MD5sum: 5bc4fadecc005e8e2058baf9345b39b7 Description: Calculate sunrise and sunset for a given time and place This module will return a DateTime Object for sunrise and sunset for a given day. Homepage: http://search.cpan.org/dist/DateTime-Event-Sunrise/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-event-sunrise-perl/libdatetime-event-sunrise-perl_0.0501-1_all.deb Package: libdatetime-format-builder-perl Version: 0.8000-1 Installed-Size: 232 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-perl, libclass-factory-util-perl, libparams-validate-perl, libdatetime-format-strptime-perl Size: 68810 SHA256: 434c950dacbd5a910526bf7353609f991ce92ae46957eaaa3431339cfcb4861b SHA1: b4c85832afe13868143af8128c937f3d1c4a5ef9 MD5sum: ea19d805a7df158d7f37ed297447d8a4 Description: module to create DateTime parsers DateTime::Format::Builder creates DateTime parsers. Many string formats of dates and times are simple and just require a basic regular expression to extract the relevant information. Builder provides a simple way to do this without writing reams of structural code. . Builder provides a number of methods, most of which you'll never need, or at least rarely need. They're provided more for exposing of the module's innards to any subclasses, or for when you need to do something slightly unusual. Homepage: http://search.cpan.org/dist/DateTime-Format-Builder/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-builder-perl/libdatetime-format-builder-perl_0.8000-1_all.deb Package: libdatetime-format-dateparse-perl Version: 0.05-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-timezone-perl, libdatetime-perl, libtimedate-perl Size: 8246 SHA256: a5fed95420c51f8a433324e23b8a11a8c93f0df49260486caa39206de1a61e41 SHA1: 632e5b438672ddb4da5475ba93f44543c076e130 MD5sum: 3ed0bb16caf0df184a80bd483aa901ad Description: Perl module parsing Date::Parse compatible formats DateTime::Format::DateParse is a rather simplistic convenience module that simply wraps the Date::Parse module (see libtimedate-perl) and creates DateTime objects from given strings. Homepage: http://search.cpan.org/dist/DateTime-Format-DateParse/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-dateparse-perl/libdatetime-format-dateparse-perl_0.05-1_all.deb Package: libdatetime-format-db2-perl Version: 0.05-2 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: libdatetime-format-builder-perl, libdatetime-perl, perl (>= 5.6.0-16) Size: 6686 SHA256: 743ee12466eb4799983e5908b895076dbbc3285d10034c01c62700cba405730c SHA1: 4bdab3bae62e5101641387a4025e2b1d6c1bffac MD5sum: 2b5363a963c18e5777d597cfedc65eb0 Description: Parse and format DB2 dates and times This module understands the formats used by DB2 for its DATE, TIME, and TIMESTAMP data types. It can be used to parse these formats in order to create DateTime objects, and it can take a DateTime object and produce a string representing it in the DB2 format. Homepage: http://search.cpan.org/dist/DateTime-Format-DB2/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-db2-perl/libdatetime-format-db2-perl_0.05-2_all.deb Package: libdatetime-format-dbi-perl Version: 0.040-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-perl, libdbi-perl Recommends: libdatetime-format-db2-perl, libdatetime-format-mysql-perl, libdatetime-format-pg-perl, libdatetime-format-sqlite-perl Size: 9792 SHA256: c545e31d01e421e6faff448671bc5eb20a08d00f279f85c6fa48c3ce952cb146 SHA1: 48d99c1fd394b96585a3e0d7a2c798580fdb0429 MD5sum: 613d02f9e475634f3ba38a92130f4743 Description: abstraction layer for DateTime over some database vendors DateTime::Format::DBI finds a DateTime::Format::* class that is suitable for the use with a given DBI connection (and DBD::* driver). . It currently supports the following format modules: IBM DB2 (DB2)|DateTime::Format::DB2, MySQL|DateTime::Format::MySQL, Oracle|DateTime::Format::Oracle, PostgreSQL (Pg)|DateTime::Format::Pg, SQLite|DateTime::Format::SQLite. . NOTE: This module provides a quick method to find the correct parser and formatter class. However, this is usually not sufficient for full database abstraction. You will also have to cater for differences in the syntax and semantics of SQL datetime functions (and other SQL commands). Homepage: http://search.cpan.org/dist/DateTime-Format-DBI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-dbi-perl/libdatetime-format-dbi-perl_0.040-1_all.deb Package: libdatetime-format-duration-perl Version: 1.03a-1 Installed-Size: 104 Maintainer: Jonas Genannt Architecture: all Depends: perl, libdatetime-perl Size: 19848 SHA256: 1548f045eecea3b110d3e357871169acbb16237c61d577f931f0821cd7066876 SHA1: 65f942c602379acc5449a06641da5d9c1a71865a MD5sum: e079a7b46cfc9cf25cd0da04609b658e Description: Format and parse DateTime::Durations objects in perl This perl module formats and parses DateTime::Duration objects as well other durations representations. Homepage: http://search.cpan.org/dist/DateTime-Format-Duration/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-duration-perl/libdatetime-format-duration-perl_1.03a-1_all.deb Package: libdatetime-format-epoch-perl Version: 0.11-1 Installed-Size: 172 Maintainer: Ivan Kohler Architecture: all Depends: perl, libdatetime-perl (>= 0.31) Size: 40904 SHA256: 2d0b2ccf52c8802ea77d455ed2ce1fd7b8fb10d8407f6b63999f68e3e4911df1 SHA1: 9dd87388e2c0b8eefb9f73732e3d520cd7565948 MD5sum: b6f6eb1ae3dc184ba8108fb629542766 Description: Convert DateTimes to/from epoch seconds DateTime::Format::Epoch can convert a DateTime object (or any object that can be converted to a DateTime object) to the number of seconds since a given epoch. It can also do the reverse. Homepage: http://search.cpan.org/dist/DateTime-Format-Epoch/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-epoch-perl/libdatetime-format-epoch-perl_0.11-1_all.deb Package: libdatetime-format-flexible-perl Version: 0.23-1 Installed-Size: 139 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-format-builder-perl (>= 0.74), libdatetime-perl, libdatetime-timezone-perl, liblist-moreutils-perl Pre-Depends: dpkg (>= 1.15.6) Size: 33184 SHA256: 2542e415d1c63dbeebac50e8671f294fd0468758667ab7f94fc05a6d79a89d1a SHA1: 767a3882cdedf840e1f815c60af34bbdff6aee0a MD5sum: 9cb26c7d52cb11c8c71ee35cd39ca087 Description: Perl module to transform strings into DateTime objects DateTime::Format::Flexible is designed to transform arbitrary date/time strings into DateTime objects. It is currently able to parse and extract date information from over 2500 variations of date/time strings. . Although this module is similar in nature to DateTime::Format::Natural (see libdatetime-format-natural-perl), it currently fails to parse more than 2000 of the formats successfully parsed by this module. Homepage: http://search.cpan.org/dist/DateTime-Format-Flexible/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-flexible-perl/libdatetime-format-flexible-perl_0.23-1_all.deb Package: libdatetime-format-http-perl Version: 0.40-1 Installed-Size: 28 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-perl, libwww-perl Size: 11370 SHA256: dc033c1671c39f693640a7f616e00fcf09ccedab39843f8c338a53df68604f0b SHA1: 5e61abc4ad29551525e8216ebe350c1f523681a0 MD5sum: dbd53c208071c78902df468b18ccf857 Description: Perl module for date conversion with date formats used by the HTTP protocol DateTime::Format::HTTP provides functions that deal the date formats used by the HTTP protocol (and then some more). Homepage: http://search.cpan.org/dist/DateTime-Format-HTTP/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::converting, use::timekeeping Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-http-perl/libdatetime-format-http-perl_0.40-1_all.deb Package: libdatetime-format-ical-perl Version: 0.09-1 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libdatetime-timezone-perl (>= 0.22), libdatetime-event-ical-perl (>= 0.03), libdatetime-perl (>= 0.17), libparams-validate-perl (>= 0.59), libdatetime-set-perl (>= 0.1) Size: 12520 SHA256: 77d7362112ff47da81d594a9c48287f6f2b3e2e149c87c1d638dd8548bf209c8 SHA1: 03478de75241d42a59f21704cf004ac496f241d1 MD5sum: 048d5ff6622c9ed4e96fce4b5cd32289 Description: Perl module to parse and format iCal datetime and duration strings DateTime::Format::ICal understands the ICal date/time and duration formats, as defined in RFC 2445. It can be used to parse these formats in order to create the appropriate objects. Homepage: http://search.cpan.org/dist/DateTime-Format-ICal/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-ical-perl/libdatetime-format-ical-perl_0.09-1_all.deb Package: libdatetime-format-iso8601-perl Version: 0.08-1 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-format-builder-perl, libdatetime-perl Size: 16822 SHA256: 75c0df9002d105f4a5d464dee1aec2f4c7f382778f865b1e70a24a38be881e82 SHA1: be1ec7faf260c38bbdcb4efd0db9ee7dab7aad6e MD5sum: f5a43321c93ec6632a71c4b2ede03dee Description: module to parse ISO8601 date and time formats DateTime::Format::ISO8601 is a Perl DateTime extension that parses almost all ISO8601 date and time formats. The signature feature of ISO 8601 date/time representations is the ordering of date and time values from the most to the least significant or, in plain terms, from the largest (the year) to the smallest (the second). . Example date: 2008-04-18 Example separate date and time in UTC: 2008-04-18 08:47Z Example combined date and time in UTC: 2008-04-18T08:47Z Example date with week number: 2008-W16-5 . ISO 8601 time intervals will be supported in a later release. Homepage: http://search.cpan.org/dist/DateTime-Format-ISO8601/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-iso8601-perl/libdatetime-format-iso8601-perl_0.08-1_all.deb Package: libdatetime-format-mail-perl Version: 0.3001-1 Installed-Size: 40 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), perl-modules, libdatetime-perl, libparams-validate-perl Size: 14540 SHA256: 1f03a7fff628d64638314bdaa0a3a6701edc5f1a4ea3ebdfaf31fa5b158e4451 SHA1: 9c31fd2b10eb6087473778e2b4a64b122bd45c13 MD5sum: 82c30ebc39a19e92dc5dde51a92ec5cb Description: Convert between DateTime and RFC2822/822 formats RFCs 2822 and 822 specify date formats to be used by email. This module parses and emits such dates. Tag: devel::lang:perl, implemented-in::perl, use::converting, works-with::mail Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-mail-perl/libdatetime-format-mail-perl_0.3001-1_all.deb Package: libdatetime-format-mysql-perl Version: 0.04-2 Installed-Size: 28 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libdatetime-perl, libdatetime-format-builder-perl Size: 6656 SHA256: 3af0444b0cb1524e4821d2f79f905017c9c9279e63aba863615e33335128c4ae SHA1: efde92d18e120491920beae21febd1152283701d MD5sum: 17b2b4d1d237ac57c994acd9a738962c Description: Parse and format MySQL dates and times This module understands the formats used by MySQL for its DATE, DATETIME, TIME, and TIMESTAMP data types. It can be used to parse these formats in order to create DateTime objects, and it can take a DateTime object and produce a string representing it in the MySQL format. Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-mysql-perl/libdatetime-format-mysql-perl_0.04-2_all.deb Package: libdatetime-format-natural-perl Version: 1.00-1 Installed-Size: 296 Maintainer: Debian Perl Group Architecture: all Depends: perl, libboolean-perl, libdatetime-perl, libdatetime-timezone-perl, libclone-perl, liblist-moreutils-perl, libparams-validate-perl Suggests: libdate-calc-perl Size: 80294 SHA256: 965e0859dc364693a829bc72c728aeebb2ba7d2e52f275ba029d4de8461b53bf SHA1: b19a8eafcaa70afd60445a993d1d05947dd8e956 MD5sum: b2b43d322e9dd7ac279063128b782a33 Description: Perl module for parsing human-readable date/time strings DateTime::Format::Natural parses a string with a human readable date/time and creates a machine readable one by applying natural parsing logic. It also understands timespans. . The module is currently limited to English. Homepage: http://search.cpan.org/dist/DateTime-Format-Natural/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::converting, use::timekeeping Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-natural-perl/libdatetime-format-natural-perl_1.00-1_all.deb Package: libdatetime-format-oracle-perl Version: 0.06-1 Installed-Size: 63 Maintainer: Debian Perl Group Architecture: all Depends: perl, libconvert-nls-date-format-perl (>= 0.03), libdatetime-format-builder-perl, libdatetime-perl Size: 10826 SHA256: cec4c7cf982efae9e0e4e40385c03aea03e751f9e1f2ba4e4b50e4ea10a4702b SHA1: 4c8b0cdea38229adf0273f538648b83c69c0332e MD5sum: 10e9fb7bed3da2653dcb08369cbc56a9 Description: module for parsing and formatting Oracle dates and timestamps DateTime::Format::Oracle may be used to convert Oracle date and timestamp values into DateTime objects. It also can take a DateTime object and produce a date string matching the NLS_DATE_FORMAT. . Oracle has flexible date formatting via its NLS_DATE_FORMAT session variable. Date values will be returned from Oracle according to the current value of that variable. Date values going into Oracle must also match the current setting of NLS_DATE_FORMAT. . Timestamp values will match either the NLS_TIMESTAMP_FORMAT or NLS_TIMESTAMP_TZ_FORMAT session variables. . This module keeps track of these Oracle session variable values by examining environment variables of the same name. Each time one of Oracle's formatting session variables is updated, the %ENV hash must also be updated. Homepage: http://search.cpan.org/dist/DateTime-Format-Oracle/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-oracle-perl/libdatetime-format-oracle-perl_0.06-1_all.deb Package: libdatetime-format-pg-perl Version: 0.16007-1 Installed-Size: 81 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-format-builder-perl, libdatetime-perl, libdatetime-timezone-perl Size: 19282 SHA256: dcb854368d91a12a8b56c3b6ec8d49da4892a7b9c77f4a8f34f1eef028f793b1 SHA1: 086e1a438db65cfe93039105c98ce61319087990 MD5sum: 0847f4fce2e838e5e1393b9025ed0572 Description: module for parsing and formatting PostgreSQL dates and times DateTime::Format::Pg understands the formats used by PostgreSQL for its DATE, TIME, TIMESTAMP and INTERVAL data types. It can be used to parse these formats in order to create DateTime or DateTime::Duration objects, and it can take a DateTime or DateTime::Duration object and produce a string representing it in a format accepted by PostgreSQL. Homepage: http://search.cpan.org/dist/DateTime-Format-Pg/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-pg-perl/libdatetime-format-pg-perl_0.16007-1_all.deb Package: libdatetime-format-sqlite-perl Version: 0.11-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-perl (>= 0.1), libdatetime-format-builder-perl (>= 0.6) Size: 8146 SHA256: f2956bec65c629b5086ccd3471fb6761fcc7c5b75c1b59e8d1518158c94268de SHA1: 8ba87816579bcae9efcfeec8f6f9e5e8a2dbb5b7 MD5sum: 1cf97032ab0e85db0931858ebc479aa5 Description: module to parse and format SQLite dates and times DateTime::Format::SQLite understands the formats used by SQLite for its date, datetime and time functions. It can be used to parse these formats in order to create DateTime objects, and it can take a DateTime object and produce a timestring accepted by SQLite. Homepage: http://search.cpan.org/dist/DateTime-Format-SQLite/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-sqlite-perl/libdatetime-format-sqlite-perl_0.11-1_all.deb Package: libdatetime-format-strptime-perl Version: 1.5000-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-perl (>= 2:0.4304), libdatetime-locale-perl (>= 1:0.45), libdatetime-timezone-perl (>= 1:0.79), libparams-validate-perl Size: 28068 SHA256: daa0b700aa670362516ccb4c620a40047330a04452eb64fc7e8198c241aeaccb SHA1: ed021c3457284c950d09a5e7ff24fbd19e586227 MD5sum: 40fb65245a3aa31c8b3b2ef0f7913989 Description: Perl module to parse and format strp and strf time patterns DateTime::Format::Strptime implements most of strptime(3), the POSIX function that is the reverse of strftime(3), for DateTime. While strftime takes a DateTime and a pattern and returns a string, strptime takes a string and a pattern and returns the DateTime object associated. Homepage: http://datetime.perl.org/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-strptime-perl/libdatetime-format-strptime-perl_1.5000-1_all.deb Package: libdatetime-format-w3cdtf-perl Version: 0.06-1 Installed-Size: 24 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-perl, libdatetime-timezone-perl Size: 9010 SHA256: 11431ebbbf9a2b6c2263c0a7405dc56b6e37ad5016b6f463430cbf6aa35f2ee2 SHA1: 342dc453f506ad71b6126b0c99d0d717d66960b9 MD5sum: b4d9565779f27716f5683b066da0c9fa Description: module to parse and format W3CDTF datetime strings DateTime::Format::W3CDTF is a Perl module that understands the W3CDTF date and time format, an ISO 8601 profile. It has a full specification online at: . This is the native date format for RSS 1.0. Homepage: http://search.cpan.org/dist/DateTime-Format-W3CDTF/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-w3cdtf-perl/libdatetime-format-w3cdtf-perl_0.06-1_all.deb Package: libdatetime-format-xsd-perl Version: 0.2-1 Installed-Size: 54 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-format-iso8601-perl Size: 8618 SHA256: 2dad7f7adc69af23daae0e698eb4e8612d1f68bb8b18e4a9c966ad9733f1a53e SHA1: affdb787c340e9107bfecebfa95b37bd0bbb90e3 MD5sum: 95c68b74cf639508af2834ea2d23e2ce Description: format DateTime according to xsd:dateTime XML Schema defines a usage profile which is a subset of the ISO8601 profile. This profile defines that the following is the only possible representation for a dateTime, despite all other options ISO provides. . YYYY-MM-DD"T"HH:MI:SS(Z|[+-]zh:zm) . DateTime::Format::XSD is a subclass of DateTime::Format::ISO8601, therefore it will be able to parse all other ISO options, but will only format it in this exact spec. Homepage: http://search.cpan.org/dist/DateTime-Format-XSD/ Section: perl Priority: optional Filename: pool/main/libd/libdatetime-format-xsd-perl/libdatetime-format-xsd-perl_0.2-1_all.deb Package: libdatetime-locale-perl Version: 1:0.45-1 Installed-Size: 8328 Maintainer: Debian Perl Group Architecture: all Depends: perl, liblist-moreutils-perl, libparams-validate-perl (>= 0.91) Breaks: libdatetime-format-strptime-perl (<< 1.2000) Size: 2276256 SHA256: acd8afd61f7f37d6eb508319872d6d277813436ebd73b62db611f02f54ca11ef SHA1: 6ed8babcbbaae0e73e8bd63d85ec3bcf7a705904 MD5sum: 34771b71af026407b2d88e7af5596a81 Description: Perl extension providing localization support for DateTime DateTime::Locale extends DateTime by providing localization support. It also provides some functions for getting information on available locales and is easily customizable through the addition of new locales. Homepage: http://datetime.perl.org/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::timekeeping Section: perl Priority: extra Filename: pool/main/libd/libdatetime-locale-perl/libdatetime-locale-perl_0.45-1_all.deb Package: libdatetime-perl Version: 2:0.7500-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 283 Depends: perl (>= 5.14.2-11), perlapi-5.14.2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libdatetime-locale-perl (>= 1:0.41), libdatetime-timezone-perl (>= 1:1.09), libmath-round-perl, libparams-validate-perl Homepage: http://datetime.perl.org/ Priority: optional Section: perl Filename: pool/main/libd/libdatetime-perl/libdatetime-perl_0.7500-1_armhf.deb Size: 110180 SHA256: b434485e19a56185ffabd41cc409d4b97cd6ab56a6327a00149230c1bcfe05af SHA1: cde18536bfde68f6d282e21d479e28bdcb994c6b MD5sum: 672d7a46dc026bd05ef37109f902b22f Description: module for manipulating dates, times and timestamps DateTime is a Perl module which aims to provide a complete, correct, and easy to use date/time object implementation. It provides an easy way to manipulate dates and times, including date calculations (even addition and subtraction) and provides convenient methods for extracting or modifying portions of any date or time. . This module supports the Olson time zone database, meaning that historical time zone information, and more importantly, daylight saving time rules, can be handled transparently, simply by setting the correct time zone. This is done by using the DateTime::TimeZone module. Package: libdatetime-set-perl Version: 0.31-1 Installed-Size: 184 Maintainer: Debian Perl Group Architecture: all Depends: perl, libset-infinite-perl, libdatetime-perl, libparams-validate-perl Size: 48990 SHA256: 0064e212e421982c5277641303e1242ede3be74d2483d2666bbebec95a11dc6c SHA1: c9713df1e16a1aa5e8e08d0907fcc3f26d7a72a4 MD5sum: 673a38007992128c2dc59307e9875068 Description: Datetime sets and set math DateTime::Set is a module for date/time sets. It can be used to handle two different types of sets. . The first is a fixed set of predefined datetime objects. For example, to create a set of dates containing the birthdays of people in a family. . The second type of set that it can handle is one based on the idea of a recurrence, such as "every Wednesday", or "noon on the 15th day of every month". This type of set can have fixed starting and ending datetimes, but neither is required. So "every Wednesday set" could be "every Wednesday from the beginning of time until the end of time", or "every Wednesday after 2003-03-05 until the end of time", or "every Wednesday between 2003-03-05 and 2004-01-07". Homepage: http://search.cpan.org/dist/DateTime-Set/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-set-perl/libdatetime-set-perl_0.31-1_all.deb Package: libdatetime-timezone-perl Version: 1:1.58-1+2018e Installed-Size: 6696 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-load-perl, libclass-singleton-perl, libparams-validate-perl Size: 267500 SHA256: 14924bec25a4eda6612cfefb96a61af9b9dadc5eb8f395bdeb63e63527867398 SHA1: f02233fbd44387e19014fb254ad73570ae01624f MD5sum: bbb7d60d86b20849c1c3c31e199eb3f7 Description: framework exposing the Olson time zone database to Perl DateTime::TimeZone is a Perl module framework providing an interface to the Olson time zone database. It exposes the database as a set of modules, one for each time zone defined, allowing for various optimizations in doing time zone calculations. . The Olson time zone database is the best available source for worldwide time zone information and is available from . Homepage: http://datetime.perl.org/ Pre-Depends: dpkg (>= 1.15.6~) Section: perl Priority: extra Filename: pool/main/libd/libdatetime-timezone-perl/libdatetime-timezone-perl_1.58-1+2018e_all.deb Package: libdatetime-timezone-systemv-perl Version: 0.003-1 Installed-Size: 84 Maintainer: Ivan Kohler Architecture: all Depends: perl (>= 5.6), libdate-jd-perl (>= 0.002), libdate-iso8601-perl Size: 13548 SHA256: 2879d34af7c05fae66c4ead89213a33d812c2b4f10741c137c9bc3115e47ecb1 SHA1: 4b3d3b558aef12b29a53d379c3480a18a05fc0ae MD5sum: b4e7ad75a9b5de1c7317dadcbba93b2f Description: System V and POSIX timezone strings An instance of this class represents a timezone that was specified by means of a System V timezone string or the POSIX extended form of the same syntax. These can express a plain offset from Universal Time, or a system of two offsets (standard and daylight saving time) switching on a yearly cycle according to certain types of rule. . This class implements the DateTime::TimeZone interface, so that its instances can be used with DateTime objects. Homepage: http://search.cpan.org/dist/DateTime-TimeZone-SystemV/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-timezone-systemv-perl/libdatetime-timezone-systemv-perl_0.003-1_all.deb Package: libdatetime-timezone-tzfile-perl Version: 0.002-1 Installed-Size: 80 Maintainer: Ivan Kohler Architecture: all Depends: perl (>= 5.6), libdatetime-timezone-systemv-perl (>= 0.002) Size: 11850 SHA256: ec2bdc4360a7b1875aee8eb324dcfa3014592a6128ad001e8897bf94e40baa3b SHA1: 5d8a1e5c5cba750f42eda495750a21836b0c803f MD5sum: 429e7c09c8afff2d647d1f7d0b0bd1bd Description: Perl handling of tzfile (zoneinfo) timezone files An instance of this class represents a timezone that was encoded in a file in the tzfile(5) format. These can express arbitrary patterns of offsets from Universal Time, changing over time. Offsets and change times are limited to a resolution of one second. . This class implements the DateTime::TimeZone interface, so that its instances can be used with DateTime objects. Homepage: http://search.cpan.org/dist/DateTime-TimeZone-Tzfile/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetime-timezone-tzfile-perl/libdatetime-timezone-tzfile-perl_0.002-1_all.deb Package: libdatetimex-easy-perl Version: 0.089-1 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-format-flexible-perl, libdatetime-format-ical-perl, libdatetime-format-natural-perl, libdatetime-perl, libtimedate-perl Size: 17038 SHA256: 6789f48c5a93783a8a0fcb8ff368cd0592e706a1827f3f995213661fd2c9e2be SHA1: e463ed0401d96666ba6759d313aec1d4f2477fee MD5sum: 7459399361f9e5e8fdbb649f4f80e476 Description: module to parse arbitrary date/time strings DateTimeX::Easy is a Perl module designed to make it easy to create DateTime objects from arbitrary strings. It uses a variety of DateTime::Format modules to do the bulk of the parsing, with some tweaks to smooth out the rough edges (mainly concerning timezone detection and selection). Homepage: http://search.cpan.org/dist/DateTimeX-Easy/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdatetimex-easy-perl/libdatetimex-easy-perl_0.089-1_all.deb Package: libdatrie-dev Source: libdatrie Version: 0.2.5-3 Architecture: armhf Maintainer: Theppitak Karoonboonyanan Installed-Size: 96 Depends: libdatrie1 (= 0.2.5-3) Suggests: libdatrie-doc (= 0.2.5-3) Conflicts: libdatrie0-dev Homepage: http://linux.thai.net/projects/libthai Priority: optional Section: libdevel Filename: pool/main/libd/libdatrie/libdatrie-dev_0.2.5-3_armhf.deb Size: 29932 SHA256: 305156c529ba310dd21594f3afd8d02c0e18af14cebb0161c37d71c4cca1e0f6 SHA1: 5612d5086c54acd44ad2b5bcc2e8958a3ac965ee MD5sum: 8b4419330ded318e4972b2d02f9db4f3 Description: Development files for double-array trie library Trie is a kind of digital search tree, an efficient indexing method with O(1) time complexity for searching. Comparably as efficient as hashing, trie also provides flexibility on incremental matching and key spelling manipulation. This makes it ideal for lexical analyzers, as well as spelling dictionaries. . This library is an implementation of double-array structure for representing trie, as proposed by Junichi Aoe. The details of the implementation can be found at http://linux.thai.net/~thep/datrie/datrie.html . This package contains the development libraries, header files and manpages you need to develop your programs using the datrie library. Package: libdatrie-doc Source: libdatrie Version: 0.2.5-3 Installed-Size: 181 Maintainer: Theppitak Karoonboonyanan Architecture: all Depends: lynx | www-browser Conflicts: libdatrie0-doc Size: 45754 SHA256: fbb38c1c385fe2d8e60c0703bb9f44af14f33e1f843e763cf8bddaca06fc88f5 SHA1: dedaf42edc955982eef5b2b2871a6ba7e626fa14 MD5sum: 4af51c5a5a4644f2d70239ff1f5bc8ba Description: Documentation files for double-array trie library Trie is a kind of digital search tree, an efficient indexing method with O(1) time complexity for searching. Comparably as efficient as hashing, trie also provides flexibility on incremental matching and key spelling manipulation. This makes it ideal for lexical analyzers, as well as spelling dictionaries. . This library is an implementation of double-array structure for representing trie, as proposed by Junichi Aoe. The details of the implementation can be found at http://linux.thai.net/~thep/datrie/datrie.html . This package contains some documents about the datrie library. Multi-Arch: foreign Homepage: http://linux.thai.net/projects/libthai Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libd/libdatrie/libdatrie-doc_0.2.5-3_all.deb Package: libdatrie1 Source: libdatrie Version: 0.2.5-3 Architecture: armhf Maintainer: Theppitak Karoonboonyanan Installed-Size: 69 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://linux.thai.net/projects/libthai Priority: optional Section: libs Filename: pool/main/libd/libdatrie/libdatrie1_0.2.5-3_armhf.deb Size: 26012 SHA256: c526a99f05948419f0a7bf520f0987578d636ff86ddb477944267979f166f0dc SHA1: 256954a55284fad8615166bf5f69215f9ff21520 MD5sum: 42f37d1722ea356246dd3b906e24f461 Description: Double-array trie library This package provides shared libraries needed to run programs that use the datrie library. It is usually automatically installed. Package: libdatrie1-bin Source: libdatrie Version: 0.2.5-3 Architecture: armhf Maintainer: Theppitak Karoonboonyanan Installed-Size: 69 Depends: libc6 (>= 2.13-28), libdatrie1 (>= 0.2.0) Homepage: http://linux.thai.net/projects/libthai Priority: optional Section: misc Filename: pool/main/libd/libdatrie/libdatrie1-bin_0.2.5-3_armhf.deb Size: 26560 SHA256: 6378a343e79e45bd24383e28155e966ff6a237fb0c73e79d245338e55c64527d SHA1: cc967245c2913aa2bde168d60960e16c32bf7331 MD5sum: 4f5edb40c5221634a03c968d787b8863 Description: Programs for double-array trie library Trie is a kind of digital search tree, an efficient indexing method with O(1) time complexity for searching. Comparably as efficient as hashing, trie also provides flexibility on incremental matching and key spelling manipulation. This makes it ideal for lexical analyzers, as well as spelling dictionaries. . This library is an implementation of double-array structure for representing trie, as proposed by Junichi Aoe. The details of the implementation can be found at http://linux.thai.net/~thep/datrie/datrie.html . This package contains the program files which is used with the library, including trietool, the trie manipulation tool. Package: libdawgdic-dev Source: dawgdic Version: 0.4.3-1 Installed-Size: 106 Maintainer: Jakub Wilk Architecture: all Size: 17530 SHA256: 11d68ff9b3a7b2d80d3eb77527c5e0cdfa62477685edcba87cb171a67f45caaf SHA1: b7d4f790e2ec9c0db120a33dd43ff83bd0fcd609 MD5sum: df517f0d2a653451835cc0f3f6280a84 Description: C++ library for DAWG dictionaries dawgdic is a C++ library for building and accessing dictionaries implemented with directed acyclic word graphs (DAWGs). . This package contains the development files. Multi-Arch: foreign Homepage: http://code.google.com/p/dawgdic/ Tag: devel::library, role::devel-lib Section: libdevel Priority: optional Filename: pool/main/d/dawgdic/libdawgdic-dev_0.4.3-1_all.deb Package: libdb++-dev Source: db-defaults Version: 5.1.6 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 26 Depends: libdb5.1++-dev (>= 5.1.25-2~) Conflicts: libdb4.6++-dev, libdb4.7++-dev, libdb4.8++-dev Multi-Arch: same Priority: extra Section: libdevel Filename: pool/main/d/db-defaults/libdb++-dev_5.1.6_armhf.deb Size: 2324 SHA256: 598d859420c7fc0ef5f398f719dec559ffe084727d92cbbea5f6b8a090eaf27c SHA1: 2d9d88d32966c326ff6e62c8cd0efcb73d285c03 MD5sum: 29982bbac8fab366b5a3e930cacf248f Description: Berkeley Database Libraries for C++ [development] This empty package depends on the recommended development package containing headers and static libraries for the Berkeley database library. This is only for programs which will use the C++ interface. Package: libdb-dev Source: db-defaults Version: 5.1.6 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 26 Depends: libdb5.1-dev Conflicts: libdb4.6-dev, libdb4.7-dev, libdb4.8-dev Multi-Arch: same Priority: extra Section: libdevel Filename: pool/main/d/db-defaults/libdb-dev_5.1.6_armhf.deb Size: 2256 SHA256: 17826dcd451acc579f4744b022f0894946b2e35de9ffee253f81e019db8170ae SHA1: 69e3fed09343d9b6d70d0825835acd2a6bdf4aa0 MD5sum: 149649ce7f4e9971bb1bb71ec0a4ca26 Description: Berkeley Database Libraries [development] This empty package depends on the recommended development package containing headers and static libraries for the Berkeley database library. Package: libdb-file-lock-perl Version: 0.05-3 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 13112 SHA256: 900c2afe17bd5eb93705cd93175811806f7214b288148c830cc658c4700dcd19 SHA1: 06f1c2b2130c6c60d0bb149d93c7ab34583f7f61 MD5sum: ed10992104a4d96703f21c54f648d1c0 Description: wrapper adding locking for the DB_File module When you need locking, simply use DB_File::Lock in place of DB_File and add an extra argument onto the tie command specifying if the file should be locked for reading or writing. Homepage: http://search.cpan.org/dist/DB_File-Lock/ Tag: devel::lang:perl, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdb-file-lock-perl/libdb-file-lock-perl_0.05-3_all.deb Package: libdb-java Source: db-defaults Version: 5.1.6 Installed-Size: 26 Maintainer: Debian Berkeley DB Group Architecture: all Depends: libdb5.1-java (>= 5.1.25-7~) Size: 2212 SHA256: 4fcf0375851a3057dfd12c419e6b333810b2eaa0b739147efca0357689967f29 SHA1: c7e33dbdaf362add7760e3f571c3d4cd385e521e MD5sum: 8c031c4140013f6c610ce0bfd05d2c3f Description: Berkeley Database Libraries for Java This empty package depends on the recommended package containing the Java interface for the Berkeley v5.1 database library. Section: java Priority: extra Filename: pool/main/d/db-defaults/libdb-java_5.1.6_all.deb Package: libdb-java-dev Source: db-defaults Version: 5.1.6 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 26 Depends: libdb5.1-java-dev (>= 5.1.25-7~) Conflicts: libdb4.6-java-dev, libdb4.7-java-dev, libdb4.8-java-dev Multi-Arch: same Priority: extra Section: libdevel Filename: pool/main/d/db-defaults/libdb-java-dev_5.1.6_armhf.deb Size: 2284 SHA256: bef17f82345a75ad611d07674a4ddea31cbdd649d00e8b2583130fb4c36d3a89 SHA1: 16d85b19419f731b15d2186552965bdcc77e44dd MD5sum: 0d15af73fd960beac8fde2b4178377f2 Description: Berkeley Database Libraries for Java [development] This empty package depends on the recommended package containing the Java interface for the Berkeley v5.1 database library. Package: libdb-je-java Version: 3.3.98-1 Installed-Size: 2040 Maintainer: Debian Java Maintainers Architecture: all Size: 1423938 SHA256: 3e759c22dde8a9dffa6bdb3c4807cfd5fe47edc35c9fc6d04120b8c75d1222f5 SHA1: 50d11aa8f3828fb54442816880fad9fa3d0f57ef MD5sum: 463f663f93686f6ce7337092639d5dbd Description: Oracle Berkeley Database Java Edition Oracle Berkeley DB Java Edition is a open source, embeddable, transactional storage engine written entirely in Java. Like Oracle Berkeley DB, Oracle Berkeley DB Java Edition executes in the address space of the application, without the overhead of client/server communication. It stores data in the application's native format, so no runtime data translation is required. It provides an easy-to-use, programmatic interface, allowing developers to store and retrieve information quickly, simply and reliably. Homepage: http://www.oracle.com/database/berkeley-db/je/index.html Tag: devel::lang:java, implemented-in::java, role::shared-lib, works-with::db Section: java Priority: optional Filename: pool/main/libd/libdb-je-java/libdb-je-java_3.3.98-1_all.deb Package: libdb-ruby1.8 Source: ruby-bdb Version: 0.6.5-7 Installed-Size: 36 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-bdb Size: 8020 SHA256: bc9e46a4ec81a74f0c8e30e3937a4b311a96bcaa202b12f4879e9e3bdfcbbae4 SHA1: 0dffd7eea1ade0391160e336915adce7a9087340 MD5sum: 0121019fa1e88ef9d9ec3e30aecbb011 Description: transitional package for ruby-bdb BDB is an interface to the Berkeley database library. . This is a dummy transitional package to enable the move to a new package name. It can be safely removed from your system. Homepage: https://rubyforge.org/projects/bdb/ Tag: devel::lang:ruby, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-bdb/libdb-ruby1.8_0.6.5-7_all.deb Package: libdb-sql-dev Source: db-defaults Version: 5.1.6 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 26 Depends: libdb5.1-sql-dev Multi-Arch: same Priority: extra Section: libdevel Filename: pool/main/d/db-defaults/libdb-sql-dev_5.1.6_armhf.deb Size: 2248 SHA256: 44d2d34138f374698376f742d71754ad9835bd8935658ddfc03dc245e1c59bd7 SHA1: 8cdddf940c99966822d5e96c8d22958fff6fd54f MD5sum: 119d8c429d59be9d4c655c71c67558a0 Description: Berkeley Database Libraries [SQL development] This empty package depends on the recommended development package containing headers and static libraries for the Berkeley database library. Package: libdb1-compat Source: db1-compat Version: 2.1.3-16 Architecture: armhf Maintainer: Colin Watson Installed-Size: 114 Depends: libc6 (>= 2.13-28) Replaces: libc0.3 (<< 2.2.5-13), libc6 (<< 2.2.5-13), libc6.1 (<< 2.2.5-13), libdb2-util Priority: extra Section: oldlibs Filename: pool/main/d/db1-compat/libdb1-compat_2.1.3-16_armhf.deb Size: 45104 SHA256: 5d021993b344ea2a0f45218446d24b913b0629d62662eb5e1e351c3dff6a6ba8 SHA1: d1208ad06f0173d93e1394fe964c17b674a6a1ad MD5sum: ba55fa4787bb27b0082c2cc57ef8b3d4 Description: Berkeley database routines [glibc 2.0/2.1 compatibility] libdb is a library for manipulating database files, developed at Berkeley. . It supports three kinds of file formats: * btree. A representation of a sorted, balanced tree structure. * hashed. An extensible, dynamic hashing scheme. * UNIX file oriented. A byte stream file with fixed or variable length records. . This library exists for compatibility with applications built against glibc 2.0 or 2.1. There is intentionally no corresponding development package. Do not link new applications against this library! Package: libdb4.2-ruby1.8 Source: ruby-bdb Version: 0.6.5-7 Installed-Size: 36 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-bdb Size: 8022 SHA256: ef36a851f31e7d8a4a29ca8f658bf3196fe634610807e48314ad19479351c611 SHA1: 64fe05f77e15c17c47f50d8999fd036f0c9aee4a MD5sum: 319bbb8d2f50f835904d3146cebfcb37 Description: transitional package for ruby-bdb BDB is an interface to the Berkeley database library. . This is a dummy transitional package to enable the move to a new package name. It can be safely removed from your system. Homepage: https://rubyforge.org/projects/bdb/ Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::dummy, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-bdb/libdb4.2-ruby1.8_0.6.5-7_all.deb Package: libdb4.3-ruby1.8 Source: ruby-bdb Version: 0.6.5-7 Installed-Size: 36 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-bdb Size: 8022 SHA256: 553a9421fd713456d3e0d48a68f7be0e4d47b35a840bde7957fa2eb7ed582249 SHA1: df65c23f4a909df3696213858f55863719a4e518 MD5sum: f1ee5456b3cabc4fe69eb20a52e3b3e6 Description: transitional package for ruby-bdb BDB is an interface to the Berkeley database library. . This is a dummy transitional package to enable the move to a new package name. It can be safely removed from your system. Homepage: https://rubyforge.org/projects/bdb/ Tag: devel::lang:ruby, role::dummy, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-bdb/libdb4.3-ruby1.8_0.6.5-7_all.deb Package: libdb4.4-ruby1.8 Source: ruby-bdb Version: 0.6.5-7 Installed-Size: 36 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-bdb Size: 8022 SHA256: 5d15d82c4e70949e7b45b971c63db7ff86911b50cdb34a3cf2b50f8332086a5c SHA1: 4a6d24d13c55cee78e466c69828105fb92031822 MD5sum: 062780d6599d233cc5e2c89646d4f195 Description: transitional package for ruby-bdb BDB is an interface to the Berkeley database library. . This is a dummy transitional package to enable the move to a new package name. It can be safely removed from your system. Homepage: https://rubyforge.org/projects/bdb/ Tag: role::dummy Section: oldlibs Priority: extra Filename: pool/main/r/ruby-bdb/libdb4.4-ruby1.8_0.6.5-7_all.deb Package: libdb4o-cil-dev Source: db4o Version: 8.0.184.15484+dfsg-2 Installed-Size: 7 Maintainer: Debian CLI Libraries Team Architecture: all Replaces: libdb4o6.0-cil, libdb4o6.1-cil Depends: libdb4o8.0-cil (= 8.0.184.15484+dfsg-2) Suggests: libdb4o-doc, monodoc-db4o-manual Size: 6720 SHA256: 2e7db5ba32e2b9712e42e37708dde07c3f151bffd637f17cdba21980a3f3e13b SHA1: dc4c444bde043042aeaea8702b1763699dbcf4ce MD5sum: ac9deed5b5b253844bc5a2201621e40d Description: native OODBMS for CLI - development files db4o is the open source object database that enables Java and .NET developers to store and retrieve any application object with only one line of code, eliminating the need to predefine or maintain a separate, rigid data model. . This is the native CLI version of db4o for Mono and Microsoft .NET Framework, but there is also a native Java version, check http://www.db4o.com. . This package contains development files for the db4o library, and should be for compilation Homepage: http://www.db4o.com/ Tag: devel::ecma-cli, devel::library, role::devel-lib Section: cli-mono Priority: optional Filename: pool/main/d/db4o/libdb4o-cil-dev_8.0.184.15484+dfsg-2_all.deb Package: libdb4o-doc Source: db4o Version: 8.0.184.15484+dfsg-2 Installed-Size: 17390 Maintainer: Debian CLI Libraries Team Architecture: all Suggests: www-browser | xchm | kchmviewer Size: 8089614 SHA256: 00b39f81eae63894c573818bfc28f6c0426b2dffe440ae6d71dabf03c3ccd306 SHA1: 54643e14b91fe5c18bbe7439c552b9a8e3b2ae0d MD5sum: bfdda8c1b6193ab7b486d07201d94e57 Description: native OODBMS for CLI (documentation) db4o is the open source object database that enables Java and .NET developers to store and retrieve any application object with only one line of code, eliminating the need to predefine or maintain a separate, rigid data model. . This package contains API reference and tutorial on db4o in HTML form. Homepage: http://www.db4o.com/ Tag: devel::doc, devel::ecma-cli, devel::examples, made-of::html, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/d/db4o/libdb4o-doc_8.0.184.15484+dfsg-2_all.deb Package: libdb4o8.0-cil Source: db4o Version: 8.0.184.15484+dfsg-2 Installed-Size: 1767 Maintainer: Debian CLI Libraries Team Architecture: all Replaces: libdb4o6.0-cil, libdb4o6.1-cil Depends: cli-common (>= 0.5.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system4.0-cil (>= 2.10.1) Suggests: libdb4o-doc, monodoc-db4o-manual Conflicts: libdb4o6.0-cil, libdb4o6.1-cil Size: 736324 SHA256: c96eebfeeac663385dd928ed0f3e48b2b3fd990403d78818a47cf85b0d1c46a0 SHA1: 0687dafcadc9c27505a2583735f06da44f1eeb2e MD5sum: c1c9571dff74ebff88e6e95bcdec4e0f Description: native OODBMS for CLI db4o is the open source object database that enables Java and .NET developers to store and retrieve any application object with only one line of code, eliminating the need to predefine or maintain a separate, rigid data model. . This is the native CLI version of db4o for Mono and Microsoft .NET Framework, but there is also a native Java version, check http://www.db4o.com. Homepage: http://www.db4o.com/ Section: cli-mono Priority: optional Filename: pool/main/d/db4o/libdb4o8.0-cil_8.0.184.15484+dfsg-2_all.deb Package: libdb5.1 Source: db Version: 5.1.29-5+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 1329 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: standard Section: libs Filename: pool/main/d/db/libdb5.1_5.1.29-5+deb7u1_armhf.deb Size: 647018 SHA256: e26fab9994ec2462fff1da346491d9e3d13914b7ded95f29ac356b49a5584dd4 SHA1: d988e3408eba1e8d5f089b6f6f25c849b0ecaada MD5sum: 17837e711e04720299cabc64397c3173 Description: Berkeley v5.1 Database Libraries [runtime] This is the runtime package for programs that use the v5.1 Berkeley database library. Package: libdb5.1++ Source: db Version: 5.1.29-5+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 1414 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libdb5.1 Multi-Arch: same Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: optional Section: libs Filename: pool/main/d/db/libdb5.1++_5.1.29-5+deb7u1_armhf.deb Size: 671568 SHA256: ab13ee854a9f6c7cb04f4097f94d9904022197fc3e623c58650d3c868b4d52c4 SHA1: e8eba4183fddfa506d5ac8fed0c5a8092282f039 MD5sum: 54aadcacbe0c713ecd0c3a2da8c0805c Description: Berkeley v5.1 Database Libraries for C++ [runtime] This is the runtime package for programs that use the Berkeley v5.1 database library. This is only for programs which use the C++ interface. Package: libdb5.1++-dev Source: db Version: 5.1.29-5+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 3999 Depends: libdb5.1++ (= 5.1.29-5+deb7u1), libdb5.1-dev (= 5.1.29-5+deb7u1), libdb5.1 Conflicts: libdb4.6++-dev, libdb4.7++-dev, libdb4.8++-dev Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: libdevel Filename: pool/main/d/db/libdb5.1++-dev_5.1.29-5+deb7u1_armhf.deb Size: 1579080 SHA256: c5393ed51fb12dc2792709fa12e14029ada73c8cb9b468c70a06ea384cd7c745 SHA1: bc3fd81883ec50c944ce3e41cda2256aacf7010a MD5sum: 1c10f163e5448a864afc738eab2939ac Description: Berkeley v5.1 Database Libraries for C++ [development] This is the development package which contains headers and static libraries for the Berkeley v5.1 database library. This is only for programs which will use the C++ interface. Package: libdb5.1-dbg Source: db Version: 5.1.29-5+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 30361 Depends: libdb5.1 (= 5.1.29-5+deb7u1) Multi-Arch: same Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: debug Filename: pool/main/d/db/libdb5.1-dbg_5.1.29-5+deb7u1_armhf.deb Size: 29011892 SHA256: 8414b2ac4dbcd1894834f2ac4ca3d44995ef2c74b525ad76e986585c7cac6f6a SHA1: 8521255d74f10251dc0d67fb44b6d0cea15db3d1 MD5sum: e003ea887e4d7793f1f7f4d6493ebb50 Description: Berkeley v5.1 Database Libraries [debug] This package provides the debug symbols for the Berkeley v5.1 database libraries and binaries. Package: libdb5.1-dev Source: db Version: 5.1.29-5+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 1952 Depends: libdb5.1 (= 5.1.29-5+deb7u1) Suggests: db5.1-doc Conflicts: libdb4.6-dev, libdb4.7-dev, libdb4.8-dev Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: libdevel Filename: pool/main/d/db/libdb5.1-dev_5.1.29-5+deb7u1_armhf.deb Size: 774944 SHA256: 8d08d527cfcf3a44909965cbe71e5448177732e57cffc97402eccf08103af158 SHA1: fd24df6f8302ca489f1193b52ebad02faf14e3c1 MD5sum: e66ddb79e1de7f895157b15ffbc64866 Description: Berkeley v5.1 Database Libraries [development] This is the development package which contains headers and static libraries for the Berkeley v5.1 database library. Package: libdb5.1-java Source: db Version: 5.1.29-5+deb7u1 Installed-Size: 586 Maintainer: Debian Berkeley DB Group Architecture: all Depends: libdb5.1-java-jni (>= 5.1.29-5+deb7u1), libdb5.1 Suggests: libdb5.1-java-gcj Size: 526552 SHA256: fb5477ea3765656e4eb683dfd8f608b16880489806393c6b6285fc6461588a65 SHA1: 44850d13aefbfb6b26fc622f0e0afcacd40facbf MD5sum: 8b565adb2b6da384546269190a65307a Description: Berkeley v5.1 Database Libraries for Java This package provides the Java interface for the Berkeley v5.1 database library. Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Section: java Priority: optional Filename: pool/main/d/db/libdb5.1-java_5.1.29-5+deb7u1_all.deb Package: libdb5.1-java-dev Source: db Version: 5.1.29-5+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 2005 Depends: libdb5.1-java (= 5.1.29-5+deb7u1), libdb5.1 Conflicts: libdb4.6-java-dev, libdb4.7-java-dev, libdb4.8-java-dev Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: optional Section: libdevel Filename: pool/main/d/db/libdb5.1-java-dev_5.1.29-5+deb7u1_armhf.deb Size: 790750 SHA256: 08c0ddf54b830891f44bbc0877f9b85af5cf97f8a1f288bd36d0128a8334d1f2 SHA1: c48e6a2387e6a909b53fc5afcf77a60ba519b38d MD5sum: 7f677392616819f94fec30c13f620467 Description: Berkeley v5.1 Database Libraries for Java [development] This package provides the Java interface for the Berkeley v5.1 database library. Package: libdb5.1-java-gcj Source: db Version: 5.1.29-5+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 1943 Depends: libdb5.1-java (= 5.1.29-5+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcj-bc (>= 4.6.3-1~), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4), libdb5.1, libgcj-common (>> 1:4.1.1-13) Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: optional Section: java Filename: pool/main/d/db/libdb5.1-java-gcj_5.1.29-5+deb7u1_armhf.deb Size: 617182 SHA256: a1fc4dea1db46b47a2b939d49a3c5a75e778871a6d009216d50c61203d8b40fd SHA1: 0e641b708941de6fbb6a50166b3bb30114067e49 MD5sum: 023c2f7dd7735fb589456d1baa20a043 Description: Berkeley v5.1 Database Libraries for Java (native code) This package provides the Java interface for the Berkeley v5.1 database library. . This package contains the natively compiled code for use by gij. Package: libdb5.1-java-jni Source: db Version: 5.1.29-5+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 1441 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdb5.1 Breaks: libdb5.1-java (<< 5.1.29-5+deb7u1) Multi-Arch: same Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: optional Section: java Filename: pool/main/d/db/libdb5.1-java-jni_5.1.29-5+deb7u1_armhf.deb Size: 677180 SHA256: a1988a99e5afae4df456a7612b934025d96d248bc2d279ceb311827688c0c76d SHA1: c50993e96db48b81d7a816d1172a5beff7ef84a8 MD5sum: a8a17e1b37589496fd7dfd40ebd3cf84 Description: Berkeley v5.1 Database Libraries for Java This package provides the Java interface for the Berkeley v5.1 database library. Package: libdb5.1-sql Source: db Version: 5.1.29-5+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 1688 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libdb5.1 Multi-Arch: same Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: libs Filename: pool/main/d/db/libdb5.1-sql_5.1.29-5+deb7u1_armhf.deb Size: 852412 SHA256: ad1e8732136bef49d474cb678dba9ccedcc5d8875745e40eca1273859458c917 SHA1: 877160795529e333df3ccd4489add4d5af94d935 MD5sum: 63893ee824a56561265000311ee00f3f Description: Berkeley v5.1 Database Libraries [SQL runtime] This is the runtime package for programs that use the v5.1 Berkeley database SQL library. Package: libdb5.1-sql-dev Source: db Version: 5.1.29-5+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 4793 Depends: libdb5.1-sql (= 5.1.29-5+deb7u1), libdb5.1 Suggests: db5.1-doc Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: libdevel Filename: pool/main/d/db/libdb5.1-sql-dev_5.1.29-5+deb7u1_armhf.deb Size: 2020766 SHA256: d393154883329079851e6d2f79bc70f53db1a01e6116d1398d9d1578ab5c9d08 SHA1: c00c76c2fd079b3e3bb3967193e531f4106c0c12 MD5sum: a0164ccfe0a1703e86ee0f3da0569cc4 Description: Berkeley v5.1 Database Libraries [SQL development] This is the development package which contains headers and static libraries for the Berkeley v5.1 database library. Package: libdb5.1-stl Source: db Version: 5.1.29-5+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 1483 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libdb5.1 Multi-Arch: same Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: libs Filename: pool/main/d/db/libdb5.1-stl_5.1.29-5+deb7u1_armhf.deb Size: 698096 SHA256: 1aa93cad676c41d0406d8f23d6bad48553a3d780ab2984eae982a4f7bf47b400 SHA1: 206f52cef694870d1aa803d3b3801f4c0bb56346 MD5sum: 6f9de00b1509427a0f9f0939995a34cb Description: Berkeley v5.1 Database Libraries [STL runtime] This is the runtime package for programs that use the v5.1 Berkeley database STL library. Package: libdb5.1-stl-dev Source: db Version: 5.1.29-5+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 4670 Depends: libdb5.1-stl (= 5.1.29-5+deb7u1), libdb5.1 Suggests: db5.1-doc Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: libdevel Filename: pool/main/d/db/libdb5.1-stl-dev_5.1.29-5+deb7u1_armhf.deb Size: 1737186 SHA256: 85bb2f9966c1d1f4ee33751df4ee41313be3dfd36477e894e3a9f01d7d1d9ef1 SHA1: 52dc096e93463ef15bfba6825bcfdf0cd0ee77c6 MD5sum: b7751b0de3c410a81118edf1db4de1f3 Description: Berkeley v5.1 Database Libraries [STL development] This is the development package which contains headers and static libraries for the Berkeley v5.1 database library. Package: libdb5.1-tcl Source: db Version: 5.1.29-5+deb7u1 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 5542 Pre-Depends: multiarch-support Depends: tcl (>= 8.5.0-1), libc6 (>= 2.13-28), libdb5.1 Conflicts: libdb4.6-tcl, libdb4.7-tcl, libdb4.8-tcl Multi-Arch: same Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: interpreters Filename: pool/main/d/db/libdb5.1-tcl_5.1.29-5+deb7u1_armhf.deb Size: 2380580 SHA256: 8ae8f8475d1f1d6d2645e6aec8602bbf958cd6d5ab6fab118937b31a87d5d325 SHA1: 92ead3f472e868d23a6c0af4507144205116c6c2 MD5sum: 238f719456831cc51bc1539519e44691 Description: Berkeley v5.1 Database Libraries for Tcl [module] This package provides a Tcl module suitable for accessing Berkeley v5.1 databases from within Tcl programs. Package: libdb5.3 Source: db5.3 Version: 5.3.15-2 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 1478 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: standard Section: libs Filename: pool/main/d/db5.3/libdb5.3_5.3.15-2_armhf.deb Size: 718344 SHA256: f007cad42f488e308380ad92efe7cb77a81df520c164a2f14343bd821d72b7f1 SHA1: 036aa894b6b402838542227d801c4bd394dc7c94 MD5sum: 78c77dbefb298d13beacc8df5e1096e8 Description: Berkeley v5.3 Database Libraries [runtime] This is the runtime package for programs that use the v5.3 Berkeley database library. Package: libdb5.3++ Source: db5.3 Version: 5.3.15-2 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 1575 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libdb5.3 Multi-Arch: same Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: optional Section: libs Filename: pool/main/d/db5.3/libdb5.3++_5.3.15-2_armhf.deb Size: 746398 SHA256: 6787c32058527caf7f25903a132de9f31ee9774133c151b1472eb8ac4cdabdde SHA1: 4cf9505310016d2bd9162a6938d4cf66dea27498 MD5sum: 7313b0e40c081796850a8e70be6f8d29 Description: Berkeley v5.3 Database Libraries for C++ [runtime] This is the runtime package for programs that use the Berkeley v5.3 database library. This is only for programs which use the C++ interface. Package: libdb5.3++-dev Source: db5.3 Version: 5.3.15-2 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 4441 Depends: libdb5.3++ (= 5.3.15-2), libdb5.3-dev (= 5.3.15-2), libdb5.3 Conflicts: libdb4.6++-dev, libdb4.7++-dev, libdb4.8++-dev, libdb5.1++-dev, libdb5.2++-dev Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: libdevel Filename: pool/main/d/db5.3/libdb5.3++-dev_5.3.15-2_armhf.deb Size: 1755508 SHA256: 8f217b03465521c1c2df09d6f9f4b3874284d3d19667372124d01d9068c54c76 SHA1: a2b33fa91fec1081863b217a7d6073f41d625512 MD5sum: ca6a08610ce5f79946d0bff34b49db5a Description: Berkeley v5.3 Database Libraries for C++ [development] This is the development package which contains headers and static libraries for the Berkeley v5.3 database library. This is only for programs which will use the C++ interface. Package: libdb5.3-dbg Source: db5.3 Version: 5.3.15-2 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 36485 Depends: libdb5.3 (= 5.3.15-2) Multi-Arch: same Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: debug Filename: pool/main/d/db5.3/libdb5.3-dbg_5.3.15-2_armhf.deb Size: 35338258 SHA256: ffeb8d0cc4e0bcb2dd20bfcd03506243777e040659bef117e1adbc056fc75efd SHA1: d8d7480dfff9728308a6b790ceb767e7b0d1b684 MD5sum: dcea3e2f9f6c2deed852dd4aaa9ddb2e Description: Berkeley v5.3 Database Libraries [debug] This package provides the debug symbols for the Berkeley v5.3 database libraries and binaries. Package: libdb5.3-dev Source: db5.3 Version: 5.3.15-2 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 2158 Depends: libdb5.3 (= 5.3.15-2) Suggests: db5.3-doc Conflicts: libdb4.6-dev, libdb4.7-dev, libdb4.8-dev, libdb5.1-dev, libdb5.2-dev Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: libdevel Filename: pool/main/d/db5.3/libdb5.3-dev_5.3.15-2_armhf.deb Size: 860352 SHA256: 3206a73c1839f18704031a14fadb96821e1d6f1b941416a00afe93a94654bb57 SHA1: abb2cd507baa4b0800680590a36072f9678718b4 MD5sum: ec1a848e9112cac7a09421ac80e27980 Description: Berkeley v5.3 Database Libraries [development] This is the development package which contains headers and static libraries for the Berkeley v5.3 database library. Package: libdb5.3-java Source: db5.3 Version: 5.3.15-2 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 2210 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdb5.3 Recommends: libdb5.3-java-gcj Conflicts: libdb5.1-java, libdb5.2-java Multi-Arch: same Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: optional Section: java Filename: pool/main/d/db5.3/libdb5.3-java_5.3.15-2_armhf.deb Size: 1312266 SHA256: eb3fa0d662b60674ac1fba66d38a31148a18d0194c2c73d2f70949dec41270ee SHA1: 43594a3f13aa832b4f26165714e0271c185bc087 MD5sum: d3dd41c7418b66d1c1d28a113d71d92e Description: Berkeley v5.3 Database Libraries for Java This package provides the Java interface for the Berkeley v5.3 database library. Package: libdb5.3-java-dev Source: db5.3 Version: 5.3.15-2 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 2220 Depends: libdb5.3-java (= 5.3.15-2), libdb5.3 Conflicts: libdb4.6-java-dev, libdb4.7-java-dev, libdb4.8-java-dev, libdb5.1-java-dev, libdb5.2-java-dev Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: optional Section: libdevel Filename: pool/main/d/db5.3/libdb5.3-java-dev_5.3.15-2_armhf.deb Size: 877898 SHA256: 09c1a183c809363697674975fc5a7ebdb0b2e94bab8e4ffa494f4bf6f5f6824e SHA1: 7dc07c4756a4538a9eba1263a0f6bfd76c497faf MD5sum: 4ffeb238097dc4ed77d10cbd319196b2 Description: Berkeley v5.3 Database Libraries for Java [development] This package provides the Java interface for the Berkeley v5.3 database library. Package: libdb5.3-java-gcj Source: db5.3 Version: 5.3.15-2 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 2101 Depends: libdb5.3-java (= 5.3.15-2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcj-bc (>= 4.4.5-1~), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4), libdb5.3, libgcj-common (>> 1:4.1.1-13) Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: optional Section: java Filename: pool/main/d/db5.3/libdb5.3-java-gcj_5.3.15-2_armhf.deb Size: 661454 SHA256: e6efabbad18cb39ff8600e6d915aa6d0b26361c87bf943b3861e009d66b8e43d SHA1: 74c62f0c0e933562b787ee29b0581c721025739c MD5sum: 962e8e4ed9e5767a70948da2fdd8c49a Description: Berkeley v5.3 Database Libraries for Java (native code) This package provides the Java interface for the Berkeley v5.3 database library. . This package contains the natively compiled code for use by gij. Package: libdb5.3-sql Source: db5.3 Version: 5.3.15-2 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 1878 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libdb5.3 Multi-Arch: same Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: libs Filename: pool/main/d/db5.3/libdb5.3-sql_5.3.15-2_armhf.deb Size: 942748 SHA256: ceb10ea8ab845517a2c9a55cf7dab1ae2bc57c206a94b29cadcb25b5b55aa3f3 SHA1: 909736ea402837bfaad9599bed152a0320e9fb38 MD5sum: 35c73d55fbed7cc9554e9f52bca136e6 Description: Berkeley v5.3 Database Libraries [SQL runtime] This is the runtime package for programs that use the v5.3 Berkeley database SQL library. Package: libdb5.3-sql-dev Source: db5.3 Version: 5.3.15-2 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 5297 Depends: libdb5.3-sql (= 5.3.15-2), libdb5.3 Suggests: db5.3-doc Conflicts: libdb5.1-sql-dev, libdb5.2-sql-dev Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: libdevel Filename: pool/main/d/db5.3/libdb5.3-sql-dev_5.3.15-2_armhf.deb Size: 2233526 SHA256: d43adb5318604e52bea402b352ae668f21db55857700159507782308425f4a47 SHA1: 1f13a65b7806488a5ad271dfd773ce165aef333c MD5sum: ba97b9b81c16021db6eedd270e456662 Description: Berkeley v5.3 Database Libraries [SQL development] This is the development package which contains headers and static libraries for the Berkeley v5.3 database library. Package: libdb5.3-stl Source: db5.3 Version: 5.3.15-2 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 1647 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libdb5.3 Multi-Arch: same Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: libs Filename: pool/main/d/db5.3/libdb5.3-stl_5.3.15-2_armhf.deb Size: 772660 SHA256: f0be948a230c4c4c28b61e54cbd9a1f96b3aceea5b568a00e9f9312b499175f5 SHA1: 7bcf4eff715af48c3a9660b2ec6d5996bb61786c MD5sum: ec429f7a8a9548eed29ba1107915ab6d Description: Berkeley v5.3 Database Libraries [STL runtime] This is the runtime package for programs that use the v5.3 Berkeley database STL library. Package: libdb5.3-stl-dev Source: db5.3 Version: 5.3.15-2 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 5108 Depends: libdb5.3-stl (= 5.3.15-2), libdb5.3 Suggests: db5.3-doc Conflicts: libdb5.1-stl-dev, libdb5.2-stl-dev Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: libdevel Filename: pool/main/d/db5.3/libdb5.3-stl-dev_5.3.15-2_armhf.deb Size: 1913404 SHA256: 8cb45c04a4bba5f70f46eedd7c46dfcede4585938d89dc29dc7b3e9cd2990836 SHA1: 0d0ccb24f197a3c94fec251b5667365b67f2d497 MD5sum: 7f8ba75d6460b9e0fd5aeb9f9d884cb1 Description: Berkeley v5.3 Database Libraries [STL development] This is the development package which contains headers and static libraries for the Berkeley v5.3 database library. Package: libdb5.3-tcl Source: db5.3 Version: 5.3.15-2 Architecture: armhf Maintainer: Debian Berkeley DB Group Installed-Size: 5834 Pre-Depends: multiarch-support Depends: tcl (>= 8.5.0-1), libc6 (>= 2.13-28), libdb5.3 Conflicts: libdb4.6-tcl, libdb4.7-tcl, libdb4.8-tcl, libdb5.1-tcl, libdb5.2-tcl Multi-Arch: same Homepage: http://www.oracle.com/technology/software/products/berkeley-db/index.html Priority: extra Section: interpreters Filename: pool/main/d/db5.3/libdb5.3-tcl_5.3.15-2_armhf.deb Size: 2519236 SHA256: 4b2e48a336c86bf75e262ed442f11676a6fb60d591269b8ac4107d10ca07a19c SHA1: 2ed47b943c72199499537e4acbb3b9d856dd2475 MD5sum: fabee590148d19959ce8ab9ff7c9cdfb Description: Berkeley v5.3 Database Libraries for Tcl [module] This package provides a Tcl module suitable for accessing Berkeley v5.3 databases from within Tcl programs. Package: libdballe-dev Source: dballe Version: 5.18-1 Architecture: armhf Maintainer: Enrico Zini Installed-Size: 3207 Depends: libdballe5 (= 5.18-1) Recommends: pkg-config Conflicts: libdballe-bufrex-dev, libdballe-core-dev, libdballe-db-dev, libdballe-msg-dev Homepage: http://www.arpa.emr.it/dettaglio_documento.asp?id=514&idlivello=64 Priority: optional Section: libdevel Filename: pool/main/d/dballe/libdballe-dev_5.18-1_armhf.deb Size: 703962 SHA256: b8ffe56dc0b30c0ee5b1592d543ba69f1e77635461354f4ce1ed4a9766ebb379 SHA1: e8a5517b3825caf121ebe9053964e7b74db4f031 MD5sum: ac1cba688f29071aaeade7198ae02c2a Description: DB-All.e C development library for weather research DB-All.e is a fast on-disk database where meteorological observed and forecast data can be stored, searched, retrieved and updated. . The Debian packaging of DB-All.e includes all the features of the libraries, but any subset can be used without interference from other subsets. It is also possible to rebuild the library to include only those features that are needed. . Features provided: . * Unit conversion * Handling of physical variables * Encoding and decoding of BUFR and CREX reports from: * fixed land and sea stations, like synops and buoys * mobile stations: ships, airplanes * soundings: temp, pilot * METAR reports * Satellite strides (decode only) * Decoding of AOF reports * Interpretation of weather reports as physical data precisely located in space and time, and encoding of physical data into weather reports. * Smart on-disk database for observed and forecast weather data based on physical principles, built to support operations such as quality control, data thinning, correlation of data from mixed sources Package: libdballe-doc Source: dballe Version: 5.18-1 Installed-Size: 2197 Maintainer: Enrico Zini Architecture: all Size: 346300 SHA256: e906bec8c9c6c25038d6a3e4a8698045c13b8445404d36bf6da5d73cb67b0709 SHA1: 80b7cade7dce1b12824b93942f27b4a91c2216ba MD5sum: 19f111e7eccf254cf81e41b6b07c595f Description: documentation for the DB-ALL.e C library for weather research DB-All.e is a fast on-disk database where meteorological observed and forecast data can be stored, searched, retrieved and updated. . This is the documentation for the DB_All.e development the library. Homepage: http://www.arpa.emr.it/dettaglio_documento.asp?id=514&idlivello=64 Tag: devel::doc, devel::lang:c++, field::meteorology, role::documentation Section: doc Priority: optional Filename: pool/main/d/dballe/libdballe-doc_5.18-1_all.deb Package: libdballe5 Source: dballe Version: 5.18-1 Architecture: armhf Maintainer: Enrico Zini Installed-Size: 800 Depends: dballe-common, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblua5.1-0, libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libpopt0 (>= 1.14), libstdc++6 (>= 4.6), libwreport2 (>= 2.0) Homepage: http://www.arpa.emr.it/dettaglio_documento.asp?id=514&idlivello=64 Priority: optional Section: libs Filename: pool/main/d/dballe/libdballe5_5.18-1_armhf.deb Size: 240728 SHA256: 4fa1678a0a02c2d0f644d7f1ca3035668ed9ddb8dcc48a8aa5cb568f508b537b SHA1: 677a2cf26fb95f298792670e929de2657db7c8bf MD5sum: b532bea7f60321a7e1c5fd429f8da12d Description: DB-ALL.e C shared library for weather research DB-All.e is a fast on-disk database where meteorological observed and forecast data can be stored, searched, retrieved and updated. . This is the shared library for C programs. Package: libdballef-dev Source: dballe Version: 5.18-1 Architecture: armhf Maintainer: Enrico Zini Installed-Size: 582 Depends: libdballef4 (= 5.18-1) Recommends: pkg-config Homepage: http://www.arpa.emr.it/dettaglio_documento.asp?id=514&idlivello=64 Priority: optional Section: libdevel Filename: pool/main/d/dballe/libdballef-dev_5.18-1_armhf.deb Size: 274548 SHA256: c3358d3c97677fcb54949b9efb4605b613b49fed11eb64aa9a73305f9a408fc4 SHA1: 2a937ddaee023bb3fda5057b28c31bef2b23d283 MD5sum: 6cbaca45c226499d71b7f3b6d13e465c Description: DB-All.e Fortran development library for weather research DB-All.e is a fast on-disk database where meteorological observed and forecast data can be stored, searched, retrieved and updated. . This is the DB-All.e Fortran API, designed to make it easy to use the DB-All.e database as a smart working area for meteorological software. Package: libdballef4 Source: dballe Version: 5.18-1 Architecture: armhf Maintainer: Enrico Zini Installed-Size: 160 Depends: dballe-common, libc6 (>= 2.13-28), libdballe5, libgcc1 (>= 1:4.1.1), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libpopt0 (>= 1.14), libstdc++6 (>= 4.3.0) Homepage: http://www.arpa.emr.it/dettaglio_documento.asp?id=514&idlivello=64 Priority: optional Section: libs Filename: pool/main/d/dballe/libdballef4_5.18-1_armhf.deb Size: 49006 SHA256: 80424d6ed40c65695f26c3cff5c314e6901460677bbdcf55cd68d2753d06a4af SHA1: 5b769b4ada7fd42c859bdc0c1defc3d2965ac913 MD5sum: e768963f423a82f7953bc1f57854badf Description: DB-ALL.e Fortran shared library for weather research DB-All.e is a fast on-disk database where meteorological observed and forecast data can be stored, searched, retrieved and updated. . This is the shared library for Fortran programs. Package: libdbaudiolib0 Source: dbmix Version: 0.9.8-6.2 Architecture: armhf Maintainer: Daniel Kobras Installed-Size: 81 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Priority: optional Section: libs Filename: pool/main/d/dbmix/libdbaudiolib0_0.9.8-6.2_armhf.deb Size: 43504 SHA256: 71a53ab6aa9f4d9b93c2fec7c255ab158d278d45b98c92a501b340223ef0f443 SHA1: ca8e92f299fbba9687073e25b1ecbb3f05e46c38 MD5sum: 26ff372fce3a288ec95f593f839fa5e9 Description: Communicate to the DBMix audio system (runtime library) The dbaudiolib library allows a program to communicate with the DBMix sound daemon (dbfsd) that mixes audio streams from multiple sources and sends the output to a single sound card. . This package includes the libraries needed to run executables using libdbaudiolib. Package: libdbaudiolib0-dev Source: dbmix Version: 0.9.8-6.2 Architecture: armhf Maintainer: Daniel Kobras Installed-Size: 187 Depends: libc6-dev, libdbaudiolib0 (= 0.9.8-6.2) Provides: libdbaudiolib-dev Priority: optional Section: libdevel Filename: pool/main/d/dbmix/libdbaudiolib0-dev_0.9.8-6.2_armhf.deb Size: 79916 SHA256: a1fbab3304a01ef5fe4f4ec0fbff861387715a4c962c3753d8533cf91fcff660 SHA1: de240a9051135f88fa25caba61d6b977c86e9eb4 MD5sum: b633fab809914b02e314ea0a28d4691f Description: Communicate to the DBMix audio system (development files) The dbaudiolib library allows a program to communicate with the DBMix sound daemon (dbfsd) that mixes audio streams from multiple sources and sends the output to a single sound card. . This package includes the libraries and header files needed to compile programs using libdbaudiolib. Package: libdbd-anydata-perl Version: 0.11-0.1 Installed-Size: 132 Maintainer: Bart Martens Architecture: all Depends: perl, libdbi-perl (>= 1.612), libsql-statement-perl (>= 1.28), libanydata-perl, libparams-util-perl (>= 1.00) Suggests: libxml-parser-perl, libwww-perl Size: 38678 SHA256: 5d3eab7e1c039c11fd698a5c2b7f6b0abdbf7d1c063539ffec9b9a1e6df622fd SHA1: 57f81ebbd8cec54a8d19ff8c0d8a2aec050390c1 MD5sum: b294dacccca124d02e61725c7beb93b9 Description: perl DBI driver for files and data structures DBD::AnyData allows you to import almost any type of Perl data structure into an in-memory table and then use DBI and SQL to access and modify it. It also allows standard database access to almost any kind of file, supporting SQL manipulation of the file without converting the file out of its native format. . The module allows you to prototype a database without having an RDBMS system or other database engine and can operate either with or without creating or reading disk files. If you do use disk files, they may, in most cases, either be local files or any remote file accessible via HTTP or FTP. . The module also supports converting files between any of the supported formats. Homepage: http://search.cpan.org/dist/DBD-AnyData/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdbd-anydata-perl/libdbd-anydata-perl_0.11-0.1_all.deb Package: libdbd-csv-perl Version: 0.3500-1 Installed-Size: 110 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdbi-perl (>= 1.620), libsql-statement-perl (>= 1.33), libtext-csv-xs-perl (>= 0.88) Size: 36416 SHA256: 0750ab48bc93e22923f842207439023521c3ce42f0f795f50e22d4cb433947e6 SHA1: df773263ca2934cbb94ad71b0ce412830e12e1d1 MD5sum: 4e95d91d2626f74465541cecfb3c5d30 Description: DBI driver for CSV files DBD::CSV is a Perl DBI Driver for providing an SQL-based interface to records stored in Comma-Separated Value (CSV) files. Such files are frequently used for exporting data from Microsoft's Access and Excel applications. Homepage: http://search.cpan.org/dist/DBD-CSV/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, role::devel-lib, works-with::db, works-with::spreadsheet Section: perl Priority: optional Filename: pool/main/libd/libdbd-csv-perl/libdbd-csv-perl_0.3500-1_all.deb Package: libdbd-excel-perl Version: 0.06-6 Installed-Size: 148 Maintainer: Debian Perl Group Architecture: all Depends: libdbi-perl, libspreadsheet-parseexcel-perl (>= 0.3000), libspreadsheet-writeexcel-perl, libsql-statement-perl, perl (>= 5.6.0-16) Size: 28366 SHA256: 18ef3d99bb07104c6f3754118bfca57ba7f9f90b3c1f068f5f536f3620dd1d51 SHA1: b5355fa39f2afaf5fb7c3d06bc0366fb6bc151ae MD5sum: 2efa648739fdfebde53e3337c24bf1b7 Description: provides an SQL interface (via DBI) for accessing Excel files The DBD::Excel module is a DBI driver which permits access to Excel files (in the 95, 97 and 2000 flavours) via SQL commands through the Perl DBI. . As of 0.06, this module is still in an ALPHA VERSION according to its author. Homepage: http://search.cpan.org/dist/DBD-Excel/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, role::devel-lib, works-with::db, works-with::spreadsheet Section: perl Priority: optional Filename: pool/main/libd/libdbd-excel-perl/libdbd-excel-perl_0.06-6_all.deb Package: libdbd-firebird-perl Version: 0.91-2+deb7u1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 319 Depends: perl (>= 5.14.2-21+rpi2+deb7u2), perl-dbdabi-94, perlapi-5.14.2, libc6 (>= 2.13-28), libfbclient2 (>= 2.5.0.25784~ReleaseCandidate1.ds2), libfbembed2.5 (>= 2.5.0.25784~ReleaseCandidate1.ds2), libgcc1 (>= 1:4.4.0), libdbi-perl Homepage: http://search.cpan.org/dist/DBD-Firebird/ Priority: optional Section: perl Filename: pool/main/libd/libdbd-firebird-perl/libdbd-firebird-perl_0.91-2+deb7u1_armhf.deb Size: 153064 SHA256: 7670b19fb27ee87cdfc9d059465b8e39fa7fdf5a587a5ffc67dc01c199faedbd SHA1: 9fd43d1192bdef40acae099727228f0ec2162359 MD5sum: 227a1555decd742f61090baa7fb61150 Description: Perl DBI driver for Firebird RDBMS server DBD::Firebird is a Perl Database Driver module which works with the generic DBI module, adding support for Firebird databases. It enables access to Firebird databases from Perl scripts. Package: libdbd-freetds Source: libdbi-drivers Version: 0.8.3-1+s-5 Architecture: armhf Maintainer: Thomas Goirand Installed-Size: 61 Depends: libc6 (>= 2.13-28), libct4 (>= 0.64), libdbi1 (>= 0.8.4) Homepage: http://libdbi.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libd/libdbi-drivers/libdbd-freetds_0.8.3-1+s-5_armhf.deb Size: 16324 SHA256: 205634a489469462f810b143c92b26824653ec6fe09b00b6579830d385b66532 SHA1: 00cbecb4a0c0dc7c397477b4f476c388315f7089 MD5sum: 725296f3018a3cd00b0009334aa6bea7 Description: Freetds database server driver for libdbi This driver provides connectivity to MS SQL Server or Sybase database servers through the libdbi Database Independent Abstraction Layer using Freetds. Switching a program's driver does not require recompilation or rewriting source code. Package: libdbd-ldap-perl Version: 0.20-1 Installed-Size: 119 Maintainer: Jonas Genannt Architecture: all Depends: perl, libnet-ldap-perl, libdbi-perl Size: 35454 SHA256: bada4d91085af65caee07a9ac9bae7c279356d83b181e98977066b16663fa686 SHA1: ec09c528f26ed217c5eb92c87722f109f3d96ac1 MD5sum: 12d6f7bb6a46e9ac98e79357c67c168e Description: Perl extension for LDAP access via an SQL/Perl DBI interface DBD::LDAP is a DBI extension module adding an SQL database interface to standard LDAP databases to Perl's database-independent database interface. You will need access to an existing LDAP database or set up your own using an LDAP server, ie. "OpenLDAP", see (http://www.openldap.org). . The main advantage of DBD::LDAP is the ability to query LDAP databases via standard SQL queries in leu of cryptic LDAP "filters". LDAP is optimized for quick lookup of existing data, but DBD::LDAP does support entry inserts, updates, and deletes with commit/rollback via the standard SQL commands! Homepage: http://search.cpan.org/dist/DBD-LDAP/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, network::client, protocol::ldap, role::program, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdbd-ldap-perl/libdbd-ldap-perl_0.20-1_all.deb Package: libdbd-mock-perl Version: 1.43-1 Installed-Size: 180 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdbi-perl Size: 44114 SHA256: 214666b1f38d186e69ff6b00a928933c2d81a9b37bd16f914905b3c90ee814a6 SHA1: a8fbffd46d52d930c150ec450e78a0e7b8eb5c11 MD5sum: ea1058da2c7d83e0c98a649836bf9db3 Description: Mock database driver for testing Testing with databases can be tricky. If you are developing a system married to a single database then you can make some assumptions about your environment and ask the user to provide relevant connection information. But if you need to test a framework that uses DBI, particularly a framework that uses different types of persistence schemes, then it may be more useful to simply verify what the framework is trying to do -- ensure the right SQL is generated and that the correct parameters are bound. DBD::Mock makes it easy to just modify your configuration (presumably held outside your code) and just use it instead of DBD::Foo (like DBD::Pg or DBD::mysql) in your framework. Homepage: http://search.cpan.org/dist/DBD-Mock/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbd-mock-perl/libdbd-mock-perl_1.43-1_all.deb Package: libdbd-mysql Source: libdbi-drivers Version: 0.8.3-1+s-5 Architecture: armhf Maintainer: Thomas Goirand Installed-Size: 307 Depends: libc6 (>= 2.13-28), libdbi1 (>= 0.8.4), libmysqlclient18 (>= 5.5.13-1) Homepage: http://libdbi.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libd/libdbi-drivers/libdbd-mysql_0.8.3-1+s-5_armhf.deb Size: 156770 SHA256: aa7fcb93e3c671d22f0c60dfd127c4618ae1637eae7bd61711d62907136111de SHA1: 37b57c2521044b6f4a996850a48a0fe6ae38b8bb MD5sum: db37279b2830d8c0e61376c0e709a3b0 Description: MySQL database server driver for libdbi This driver provides connectivity to MySQL database servers through the libdbi Database Independent Abstraction Layer. Switching a program's driver does not require recompilation or rewriting source code. Package: libdbd-mysql-perl Version: 4.021-1+deb7u3 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 318 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmysqlclient18 (>= 5.5.24+dfsg-1), zlib1g (>= 1:1.1.4), perl (>= 5.14.2-21+rpi2+deb7u2), perl-dbdabi-94, perlapi-5.14.2, libdbi-perl (>= 1.610.90) Homepage: http://search.cpan.org/dist/DBD-mysql/ Priority: optional Section: perl Filename: pool/main/libd/libdbd-mysql-perl/libdbd-mysql-perl_4.021-1+deb7u3_armhf.deb Size: 124682 SHA256: 1358a36b12c374748deb8b2a0a4a1da5c6ca5f1decf001702da93c62d3b8415e SHA1: 634aa5f0183d117ea2410ce1899f2390afea4dd6 MD5sum: 6eea468777dd3af043e9d222ba9b40bc Description: Perl5 database interface to the MySQL database DBD::mysql is the Perl5 Database Interface driver for the MySQL database. In other words: DBD::mysql is an interface between the Perl programming language and the MySQL programming API that comes with the MySQL relational database management system. Most functions provided by this programming API are supported. Some rarely used functions are missing, mainly because noone ever requested them. However supported features include: compression of data between server and client; timeouts; SSL; prepared statement support; server administration such as creating and dropping databases and restarting the server; auto-reconnection; utf8; bind type guessing; bind comment placeholders; automated insert ids; transactions; multiple result sets and multithreading. Package: libdbd-mysql-ruby Source: ruby-dbd-mysql Version: 0.4.4+gem2deb-1 Installed-Size: 60 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbd-mysql Size: 29162 SHA256: 38a7a020fa1b37ef0d86b1896e788c05b6d3a2bd08b1dfe96a8c040028fab9f0 SHA1: 88ab042fa0eb07bf464b95b3b2f8abe6e7c49290 MD5sum: 0046fb2df3a20df5ace9d15c932d17d0 Description: Transitional package for ruby-dbd-mysql This is a transitional package to ease upgrades to the ruby-dbd-mysql package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/ruby-dbi Tag: devel::lang:ruby, devel::lang:sql, devel::library, implemented-in::ruby, role::devel-lib, role::metapackage, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbd-mysql/libdbd-mysql-ruby_0.4.4+gem2deb-1_all.deb Package: libdbd-mysql-ruby1.8 Source: ruby-dbd-mysql Version: 0.4.4+gem2deb-1 Installed-Size: 60 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbd-mysql Size: 29170 SHA256: e3d559e36b8556ec31644c85f5a7b54303f324d25b66b459e38ab0381428e5b6 SHA1: a63a45cac3e071d0bd73be96135b4bc9cc0b3003 MD5sum: 5acf999ea66bd7460b377bcf079f7b10 Description: Transitional package for ruby-dbd-mysql This is a transitional package to ease upgrades to the ruby-dbd-mysql package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/ruby-dbi Tag: devel::lang:ruby, devel::lang:sql, devel::library, implemented-in::ruby, role::devel-lib, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbd-mysql/libdbd-mysql-ruby1.8_0.4.4+gem2deb-1_all.deb Package: libdbd-mysql-ruby1.9.1 Source: ruby-dbd-mysql Version: 0.4.4+gem2deb-1 Installed-Size: 60 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbd-mysql Size: 29172 SHA256: 4acedd984a5d7d8d8bb7f43fa2770b2f211d0ff005b91335b48fd2d3f31a1318 SHA1: d0fb1b7ddc4269a06311dee9e70c052def891ca1 MD5sum: 50533c95a414ea47b0c46c16871594f6 Description: Transitional package for ruby-dbd-mysql This is a transitional package to ease upgrades to the ruby-dbd-mysql package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/ruby-dbi Tag: devel::lang:ruby, devel::lang:sql, devel::library, implemented-in::ruby, role::devel-lib, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbd-mysql/libdbd-mysql-ruby1.9.1_0.4.4+gem2deb-1_all.deb Package: libdbd-odbc-perl Version: 1.37-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 610 Depends: libc6 (>= 2.13-28), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), perl (>= 5.14.2-9), perl-dbdabi-94, perlapi-5.14.2 Homepage: http://search.cpan.org/dist/DBD-ODBC/ Priority: optional Section: perl Filename: pool/main/libd/libdbd-odbc-perl/libdbd-odbc-perl_1.37-1_armhf.deb Size: 265898 SHA256: 6394ef388633f5d9a605ba8136345d3a0e13ef80febb9eff05b2eb352658e68d SHA1: a1c2adf5e45312ba9536de383b666be27bf4d151 MD5sum: 34fab696fc15c6d435c28625f03017b5 Description: Perl Database Driver implementing ODBC for DBI DBD::ODBC allows DBI to connect to any server complying with the ODBC (Open DataBase Connectivity) standard. This provides access to various platforms which do not currently have Perl DataBase Drivers available. Package: libdbd-odbc-ruby Source: ruby-dbd-odbc Version: 0.2.5+gem2deb-1 Installed-Size: 60 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbd-odbc Size: 29126 SHA256: 850fe95d1f38563e7ad5532caf7bd945d7684332b3613990d82f6269c49fa2d8 SHA1: 69066f04f07a51cdc0865e0fe1edc32746d55cd5 MD5sum: 1cdc36a97bdc226832c4d0a8ce951732 Description: Transitional package for ruby-dbd-odbc This is a transitional package to ease upgrades to the ruby-dbd-odbc package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/ruby-dbi Tag: devel::lang:ruby, devel::lang:sql, devel::library, implemented-in::ruby, role::devel-lib, role::metapackage, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbd-odbc/libdbd-odbc-ruby_0.2.5+gem2deb-1_all.deb Package: libdbd-odbc-ruby1.8 Source: ruby-dbd-odbc Version: 0.2.5+gem2deb-1 Installed-Size: 60 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbd-odbc Size: 29134 SHA256: ffce31acba90cc7433baa3f210e09d0221ba3133c870cc23fe4eef21b7b1e440 SHA1: 07afcb4b0aace2144e1651341955722409b6ae21 MD5sum: 1fef22693ed7aacc67d471234e5ed148 Description: Transitional package for ruby-dbd-odbc This is a transitional package to ease upgrades to the ruby-dbd-odbc package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/ruby-dbi Tag: devel::lang:ruby, devel::lang:sql, devel::library, implemented-in::ruby, role::devel-lib, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbd-odbc/libdbd-odbc-ruby1.8_0.2.5+gem2deb-1_all.deb Package: libdbd-pg-perl Version: 2.19.2-2+deb7u1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 459 Depends: perl (>= 5.14.2-21+rpi2+deb7u2), perl-dbdabi-94, perlapi-5.14.2, libc6 (>= 2.13-28), libpq5 (>= 8.4~) Homepage: http://search.cpan.org/dist/DBD-Pg/ Priority: optional Section: perl Filename: pool/main/libd/libdbd-pg-perl/libdbd-pg-perl_2.19.2-2+deb7u1_armhf.deb Size: 215486 SHA256: 09ba7833deca3b50fd224677bac6ed42a953ae897626e81112a0cc9ccbe0a508 SHA1: 02ce4aa8a0806ed1f574c1a431580569854a4112 MD5sum: 21facd8ceebe0e5045e6e1405ab7467b Description: Perl DBI driver for the PostgreSQL database server DBD::Pg is a Perl DBI Database Driver module that works with the DBI module to provide access to PostgreSQL databases. It enables you to control a PostgreSQL database in a Perl script. Package: libdbd-pg-ruby Source: ruby-dbd-pg Version: 0.3.9+gem2deb-1 Installed-Size: 60 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbd-pg Size: 29492 SHA256: 41eac1b4e3c34a5b8cc8a97566d6334375f378391c16146e93b7059fa1e81e28 SHA1: 22874e40a6a6a4f9f9a946f26ddbd51d82641d4b MD5sum: 8c77decb08948e64cce3455666fb1d61 Description: Transitional package for ruby-dbd-pg This is a transitional package to ease upgrades to the ruby-dbd-pg package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/ruby-dbi Tag: devel::lang:ruby, devel::lang:sql, devel::library, implemented-in::ruby, protocol::db:psql, role::devel-lib, role::metapackage, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbd-pg/libdbd-pg-ruby_0.3.9+gem2deb-1_all.deb Package: libdbd-pg-ruby1.8 Source: ruby-dbd-pg Version: 0.3.9+gem2deb-1 Installed-Size: 60 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbd-pg Size: 29492 SHA256: 69aea545f90b708b1666014f56518426b095b76241a48d2c4eb1c93df97ed678 SHA1: eb7dc63dec7ed3859e7501a9fc5bba50493b369b MD5sum: 29da5a25ead1bba12fa28833137c99e0 Description: Transitional package for ruby-dbd-pg This is a transitional package to ease upgrades to the ruby-dbd-pg package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/ruby-dbi Tag: devel::lang:ruby, devel::lang:sql, devel::library, implemented-in::ruby, protocol::db:psql, role::devel-lib, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbd-pg/libdbd-pg-ruby1.8_0.3.9+gem2deb-1_all.deb Package: libdbd-pg-ruby1.9.1 Source: ruby-dbd-pg Version: 0.3.9+gem2deb-1 Installed-Size: 60 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbd-pg Size: 29502 SHA256: fc5aa76dc4b1e80862c4d2f18fb2fd9ab8a896e392afc00dd4bb88ecafdb04db SHA1: 3950e00e2cf95d87bfa4977424834cb755289092 MD5sum: 4e1049c986142e3fca22b582833b6e5b Description: Transitional package for ruby-dbd-pg This is a transitional package to ease upgrades to the ruby-dbd-pg package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/ruby-dbi Tag: devel::lang:ruby, devel::lang:sql, devel::library, implemented-in::ruby, protocol::db:psql, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbd-pg/libdbd-pg-ruby1.9.1_0.3.9+gem2deb-1_all.deb Package: libdbd-pgsql Source: libdbi-drivers Version: 0.8.3-1+s-5 Architecture: armhf Maintainer: Thomas Goirand Installed-Size: 278 Depends: libc6 (>= 2.13-28), libdbi1 (>= 0.8.4), libpq5 Homepage: http://libdbi.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libd/libdbi-drivers/libdbd-pgsql_0.8.3-1+s-5_armhf.deb Size: 152868 SHA256: f0a907ad116f09a2ea5f4154d1f2b7489e6c8f84b8bb7b559633f480dc3ff7e2 SHA1: 9c1639a03db087dd254e79c44da8bf9d8825fe0b MD5sum: f9fb8b892dd777f42dd5b619942fbcfe Description: PostgreSQL database server driver for libdbi This driver provides connectivity to PostgreSQL database servers through the libdbi Database Independent Abstraction Layer. Switching a program's driver does not require recompilation or rewriting source code. Package: libdbd-sqlite Source: libdbi-drivers Version: 0.8.3-1+s-5 Architecture: armhf Maintainer: Thomas Goirand Installed-Size: 355 Depends: libc6 (>= 2.13-28), libdbi1 (>= 0.8.4), libsqlite0 (>= 2.8.17) Homepage: http://libdbi.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libd/libdbi-drivers/libdbd-sqlite_0.8.3-1+s-5_armhf.deb Size: 186736 SHA256: 73b09b008e94101b472c43c926edbcd47c2ce29f30e5b9df84ae7bbd8ec53239 SHA1: 55492b4f112461442c4f1b59d8a3eff6e5eb96e2 MD5sum: 9941fbc67590e262d8255201fee0f34b Description: SQLite database driver for libdbi This driver provides support for serverless SQLite databases through the libdbi Database Independent Abstraction Layer. Switching a program's driver does not require recompilation or rewriting source code. Package: libdbd-sqlite2-perl Version: 2:0.33-9 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 378 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libdbi-perl (>= 1.03), perl-dbdabi-94 Homepage: http://search.cpan.org/dist/DBD-SQLite2/ Priority: optional Section: perl Filename: pool/main/libd/libdbd-sqlite2-perl/libdbd-sqlite2-perl_0.33-9_armhf.deb Size: 173434 SHA256: 776283db0679d79657271eff00b0671571adf4b3a459d9c8a7652a9a23d8e970 SHA1: e605ddffd76d76d05868833a0ef48fbb6d666260 MD5sum: c68e672d66b1366fa95c888284ab99b0 Description: Perl DBI driver with a self-contained RDBMS (SQLite2 version) This module embeds a small fast embedded SQL database engine called SQLite into a DBI driver, if you want a relational database for your project, but don't want to install a large RDBMS system like MySQL or PostgreSQL, then DBD::SQLite may be just what you need. . SQLite supports the following features: . * Implements a large subset of SQL92 See http://www.hwaci.com/sw/sqlite/lang.html for details. . * A complete DB in a single disk file Everything for your database is stored in a single disk file, making it easier to move things around than with DBD::CSV. . * Atomic commit and rollback . The engine is very fast, but for updates/inserts/dml it does perform a global lock on the entire database. This, obviously, might not be good for multiple user systems. So beware. The database also appears to be significantly faster if your transactions are coarse. Package: libdbd-sqlite3 Source: libdbi-drivers Version: 0.8.3-1+s-5 Architecture: armhf Maintainer: Thomas Goirand Installed-Size: 358 Depends: libc6 (>= 2.13-28), libdbi1 (>= 0.8.4), libsqlite3-0 (>= 3.5.9) Homepage: http://libdbi.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libd/libdbi-drivers/libdbd-sqlite3_0.8.3-1+s-5_armhf.deb Size: 187052 SHA256: 0acfb7035b133250ab9955725ea8aaef04a3218738c835226336309004ddfe96 SHA1: b3c04ba32ebd4f103a8676dbe5a8320356e98772 MD5sum: 0c8dd0dbdd656cb8d0047bc083ec4ec5 Description: SQLite3 database driver for libdbi This driver provides support for serverless SQLite3 databases through the libdbi Database Independent Abstraction Layer. Switching a program's driver does not require recompilation or rewriting source code. Package: libdbd-sqlite3-perl Version: 1.37-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 269 Depends: perl (>= 5.14.2-11), perl-dbdabi-94, perlapi-5.14.2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.6.23) Homepage: http://search.cpan.org/dist/DBD-SQLite/ Priority: optional Section: perl Filename: pool/main/libd/libdbd-sqlite3-perl/libdbd-sqlite3-perl_1.37-1_armhf.deb Size: 108406 SHA256: f9c5f2849f3d2919a609d89621f009c9a8ff2067afc5fc55dcd8d1d514586aab SHA1: 84c047d94ab12fbec8726f1d360322fb8a537834 MD5sum: edf2acb13920e59f4bc55128e6d141d9 Description: Perl DBI driver with a self-contained RDBMS DBD::SQLite is a Perl DBI driver with a self-contained relational database management system. It embeds a small and fast embedded SQL database engine called SQLite (see sqlite3) into a DBI driver. It is useful if you want a relational database for your project, but don't want to install a large RDBMS system like MySQL or PostgreSQL. . SQLite supports the following features: . * Implements a large subset of SQL92 () * A complete DB in a single disk file Everything for your database is stored in a single disk file, making it easier to move things around than with DBD::CSV. * Atomic commit and rollback . The engine is very fast, but for updates/inserts/dml it does perform a global lock on the entire database. This, obviously, might not be good for multiple user systems. The database also appears to be significantly faster if your transactions are coarse. Package: libdbd-sqlite3-ruby Source: ruby-dbd-sqlite3 Version: 1.2.5+gem2deb-1 Installed-Size: 60 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbd-sqlite3 Size: 29268 SHA256: c635406fc587eac8d95abc018ee6ccc232520a20e5895379d6dcd56f533b8408 SHA1: 55c72d5626f2b0f64c6943960462a64bc5524562 MD5sum: dd7fa15c87e61d03f9384c32021feeb0 Description: Transitional package for ruby-dbd-sqlite3 This is a transitional package to ease upgrades to the ruby-dbd-sqlite3 package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/ruby-dbi Tag: devel::lang:ruby, devel::lang:sql, devel::library, implemented-in::ruby, role::devel-lib, role::metapackage, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbd-sqlite3/libdbd-sqlite3-ruby_1.2.5+gem2deb-1_all.deb Package: libdbd-sqlite3-ruby1.8 Source: ruby-dbd-sqlite3 Version: 1.2.5+gem2deb-1 Installed-Size: 60 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbd-sqlite3 Size: 29274 SHA256: de4bdbdf8870955af673f71ac25204074d8999d17240a157cbd74787046d01f0 SHA1: b62fe8719b42b9334c5c33774a5125d20b699fde MD5sum: 78c1380084a9f437105e22af959708d1 Description: Transitional package for ruby-dbd-sqlite3 This is a transitional package to ease upgrades to the ruby-dbd-sqlite3 package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/ruby-dbi Tag: devel::lang:ruby, devel::lang:sql, devel::library, implemented-in::ruby, role::devel-lib, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbd-sqlite3/libdbd-sqlite3-ruby1.8_1.2.5+gem2deb-1_all.deb Package: libdbd-sqlite3-ruby1.9.1 Source: ruby-dbd-sqlite3 Version: 1.2.5+gem2deb-1 Installed-Size: 60 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbd-sqlite3 Size: 29280 SHA256: aa8e169a8b071526ecbd5d9bcf592964912dcea88ca7e56b553fbecc8529db04 SHA1: 52aaa92df6f54ebdd9986d962c95873160dc8ddb MD5sum: fce9326a3214a5484932840a5555659e Description: Transitional package for ruby-dbd-sqlite3 This is a transitional package to ease upgrades to the ruby-dbd-sqlite3 package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/ruby-dbi Tag: devel::lang:ruby, devel::lang:sql, devel::library, implemented-in::ruby, role::devel-lib, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbd-sqlite3/libdbd-sqlite3-ruby1.9.1_1.2.5+gem2deb-1_all.deb Package: libdbd-sybase-perl Version: 1.14-1 Architecture: armhf Maintainer: Steve Langasek Installed-Size: 311 Depends: perl (>= 5.14.2-9), perl-dbdabi-94, perlapi-5.14.2, libc6 (>= 2.13-28), libct4 (>= 0.64) Priority: optional Section: perl Filename: pool/main/libd/libdbd-sybase-perl/libdbd-sybase-perl_1.14-1_armhf.deb Size: 129612 SHA256: ac44713fe25fa619e923cdbe036a5fb944bd60d5798a1f9b92a095c88133b1cd SHA1: 56762cce4c3753d5d5c79fd386ce2f6dd9ba86a5 MD5sum: 3a0dbf86d0492acadca5be5563779472 Description: Sybase/MS SQL database driver for the DBI module DBD::Sybase is a Perl module which works with the DBI module to provide access to Sybase and Microsoft SQL databases. Package: libdbd-xbase-perl Version: 1:1.03-1 Installed-Size: 368 Maintainer: Debian Perl Group Architecture: all Depends: perl Suggests: libdbi-perl Size: 116458 SHA256: 6cb270ebd6691dfb739f51295e6b0eb6334dfd41363e992e0b8fbe3ca7baa358 SHA1: 926ac03e2962ad0d3ba51a04a6a085a460c43c9b MD5sum: 4ffdba93295f714460121407057da5f2 Description: Perl module to access xbase files (optionally through DBI) DBD::XBase allows creation, access and modification of .dbf (dBase, Clipper, Fox* style) database files. It is capable of handling memo files, but indexes only in a somewhat limited way. It has two interfaces: one using SQL commands (through DBI) ad the other with a simple OO interface. . You can use the included dbf_dump program to dump the content of a .dbf file. Homepage: http://search.cpan.org/dist/DBD-XBase/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdbd-xbase-perl/libdbd-xbase-perl_1.03-1_all.deb Package: libdbi-dev Source: libdbi Version: 0.8.4-6 Architecture: armhf Maintainer: Thomas Goirand Installed-Size: 138 Depends: libdbi1 (= 0.8.4-6) Conflicts: libdbi0-dev Replaces: libdbi0, libdbi0-dev, libdbi1 (<< 0.8.4-2) Provides: libdbi0-dev Homepage: http://libdbi.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/libd/libdbi/libdbi-dev_0.8.4-6_armhf.deb Size: 32424 SHA256: 40da0fde7f5f01f6b6237ff69dca1b0a963d282d7ab9b21d60a8cc2af378f29d SHA1: 0fb3014b4208f499c000f3877f8d2cb5711fd883 MD5sum: eb32650e8aac9f6a45de29377b30950f Description: DB Independent Abstraction Layer for C -- development files libdbi implements a database-independent abstraction layer in C, similar to the DBI/DBD layer in Perl. Writing one generic set of code, programmers can leverage the power of multiple databases and multiple simultaneous database connections by using this framework. . This package contains development files so you can compile using libdbi. Package: libdbi-doc Source: libdbi Version: 0.8.4-6 Installed-Size: 892 Maintainer: Thomas Goirand Architecture: all Size: 558768 SHA256: 152d4b49da6bc495ac797b19f7b8b43cd3c47a4b16388d9e13b3cf702a077f73 SHA1: 574a44d404600238b6756f28d15d9007d5b8f5a0 MD5sum: 045ec5a75bb50a6906205e511327a2a7 Description: DB Independent Abstraction Layer for C -- documentation libdbi implements a database-independent abstraction layer in C, similar to the DBI/DBD layer in Perl. Writing one generic set of code, programmers can leverage the power of multiple databases and multiple simultaneous database connections by using this framework. . This package contains the documentation of libdbi. Homepage: http://libdbi.sourceforge.net/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libd/libdbi/libdbi-doc_0.8.4-6_all.deb Package: libdbi-perl Version: 1.622-1+deb7u1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 2118 Depends: perl (>= 5.14.2-21+rpi2+deb7u1), perlapi-5.14.2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Breaks: libdbd-anydata-perl (<< 0.09+), libdbd-csv-perl (<< 0.3000), libsql-statement-perl (<< 1.28) Provides: perl-dbdabi-94 Homepage: http://dbi.perl.org/ Priority: optional Section: perl Filename: pool/main/libd/libdbi-perl/libdbi-perl_1.622-1+deb7u1_armhf.deb Size: 890348 SHA256: f73de40d36784aa01b3b960b33bb83856cc5e53d1dc1dee618f1a85c28d5558a SHA1: 80b3ac078e9028196ac6050b9b3049ce62b6e1d3 MD5sum: 85719c3aaa50f90ece1cc4b8464d6d9c Description: Perl Database Interface (DBI) DBI (DataBase Interface) is a Perl framework that provides a common interface to access various backend databases in a uniform manner. DBD (DataBase Driver) modules provide implementations for various backend data storage mechanisms including networked relational databases (particularly SQL databases) and even web services such as the Google search engine. . It is extremely portable and available for a wide range of operating systems, architectures and data stores, including: . * Oracle * Microsoft SQL Server * IBM DB2 * SQLite * PostgreSQL * Firebird * MySQL Package: libdbi-ruby Source: ruby-dbi Version: 0.4.5-1 Installed-Size: 60 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbi Size: 32358 SHA256: 4edf185fbc792396e10c0f34710ff2dd684ddabe4d2bfdb505a1357304a2f37e SHA1: 6759b4b60778669fe871fc0ba7882151cdc5ddb8 MD5sum: ac22c6be3ae275ef601ac8112ddd77a1 Description: Transitional package for ruby-dbi This is a transitional package to ease upgrades to the ruby-dbi package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/ruby-dbi Tag: devel::lang:ruby, devel::lang:sql, devel::library, implemented-in::ruby, role::devel-lib, role::documentation, role::metapackage, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbi/libdbi-ruby_0.4.5-1_all.deb Package: libdbi-ruby1.8 Source: ruby-dbi Version: 0.4.5-1 Installed-Size: 60 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbi Size: 32360 SHA256: 5cbc67f13bc8ec638d430b39d11e35d3d5713035a9698e6517ab681a4e2ce5c1 SHA1: c9eeac18d4f0b2a3adec46de4cbaac7cd2805ed8 MD5sum: d2a29ffef8dada9d1065b287cea1b689 Description: Transitional package for ruby-dbi This is a transitional package to ease upgrades to the ruby-dbi package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/ruby-dbi Tag: devel::lang:ruby, devel::lang:sql, devel::library, implemented-in::ruby, role::devel-lib, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbi/libdbi-ruby1.8_0.4.5-1_all.deb Package: libdbi-ruby1.9.1 Source: ruby-dbi Version: 0.4.5-1 Installed-Size: 60 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbi Size: 32364 SHA256: 05e5ccc2d83d4b997159d5b2b0a900547c986b1356ec5b4ab3dbcb62aeacc3bb SHA1: f6f512e25c1cdb1f1f0f404d9a1d4fad4a81bb33 MD5sum: deccb978b6d51ea7fd560ae987552fa8 Description: Transitional package for ruby-dbi This is a transitional package to ease upgrades to the ruby-dbi package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/ruby-dbi Tag: devel::lang:ruby, devel::lang:sql, devel::library, implemented-in::ruby, role::devel-lib, role::shared-lib, works-with::db Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbi/libdbi-ruby1.9.1_0.4.5-1_all.deb Package: libdbi1 Source: libdbi Version: 0.8.4-6 Architecture: armhf Maintainer: Thomas Goirand Installed-Size: 98 Depends: libc6 (>= 2.13-28) Breaks: libapache2-mod-log-sql-dbi (<= 1.100-11), libdbd-mysql (<< 0.8.2-1), libdbd-pgsql (<< 0.8.2-1), libdbd-sqlite (<< 0.8.2-1) Homepage: http://libdbi.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libd/libdbi/libdbi1_0.8.4-6_armhf.deb Size: 27712 SHA256: 8dc97da8397db6a5828f086d075468d1926e90a00beae2014bb3aec1901cfcb6 SHA1: a3b0fda6ef013b3e7d543c00c59ba3b9ea77c524 MD5sum: c2d19b20521f7521a5df4f7c77cb0323 Description: DB Independent Abstraction Layer for C -- shared library libdbi implements a database-independent abstraction layer in C, similar to the DBI/DBD layer in Perl. Writing one generic set of code, programmers can leverage the power of multiple databases and multiple simultaneous database connections by using this framework. . This package is the shared library. Package: libdbicx-testdatabase-perl Version: 0.04-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl, libsql-translator-perl, libdbd-sqlite3-perl (>= 1.29) Size: 9964 SHA256: 34b37b7c9e7f0b70cc6c5e93aaf62c5e512174771ae6607cbb1c9ce55d7fa3fb SHA1: f6be3116951af4b8ced421192635e9b45a2f718d MD5sum: c3dbf688aedc012bc4db8b8f63f7a2ef Description: module for testing a DBIx::Class::Schema DBICx::TestDatabase is a Perl module useful for testing applications built with DBIx::Class (see libdbix-class-perl). It creates a temporary in-memory SQLite database, deploys your DBIC Schema, and then connects to it. This lets you easily test your schema using a fresh database for every test, ensuring that the ordering of tests will not affect failure. Homepage: http://search.cpan.org/dist/DBICx-TestDatabase/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbicx-testdatabase-perl/libdbicx-testdatabase-perl_0.04-1_all.deb Package: libdbix-abstract-perl Version: 1.010-1 Installed-Size: 101 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcheck-isa-perl, libdbi-perl Size: 28788 SHA256: 85209c937ef7ef3a3a5a7c6ec0285caf5470cd60ef631db22fb81d7803acb919 SHA1: 00c6f5ddcf06bb9c784947b7e69094b0a4364f4c MD5sum: 48b00a734fab9ae247d59c1c4f450f0e Description: DBI SQL abstraction DBIX::Abstract provides methods for retrieving and storing data in SQL databases. It provides methods for all of the more important SQL commands (like SELECT, INSERT, REPLACE, UPDATE, DELETE). . It endeavors to produce an interface that will be intuitive to those already familiar with SQL. . Notable features include: * data_source generation for some DBD drivers. * Can check to make sure the connection is not stale and reconnect if it is. * Controls statement handles for you. * Can delay writes. * Generates complex where clauses from hashes and arrays. * Shortcuts (convenience functions) for some common cases. (Like select_all_to_hashref.) Homepage: http://search.cpan.org/dist/DBIx-Abstract/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdbix-abstract-perl/libdbix-abstract-perl_1.010-1_all.deb Package: libdbix-class-candy-perl Version: 0.002100-1 Installed-Size: 74 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdbix-class-perl (>= 0.08123), libmro-compat-perl (>= 0.11), libnamespace-clean-perl (>= 0.18), libstring-camelcase-perl, liblingua-en-inflect-perl, libsub-exporter-perl (>= 0.982) Size: 17058 SHA256: c8aea20badd9df695fcd38e2db85043868d18219962d5732d6a30e9ebfb97199 SHA1: 6fb129a3b4bc993e1074937950c35d7c9f8652a9 MD5sum: 8790534cb9284a6a5fc842633c477a86 Description: module providing syntax sugar for DBIx::Class DBIx::Class::Candy is a Perl module that provides syntactic sugar for result definitions for DBIx::Class (see libdbix-class-perl). It reduces repetitive boilerplate and provides more concise aliases for methods, simplifying the definition and maintenance of your schema. Homepage: http://search.cpan.org/dist/DBIx-Class-Candy/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-class-candy-perl/libdbix-class-candy-perl_0.002100-1_all.deb Package: libdbix-class-cursor-cached-perl Version: 1.001002-1 Installed-Size: 20 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdbix-class-perl (>= 0.08124), libcarp-clan-perl Size: 6930 SHA256: 1a1d352a39d2e3a9cd16d562a37b850be907d8a6ddf0015f5c86440eb0408e6e SHA1: fd701bee24061440bf7c004b076a9879e2dd0030 MD5sum: c09c910f275505bd6cb47de8f13081eb Description: cursor object with built-in caching support DBIx::Class::Cursor::Cached is a Perl module providing a cursor class with built-in caching support. It allows for traversal of an arbitrary result set using "next", retrieving all results with "all" and resetting the cursor with "reset." Moreover, it caches your results to increase speed. Homepage: http://search.cpan.org/dist/DBIx-Class-Cursor-Cached/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-class-cursor-cached-perl/libdbix-class-cursor-cached-perl_1.001002-1_all.deb Package: libdbix-class-datetime-epoch-perl Version: 0.09-1 Installed-Size: 59 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-perl, libdbix-class-perl (>= 0.08103), libdbix-class-timestamp-perl Size: 8666 SHA256: 9e93d203a1638d9a70c78b457fb20968535221d563365a1ac88060a3a37dde7c SHA1: b54fa4d41477423f9d6ed4afded721823088cb59 MD5sum: 5c4a3135f80db85170bd9109b443a415 Description: extension for creating DateTime objects from columns DBIx::Class::DateTime::Epoch is an extension to DBIx::Class which creates DateTime (see libdatetime-perl) objects from column data on-the-fly. It is able to manipulate UNIX epoch data stored as an integer or varchar. Homepage: http://search.cpan.org/dist/Dbix-Class-DateTime-Epoch/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-class-datetime-epoch-perl/libdbix-class-datetime-epoch-perl_0.09-1_all.deb Package: libdbix-class-dynamicdefault-perl Version: 0.04-1 Installed-Size: 54 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdbix-class-perl (>= 0.08127) Size: 7228 SHA256: f719193ba2f41321d9c86f91ada4cda9ad53a56ab451501247e554f20aaa7fbf SHA1: d363aeb26110396d3e0b118bf7daac70e9bd722b MD5sum: aaf15b6a39d63b2c1819ed54bccac3c8 Description: dbix-class extension to automatically set and update fields DBIx::Class::DynamicDefault provides a callback-based interface to inject sufficiently complex default values into a field upon row creation and subsequent updates of that row. Homepage: http://search.cpan.org/dist/DBIx-Class-DynamicDefault/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-class-dynamicdefault-perl/libdbix-class-dynamicdefault-perl_0.04-1_all.deb Package: libdbix-class-encodedcolumn-perl Version: 0.00011-1 Installed-Size: 120 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdbix-class-perl, libsub-name-perl Suggests: libcrypt-eksblowfish-perl, libdigest-whirlpool-perl Size: 26698 SHA256: 3294dbaf241e1aff27ef84de756083285f6a8022697c9ba988fc95aad9b71090 SHA1: 08a84f69adf00587a8615838858d216490373d40 MD5sum: 59497a102e2e36d2dec896a2eb96164e Description: extension to encode column values automatically DBIx::Class::EncodedColumn is a DBIx::Class component which can automatically encode a column's contents whenever the value of that column is set, similar to DBIx::Class::DigestColumns. Any data you write is automatically converted on-the-fly and, in contrast to DigestColumns, any arbitrary message digest or encryption method can be supported through an appropriate encoding class. Homepage: http://search.cpan.org/dist/DBIx-Class-EncodedColumn/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-class-encodedcolumn-perl/libdbix-class-encodedcolumn-perl_0.00011-1_all.deb Package: libdbix-class-helpers-perl Version: 2.013002-1 Installed-Size: 235 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcarp-clan-perl (>= 6.04), libdbd-sqlite3-perl, libdbix-class-candy-perl, libdbix-class-perl (>= 0.08127), liblingua-en-inflect-perl, libnamespace-clean-perl (>= 0.23), libstring-camelcase-perl, libsub-exporter-perl Size: 109048 SHA256: 6ce7c93b06c3c615fb4027ab3a39a63e6d0a9993e10515246e7a6c884be3147e SHA1: 94365c2bd62f9ad751d8735e34f75ea64cbfe537 MD5sum: 1cc0138a60e2333e6ee04d5094c7b890 Description: collection of helpers for DBIx::Class DBIx::Class::Helpers bundles many useful helpers for DBIx::Class that simplify the common case stuff. Homepage: http://search.cpan.org/dist/DBIx-Class-Helpers/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-class-helpers-perl/libdbix-class-helpers-perl_2.013002-1_all.deb Package: libdbix-class-htmlwidget-perl Version: 0.16-2 Installed-Size: 26 Maintainer: Al Nikolov Architecture: all Depends: perl (>= 5.6.0-16), libhtml-widget-perl (>= 1.10), libdbix-class-perl (>= 0.05) Size: 9236 SHA256: f4b33d1572e2ac0a5d9f4eb868cdd7d91f0bf005c5de3e3b6c6328def8560398 SHA1: d18fbe9dabd47c9341c917cbc6308c637b6ab438 MD5sum: 9ae0259792fc86610ce6de6eed745129 Description: Like FromForm but with DBIx::Class and HTML::Widget Something like Class::DBI::FromForm / Class::DBI::FromCGI but using HTML::Widget for form creation and validation and DBIx::Class as a ORM. Homepage: http://search.cpan.org/~andremar/DBIx-Class-HTMLWidget/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, web::cgi, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdbix-class-htmlwidget-perl/libdbix-class-htmlwidget-perl_0.16-2_all.deb Package: libdbix-class-inflatecolumn-ip-perl Version: 0.02002-1 Installed-Size: 58 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdbix-class-perl, libnetaddr-ip-perl Size: 7968 SHA256: e7ae70a8522216aead2a68f323dfa749077bc7adca564623f6347392bbc73d70 SHA1: d7375071c4a15fba809453d739ff424d50f8ec75 MD5sum: ae8ddac94b7f41b7f670548cc25d946a Description: extension for creating NetAddr::IP objects from columns DBIx::Class::InflateColumn::IP is an extension to DBIx::Class which creates NetAddr::IP (see libnetaddr-ip-perl) objects from column data on-the-fly. It supports columns stored as an integer or varchar, as well as custom address classes. Homepage: http://search.cpan.org/dist/DBIx-Class-InflateColumn-IP/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-class-inflatecolumn-ip-perl/libdbix-class-inflatecolumn-ip-perl_0.02002-1_all.deb Package: libdbix-class-introspectablem2m-perl Version: 0.001001-1 Installed-Size: 20 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdbix-class-perl Size: 6880 SHA256: d7aebbc95a2f6fd81e3998389a90129a549bb11749faf305e29915a322753a47 SHA1: 274f3a325041b25e0aebbff270ce86f0c7881268 MD5sum: 703d2b32a97bdec6f741eca97c351f83 Description: Introspection of many-to-many DBIx::Class relationships Because DBIx::Class many-to-many relationships are not actual relationships, they cannot be introspected with DBIx::Class. They are actually just a collection of convenience methods that bridge two actual relationships. . DBIx::Class::IntrospectableM2M can be used to store all relevant information about these M2M relationships so they can later be introspected and examined. Homepage: http://search.cpan.org/dist/DBIx-Class-IntrospectableM2M/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-class-introspectablem2m-perl/libdbix-class-introspectablem2m-perl_0.001001-1_all.deb Package: libdbix-class-perl Version: 0.08196-3 Installed-Size: 1590 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.10.1), libclass-accessor-grouped-perl (>= 0.10000), libconfig-any-perl (>= 0.20), libclass-c3-componentised-perl (>= 1.0005), libclass-inspector-perl (>= 1.24), libcontext-preserve-perl, libdata-compare-perl (>= 1.22), libdata-dumper-concise-perl (>= 2.020), libdata-page-perl, libdbi-perl (>= 1.570), libmodule-find-perl (>= 0.06), libnamespace-clean-perl (>= 0.14), libpath-class-perl (>= 0.18), libscope-guard-perl, libsql-abstract-perl (>= 1.72), libsub-name-perl, libtry-tiny-perl (>= 0.04) Recommends: libsql-translator-perl (>= 0.11006) Suggests: libgetopt-long-descriptive-perl (>= 0.081), libhash-merge-perl (>= 0.12), libjson-any-perl (>= 1.22), libmath-base36-perl, libmoose-perl (>= 0.98), libmoosex-types-json-perl, libmoosex-types-path-class-perl, libmoosex-types-perl (>= 0.21), libnamespace-autoclean-perl (>= 0.09), libtext-csv-perl (>= 1.16) Size: 805296 SHA256: 75b920fa5560b1f357381c614d6e701b8cdec359192a1651f0d1d12d49712936 SHA1: 13decf4e526e4c1654a9bec21802f49b0ba83798 MD5sum: 6571aa0750d299be402802aa50fb47b3 Description: extensible and flexible object <-> relational mapper DBIx::Class is an SQL to OO mapper with an object API inspired by Class::DBI (and a compatibility layer as a springboard for porting) and a resultset API that allows abstract encapsulation of database operations. It aims to make representing queries in your code as perl-ish as possible while still providing access to as many of the capabilities of the database as possible, including retrieving related records from multiple tables in a single query, JOIN, LEFT JOIN, COUNT, DISTINCT, GROUP BY and HAVING support. . DBIx::Class can handle multi-column primary and foreign keys, complex queries and database-level paging, and does its best to only query the database in order to return something you've directly asked for. If a resultset is used as an iterator it only fetches rows off the statement handle as requested in order to minimise memory usage. It has auto-increment support for SQLite, MySQL, PostgreSQL, Oracle, SQL Server and DB2 and is known to be used in production on at least the first four, and is fork- and thread-safe out of the box (although your DBD may not be). Homepage: http://search.cpan.org/dist/DBIx-Class/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libd/libdbix-class-perl/libdbix-class-perl_0.08196-3_all.deb Package: libdbix-class-resultset-recursiveupdate-perl Version: 0.25-1 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcarp-clan-perl, libdbix-class-introspectablem2m-perl, libdbix-class-perl Size: 16580 SHA256: 32e0838beae59623f95e7aff16093e2cdeffc9cfb6f0c8b16ceb915fab783330 SHA1: 80012fe323e3a3c35789e3a87ffb1da4d0128f78 MD5sum: 0239b2f33bf8aefa94303957c9959701 Description: module for recursive updates of DBIx::Class::ResultSets You can feed the ->create method of DBIx::Class with a recursive datastructure and have the related records created. Unfortunately you cannot do a similar thing with update_or_create. . DBIx::Class::ResultSet::RecursiveUpdate tries to fill that void . It is a base class for DBIx::Class::ResultSet providing the method recursive_update which works just like update_or_create but can recursively update or create result objects composed of multiple rows. Homepage: http://search.cpan.org/dist/DBIx-Class-ResultSet-RecursiveUpdate/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-class-resultset-recursiveupdate-perl/libdbix-class-resultset-recursiveupdate-perl_0.25-1_all.deb Package: libdbix-class-schema-loader-perl Version: 0.07025-1 Installed-Size: 549 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.10.1), libcarp-clan-perl, libclass-accessor-grouped-perl (>= 0.10002), libclass-c3-componentised-perl (>= 1.0008), libclass-inspector-perl (>= 1.27), libclass-unload-perl, libconfig-any-perl, libconfig-general-perl, libdata-dump-perl, libdbix-class-perl (>= 0.08127), libhash-merge-perl, liblingua-en-inflect-number-perl, liblingua-en-inflect-perl, liblingua-en-inflect-phrase-perl, liblingua-en-tagger-perl, liblist-moreutils-perl, libmoosex-markasmethods-perl, libmro-compat-perl, libnamespace-autoclean-perl, libnamespace-clean-perl (>= 0.20), libscope-guard-perl, libstring-camelcase-perl, libstring-toidentifier-en-perl, libsub-name-perl, libtask-weaken-perl, libtry-tiny-perl, libuniversal-require-perl Recommends: libmoose-perl (>= 1.12), libmoosex-nonmoose-perl Size: 196672 SHA256: 6620e23364b9c8f6a36482627c4cb9162049809e75444cb832dad093622d4aca SHA1: 1f424436bae3e7d26dfe73273bae303a5278fc5a MD5sum: 6f8ba40e615c33f13a7890974000b891 Description: module to automate definition of a DBIx::Class::Schema DBIx::Class::Schema::Loader is an extension to DBIx::Class that automates the definition of a DBIx::Class::Schema by scanning table schemas and setting up columns and primary keys appropriately. It supports MySQL, PostgreSQL, SQLite and DB2. . Bare table definitions are fairly straightforward, but relationship creation is somewhat heuristic, especially with respect to choosing relationship types and names, as well as join types. The relationships generated by this module will probably never be as well-defined as hand-generated ones. Homepage: http://search.cpan.org/dist/DBIx-Class-Schema-Loader/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-class-schema-loader-perl/libdbix-class-schema-loader-perl_0.07025-1_all.deb Package: libdbix-class-timestamp-perl Version: 0.14-1 Installed-Size: 53 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-perl (>= 2:0.5500), libdbix-class-dynamicdefault-perl, libdbix-class-perl Size: 7820 SHA256: 94400725d54dc6d4add8dd6454fdb283865503348aa46a4762284efa26b267a1 SHA1: 112aac1e4fa87aa77be656ada901e3aa91deb8f6 MD5sum: f236eda001e9f46670b799c1b9d75d21 Description: DBIx::Class extension to update and create date and time based fields DBIx::Class::TimeStamp works in conjunction with InflateColumn::DateTime to automatically set update and create date and time based fields in a table. Homepage: http://search.cpan.org/dist/DBIx-Class-TimeStamp/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-class-timestamp-perl/libdbix-class-timestamp-perl_0.14-1_all.deb Package: libdbix-class-tree-nestedset-perl Version: 0.10-1 Installed-Size: 97 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdbicx-testdatabase-perl, libdbix-class-perl Size: 19572 SHA256: 36e8990e612d72afb6748af6717b30256320064c7815f147ae654b8d91020c8d SHA1: 17489b9c51b022932a40b90268e10431c2b399d2 MD5sum: 50eefce084be17815d5620afab900d52 Description: module to manage trees of data using the nested set model The module DBIx::Class::Tree::NestedSet provides methods for working with nested set trees. The nested tree model is a way of representing hierarchical information in a database. This takes a different approach to the Adjacency List implementation. Homepage: http://search.cpan.org/dist/DBIx-Class-Tree-NestedSet/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libd/libdbix-class-tree-nestedset-perl/libdbix-class-tree-nestedset-perl_0.10-1_all.deb Package: libdbix-connector-perl Version: 0.52-1 Installed-Size: 122 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdbi-perl Size: 44606 SHA256: f316f145bf52e84553bda459299a97a04cf87992db571931b98b59a2dea1fe4d SHA1: d5eb70635cbff9fcf25969fc2625ded4fed1a190 MD5sum: 4d79a7172cb02a3afccf4242526dadbd Description: fast and safe DBI connection and transaction management DBIx::Connector provides a simple interface for fast and safe DBI connection and transaction management. Connecting to a database can be expensive; you don't want your application to re-connect every time you need to run a query. The efficient thing to do is to hang on to a database handle to maintain a connection to the database in order to minimize that overhead. DBIx::Connector lets you do that without having to worry about dropped or corrupted connections. Homepage: http://search.cpan.org/dist/DBIx-Connector/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-connector-perl/libdbix-connector-perl_0.52-1_all.deb Package: libdbix-contextualfetch-perl Version: 1.03-3 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libdbi-perl (>= 1.35) Size: 9056 SHA256: 994c9fa9345d0523a6200a1cc1edfc6be016bf6d75da094cfcccc5db5f284681 SHA1: 3db1a7240201428802d815ec1e2f8bc2f400e828 MD5sum: f5e1563a5fc36c7d6f02bba7a65a14c3 Description: module to add context aware fetches to DBI The Perl database independent interface - DBI - does not take much advantage of Perl's context sensitivity. This is the situation where a Perl subroutine knows whether the caller wants a single scalar value or a list of them to be returned. . DBIx::ContextualFetch is a sub-class of DBI which redefines some of the various fetch methods to fix this oversight. It also adds a few new methods for convenience (though not necessarily efficiency). Much of this code was originally part of the IMA::DBI Perl module (libima-dbi-perl package). Homepage: http://search.cpan.org/dist/DBIx-ContextualFetch/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdbix-contextualfetch-perl/libdbix-contextualfetch-perl_1.03-3_all.deb Package: libdbix-datasource-perl Version: 0.02-3 Installed-Size: 100 Maintainer: Ivan Kohler Architecture: all Depends: perl (>= 5.6.0-16), libdbi-perl Suggests: libdbd-pg-perl|libdbd-mysql-perl Size: 14618 SHA256: 8f5fb622f066a3e848cfc615f326162f6bd09e24a0602009a900b893853a3385 SHA1: 38bb2493c60683505087eaa6f6c21579cd319757 MD5sum: 039382b4289f2d0b95bd52973cc34102 Description: Database-independent create and drop functions This module implements create_database and drop_database functions for databases. It aims to provide a common interface to database creation and deletion regardless of the actual database being used. . Currently supported databases are MySQL and PostgreSQL. Assistance adding support for other databases is welcomed and relatively simple - see DBIx::DataSource::Driver. Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdbix-datasource-perl/libdbix-datasource-perl_0.02-3_all.deb Package: libdbix-dbschema-perl Version: 0.40-2 Installed-Size: 187 Maintainer: Ivan Kohler Architecture: all Depends: perl, libdbi-perl, libfreezethaw-perl Size: 69830 SHA256: a26ce4556dd36a14a0aec33c2eadbc9dcd03ba6d1ed89fe26c05cec9c7028c34 SHA1: e7cf4dfcf478cbe6b11e1c5a0446b99c802317d4 MD5sum: 78da36f19f2ec00590c640aa59355b37 Description: Database-independent schema objects DBIx::DBSchema objects are collections of DBIx::DBSchema::Table objects and represent a database schema. . This module implements an OO-interface to database schemas. Using this module, you can create a database schema with an OO Perl interface. You can read the schema from an existing database. You can save the schema to disk and restore it from a different process. Most importantly, DBIx::DBSchema can write SQL CREATE statements for different databases from a single source. . Currently supported databases are MySQL, PostgreSQL, Oracle, Sybase and SQLite. DBIx::DBSchema will attempt to use generic SQL syntax for other databases. Assistance adding support for other databases is welcomed. See the DBIx::DBSchema::DBD manpage, "Driver Writer's Guide and Base Class". Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdbix-dbschema-perl/libdbix-dbschema-perl_0.40-2_all.deb Package: libdbix-dbstag-perl Version: 0.12-1 Installed-Size: 325 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdata-stag-perl, libdbd-pg-perl, libdbi-perl, libdbix-dbschema-perl, libparse-recdescent-perl, libxml-perl Size: 119924 SHA256: 87632e1561770d5a0de83f1d593ff7c70313fdf8a8a4f6de26e32220bf05c7cc SHA1: e10d5da0d203355247f772aea6203cde820e8d99 MD5sum: 0ba64e669d40da10e19aa7d4449e5d4a Description: module providing relational database to hierarchical mapping The module DBIx::DBStag is for mapping from databases to Stag objects (Structured Tags - see the Data::Stag manpage), which can also be represented as XML. It has two main uses: . Querying This module can take the results of any SQL query and decompose the flattened results into a tree data structure which reflects the foreign keys in the underlying relational schema. It does this by looking at the SQL query and introspecting the database schema, rather than requiring metadata or an object model. . In this respect, the module works just like a regular the DBI manpage handle, with some extra methods provided. . Storing Data DBStag objects can store any tree-like datastructure (such as XML documents) into a database using normalized schema that reflects the structure of the tree being stored. This is done using little or no metadata. . XML can also be imported, and a relational schema automatically generated. Homepage: http://search.cpan.org/dist/DBIx-DBStag/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libd/libdbix-dbstag-perl/libdbix-dbstag-perl_0.12-1_all.deb Package: libdbix-dr-perl Version: 0.19-1 Installed-Size: 111 Maintainer: Dmitry E. Oboukhov Architecture: all Depends: perl, libdbi-perl, libmouse-perl Size: 32200 SHA256: 34ea8174f69dcde25c86a9929c58249763a7483e246a20822170cc0e7d73ccfa SHA1: 3ae1de596210f593107976dd402d79fe7f1b65f0 MD5sum: 37ed2c65095829e543fc6e506b1688db Description: easy DBI helper The package extends DBI and allows You: . * to use perl inside Your SQL requests; * to bless resultsets into Your package; * to place Your SQL's into dedicated directory; * to use usual DBI methods. Homepage: http://search.cpan.org/dist/DBIx-DR/ Section: perl Priority: extra Filename: pool/main/libd/libdbix-dr-perl/libdbix-dr-perl_0.19-1_all.deb Package: libdbix-easy-perl Source: dbix-easy-perl Version: 0.17-1 Installed-Size: 260 Maintainer: Stefan Hornburg (Racke) Architecture: all Depends: perl (>= 5.6.0-16), libdbi-perl Recommends: libterm-readkey-perl, libtext-csv-perl Suggests: libdbd-mysql-perl | libdbd-pg-perl Size: 64106 SHA256: c6ebe9d93fba9ddb16c92d23e3781012bbad700df504cdaa35d4fda2b158bf9b SHA1: 80bcc9f526ac9dd8f976f0f74d37267f30cd87f4 MD5sum: fbe171660bb5de5880df28abadc476c7 Description: Easy to Use DBI Interface DBIx::Easy is an easy to use DBI interface. Currently the Pg, mSQL, mysql, sybase and ODBC drivers are supported. Note that you also need one of the DBD::* drivers to use this module. For additional information please refer to the manpage. Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/d/dbix-easy-perl/libdbix-easy-perl_0.17-1_all.deb Package: libdbix-fulltextsearch-perl Version: 0.73-10 Installed-Size: 200 Maintainer: Dominic Hargreaves Architecture: all Depends: libdbd-mysql-perl, libparse-recdescent-perl, libwww-perl, perl (>= 5.6.0-16) Size: 52054 SHA256: 375b26a33c871091d32fbebb0ea56ef4d5a3fdad0a050697f6adcff6e0f56240 SHA1: a0c17009749615474b7a0b6d1fa30471e5ef3d62 MD5sum: 028c0ec882eae00377d1fba3558e78ee Description: Indexing documents with MySQL as storage DBIx::FullTextSearch is a flexible solution for indexing contents of documents. It uses the MySQL database to store the information about words and documents and provides Perl interface for indexing new documents, making changes and searching for matches. For DBIx::FullTextSearch, a document is nearly anything -- Perl scalar, file, Web document, database field. . The basic style of interface is shown above. What you need is a MySQL database and a DBI with DBD::mysql. Then you create a DBIx::FullTextSearch index -- a set of tables that maintain all necessary information. Once created it can be accessed many times, either for updating the index (adding documents) or searching. . DBIx::FullTextSearch uses one basic table to store parameters of the index. Second table is used to store the actual information about documents and words, and depending on the type of the index (specified during index creation) there may be more tables to store additional information (like conversion from external string names (eg. URL's) to internal numeric form). For a user, these internal thingies and internal behaviour of the index are not important. The important part is the API, the methods to index document and ask questions about words in documents. However, certain understanding of how it all works may be useful when you are deciding if this module is for you and what type of index will best suit your needs. Homepage: http://search.cpan.org/dist/DBIx-FullTextSearch/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-fulltextsearch-perl/libdbix-fulltextsearch-perl_0.73-10_all.deb Package: libdbix-oo-perl Version: 0.0.9-3 Installed-Size: 176 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.9.4), libclass-data-inheritable-perl, libdbi-perl, libsql-abstract-perl Size: 51626 SHA256: 4356752c9e08788010b3653cbca545f0aa9774a572f61ca2348db502baf63d00 SHA1: 5e21b1dbcf98d0c572a70e014f92db039403db52 MD5sum: f742cbeea91b44ea9c3f683224bfacdd Description: database to Perl objects abstraction layer DBIx::OO has been inspired by the wonderful Class::DBI. It is a database-to-Perl-Objects abstraction layer, allowing you to interact with a database using common Perl syntax. Homepage: http://search.cpan.org/dist/DBIx-OO/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-oo-perl/libdbix-oo-perl_0.0.9-3_all.deb Package: libdbix-password-perl Version: 1.9-1 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libdbi-perl Size: 10826 SHA256: 2a587688d000604d5b70f57dd7a664b22c17d298925e2aec8edaf457686ad6f1 SHA1: 9de27e60d14a56065addc22eda40f37941d3d774 MD5sum: 06521123cfe0f6d47fe7c8a68e9b8f9a Description: Perl module for creating a global password file for DB passwords Don't you hate keeping track of database passwords and such throughout your scripts? How about the problem of changing those passwords on a mass scale? DBIx::Password is one possible solution. . It keeps track of all your virtual users, databases, password, etc. in one central file. Homepage: http://search.cpan.org/dist/DBIx-Password/ Tag: admin::user-management, devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdbix-password-perl/libdbix-password-perl_1.9-1_all.deb Package: libdbix-profile-perl Version: 1.0-3 Installed-Size: 84 Maintainer: Ivan Kohler Architecture: all Depends: perl (>= 5.6.0-16), libtime-hires-perl, libdbi-perl Size: 10120 SHA256: 2359b5dcf645980ef6e2ce28c1eaccec5908b03135162049ff96778f2e508b6b SHA1: e75c074002f53838351e21a43763c3f03ee8ac03 MD5sum: b71a8ddd91b7c5c4b991a9b1bb4231c1 Description: DBI query profiler DBIx::Profile is a quick and easy, and mostly transparent, profiler for scripts using DBI. It collects information on the query level, and keeps track of first, failed, normal, and total amounts (count, wall clock, CPU time) for each function on the query. . NOTE: DBIx::Profile use Time::HiRes to clock the wall time and the old standby times() to clock the CPU time. The CPU time is pretty coarse. . DBIx::Profile can also trace the execution of queries. It will print a timestamp and the query that was called. This is optional, and occurs only when the environment variable DBIXPROFILETRACE is set to 1. (ex: (bash) export DBIXPROFILETRACE=1). Tag: devel::lang:perl, devel::lang:sql, devel::library, devel::profiler, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdbix-profile-perl/libdbix-profile-perl_1.0-3_all.deb Package: libdbix-recordset-perl Version: 0.26-2 Installed-Size: 428 Maintainer: Debian Perl Group Architecture: all Depends: libdbi-perl, perl Size: 127072 SHA256: 3229611dbfb5f892f9a4f3a674bdb6f2665ac804f88dd0696cea52e27042ee3a SHA1: 71507ea28fced2e8ccb1863f906dafe211d6d7c5 MD5sum: c551bd8b82000776a44e4590ef37fb4c Description: Perl extension for DBI recordsets DBIx::Recordset is a perl module for abstraction and simplification of database access. . The goal is to make standard database access (select/insert/update/delete) easier to handle and independent of the underlying DBMS. Special attention is made on web applications to make it possible to handle the state-less access and to process the posted data of form fields, but DBIx::Recordset is not limited to web applications. . DBIx::Recordset uses the DBI API to access the database, so it should work with every database for which a DBD driver is available (see also DBIx::Compat). . Most public functions take a hash reference as parameter, which makes it simple to supply various different arguments to the same function. The parameter hash can also be taken from a hash containing posted form fields like those available with CGI.pm, mod_perl, HTML::Embperl and others. Homepage: http://search.cpan.org/dist/DBIx-Recordset/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdbix-recordset-perl/libdbix-recordset-perl_0.26-2_all.deb Package: libdbix-safe-perl Version: 1.2.5-1 Installed-Size: 88 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdbi-perl (>= 1.49) Size: 14562 SHA256: f3f169f7fdd2560566069fb670552f7de64cf6bf4cd32744c446b78d3e8a2f3c SHA1: 842367d3864966ec422079d60740038c751c8971 MD5sum: 0fa5cb4f05a24a8283a7a44c58cd54b3 Description: Safe wrapper to DBI interface The purpose of this module is to give controlled, limited access to an application, rather than simply passing it a raw database handle through DBI. DBIx::Safe acts as a wrapper to the database, by only allowing through the commands you tell it to. It filters all things related to the database handle - methods and attributes. . The typical usage is for your application to create a database handle via a normal DBI call to new(), then pass that to DBIx::Safe->new(), which will return you a DBIx::Safe object. After specifying exactly what is and what is not allowed, you can pass the object to the untrusted application. The object will act very similar to a DBI database handle, and in most cases can be used interchangeably. . By default, nothing is allowed to run at all. There are many things you can control. You can specify which SQL commands are allowed, by indicating the first word in the SQL statement (e.g. 'SELECT'). You can specify which database methods are allowed to run (e.g. 'ping'). You can specify a regular expression that allows matching SQL statements to run (e.g. 'qr{SET TIMEZONE}'). You can specify a regular expression that is NOT allowed to run (e.g. qr(UPDATE xxx}). Finally, you can indicate which database attributes are allowed to be read and changed (e.g. 'PrintError'). For all of the above, there are matching methods to remove them as well. Homepage: http://search.cpan.org/dist/DBIx-Safe/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-safe-perl/libdbix-safe-perl_1.2.5-1_all.deb Package: libdbix-searchbuilder-perl Version: 1.62-1 Installed-Size: 309 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcache-simple-timedexpiry-perl, libclass-accessor-perl, libclass-returnvalue-perl, libclone-perl, libdbd-pg-perl | libdbd-mysql-perl | libdbd-sqlite3-perl | libdbd-odbc-perl | libdbd-sqlite2-perl | libdbd-informix-perl | libdbd-sybase-perl, libdbix-dbschema-perl, libwant-perl Size: 122388 SHA256: 041026065d1452d45f629f658fec0db5d303866bbdfca1e15814a9aaf79e435c SHA1: 42d6375e4deed2d040244d64228b9d9b0f7e0438 MD5sum: c44a6933ee290e17e98bd9f09d9a7f21 Description: Perl implementation of a simple ORM DBIx::SearchBuilder is a simple implementation of an Object-Relational Mapper (ORM). It provides an object-oriented mechanism for retrieving and updating data in a DBI-accessible database. This module is similar in nature to other, more popular, solutions like Rose::DB::Object (see librose-db-object-perl) and DBIx::Class (libdbix-class-perl). Homepage: http://search.cpan.org/dist/DBIx-SearchBuilder/ Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdbix-searchbuilder-perl/libdbix-searchbuilder-perl_1.62-1_all.deb Package: libdbix-sequence-perl Version: 1.5-2 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: libdbi-perl Size: 21082 SHA256: 1f4f4d7cc857543681eb07b5d9714b36433358890d1e0c51469fc15d8b1176d6 SHA1: e7772532065a86d83833eb39bd1f96ebcdab075e MD5sum: bbf7c7c1b6d32c67112a0465d17b7b53 Description: simple SQL92 ID generator DBIx::Sequence is intended to give easier portability to Perl database application by providing a database independent unique ID generator. This way, an application developer is not bound to use his database's SEQUENCE or auto_increment thus making his application portable on multiple database environnements. . This module implements a simple Spin Locker mechanism and is garanteed to return a unique value every time it is called, even with concurrent processes. It uses your database for its state storage with ANSI SQL92 compliant SQL. All SQL queries inside DBIx::Sequence are pre cached and very efficient especially under mod_perl. Homepage: http://search.cpan.org/dist/DBIx-Sequence/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-sequence-perl/libdbix-sequence-perl_1.5-2_all.deb Package: libdbix-simple-perl Version: 1.32-1 Installed-Size: 120 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libdbi-perl (>= 1.21) Recommends: libsql-abstract-perl, libtext-table-perl Size: 34070 SHA256: 55e9471d933c8045cca6af838a6bc95b647c4a47871b78de579b3b2545b44b3d SHA1: 7155853a1ac7d0ee3a46d48ebd29474c6ca2028b MD5sum: 46267ee655cca295faaa9c8c9b0fbaa0 Description: Perl module implementing an simpler interface to DBI DBIx::Simple provides a simplified interface to DBI, Perl's powerful de-facto standard database interface. This module is aimed at rapid development and easy maintenance of your codebase. A single method prepares and executes your queries, and the result object (which wraps the statement handle) provides easy row-by-row iteration and slurping methods. . The query method returns either a result object, or a dummy object. The dummy object returns undef (or an empty list) for all methods and when evaluated in boolean context, is false. The dummy object lets you postpone (or skip) error checking, but it also makes immediate error checking simple. Homepage: http://search.cpan.org/dist/DBIx-Simple/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbix-simple-perl/libdbix-simple-perl_1.32-1_all.deb Package: libdbix-xml-rdb-perl Version: 0.05-11 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libdbi-perl Suggests: libxml-parser-perl Size: 13170 SHA256: 4c39b794a633d98483e13e056b2e78933fe3799305a930313a3ad30b9879f2ed SHA1: f02567a6e0bac3cf402f90eda382cef456bee34b MD5sum: a085d4ab374fa7d9dcb0584b32b06e07 Description: perl module for creating XML from a DBI datasource This module is a simple creator of XML data from DBI datasources. It allows you to easily extract data from a database, and manipulate later using XML::Parser. . Binary data is encoded using UTF-8. This is automatically decoded when parsing with XML::Parser. . The examples need libxml-parser-perl. Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with-format::xml, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdbix-xml-rdb-perl/libdbix-xml-rdb-perl_0.05-11_all.deb Package: libdbix-xmlmessage-perl Version: 0.05-9 Installed-Size: 140 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libdbi-perl, libhtml-parser-perl, libxml-parser-perl Size: 34740 SHA256: 269df267fc4d78919ee7c3f8b33e5199a0feef090eb8847647e6e986895c7ff5 SHA1: 8422edf15380fe1a125011520bfaec35cae9bf48 MD5sum: 8610ee2a26d08043db09d2d693165766 Description: perl module for exchanging XML messages between DBI data sources This module maintains simple XML templates that describe object structure. The module is capable of generating SQL statements based on these templates and executing them against DBI data sources. After executing the SQL, the package formats the data results into XML strings. . Inbound messages can be processed according to the same kind of templates and the database is updated accordingly. Templates are capable of defining the SQL operators, plus new SAVE operation which is basically a combination of SELECT and either INSERT or UPDATE depending on whether the record was found by the compound key value or not. Tag: devel::lang:perl, devel::lang:sql, devel::library, implemented-in::perl, works-with-format::xml, works-with::db Section: perl Priority: optional Filename: pool/main/libd/libdbix-xmlmessage-perl/libdbix-xmlmessage-perl_0.05-9_all.deb Package: libdbm-deep-perl Version: 2.0008-1 Installed-Size: 351 Maintainer: Debian Perl Group Architecture: all Depends: perl Suggests: libdbi-perl, libdbd-sqlite3-perl (>= 1.25) | libdbd-mysql-perl Size: 121940 SHA256: c29173f5c96f8df8eba65e940b8d3602f6c52dc0a8bfa022538cda5d0c06fead SHA1: 80fa84087a0ffbffdaa4da5112fcc4805c892ad7 MD5sum: 28b93c543245b18d527c288d14dacaf5 Description: pure perl multi-level hash/array DBM that supports transactions DBM::Deep is an unique flat-file database module, written in pure perl. True multi-level hash/array support (unlike MLDBM, which is faked), hybrid OO / tie() interface, cross-platform FTPable files, ACID transactions, and is quite fast. Can handle millions of keys and unlimited levels without significant slow-down. Written from the ground-up in pure perl -- this is NOT a wrapper around a C-based DBM. Homepage: http://search.cpan.org/dist/DBM-Deep/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdbm-deep-perl/libdbm-deep-perl_2.0008-1_all.deb Package: libdbus-1-3 Source: dbus Version: 1.6.8-1+deb7u6 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 328 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Recommends: dbus Breaks: kde-window-manager (<< 4:4.4.5-9), kdebase-workspace-bin (<< 4:4.4.5-9) Multi-Arch: same Homepage: http://dbus.freedesktop.org/ Priority: optional Section: libs Filename: pool/main/d/dbus/libdbus-1-3_1.6.8-1+deb7u6_armhf.deb Size: 153698 SHA256: ac1235afc53077a16e032bb8f467acd460c61a66fc3dd8f5e27a5421ab9c397e SHA1: f55f03c771cfffa54212079a212b0a6b51389794 MD5sum: 106c62042dac3d1139bb0749914c28a2 Description: simple interprocess messaging system (library) D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . D-Bus supports broadcast messages, asynchronous messages (thus decreasing latency), authentication, and more. It is designed to be low-overhead; messages are sent using a binary protocol, not using XML. D-Bus also supports a method call mapping for its messages, but it is not required; this makes using the system quite simple. . It comes with several bindings, including GLib, Python, Qt and Java. . The daemon can be found in the dbus package. Package: libdbus-1-dev Source: dbus Version: 1.6.8-1+deb7u6 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 659 Depends: libdbus-1-3 (= 1.6.8-1+deb7u6), pkg-config Homepage: http://dbus.freedesktop.org/ Priority: optional Section: libdevel Filename: pool/main/d/dbus/libdbus-1-dev_1.6.8-1+deb7u6_armhf.deb Size: 216502 SHA256: 6bcd3181dfc8afc20df59f4cc4c02b0eeaf1357e37c77ef701e0a6e2c9b3b07b SHA1: 2fd4e9b5f824772c7974c86e6917229d2b87e7a8 MD5sum: 0721d6a1d2109ff37a24ee7f06f27e02 Description: simple interprocess messaging system (development headers) D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . See the dbus description for more information about D-Bus in general. Package: libdbus-c++-1-0 Source: dbus-c++ Version: 0.9.0-6 Architecture: armhf Maintainer: Vincent Cheng Installed-Size: 228 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libecore1 (>= 1.2.0), libeina1 (>= 1.0.0~beta), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0), libstdc++6 (>= 4.6) Multi-Arch: same Homepage: http://sourceforge.net/projects/dbus-cplusplus/ Priority: optional Section: libs Filename: pool/main/d/dbus-c++/libdbus-c++-1-0_0.9.0-6_armhf.deb Size: 76138 SHA256: 9bef0da847081d584bfecddb5f22408f7232b81b3e9898b7607759b7e633a084 SHA1: ee7a9a24887697e82343fc6e04be746401789376 MD5sum: 7ea54103626ca9bec3ed15dc621ea6cb Description: C++ API for D-Bus (runtime package) Dbus-c++ attempts to provide a C++ API for D-Bus. The library has a glib/gtk and an Ecore mainloop integration. It also offers an optional own main loop. . This package includes shared object files. Package: libdbus-c++-bin Source: dbus-c++ Version: 0.9.0-6 Architecture: armhf Maintainer: Vincent Cheng Installed-Size: 209 Depends: libdbus-c++-1-0 (= 0.9.0-6), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: libdbus-c++-dev Breaks: libdbus-c++-dev (<< 0.9.0-5) Replaces: libdbus-c++-dev (<< 0.9.0-5) Multi-Arch: foreign Homepage: http://sourceforge.net/projects/dbus-cplusplus/ Priority: optional Section: utils Filename: pool/main/d/dbus-c++/libdbus-c++-bin_0.9.0-6_armhf.deb Size: 72630 SHA256: fdfadbf9d8e2a0b5d408c1a8c201e06227bed0ab4be43b0af6bb3859ede3f0c5 SHA1: 811e1a7ddf369189e0c0aa8172d351b92a5f983e MD5sum: 58df6934dc782fc1d16f505c328bb3b1 Description: C++ API for D-Bus (utilities) Dbus-c++ attempts to provide a C++ API for D-Bus. The library has a glib/gtk and an Ecore mainloop integration. It also offers an optional own main loop. . This package includes binary utilities. Package: libdbus-c++-dbg Source: dbus-c++ Version: 0.9.0-6 Architecture: armhf Maintainer: Vincent Cheng Installed-Size: 1031 Depends: libdbus-c++-1-0 (= 0.9.0-6) Multi-Arch: same Homepage: http://sourceforge.net/projects/dbus-cplusplus/ Priority: extra Section: debug Filename: pool/main/d/dbus-c++/libdbus-c++-dbg_0.9.0-6_armhf.deb Size: 900152 SHA256: 44420dc9f8eed2a7edb3ae8583aed94e8ec77c4393a041c7832ce9c05f8b2f63 SHA1: 1a723489f2b4c5308e7ef795ab619b1aea0cf6a6 MD5sum: c7ae1987aaa698692cffa6358bd7855f Description: C++ API for D-Bus (debugging symbols) Dbus-c++ attempts to provide a C++ API for D-Bus. The library has a glib/gtk and an Ecore mainloop integration. It also offers an optional own main loop. . This package includes the debugging symbols. Package: libdbus-c++-dev Source: dbus-c++ Version: 0.9.0-6 Architecture: armhf Maintainer: Vincent Cheng Installed-Size: 625 Depends: libdbus-c++-1-0 (= 0.9.0-6), libdbus-c++-bin (= 0.9.0-6) Multi-Arch: same Homepage: http://sourceforge.net/projects/dbus-cplusplus/ Priority: extra Section: libdevel Filename: pool/main/d/dbus-c++/libdbus-c++-dev_0.9.0-6_armhf.deb Size: 147556 SHA256: 78e9acebfa1a1fe8597afe642f9eb20944eded5673218dd15ecd79064bb07faa SHA1: 84a83101c0724c772990f5505130976dd132482c MD5sum: 9ea5d7f44ced5ed7e0c511894993d8ce Description: C++ API for D-Bus (development package) Dbus-c++ attempts to provide a C++ API for D-Bus. The library has a glib/gtk and an Ecore mainloop integration. It also offers an optional own main loop. . This package includes static object and header files. Package: libdbus-c++-doc Source: dbus-c++ Version: 0.9.0-6 Installed-Size: 13084 Maintainer: Vincent Cheng Architecture: all Suggests: libdbus-c++-dev Size: 8754354 SHA256: 9361db738b82da3605a9176b37488b63fca7507becdc9dce2d41672e4a3bf7a0 SHA1: 0a6f6ce799137254d291b9c16b5c7b87ff3ec21d MD5sum: b153339d368fe89711b5d0ceb870d4cc Description: C++ API for D-Bus (documentation) Dbus-c++ attempts to provide a C++ API for D-Bus. The library has a glib/gtk and an Ecore mainloop integration. It also offers an optional own main loop. . This package includes the documentation files. Homepage: http://sourceforge.net/projects/dbus-cplusplus/ Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/d/dbus-c++/libdbus-c++-doc_0.9.0-6_all.deb Package: libdbus-glib-1-2 Source: dbus-glib Version: 0.100.2-1 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 306 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.2.16), libglib2.0-0 (>= 2.31.8) Multi-Arch: same Homepage: http://www.freedesktop.org/wiki/Software/DBusBindings Priority: optional Section: libs Filename: pool/main/d/dbus-glib/libdbus-glib-1-2_0.100.2-1_armhf.deb Size: 190158 SHA256: 6e1a3418197298afcea23cbed566ac50290eee75285c55b2d4b68359a4dfed8f SHA1: 0bea893c7c8557963b28f2c572917dc15f57c784 MD5sum: 0d69f38ce9993fd6db9cd83466fd81d9 Description: simple interprocess messaging system (GLib-based shared library) D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . This package provides the GLib-based shared library for applications using the GLib interface to D-Bus. . See the dbus description for more information about D-Bus in general. Package: libdbus-glib-1-2-dbg Source: dbus-glib Version: 0.100.2-1 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 644 Pre-Depends: multiarch-support Depends: libdbus-glib-1-2 (= 0.100.2-1) Homepage: http://www.freedesktop.org/wiki/Software/DBusBindings Priority: extra Section: debug Filename: pool/main/d/dbus-glib/libdbus-glib-1-2-dbg_0.100.2-1_armhf.deb Size: 321848 SHA256: 003d24fa7304037dd46894826fa2d33470551baa91d56a20011c9ef1a6d2e53f SHA1: 9fab840a013f860fb60bd2313abeb12751c09ed6 MD5sum: e33bf4dabf8090d1f8c8a4364ff5c435 Description: simple interprocess messaging system (GLib library debug symbols) D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . This package provides support for debugging programs that use the GLib-based shared library. . See the dbus description for more information about D-Bus in general. Package: libdbus-glib-1-dev Source: dbus-glib Version: 0.100.2-1 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 499 Depends: libdbus-glib-1-2 (= 0.100.2-1), libdbus-1-dev (>= 1.1), libglib2.0-dev, libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libexpat1 (>= 2.0.1), libglib2.0-0 (>= 2.26) Conflicts: dbus-1-utils (<< 0.50-2), dbus-glib-1-dev, libdbus-glib-dev Replaces: dbus-glib-1-dev, libdbus-1-dev (<< 0.90), libdbus-glib0-dev Homepage: http://www.freedesktop.org/wiki/Software/DBusBindings Priority: optional Section: libdevel Filename: pool/main/d/dbus-glib/libdbus-glib-1-dev_0.100.2-1_armhf.deb Size: 240106 SHA256: 706d264dde8010a8642251829003ba617996b16e52c0ec9108604e6d6bfe41dd SHA1: c6d4627bdf1b843f1771340aaaa0e8b1466afc0c MD5sum: fec370feac757c2dfe24923ced1ebf06 Description: simple interprocess messaging system (GLib interface) D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . This package provides a GLib-style interface to D-Bus. . See the dbus description for more information about D-Bus in general. Package: libdbus-glib-1-doc Source: dbus-glib Version: 0.100.2-1 Installed-Size: 521 Maintainer: Utopia Maintenance Team Architecture: all Depends: lynx | www-browser Suggests: devhelp Size: 178058 SHA256: f7a6036d38cc3bfb2cff3b3bbf472c2e83b6d5e4c43bc3fcb55ceec6e5e86930 SHA1: e134531d2dc2fd0ffb90cdd01e295e2608c4fba5 MD5sum: 3044445d7f0624ac5fd38a2bb017644e Description: simple interprocess messaging system (GLib library documentation) D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . This package provides the HTML documentation for dbus-glib . See the dbus description for more information about D-Bus in general. Multi-Arch: foreign Homepage: http://www.freedesktop.org/wiki/Software/DBusBindings Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/d/dbus-glib/libdbus-glib-1-doc_0.100.2-1_all.deb Package: libdbus-glib1.0-cil Source: dbus-sharp-glib Version: 0.5.0-4 Installed-Size: 13 Maintainer: Debian CLI Libraries Team Architecture: all Depends: cli-common (>= 0.5.1), libdbus1.0-cil (>= 0.7), libglib2.0-0 (>= 2.30.2), libmono-corlib4.0-cil (>= 2.10.1) Size: 7596 SHA256: ec6ad8bb60bf8cc3346836749e6710d105390c678fae50a6ca185e43a161afba SHA1: b66fa87bb38573c10b955e3c5028c0398c3100df MD5sum: b20fbfe55788e539e531d93f171b9a6e Description: CLI implementation of D-Bus (GLib mainloop integration) dbus-sharp is a fork of ndesk-dbus, which is a C# implementation of D-Bus. It's often referred to as "managed D-Bus" to avoid confusion with existing bindings (which wrap libdbus). . D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . This package provides integration into the GLib mainloop and contains the dbus-sharp-glib library itself. Homepage: http://github.com/mono/dbus-sharp-glib Tag: devel::ecma-cli, devel::library, role::shared-lib Section: cli-mono Priority: optional Filename: pool/main/d/dbus-sharp-glib/libdbus-glib1.0-cil_0.5.0-4_all.deb Package: libdbus-glib1.0-cil-dev Source: dbus-sharp-glib Version: 0.5.0-4 Installed-Size: 4 Maintainer: Debian CLI Libraries Team Architecture: all Depends: libdbus-glib1.0-cil (= 0.5.0-4), libdbus1.0-cil-dev Size: 3194 SHA256: 128c7c27227e9df1615a80d3f0cb139f200a0bf2aca9d15fe32c6335acabc615 SHA1: 23e6a32e493dc9ab05887531f06552f8dfcd42c6 MD5sum: 9124c980fc84b3af90b180f611822ef4 Description: CLI implementation of D-Bus (GLib mainloop integration) - development files dbus-sharp is a fork of ndesk-dbus, which is a C# implementation of D-Bus. It's often referred to as "managed D-Bus" to avoid confusion with existing bindings (which wrap libdbus). . D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . This package contains development files for dbus-sharp-glib, and should be used for compilation. Homepage: http://github.com/mono/dbus-sharp-glib Tag: devel::ecma-cli, devel::library, role::devel-lib Section: cli-mono Priority: optional Filename: pool/main/d/dbus-sharp-glib/libdbus-glib1.0-cil-dev_0.5.0-4_all.deb Package: libdbus-java Source: dbus-java Version: 2.8-4 Installed-Size: 168 Maintainer: Debian Java Maintainer Architecture: all Depends: libmatthew-debug-java, libunixsocket-java Recommends: dbus-java-bin Suggests: libdbus-java-doc Size: 152580 SHA256: 810ba90a3c6beee1fd4602653f01e3c7c74f5bc6c5255491443d0a1be3617dee SHA1: 762d51913b89f198e89a47a2ad3d3a3cf3f3818c MD5sum: ed7818e34cb4522109c9f0bbd3f5d158 Description: simple interprocess messaging system (Java implementation) D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . This package provides an implementation of D-Bus in Java (Library). . See the dbus description for more information about D-Bus in general. Homepage: http://www.freedesktop.org/wiki/Software/DBusBindings Tag: devel::lang:java, devel::library, devel::rpc, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/d/dbus-java/libdbus-java_2.8-4_all.deb Package: libdbus-java-doc Source: dbus-java Version: 2.8-4 Installed-Size: 2629 Maintainer: Debian Java Maintainer Architecture: all Depends: default-jdk-doc Recommends: libdbus-java Size: 510816 SHA256: 861e6975c37b16f9a177459e8e3be7c44596117d67627e83cc325b32f696aae5 SHA1: 2db832bb2add52c4ae3e00b54a05bde3b3f77964 MD5sum: e3f6eb9b0417125eec37823dbea243f1 Description: simple interprocess messaging system (Java interface documentation) D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . This package provides the documentation for the Java implementation of D-Bus. . See the dbus description for more information about D-Bus in general. Homepage: http://www.freedesktop.org/wiki/Software/DBusBindings Tag: devel::doc, implemented-in::java, role::documentation Section: doc Priority: optional Filename: pool/main/d/dbus-java/libdbus-java-doc_2.8-4_all.deb Package: libdbus-ocaml Source: ocaml-dbus Version: 0.29-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 89 Depends: ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1) Provides: libdbus-ocaml-tc0x8 Homepage: http://tab.snarc.org/projects/ocaml_dbus/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-dbus/libdbus-ocaml_0.29-1_armhf.deb Size: 15948 SHA256: 59975fb497efbd9ff652d7112d0ff79ae80821b5bc1ba4a5a0310c33919c3414 SHA1: b2f49637b9b57df769b077d119c1dd05b0e52632 MD5sum: b3ed2030b59d0b2d7876ed0a942f27a4 Description: OCaml bindings for the D-Bus API This library provides OCaml bindings for using D-Bus. . D-Bus is a projects that permits program to communicate with each other, using a simple IPC protocol . This package contains shared library. Package: libdbus-ocaml-dev Source: ocaml-dbus Version: 0.29-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 317 Depends: libdbus-ocaml-tc0x8, ocaml-nox-3.12.1, libdbus-ocaml (= 0.29-1) Provides: libdbus-ocaml-dev-tc0x8 Homepage: http://tab.snarc.org/projects/ocaml_dbus/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-dbus/libdbus-ocaml-dev_0.29-1_armhf.deb Size: 39146 SHA256: 81b2f5cb5cde519d61952d058ddb0035578c1feb2827692de22afa6b359e1bf1 SHA1: 6c8e2e9afed39e49d9d023ace06b4cef9c94dac1 MD5sum: 197bcb0c7c2ab700fba04d2b35aee43a Description: OCaml bindings for the D-Bus API This library provides OCaml bindings for using D-Bus. . D-Bus is a projects that permits program to communicate with each other, using a simple IPC protocol . This package contains header and OCaml library. Package: libdbus-ruby Source: ruby-dbus Version: 0.7.2-1 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbus Size: 3072 SHA256: 1b23b43ce33c187704bb79f44db6b88258877933e58c9da4c5b0215721c4e3b7 SHA1: f6a09c1e2568e314bed5ab0c93f7d614171d5737 MD5sum: 91ec5633614f5f7e9cf9b40f8d838139 Description: Transitional package for ruby-dbus This is a transitional package to ease upgrades to the ruby-dbus package. It can safely be removed. Homepage: https://trac.luon.net/ruby-dbus Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbus/libdbus-ruby_0.7.2-1_all.deb Package: libdbus-ruby1.8 Source: ruby-dbus Version: 0.7.2-1 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-dbus Size: 3072 SHA256: 23daaa2ec74a7c32e4d0b695e3a93c4dd06406c554164adfa9313ed9f0e1217c SHA1: 84f0d911cda91b1e59a5d88daaf2f4883ada327e MD5sum: 752b579ee8aa7eda9f69975e48378d3b Description: Transitional package for ruby-dbus This is a transitional package to ease upgrades to the ruby-dbus package. It can safely be removed. Homepage: https://trac.luon.net/ruby-dbus Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::devel-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-dbus/libdbus-ruby1.8_0.7.2-1_all.deb Package: libdbus1.0-cil Source: dbus-sharp Version: 0.7.0-5 Installed-Size: 122 Maintainer: Debian CLI Libraries Team Architecture: all Depends: cli-common (>= 0.5.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.1) Size: 55698 SHA256: 0dfc67c0e7d78918266416384873999a49ae4a16d9aa0aedec07fc6147991f8f SHA1: c68ac207b8e25b2761598fe81a1533a8b7fc3fd5 MD5sum: c891de4331bd87ff62c346756f26cac0 Description: CLI implementation of D-Bus dbus-sharp is a fork of ndesk-dbus, which is a C# implementation of D-Bus. It's often referred to as "managed D-Bus" to avoid confusion with existing bindings (which wrap libdbus). . D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . This package contains the dbus-sharp library itself. Homepage: http://github.com/mono/dbus-sharp Tag: devel::ecma-cli, devel::library, role::shared-lib Section: cli-mono Priority: optional Filename: pool/main/d/dbus-sharp/libdbus1.0-cil_0.7.0-5_all.deb Package: libdbus1.0-cil-dev Source: dbus-sharp Version: 0.7.0-5 Installed-Size: 4 Maintainer: Debian CLI Libraries Team Architecture: all Depends: libdbus1.0-cil (= 0.7.0-5) Size: 3558 SHA256: 50e3d291f3e4c6a57a32cf084e8dd2889f6aafd28363c931d07b4d5121bc7f14 SHA1: 4e75f09271d1031323c82d2d89da659711ab18f0 MD5sum: 28fdfc92d7d191eb0adefc7806dbcdfc Description: CLI implementation of D-Bus - development files dbus-sharp is a fork of ndesk-dbus, which is a C# implementation of D-Bus. It's often referred to as "managed D-Bus" to avoid confusion with existing bindings (which wrap libdbus). . D-Bus is a message bus, used for sending messages between applications. Conceptually, it fits somewhere in between raw sockets and CORBA in terms of complexity. . This package contains development files for dbus-sharp, and should be used for compilation. Homepage: http://github.com/mono/dbus-sharp Tag: devel::ecma-cli, devel::library, role::devel-lib Section: cli-mono Priority: optional Filename: pool/main/d/dbus-sharp/libdbus1.0-cil-dev_0.7.0-5_all.deb Package: libdbusada0.2 Source: dbusada Version: 0.2-2 Architecture: armhf Maintainer: Reto Buerki Installed-Size: 387 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.12.0), libgnat-4.6 (>= 4.6.3-4+rpi2) Homepage: http://www.codelabs.ch/dbus-ada/ Priority: optional Section: libs Filename: pool/main/d/dbusada/libdbusada0.2_0.2-2_armhf.deb Size: 99746 SHA256: 40d487f91579f0b71aed301f86a9f89b6ea6c76ab6e7b9089bcdf49b35ee9afb SHA1: 2b26f331233b452be2fcbbdb4e7bb0116cfd1236 MD5sum: ed337a1f26f12b42d3e9e3b982734c04 Description: Ada bindings to the D-Bus message bus system The D_Bus/Ada library provides an Ada binding to the D-Bus message bus used for inter-process communication on Linux desktop systems. Package: libdbusada0.2-dbg Source: dbusada Version: 0.2-2 Architecture: armhf Maintainer: Reto Buerki Installed-Size: 436 Depends: libdbusada0.2 (= 0.2-2), libdbusada0.2-dev (= 0.2-2) Homepage: http://www.codelabs.ch/dbus-ada/ Priority: extra Section: debug Filename: pool/main/d/dbusada/libdbusada0.2-dbg_0.2-2_armhf.deb Size: 119832 SHA256: 690c1bb33e3dc1c4a9a53ebaa020b2024d08ee9fa93070bdd9f980060fbb9980 SHA1: 441b8f59e14b198b562a2cdd13f00cee1a12b6cf MD5sum: 456d9a754d39f6cec1cfcf4ff1e29fd7 Description: Ada bindings to the D-Bus message bus system The D_Bus/Ada library provides an Ada binding to the D-Bus message bus used for inter-process communication on Linux desktop systems. . This package contains the debugging symbols. Package: libdbusada0.2-dev Source: dbusada Version: 0.2-2 Architecture: armhf Maintainer: Reto Buerki Installed-Size: 934 Depends: libdbusada0.2 (= 0.2-2), libdbus-1-dev, libdbus-glib-1-dev, gnat, gnat-4.6 Homepage: http://www.codelabs.ch/dbus-ada/ Priority: optional Section: libdevel Filename: pool/main/d/dbusada/libdbusada0.2-dev_0.2-2_armhf.deb Size: 189280 SHA256: 2afd0614952ed9de2105a9e722db51d112dfdb1028042fd7a2eacf00e8ed080f SHA1: 5cafc70e1c044c8cc54321c20f6081e4f5e3c64c MD5sum: d40ab204488f51d2b7afa19eadd3e73e Description: Ada bindings to the D-Bus message bus system The D_Bus/Ada library provides an Ada binding to the D-Bus message bus used for inter-process communication on Linux desktop systems. . This package contains the development files. Package: libdbusmenu-glib-dev Source: libdbusmenu Version: 0.6.2-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 421 Depends: libdbusmenu-glib4 (= 0.6.2-1), libdbus-glib-1-dev (>= 0.76), libgtk2.0-dev (>= 2.12.0) Multi-Arch: same Homepage: https://launchpad.net/dbusmenu Priority: optional Section: libdevel Filename: pool/main/libd/libdbusmenu/libdbusmenu-glib-dev_0.6.2-1_armhf.deb Size: 131994 SHA256: d63c13c3dd6795cc4ccf871cd92b62fbbd039dc633e31eb285e19aeb93e529a2 SHA1: 95d4e1d5955e32722049d2a6208f5d17d66dd42a MD5sum: 649d1f0303b57b2f8a444e4dd9d90d91 Description: library for passing menus over DBus - development files libdbusmenu passes a menu structure across DBus so that a program can create a menu simply without worrying about how it is displayed on the other side of the bus. . This package contains files that are needed to build applications. Package: libdbusmenu-glib-doc Source: libdbusmenu Version: 0.6.2-1 Installed-Size: 421 Maintainer: The Ayatana Packagers Architecture: all Size: 101014 SHA256: 1021248acc91f44ebead7ab00475d5d8f79323fd98a0c24a70f44e043ae2d8fb SHA1: eb6ecefe8dc5fae1693a5a6dbd36531fbae0ce5f MD5sum: ec8583bab6b3575d0c4f7052b5dfc4f8 Description: library for passing menus over DBus - documentation libdbusmenu passes a menu structure across DBus so that a program can create a menu simply without worrying about how it is displayed on the other side of the bus. . This package contains developer documentation for libdbusmenu-glib. Homepage: https://launchpad.net/dbusmenu Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libd/libdbusmenu/libdbusmenu-glib-doc_0.6.2-1_all.deb Package: libdbusmenu-glib4 Source: libdbusmenu Version: 0.6.2-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 188 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8) Breaks: gir1.2-indicate-0.5 (<< 0.5.0-0ubuntu4), gir1.2-unity-3.0 (<< 3.8.4-0ubuntu2), indicator-messages (<< 0.3.0), indicator-session (<< 0.2.0), libdbusmenu-glib0 Multi-Arch: same Homepage: https://launchpad.net/dbusmenu Priority: optional Section: libs Filename: pool/main/libd/libdbusmenu/libdbusmenu-glib4_0.6.2-1_armhf.deb Size: 101926 SHA256: 595345de1ed8d2a9c42605500cee5080a1d80e7dccbc7d9fb84dafa4710f8ff3 SHA1: 6f8b521c6699b7189fa87520b31bdf1c52d56d1f MD5sum: c2e8d3ff19207052ee6ee7769f0e771a Description: library for passing menus over DBus libdbusmenu passes a menu structure across DBus so that a program can create a menu simply without worrying about how it is displayed on the other side of the bus. . This package contains shared libraries to be used by applications. Package: libdbusmenu-gtk-dev Source: libdbusmenu Version: 0.6.2-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 243 Depends: libdbusmenu-gtk4 (= 0.6.2-1), libdbus-glib-1-dev (>= 0.76), libgtk2.0-dev (>= 2.12.0), libdbusmenu-glib-dev Multi-Arch: same Homepage: https://launchpad.net/dbusmenu Priority: optional Section: libdevel Filename: pool/main/libd/libdbusmenu/libdbusmenu-gtk-dev_0.6.2-1_armhf.deb Size: 98942 SHA256: 94d4552fd3e4c608b3c45e9eb94ba094d9d4eb1320820e47bc0d27ddc633f3aa SHA1: e127ab68ce52504d87680f4357e0014170f17825 MD5sum: 10c6284098ad528f650d28c4c6da40ac Description: library for passing menus over DBus - GTK+ version developement files libdbusmenu passes a menu structure across DBus so that a program can create a menu simply without worrying about how it is displayed on the other side of the bus. . This package contains files that are needed to build GTK+ applications. Package: libdbusmenu-gtk-doc Source: libdbusmenu Version: 0.6.2-1 Installed-Size: 184 Maintainer: The Ayatana Packagers Architecture: all Size: 77804 SHA256: 0a4c173e1608ac5f4dae9b04fcf7be16b362ce56aa5e9944eee51e500c89996d SHA1: b929fe549fc9fc9a4bf506aee50fcd698b86ae1b MD5sum: 4a4a1024960ef1afbe5a796399a6c18e Description: library for passing menus over DBus - GTK+ version documentation libdbusmenu passes a menu structure across DBus so that a program can create a menu simply without worrying about how it is displayed on the other side of the bus. . This package contains developer documentation for libdbusmenu-gtk. Homepage: https://launchpad.net/dbusmenu Tag: devel::doc, made-of::html, role::documentation, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/libd/libdbusmenu/libdbusmenu-gtk-doc_0.6.2-1_all.deb Package: libdbusmenu-gtk3-4 Source: libdbusmenu Version: 0.6.2-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 158 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbusmenu-glib4 (>= 0.5.90), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0) Multi-Arch: same Homepage: https://launchpad.net/dbusmenu Priority: optional Section: libs Filename: pool/main/libd/libdbusmenu/libdbusmenu-gtk3-4_0.6.2-1_armhf.deb Size: 88632 SHA256: f2c6c233329b19f8c56cb9caaf1a28e48e48a221582dc299d8d5f9910ebba12e SHA1: c469f24735015f89fc4ae34e89227ca4d646b031 MD5sum: d425f78de62bd84e7b49e90b78554009 Description: library for passing menus over DBus - GTK+ version libdbusmenu passes a menu structure across DBus so that a program can create a menu simply without worrying about how it is displayed on the other side of the bus. . This package contains shared libraries to be used by GTK+ applications. Package: libdbusmenu-gtk3-dev Source: libdbusmenu Version: 0.6.2-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 243 Depends: libdbusmenu-gtk3-4 (= 0.6.2-1), libdbus-glib-1-dev (>= 0.76), libgtk-3-dev (>= 2.91.4-0ubuntu1), libdbusmenu-glib-dev Multi-Arch: same Homepage: https://launchpad.net/dbusmenu Priority: optional Section: libdevel Filename: pool/main/libd/libdbusmenu/libdbusmenu-gtk3-dev_0.6.2-1_armhf.deb Size: 99096 SHA256: 03937ef931f2100a599c5a6154949918dbabe02164612764edd970601e975413 SHA1: fced802256b0fefb17c8b73b4d83ae9f6269ba8e MD5sum: 157397db0cbb80c5702e6ecaa2a6a181 Description: library for passing menus over DBus - GTK+ version developement files libdbusmenu passes a menu structure across DBus so that a program can create a menu simply without worrying about how it is displayed on the other side of the bus. . This package contains files that are needed to build GTK+ applications. Package: libdbusmenu-gtk4 Source: libdbusmenu Version: 0.6.2-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 158 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbusmenu-glib4 (>= 0.5.90), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0) Multi-Arch: same Homepage: https://launchpad.net/dbusmenu Priority: optional Section: libs Filename: pool/main/libd/libdbusmenu/libdbusmenu-gtk4_0.6.2-1_armhf.deb Size: 88542 SHA256: c5279044346b9912988397fbe6fd4cab970ca62c233ad51113d61880ef92a34b SHA1: 357cae502165e47c93ad130e87fbc6aceba746ae MD5sum: 452e1378050e582e9003c74eea595925 Description: library for passing menus over DBus - GTK+ version libdbusmenu passes a menu structure across DBus so that a program can create a menu simply without worrying about how it is displayed on the other side of the bus. . This package contains shared libraries to be used by GTK+ applications. Package: libdbusmenu-jsonloader-dev Source: libdbusmenu Version: 0.6.2-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 118 Depends: libdbusmenu-jsonloader4 (= 0.6.2-1), libdbus-glib-1-dev (>= 0.76), libdbusmenu-glib-dev (= 0.6.2-1), libjson-glib-dev Multi-Arch: same Homepage: https://launchpad.net/dbusmenu Priority: optional Section: libdevel Filename: pool/main/libd/libdbusmenu/libdbusmenu-jsonloader-dev_0.6.2-1_armhf.deb Size: 67592 SHA256: e07acd1aa137b847db4e84651694f3a4a33d727e35d428f39f3d2ed136fb195b SHA1: 7731ddbeea8802ddba9fb9f53b4a5689868dba97 MD5sum: 912370d39cd8151aabf9d290209e1499 Description: library for passing menus over DBus - Test lib development files libdbusmenu passes a menu structure across DBus so that a program can create a menu simply without worrying about how it is displayed on the other side of the bus. . This package contains files that are needed to build test suites in applications or libraries using dbusmenu. Package: libdbusmenu-jsonloader4 Source: libdbusmenu Version: 0.6.2-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 104 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdbusmenu-glib4 (>= 0.4.2), libglib2.0-0 (>= 2.31.8), libjson-glib-1.0-0 (>= 0.12.0) Multi-Arch: same Homepage: https://launchpad.net/dbusmenu Priority: optional Section: libs Filename: pool/main/libd/libdbusmenu/libdbusmenu-jsonloader4_0.6.2-1_armhf.deb Size: 67708 SHA256: 850db71a78124d7ed71cdbfcb7b60d11344b8a1dfeb0284ff4e8fba61220325a SHA1: c044702cdaafcc68e8271273246a2c124394b08f MD5sum: 7df1bf174ff08e90a363681b4f17c3bc Description: library for passing menus over DBus - Test lib libdbusmenu passes a menu structure across DBus so that a program can create a menu simply without worrying about how it is displayed on the other side of the bus. . This package contains shared libraries to be used by test suites in applications or libraries using dbusmenu. Package: libdbusmenu-qt-dev Source: libdbusmenu-qt Version: 0.9.0-1 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 53 Depends: libdbusmenu-qt2 (= 0.9.0-1), libqt4-dev Homepage: https://launchpad.net/libdbusmenu-qt Priority: optional Section: libdevel Filename: pool/main/libd/libdbusmenu-qt/libdbusmenu-qt-dev_0.9.0-1_armhf.deb Size: 5892 SHA256: 004657bb535d8c7404f5de9ff8768be6b5339bad4029a59269d729071afbb482 SHA1: b2119596d93f099158e12c1c9d01f6a047b63fc0 MD5sum: fc77c3e67688b0904c70c0b0bfda5386 Description: Qt implementation of DBusMenu protocol (development) This library provides a Qt implementation of the DBusMenu protocol . The DBusMenu protocol makes it possible for applications to export and import their menus over DBus. . This package provides header files for development. Package: libdbusmenu-qt2 Source: libdbusmenu-qt Version: 0.9.0-1 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 213 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.7.0~beta2), libstdc++6 (>= 4.3.0) Homepage: https://launchpad.net/libdbusmenu-qt Priority: optional Section: libs Filename: pool/main/libd/libdbusmenu-qt/libdbusmenu-qt2_0.9.0-1_armhf.deb Size: 59660 SHA256: 78c0535111cc52834e8f9724b5e25dc673ab87ddd08516916e8a889995754a43 SHA1: 289e9d83c6b8fef9b62315b0da5f1dc77d1edeec MD5sum: 193210deeda31e838efbdd48d6e37a68 Description: Qt implementation of the DBusMenu protocol This library provides a Qt implementation of the DBusMenu protocol. . The DBusMenu protocol makes it possible for applications to export and import their menus over DBus. . This package provides shared libraries. Package: libdbusmenu-tools Source: libdbusmenu Version: 0.6.2-1 Architecture: armhf Maintainer: The Ayatana Packagers Installed-Size: 123 Depends: libc6 (>= 2.13-28), libdbusmenu-glib4 (= 0.6.2-1), libglib2.0-0 (>= 2.26.0), libgtk2.0-0 (>= 2.24.4-1~), libjson-glib-1.0-0 (>= 0.12.0), libx11-6, python Multi-Arch: foreign Homepage: https://launchpad.net/dbusmenu Priority: optional Section: devel Filename: pool/main/libd/libdbusmenu/libdbusmenu-tools_0.6.2-1_armhf.deb Size: 74194 SHA256: 1158a36780a1db19ac5c07ffc304699e6b9ed400efd6d78012b11a9f0cfee843 SHA1: 7c8a1d7ed8f52ffaea59c5cd04c1436631281d2b MD5sum: c946bd63d1e3233544fc6ca31b5fbc46 Description: tools useful during development with libdbusmenu libdbusmenu passes a menu structure across DBus so that a program can create a menu simply without worrying about how it is displayed on the other side of the bus. . This package contains tools that are useful when developing and building applications with libdbusmenu. Package: libdc-dev Source: libdc0 Version: 0.3.24~svn3121-2 Architecture: armhf Maintainer: Maia Kozheva Installed-Size: 338 Depends: libdc5 (= 0.3.24~svn3121-2) Conflicts: libdc0-dev Replaces: libdc0-dev Homepage: http://wxdcgui.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/libd/libdc0/libdc-dev_0.3.24~svn3121-2_armhf.deb Size: 64638 SHA256: 6562b72f4cd0e3ac6fb877ab9301b19635ede1bc3b85605b183c0be2d6ef45e9 SHA1: bf9500898359ee837465ba31db8421bb5d020f01 MD5sum: 168a37d0f47c8e5350064c1739ec58be Description: Development libraries for Valknut Valknut is a graphical client for popular peer to peer network called Direct Connect. . Valknut has many features, such as searching on all public servers without connecting, downloading a file from multible locations, connecting to multiple servers, and support for multiple languages. . This package contains heeaders and development libraries . You don't probably need this package unless you are building your own Direct Connect client. Package: libdc1394-22 Version: 2.2.0-2 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 255 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libraw1394-11, libusb-1.0-0 (>= 2:1.0.8) Multi-Arch: same Homepage: http://damien.douxchamps.net/ieee1394/libdc1394/ Priority: extra Section: libs Filename: pool/main/libd/libdc1394-22/libdc1394-22_2.2.0-2_armhf.deb Size: 115658 SHA256: 06a49806e256db03153ca300a30598628fbe6fed9187adf96f914bc3ef757b3f SHA1: 66f8672e5bdebcbcbfcaae5aa6b3e1f005ed84e0 MD5sum: 2e1ace2402b7eae185e9396989528844 Description: high level programming interface for IEEE1394 digital camera libdc1394 is a library that is intended to provide a high level programming interface for application developers who wish to control IEEE 1394 based cameras that conform to the 1394-based Digital Camera Specification (found at http://www.1394ta.org/). . This version of libdc1394 supports both the old and new (juju) FireWire stack. It automatically detects which one to use at runtime. . This package contains shared libraries. Package: libdc1394-22-dbg Source: libdc1394-22 Version: 2.2.0-2 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 463 Depends: libdc1394-22 (= 2.2.0-2) Multi-Arch: same Homepage: http://damien.douxchamps.net/ieee1394/libdc1394/ Priority: extra Section: debug Filename: pool/main/libd/libdc1394-22/libdc1394-22-dbg_2.2.0-2_armhf.deb Size: 183270 SHA256: 8e305de26a5d7b21701ceeaf809cbab8e16e1d70d248b92a957a4bcb5aa3d493 SHA1: 5c084f6ea887563f2c2b36bcc6ee8dd59f171619 MD5sum: d356b61ec5975ab364b87fc873950b11 Description: debugging symbols for the libdc1394-22 library libdc1394 is a library that is intended to provide a high level programming interface for application developers who wish to control IEEE 1394 based cameras that conform to the 1394-based Digital Camera Specification (found at http://www.1394ta.org/). . This version of libdc1394 supports both the old and new (juju) FireWire stack. It automatically detects which one to use at runtime. . This package contains the debugging symbols for the shared libraries. Package: libdc1394-22-dev Source: libdc1394-22 Version: 2.2.0-2 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 476 Depends: libdc1394-22 (= 2.2.0-2), libraw1394-dev, libc6-dev Conflicts: libdc1394-20-dev Multi-Arch: same Homepage: http://damien.douxchamps.net/ieee1394/libdc1394/ Priority: extra Section: libdevel Filename: pool/main/libd/libdc1394-22/libdc1394-22-dev_2.2.0-2_armhf.deb Size: 156138 SHA256: 8af734a8d456b68ab727fefbac6036b2b5c4a4a1f0ab7f2eae061facdbe48d1c SHA1: 6e13345a176b32616aa2512d2484600c110a668b MD5sum: c782608eca28eac554f6ddb616d08923 Description: high level programming interface for IEEE1394 digital camera - development libdc1394 is a library that is intended to provide a high level programming interface for application developers who wish to control IEEE 1394 based cameras that conform to the 1394-based Digital Camera Specification (found at http://www.1394ta.org/). . This version of libdc1394 supports both the old and new (juju) FireWire stack. It automatically detects which one to use at runtime. . This package contains development files. Package: libdc1394-22-doc Source: libdc1394-22 Version: 2.2.0-2 Installed-Size: 1273 Maintainer: Guus Sliepen Architecture: all Size: 532688 SHA256: fbc482ef3e16f160c5521429f7e7d51d0318a7094a66b546f80ee84fffb6accb SHA1: 89e1af0b8b4a7e1dc3b0c3d89960941762fc2f44 MD5sum: b522e8b07df08c5cbd9e22e174879c91 Description: high level programming interface for IEEE1394 digital camera - documentation libdc1394 is a library that is intended to provide a high level programming interface for application developers who wish to control IEEE 1394 based cameras that conform to the 1394-based Digital Camera Specification (found at http://www.1394ta.org/). . This package contains the documentation. Homepage: http://damien.douxchamps.net/ieee1394/libdc1394/ Tag: devel::doc, devel::examples, made-of::html, made-of::pdf, made-of::postscript, role::documentation Section: doc Priority: extra Filename: pool/main/libd/libdc1394-22/libdc1394-22-doc_2.2.0-2_all.deb Package: libdc1394-utils Source: libdc1394-22 Version: 2.2.0-2 Architecture: armhf Maintainer: Guus Sliepen Installed-Size: 71 Depends: libc6 (>= 2.13-28), libdc1394-22 Conflicts: libdc1394-examples Replaces: libdc1394-examples Multi-Arch: foreign Homepage: http://damien.douxchamps.net/ieee1394/libdc1394/ Priority: extra Section: utils Filename: pool/main/libd/libdc1394-22/libdc1394-utils_2.2.0-2_armhf.deb Size: 34288 SHA256: fb406eb0d5813b1758e44db571436043f2e46bbdf2d590734642f4b1d5ff0933 SHA1: 7625910bab6149b66413f913f10f3dce9dee571a MD5sum: d10d8cbc47010218dbdae0d25ec1b2d0 Description: utilities for IEEE1394 digital cameras libdc1394 is a library that is intended to provide a high level programming interface for application developers who wish to control IEEE 1394 based cameras that conform to the 1394-based Digital Camera Specification (found at http://www.1394ta.org/). . This version of libdc1394 supports both the old and new (juju) FireWire stack. It automatically detects which one to use at runtime. . This package contains an example program. Package: libdc5 Source: libdc0 Version: 0.3.24~svn3121-2 Architecture: armhf Maintainer: Maia Kozheva Installed-Size: 806 Pre-Depends: multiarch-support Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://wxdcgui.sourceforge.net Priority: optional Section: libs Filename: pool/main/libd/libdc0/libdc5_0.3.24~svn3121-2_armhf.deb Size: 361198 SHA256: f5b665b985fa54ae2f1ac4fb30218acee679dd4e19f65fba2c9a25cc33ff98ff SHA1: 3a27c840cb928acfd3953829803ed51b663e5d71 MD5sum: c2dfd101b540d2f9af51d5b37511063d Description: Runtime libraries for Valknut Valknut is a graphical client for popular peer to peer network called Direct Connect. . Valknut has many features, such as searching on all public servers without connecting, downloading a file from multible locations, connecting to multiple servers, and support for multiple languages. Package: libdca-dev Source: libdca Version: 0.0.5-5 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 202 Depends: libdca0 (= 0.0.5-5) Homepage: http://www.videolan.org/developers/libdca.html Priority: optional Section: libdevel Filename: pool/main/libd/libdca/libdca-dev_0.0.5-5_armhf.deb Size: 110434 SHA256: afadada1fbb23eb84f24cee765dd58fdb9fa8f410e89e20b5af8e8181099782a SHA1: 2493747a7ebd5949b6896000238995d967344c84 MD5sum: 80adf0eee780610d74954d84cbb2de4d Description: decoding library for DTS Coherent Acoustics streams (development) libdca provides a low-level interface to decode audio frames encoded using DTS Coherent Acoustics. DTS Coherent Acoustics streams are commonly found on DVDs, DTS audio CDs and some radio broadcastings. libdca also provides downmixing and dynamic range compression for various output configurations. . This package contains the headers and static libraries used to build applications that use libdca. Package: libdca-utils Source: libdca Version: 0.0.5-5 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 80 Depends: libc6 (>= 2.4), libdca0 Homepage: http://www.videolan.org/developers/libdca.html Priority: optional Section: sound Filename: pool/main/libd/libdca/libdca-utils_0.0.5-5_armhf.deb Size: 29266 SHA256: 136034919b48609881a0e05f1b920f2a90b62852e6f39dfed8ba8c8efc0c69a0 SHA1: b7e28137882cfd07ca0274758785c77c725bffdf MD5sum: 101491909f9b313c8f425283bc554d67 Description: decoding library for DTS Coherent Acoustics streams (utilities) libdca provides a low-level interface to decode audio frames encoded using DTS Coherent Acoustics. DTS Coherent Acoustics streams are commonly found on DVDs, DTS audio CDs and some radio broadcastings. libdca also provides downmixing and dynamic range compression for various output configurations. . This package contains additional utilities. Package: libdca0 Source: libdca Version: 0.0.5-5 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 192 Depends: libc6 (>= 2.4) Homepage: http://www.videolan.org/developers/libdca.html Priority: optional Section: libs Filename: pool/main/libd/libdca/libdca0_0.0.5-5_armhf.deb Size: 112204 SHA256: 76d66c2a5a0ee48da7d31995ec21d8250fd97342e91e20b5e1bc801a6e0e092c SHA1: 2e48cfec03b1e99f3ff9d6643e08f4540e3fb4df MD5sum: a18999854664eb823ee89b2c137487e8 Description: decoding library for DTS Coherent Acoustics streams libdca provides a low-level interface to decode audio frames encoded using DTS Coherent Acoustics. DTS Coherent Acoustics streams are commonly found on DVDs, DTS audio CDs and some radio broadcastings. libdca also provides downmixing and dynamic range compression for various output configurations. . This package contains the shared library. Package: libdcap1 Source: dcap Version: 2.47.6-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 237 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4) Suggests: dcap-tunnel-gsi (= 2.47.6-2), dcap-tunnel-krb (= 2.47.6-2), dcap-tunnel-ssl (= 2.47.6-2), dcap-tunnel-telnet (= 2.47.6-2) Homepage: http://www.dcache.org/manuals/libdcap.shtml Priority: optional Section: libs Filename: pool/main/d/dcap/libdcap1_2.47.6-2_armhf.deb Size: 99962 SHA256: 1dcec517ba6a0dcf9dbce6814d82b18b6e4a2e8fed87b39ee29440c5625a11ad SHA1: 923b92d853fc277eaafef493d1e79faf9f84756b MD5sum: 6ffa72a461ab1832e7c527c50e5863a8 Description: Client Libraries for dCache dCache is a distributed mass storage system. This package contains the client libraries. Package: libdcerpc-dev Source: samba4 Version: 4.0.0~beta2+dfsg1-3.2 Architecture: armhf Maintainer: Samba Debian Maintainers Installed-Size: 314 Depends: libdcerpc0 (= 4.0.0~beta2+dfsg1-3.2), libndr-dev (= 4.0.0~beta2+dfsg1-3.2), libsamba-util-dev (= 4.0.0~beta2+dfsg1-3.2), pkg-config Homepage: http://www.samba.org/ Priority: optional Section: libdevel Filename: pool/main/s/samba4/libdcerpc-dev_4.0.0~beta2+dfsg1-3.2_armhf.deb Size: 42814 SHA256: 320f9318681adc0a6bf760c5c581c412a023a3f5b4c29beb3bf63c3fac1ecb96 SHA1: 6d3bd2ee719f6b8765a69b19cc1d91ead66d1859 MD5sum: a746cae241daf5bc388510ec1215731d Description: DCE/RPC library Samba is an implementation of the SMB/CIFS protocol for Unix systems, providing support for cross-platform file sharing with Microsoft Windows, OS X, and other Unix systems. Samba can also function as a domain controller or member server in both NT4-style and Active Directory domains. . These packages contain snapshot versions of Samba 4, the next-generation version of Samba. . Client-side implementation of the DCE/RPC protocol. Support for the following transports is provided: - ncacn_np - ncacn_ip_tcp - ncalrpc - ncacn_sock_unix . This package contains the files required for development. Package: libdcerpc-server-dev Source: samba4 Version: 4.0.0~beta2+dfsg1-3.2 Architecture: armhf Maintainer: Samba Debian Maintainers Installed-Size: 74 Depends: libdcerpc-dev (= 4.0.0~beta2+dfsg1-3.2), libdcerpc-server0 (= 4.0.0~beta2+dfsg1-3.2) Replaces: samba4-dev (<< 4.0.0~alpha18) Homepage: http://www.samba.org/ Priority: optional Section: libdevel Filename: pool/main/s/samba4/libdcerpc-server-dev_4.0.0~beta2+dfsg1-3.2_armhf.deb Size: 18228 SHA256: 959d77acb40bb62e1469010ccf1d477faff282d660546cd296330c39976a43fe SHA1: 8ee118173bea0286799878a512942fd23774e354 MD5sum: eb927c2933953a1bbedda3d31987450d Description: DCE/RPC server library - development files Samba is an implementation of the SMB/CIFS protocol for Unix systems, providing support for cross-platform file sharing with Microsoft Windows, OS X, and other Unix systems. Samba can also function as a domain controller or member server in both NT4-style and Active Directory domains. . These packages contain snapshot versions of Samba 4, the next-generation version of Samba. . Server-side implementation of the DCE/RPC protocol. . This package contains the files required for development. Package: libdcerpc-server0 Source: samba4 Version: 4.0.0~beta2+dfsg1-3.2 Architecture: armhf Maintainer: Samba Debian Maintainers Installed-Size: 1013 Pre-Depends: multiarch-support Depends: libasn1-8-heimdal (>= 1.4.0+git20110226), libbsd0 (>= 0.0), libc6 (>= 2.13-28), libdcerpc0, libgcc1 (>= 1:4.4.0), libgensec0, libhcrypto4-heimdal (>= 1.4.0+git20110226), libhx509-5-heimdal (>= 1.4.0+git20110226), libkrb5-26-heimdal (>= 1.4.0+git20110226), libldb1 (>= 0.9.21), libndr-standard0, libndr0, libpam0g (>= 0.99.7.1), libregistry0, libsamba-credentials0, libsamba-hostconfig0, libsamba-util0, libsamdb0, libtalloc2 (>= 2.0.4~git20101213), libtevent0 (>= 0.9.12), libwbclient0 (>= 2:3.2.0) Multi-Arch: same Homepage: http://www.samba.org/ Priority: optional Section: libs Filename: pool/main/s/samba4/libdcerpc-server0_4.0.0~beta2+dfsg1-3.2_armhf.deb Size: 346558 SHA256: 6d152d654f8ad906980fe6b125dff4b263f29b6fa9fa4b29ca62fcbef72ccbd8 SHA1: 613e7528a69f0b164fdd75b060c73712b14a5a14 MD5sum: 3f029dd5441f1438a23bbd909b54f833 Description: DCE/RPC server library Samba is an implementation of the SMB/CIFS protocol for Unix systems, providing support for cross-platform file sharing with Microsoft Windows, OS X, and other Unix systems. Samba can also function as a domain controller or member server in both NT4-style and Active Directory domains. . These packages contain snapshot versions of Samba 4, the next-generation version of Samba. . Server-side implementation of the DCE/RPC protocol. Package: libdcerpc0 Source: samba4 Version: 4.0.0~beta2+dfsg1-3.2 Architecture: armhf Maintainer: Samba Debian Maintainers Installed-Size: 1735 Pre-Depends: multiarch-support Depends: libbsd0 (>= 0.0), libc6 (>= 2.13-28), libgensec0, libkrb5-26-heimdal (>= 1.4.0+git20110226), libldb1 (>= 0.9.21), libndr-standard0, libndr0, libpython2.7 (>= 2.7), libsamba-credentials0, libsamba-hostconfig0, libsamba-util0, libsamdb0, libsmbclient-raw0, libtalloc2 (>= 2.0.4~git20101213), libtevent0 (>= 0.9.13), python-talloc (>= 2.0.6) Replaces: libgensec0 (<< 4.0.0~alpha17~git20110724.dfsg1-1) Multi-Arch: same Homepage: http://www.samba.org/ Priority: optional Section: net Filename: pool/main/s/samba4/libdcerpc0_4.0.0~beta2+dfsg1-3.2_armhf.deb Size: 449304 SHA256: c2ce0a77ca9c7c1e190fdc1adab7eee137dd93279fc3a40be0cc6b7659ed2d50 SHA1: bb080f386da41b821887470bacc1163caaf8bb70 MD5sum: f54a0952ef240344268ebcf07df89277 Description: DCE/RPC client library Samba is an implementation of the SMB/CIFS protocol for Unix systems, providing support for cross-platform file sharing with Microsoft Windows, OS X, and other Unix systems. Samba can also function as a domain controller or member server in both NT4-style and Active Directory domains. . These packages contain snapshot versions of Samba 4, the next-generation version of Samba. . Client-side implementation of the DCE/RPC protocol. Support for the following transports is provided: - ncacn_np - ncacn_ip_tcp - ncalrpc - ncacn_sock_unix Package: libdcmtk2 Source: dcmtk Version: 3.6.0-12+deb7u1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 9097 Depends: libc6 (>= 2.13-28), libcharls1, libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libtiff4 (>> 3.9.5-3~), libwrap0 (>= 7.6-4~), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Conflicts: dcmtk (<< 3.6.0), libdcmtk0, libdcmtk0c2 Replaces: libdcmtk0, libdcmtk0c2 Homepage: http://dicom.offis.de/dcmtk Priority: optional Section: libs Filename: pool/main/d/dcmtk/libdcmtk2_3.6.0-12+deb7u1_armhf.deb Size: 3586092 SHA256: 3fde83acba7f46751b795657fc8f8a8c8f93201592d12dc22a06ccecc48bcf91 SHA1: 7fe9401b260d616ab0f20eef6cf9f7257c8e7170 MD5sum: b825a30627324212536fe4961eff00f2 Description: OFFIS DICOM toolkit runtime libraries DCMTK includes a collection of libraries and applications for examining, constructing and converting DICOM image files, handling offline media, sending and receiving images over a network connection, as well as demonstrative image storage and worklist servers. . This package contains the runtime libraries for the DCMTK utility applications. . Note: This version was compiled with libssl support. Package: libdcmtk2-dev Source: dcmtk Version: 3.6.0-12+deb7u1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 20981 Depends: libdcmtk2 (= 3.6.0-12+deb7u1) Suggests: dcmtk-doc Conflicts: libdcmtk0-dev, libdcmtk1-dev Replaces: libdcmtk0-dev, libdcmtk1-dev Homepage: http://dicom.offis.de/dcmtk Priority: optional Section: libdevel Filename: pool/main/d/dcmtk/libdcmtk2-dev_3.6.0-12+deb7u1_armhf.deb Size: 5487240 SHA256: 38758e55c211194a26b9a8437588921fb5086657a7661274228a884320ce2a01 SHA1: f18f372edaae4e84000cb37f48792fb6ab9bc587 MD5sum: c57a10ab52903563a2d59c204d97c815 Description: OFFIS DICOM toolkit development libraries and headers DCMTK includes a collection of libraries and applications for examining, constructing and converting DICOM image files, handling offline media, sending and receiving images over a network connection, as well as demonstrative image storage and worklist servers. . This package contains development libraries and headers for DCMTK. You only need to install this if you are developing programs that use the DCMTK libraries. . Note: This version was compiled with libssl support. Package: libdconf-dbg Source: d-conf Version: 0.12.1-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 134 Depends: libdconf0 (= 0.12.1-3) Multi-Arch: same Homepage: http://live.gnome.org/dconf Priority: extra Section: debug Filename: pool/main/d/d-conf/libdconf-dbg_0.12.1-3_armhf.deb Size: 36292 SHA256: b83d037d3915336a3abfcf14f94560571f3fd96a41f1d1a3fbb5fe73d402b383 SHA1: 541807bbf0c0c432a85eb3f8a106b8f4b2ec5d33 MD5sum: 8eb457d8ac881273ecf37516afc3922d Description: simple configuration storage system - debugging symbols DConf is a low-level key/value database designed for storing desktop environment settings. . This package contains the debugging symbols. Package: libdconf-dbus-1-0 Source: d-conf Version: 0.12.1-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 69 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libglib2.0-0 (>= 2.31.18), libdconf0 (= 0.12.1-3) Multi-Arch: same Homepage: http://live.gnome.org/dconf Priority: optional Section: libs Filename: pool/main/d/d-conf/libdconf-dbus-1-0_0.12.1-3_armhf.deb Size: 18410 SHA256: bc5029285af1cf0e1a57885390cbae04b7525fd4b80bae33ea0a17eddf331930 SHA1: 91727f061fdd27e2f7b2a7d8617eef89109c0a2d MD5sum: 06507c6d1f237d0a20e9897c6f23d8e5 Description: simple configuration storage system - D-Bus library DConf is a low-level key/value database designed for storing desktop environment settings. . This package contains a D-Bus convenience library. Package: libdconf-dbus-1-dbg Source: d-conf Version: 0.12.1-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 108 Depends: libdconf-dbus-1-0 (= 0.12.1-3) Multi-Arch: same Homepage: http://live.gnome.org/dconf Priority: extra Section: debug Filename: pool/main/d/d-conf/libdconf-dbus-1-dbg_0.12.1-3_armhf.deb Size: 28890 SHA256: f962060a910da2d5044672672f5c06d9319b4fced215ad915cf9ba419f5bea75 SHA1: b90ca7cc0df9098dd0c07c5c24770d0e68aa28da MD5sum: ff537a959d490a314d059786c1411642 Description: simple configuration storage system - D-Bus debug symbols DConf is a low-level key/value database designed for storing desktop environment settings. . This package contains the debugging symbols for the D-Bus library. Package: libdconf-dbus-1-dev Source: d-conf Version: 0.12.1-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 57 Depends: libdconf-dbus-1-0 (= 0.12.1-3), libglib2.0-dev, libdbus-1-dev Homepage: http://live.gnome.org/dconf Priority: optional Section: libdevel Filename: pool/main/d/d-conf/libdconf-dbus-1-dev_0.12.1-3_armhf.deb Size: 9864 SHA256: 1d1025014b48682cbbce287dc793640ab9ff937a2b45c17ad0bbde2e488e0d39 SHA1: 773efd9bd74edf8022df13af57b69b6b10dd27ae MD5sum: 9d64293c3d246e81766dddbb0b7c8f4b Description: simple configuration storage system - D-Bus development files DConf is a low-level key/value database designed for storing desktop environment settings. . This package contains a static library and header files for the D-Bus library. Package: libdconf-dev Source: d-conf Version: 0.12.1-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 86 Depends: libdconf0 (= 0.12.1-3), libglib2.0-dev (>= 2.25.7) Recommends: libdconf-doc Breaks: valac (<< 0.9.5) Replaces: valac (<< 0.9.5) Homepage: http://live.gnome.org/dconf Priority: optional Section: libdevel Filename: pool/main/d/d-conf/libdconf-dev_0.12.1-3_armhf.deb Size: 12312 SHA256: ce8b63632dfd5a5363ec84a81d9c55d91c8b11c6920f87ac69fd0483f1070120 SHA1: 80eb677c0d8b27c11d0db5e18698ee46dd30622e MD5sum: da4a93b968e6d0cd83a14d6cde8435ec Description: simple configuration storage system - development files DConf is a low-level key/value database designed for storing desktop environment settings. . This package contains a static library and header files. Package: libdconf-doc Source: d-conf Version: 0.12.1-3 Installed-Size: 139 Maintainer: Debian GNOME Maintainers Architecture: all Size: 20452 SHA256: 9a3c51a6eea4c15ac398dbcc2b47a7be68a8c5e086e1e28cd64d3c5a3adf1b87 SHA1: 8d89317faeccbe2693cb66bb1e58fadac33d72a2 MD5sum: 8294d5c4362fffa561840135ffba68d6 Description: simple configuration storage system - documentation DConf is a low-level key/value database designed for storing desktop environment settings. . This package contains the documentation in devhelp format. Homepage: http://live.gnome.org/dconf Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/d/d-conf/libdconf-doc_0.12.1-3_all.deb Package: libdconf0 Source: d-conf Version: 0.12.1-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 77 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.18) Breaks: dconf-gsettings-backend (<< 0.10.0) Multi-Arch: same Homepage: http://live.gnome.org/dconf Priority: optional Section: libs Filename: pool/main/d/d-conf/libdconf0_0.12.1-3_armhf.deb Size: 21036 SHA256: b7507a5483bdf3c21433824298b9871e0aec895fcbaebc472fc89405b12275d5 SHA1: 9fcd99038f56403113527e30969d315269b4c2a6 MD5sum: e824a75cff4373f325f36317e2594757 Description: simple configuration storage system - runtime library DConf is a low-level key/value database designed for storing desktop environment settings. . This package contains the runtime library. Package: libddccontrol-dev Source: ddccontrol Version: 0.4.2-10 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 109 Depends: libddccontrol0 (= 0.4.2-10) Homepage: http://ddccontrol.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/d/ddccontrol/libddccontrol-dev_0.4.2-10_armhf.deb Size: 36218 SHA256: 00f289b77250c64795cc3b38d4b0cf56d6a7f149090328e64640a496ff103ad6 SHA1: 20ad779b095cd7519accf6094cf3eba794b45e94 MD5sum: 09b0b24df53b370dd888a3bef5ad053d Description: development files for ddccontrol DDCcontrol is a tool used to control monitor parameters, like brightness and contrast, without using the OSD (On Screen Display) and the buttons in front of the monitor. . This package provides the development files. Package: libddccontrol0 Source: ddccontrol Version: 0.4.2-10 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 86 Depends: libc6 (>= 2.13-28), libpci3 (>= 1:3.1.9-2), libxml2 (>= 2.7.4) Homepage: http://ddccontrol.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/d/ddccontrol/libddccontrol0_0.4.2-10_armhf.deb Size: 34212 SHA256: 65f2a4fd3719ea158524569030ed483f8d8e055fd43692134a96facad0593f92 SHA1: 8ae7d8eabf77e5fa2f200ba7229c4872b5d093ba MD5sum: 535c9964842d80486c89a70c2b73fc21 Description: shared library for ddccontrol DDCcontrol is a tool used to control monitor parameters, like brightness and contrast, without using the OSD (On Screen Display) and the buttons in front of the monitor. . This package provides the shared library. Package: libdds-dev Source: dds Version: 2.1.2+ddd105-1 Architecture: armhf Maintainer: Christoph Berg Installed-Size: 183 Homepage: http://privat.bahnhof.se/wb758135/ Priority: extra Section: libdevel Filename: pool/main/d/dds/libdds-dev_2.1.2+ddd105-1_armhf.deb Size: 109706 SHA256: 6413250a6d214a64a4253a6cd30fd5a657f73c21228c343a7d167728adf1d324 SHA1: b3e933d1611dcaf3742600d561d0789e189910a0 MD5sum: 72aeb47965186abfdeddb86c578eeafc Description: bridge double dummy solver - library dds is a double dummy solver. This package contains the static library and the documentation. . A double dummy solver computes the optimal line of play for a bridge deal, with all hands open (both sides dummy). Package: libdebconf-kde-dev Source: debconf-kde Version: 0.2-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 51 Depends: libdebconf-kde0 (= 0.2-2) Homepage: http://projects.kde.org/projects/extragear/sysadmin/libdebconf-kde Priority: optional Section: libdevel Filename: pool/main/d/debconf-kde/libdebconf-kde-dev_0.2-2_armhf.deb Size: 5386 SHA256: 62944571e59542e3bf03a16fa605f25b5ee6794201c0ce3e3be6a19edffb1ae5 SHA1: eea5ba9cdfd4fb6933faa72b2a29ad9fa4cb8dde MD5sum: 0d4cb2b3b870473d6765d48874052a9f Description: Development headers for the Debconf KDE library Debconf KDE is a GUI library for including Debconf frontends in Qt-based applications. Debconf is a configuration management system for Debian packages, which is used to ask questions when they are installed. This library is written in C++ and used by Apper and Muon to embed Debconf dialogs. . This package contains the development headers for the Debconf KDE library Package: libdebconf-kde0 Source: debconf-kde Version: 0.2-2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 123 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1) Homepage: http://projects.kde.org/projects/extragear/sysadmin/libdebconf-kde Priority: optional Section: libs Filename: pool/main/d/debconf-kde/libdebconf-kde0_0.2-2_armhf.deb Size: 35684 SHA256: e4f66f726dba1ae6a1ef2c8c2a4c6c6dfd5d8eeb62ca12911d6f902760a92322 SHA1: 4948d2b9fb62ff17f90f9978177f6949d239b7b1 MD5sum: 7340c561a9ad2541b3bf16aaac004219 Description: Debconf KDE GUI library Debconf KDE is a GUI library for including Debconf frontends in Qt-based applications. Debconf is a configuration management system for Debian packages, which is used to ask questions when they are installed. This library is written in C++ and used by Apper and Muon to embed Debconf dialogs. . This package contains the Debconf KDE library Package: libdebconfclient0 Source: cdebconf Version: 0.182 Architecture: armhf Maintainer: Debian Install System Team Installed-Size: 75 Depends: libc6 (>= 2.13-28) Priority: optional Section: libs Filename: pool/main/c/cdebconf/libdebconfclient0_0.182_armhf.deb Size: 44978 SHA256: 84933b8a6aa58d6d9249574b8bd126df8ee31211b3f2c551f2a8e0c578b774bc SHA1: 3bd158b98f89d5dcd282f15187227cadd85c3aa5 MD5sum: 3bcab01d4985dbcb28c578ab38722f1c Description: Debian Configuration Management System (C-implementation library) Debconf is a configuration management system for Debian packages. It is used by some packages to prompt you for information before they are installed. This is a reimplementation of the original debconf version in C. . This is the libraries needed by libdebconfclient-dev and cdebconf. Package: libdebconfclient0-dev Source: cdebconf Version: 0.182 Architecture: armhf Maintainer: Debian Install System Team Installed-Size: 104 Depends: libdebconfclient0 (= 0.182) Conflicts: cdebconf-dev, libcdebconf-dev, libdebconf-dev, libdebconfclient-dev Replaces: libdebconf-dev Provides: libdebconfclient-dev Priority: optional Section: libdevel Filename: pool/main/c/cdebconf/libdebconfclient0-dev_0.182_armhf.deb Size: 51600 SHA256: 3d1f6e88fa50601ad470f560a1e800dbaddd28c565878ea5fc28632c55cac2fb SHA1: 2b4460e01d0d5454b2951274974294da52bb3a5b MD5sum: 1197387409477bfea5ee41c2a580a90f Description: Development files for cdebconf Debconf is a configuration management system for Debian packages. It is used by some packages to prompt you for information before they are installed. This is a reimplementation of the original debconf version in C. . This package contains files needed to do cdebconf development Package: libdebian-copyright-perl Version: 0.1-1 Installed-Size: 128 Maintainer: Debian Perl Group Architecture: all Depends: perl, libparse-debcontrol-perl, libtie-ixhash-perl, libarray-unique-perl, libclass-accessor-perl Size: 23448 SHA256: 82a09eaf9ddcef20e5c71dc706e916364d498dcbb2adc2931716cb8b94e3b063 SHA1: 44ccd11ac7f1167c3129cf7c1abb4d29ae3f96e9 MD5sum: 4bd7ba8d453cee09e4775bc85ad803ef Description: perl module to parse, merge and write Debian copyright files Debian::Copyright can be used for the representation, manipulation and merging of Debian copyright files in an object-oriented way. It provides easy reading and writing of the debian/copyright file found in Debian source packages. Homepage: http://search.cpan.org/dist/Debian-Copyright/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdebian-copyright-perl/libdebian-copyright-perl_0.1-1_all.deb Package: libdebian-dpkgcross-perl Source: dpkg-cross Version: 2.6.7 Installed-Size: 89 Maintainer: Debian Embedded Group Architecture: all Depends: perl, file, dpkg-dev (>> 1.14.6), libfile-temp-perl, libconfig-auto-perl, libfile-homedir-perl, liblocale-gettext-perl Size: 29246 SHA256: e8d02060bcfb4255986776fc17dbd03d2da230c402c3f52f61fb5c350827ac1b SHA1: fd3ba6e61c87f7910075b0e6be8d4a7da662f708 MD5sum: 59376549697053e0d4d4093043038d0f Description: functions to aid cross-compiling Debian packages Debian::DpkgCross is a module of perl functions to aid installing libraries and headers for cross compiling. Homepage: http://dpkg-cross.alioth.debian.org/ Tag: implemented-in::perl Section: perl Priority: extra Filename: pool/main/d/dpkg-cross/libdebian-dpkgcross-perl_2.6.7_all.deb Package: libdebian-installer-extra4 Source: libdebian-installer Version: 0.87 Architecture: armhf Maintainer: Debian Install System Team Installed-Size: 46 Depends: libc6 (>= 2.13-28), libdebian-installer4 (= 0.87) Priority: optional Section: libs Filename: pool/main/libd/libdebian-installer/libdebian-installer-extra4_0.87_armhf.deb Size: 14300 SHA256: 69aec184352cc4a7008a10b647a1c23d582f3ee15307a57dfb3bfc8151b31663 SHA1: ce512daa703b1fa5ffa4b589ff0a799c800667e1 MD5sum: 7f6ac0433b5554af53b9f459073a4d94 Description: Library of some extra debian-installer functions This library is used by debian-installer to perform common functions such as logging messages and executing commands. If you aren't working on debian-installer or building your own install system based on debian-installer, then you probably don't need this library. Package: libdebian-installer4 Source: libdebian-installer Version: 0.87 Architecture: armhf Maintainer: Debian Install System Team Installed-Size: 80 Depends: libc6 (>= 2.13-28) Priority: optional Section: libs Filename: pool/main/libd/libdebian-installer/libdebian-installer4_0.87_armhf.deb Size: 30100 SHA256: 2dea2ca24d642eff06f5d0bf1567a42d6c1531b0f55a4120bdadf01ace407ac4 SHA1: 424863ab9520d0dafd65c00074b09b2df9230342 MD5sum: cee4860f87294ac62c037fc33a48461b Description: Library of common debian-installer functions This library is used by debian-installer to perform common functions such as logging messages and executing commands. If you aren't working on debian-installer or building your own install system based on debian-installer, then you probably don't need this library. Package: libdebian-installer4-dev Source: libdebian-installer Version: 0.87 Architecture: armhf Maintainer: Debian Install System Team Installed-Size: 1333 Depends: libdebian-installer4 (= 0.87), libdebian-installer-extra4 (= 0.87) Conflicts: libdebian-installer-dev Provides: libdebian-installer-dev Priority: optional Section: libdevel Filename: pool/main/libd/libdebian-installer/libdebian-installer4-dev_0.87_armhf.deb Size: 189408 SHA256: 04c8f230f8bf10bdcbbac8a7a0f42ea0963f18c25bf4397bd2214288cf0e9b0e SHA1: 5cd4159809dd1412bc9a29d80527695a85b5c21a MD5sum: f526644ce336f0d1976593d618d7445e Description: Library of common debian-installer functions This library is used by debian-installer to perform common functions such as logging messages and executing commands. If you aren't working on debian-installer or building your own install system based on debian-installer, then you probably don't need this library. . This package contains files needed to do libdebian-installer development. Package: libdebian-package-html-perl Version: 0.1-2 Installed-Size: 76 Maintainer: Jose Parrella Architecture: all Depends: libhtml-template-perl (>= 2.6-2), perl (>= 5.6.0-16) Size: 10604 SHA256: 0552004e263479bf05f867d5d9e0dde8d5ee52f9b6f4d7b961969bf16fef2549 SHA1: a7642881864f5d8128904b4cbfe855a9915dbbb7 MD5sum: 90f9263672863e9da4d8511afbddb80a Description: generates HTML from a Debian source/binary package This module outputs a webpage using HTML::Template templates which resumes the information of a normal build environment for a package in Debian (source files, binary packages and changelogs) using Linda/Lintian for sanity checks. It is useful for making unified presentation webpages for those packages which are being sponsorized by someone in Debian. Tag: devel::debian, devel::lang:perl, devel::library, devel::packaging, devel::testing-qa, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdebian-package-html-perl/libdebian-package-html-perl_0.1-2_all.deb Package: libdebian-packages-compare-perl Source: emdebian-grip Version: 3.0.7 Installed-Size: 116 Maintainer: Neil Williams Architecture: all Depends: perl, libparse-debcontrol-perl, libparse-debian-packages-perl Size: 27562 SHA256: 50294757e5fef3ff48fd6253ef4d4403802b3825bdc97cb9b3e636a7577e7a3c SHA1: 16053ab34026fffd17ab1e0371579e7f8c8415b5 MD5sum: dcbf248ab04550a3ec98a43adf3de6ad Description: emdebian repository comparison support Parse Packages and Sources.gz files from a local repository, or set of local repositories, compare the source and binary versions and produce lists of packages that need to be updated in unstable or migrated into testing. . Used by emdebian-grip-server to identify packages needing updates. Homepage: http://www.emdebian.org/grip/ Tag: implemented-in::perl Section: perl Priority: extra Filename: pool/main/e/emdebian-grip/libdebian-packages-compare-perl_3.0.7_all.deb Package: libdebug-client-perl Version: 0.20-1 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.13.10), libpadwalker-perl, libterm-readline-perl-perl Recommends: libpadwalker-perl (>= 1.92) Size: 21352 SHA256: 3cffd9705655e472ddc4a9dda5a9b21d14ec6212e71881a007e70b4fff151573 SHA1: 58d271d3089cdaef10dcc641010704c6f8486bb1 MD5sum: 97e66e844e69065e9032ea538bcc1fb6 Description: module to debug Perl programs remotely Debug::Client is a Perl module designed to facilitate remote debugging of your Perl software. Its interface consists of connecting to a remote Perl session, permitting manipulation of breakpoints and providing stack traces or variable values where needed. Homepage: http://search.cpan.org/dist/Debug-Client/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdebug-client-perl/libdebug-client-perl_0.20-1_all.deb Package: libdebug-trace-perl Version: 0.04-4 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 10022 SHA256: 3b72db95a0b82bfc777a60f3e4751f57fb9b316ff79cdfe198a526eb5b066fd9 SHA1: ec7ed468c9531fa30bc29e334e8a0d8aa21bc687 MD5sum: 14388f0e3b659d9e6efcfceca0677ef6 Description: module to trace Perl subroutine calls Debug::Trace is a Perl module that can trace information upon every call and return of Perl function. It does not require any changes to the sources. Tag: devel::debugger, devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdebug-trace-perl/libdebug-trace-perl_0.04-4_all.deb Package: libdebug0 Source: libdebug Version: 0.4.4-1.1 Architecture: armhf Maintainer: Peter Pentchev Installed-Size: 64 Pre-Depends: multiarch-support Depends: libc6 (>= 2.4) Suggests: libdebug0-dev (>= 0.4.4-1.1) Multi-Arch: same Homepage: http://devel.ringlet.net/devel/libdebug/ Priority: optional Section: libs Filename: pool/main/libd/libdebug/libdebug0_0.4.4-1.1_armhf.deb Size: 14114 SHA256: 4c381c72a9d91e3ecd18a332833b333e36a47e1ce891b7b18a7b66b6c2501fd4 SHA1: d48b41fc1692806cceb443f58b039a3b24fefb3d MD5sum: eda66cabd3b031d88028cf40bd075bb8 Description: Memory leak detection system and logging library This library contains routines needed by many of the authors other programs. It includes utilities to aid in debugging of programs. . Some of the features currently supported by this library are memory leak detection, hex dumping, and logging. The logging system is especially useful. It adds syntax highlighting for different log levels in debugging mode. There are different modes for printing to console and files, duplicate line detection and lots of other small things which makes life simpler for the programmer. . This package contains files needed by programs linked against this library. Package: libdebug0-dev Source: libdebug Version: 0.4.4-1.1 Architecture: armhf Maintainer: Peter Pentchev Installed-Size: 102 Depends: libdebug0 (= 0.4.4-1.1) Multi-Arch: same Homepage: http://devel.ringlet.net/devel/libdebug/ Priority: optional Section: libdevel Filename: pool/main/libd/libdebug/libdebug0-dev_0.4.4-1.1_armhf.deb Size: 27128 SHA256: 2a3f16fcff8618f18bb9805a4f8c7ea9d4808dc0b01ca85fa672b3d3134b5803 SHA1: cc253f0d0ce1f7e6cf056241f9b215659a2b96a8 MD5sum: 4139c887f20ab4f10d290ff74e52b8f6 Description: Development files for the debug library This library contains routines needed by many of the authors other programs. It includes utilities to aid in debugging of programs. . Some of the features currently supported by this library are memory leak detection, hex dumping, and logging. The logging system is especially useful. It adds syntax highlighting for different log levels in debugging mode. There are different modes for printing to console and files, duplicate line detection and lots of other small things which makes life simpler for the programmer. . This package contains files needed if you wish to use libdebug in your own programs. Package: libdeclarative-connectivity Source: qtmobility Version: 1.2.0-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 295 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-declarative (>= 4:4.8.1), libqt4-network (>= 4:4.8.1), libqt4-script (>= 4:4.8.1), libqtconnectivity1, libqtcore4 (>= 4:4.8.1), libqtgui4 (>= 4:4.8.1), libstdc++6 (>= 4.4.0) Homepage: http://labs.trolltech.com/page/Projects/QtMobility Priority: optional Section: libs Filename: pool/main/q/qtmobility/libdeclarative-connectivity_1.2.0-3_armhf.deb Size: 66172 SHA256: 29ea2980e0347f14bb0e0378a1ec0ac26e5870950326b08460a48ee449c57dbf SHA1: b99bf6fafc50179d27b6719cb31454f7f064c771 MD5sum: 0bf1ae7d9b90250b2bc669da53a6d7d3 Description: Qt Mobility Connectivity QML plugin Qt Mobility delivers a set of new APIs for mobile device functionality. . This package contains the Connectivity QML plugin for QtDeclarative. Package: libdeclarative-contacts Source: qtmobility Version: 1.2.0-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 977 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-declarative (>= 4:4.8.1), libqt4-network (>= 4:4.8.1), libqt4-script (>= 4:4.8.1), libqtcontacts1, libqtcore4 (>= 4:4.8.1), libqtgui4 (>= 4:4.8.1), libqtversit1, libstdc++6 (>= 4.4.0) Homepage: http://labs.trolltech.com/page/Projects/QtMobility Priority: optional Section: libs Filename: pool/main/q/qtmobility/libdeclarative-contacts_1.2.0-3_armhf.deb Size: 202824 SHA256: 57a1bd74e78ad0bab812109355a891846749464d24dbbfcb8fd8c64729d41597 SHA1: 9f9a5214e7bc4b2e5910a649f7be3f1e4af10177 MD5sum: bbfd3eaba2b6cd866cf4d0dfe071710d Description: Qt Mobility Contacts QML plugin Qt Mobility delivers a set of new APIs for mobile device functionality. . This package contains the Contacts QML plugin for QtDeclarative. Package: libdeclarative-feedback Source: qtmobility Version: 1.2.0-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 153 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-declarative (>= 4:4.8.1), libqtcore4 (>= 4:4.8.1), libqtfeedback1, libqtgui4 (>= 4:4.8.1), libstdc++6 (>= 4.4.0) Homepage: http://labs.trolltech.com/page/Projects/QtMobility Priority: optional Section: libs Filename: pool/main/q/qtmobility/libdeclarative-feedback_1.2.0-3_armhf.deb Size: 29730 SHA256: 0245ff1c3a97aaac4c6f92aaffa52be203dabfa16b10c8c6b6055ba73eb8c39c SHA1: 98b6c6d2e9d8d043dceec5890d103a3e74d837bf MD5sum: e1db13047acfc9747aeb5e8f4680d6a1 Description: Qt Mobility Feedback QML plugin Qt Mobility delivers a set of new APIs for mobile device functionality. . This package contains the Feedback QML plugin for QtDeclarative. Package: libdeclarative-gallery Source: qtmobility Version: 1.2.0-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 368 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-declarative (>= 4:4.8.1), libqt4-script (>= 4:4.8.1), libqtcore4 (>= 4:4.8.1), libqtgallery1, libqtgui4 (>= 4:4.8.1), libstdc++6 (>= 4.4.0) Homepage: http://labs.trolltech.com/page/Projects/QtMobility Priority: optional Section: libs Filename: pool/main/q/qtmobility/libdeclarative-gallery_1.2.0-3_armhf.deb Size: 68720 SHA256: 8189c81e91846595b9d0458069a8f83864b7592bc25ddc17f9567b5cdf412319 SHA1: ddc9d394d9b01525fd02700a3256d41736f97d3f MD5sum: fb119a13c42f8dfd9545034b90d8424f Description: Qt Mobility Document Gallery QML plugin Qt Mobility delivers a set of new APIs for mobile device functionality. . This package contains the Document Gallery QML plugin for QtDeclarative. Package: libdeclarative-location Source: qtmobility Version: 1.2.0-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 786 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-declarative (>= 4:4.8.1), libqt4-network (>= 4:4.8.1), libqtcore4 (>= 4:4.8.1), libqtgui4 (>= 4:4.8.1), libqtlocation1, libstdc++6 (>= 4.4.0) Homepage: http://labs.trolltech.com/page/Projects/QtMobility Priority: optional Section: libs Filename: pool/main/q/qtmobility/libdeclarative-location_1.2.0-3_armhf.deb Size: 154930 SHA256: 765bc8502404cfae323e8309ebde1bbef312ea8759d0636591d65431dbe632cc SHA1: a5831a545d9f335e757f7fa4e5a1b4cfdc20852f MD5sum: b9b24f53d887d5603a4b5c9aa6de5ab2 Description: Qt Mobility Location QML plugin Qt Mobility delivers a set of new APIs for mobile device functionality. . This package contains the Location QML plugin for QtDeclarative. Package: libdeclarative-messaging Source: qtmobility Version: 1.2.0-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 226 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqmfclient1, libqt4-declarative (>= 4:4.8.1), libqtcore4 (>= 4:4.8.1), libqtgui4 (>= 4:4.8.1), libqtmessaging1, libstdc++6 (>= 4.4.0) Homepage: http://labs.trolltech.com/page/Projects/QtMobility Priority: optional Section: libs Filename: pool/main/q/qtmobility/libdeclarative-messaging_1.2.0-3_armhf.deb Size: 49132 SHA256: 6022ea48c51074a0c0b1055166bef49771baca020a23cf9f978700e9b04c93c0 SHA1: 69c1755907d29da3081a8ca1df28a05e725ac426 MD5sum: 671712ac5ce9061ce000253218b4dcbc Description: Qt Mobility Messaging QML plugin Qt Mobility delivers a set of new APIs for mobile device functionality. . This package contains the Messaging QML plugin for QtDeclarative. Package: libdeclarative-multimedia Source: qtmobility Version: 1.2.0-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 267 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libqt4-declarative (>= 4:4.8.1), libqt4-network (>= 4:4.8.1), libqt4-opengl (>= 4:4.8.1), libqtcore4 (>= 4:4.8.1), libqtgui4 (>= 4:4.8.1), libqtmultimediakit1, libstdc++6 (>= 4.4.0) Homepage: http://labs.trolltech.com/page/Projects/QtMobility Priority: optional Section: libs Filename: pool/main/q/qtmobility/libdeclarative-multimedia_1.2.0-3_armhf.deb Size: 61572 SHA256: c4cb6d831b3d6e6088bd8b76ec8569ea1659bf74e0766bc0e77d30f9ece48f9d SHA1: 0d269dc242e08a8a081d646b9aefb0ca8b0220b2 MD5sum: 8c009739c3a48f6a83aaa3fb29bc4579 Description: Qt Mobility Multimedia QML plugin Qt Mobility delivers a set of new APIs for mobile device functionality. . This package contains the Multimedia QML plugin for QtDeclarative. Package: libdeclarative-organizer Source: qtmobility Version: 1.2.0-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 964 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-declarative (>= 4:4.8.1), libqt4-network (>= 4:4.8.1), libqt4-script (>= 4:4.8.1), libqtcontacts1, libqtcore4 (>= 4:4.8.1), libqtgui4 (>= 4:4.8.1), libqtorganizer1, libqtversit1, libqtversitorganizer1, libstdc++6 (>= 4.4.0) Homepage: http://labs.trolltech.com/page/Projects/QtMobility Priority: optional Section: libs Filename: pool/main/q/qtmobility/libdeclarative-organizer_1.2.0-3_armhf.deb Size: 194580 SHA256: 55d12d934090401ca72a356baae049d1f7721731e777adeafa66b5f16d75e73d SHA1: 0bf7264f533553fe9a4a4baeab207b6612b84a0f MD5sum: 4772b71f53580cfa24ddfdf8f8a3de78 Description: Qt Mobility Organizer QML plugin Qt Mobility delivers a set of new APIs for mobile device functionality. . This package contains the Organizer QML plugin for QtDeclarative. Package: libdeclarative-publishsubscribe Source: qtmobility Version: 1.2.0-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 218 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-declarative (>= 4:4.8.1), libqtcore4 (>= 4:4.8.1), libqtgui4 (>= 4:4.8.1), libqtpublishsubscribe1, libstdc++6 (>= 4.4.0) Homepage: http://labs.trolltech.com/page/Projects/QtMobility Priority: optional Section: libs Filename: pool/main/q/qtmobility/libdeclarative-publishsubscribe_1.2.0-3_armhf.deb Size: 47948 SHA256: 9016f445248c9b24882e5e9dbe15aca5e06762e0d83b831f5e90ace6f7194a5c SHA1: 22386961f1f67500c91d53671c9ed1bc560b9e33 MD5sum: 32c8b974c2e93ba5733a30a9d9ccfb95 Description: Qt Mobility Publish and Subscribe QML plugin Qt Mobility delivers a set of new APIs for mobile device functionality. . This package contains the Publish and Subscribe QML plugin for QtDeclarative. Package: libdeclarative-sensors Source: qtmobility Version: 1.2.0-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 219 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-declarative (>= 4:4.8.1), libqtcore4 (>= 4:4.8.1), libqtgui4 (>= 4:4.8.1), libqtsensors1, libstdc++6 (>= 4.4.0) Homepage: http://labs.trolltech.com/page/Projects/QtMobility Priority: optional Section: libs Filename: pool/main/q/qtmobility/libdeclarative-sensors_1.2.0-3_armhf.deb Size: 32090 SHA256: 1f71c6e27f7e4dc1c898bde2574ad5bb1ccb20ac8f9a94574508e1e1614883e0 SHA1: 1b118cc3523d406165f3f14c1947e2a42c5cf3be MD5sum: 53436f035f348e8df229761a133506c8 Description: Qt Mobility Sensors QML plugin Qt Mobility delivers a set of new APIs for mobile device functionality. . This package contains the Sensors QML plugin for QtDeclarative. Package: libdeclarative-serviceframework Source: qtmobility Version: 1.2.0-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 125 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-declarative (>= 4:4.8.1), libqtcore4 (>= 4:4.8.1), libqtgui4 (>= 4:4.8.1), libqtserviceframework1, libstdc++6 (>= 4.4.0) Homepage: http://labs.trolltech.com/page/Projects/QtMobility Priority: optional Section: libs Filename: pool/main/q/qtmobility/libdeclarative-serviceframework_1.2.0-3_armhf.deb Size: 25336 SHA256: 9e0811f391ce9eecccc027180bb5b05df07e83544e981359adae065bbdaef6b6 SHA1: a372aa5b758d25e2e856a4825c89579a3d44143a MD5sum: f553acbee298d7d1c4398727df63d9c3 Description: Qt Mobility Service Framework QML plugin Qt Mobility delivers a set of new APIs for mobile device functionality. . This package contains the Service Framework QML plugin for QtDeclarative. Package: libdeclarative-systeminfo Source: qtmobility Version: 1.2.0-3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 214 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-declarative (>= 4:4.8.1), libqt4-network (>= 4:4.8.1), libqtcore4 (>= 4:4.8.1), libqtgui4 (>= 4:4.8.1), libqtsysteminfo1, libstdc++6 (>= 4.4.0) Homepage: http://labs.trolltech.com/page/Projects/QtMobility Priority: optional Section: libs Filename: pool/main/q/qtmobility/libdeclarative-systeminfo_1.2.0-3_armhf.deb Size: 38804 SHA256: 837894102e54c890c2a43c816dcb90b9708ab899bf5811d8737455bf43c034e0 SHA1: 38acfcaeaa356f01ca53ff4109623cf96eb6ddef MD5sum: 5cf90ee9905b52bb9e8ec9b897bfd052 Description: Qt Mobility System Information QML plugin Qt Mobility delivers a set of new APIs for mobile device functionality. . This package contains the System Information QML plugin for QtDeclarative. Package: libdecodeqr-dev Source: libdecodeqr Version: 0.9.3-6.2 Architecture: armhf Maintainer: Nobuhiro Iwamatsu Installed-Size: 128 Depends: libdecodeqr0 (= 0.9.3-6.2), libhighgui-dev Homepage: http://trac.koka-in.org/libdecodeqr Priority: optional Section: libdevel Filename: pool/main/libd/libdecodeqr/libdecodeqr-dev_0.9.3-6.2_armhf.deb Size: 33792 SHA256: 66680b7c0cb35c16b2030d69081284953ed90e9bafa565032aad09c0fb11b717 SHA1: 8fc97ddd8e191a341430d623c9acfc57fcde7a3f MD5sum: 281ff02e2ce1d29e8131a6917ab2168e Description: C/C++ library for decoding QR code libdecodeqr is a C/C++ library for decoding QR code ased on JIS X 0510 and ISO/IEC18004. . This library is able to decode various image formats whether it's taken from a file, webcam, scanner, or any other image formats available. . This package contains development files. Package: libdecodeqr-examples Source: libdecodeqr Version: 0.9.3-6.2 Architecture: armhf Maintainer: Nobuhiro Iwamatsu Installed-Size: 246 Depends: libdecodeqr0 (= 0.9.3-6.2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopencv-core2.3, libopencv-highgui2.3, libopencv-imgproc2.3, libstdc++6 (>= 4.4.0) Homepage: http://trac.koka-in.org/libdecodeqr Priority: optional Section: libs Filename: pool/main/libd/libdecodeqr/libdecodeqr-examples_0.9.3-6.2_armhf.deb Size: 130028 SHA256: 5667594c619182ea94f3bfec0ed648343c2c54d47544ba51d577e27bf4fc8826 SHA1: b4d6bff963da7431d2ceb6f0c9c44507fe45035b MD5sum: 6508945a146ae3de835aad7fd47be33c Description: Sample program in C/C++ library for decoding QR code libdecodeqr is a C/C++ library for decoding QR code ased on JIS X 0510 and ISO/IEC18004. . This library is able to decode various image formats whether it's taken from a file, webcam, scanner, or any other image formats available. . This package contains an example program. Package: libdecodeqr0 Source: libdecodeqr Version: 0.9.3-6.2 Architecture: armhf Maintainer: Nobuhiro Iwamatsu Installed-Size: 93 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopencv-core2.3, libopencv-imgproc2.3, libstdc++6 (>= 4.4.0) Homepage: http://trac.koka-in.org/libdecodeqr Priority: optional Section: libs Filename: pool/main/libd/libdecodeqr/libdecodeqr0_0.9.3-6.2_armhf.deb Size: 29850 SHA256: 97ca42115742ed2289b654919b5ed3bdcca7ee8758e3c093169327d2d462f1db SHA1: 5a9ed9d66d9d72c7a1ca7104ce5018fa017f7eba MD5sum: c041716ab9f042847d9cabb794d61c65 Description: C/C++ library for decoding QR code libdecodeqr is a C/C++ library for decoding QR code ased on JIS X 0510 and ISO/IEC18004. . This library is able to decode various image formats whether it's taken from a file, webcam, scanner, or any other image formats available. . This package contains shared libraries. Package: libdecoration0 Source: compiz Version: 0.8.4-5.2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 89 Depends: libc6 (>= 2.13-28), libx11-6, libxrender1 Priority: optional Section: x11 Filename: pool/main/c/compiz/libdecoration0_0.8.4-5.2_armhf.deb Size: 49340 SHA256: 27e899f9bf7dc885f03ebcf1d8a8b1b25f6e0ca4b42d5bc9c9dc30dbfaf4ca38 SHA1: dec39baf042c918d80c2e4e0cde17bf42ab8e4a2 MD5sum: 4ffe0847b1b9ceb16e6aab02f9ffa19a Description: Compiz window decoration library The window decoration library is responsible for drawing the window borders and title bar of windows managed by Compiz. It is used by window decorators like gtk-window-decorator and kde-window-decorator. Package: libdecoration0-dev Source: compiz Version: 0.8.4-5.2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 86 Depends: libdecoration0 (= 0.8.4-5.2), libx11-dev, libxrender-dev (>= 1:0.9.1) Priority: optional Section: libdevel Filename: pool/main/c/compiz/libdecoration0-dev_0.8.4-5.2_armhf.deb Size: 38464 SHA256: 0c2caea7cb1f2fdddf4d4e0a9507583cd6ece4d54133796143b28ac8e2b59b6e SHA1: 6169ca1ca587a89236294f25386eea6c55f502fe MD5sum: b818bc8c7c7faed919b25356febc7af7 Description: Compiz window decoration library - development files The window decoration library is responsible for drawing the window borders and title bar of windows managed by Compiz. It is used by window decorators like gtk-window-decorator and kde-window-decorator. . This package contains files required for developing window decorators compatible with Compiz. Package: libdee-1.0-4 Source: dee Version: 1.0.10-3 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 218 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libicu48 (>= 4.8-1) Breaks: libdee-1.0-1 (<< 0.5.22-1) Replaces: libdee-1.0-1 Homepage: https://launchpad.net/dee Priority: optional Section: libs Filename: pool/main/d/dee/libdee-1.0-4_1.0.10-3_armhf.deb Size: 76464 SHA256: 67a06db8d9f110355e24a9da12bbe838a624012097182cdfff73c6bf14cfacfb SHA1: adb4eea89cde66e864a4758c56a001d0278dad52 MD5sum: 4fb2fa2520982e4bda6479e2d3eaffb3 Description: model to synchronize mutiple instances over DBus - shared lib libdee is a shared library that provides objects that help having multiple instances communicating over DBus. . This package contains shared libraries to be used by applications. Package: libdee-1.0-4-dbg Source: dee Version: 1.0.10-3 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 551 Depends: libdee-1.0-4 (= 1.0.10-3) Breaks: libdee-1.0.1-dbg (<< 0.5.22-1) Replaces: libdee-1.0.1-dbg Homepage: https://launchpad.net/dee Priority: extra Section: debug Filename: pool/main/d/dee/libdee-1.0-4-dbg_1.0.10-3_armhf.deb Size: 198222 SHA256: af04480b027f491e3a866efa4094a7abcd91fc95ea992e35cea03e4b8e86aa78 SHA1: 02847bc5051723119f4cdd8a1ca26e88544583da MD5sum: f56ce88b48e96b1466e3db0d773b1574 Description: model to synchronize mutiple instances over DBus libdee is a shared library that provides objects that help having multiple instances communicating over DBus. . This package contains the debug files. Package: libdee-dev Source: dee Version: 1.0.10-3 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 496 Depends: libdbus-glib-1-dev (>= 0.80), libdee-1.0-4 (= 1.0.10-3), libglib2.0-dev (>= 2.22.0) Suggests: libdee-doc Homepage: https://launchpad.net/dee Priority: optional Section: libdevel Filename: pool/main/d/dee/libdee-dev_1.0.10-3_armhf.deb Size: 56634 SHA256: 716fae9745e5caf0ea5a6dcfacda80a3ca7d97eb251b276efa5909f607112e82 SHA1: d2fd4227e8f03d3a5bf4431c1afda5caa444c1af MD5sum: 4856f5bff76826d4386bae106178d2c7 Description: model to synchronize mutiple instances over DBus - dev files libdee is a shared library that provides objects that help having multiple instances communicating over DBus. . This package contains files that are needed to build applications. Package: libdee-doc Source: dee Version: 1.0.10-3 Installed-Size: 695 Maintainer: Kartik Mistry Architecture: all Suggests: devhelp Size: 81772 SHA256: cef559a17096d52442a46cf1ee7b5844e5117d03c173ba50bd0466391d7832ed SHA1: 6b5bb35e0a1d7bc31da1b78ccf066083bc28010d MD5sum: 6e6b0accfea78afe911a7b08c72b252e Description: model to synchronize mutiple instances over DBus - documentation libdee is a shared library that provides objects that help having multiple instances communicating over DBus. . This package contains the documentation. Homepage: https://launchpad.net/dee Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/d/dee/libdee-doc_1.0.10-3_all.deb Package: libdelimmatch-perl Source: delimmatch Version: 1.06a-4 Installed-Size: 100 Maintainer: Peter Eisentraut Architecture: all Depends: perl (>= 5.6.0-16) Size: 15046 SHA256: 343afed0f8317d3cf35168f88d893d9c3db17cc3543c18b476185d60cc95ec43 SHA1: 3fa36864031f4967dec35cca309dca9756cac9ce MD5sum: 6a98acb672ac78e28807da6bf82d3b9f Description: Perl module to match delimited substrings DelimMatch allows you to match delimited substrings in a buffer. The delimiters can be specified with any regular expression and the start and end delimiters need not be the same. If the delimited text is properly nested, entire nested groups are returned. . In addition, you may specify quoting and escaping characters that contribute to the recognition of start and end delimiters. Homepage: http://search.cpan.org/dist/DelimMatch/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::searching Section: perl Priority: optional Filename: pool/main/d/delimmatch/libdelimmatch-perl_1.06a-4_all.deb Package: libdeprecated-ruby Source: ruby-deprecated Version: 3.0.0-1 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-deprecated Size: 2562 SHA256: 89994a2c8bdfcf21a795e19d9db4228bf73a0daea0991bbd66bd4dd89a2c891b SHA1: 4e56941e8783d0679aeac41026f4b7aaffea87ad MD5sum: 1a9169d65ad2e34b82e8f5324375aad5 Description: Transitional package for ruby-deprecated This is a transitional package to ease upgrades to the ruby-deprecated package. It can safely be removed. Homepage: https://github.com/erikh/deprecated Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::devel-lib, role::metapackage, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-deprecated/libdeprecated-ruby_3.0.0-1_all.deb Package: libdeprecated-ruby1.8 Source: ruby-deprecated Version: 3.0.0-1 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-deprecated Size: 2568 SHA256: 1156eaa5235f653a3edb7b12c1b2f276f2aa791fd34dce8cff78e09621daa2d6 SHA1: 5f24b536e75c77cdf5d7b4d5dfd143d86540c4ba MD5sum: 9fc41ca18adab81b905f512b71384e12 Description: Transitional package for ruby-deprecated This is a transitional package to ease upgrades to the ruby-deprecated package. It can safely be removed. Homepage: https://github.com/erikh/deprecated Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::devel-lib, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-deprecated/libdeprecated-ruby1.8_3.0.0-1_all.deb Package: libdeprecated-ruby1.9.1 Source: ruby-deprecated Version: 3.0.0-1 Installed-Size: 32 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-deprecated Size: 2568 SHA256: eb93b7bc072caed8193b3bc85a9cca1c17eb97291fa9aae32be0944c2b3b5f1d SHA1: 1f2aed3c561548671f763cd93fdbf1eb30a85268 MD5sum: 94f16aff4052faab119ae4b39b056bec Description: Transitional package for ruby-deprecated This is a transitional package to ease upgrades to the ruby-deprecated package. It can safely be removed. Homepage: https://github.com/erikh/deprecated Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::devel-lib, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-deprecated/libdeprecated-ruby1.9.1_3.0.0-1_all.deb Package: libdeps-perl Source: deps Version: 0.13-1.1 Installed-Size: 188 Maintainer: Yann Dirson Architecture: all Replaces: graph-includes (<< 0.12) Depends: libset-object-perl, perl (>= 5.6.0-16) Recommends: libdeps-renderer-dot-perl | libdeps-renderer Conflicts: graph-includes (<< 0.12) Size: 19292 SHA256: 85927fdfb21b2024e08b14ac76c33bf4d8a8a46e08cdd6498d8f019b587fc35f SHA1: 55a703da90ec5c05d9d4f286c053b4db6301fc4b MD5sum: 6f59581d40be2b21dfba5b3928212163 Description: Dependency Extraction and Processing System Toolkit to extract internal dependencies from a project, manipulate the dependency graph in arbitrary ways, and produce graphs to help in managing your project, including locating abusive #includes. . It aims to be fully generic and pluggable, but is still under development. However, several aspects are already possible to customize. Eg, you can provide your own graph transformations, styling rules, and graph renderers. Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/d/deps/libdeps-perl_0.13-1.1_all.deb Package: libdeps-renderer-dot-perl Source: deps Version: 0.13-1.1 Installed-Size: 48 Maintainer: Yann Dirson Architecture: all Replaces: graph-includes (<< 0.12) Provides: libdeps-renderer Depends: graphviz, libdeps-perl, perl (>= 5.6.0-16) Enhances: libdeps-perl Conflicts: graph-includes (<< 0.12) Size: 3930 SHA256: 3c9227c805308d6a72e53041f636905e6efd26b9d5cde713e4c82e56a2a87abb SHA1: 258c6b266b398d48c03e1f176b12aa83d58ebaf5 MD5sum: edacc5ab59485dbcd2c9726548a1fe12 Description: DEPS renderer plugin using GraphViz/dot Graphviz output support for the Dependency Extraction and Processing System. Highly recommended. Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/d/deps/libdeps-renderer-dot-perl_0.13-1.1_all.deb Package: libdeps-renderer-tulip-perl Source: deps Version: 0.13-1.1 Installed-Size: 48 Maintainer: Yann Dirson Architecture: all Replaces: graph-includes (<< 0.12) Provides: libdeps-renderer Depends: libdeps-perl, perl (>= 5.6.0-16), tulip Enhances: libdeps-perl Conflicts: graph-includes (<< 0.12) Size: 3128 SHA256: 7cf49030f851b758fa24daa628ef042a0d1a1aaa724607ac713d09826949e59f SHA1: cdcac4d6e55fac7942917861946e6d50263d3763 MD5sum: 71eef961be1aeb75cc4cae1c7e01fc6a Description: DEPS renderer plugin using Tulip Tulip output support for the Dependency Extraction and Processing System. Tulip can render graphs in three dimensions using OpenGL, but this plugin is currently very limited. Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/d/deps/libdeps-renderer-tulip-perl_0.13-1.1_all.deb Package: libderiving-ocaml Source: ocaml-deriving Version: 0.1.1a-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 105 Depends: ocaml-base-nox-3.12.1 Recommends: ocaml-findlib Provides: libderiving-ocaml-fvfn1 Homepage: http://code.google.com/p/deriving/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-deriving/libderiving-ocaml_0.1.1a-3_armhf.deb Size: 18370 SHA256: 77827dfa39cb010291aa96477c9fe50b7190991f92a0b8de38cc3daa57697131 SHA1: dffcec658499f862910f85a83c543e2e0ad06178 MD5sum: d05725407dae33e8f0460ab67a14baeb Description: deriving functions from type declarations in OCaml (runtime) Camlp4 extension to OCaml for deriving functions from type declarations. Includes derivers for pretty-printing, type-safe marshalling with structure-sharing, dynamic typing, equality, and more. . This package contains the shared runtime libraries. Package: libderiving-ocaml-dev Source: ocaml-deriving Version: 0.1.1a-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 2822 Depends: camlp4-3.12.1, libderiving-ocaml-fvfn1, ocaml-nox-3.12.1 Recommends: ocaml-findlib Provides: libderiving-ocaml-dev-fvfn1 Homepage: http://code.google.com/p/deriving/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-deriving/libderiving-ocaml-dev_0.1.1a-3_armhf.deb Size: 340458 SHA256: 14b2b40d28682d9fa5203ce4224c14b152268bbecaf24cf84b23d8a9e006ec94 SHA1: d6fa3c3163a4eb6e76c057fcbce94e60b20d8d93 MD5sum: 2bad63da1ebb7979e0303cb73e47cb18 Description: deriving functions from type declarations in OCaml (devt files) Camlp4 extension to OCaml for deriving functions from type declarations. Includes derivers for pretty-printing, type-safe marshalling with structure-sharing, dynamic typing, equality, and more. Package: libderiving-ocsigen-ocaml Source: ocaml-deriving-ocsigen Version: 0.3c-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 106 Depends: ocaml-base-nox-3.12.1 Recommends: ocaml-findlib Provides: libderiving-ocsigen-ocaml-qg4v1 Homepage: https://github.com/hnrgrgr/deriving Priority: optional Section: ocaml Filename: pool/main/o/ocaml-deriving-ocsigen/libderiving-ocsigen-ocaml_0.3c-1_armhf.deb Size: 18746 SHA256: e7cbcba699bbcedb295f485e5b15d6c495d9c82aee4c92fcdbf83a5bb055b45a SHA1: 13ebe603100f37128caddaab173e7e439ed2206e MD5sum: 9adc21f81e6f5bf1c92406cb7f97196b Description: deriving functions from type declarations in OCaml (runtime) Camlp4 extension to OCaml for deriving functions from type declarations. Includes derivers for pretty-printing, type-safe marshalling with structure-sharing, dynamic typing, equality, and more. . This is the version maintained by the Ocsigen project. . This package contains the shared runtime libraries. Package: libderiving-ocsigen-ocaml-dev Source: ocaml-deriving-ocsigen Version: 0.3c-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 2083 Depends: camlp4-3.12.1, libderiving-ocsigen-ocaml-qg4v1, libtype-conv-camlp4-dev-oich0, ocaml-nox-3.12.1 Recommends: ocaml-findlib Provides: libderiving-ocsigen-ocaml-dev-qg4v1 Homepage: https://github.com/hnrgrgr/deriving Priority: optional Section: ocaml Filename: pool/main/o/ocaml-deriving-ocsigen/libderiving-ocsigen-ocaml-dev_0.3c-1_armhf.deb Size: 228906 SHA256: 69da0a05ab8a8b6b494ab9ee4be6f2922581e3039b80e1ad72e1b9a52dfced91 SHA1: 6c1d764531a96737648f4d6c9369a3fd60dfc76f MD5sum: 7dcfad46a1e24101c46dd0189e10d08a Description: deriving functions from type declarations in OCaml (devt files) Camlp4 extension to OCaml for deriving functions from type declarations. Includes derivers for pretty-printing, type-safe marshalling with structure-sharing, dynamic typing, equality, and more. . This is the version maintained by the Ocsigen project. Package: libdesktop-agnostic-bin Source: libdesktop-agnostic Version: 0.3.92+dfsg-1 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 62 Depends: libc6 (>= 2.13-28), libdesktop-agnostic0 (= 0.3.92+dfsg-1), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.8.0), libdesktop-agnostic-cfg-gconf Homepage: https://launchpad.net/libdesktop-agnostic Priority: extra Section: libs Filename: pool/main/libd/libdesktop-agnostic/libdesktop-agnostic-bin_0.3.92+dfsg-1_armhf.deb Size: 13296 SHA256: 4267db3af27ab0e1ac62b1ce4236209f538f284a75d4f9b4795dfcef990ace43 SHA1: 29588b9aef109c2a5a966b4918419a054c8b555a MD5sum: 8edd200a0a454bcfc8358bf10709e720 Description: Helper applications for libdesktop-agnostic This library provides an extensible configuration API, a unified virtual file system API, and a desktop item editor (all with pluggable backends) for GLib-based projects. It is not tied to any one desktop environment, although there are desktop-specific modules. . This package contains helper applications for libdesktop-agnostic, such as a schema converter. Package: libdesktop-agnostic-cfg-gconf Source: libdesktop-agnostic Version: 0.3.92+dfsg-1 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 87 Depends: gconf-service, libc6 (>= 2.13-28), libdesktop-agnostic0 (= 0.3.92+dfsg-1), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.30.0) Provides: libdesktop-agnostic-cfg Homepage: https://launchpad.net/libdesktop-agnostic Priority: extra Section: libs Filename: pool/main/libd/libdesktop-agnostic/libdesktop-agnostic-cfg-gconf_0.3.92+dfsg-1_armhf.deb Size: 19410 SHA256: 5e48e024feba3e2211ed87d668a38a0a34384fd754e0009ad31e0207423894fa SHA1: bcc105634466584b418a6839795009ba7e0c6544 MD5sum: d336a680807add8632de35c9895bf809 Description: GConf-based configuration module for libdesktop-agnostic This library provides an extensible configuration API, a unified virtual file system API, and a desktop item editor (all with pluggable backends) for GLib-based projects. It is not tied to any one desktop environment, although there are desktop-specific modules. . This package contains the GConf module. Package: libdesktop-agnostic-cfg-keyfile Source: libdesktop-agnostic Version: 0.3.92+dfsg-1 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 90 Depends: libc6 (>= 2.13-28), libdesktop-agnostic0 (= 0.3.92+dfsg-1), libglib2.0-0 (>= 2.30.0) Provides: libdesktop-agnostic-cfg Homepage: https://launchpad.net/libdesktop-agnostic Priority: extra Section: libs Filename: pool/main/libd/libdesktop-agnostic/libdesktop-agnostic-cfg-keyfile_0.3.92+dfsg-1_armhf.deb Size: 20234 SHA256: 77023b22026eb2cd9c28bb38092e6e1b86387e84cecb034eda643b169d31f2e0 SHA1: 3ac7648e7e00877921cd833b4316b24d6265ded1 MD5sum: 1b75477fc60079122b19ca3470d5a15d Description: Keyfile-based configuration module for libdesktop-agnostic This library provides an extensible configuration API, a unified virtual file system API, and a desktop item editor (all with pluggable backends) for GLib-based projects. It is not tied to any one desktop environment, although there are desktop-specific modules. . This package contains the keyfile-based configuration module. Package: libdesktop-agnostic-data Source: libdesktop-agnostic Version: 0.3.92+dfsg-1 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 45 Homepage: https://launchpad.net/libdesktop-agnostic Priority: extra Section: libs Filename: pool/main/libd/libdesktop-agnostic/libdesktop-agnostic-data_0.3.92+dfsg-1_armhf.deb Size: 5810 SHA256: 550ff082ef80c3a7617e05458b4bc07dfb81aef482ac423525d5c4663dabcad5 SHA1: a814cd71afa23c3bac77b9b7b28cdb51ca221a65 MD5sum: 39c1983dd5a87de81a28d4020381f45c Description: Locales and data for libdesktop-agnostic This library provides an extensible configuration API, a unified virtual file system API, and a desktop item editor (all with pluggable backends) for GLib-based projects. It is not tied to any one desktop environment, although there are desktop-specific modules. . This package contains the locales for libdesktop-agnostic. Package: libdesktop-agnostic-dev Source: libdesktop-agnostic Version: 0.3.92+dfsg-1 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 162 Depends: libdesktop-agnostic0 (= 0.3.92+dfsg-1), libglib2.0-dev, libgtk2.0-dev Suggests: glade (>= 3) | glade-3 Conflicts: vala-desktop-agnostic Replaces: vala-desktop-agnostic Homepage: https://launchpad.net/libdesktop-agnostic Priority: extra Section: libdevel Filename: pool/main/libd/libdesktop-agnostic/libdesktop-agnostic-dev_0.3.92+dfsg-1_armhf.deb Size: 18114 SHA256: d676b65b6c12538168bef2c5244d51df0a72d21fa0cb7e01cd659ec9dc0498c9 SHA1: 5bf46885e02977869797a5e9875597d5630a8cc5 MD5sum: 7b15c412c888fde6fd03a8ecb575874e Description: Development files for libdesktop-agnostic This library provides an extensible configuration API, a unified virtual file system API, and a desktop item editor (all with pluggable backends) for GLib-based projects. It is not tied to any one desktop environment, although there are desktop-specific modules. . This package contains the development files for the core library. Package: libdesktop-agnostic-doc Source: libdesktop-agnostic Version: 0.3.92+dfsg-1 Installed-Size: 94 Maintainer: Julien Lavergne Architecture: all Depends: libjs-sphinxdoc (>= 1.0) Size: 31072 SHA256: 71f768a055799ea54d54b29cec4d1d954331b1e1bfbd59852fbf563d0fd7a8ed SHA1: 1c214ecabae04d3dd8f998d63345e5c20adbbc0c MD5sum: 118d050cd887bfbfb5004d5283cb2d1b Description: Documentation for libdesktop-agnostic This library provides an extensible configuration API, a unified virtual file system API, and a desktop item editor (all with pluggable backends) for GLib-based projects. It is not tied to any one desktop environment, although there are desktop-specific modules. . This package contains the documentation for the core library. Homepage: https://launchpad.net/libdesktop-agnostic Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/libd/libdesktop-agnostic/libdesktop-agnostic-doc_0.3.92+dfsg-1_all.deb Package: libdesktop-agnostic-fdo-gio Source: libdesktop-agnostic Version: 0.3.92+dfsg-1 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 67 Depends: libc6 (>= 2.13-28), libdesktop-agnostic0 (= 0.3.92+dfsg-1), libglib2.0-0 (>= 2.18.0) Provides: libdesktop-agnostic-fdo Homepage: https://launchpad.net/libdesktop-agnostic Priority: extra Section: libs Filename: pool/main/libd/libdesktop-agnostic/libdesktop-agnostic-fdo-gio_0.3.92+dfsg-1_armhf.deb Size: 13144 SHA256: 709b0ff0f80cb829d31c7beb2f2d49f870dbf5b742b92fd08fec088979f9471a SHA1: 1f09b389477b26b4e43883cfeb722406523e4b79 MD5sum: e23b6d460a90933c88f75ebfe7be867f Description: GIO-based desktop entry/menu module for libdesktop-agnostic This library provides an extensible configuration API, a unified virtual file system API, and a desktop item editor (all with pluggable backends) for GLib-based projects. It is not tied to any one desktop environment, although there are desktop-specific modules. . This package contains the GIO-based desktop entry/menu module. Package: libdesktop-agnostic-fdo-glib Source: libdesktop-agnostic Version: 0.3.92+dfsg-1 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 77 Depends: libc6 (>= 2.13-28), libdesktop-agnostic0 (= 0.3.92+dfsg-1), libglib2.0-0 (>= 2.24.0) Provides: libdesktop-agnostic-fdo Homepage: https://launchpad.net/libdesktop-agnostic Priority: extra Section: libs Filename: pool/main/libd/libdesktop-agnostic/libdesktop-agnostic-fdo-glib_0.3.92+dfsg-1_armhf.deb Size: 16636 SHA256: 9f55cfccd0318ba005425a67b4592ac2ae82c67b44dd440ae721c3fe25435934 SHA1: 0dee1ebc20db4012e6ae88c4183764462d67aa14 MD5sum: f5d6d38a606d6441c075185e52897a59 Description: GLib-based desktop entry/menu module for libdesktop-agnostic This library provides an extensible configuration API, a unified virtual file system API, and a desktop item editor (all with pluggable backends) for GLib-based projects. It is not tied to any one desktop environment, although there are desktop-specific modules. . This package contains the GLib-based desktop entry/menu module. Package: libdesktop-agnostic-fdo-gnome Source: libdesktop-agnostic Version: 0.3.92+dfsg-1 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 61 Depends: libc6 (>= 2.13-28), libdesktop-agnostic0 (= 0.3.92+dfsg-1), libglib2.0-0 (>= 2.24.0), libgnome-desktop-2-17, libgtk2.0-0 (>= 2.8.0) Provides: libdesktop-agnostic-fdo Homepage: https://launchpad.net/libdesktop-agnostic Priority: extra Section: libs Filename: pool/main/libd/libdesktop-agnostic/libdesktop-agnostic-fdo-gnome_0.3.92+dfsg-1_armhf.deb Size: 11288 SHA256: 724ba041ed1bf485fdd0434f6a8ead87a6614c2700057665091e65cc534e27ca SHA1: 0e4e8c24e6329cb46463ac8678f53a0cfde413cb MD5sum: 9cb4ccc4ad0fd069e6762a4a1d2dc5ae Description: GNOME-based desktop entry/menu module for libdesktop-agnostic This library provides an extensible configuration API, a unified virtual file system API, and a desktop item editor (all with pluggable backends) for GLib-based projects. It is not tied to any one desktop environment, although there are desktop-specific modules. . This package contains the GNOME-based desktop entry/menu module. Package: libdesktop-agnostic-vfs-gio Source: libdesktop-agnostic Version: 0.3.92+dfsg-1 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 95 Depends: libc6 (>= 2.13-28), libdesktop-agnostic0 (= 0.3.92+dfsg-1), libglib2.0-0 (>= 2.24.0) Provides: libdesktop-agnostic-vfs Homepage: https://launchpad.net/libdesktop-agnostic Priority: extra Section: libs Filename: pool/main/libd/libdesktop-agnostic/libdesktop-agnostic-vfs-gio_0.3.92+dfsg-1_armhf.deb Size: 20932 SHA256: 5ea36c9d7cad630ce4415b41439db871ff34209d00a9f72e9fb1b950ae02aa4d SHA1: 246e85e24594b8f73b67ed72187aeff890dc5163 MD5sum: 6d681fb92d2ae3dc807c5aaa402d6530 Description: GIO-based VFS module for libdesktop-agnostic This library provides an extensible configuration API, a unified virtual file system API, and a desktop item editor (all with pluggable backends) for GLib-based projects. It is not tied to any one desktop environment, although there are desktop-specific modules. . This package contains the GIO module. Package: libdesktop-agnostic0 Source: libdesktop-agnostic Version: 0.3.92+dfsg-1 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 365 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.18.0), libdesktop-agnostic-data (>= 0.3.92+dfsg-1) Suggests: libdesktop-agnostic-vfs, libdesktop-agnostic-cfg, libdesktop-agnostic-fdo Homepage: https://launchpad.net/libdesktop-agnostic Priority: extra Section: libs Filename: pool/main/libd/libdesktop-agnostic/libdesktop-agnostic0_0.3.92+dfsg-1_armhf.deb Size: 95936 SHA256: 4f86ea2a33c4f03ee20763b4d318e9a79afb7cb9025f1ab2c136238b678d8511 SHA1: 461c793dd11fbf5efabf2d784a39737d5f4d5c9c MD5sum: 3a065b761f941ec2e3de89c0008850ad Description: Desktop-agnostic library for GLib-based projects This library provides an extensible configuration API, a unified virtual file system API, and a desktop item editor (all with pluggable backends) for GLib-based projects. It is not tied to any one desktop environment, although there are desktop-specific modules. . This package contains the core library. Package: libdesktop-agnostic0-dbg Source: libdesktop-agnostic Version: 0.3.92+dfsg-1 Architecture: armhf Maintainer: Julien Lavergne Installed-Size: 1061 Depends: libdesktop-agnostic0 (= 0.3.92+dfsg-1) Homepage: https://launchpad.net/libdesktop-agnostic Priority: extra Section: debug Filename: pool/main/libd/libdesktop-agnostic/libdesktop-agnostic0-dbg_0.3.92+dfsg-1_armhf.deb Size: 372060 SHA256: 2114a80b22e5d7d8e538a8e4a8986b1f2e60db6d90c53784bfa1e714858c14bf SHA1: 4a94e581d8451688413623f0e829a67a013f3a7b MD5sum: 4f347b972be0a0f5a594f5edbc70275e Description: Debugging symbols for libdesktop-agnostic This library provides an extensible configuration API, a unified virtual file system API, and a desktop item editor (all with pluggable backends) for GLib-based projects. It is not tied to any one desktop environment, although there are desktop-specific modules. . This package contains the debugging symbols for the core library. Package: libdesktop-notify-perl Version: 0.03-1 Installed-Size: 80 Maintainer: Jose Parrella Architecture: all Depends: perl, libnet-dbus-perl, libclass-accessor-perl Recommends: notification-daemon Size: 12700 SHA256: 0f70a839a50198159e5a449c2c6931ddc3320c741ba9d33927ba8c7fe8b2b73c SHA1: d01f1a2b2624eb2eee73b5da2926cfebbc2607dd MD5sum: d95d26d167d977ff49acba8d07f111c5 Description: Perl module which communicates with the Desktop Notifications framework This module provides a Perl interface to the Desktop Notifications framework. . The framework allows applications to display pop-up notifications on an X desktop. This is implemented with two components: a daemon that displays the notifications, and a client library used by applications to send notifications to the daemon. These components communicate through the DBus message bus protocol. . This module serves the same purpose as libnotify, in an object-oriented Perl interface. It is not, however, an interface to libnotify itself, but a separate implementation of the specification using Net::DBus. Homepage: http://search.cpan.org/dist/Desktop-Notify/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdesktop-notify-perl/libdesktop-notify-perl_0.03-1_all.deb Package: libdessert0.87 Version: 0.87.2-1 Architecture: armhf Maintainer: David Gutzmann Installed-Size: 307 Depends: libc6 (>= 2.13-28), libcli1.9, libpcap0.8 (>= 0.9.8), libsnmp15 (>= 5.4.3~dfsg) Homepage: http://www.des-testbed.net/DES-SERT Priority: extra Section: libs Filename: pool/main/libd/libdessert0.87/libdessert0.87_0.87.2-1_armhf.deb Size: 78576 SHA256: f9ec55144e79dbc9e6be5c3e6eded63b048d2fa9857fb9c30ea7a277aa96943f SHA1: 3339303f99e45e095c7f7c605bddf3796ed4d58c MD5sum: 6e35cc0709b38e5b3f4756de7668e774 Description: a simple and extensible routing-framework for testbeds DES-SERT is a framework written as ANSI-C library. While generally usable in many application scenarios, it is primarily used in DES-Mesh, the multi-transceiver wireless mesh network testbed part of the DES-Testbed. DES-SERT enables the implementation of routing protocols via an underlay in user space. . DES-SERT routing daemons utilize libpcap to receive packets from the network resp. to send them. Locally generated packets or packets destined to this host use a TUN or TAP interface as access point to the operating system protocol stack. Package: libdessert0.87-dev Source: libdessert0.87 Version: 0.87.2-1 Architecture: armhf Maintainer: David Gutzmann Installed-Size: 1066 Depends: libdessert0.87 (= 0.87.2-1), libcli-dev, libpcap0.8-dev, uthash-dev Conflicts: libdessert-dev Replaces: libdessert-dev Provides: libdessert-dev Homepage: http://www.des-testbed.net/DES-SERT Priority: extra Section: libdevel Filename: pool/main/libd/libdessert0.87/libdessert0.87-dev_0.87.2-1_armhf.deb Size: 390994 SHA256: 42c3480475c5e9d0ad55205788aaddf8f8d75007922c04b86910d2916286011a SHA1: 2b12b8efa39a8695e63c0edb7645756deb84c220 MD5sum: 42ad9fb9dc94985118fad441038194da Description: header file and documentation for libdessert0.87 This packet contains the header file and documentation for the libdessert library. . DES-SERT is a framework written as ANSI-C library. While generally usable in many application scenarios, it is primarily used in DES-Mesh, the multi-transceiver wireless mesh network testbed part of the DES-Testbed. DES-SERT enables the implementation of routing protocols via an underlay in user space. . DES-SERT routing daemons utilize libpcap to receive packets from the network resp. to send them. Locally generated packets or packets destined to this host use a TUN or TAP interface as access point to the operating system protocol stack. Package: libdevel-argnames-perl Version: 0.03-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libpadwalker-perl Size: 6020 SHA256: 1890c07e3fbd82ecc183891388c1105c0e13529529256c003cb76745ae456e8b SHA1: d9e690bfdfeeb244e1f51f6f2d39aca95652885a MD5sum: 6bc1ea3033deb11b326ef03cd2beae94 Description: module providing access to subroutine variable names Devel::ArgNames provides an arg_names function that returns the names associated with @_. This makes it easy to define a more user friendly debugging function that prints out the values passed to a subroutine together with the associated variable names. Homepage: http://search.cpan.org/dist/Devel-ArgNames/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-argnames-perl/libdevel-argnames-perl_0.03-1_all.deb Package: libdevel-backtrace-perl Version: 0.12-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libclass-accessor-perl, libstring-escape-perl Size: 24786 SHA256: 93a9fbeb513550222c114ad09010c7be554b5f15b4014ab539916204bb656892 SHA1: 10035070aadad2b5518547dde211249db6589e62 MD5sum: 0fc4eb93bdfa4402bd1daff1438a4b42 Description: Object-oriented backtrace Devel::Backtrace provides methods for accessing backtrace information, i.e. it allows to see the "route" through a script. It returns short or detailed information about backtrace points as strings. . The included Devel::DollarAt module gives some additional functionality to the $@ scalar, i.e. it makes interpreting exceptions easier. Homepage: http://search.cpan.org/dist/Devel-Backtrace/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-backtrace-perl/libdevel-backtrace-perl_0.12-1_all.deb Package: libdevel-beginlift-perl Version: 0.001003-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 79 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libb-hooks-op-check-entersubforcv-perl (>= 0.03) Homepage: http://search.cpan.org/dist/Devel-BeginLift/ Priority: optional Section: perl Filename: pool/main/libd/libdevel-beginlift-perl/libdevel-beginlift-perl_0.001003-1_armhf.deb Size: 9816 SHA256: 50553af019721be03bc3e498d5a1dfd53c5084e9b3fe2368d4820f4ad688b97a SHA1: ec0fe1d0a5bab8ab3f502ec553ebf38e23f88175 MD5sum: 04cdfc0471a6f6d43758f5fdab3e8495 Description: make selected sub calls evaluate at compile time Devel::BeginLift 'lifts' arbitrary sub calls to running at compile time - sort of a souped up version of "use constant". It does this via some slightly insane perlguts magic. Package: libdevel-bt-perl Version: 0.05-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 72 Depends: perl (>= 5.14.2-11), perlapi-5.14.2, libc6 (>= 2.13-28), libfile-which-perl, gdb Homepage: http://search.cpan.org/dist/Devel-bt/ Priority: optional Section: perl Filename: pool/main/libd/libdevel-bt-perl/libdevel-bt-perl_0.05-1_armhf.deb Size: 11838 SHA256: e72871ceeba4f38eec2af5f3bc3f3f0a5094d83f6f419f395b5020e5a59d7837 SHA1: d3999e0315ff284b9370f25b0337bc3d071f28a8 MD5sum: 85386a5907875b38420f5f7b956a7e18 Description: module providing automatic gdb backtraces on errors Devel::bt, when enabled, registers a handler for certain types of fatal errors, like segmentation faults, and, once such an error occurs, prints a debugger backtrace to standard output before exiting the program. . It is intended to be used to debug crashes in situations where running the failing program directly under a debugger is not possible, for example when trying to get more information from cpantesters or from users unfamiliar with gdb. Package: libdevel-caller-ignorenamespaces-perl Version: 1.0-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 5808 SHA256: 55c51ecb15012b893922572b460459915a7cb0562f3cc19add0488cfeba0d290 SHA1: 9ba00883f57ae09cd89897a1095840c620182d30 MD5sum: ca031f63d4c3b9bbfdc534a663685239 Description: module for hiding namespaces from caller() Devel::Caller::IgnoreNamespaces is a Perl module designed to hide namespaces from caller(). It allows you to register namespaces that should be hidden by means of a replacement caller() function. Homepage: http://search.cpan.org/dist/Devel-Caller-IgnoreNamespaces/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-caller-ignorenamespaces-perl/libdevel-caller-ignorenamespaces-perl_1.0-1_all.deb Package: libdevel-caller-perl Version: 2.05-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 75 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libpadwalker-perl Homepage: http://search.cpan.org/dist/Devel-Caller/ Priority: optional Section: perl Filename: pool/main/libd/libdevel-caller-perl/libdevel-caller-perl_2.05-1_armhf.deb Size: 12358 SHA256: 95008858e19a6ca440d4d97b2261bfd8a6778fa2e4e6b9af00e17312b25a01c9 SHA1: 0b9a3fef57b761a3e91b56f0281942078f459856 MD5sum: fa94d6d8d5efad8fb51473112314d76b Description: module providing enhanced caller() support Devel::Caller is a Perl module that provides several useful functions for introspecting your code deeper than the internal function "caller()" does. It allows you to access arguments passed to a function or even retrieve the code reference of the subroutine being invoked at the call frame indicated by the given level. Package: libdevel-calltrace-perl Version: 1.2-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 6682 SHA256: ecb6add33a0f1aec455a76741f0353c2db1b0926704b0f5780837308b8e2cc98 SHA1: 68d4dec22f4a59d11a1a750ff5367ba6e31b723e MD5sum: 2717459faf8a8482e0844f5d1286817e Description: Code tracer to follow function calls There are a number of perl modules in the CPAN that are designed to trace a program's execution as it runs. Each uses a different trick to do its job, but none of them quite met my needs. The technique this module uses is quite simple and seems to be quite robust. Homepage: http://search.cpan.org/dist/Devel-CallTrace/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-calltrace-perl/libdevel-calltrace-perl_1.2-1_all.deb Package: libdevel-checklib-perl Version: 0.98-1 Installed-Size: 75 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 17486 SHA256: 5837069c44796783ebb48d9663f1be179d2cccc56a7c8e525b1d3cd7ac5a33dc SHA1: b842ec6205be1ab2c603d64b5f939b16cc9ab979 MD5sum: 7109bded1f5ed2ded2bf725590f1e152 Description: module for checking the availability of a library Devel::CheckLib is a perl module that checks whether a particular C library and its headers are available. . It works by trying to compile some code and linking it to the specified libraries. If something pops out the end which looks executable, it gets executed. That tiny program is built once for each specified library, and (without linking) once for each header file. . It is also possible to check for the presence of particular functions in a library, or even that those functions return particular results. Homepage: http://search.cpan.org/dist/Devel-CheckLib/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-checklib-perl/libdevel-checklib-perl_0.98-1_all.deb Package: libdevel-cover-perl Version: 0.89-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 561 Depends: perl (>= 5.14.2-11), perlapi-5.14.2, libc6 (>= 2.13-28), perl (<< 5.14.3~) Suggests: libbrowser-open-perl, libjson-xs-perl | libjson-pp-perl, libpod-coverage-perl, libppi-html-perl | perltidy, libtemplate-perl, libtest-differences-perl Homepage: http://search.cpan.org/dist/Devel-Cover/ Priority: optional Section: perl Filename: pool/main/libd/libdevel-cover-perl/libdevel-cover-perl_0.89-1_armhf.deb Size: 211366 SHA256: 920ed0c7397c499e68a3fd2e91edc303d129d99bb0479320b1a66e8d37da48b7 SHA1: ca0f0d5c607a8011e7325090f268a340d0a22b2f MD5sum: d2b0c4cfc2dab288941e94418524543c Description: Perl tool for determining code coverage metrics Devel::Cover is a Perl module that helps collect and display code coverage information. Code coverage information is collected using a pluggable runops function which counts how many times each op is executed. This data is then mapped back to reality using the B compiler modules. There is also a another statement profiler which needs a better backend to be really useful. . Statement, branch, condition, subroutine, pod and time coverage information is reported. Statement coverage data should be reasonable, although there may be some statements which are not reported. Branch and condition coverage data should be mostly accurate too, although not always what one might initially expect. Subroutine coverage should be as accurate as statement coverage. Pod coverage comes from Pod::Coverage. If Pod::Coverage::CountParents is available it will be used instead. Coverage data for path coverage are not yet collected. . The cover program can be used to generate coverage reports. Another included program, gcov2perl, can convert gcov files to Devel::Cover databases. Package: libdevel-cycle-perl Version: 1.11-2 Installed-Size: 61 Maintainer: Debian Perl Group Architecture: all Depends: perl Suggests: libpadwalker-perl Size: 12576 SHA256: d54238c2f239dfbacb0dd86b34ca1f5754de9133495b8a2aecd8bcf2053c5163 SHA1: 0fc740f3ce85c781ce9bd9cba3c83c773fd85f68 MD5sum: fa44b79f7301224e82936854524d3b69 Description: Perl module to detect memory cycles in Perl objects Devel::Cycle is a developer's tool for finding memory cycles in Perl objects. Since Perl provides its automatic memory management using reference counting, cyclical/circular references will result in memory that will never be freed. Optionally, PadWalker (libpadwalker-perl) module enables reporting of cycles in code closures as well. Homepage: http://search.cpan.org/dist/Devel-Cycle/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-cycle-perl/libdevel-cycle-perl_1.11-2_all.deb Package: libdevel-declare-perl Version: 0.006011-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 137 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libb-hooks-endofscope-perl, libb-hooks-op-check-perl (>= 0.19), libsub-name-perl Homepage: http://search.cpan.org/dist/Devel-Declare/ Priority: optional Section: perl Filename: pool/main/libd/libdevel-declare-perl/libdevel-declare-perl_0.006011-1_armhf.deb Size: 37066 SHA256: c383192261d6e3ab9ac3b6694567d09b52bd430bb00ec852642f3ce4208e710d SHA1: 066e4cb5c00c89f8f72bd223fc03e842a9e0fdce MD5sum: d75c4f10296c89418f3ea06a2a3d8cbc Description: module to add new syntax to Perl Devel::Declare can install subroutines called declarators which locally take over control of Perl's parser, allowing the creation of new syntax, including keywords and other constructs that might not be, in themselves, valid Perl 5 code. . This module can be used for adding new keywords, completely in Perl code. It allows a subroutine to be executed during the Perl code compilation, meaning that syntax can be transformed into equivalent code for Perl on-the-fly. Package: libdevel-dprof-perl Version: 20110802.00-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 126 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Devel-DProf/ Priority: optional Section: perl Filename: pool/main/libd/libdevel-dprof-perl/libdevel-dprof-perl_20110802.00-1_armhf.deb Size: 34726 SHA256: b65835ba43e49c45ba05b9fbde2013870f4af737a3a046607301f3ef641ccb3f SHA1: 1e42af028af78d1ee67ad454f7892126566182bc MD5sum: 0be65c1f2a78e6c6b9bbb5e89e6e3083 Description: deprecated Perl code profiler The Devel::DProf package is a Perl code profiler. This will collect information on the execution time of a Perl script and of the subs in that script. This information can be used to determine which subroutines are using the most time and which subroutines are being called most often. This information can also be used to create an execution graph of the script, showing subroutine relationships. . Devel::DProf is deprecated. It is recommended that you install and use Devel::NYTProf (in the package libdevel-nytprof-perl) instead, as it offers significantly improved profiling and reporting. Package: libdevel-dumpvar-perl Version: 1.06-1 Installed-Size: 56 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 10858 SHA256: 1c5b8be03c92b6276ac8ba5b15b5f19b266408125c09675fa076ee4a87653352 SHA1: 8ad45a805073bf9211d514ff94874a2987095b00 MD5sum: bd6daad0f8a805cff546e9f61a5dd9cb Description: Perl module to dump Perl variables Devel::Dumpvar is a pure object-orientated reimplementation of the same functionality. This makes it much more versatile version to use for dumping information to debug log files or other uses where you don't need to reassemble the data. . Devel::Dumpvar is a rewritten version of dumpvar.pl, the module used by perl's debugger to display variables in human-readable form, containing a lot of extra information without the burden of needing to allow the dump to be re-assembled into the original data. Homepage: http://search.cpan.org/dist/Devel-Dumpvar/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-dumpvar-perl/libdevel-dumpvar-perl_1.06-1_all.deb Package: libdevel-ebug-perl Version: 0.53-1 Installed-Size: 133 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdevel-stacktrace-perl, libclass-accessor-chained-perl, libmodule-pluggable-perl, libpadwalker-perl, libproc-background-perl, libstring-koremutake-perl, libyaml-perl, netbase Size: 39344 SHA256: 1233381b54ddf44d9c08a071af4ecf476ad79b1e08ee81ef66d72b97eedc7f67 SHA1: bda2e02941bbba775e9a166c28007bf36eb55cf0 MD5sum: f3cf92c83b351f1f5e47eb525445773d Description: simple, extensible Perl debugger Devel::ebug is a simple and extensible Perl debugger with a clean API. Using this module, you may easily write a Perl debugger to debug your programs. Alternatively, it comes with an interactive debugger, ebug. . Perl's current debugger is currently 2,600 lines of magic and special cases. The code is nearly unreadable: fixing bugs and adding new features is fraught with difficulties. The debugger has no test suite which has caused breakage with changes that couldn't be properly tested. It will also not debug regexes. Devel::ebug is aimed at fixing these problems and delivering a replacement debugger which provides a well-tested simple programmatic interface to debugging programs. This makes it easier to build debuggers on top of Devel::ebug, be they console-, curses-, GUI- or Ajax-based. Homepage: http://search.cpan.org/dist/Devel-ebug/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-ebug-perl/libdevel-ebug-perl_0.53-1_all.deb Package: libdevel-findref-perl Version: 1.422-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 94 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libcommon-sense-perl Homepage: http://search.cpan.org/dist/Devel-FindRef/ Priority: optional Section: perl Filename: pool/main/libd/libdevel-findref-perl/libdevel-findref-perl_1.422-1_armhf.deb Size: 19296 SHA256: f6ac77b904779e7410f50524e8c92294212d22b683395d1a2a60a19bd13ad0a5 SHA1: 3e680b3e35b4572a55ac2e64e1a6304b4e845bc3 MD5sum: e0e73152dd0294ef2d9b4479fd5f1871 Description: Perl module to find variables referring to an object Devel::FindRef is a module that can help developers track down reference problems. Since Perl keeps track of everything internally, it is usually possible to take an object/scalar/what-have-you and find everything that is referring to it. . One common scenario is that some object goes out of scope and you expect it to be destroyed, but there are still references to it that keep it from being garbage collected. . This module can output a tree containing a list of variables that point to a given value, along with some context about them, such as their scope and where they are. Package: libdevel-gdb-perl Version: 2.02-1 Installed-Size: 112 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 25850 SHA256: e51f66240f1bd3918c71405e2a4f8684ca6901203ba2aee8719ccbbfa5dbcdac SHA1: b59d525c7c2d67b08f8841eabd981ae17ec5b978 MD5sum: 661a70313ff58c727802d9c1f67fbf4e Description: Open and communicate a gdb session The Devel::GDB package provides an interface for communicating with GDB. Internally, it uses the GDB/MI interpreter (see http://sourceware.org/gdb/current/onlinedocs/gdb_25.html), which accurately informs the caller of the program state and, through the use of tokens, guarantees that the results returned actually correspond to the request sent. By contrast, GDB's console interpreter returns all responses on STDOUT, and thus there is no way to ensure that a particular response corresponds to a particular request. . Therefore, it is obviously preferable to use GDB/MI when programmatically interacting with GDB. This can be done via the send_cmd family of functions (send_cmd, send_cmd_excl, and send_cmd_async). There are, however, some cases when there is no GDB/MI command corresponding to a particular console command, or it has not yet been implemented (for example, -symbol-type, corresponding to the console command ptype, is not yet implemented as of GDB 6.6). In this case, the get function provides a workaround by capturing all output sent to the console stream. Homepage: http://search.cpan.org/dist/Devel-GDB/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-gdb-perl/libdevel-gdb-perl_2.02-1_all.deb Package: libdevel-globaldestruction-perl Version: 0.06-1 Installed-Size: 50 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.14.0), libsub-exporter-perl Size: 8432 SHA256: 2bef3a46726fa7ec998e27f67615d1059474214e2cf397d78d2606e3beb5c9d8 SHA1: c6d2c4de058935e093794be7d43c77347b5a9713 MD5sum: 810dce3be97f11232c1aefcec966973b Description: module to expose the flag that marks global destruction Devel::GlobalDestruction is a Perl module that exposes the PL_dirty flag to Perl as a subroutine named in_global_destruction. It is useful when writing destructors, so that only non-process local resources are freed while in global destruction. Homepage: http://search.cpan.org/dist/Devel-GlobalDestruction/ Tag: devel::lang:perl, devel::library, implemented-in::c, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-globaldestruction-perl/libdevel-globaldestruction-perl_0.06-1_all.deb Package: libdevel-hide-perl Version: 0.0008-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 10760 SHA256: 9ee320ad15bb9b7aba222e499dfefd0c93bac06423ee281e198de8b1c903b269 SHA1: c77b8360435e2e9784705a4f684762593a990277 MD5sum: 169f0e62427b4d7d142f8080d3ea1b8d Description: module for forcing the unavailability of specified Perl modules (for testing) Given a list of Perl modules/filenames, Devel::Hide makes require and use statements fail (no matter the specified files/modules are installed or not). . They die with a message like: . Can't locate Module/ToHide.pm (hidden) . The original intent of Devel::Hide is to allow Perl developers to test for alternative behavior when some modules are not available. In a Perl installation, where many modules are already installed, there is a chance to screw things up because you take for granted things that may not be there in other machines. Homepage: http://search.cpan.org/dist/Devel-Hide/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-hide-perl/libdevel-hide-perl_0.0008-1_all.deb Package: libdevel-leak-perl Version: 0.03-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 73 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Devel-Leak/ Priority: optional Section: perl Filename: pool/main/libd/libdevel-leak-perl/libdevel-leak-perl_0.03-2_armhf.deb Size: 10390 SHA256: 2e4fcd688e137d7d42ccb7e49730fbf81bc88b326e1fae412a6d3620db0196ff SHA1: 1cb85fea62374e058f4e0420d75dfb8c6f159a66 MD5sum: 0c6127b5af3518634101d9c41085de7e Description: utility for looking for perl objects that are not reclaimed Devel::Leak has two functions: NoteSV and CheckSV. . NoteSV walks the perl internal table of allocated SVs (scalar values) - (which actually contains arrays and hashes too), and records their addresses in a table. It returns a count of these "things", and stores a pointer to the table (which is obtained from the heap using malloc()) in its argument. . CheckSV is passed argument which holds a pointer to a table created by NoteSV. It re-walks the perl-internals and calls sv_dump() for any "things" which did not exist when NoteSV was called. It returns a count of the number of "things" now allocated. Package: libdevel-lexalias-perl Version: 0.04-3 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 69 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libdevel-caller-perl Homepage: http://search.cpan.org/dist/Devel-LexAlias/ Priority: optional Section: perl Filename: pool/main/libd/libdevel-lexalias-perl/libdevel-lexalias-perl_0.04-3_armhf.deb Size: 9284 SHA256: c14be15fbba0beb926b89967075e3472771f394744dfc10c7f98ef6f73e243c6 SHA1: dc43c5b84b33c4318c6d2f25244c36db417aa6f6 MD5sum: 9084856873974d3579c38f90daa6b55b Description: Perl module that provides alias lexical variables Devel::LexAlias provides the ability to alias a lexical variable in a subroutines scope to one of your choosing. Package: libdevel-nytprof-perl Version: 4.06-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 1183 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), zlib1g (>= 1:1.1.4), libjs-jquery, libjson-any-perl Homepage: http://search.cpan.org/dist/Devel-NYTProf/ Priority: optional Section: perl Filename: pool/main/libd/libdevel-nytprof-perl/libdevel-nytprof-perl_4.06-1_armhf.deb Size: 422818 SHA256: ded3c5200342677a2e96b2a3661ce82d671e056ca703eac87d96fdcd91a84f66 SHA1: bb24894906ec8ae580f9b4a16f023a28d36d260f MD5sum: e6fff39b51e9ddaa641804d600bb912e Description: Perl statement and subroutine code profiler Devel::NYTProf is a powerful feature-rich perl source code profiler. . * Performs per-line statement profiling for fine detail * Performs per-subroutine statement profiling for overview * Performs per-block statement profiling (the first profiler to do so) * Accounts correctly for time spent after calls return * Performs inclusive and exclusive timing of subroutines * Subroutine times are per calling location (a powerful feature) * Can profile compile-time activity, just run-time, or just END time * Uses novel techniques for efficient profiling * Sub-microsecond (100ns) resolution on systems with clock_gettime() * Very fast - the fastest statement and subroutine profilers for perl * Handles applications that fork, with no performance cost * Immune from noise caused by profiling overheads and I/O * Program being profiled can stop/start the profiler * Generates richly annotated and cross-linked html reports * Trivial to use with mod_perl - add one line to httpd.conf * Includes an extensive test suite * Tested on very large codebases . NYTProf is effectively two profilers in one: a statement profiler, and a subroutine profiler. . NYTProf stands for 'New York Times Profiler'. Indeed, this module was initially developed from Devel::FastProf by The New York Times Co. to help their developers quickly identify bottlenecks in large Perl applications. Package: libdevel-partialdump-perl Version: 0.15-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl, libnamespace-clean-perl (>= 0.20), libsub-exporter-perl, libmoose-perl Size: 13258 SHA256: b81c42418d8392ca1a94d164a1677d3a072628cf3e138fc7e06ccaf88565ecf2 SHA1: 1010d82f049ed0e219c59ad192f4e4479686acb4 MD5sum: cda73551ec420214059bcf5226f0cf41 Description: Perl module to dump subroutine parameters concisely Devel::PartialDump is similar in nature to Data::Dumper, however, it is optimized for the common case of bug reporting with complex data structures. Outputting a string containing a complex data structure can yield rather useless results, since the structures are stringified. . This module optionally overrides Carp's routines to provide a concise dump of a variable's contents instead of a simple stringification with warn, etc. Homepage: http://search.cpan.org/dist/Devel-PartialDump/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-partialdump-perl/libdevel-partialdump-perl_0.15-1_all.deb Package: libdevel-patchperl-perl Version: 0.72-1 Installed-Size: 213 Maintainer: Debian Perl Group Architecture: all Depends: perl, libfile-pushd-perl Size: 67522 SHA256: 5b0022ea3bee389aee7996e0eb61387e6c0770e4fc5637e10123192a0f259192 SHA1: 483b613a0dc1b33d3634e050283fd2a90f40839b MD5sum: c83257d2e71cbadda13aea47986a2ec1 Description: Perl module to patch perl source a la Devel::PPPort's buildperl.pl Devel::PatchPerl is a modularisation of the patching code contained in Devel::PPPort's buildperl.pl. . It does not build perls, it merely provides an interface to the source patching functionality. Homepage: http://search.cpan.org/dist/Devel-PatchPerl/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-patchperl-perl/libdevel-patchperl-perl_0.72-1_all.deb Package: libdevel-pragma-perl Version: 0.54-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 87 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libb-hooks-endofscope-perl (>= 0.09), libb-hooks-op-annotation-perl (>= 0.43), libb-hooks-op-check-perl (>= 0.18) Homepage: http://search.cpan.org/dist/Devel-Pragma/ Priority: optional Section: perl Filename: pool/main/libd/libdevel-pragma-perl/libdevel-pragma-perl_0.54-1_armhf.deb Size: 18564 SHA256: eb9af4181dbffd0f5ddab5b36d83f91350afc268fd2b526ce0757a2c70db195d SHA1: 6a646b5a1169c5c6042f1bea6163446f56ca1969 MD5sum: 5f90e6843ca51fa63cd96939d98b1111 Description: helper functions for developers of lexical pragmas Devel:Pragma provides helper functions for developers of lexical pragmas. These can be used both in older versions of perl (from 5.8.1), which have limited support for lexical pragmas, and in the most recent versions, which have improved support. Package: libdevel-profile-perl Version: 1.05-2 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 12138 SHA256: fc61247c88b880122be72013fc0ef398531d9b45e2ab02a713b812e1f9972aa0 SHA1: e07463ed9f467f7cc2bb7754ba1ae9e058e6f8c5 MD5sum: 0cf15dc026e0a8deaa59e94125c19e96 Description: a Perl code profiler Devel::Profile is a Perl code profiler. It collects information on the execution time of a Perl script and of the subs in that script. This information can be used to determine which subroutines are using the most time and which subroutines are being called most often. Homepage: http://search.cpan.org/dist/Devel-Profile/ Tag: devel::lang:perl, devel::library, devel::profiler, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-profile-perl/libdevel-profile-perl_1.05-2_all.deb Package: libdevel-ptkdb-perl Version: 1.1091-2 Installed-Size: 200 Maintainer: Debian Perl Group Architecture: all Depends: perl, perl-tk Size: 51490 SHA256: 3fa5af89b1b0a6af268b3e6afe0b2f8fc456e9be3a22dda99b4c1da10205b44b SHA1: ccb42178ef38995eb404e2102b3b2ec85c6e5c7d MD5sum: 79df631e29eb58da943290588acdc296 Description: Perl debugger using a Tk GUI ptkdb is a debugger for perl that uses perlTk for a user interface. . Features include: * Hot Variable Inspection * Breakpoint Control Panel * Expression List * Subroutine Tree Homepage: http://search.cpan.org/dist/Devel-ptkdb/ Tag: devel::debugger, devel::lang:perl, devel::library, implemented-in::perl, uitoolkit::tk Section: perl Priority: optional Filename: pool/main/libd/libdevel-ptkdb-perl/libdevel-ptkdb-perl_1.1091-2_all.deb Package: libdevel-refactor-perl Version: 0.05-1 Installed-Size: 88 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 13658 SHA256: a03474c4bf768d2d6f7a2e3bf6d26c711447e32a31256fb27b1324745603fe70 SHA1: e8dafa37bf6f91408293abd0efa9a280fdee313c MD5sum: 65943c192dc09878c3f0bad892f0c5ca Description: Perl module for code refactoring Devel::Refactor is a Perl module that assists with code refactoring. It is designed to be used in conjunction with the EPIC plug-in for the Eclipse Integrated Development Environment. Homepage: http://search.cpan.org/dist/Devel-Refactor/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-refactor-perl/libdevel-refactor-perl_0.05-1_all.deb Package: libdevel-refcount-perl Version: 0.09-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 70 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Devel-Refcount/ Priority: optional Section: perl Filename: pool/main/libd/libdevel-refcount-perl/libdevel-refcount-perl_0.09-1_armhf.deb Size: 10540 SHA256: dba03154c72641f7f83f188eefaee1427d04a9319438519db4ee1a0ad2e60503 SHA1: 7b01d5bd3893679934427f9c02401cbab1ff6b53 MD5sum: b292ca106e02f65fb942794fab051743 Description: Perl module to obtain the reference count of a variable Devel::Refcount provides a single function which obtains the reference count of the object being pointed to by the passed reference value. . Internally, Perl maintains a count of the number of 'things' referring to each variable, as a means of providing automatic memory management. Every time a reference is made to a scalar value, for example, the reference count is incremented; every time that reference is lost (eg. when a lexical variable goes out of scope) then the reference count is decremented. Package: libdevel-repl-perl Version: 1.003013-1 Installed-Size: 245 Maintainer: Debian Perl Group Architecture: all Depends: perl, libapp-nopaste-perl, libb-keywords-perl, libdata-dump-streamer-perl, libdata-dumper-concise-perl, libfile-homedir-perl, libfile-next-perl, liblexical-persistence-perl, libmodule-refresh-perl, libmoose-perl, libmoosex-attributehelpers-perl, libmoosex-getopt-perl, libmoosex-object-pluggable-perl, libnamespace-clean-perl, libppi-perl, libscope-guard-perl, libsys-sigaction-perl, libtask-weaken-perl Recommends: libterm-readline-gnu-perl | libterm-readline-perl-perl Size: 110496 SHA256: d2b0ab817a99b35da13ec7c6b635e0f6103dfb19c5cb3d61ca4843840b1f51bb SHA1: 9c1bf6735e0d28a5f20733b6f2c5c080a076c550 MD5sum: 6e940a2e86bde570524bb77b77510b90 Description: module for building a modern Perl interactive shell Devel::REPL is a module for creating Read-Evaluate-Print-Loops (REPL) in Perl. The shell provides for rapid development or testing of code without the need to create a temporary source code file. . Through a plugin system, many features are available on demand. You can also tailor the environment through the use of profiles and run control files, for example to pre-load certain Perl modules when working on a particular project. Homepage: http://search.cpan.org/dist/Devel-REPL/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-repl-perl/libdevel-repl-perl_1.003013-1_all.deb Package: libdevel-simpletrace-perl Version: 0.08-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 9240 SHA256: f7014e10027a9e09e7fa68fda06e31e29adae3a3539c60eff491244cfa3b3987 SHA1: eddb2fe7541d31a66f2a8cc2e278624b3127090f MD5sum: e60bc5ef9820acb3c6498de30ce8ffcc Description: module to see where your code warns and dies using stack traces Devel::SimpleTrace is a simple drop-in Perl module that can be used to easily spot the place where a program or a module generates errors. It modifies the warn() and die() functions to replace the standard messages with complete stack traces that indicate how and where the error occurred. Homepage: http://search.cpan.org/dist/Devel-SimpleTrace/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-simpletrace-perl/libdevel-simpletrace-perl_0.08-1_all.deb Package: libdevel-size-perl Version: 0.77-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 92 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Devel-Size/ Priority: extra Section: perl Filename: pool/main/libd/libdevel-size-perl/libdevel-size-perl_0.77-1_armhf.deb Size: 23348 SHA256: c121adde83905b2859704cd44db47167691ec892a4c9c76282a463922379c2fd SHA1: 651ebde7e9438b3682c10bdbc3d558f8ee33dbac MD5sum: ef8b29ba59bdfdc8ba0877e4027977c0 Description: Perl extension for finding the memory usage of Perl variables Devel::Size figures out the real sizes of Perl variables in bytes. Call functions with a reference to the variable you want the size of. If the variable is a plain scalar it returns the size of the scalar. If the variable is a hash or an array, use a reference when calling. Package: libdevel-stacktrace-ashtml-perl Version: 0.11-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdevel-stacktrace-perl Size: 13152 SHA256: 2a7b6441775b310a47d5463f03eed672a760073f24e26f72d32f66fed0b99b8c SHA1: d4870a45d874a534cb1dd23cf694b70718d47373 MD5sum: 4f085664f0dc29e85e0613bc0d890de6 Description: module to display a stack trace in HTML Devel::StackTrace::AsHTML is a Perl module that adds a method, as_html, to Devel::StackTrace, which displays the stack trace in beautiful HTML, with a code snippet providing context and displaying function parameters. If you call it on an instance of Devel::StackTrace::WithLexicals, you can see the lexical variables of each stack frame. Homepage: http://search.cpan.org/dist/Devel-StackTrace-AsHTML/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-stacktrace-ashtml-perl/libdevel-stacktrace-ashtml-perl_0.11-1_all.deb Package: libdevel-stacktrace-perl Version: 1.2700-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 23068 SHA256: af402e765f102dfc9cab0238f39cb5f495600ba723e932d9a9701c4aaa4af738 SHA1: 649cdf6e27307ad2e4503b19ad14a48e30ab17d2 MD5sum: 9c49312bc8009c1a4309fc5ec6764eba Description: Perl module containing stack trace and stack trace frame objects The Devel::StackTrace module contains two classes, Devel::StackTrace and Devel::StackTraceFrame. The goal of this object is to encapsulate the information that can found through using the caller() function, as well as providing a simple interface to this data. . The Devel::StackTrace object contains a set of Devel::StackTraceFrame objects, one for each level of the stack. The frames contain all the data available from caller() as of Perl 5.6.0 though this module still works with 5.00503. . This code was created to support the Exception::Class::Base class (part of Exception::Class) but may be useful in other contexts. Homepage: http://search.cpan.org/dist/Devel-StackTrace/ Tag: devel::debugger, devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-stacktrace-perl/libdevel-stacktrace-perl_1.2700-1_all.deb Package: libdevel-stacktrace-withlexicals-perl Version: 0.10-1 Installed-Size: 59 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdevel-stacktrace-perl, libpadwalker-perl (>= 1.92) Size: 7502 SHA256: d9fbc7f1c25f7ac769dbf41f7260d3c9d88850a84c715253e089eae6a77b29a3 SHA1: e13d2bed40a884d60ee65aec6d21a6d304a907ad MD5sum: fe0e7df5ae3c843b044d6658716e07a4 Description: Perl module for stack traces with access to lexical variables Devel::StackTrace::WithLexicals extends Devel::StackTrace allowing the generation of stack traces where it is possible to inspect or change callers' lexical variables. Homepage: http://search.cpan.org/dist/Devel-StackTrace-WithLexicals/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-stacktrace-withlexicals-perl/libdevel-stacktrace-withlexicals-perl_0.10-1_all.deb Package: libdevel-symdump-perl Version: 2.08-4 Installed-Size: 80 Maintainer: Colin Watson Architecture: all Depends: perl Size: 16612 SHA256: 9dea98b84cf22f0ccad44daae1d43958db6296a5ae3981aed3c3b6569053832d SHA1: b153e733b2ac1408c9f2e85db1129c1919120a1d MD5sum: 711cde59804668e65e8c5bf205dc01b5 Description: Perl module for inspecting perl's symbol table The perl module Devel::Symdump provides a convenient way to inspect perl's symbol table and the class hierarchies within a running program. Tag: devel::debugger, devel::lang:perl, devel::library, implemented-in::perl, works-with::software:running Section: perl Priority: optional Filename: pool/main/libd/libdevel-symdump-perl/libdevel-symdump-perl_2.08-4_all.deb Package: libdevel-trace-perl Version: 0.12-1 Installed-Size: 48 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 6548 SHA256: 40f457b4bcfc6a99b5b28d701eb22e5d27ac00694ab2cc488ff56bc59ef6341a SHA1: cfa22e1f91a66d2d47ee7bbff937065e2c6c8d99 MD5sum: 4a29a257120c307ca698c298323044ed Description: Perl module to aid debugging by printing out each line before execution Devel::Trace does for Perl what set -x does for shell scripts: Run your program with 'perl -d:Trace program', and it will print each line to standard error just before it is executed. Homepage: http://search.cpan.org/dist/Devel-Trace/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevel-trace-perl/libdevel-trace-perl_0.12-1_all.deb Package: libdevhelp-3-0 Source: devhelp Version: 3.4.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 182 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.25.11), libgtk-3-0 (>= 3.0.0), libjavascriptcoregtk-3.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libwebkitgtk-3.0-0 (>= 1.3.10), zlib1g (>= 1:1.1.4), devhelp-common (>= 3.4.1-1) Homepage: http://live.gnome.org/devhelp Priority: optional Section: libs Filename: pool/main/d/devhelp/libdevhelp-3-0_3.4.1-1_armhf.deb Size: 78732 SHA256: 02de784df83a8cbcc905245798c5ade90705473943c6124e95a2183d12f77f04 SHA1: fc0cd80bc946f2ed6818fdd99d1033738a50e1e0 MD5sum: 3e8139f23ff357b835b1f7d2df4a618f Description: Library providing documentation browser functionality This library provides embedable widgets from the Devhelp program to be integrated in tools like the Anjuta IDE for browsing API reference documentation. Package: libdevhelp-dev Source: devhelp Version: 3.4.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 96 Depends: libdevhelp-3-0 (= 3.4.1-1), libgtk-3-dev, libwebkitgtk-3.0-dev Homepage: http://live.gnome.org/devhelp Priority: optional Section: libdevel Filename: pool/main/d/devhelp/libdevhelp-dev_3.4.1-1_armhf.deb Size: 28642 SHA256: bd9e8157bfe4d745ae64de691ba55761c46f6c5412254881f5fbca64e2854a8f SHA1: 6b9609999d35b9421a468f670a931c8cf04367bf MD5sum: 884e56f06ad9574771d13852a99e021c Description: Library providing documentation browser functionality (development) This library provides embedable widgets from the Devhelp program to be integrated in tools like the Anjuta IDE for browsing API reference documentation. . This package contains the development files. Package: libdevice-cdio-perl Version: 0.3.0-1+rpi1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 489 Depends: perl (>= 5.14.2-12+rpi1), perlapi-5.14.2, libc6 (>= 2.13-28), libcdio13 (>= 0.83), libiso9660-8 (>= 0.83) Homepage: http://search.cpan.org/dist/Device-Cdio/ Priority: optional Section: perl Filename: pool/main/libd/libdevice-cdio-perl/libdevice-cdio-perl_0.3.0-1+rpi1_armhf.deb Size: 177534 SHA256: 45f48d9bbb1fd536560232e2849c979fd54e7ebad8556901c29c62e494c7707b SHA1: 0fb7747acaf94d60a9a47970ca1b97560ae1c2ee MD5sum: b4fcd2900530080c45e9a2b0eb88bff9 Description: CD Input and control library Device::Cdio is a interface to the GNU CD Input and Control library (libcdio) and it's ISO 9660 library (libiso9660) which are written in C. The library encapsulates CD-ROM reading and control and ISO 9660 handling. Perl programs wishing to be oblivious of the OS- and device-dependent properties of a CD-ROM can use this library. Package: libdevice-gsm-perl Version: 1.60-1 Installed-Size: 248 Maintainer: Christoph Biedl Architecture: all Depends: perl, libdevice-modem-perl Size: 68476 SHA256: d8e34a50fda3b454132d0a4066895f941a2d893709bfb8239141af81cf7a5f48 SHA1: 0ec69d00dc3833bb73ce6a3bbb77b74fbb64fa6c MD5sum: f6f289f687450a39df61af462e746fdf Description: Perl extension to interface GSM phones / modems Device::Gsm class implements basic GSM functions, network registration and SMS sending. . This class supports also PDU mode to send SMS messages, and should be fairly usable. Homepage: http://search.cpan.org/dist/Device-Gsm/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevice-gsm-perl/libdevice-gsm-perl_1.60-1_all.deb Package: libdevice-modem-perl Version: 1.47-2.1 Installed-Size: 184 Maintainer: Dirk Proesdorf Architecture: all Depends: libdevice-serialport-perl, perl (>= 5.6.0-16) Size: 52696 SHA256: 0b27db0fc3aba1da8077ab7b8332da674e15bb9092181f5393cb73efba6274a0 SHA1: c3c7d65766f3946664166b875b5f7c445bbc12d3 MD5sum: fac92c0bed4aa7038f1b39918b6aadab Description: Perl class to interface generic modems (AT-compliant) Device::Modem class implements basic AT (Hayes) compliant device abstraction. It can be inherited by sub classes (as Device::Gsm), which are based on serial connections. Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdevice-modem-perl/libdevice-modem-perl_1.47-2.1_all.deb Package: libdevice-serialport-perl Version: 1.04-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 238 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Device-SerialPort/ Priority: extra Section: perl Filename: pool/main/libd/libdevice-serialport-perl/libdevice-serialport-perl_1.04-2_armhf.deb Size: 78742 SHA256: 42a2acd37b05064f19c10b3e1fc25e8605f8c60d7b12636648dd6d89836ca9e2 SHA1: 067d72b660114907dd137e9301dc14829cc383a6 MD5sum: a3af1917f9677a313aefb35af2ab0c7d Description: emulation of Win32::SerialPort for Linux/POSIX Device::SerialPort provides an object-based user interface essentially identical to the one provided by the Win32::SerialPort module. This provides device-level access to a system's serial port. . Also included is modemtest -- a tool for examining your modem using Device::SerialPort. Package: libdevice-usb-pcsensor-hidtemper-perl Version: 0.0201-2 Architecture: armhf Maintainer: Cyril Bouthors Installed-Size: 117 Depends: perl, libdevice-usb-perl Homepage: http://search.cpan.org/dist/Device-USB-PCSensor-HidTEMPer/lib/Device/USB/PCSensor/HidTEMPer/TEMPer.pm Priority: optional Section: perl Filename: pool/main/libd/libdevice-usb-pcsensor-hidtemper-perl/libdevice-usb-pcsensor-hidtemper-perl_0.0201-2_armhf.deb Size: 33074 SHA256: ad33c76209d3ecfee5022e15135a5b2462a61abb8d10d598f1eddb0e16342b92 SHA1: 3ba39b9f01b3fb4f597f18ebfb3be34d77fcb66a MD5sum: 5db1b0a656b91ab0a4f9e13a1f622270 Description: Perl module to interface to the HidTEMPer thermometers This module is a simplified interface to the HidTEMPer thermometers created by PCSensor. Package: libdevice-usb-perl Version: 0.35-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 217 Depends: libc6 (>= 2.4), libusb-0.1-4 (>= 2:0.1.12), perl (>= 5.14.2-9), perlapi-5.14.2, libinline-perl Homepage: http://search.cpan.org/dist/Device-USB/ Priority: optional Section: perl Filename: pool/main/libd/libdevice-usb-perl/libdevice-usb-perl_0.35-2_armhf.deb Size: 61874 SHA256: 1fe5976d97b993a0d863128c3e59edcbe9fce95c537f9c644afe9fc2e2ccd97d SHA1: 735c1320f959835520976d40da552c1b8a2d2856 MD5sum: 2c361836c25f53474f19885f96c7c671 Description: Perl module to access USB devices using libusb Device::USB provides a Perl interface to the C library libusb. This library supports a relatively full set of functionality to access a USB device. In addition, Device::USB provides a few convenience features that are intended to produce a more Perl-ish interface. Package: libdevil-dev Source: devil Version: 1.7.8-6.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 800 Depends: libdevil1c2 (= 1.7.8-6.1), libtiff4-dev, liblcms1-dev Homepage: http://openil.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/d/devil/libdevil-dev_1.7.8-6.1_armhf.deb Size: 309296 SHA256: 935e756b1cb80b1af20ed41925c7e9709bcf932bcaaf0cb898a8bca59026cd2f SHA1: 0d0a498c98c1d5ee878f02df8fc9805bdc7d9d84 MD5sum: f380705b066ea66c90625c20ca0f1e05 Description: Cross-platform image loading and manipulation toolkit Developer's Image Library (DevIL) is a programmer's toolkit which can load, save and convert a wide variety of image formats. It also offers basic manipulation and filtering capabilities. . DevIL presents a simple programming interface similar to OpenGL's, which is easy for a developer to learn and use. Ultimate control of the images is left to the developer. . This package contains the development files. Package: libdevil1c2 Source: devil Version: 1.7.8-6.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 1383 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), libmng1 (>= 1.0.10), libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.3.0), libtiff4 (>> 3.9.5-3~), libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxpm4, libxrender1, libxxf86vm1 Conflicts: libdevil1 Replaces: libdevil1 Homepage: http://openil.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/d/devil/libdevil1c2_1.7.8-6.1_armhf.deb Size: 638808 SHA256: 2b799f6c0fa45cb086f9a02f1d0498b2413b0710739931b8ceb887c8c8deebf1 SHA1: ac47aaf19bb838b3ca57e5e910c9ab37d4de683c MD5sum: f765a7d468f0a78bfee868ef5e624506 Description: Cross-platform image loading and manipulation toolkit Developer's Image Library (DevIL) is a programmer's toolkit which can load, save and convert a wide variety of image formats. It also offers basic manipulation and filtering capabilities. . DevIL presents a simple programming interface similar to OpenGL's, which is easy for a developer to learn and use. Ultimate control of the images is left to the developer. Package: libdevmapper-dev Source: lvm2 (2.02.95-8+rpi1) Version: 2:1.02.74-8+rpi1 Architecture: armhf Maintainer: Debian LVM Team Installed-Size: 119 Depends: libdevmapper1.02.1 (= 2:1.02.74-8+rpi1), libdevmapper-event1.02.1 (= 2:1.02.74-8+rpi1), libselinux1-dev Homepage: http://sources.redhat.com/lvm2/ Priority: optional Section: libdevel Filename: pool/main/l/lvm2/libdevmapper-dev_1.02.74-8+rpi1_armhf.deb Size: 44362 SHA256: 98fe8b5067b60489feca03ba9d0f326091e35e80f0c066e6deef4a716a875486 SHA1: ac5aca755037edc6ff1a3ec02343c701f209f90d MD5sum: 7d811b0cc1e18e76b5b925cc081b517a Description: Linux Kernel Device Mapper header files The Linux Kernel Device Mapper is the LVM (Linux Logical Volume Management) Team's implementation of a minimalistic kernel-space driver that handles volume management, while keeping knowledge of the underlying device layout in user-space. This makes it useful for not only LVM, but EVMS, software raid, and other drivers that create "virtual" block devices. . This package contains the (user-space) header files for accessing the device-mapper; it allow usage of the device-mapper through a clean, consistent interface (as opposed to through kernel ioctls). Package: libdevmapper-event1.02.1 Source: lvm2 (2.02.95-8+rpi1) Version: 2:1.02.74-8+rpi1 Architecture: armhf Maintainer: Debian LVM Team Installed-Size: 74 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdevmapper1.02.1 (>= 2:1.02.36) Multi-Arch: same Homepage: http://sources.redhat.com/lvm2/ Priority: optional Section: libs Filename: pool/main/l/lvm2/libdevmapper-event1.02.1_1.02.74-8+rpi1_armhf.deb Size: 31688 SHA256: 47e5a19db469eb50ff6c24b17de66dfdb60977e32642cf4079f912db9dfef6cc SHA1: fb2d9d46bc804e80cb966d399992c7cc54f979b0 MD5sum: 61b86bcdb06f7727d41f16fffdb6c8bf Description: Linux Kernel Device Mapper event support library The Linux Kernel Device Mapper is the LVM (Linux Logical Volume Management) Team's implementation of a minimalistic kernel-space driver that handles volume management, while keeping knowledge of the underlying device layout in user-space. This makes it useful for not only LVM, but EVMS, software raid, and other drivers that create "virtual" block devices. . This package contains the userspace library to help with event monitoring for devmapper devices, in conjunction with the dmevent daemon. Package: libdevmapper1.02.1 Source: lvm2 (2.02.95-8+rpi1) Version: 2:1.02.74-8+rpi1 Architecture: armhf Maintainer: Debian LVM Team Installed-Size: 292 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libselinux1 (>= 1.32), libudev0 (>= 0.140), dmsetup (>= 2:1.02.74-8+rpi1) Conflicts: libdevmapper1.02 Breaks: lvm2 (<< 2.02.66) Multi-Arch: same Homepage: http://sources.redhat.com/lvm2/ Priority: optional Section: libs Filename: pool/main/l/lvm2/libdevmapper1.02.1_1.02.74-8+rpi1_armhf.deb Size: 130502 SHA256: 5df3bbcf32cc107c32391542c29e6c07caea058460d5ff1dc27389a28f597ba9 SHA1: c1eb5a121fb64d093a3ac2f26be7cfa813976c0f MD5sum: fa7e560565934a81b0a705b880fe69f6 Description: Linux Kernel Device Mapper userspace library The Linux Kernel Device Mapper is the LVM (Linux Logical Volume Management) Team's implementation of a minimalistic kernel-space driver that handles volume management, while keeping knowledge of the underlying device layout in user-space. This makes it useful for not only LVM, but EVMS, software raid, and other drivers that create "virtual" block devices. . This package contains the (user-space) shared library for accessing the device-mapper; it allows usage of the device-mapper through a clean, consistent interface (as opposed to through kernel ioctls). Package: libdhash-dev Source: ding-libs Version: 0.1.3-2 Architecture: armhf Maintainer: Debian SSSD Team Installed-Size: 70 Depends: libdhash1 (= 0.1.3-2) Homepage: https://fedorahosted.org/sssd/ Priority: extra Section: libdevel Filename: pool/main/d/ding-libs/libdhash-dev_0.1.3-2_armhf.deb Size: 14768 SHA256: 171a5a27c7177f8d53f9b0828194ec15305d423d04b7fb1d567ae370f97c5c3c SHA1: 8cd7978db5cc548b3f7734a85baee9a54ef73f10 MD5sum: 164e1efb72fd2cf5eb1641955ba05de4 Description: Development files for libdhash A hash table which will dynamically resize to achieve optimal storage & access time properties. Development files. . This package is part of the ding-libs, libraries that are required for the proper functioning of SSSD, the System Security Services Daemon. Package: libdhash1 Source: ding-libs Version: 0.1.3-2 Architecture: armhf Maintainer: Debian SSSD Team Installed-Size: 48 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Conflicts: libdhash Replaces: libdhash Provides: libdhash Multi-Arch: same Homepage: https://fedorahosted.org/sssd/ Priority: extra Section: libs Filename: pool/main/d/ding-libs/libdhash1_0.1.3-2_armhf.deb Size: 7798 SHA256: 37a9d4418f9c4dc1d4fcb043010006916a89437c2050d02eb2e4b17d790c28b3 SHA1: fe62f73ef214c49b5cf2b3722dc2e3689eac0596 MD5sum: 10c5246ac88c01b6886129f9fe0defd4 Description: Dynamic hash table A hash table which will dynamically resize to achieve optimal storage & access time properties. . This package is part of the ding-libs, libraries that are required for the proper functioning of SSSD, the System Security Services Daemon. Package: libdianewcanvas2 Source: dia-newcanvas Version: 0.6.10-5.4 Architecture: armhf Maintainer: Sebastien Bacher Installed-Size: 129 Depends: libc6 (>= 2.13-28), libgtk2.0-0 (>= 2.0.6) Homepage: http://gael.sourceforge.net Priority: optional Section: libs Filename: pool/main/d/dia-newcanvas/libdianewcanvas2_0.6.10-5.4_armhf.deb Size: 45948 SHA256: ebf9f819c30686d181f7c64b5b23bfa5d0c679ceff2219cfda54c8c128567a14 SHA1: 20246b40495127d323a7bd1e332c8830526dd575 MD5sum: 051a058300399ae9dc2e5a79c654e7a3 Description: a gtk+2 vectorial canvas with extra features Dia-newcanvas is a gtk+2 vectorial widget that has got extra features as compared to GNOME canvas: . * Split data/view done through a renderer interface * Grid * Connections, attracted/attraction points * Easy customizatoin * Multiple renderers (Gdk implemented, anti-aliased and gnome-print planned) * Multiple views ability Package: libdianewcanvas2-dev Source: dia-newcanvas Version: 0.6.10-5.4 Architecture: armhf Maintainer: Sebastien Bacher Installed-Size: 108 Depends: libdianewcanvas2 (= 0.6.10-5.4), libgtk2.0-dev (>= 2.0.6), libglade2-dev (>= 2.0.0-2) Homepage: http://gael.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/d/dia-newcanvas/libdianewcanvas2-dev_0.6.10-5.4_armhf.deb Size: 20364 SHA256: 73f5f658602df86ad4f8c5fa3c245e1455ff54ac79ec547f30b1e9bca53951eb SHA1: 19229c87cb15994fa284cdb37fbd98b38abeca3a MD5sum: 96346e0fa8cbc93c0e3bad0ed03369a8 Description: a gtk+2 vectorial canvas with extra features Dia-newcanvas is a gtk+2 vectorial widget that has got extra features as compared to GNOME canvas: . * Split data/view done through a renderer interface * Grid * Connections, attracted/attraction points * Easy customizatoin * Multiple renderers (Gdk implemented, anti-aliased and gnome-print planned) * Multiple views ability . This package contains the header files and static library needed for development of programs using dia-newcanvas. Package: libdico1 Source: dico Version: 2.1-3 Architecture: armhf Maintainer: أحمد المحمودي (Ahmed El-Mahmoudy) Installed-Size: 158 Depends: libc6 (>= 2.13-28) Homepage: http://puszcza.gnu.org.ua/software/dico/ Priority: optional Section: libs Filename: pool/main/d/dico/libdico1_2.1-3_armhf.deb Size: 74670 SHA256: 274db51a16effe111daba96b3879dc9fac10b7029b5ddf38fe9537e469741ba4 SHA1: c87f1db59773b7af029b93287a922e7a3a52c7c9 MD5sum: 74e9c508d3aa7384648a9888b73d882c Description: RFC 2229 compliant modular dictionary server (shared library) GNU Dico is an implementation of the DICT protocol as defined in RFC 2229. It is fully modular: the daemon itself (dicod) provides only the server functionality, and knows nothing about database formats. Actual searches are performed by functions supplied in loadable modules. A single module can serve one or more databases. . This package contains the shared library. Package: libdieharder-dev Source: dieharder Version: 3.31.1-4 Architecture: armhf Maintainer: Dirk Eddelbuettel Installed-Size: 388 Depends: libdieharder3 (= 3.31.1-4), libgsl0-dev Replaces: libdieharder2 (<= 2.28.1-3) Priority: optional Section: libdevel Filename: pool/main/d/dieharder/libdieharder-dev_3.31.1-4_armhf.deb Size: 110870 SHA256: bed48b69b190e14195d306d8b2670a1f12eaf5fd7ec0be124bc193b04381f828 SHA1: 8d41e8337cd06fdd42eb42dbbebd516fd5363114 MD5sum: e4316a5ca1220c93d491ec088e2701bb Description: Random-number generator test library -- development package libdieharder is the core library of dieharder and friends, designed to be "the last suite of random number testers you'll ever wear". It can test any of its many prebuilt and library linked generators (basically all of those in the GNU Scientific Library plus a number of others from various sources) or a potentially random dataset in either an ascii-formatted or raw (presumed 32 bit uint) binary file. It is fairly straightforward to wrap new software generators for testing, or to add hardware generators that have a software interface for testing, and the file input method permits pretty much any software or hardware RNG to be tested using libdieharder calls. . libdieharder has as a design goal the full encapsulation in an extensible shell of basically all the random number tests I have been able to find -- George Marsaglia's "diehard" battery of tests, STS (v1.6) from NIST FIPS, Knuth's tests, and more. Check in the man page(s) or /usr/share/dieharder*/dieharder.pdf for documentation. . This package provides the header files and the pdf manual. Package: libdieharder3 Source: dieharder Version: 3.31.1-4 Architecture: armhf Maintainer: Dirk Eddelbuettel Installed-Size: 373 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgsl0ldbl (>= 1.9) Priority: optional Section: devel Filename: pool/main/d/dieharder/libdieharder3_3.31.1-4_armhf.deb Size: 165984 SHA256: 55231f0927754fbd0a368f6904131078a6a1d0d56d25e30ef86ea509cfa66687 SHA1: 8992ea500ae239386c67eafd46129a70de188707 MD5sum: ad2d0ddb5d222d86e5f0f6a3e699920a Description: Random-number generator test library libdieharder is the core library of dieharder and friends, designed to be "the last suite of random number testers you'll ever wear". It can test any of its many prebuilt and library linked generators (basically all of those in the GNU Scientific Library plus a number of others from various sources) or a potentially random dataset in either an ascii-formatted or raw (presumed 32 bit uint) binary file. It is fairly straightforward to wrap new software generators for testing, or to add hardware generators that have a software interface for testing, and the file input method permits pretty much any software or hardware RNG to be tested using libdieharder calls. . libdieharder has as a design goal the full encapsulation in an extensible shell of basically all the random number tests I have been able to find -- George Marsaglia's "diehard" battery of tests, STS (v1.6) from NIST FIPS, Knuth's tests, and more. Check in the man page(s) or /usr/share/dieharder*/dieharder.pdf for documentation. . This package provides the shared library. Package: libdiet-admin2.8 Source: diet Version: 2.8.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 227 Depends: libboost-regex1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libdiet-dagda2.8, libdiet-sed2.8, libgcc1 (>= 1:4.4.0), liblogforwarderutils2, libomniorb4-1 (>= 4.1.6), libomnithread3c2 (>= 4.0.6), libstdc++6 (>= 4.4.0) Homepage: http://graal.ens-lyon.fr/DIET/ Priority: extra Section: libs Filename: pool/main/d/diet/libdiet-admin2.8_2.8.0-1_armhf.deb Size: 75770 SHA256: 2365a5ff32e089d0020efeac78ff8f10b94cdfef06aa34a1cf7d9f9d8906979f SHA1: 1a988269e0ccb70a582cf1452ddbada471229ff5 MD5sum: f4ce2dbb6a2ed84a07e3b68b17b238cc Description: DIET grid middleware - admin runtime DIET (Distributed Interactive Engineering Toolbox) is a middleware designed for HPC (High Performance Computing) in heterogeneous and distributed environments (workstations, clusters, grids, clouds). DIET provides a standard-compliant implementation of OGF (Open Grid Forum) Grid RPC API. It works in a hierarchical fashion, clients requests computational resources to a hierarchy of agents which will choose the most adequate computational service (known as SeD or Server Daemon). . DIET is the core component of SysFera-DS HPC middleware (http://www.sysfera.com/SysFera-DS,10). . This package contains runtime necessary to run DIET applications using admin API. Package: libdiet-admin2.8-dev Source: diet Version: 2.8.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 66 Depends: libdiet-admin2.8 (= 2.8.0-1), libdiet-dagda2.8-dev (= 2.8.0-1) Homepage: http://graal.ens-lyon.fr/DIET/ Priority: extra Section: libdevel Filename: pool/main/d/diet/libdiet-admin2.8-dev_2.8.0-1_armhf.deb Size: 19006 SHA256: 536c1bba6379f9b182de750364c4bc19c390c9f05bce542896fa4da860fda2d1 SHA1: 887cb06345c4ac25a1e52d19ad7527c4fb05bd9d MD5sum: 358e6cb2a42f20cf84686e2673eb7ddd Description: DIET grid middleware - admin development files DIET (Distributed Interactive Engineering Toolbox) is a middleware designed for HPC (High Performance Computing) in heterogeneous and distributed environments (workstations, clusters, grids, clouds). DIET provides a standard-compliant implementation of OGF (Open Grid Forum) Grid RPC API. It works in a hierarchical fashion, clients requests computational resources to a hierarchy of agents which will choose the most adequate computational service (known as SeD or Server Daemon). . DIET is the core component of SysFera-DS HPC middleware (http://www.sysfera.com/SysFera-DS,10). . DIET development libraries and headers to build DIET applications using admin API. Package: libdiet-client2.8 Source: diet Version: 2.8.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 946 Depends: libboost-regex1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libdiet-dagda2.8, libgcc1 (>= 1:4.4.0), liblogforwarderutils2, libomniorb4-1 (>= 4.1.6), libomnithread3c2 (>= 4.0.6), libstdc++6 (>= 4.6), libxerces-c3.1, libxqilla6 Homepage: http://graal.ens-lyon.fr/DIET/ Priority: extra Section: libs Filename: pool/main/d/diet/libdiet-client2.8_2.8.0-1_armhf.deb Size: 370278 SHA256: d9e1b1c08b20b877c4c8e31acb0b0ef522195a221b251e3b9f952b4b9166aedd SHA1: 18018f204b843b067d59b6aaaeef631248eb74ab MD5sum: 887a1b77fc625013f70a323e7f6fbc2c Description: DIET grid middleware - client runtime DIET (Distributed Interactive Engineering Toolbox) is a middleware designed for HPC (High Performance Computing) in heterogeneous and distributed environments (workstations, clusters, grids, clouds). DIET provides a standard-compliant implementation of OGF (Open Grid Forum) Grid RPC API. It works in a hierarchical fashion, clients requests computational resources to a hierarchy of agents which will choose the most adequate computational service (known as SeD or Server Daemon). . DIET is the core component of SysFera-DS HPC middleware (http://www.sysfera.com/SysFera-DS,10). . This package contains runtime necessary to run DIET clients. Package: libdiet-client2.8-dev Source: diet Version: 2.8.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 91 Depends: libdiet-client2.8 (= 2.8.0-1), libdiet-dagda2.8-dev (= 2.8.0-1) Homepage: http://graal.ens-lyon.fr/DIET/ Priority: extra Section: libdevel Filename: pool/main/d/diet/libdiet-client2.8-dev_2.8.0-1_armhf.deb Size: 24128 SHA256: cafa9a10e8a79989021a0ef227a60d861468237883e62ff1dfc3d2bce6c6a707 SHA1: a2862ed717434915e4014f4384eabbdf16592d6c MD5sum: 0e067354955cada0216e7b242f503724 Description: DIET grid middleware - client development files DIET (Distributed Interactive Engineering Toolbox) is a middleware designed for HPC (High Performance Computing) in heterogeneous and distributed environments (workstations, clusters, grids, clouds). DIET provides a standard-compliant implementation of OGF (Open Grid Forum) Grid RPC API. It works in a hierarchical fashion, clients requests computational resources to a hierarchy of agents which will choose the most adequate computational service (known as SeD or Server Daemon). . DIET is the core component of SysFera-DS HPC middleware (http://www.sysfera.com/SysFera-DS,10). . DIET development libraries and headers to build DIET clients. Package: libdiet-dagda2.8 Source: diet Version: 2.8.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1630 Depends: libboost-regex1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblogforwarderutils2, libomniorb4-1 (>= 4.1.6), libomnithread3c2 (>= 4.0.6), libstdc++6 (>= 4.6) Homepage: http://graal.ens-lyon.fr/DIET/ Priority: extra Section: libs Filename: pool/main/d/diet/libdiet-dagda2.8_2.8.0-1_armhf.deb Size: 530188 SHA256: 8ba2e6ff46f29e2f2aa0f4ee0080f89e8faf75ac47fccfc4bd7d099a98924f77 SHA1: bfb6cc862624d0571edee77d260dc1d58e5cf103 MD5sum: 2d814809f95f1b8d02a22366e6f3abac Description: DIET grid middleware - dagda runtime DIET (Distributed Interactive Engineering Toolbox) is a middleware designed for HPC (High Performance Computing) in heterogeneous and distributed environments (workstations, clusters, grids, clouds). DIET provides a standard-compliant implementation of OGF (Open Grid Forum) Grid RPC API. It works in a hierarchical fashion, clients requests computational resources to a hierarchy of agents which will choose the most adequate computational service (known as SeD or Server Daemon). . DIET is the core component of SysFera-DS HPC middleware (http://www.sysfera.com/SysFera-DS,10). . This package contains runtime necessary to run DIET elements. Package: libdiet-dagda2.8-dev Source: diet Version: 2.8.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 204 Depends: libdiet-dagda2.8 (= 2.8.0-1) Homepage: http://graal.ens-lyon.fr/DIET/ Priority: extra Section: libdevel Filename: pool/main/d/diet/libdiet-dagda2.8-dev_2.8.0-1_armhf.deb Size: 41488 SHA256: 5f708903486aa98d100ea457faf532ad66416769f76d42f45bec8a08cc9466b6 SHA1: 758b35b2e6d7dfba0269499fa6df1569021b70a0 MD5sum: 2305d65f7ace2b4d75f753ec5e521e44 Description: DIET grid middleware - dagda development files DIET (Distributed Interactive Engineering Toolbox) is a middleware designed for HPC (High Performance Computing) in heterogeneous and distributed environments (workstations, clusters, grids, clouds). DIET provides a standard-compliant implementation of OGF (Open Grid Forum) Grid RPC API. It works in a hierarchical fashion, clients requests computational resources to a hierarchy of agents which will choose the most adequate computational service (known as SeD or Server Daemon). . DIET is the core component of SysFera-DS HPC middleware (http://www.sysfera.com/SysFera-DS,10). . DIET development libraries and headers to build DIET based applications. Package: libdiet-sed2.8 Source: diet Version: 2.8.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 306 Depends: libboost-regex1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libdiet-dagda2.8, libgcc1 (>= 1:4.4.0), liblogforwarderutils2, libomniorb4-1 (>= 4.1.6), libomnithread3c2 (>= 4.0.6), libstdc++6 (>= 4.6) Homepage: http://graal.ens-lyon.fr/DIET/ Priority: extra Section: libs Filename: pool/main/d/diet/libdiet-sed2.8_2.8.0-1_armhf.deb Size: 124252 SHA256: 1cad1b24c66ce57731613bee34577dd3e403fa7a438c9b76e8a162a481e21048 SHA1: 029e7157012a4c4194405b376812638ed1c27b9b MD5sum: 5a847268847c8629ceb47c5a195298c4 Description: DIET grid middleware - sed runtime DIET (Distributed Interactive Engineering Toolbox) is a middleware designed for HPC (High Performance Computing) in heterogeneous and distributed environments (workstations, clusters, grids, clouds). DIET provides a standard-compliant implementation of OGF (Open Grid Forum) Grid RPC API. It works in a hierarchical fashion, clients requests computational resources to a hierarchy of agents which will choose the most adequate computational service (known as SeD or Server Daemon). . DIET is the core component of SysFera-DS HPC middleware (http://www.sysfera.com/SysFera-DS,10). . This package contains runtime necessary to run DIET server daemons. Package: libdiet-sed2.8-dev Source: diet Version: 2.8.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 116 Depends: libdiet-sed2.8 (= 2.8.0-1), libdiet-dagda2.8-dev (= 2.8.0-1) Homepage: http://graal.ens-lyon.fr/DIET/ Priority: extra Section: libdevel Filename: pool/main/d/diet/libdiet-sed2.8-dev_2.8.0-1_armhf.deb Size: 28500 SHA256: 5e5b62f2ec9a779ac72b2d9bb3811d02c296e38ade10ad3dddb968ab224a693c SHA1: 18ae67eb6a2b487ba03ce267fda2560df533ee51 MD5sum: 934d94e4cb3379db1d6b22f3450eb915 Description: DIET grid middleware - sed development files DIET (Distributed Interactive Engineering Toolbox) is a middleware designed for HPC (High Performance Computing) in heterogeneous and distributed environments (workstations, clusters, grids, clouds). DIET provides a standard-compliant implementation of OGF (Open Grid Forum) Grid RPC API. It works in a hierarchical fashion, clients requests computational resources to a hierarchy of agents which will choose the most adequate computational service (known as SeD or Server Daemon). . DIET is the core component of SysFera-DS HPC middleware (http://www.sysfera.com/SysFera-DS,10). . DIET development libraries and headers to build DIET server daemons. Package: libdifflcs-ruby Source: ruby-diff-lcs Version: 1.1.3-1 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-diff-lcs Size: 2888 SHA256: c170382eca80207053f79c1643128339ecd2b99ca3a238b52e72991fd256f8ec SHA1: 7eb28b02d6757f2379414907b50dad22adf63bf2 MD5sum: fd5c7fb65bf863aa322cf7dd00a67400 Description: Transitional package for ruby-diff-lcs This is a transitional package to ease upgrades to the ruby-diff-lcs package. It can safely be removed. Homepage: http://rubyforge.org/projects/ruwiki/ Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-diff-lcs/libdifflcs-ruby_1.1.3-1_all.deb Package: libdifflcs-ruby1.8 Source: ruby-diff-lcs Version: 1.1.3-1 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-diff-lcs Size: 2892 SHA256: f48adeea5e1f0f50bb662f13d41947816d12a2270d41e36fd525b728b35e0a83 SHA1: e19ddfc8d507faaf035b23a57c4d7b4674a3e8a8 MD5sum: 8cf36672ced576e93bdba9191dbcc08b Description: Transitional package for ruby-diff-lcs This is a transitional package to ease upgrades to the ruby-diff-lcs package. It can safely be removed. Homepage: http://rubyforge.org/projects/ruwiki/ Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-diff-lcs/libdifflcs-ruby1.8_1.1.3-1_all.deb Package: libdifflcs-ruby1.9.1 Source: ruby-diff-lcs Version: 1.1.3-1 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-diff-lcs Size: 2896 SHA256: 26df935dedf0f1ea8372fc7efd7c66a2c94dc22913cee29ea5459773cb39e63b SHA1: 2f7fe49e3063d2d76b260e278ee7270a28c0f6df MD5sum: f4dea8b637dccce7c3e8114ce6e4d669 Description: Transitional package for ruby-diff-lcs This is a transitional package to ease upgrades to the ruby-diff-lcs package. It can safely be removed. Homepage: http://rubyforge.org/projects/ruwiki/ Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-diff-lcs/libdifflcs-ruby1.9.1_1.1.3-1_all.deb Package: libdigest-bubblebabble-perl Version: 0.02-2 Installed-Size: 50 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8238 SHA256: ecfa25f626853909eeb37d5c06698a4507513d5a13e125c41194f1b9be569faf SHA1: c64a6b057a9515e92d5b97104f500262cbcc318a MD5sum: 0af7b1b2012d24053b7cd1ad2b520493 Description: perl module to create bubble-babble fingerprints Digest::BubbleBabble takes a message digest (generated by either of the MD5 or SHA-1 message digest algorithms) and creates a fingerprint of that digest in "bubble babble" format. Bubble babble is a method of representing a message digest as a string of "real" words, to make the fingerprint easier to remember. The "words" are not necessarily real words, but they look more like words than a string of hex characters. . Bubble babble fingerprinting is used by the SSH2 suite (and, consequently, by Net::SSH::Perl, the Perl SSH implementation) to display easy-to-remember key fingerprints. The key (a DSA or RSA key) is converted into a textual form, digested using Digest::SHA, and run through bubblebabble to create the key fingerprint. Homepage: http://search.cpan.org/dist/Digest-BubbleBabble/ Tag: devel::lang:perl, implemented-in::perl, security::authentication, security::cryptography Section: perl Priority: optional Filename: pool/main/libd/libdigest-bubblebabble-perl/libdigest-bubblebabble-perl_0.02-2_all.deb Package: libdigest-crc-perl Version: 0.18-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 81 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Digest-CRC/ Priority: optional Section: perl Filename: pool/main/libd/libdigest-crc-perl/libdigest-crc-perl_0.18-1_armhf.deb Size: 14732 SHA256: 9903ea8592a3004f4338938d6677a53951fbfe9e5df05f746844cb161b464822 SHA1: 542a8aab5ae65e9949cb349a71dfefe750b771a5 MD5sum: 330288b326d39afbb49442d9984752f4 Description: Perl module providing generic CRC functions The Digest::CRC module calculates CRC sums of all sorts. It contains wrapper functions with the correct parameters for CRC-CCITT, CRC-16, CRC-32 and CRC-64. The module acts similar to libstring-crc32-perl, but implements the Digest interface. Package: libdigest-hmac-perl Version: 1.03+dfsg-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 12332 SHA256: 6a5cd140613d8cdc4bcb329fd8d722fbd010ccefbb62362665d3ecb4b9f95841 SHA1: 28f6204e2fd76fda5acf8b5b6e3a2d20465e8817 MD5sum: 07d678301b2d691e904ebfef8a05cd96 Description: module for creating standard message integrity checks HMAC is used for message integrity checks between two parties that share a secret key, and works in combination with some other Digest algorithm, usually MD5 or SHA-1. The HMAC mechanism is described in RFC 2104. . The Digest::HMAC module follow the common Digest:: interface, but the constructor takes the secret key and the name of some other simple Digest:: module as argument. Homepage: http://search.cpan.org/dist/Digest-HMAC/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::cryptography, security::integrity Section: perl Priority: optional Filename: pool/main/libd/libdigest-hmac-perl/libdigest-hmac-perl_1.03+dfsg-1_all.deb Package: libdigest-jhash-perl Version: 0.06-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 85 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Digest-JHash/ Priority: optional Section: perl Filename: pool/main/libd/libdigest-jhash-perl/libdigest-jhash-perl_0.06-1_armhf.deb Size: 14264 SHA256: f550912c4362b71fbc9aec648fe979670abba8df12857b72ae01793869552207 SHA1: f1e9e74e3df068deae6066a397cac006f2c384cd MD5sum: 97b64d7d9a08a30affc7c2cdf0af9652 Description: Perl extension for 32 bit Jenkins Hashing Algorithm The Digest::JHash module allows you to use the fast JHash hashing algorithm developed by Bob Jenkins from within Perl programs. The algorithm takes as input a message of arbitrary length and produces as output a 32-bit "message digest" of the input in the form of an unsigned long integer. . See http://burtleburtle.net/bob/hash/doobs.html for more information. Package: libdigest-md2-perl Version: 2.03+dfsg-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 85 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Digest-MD2/ Priority: optional Section: perl Filename: pool/main/libd/libdigest-md2-perl/libdigest-md2-perl_2.03+dfsg-1_armhf.deb Size: 18794 SHA256: ef7f74b2d306f41bab4b3178b6a7196309204f8ab2dbb906e68a998b9cab2f51 SHA1: c5603c1d4c645394e4aea0003e5a9171ce1c0407 MD5sum: 1fdfd76f03e6002dea57ef2183445b33 Description: MD2 Message Digest for Perl The Digest::MD2 module allows you to use the RSA Data Security Inc. MD2 Message Digest algorithm from within Perl programs. The algorithm takes as input a message of arbitrary length and produces as output a 128-bit "fingerprint" or "message digest" of the input. . The Digest::MD2 programming interface is identical to the interface of Digest::MD5. MD2 is described in RFC 1115. Package: libdigest-md4-perl Version: 1.5.dfsg-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 95 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Digest-MD4/ Priority: optional Section: perl Filename: pool/main/libd/libdigest-md4-perl/libdigest-md4-perl_1.5.dfsg-2_armhf.deb Size: 20632 SHA256: 15dbec684c5c93c48dfd7760824d2fe68140a0c4b2224fdbb89a3350cb8ffd65 SHA1: f8ad6fa66c562fbc55b032ea3f52ee2911ceb960 MD5sum: ffce3ddbc540ef0d737fc5e93dff2967 Description: MD4 Message Digest for Perl The Digest::MD4 module allows you to use the RSA Data Security Inc. MD4 Message Digest algorithm from within Perl programs. The algorithm takes as imput a message of arbitrary length and produces a 128-bit "fingerprint" or "message digest" of the input. MD4 is described in RFC 1320. Package: libdigest-md5-file-perl Version: 0.08-1 Installed-Size: 69 Maintainer: Debian Perl Group Architecture: all Depends: perl, libwww-perl Size: 10586 SHA256: 594a140269a1af5a8f41a39b36d9e286fefa26e3ed9eaaaa74bb772a624346c7 SHA1: 9b9f106c7a50db827a00750baadeca0af40d04f9 MD5sum: a3a8c5e4591b21ad4f10a41012a9b5dc Description: Perl extension for getting MD5 sums for files and urls Digest::MD5::File adds functionality for easy calculation of MD5 checksums of entire files, directories or URLs to the standard Digest::MD5 module. . Example usage: . use Digest::MD5::File; my $md5 = Digest::MD5->new; $md5->addpath('/path/to/file.txt'); $md5->addpath(\@files); $md5->adddir('/home/tmbg/'); $md5->addurl('http://www.tmbg.com/tour.html'); my $digest = file_md5_hex($file); my $dir_hashref = dir_md5_hex($dir); my $digest = url_md5_hex($url); Homepage: http://search.cpan.org/dist/Digest-MD5-File/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::integrity, use::checking Section: perl Priority: optional Filename: pool/main/libd/libdigest-md5-file-perl/libdigest-md5-file-perl_0.08-1_all.deb Package: libdigest-perl Version: 1.17-1 Installed-Size: 29 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 20736 SHA256: 46774648e5de30c461259edceacf782b950f5159a81bae146f41f8a5c15d9cd8 SHA1: 0d683a81a0d8b186a6ce64d2470892f3c18fade6 MD5sum: b954398960f28047ef33537179ed80e7 Description: generic interface to message digest modules This is a simple frontend module for autoloading various Digest::* modules. It also provides documentation of the interface that all Digest::* modules should provide. Homepage: http://search.cpan.org/dist/Digest/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, security::cryptography, security::integrity Section: perl Priority: optional Filename: pool/main/libd/libdigest-perl/libdigest-perl_1.17-1_all.deb Package: libdigest-sha-perl Version: 5.71-2+deb7u1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 163 Depends: perl (>= 5.14.2-21+rpi2), perlapi-5.14.2, libc6 (>= 2.13-28) Homepage: http://search.cpan.org/dist/Digest-SHA/ Priority: optional Section: perl Filename: pool/main/libd/libdigest-sha-perl/libdigest-sha-perl_5.71-2+deb7u1_armhf.deb Size: 57914 SHA256: 4f576cb2ca46cdcae7035a0147c96ff05e94267c5517bd3aac4baa48699d2a87 SHA1: 9e27ab64bd61fd9ea23e5e88057a88cbff41aec3 MD5sum: a80f0065361f74d129a049fd4e553e3a Description: Perl extension for SHA-1/224/256/384/512, SHA-512/224 and SHA-512/256 Digest::SHA is a complete implementation of the NIST Secure Hash Standard. It gives Perl programmers a convenient way to calculate SHA-1, SHA-224, SHA-256, SHA-384, SHA-512, SHA-512/224, and SHA-512/256 message digests. The module can handle all types of input, including partial-byte data. Package: libdigest-whirlpool-perl Version: 1.09-1 Architecture: armhf Maintainer: James Bromberger Installed-Size: 123 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/ Priority: optional Section: perl Filename: pool/main/libd/libdigest-whirlpool-perl/libdigest-whirlpool-perl_1.09-1_armhf.deb Size: 34612 SHA256: 2e5c452991dea4d2d67a830789cdb343f32414a58f80e46bb6d5a0df57f575e1 SHA1: 0a9ca8149f677afc6c6ef5bdc4c56b3bc1f39e49 MD5sum: fc04a4e38b93a9c3dea304203b5dc1da Description: A 512-bit, collision-resistant, one-way hash function Provides an interface to the WHIRLPOOL hash algorithm. This module subclasses Digest::base and can be used either directly or through the Digest meta-module. Using the latter is recommended. Package: libdime Source: dime Version: 0.20030921-2 Architecture: armhf Maintainer: A. Maitland Bottoms Installed-Size: 266 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Priority: optional Section: graphics Filename: pool/main/d/dime/libdime_0.20030921-2_armhf.deb Size: 101226 SHA256: c748c93fc593ce350fc99014d94e4507db6b1f234e27924609cc3908883686a6 SHA1: bd62ce988cc18ed741b8a4fa0ad7f5080cf1dc84 MD5sum: d647d66f72bb6e14294c58c31fe87755 Description: DXF Import, Manipulation, and Export library Dime is a C++ class library for reading, constructing, manipulating, and writing DXF file data. Package: libdime-dev Source: dime Version: 0.20030921-2 Installed-Size: 288 Maintainer: A. Maitland Bottoms Architecture: all Size: 28840 SHA256: 8bc0ce2c0167486146cfaacff886e9e8b7fdd7bcebe0c891e1f0dad12fe6a4f1 SHA1: 012c8aa0a42204114c01958ca5b07aed7507cb8a MD5sum: 6579aeb2114360946110d66063872b5d Description: DXF Import, Manipulation, and Export library - devel Dime is a C++ class library for reading, constructing, manipulating, and writing DXF file data. Tag: devel::lang:c++, devel::library, implemented-in::c++, role::devel-lib, works-with::3dmodel Section: libdevel Priority: optional Filename: pool/main/d/dime/libdime-dev_0.20030921-2_all.deb Package: libdime-doc Source: dime Version: 0.20030921-2 Installed-Size: 3784 Maintainer: A. Maitland Bottoms Architecture: all Size: 318414 SHA256: 7a1b53a32596b243416ba70199af93447d94e2a2ce9d1f99926fdb16b16c8fc5 SHA1: fe846e01b012f88bb79239be5621824550b377b1 MD5sum: 0c96b3f1fd37985c59933561f2090d27 Description: DXF Import, Manipulation, and Export library - devel Dime is a C++ class library for reading, constructing, manipulating, and writing DXF file data. . This dev package also contains doxygen generated documentation Tag: devel::doc, devel::lang:c++, devel::library, made-of::html, role::documentation, works-with::3dmodel Section: doc Priority: optional Filename: pool/main/d/dime/libdime-doc_0.20030921-2_all.deb Package: libdir-purge-perl Version: 1.02-2 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 11262 SHA256: 4b81c8994b07ad532c94386a2366258c874d0b102a253d3930b11e52070b42f5 SHA1: e946ce688c392a760e046672bb98c86729ac4300 MD5sum: 17fd745c3a4b599e9d012db5e81ebbb9 Description: Purge directories to a given number of files Dir::Purge implements functions to reduce the number of files in a directory according to a strategy. It currently provides one strategy: removal of files by age. Homepage: http://search.cpan.org/dist/Dir-Purge/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdir-purge-perl/libdir-purge-perl_1.02-2_all.deb Package: libdir-self-perl Version: 0.10-1 Installed-Size: 56 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 5754 SHA256: 9b8b0df3bf99aedcc7ccde8729d98bf0a2e970f268ec3cf11b2b572e36d7c941 SHA1: ac2e58a10e8bf5e08fa75b29fb942863009e5c71 MD5sum: c3dabcb06e21fd09108cbf9a010d86d6 Description: module providing a __DIR__ constant for the directory of the source file Perl has two pseudo-constants describing the current location in your source code, __FILE__ and __LINE__. Dir::Self adds __DIR__, which expands to the directory your source file is in, as an absolute pathname. . This is useful if your code wants to access files in the same directory, like helper modules or configuration data. This is a bit like FindBin except it's not limited to the main program, i.e. you can also use it in modules. And it actually works. Homepage: http://search.cpan.org/dist/Dir-Self/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdir-self-perl/libdir-self-perl_0.10-1_all.deb Package: libdirac-decoder0 Source: dirac Version: 1.0.2-6 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 519 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: libdirac0, libdirac0c2a Replaces: libdirac0, libdirac0c2a Multi-Arch: same Homepage: http://diracvideo.org/ Priority: optional Section: libs Filename: pool/main/d/dirac/libdirac-decoder0_1.0.2-6_armhf.deb Size: 287018 SHA256: 3b36d5b04a111c7869ac79f0f52ad1b8370e75bfd419b5dc0fd1c369e3f68c54 SHA1: 81916ae2b308f3092ea072249c1424b0fb91ab23 MD5sum: a2e2ab953ac691f32ccdd8289e973ad5 Description: open and royalty free high quality video codec - decoder library Dirac is an advanced royalty-free video compression format designed for a wide range of uses, from delivering low-resolution web content to broadcasting HD and beyond, to near-lossless studio editing. . This package contains the dirac decoder library. Package: libdirac-dev Source: dirac Version: 1.0.2-6 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 253 Depends: libdirac-decoder0 (= 1.0.2-6), libdirac-encoder0 (= 1.0.2-6) Multi-Arch: same Homepage: http://diracvideo.org/ Priority: optional Section: libdevel Filename: pool/main/d/dirac/libdirac-dev_1.0.2-6_armhf.deb Size: 157152 SHA256: 3932214050e74e043e45d4843dde156597cbf1a084f68a804e5d7fa3a0139a6a SHA1: 088acf28d22795c61a73c6aee511bb8ec032fb57 MD5sum: d46d7917d7c9135586ee095dc6ebe5af Description: open and royalty free high quality video codec - development files Dirac is an advanced royalty-free video compression format designed for a wide range of uses, from delivering low-resolution web content to broadcasting HD and beyond, to near-lossless studio editing. . This package contains the files necessary for development with dirac. Package: libdirac-doc Source: dirac Version: 1.0.2-6 Installed-Size: 19631 Maintainer: Debian Multimedia Maintainers Architecture: all Suggests: libdirac-dev (= 1.0.2-6) Size: 13657986 SHA256: 81ac8f91eb0fdb6612c38322d53f562e37e82b2748906ac07e7f472f3e956c28 SHA1: 5f59d78e60c1a03af38ae484b61e66b6608c5fe6 MD5sum: 7d41babee9bc22851b6dd5d21dfc1b9a Description: open and royalty free high quality video codec - library documentation Dirac is an advanced royalty-free video compression format designed for a wide range of uses, from delivering low-resolution web content to broadcasting HD and beyond, to near-lossless studio editing. . This package contains the HTML API documentation for dirac. Homepage: http://diracvideo.org/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/d/dirac/libdirac-doc_1.0.2-6_all.deb Package: libdirac-encoder0 Source: dirac Version: 1.0.2-6 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 644 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: libdirac0, libdirac0c2a Replaces: libdirac0, libdirac0c2a Multi-Arch: same Homepage: http://diracvideo.org/ Priority: optional Section: libs Filename: pool/main/d/dirac/libdirac-encoder0_1.0.2-6_armhf.deb Size: 349846 SHA256: 7134443dafe021314a10407e7e426bd2d8772268a24afe37940423d350e4de7d SHA1: 6f693c53a85628ff585b14080b5c4fcacf1a857d MD5sum: 43476280ad69ea0b7ac9286d0c2be8f9 Description: open and royalty free high quality video codec - encoder library Dirac is an advanced royalty-free video compression format designed for a wide range of uses, from delivering low-resolution web content to broadcasting HD and beyond, to near-lossless studio editing. . This package contains the dirac encoder library. Package: libdirectfb-1.2-9 Source: directfb Version: 1.2.10.0-5 Architecture: armhf Maintainer: Debian DirectFB Team Installed-Size: 1932 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libts-0.0-0 (>= 1.0) Multi-Arch: same Homepage: http://www.directfb.org/ Priority: optional Section: libs Filename: pool/main/d/directfb/libdirectfb-1.2-9_1.2.10.0-5_armhf.deb Size: 1094262 SHA256: 8c600ceaa559bf3a3b7e779b8813f6dce0533caebdbde497576a6bdb9d83fa9a SHA1: ce84b85552878a04b89ae4900c15fa6b7dcf7239 MD5sum: cb31316be90ea7064edd36065e1c6d8b Description: direct frame buffer graphics - shared libraries DirectFB is a graphics library which was designed with embedded systems in mind. It offers maximum hardware accelerated performance at a minimum of resource usage and overhead. Package: libdirectfb-1.2-9-dbg Source: directfb Version: 1.2.10.0-5 Architecture: armhf Maintainer: Debian DirectFB Team Installed-Size: 3131 Depends: libdirectfb-1.2-9 (= 1.2.10.0-5) Multi-Arch: same Homepage: http://www.directfb.org/ Priority: extra Section: debug Filename: pool/main/d/directfb/libdirectfb-1.2-9-dbg_1.2.10.0-5_armhf.deb Size: 2714524 SHA256: f76cda1edcd295591f138500f6f47ceb81e260e5f594aec02bc3e2d1c8156a8b SHA1: 88776510765ac037abf3b560fde802fcff853cbd MD5sum: fb3aa4cb83ab0208150120e5b3628dda Description: direct frame buffer graphics - shared libraries debug symbols DirectFB is a graphics library which was designed with embedded systems in mind. It offers maximum hardware accelerated performance at a minimum of resource usage and overhead. . This package contains DirectFB shared libraries debugging symbols. Package: libdirectfb-bin Source: directfb Version: 1.2.10.0-5 Architecture: armhf Maintainer: Debian DirectFB Team Installed-Size: 173 Depends: libdirectfb-1.2-9 (= 1.2.10.0-5), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4) Homepage: http://www.directfb.org/ Priority: optional Section: libs Filename: pool/main/d/directfb/libdirectfb-bin_1.2.10.0-5_armhf.deb Size: 61616 SHA256: 96d2135ca4afcd1583fbd60a95331322f166264c1f2db10bbea4f7a89d0b68bd SHA1: 3056f388a581a6f0eadace8537100ed790751fc4 MD5sum: cb942f5fbcfe13418eca5f2d4100a288 Description: direct frame buffer graphics - binaries DirectFB is a graphics library which was designed with embedded systems in mind. It offers maximum hardware accelerated performance at a minimum of resource usage and overhead. . This package contains the DirectFB background configuration tool and the directfbrc man page. Package: libdirectfb-bin-dbg Source: directfb Version: 1.2.10.0-5 Architecture: armhf Maintainer: Debian DirectFB Team Installed-Size: 899 Depends: libdirectfb-1.2-9-dbg (= 1.2.10.0-5), libdirectfb-bin (= 1.2.10.0-5) Homepage: http://www.directfb.org/ Priority: extra Section: debug Filename: pool/main/d/directfb/libdirectfb-bin-dbg_1.2.10.0-5_armhf.deb Size: 787884 SHA256: f31d7b9d3ba9f032e78615f69e49f914c03d58b4edc513800e758d2f2fda0530 SHA1: e894c88939e508ef7fcad799139e4fb5804fdbc7 MD5sum: 9d07af9edce667597511e331d4589314 Description: direct frame buffer graphics - binaries debug symbols DirectFB is a graphics library which was designed with embedded systems in mind. It offers maximum hardware accelerated performance at a minimum of resource usage and overhead. . This package contains DirectFB binaries debugging symbols. Package: libdirectfb-dev Source: directfb Version: 1.2.10.0-5 Architecture: armhf Maintainer: Debian DirectFB Team Installed-Size: 2791 Depends: libdirectfb-1.2-9 (= 1.2.10.0-5), libdirectfb-extra, libfreetype6-dev, libjpeg-dev, libpng-dev, libx11-dev, libxext-dev, x11proto-core-dev, zlib1g-dev (>= 1:1.1.3), libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4) Homepage: http://www.directfb.org/ Priority: optional Section: libdevel Filename: pool/main/d/directfb/libdirectfb-dev_1.2.10.0-5_armhf.deb Size: 809994 SHA256: b29dc43e4aab63cd18f59a1ee69480c68667853c35a8b768f79b1a5b090f19f3 SHA1: 187ef77cf4860c8578faf1c10997be9288cf60c6 MD5sum: 52ca160a1efab7b8a550e1c2d96f2918 Description: direct frame buffer graphics library - development files DirectFB is a graphics library which was designed with embedded systems in mind. It offers maximum hardware accelerated performance at a minimum of resource usage and overhead. . This package contains the files needed to compile and link programs which use DirectFB. Package: libdirectfb-extra Source: directfb Version: 1.2.10.0-5 Architecture: armhf Maintainer: Debian DirectFB Team Installed-Size: 117 Pre-Depends: multiarch-support Depends: libdirectfb-1.2-9 (= 1.2.10.0-5), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libx11-6, libxext6 Multi-Arch: same Homepage: http://www.directfb.org/ Priority: optional Section: libs Filename: pool/main/d/directfb/libdirectfb-extra_1.2.10.0-5_armhf.deb Size: 32330 SHA256: cdf5b76d8153841aaf75a3962214945365df3644b8411884dbfd6ae650aea141 SHA1: 48024d3c51a8256dbea3a41886f78227166fbc76 MD5sum: 13fb726fcddbcfcc7ff8aee57e4b1f27 Description: direct frame buffer graphics - extra providers DirectFB is a graphics library which was designed with embedded systems in mind. It offers maximum hardware accelerated performance at a minimum of resource usage and overhead. . This package contains the following providers: . * image PNG * image JPEG * font FreeType * system X11 Package: libdirectfb-extra-dbg Source: directfb Version: 1.2.10.0-5 Architecture: armhf Maintainer: Debian DirectFB Team Installed-Size: 693 Depends: libdirectfb-1.2-9-dbg (= 1.2.10.0-5), libdirectfb-extra (= 1.2.10.0-5) Multi-Arch: same Homepage: http://www.directfb.org/ Priority: extra Section: debug Filename: pool/main/d/directfb/libdirectfb-extra-dbg_1.2.10.0-5_armhf.deb Size: 633550 SHA256: e5796b6a72470e590aa0a90ca03b6a12a213d67d22939e5346c67054b4f67c6b SHA1: 3118ad97803b404327739232b2eb8b6d32f7252d MD5sum: 66f8a67bbada4510d645e0511c5e88c7 Description: direct frame buffer graphics - extra provider debug symbols DirectFB is a graphics library which was designed with embedded systems in mind. It offers maximum hardware accelerated performance at a minimum of resource usage and overhead. . This package contains DirectFB extra provide debugging symbols. Package: libdirectory-scratch-perl Version: 0.14-1 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libpath-class-perl, libfile-slurp-perl (>= 9999.12) Recommends: libstring-random-perl Size: 18018 SHA256: 69b2a5cc1b2662b8d0aeff2cc687de4b40710979cfe98c77b24f9ef9305d3f75 SHA1: b4a89f3e3566e32ffb0640fa793a7a271b3fe6ee MD5sum: 0fc001eca5d37ec4d42cf56889aecb89 Description: easy-to-use self-cleaning scratch space When writing test suites for modules that operate on files, it's often inconvenient to correctly create a platform-independent temporary storage space, manipulate files inside it, then clean it up when the test exits. The inconvenience usually results in tests that don't work everywhere, or worse, no tests at all. . Directory::Scratch aims to eliminate that problem by making it easy to do things right. Homepage: http://search.cpan.org/dist/Directory-Scratch/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdirectory-scratch-perl/libdirectory-scratch-perl_0.14-1_all.deb Package: libdirectory-scratch-structured-perl Version: 0.04-1 Installed-Size: 68 Maintainer: David Paleino Architecture: all Depends: perl, libdata-treedumper-perl, libdirectory-scratch-perl, libreadonly-perl, libsub-exporter-perl, libsub-install-perl Size: 7836 SHA256: 18e7ac5fe6e9635dbb86e310b8d861df83154a876dafa77860b2b57c8e5575d6 SHA1: e7d4efb9c229d97f6ad6f831b391f7fd2773e806 MD5sum: 0a58a99c3157672307b1a7d5a0d38b8c Description: creates temporary files and directories from a structured description This package extends the Directory::Scratch module by adding a create_structured_tree subroutine, which can accept an Array or an Hash as arguments, creating files or directories, respectively. Homepage: http://search.cpan.org/dist/Directory-Scratch-Structured/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdirectory-scratch-structured-perl/libdirectory-scratch-structured-perl_0.04-1_all.deb Package: libdisasm-dev Source: libdisasm Version: 0.23-5 Architecture: armhf Maintainer: Kees Cook Installed-Size: 393 Depends: libdisasm0 (= 0.23-5) Multi-Arch: same Homepage: http://bastard.sourceforge.net/libdisasm.html Priority: optional Section: libdevel Filename: pool/main/libd/libdisasm/libdisasm-dev_0.23-5_armhf.deb Size: 75938 SHA256: 17e056ef21615b0460c39abc2e25f9b99ec328abe47419c818bfd9cd3c46f94d SHA1: 27ca03707a57accefc3fc6482eb992e2a1eb3aa1 MD5sum: b26c38853c679879f455a311d6b97bef Description: disassembler library for x86 code (development files) Libdisasm is a disassembler for Intel x86-compatible object code. It compiles as a shared and static library on Linux, FreeBSD, and Win32 platforms. The core disassembly engine is contained in files with the prefix "i386", and is shared with the x86 ARCH extension of the bastard disassembler. . This package contains the development files. Package: libdisasm0 Source: libdisasm Version: 0.23-5 Architecture: armhf Maintainer: Kees Cook Installed-Size: 303 Pre-Depends: multiarch-support Depends: libc6 (>= 2.4) Suggests: x86dis Multi-Arch: same Homepage: http://bastard.sourceforge.net/libdisasm.html Priority: optional Section: libs Filename: pool/main/libd/libdisasm/libdisasm0_0.23-5_armhf.deb Size: 41322 SHA256: d2da704f3d4ea1092bbd57fbb4e234748afcce14b60e38de8ca238840ea15a7b SHA1: 94c5734bd421698d16983514ce309a8f02e2b902 MD5sum: 1e86704a3d05fd7e845c4b132d947ffe Description: disassembler library for x86 code Libdisasm is a disassembler for Intel x86-compatible object code. It compiles as a shared and static library on Linux, FreeBSD, and Win32 platforms. The core disassembly engine is contained in files with the prefix "i386", and is shared with the x86 ARCH extension of the bastard disassembler. Package: libdiscid0 Source: libdiscid Version: 0.2.2-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 55 Pre-Depends: multiarch-support Depends: libc6 (>= 2.4) Multi-Arch: same Homepage: http://musicbrainz.org/doc/libdiscid Priority: optional Section: libs Filename: pool/main/libd/libdiscid/libdiscid0_0.2.2-3_armhf.deb Size: 12332 SHA256: 6942f104b8e594f547359a03c10e855ee0318b69a89d865cfa0f5cd09adac202 SHA1: e07f5ca2dccb0c012f046804898131d5b5733f3b MD5sum: 104c39ac5922bb070d7f7fb2b30ec7bc Description: Library for creating MusicBrainz DiscIDs libdiscid is a library for creating MusicBrainz DiscIDs from audio CDs. It reads a CD's table of contents (TOC) and generates an identifier which can be used to lookup the CD at MusicBrainz (http://musicbrainz.org). Additionally, it provides a submission URL for adding the DiscID to the database. Package: libdiscid0-dev Source: libdiscid Version: 0.2.2-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 81 Depends: libdiscid0 (= 0.2.2-3) Multi-Arch: same Homepage: http://musicbrainz.org/doc/libdiscid Priority: optional Section: libdevel Filename: pool/main/libd/libdiscid/libdiscid0-dev_0.2.2-3_armhf.deb Size: 15252 SHA256: 620d5a3d04ff1b5818c41ab232cbbabd04e2eb44675c98d6d585f648cb0cfdc7 SHA1: 389a808d15ae550c6033818e012d9cc0e34845e0 MD5sum: cf9c04bb7b8744e3efef1cca6adfc3da Description: Library for creating MusicBrainz DiscIDs (development files) libdiscid is a library for creating MusicBrainz DiscIDs from audio CDs. It reads a CD's table of contents (TOC) and generates an identifier which can be used to lookup the CD at MusicBrainz (http://musicbrainz.org). Additionally, it provides a submission URL for adding the DiscID to the database. . This is the development package which contains headers and libraries for libdiscid. Package: libdiscover-dev Source: discover Version: 2.1.2-5.2 Architecture: armhf Maintainer: Debian Install System Team Installed-Size: 729 Depends: libdiscover2 (= 2.1.2-5.2), libexpat1-dev Priority: optional Section: libdevel Filename: pool/main/d/discover/libdiscover-dev_2.1.2-5.2_armhf.deb Size: 118980 SHA256: 0b9c58f20af8a4139dd26e2300e160af507cc22f8202f117c863b4cfe5ee9e09 SHA1: 37c3448c11624e09dd3b53ae43046f0618b9b2c6 MD5sum: f8daa56f1d658e9c4d8c2c10bff56cc8 Description: hardware identification library development files libdiscover is a library enabling identification of various PCI, PCMCIA, and USB devices. . This package contains the header files and static libraries needed for development. Package: libdiscover2 Source: discover Version: 2.1.2-5.2 Architecture: armhf Maintainer: Debian Install System Team Installed-Size: 275 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), discover-data (>> 2.2004.04) Conflicts: discover (<< 2.0), discover1 (<< 2.0), libdiscover1 (<< 2.0) Priority: optional Section: libs Filename: pool/main/d/discover/libdiscover2_2.1.2-5.2_armhf.deb Size: 100130 SHA256: 4b35ebc240e29bc1ab0ba9415546bbc697b5866130e52c784902a6241fe3107e SHA1: 817539336e5673c828dbc7a24309fa1aedae412d MD5sum: 06458000944ec9c2c7f0f02ee9be73e4 Description: hardware identification library libdiscover is a library enabling identification of various PCI, PCMCIA, and USB devices. Package: libdispatch-dev Source: libdispatch Version: 0~svn197-3.1+rpi1 Architecture: armhf Maintainer: Mark Heily Installed-Size: 199 Depends: libdispatch0 (= 0~svn197-3.1+rpi1), libkqueue-dev, libblocksruntime-dev, libpthread-workqueue-dev Recommends: clang Homepage: http://libdispatch.macosforge.org/ Priority: extra Section: libdevel Filename: pool/main/libd/libdispatch/libdispatch-dev_0~svn197-3.1+rpi1_armhf.deb Size: 60268 SHA256: 2b641d38ab1eaa878fb60596bc34e288a1f1e975ea99c0be0f8e33725a9a6b94 SHA1: 3d26905787a11870c31a9ccb2dbbf7a7e733b533 MD5sum: b4e9eab5fd59b518ecf5664dec2cbc1c Description: development files for the Grand Central Dispatch API The libdispatch project consists of the user space implementation of the Grand Central Dispatch API as seen in Mac OS X version 10.6 (Snow Leopard). . This package contains the headers, manpages, and other files needed to build programs that use libdispatch. Package: libdispatch0 Source: libdispatch Version: 0~svn197-3.1+rpi1 Architecture: armhf Maintainer: Mark Heily Installed-Size: 82 Depends: libblocksruntime0 (>= 0.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkqueue0, libpthread-workqueue0 Homepage: http://libdispatch.macosforge.org/ Priority: extra Section: libs Filename: pool/main/libd/libdispatch/libdispatch0_0~svn197-3.1+rpi1_armhf.deb Size: 27652 SHA256: 4aee8fb079f2dcb89b16479ee2dbb84bae29177e220b2446a66e8034111a71f1 SHA1: c596051593ecf19f1fb514c42e16076e06379263 MD5sum: 4a68d41929e4316d6262b28e6934b64b Description: user space implementation of the Grand Central Dispatch API The libdispatch project consists of the user space implementation of the Grand Central Dispatch API as seen in Mac OS X version 10.6 (Snow Leopard). Package: libdisplaymigration0 Source: libdisplaymigration Version: 0.28-10 Architecture: armhf Maintainer: Moray Allan Installed-Size: 45 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libx11-6 Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libd/libdisplaymigration/libdisplaymigration0_0.28-10_armhf.deb Size: 7824 SHA256: aeab3890e5853ae552dc6b500303cc2ffdb150c88b6f713eb05ed1c7505e36fa SHA1: 788896bbd9c4e908db50d09d888e52ddcd45af7a MD5sum: 7dc9dc4651390800c0438560b8719cf5 Description: display migration support for GTK [runtime] This package contains runtime files for libdisplaymigration, a library which provides display migration support for GTK. X properties are used to advertise that windows are capable of migration, and to request windows to migrate to a specified display. Package: libdisplaymigration0-dbg Source: libdisplaymigration Version: 0.28-10 Architecture: armhf Maintainer: Moray Allan Installed-Size: 69 Depends: libdisplaymigration0 (= 0.28-10) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/libd/libdisplaymigration/libdisplaymigration0-dbg_0.28-10_armhf.deb Size: 26092 SHA256: ca07f3946f7ba469b58af806bcf57caeecc3a459ddd84b9d5767ec6a90dab56a SHA1: 55e19a2f76ab50059d9afacd9babf7079f19ed5a MD5sum: 0b20c035b3238164927ae6d2bee5ed48 Description: display migration support for GTK [debugging] This package contains debugging files for libdisplaymigration, a library which provides display migration support for GTK. X properties are used to advertise that windows are capable of migration, and to request windows to migrate to a specified display. Package: libdisplaymigration0-dev Source: libdisplaymigration Version: 0.28-10 Architecture: armhf Maintainer: Moray Allan Installed-Size: 45 Depends: libdisplaymigration0 (= 0.28-10) Provides: libdisplaymigration-dev Multi-Arch: same Priority: optional Section: libdevel Filename: pool/main/libd/libdisplaymigration/libdisplaymigration0-dev_0.28-10_armhf.deb Size: 4048 SHA256: 7c0376cc231b5f914da273cc4e45af30a7000e43c172d6ef609a7c93991456c5 SHA1: 6052a479f5b4eb70b691df1865268b19b5edf520 MD5sum: cad225832c5a4a151ad77dad8a43db1e Description: display migration support for GTK [development] This package contains development files for libdisplaymigration, a library which provides display migration support for GTK. X properties are used to advertise that windows are capable of migration, and to request windows to migrate to a specified display. Package: libdist-metadata-perl Version: 0.923-1 Installed-Size: 109 Maintainer: Debian Perl Group Architecture: all Depends: perl, libarchive-zip-perl, libcpan-distnameinfo-perl (>= 0.12), libfile-spec-native-perl, libpath-class-perl (>= 0.24), libtry-tiny-perl (>= 0.09) Size: 37728 SHA256: 72de6d906539aedd13c01f76857bfbc5cb8e30d799a717284f33d807218fd9fc SHA1: 1b122e6bde0e97b55cac1e6133ee97e4481139aa MD5sum: f276cb06f9e1404550cb5e8032b921af Description: module for getting information about a perl module distribution Dist::Metadata provides an easy interface for getting various metadata about a Perl module distribution. . This is mostly a wrapper around CPAN::Meta providing an easy interface to find and load the meta file from a tar.gz file. A dist can also be represented by a directory or merely a structure of data. . If the dist does not contain a meta file the module will attempt to determine some of that data from the dist. Homepage: http://search.cpan.org/dist/Dist-Metadata/ Section: perl Priority: optional Filename: pool/main/libd/libdist-metadata-perl/libdist-metadata-perl_0.923-1_all.deb Package: libdist-zilla-perl Version: 4.300020-1 Installed-Size: 797 Maintainer: Debian Perl Group Architecture: all Depends: perl, libapp-cmd-perl (>= 0.309), libautobox-perl, libclass-load-perl, libconfig-ini-perl, libconfig-mvp-perl (>= 2.200002), libconfig-mvp-reader-ini-perl, libcpan-meta-perl (>= 2.120630), libcpan-meta-requirements-perl, libcpan-uploader-perl, libdata-section-perl, libdatetime-perl, libfile-copy-recursive-perl, libfile-find-rule-perl, libfile-homedir-perl, libfile-pushd-perl, libfile-sharedir-install-perl, libfile-sharedir-perl, libhash-merge-simple-perl, libio-tiecombine-perl, libjson-perl, liblist-moreutils-perl, liblog-dispatchouli-perl (>= 1.102220), libmoose-autobox-perl, libmoose-perl (>= 0.92), libmoosex-lazyrequire-perl, libmoosex-role-parameterized-perl, libmoosex-setonce-perl, libmoosex-types-path-class-perl, libmoosex-types-perl, libmoosex-types-perl-perl, libnamespace-autoclean-perl, libpath-class-perl, libperl-prereqscanner-perl (>= 1.005), libperl-version-perl, libpod-eventual-perl, libppi-perl, libsoftware-license-perl, libstring-formatter-perl, libstring-rewriteprefix-perl, libsub-exporter-formethods-perl, libterm-readkey-perl, libtest-deep-perl, libtext-glob-perl, libtext-template-perl, libtry-tiny-perl, libyaml-tiny-perl, perl (>= 5.10.1) | libautodie-perl, perl (>= 5.10.1) | libparent-perl Recommends: bash-completion, libterm-readline-gnu-perl Size: 390448 SHA256: 1ecea79ba26e49c545f4f288ef315e80055bf0b3c20b3339c3303e7fcbf7351f SHA1: b197e6c2af2f27b633a7e3643635325732824c9c MD5sum: d93de26fe25ff0e94c249d4a532fc678 Description: Perl distribution builder Dist::Zilla builds distributions of code to be uploaded to the CPAN. In this respect, it is like ExtUtils::MakeMaker, Module::Build, or Module::Install. Unlike those tools, however, it is not also a system for installing code that has been downloaded from the CPAN. Since it's only run by authors, and is meant to be run on a repository checkout rather than on published, released code, it can do much more than those tools, and is free to make much more ludicrous demands in terms of prerequisites. Homepage: http://search.cpan.org/dist/Dist-Zilla/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdist-zilla-perl/libdist-zilla-perl_4.300020-1_all.deb Package: libdist-zilla-plugin-changelogfromgit-perl Version: 0.005-1 Installed-Size: 81 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-perl (>= 2:0.6600), libdist-zilla-perl, libgit-repository-perl, libmoose-autobox-perl, libsoftware-release-perl Size: 19266 SHA256: bc33456b14bb1568efa62057439c393fe0a61d6c780c9e1ada99a66a4f3e7a1c SHA1: 3cef83c64c5147965f3ec1828b2eddf4b3877502 MD5sum: 00e10bb362ba5b84a2e01342d5018ea0 Description: Dist::Zilla plugin to build a Changes file from a project's git log Dist::Zilla::Plugin::ChangelogFromGit is a Dist::Zilla plugin that writes a CHANGES file that contains formatted commit information from recent git logs. Homepage: http://search.cpan.org/dist/Dist-Zilla-Plugin-ChangelogFromGit/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdist-zilla-plugin-changelogfromgit-perl/libdist-zilla-plugin-changelogfromgit-perl_0.005-1_all.deb Package: libdist-zilla-plugin-git-perl Version: 1.121820-1 Installed-Size: 142 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-perl, libdist-zilla-perl, libfile-chdir-perl, libfile-find-rule-perl, libfile-homedir-perl, libgit-wrapper-perl (>= 0.021), libipc-system-simple-perl, liblist-allutils-perl, libmoose-autobox-perl, libmoose-perl (>= 2) | libclass-mop-perl, libmoose-perl, libmoosex-attributeshortcuts-perl, libmoosex-has-sugar-perl, libmoosex-types-perl, libmoosex-types-path-class-perl, libnamespace-autoclean-perl, libpath-class-perl, libstring-formatter-perl, libtry-tiny-perl, libversion-next-perl, perl (>= 5.11.4) | libversion-perl Size: 50324 SHA256: 9cebd0febb427b5e1461dcc486e20b9f47f2ede71b1acb7b6a0eb91cca01a3a0 SHA1: f1fa94f4648eb3c615e3d88487ea36c4271f4e62 MD5sum: ed324da34c8f7ec0f756280bc5357dcf Description: Dist::Zilla plugins to update your git repository after release Set of plugins for Dist::Zilla intended to help module authors that use git to track their work. . The following plugins are provided in this distribution: * Dist::Zilla::Plugin::Git::Check - check git repository before releasing * Dist::Zilla::Plugin::Git::Commit - commit dirty files * Dist::Zilla::Plugin::Git::CommitBuild - check build results on separate branch * Dist::Zilla::Plugin::Git::GatherDir - gather all tracked files in a Git working directory * Dist::Zilla::Plugin::Git::Init - initialize git repository on dzil new * Dist::Zilla::Plugin::Git::NextVersion - provide a version number by bumping the last git release tag * Dist::Zilla::Plugin::Git::Push - push current branch * Dist::Zilla::Plugin::Git::Tag - tag the new version Homepage: http://search.cpan.org/dist/Dist-Zilla-Plugin-Git/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdist-zilla-plugin-git-perl/libdist-zilla-plugin-git-perl_1.121820-1_all.deb Package: libdist-zilla-plugin-podspellingtests-perl Version: 1.111520-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdist-zilla-perl, libtest-spelling-perl, libmoose-perl, libpod-wordlist-hanekomu-perl Enhances: libdist-zilla-perl Size: 8358 SHA256: 18cdc0297c0ff500ba174f50da1f31dc8285d05be40d432f40e0e9907a6adf79 SHA1: 3ae6f1bc285ab7ac211e5652e4a265febe1940c6 MD5sum: 31081f6a446b18e38efc27c30bc5fd52 Description: release tests for POD spelling Dist::Zilla::Plugin::PodSpellingTests Perl module is an extension of Dist::Zilla::Plugin::InlineFiles, providing the following file: . xt/release/pod-spell.t - a standard Test::Spelling test Homepage: http://search.cpan.org/dist/Dist-Zilla-Plugin-PodSpellingTests/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdist-zilla-plugin-podspellingtests-perl/libdist-zilla-plugin-podspellingtests-perl_1.111520-1_all.deb Package: libdist-zilla-plugin-podweaver-perl Version: 3.101641-1 Installed-Size: 20 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdist-zilla-perl (>= 4), liblist-moreutils-perl, libmoose-autobox-perl, libmoose-perl, libnamespace-autoclean-perl, libpod-elemental-perl, libpod-elemental-perlmunger-perl, libpod-weaver-perl, libppi-perl Enhances: libdist-zilla-perl Size: 7474 SHA256: b912bda4027ff5242e1a114f93c54662955d14bc31bb4551d0121c5f7a4f0af4 SHA1: 8e258672bc096ec377850f1e6cdc0d917b91dfeb MD5sum: 1205ea5af7d489ca6579aa363e17032b Description: Dist::Zilla plugin to use Pod::Weaver to generate Pod documentation Dist::Zilla::Plugin::PodWeaver is the bridge between Dist::Zilla and Pod::Weaver. It rips apart your kinda-Pod and reconstructs it as boring old real Pod. Homepage: http://search.cpan.org/dist/Dist-Zilla-Plugin-PodWeaver/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdist-zilla-plugin-podweaver-perl/libdist-zilla-plugin-podweaver-perl_3.101641-1_all.deb Package: libdist-zilla-plugin-prepender-perl Version: 1.112280-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdist-zilla-perl, libmoose-perl, libmoosex-has-sugar-perl Size: 8232 SHA256: 2a02cba13d3417dcb8f7ee74e2740b946a84706ebb19ae9bb5401083ad87682b SHA1: bd2fe24e9d12d3d1b0650e92a075e6a7eafb391a MD5sum: 95cca11b765c275cbba5d218f61d02dd Description: Dist::Zille plugin to prepend lines at the top of your perl files Dist::Zilla::Plugin::Prepender will prepend lines specified in dist.ini to each Perl module or program within your Perl distribution. For scripts having a shebang line, lines will be inserted just after it. . This module useful to enforce a set of pragmas to your files (since pragmas are lexical, they will be active for the whole file), or to add some copyright comments, as recommended by the Free Software Foundation. Homepage: http://search.cpan.org/dist/Dist-Zilla-Plugin-Prepender/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdist-zilla-plugin-prepender-perl/libdist-zilla-plugin-prepender-perl_1.112280-1_all.deb Package: libdist-zilla-plugin-run-perl Version: 0.013-1 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdist-zilla-perl, libmoose-perl, libnamespace-autoclean-perl (>= 0.11), libstring-formatter-perl (>= 0.102082) Size: 25504 SHA256: 37a09b3d74c41d0035103824fdce7494237ce5541436717ce1aefe7774c5e6ac SHA1: a2dc23f64876322ac8481258fab81beaccbd63a6 MD5sum: 2c4e808141a24eca1fe0af6db7964dd7 Description: Dist::Zilla plugin to execute external commands Dist::Zilla::Plugin::Run uses specific hooks of Dist::Zilla to execute external command when running dzil. This module is useful to ship generated code in a Perl module distribution. Homepage: http://search.cpan.org/dist/Dist-Zilla-Plugin-Run/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdist-zilla-plugin-run-perl/libdist-zilla-plugin-run-perl_0.013-1_all.deb Package: libdist-zilla-plugins-cjm-perl Version: 4.09-1 Installed-Size: 133 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.10.1), libcpan-meta-perl (>= 2.113640), libdist-zilla-perl (>= 4.300009), libfile-homedir-perl, libmoose-autobox-perl, libmoose-perl, libpath-class-perl, perl (>= 5.13.9) | libmodule-metadata-perl Recommends: libgit-wrapper-perl Size: 67834 SHA256: 4c9f842bf20935e3a98c9abf9a64ebe62ad9b5e9841387e37570195413992390 SHA1: 1594cfc8bdd08807ae026aa9927b3eb6922b4b12 MD5sum: fa0f6c38e0c7e0c4abcce76b222015c7 Description: collection of CJM's plugins for Dist::Zilla Collection of Dist::Zilla plugins. This package features the following Perl modules: . * Dist::Zilla::Plugin::ArchiveRelease * Dist::Zilla::Plugin::GitVersionCheckCJM * Dist::Zilla::Plugin::MakeMaker::Custom * Dist::Zilla::Plugin::Metadata * Dist::Zilla::Plugin::ModuleBuild::Custom * Dist::Zilla::Plugin::RecommendedPrereqs * Dist::Zilla::Plugin::TemplateCJM * Dist::Zilla::Plugin::Test::PrereqsFromMeta * Dist::Zilla::Plugin::VersionFromModule * Dist::Zilla::Role::HashDumper * Dist::Zilla::Role::ModuleInfo Homepage: http://search.cpan.org/dist/Dist-Zilla-Plugins-CJM/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdist-zilla-plugins-cjm-perl/libdist-zilla-plugins-cjm-perl_4.09-1_all.deb Package: libdistorm64-1 Source: distorm64 Version: 1.7.30-1 Architecture: armhf Maintainer: David Martínez Moreno Installed-Size: 174 Depends: libc6 (>= 2.4) Homepage: http://ragestorm.net/distorm/ Priority: extra Section: libs Filename: pool/main/d/distorm64/libdistorm64-1_1.7.30-1_armhf.deb Size: 58888 SHA256: 0265d7cbecc67579c64918eb62f49a2129295e468b51c0b9f83281bb5626f3bf SHA1: 5a161a44b1189c3046697c00c9da3e14320585b0 MD5sum: 455137ed1d0d95d6db8c328006b680f0 Description: ultimate disassembler library for x86 code Distorm64 is a fast disassembler library for the x86-64 instruction set. Main features are: - It's really fast. - It supports multi-threading. - It supports AMD64, and all other 80x86 instruction sets. - It supports up to date instruction sets, such as VMX and SSE4. - It handles instruction prefixes in a serious manner. - Unused/extra prefixes are dropped (output as DB'ed). - Lock prefix works only on lockable instructions if the first operand is in the form of memory indirection. - REPn/z prefix works only on repeatable string instructions as well as I/O instructions. - Segment Override prefixes are possible where memory indirection address is being used (and specially treated with string and I/O instructions). - Some SSE2 instructions support pseudo opcodes (CMP family). - "Native" instructions, those which have the same mnemonic in different decoding modes, unless there's an operand size prefix, which then a suffix letter is concatenated to the mnemonic in order to indicate the operation size (instructions like: PUSHA, IRET, etc.). - XLAT instruction is treated specially when prefixed. - Drops invalid instructions when their operands are invalid. - Won't decode instructions which are longer than 15 bytes. - CR8 register is now accessible using the Lock prefix in 32 bits decoding mode. - In 64-bit decoding mode the Segment Override prefixes CS, DS, ES and SS are ignored. Package: libdistorm64-dev Source: distorm64 Version: 1.7.30-1 Architecture: armhf Maintainer: David Martínez Moreno Installed-Size: 325 Depends: libdistorm64-1 (= 1.7.30-1) Homepage: http://ragestorm.net/distorm/ Priority: extra Section: libdevel Filename: pool/main/d/distorm64/libdistorm64-dev_1.7.30-1_armhf.deb Size: 104448 SHA256: 6447f751b33dd0bc6237364ff98db98337bf2c4858168cc95df9fa7cf8e6a230 SHA1: 94d1d186ef346421621abfe32d1e676844814d82 MD5sum: d73cb2533839981bee5be98411bf35a0 Description: ultimate disassembler library for x86 code - header files Distorm64 is a fast disassembler library for the x86-64 instruction set. These are the header files. For more information, see the libdistorm64-1 package description. Package: libdistro-info-perl Source: distro-info Version: 0.10 Installed-Size: 44 Maintainer: Benjamin Drung Architecture: all Depends: distro-info-data, perl Size: 5444 SHA256: d082d3a1ff6565447d8b4403f805cddb51e4bb49d0bf17055356861341541ea3 SHA1: 4d052ad92936c7ddc961eb732c0c8d8dacf3acc3 MD5sum: e45d83db40c65e9b2bb5369d873b10c1 Description: information about distributions' releases (Perl module) Information about all releases of Debian and Ubuntu. . This package contains a Perl module for parsing the data in distro-info-data. There is also a command line interface in the distro-info package. Tag: devel::debian, devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, scope::utility Section: perl Priority: optional Filename: pool/main/d/distro-info/libdistro-info-perl_0.10_all.deb Package: libdivecomputer-dev Source: libdivecomputer Version: 0.1.0-3 Architecture: armhf Maintainer: Khalid El Fathi Installed-Size: 378 Depends: libdivecomputer0 (= 0.1.0-3) Homepage: http://divesoftware.org/libdc Priority: extra Section: libdevel Filename: pool/main/libd/libdivecomputer/libdivecomputer-dev_0.1.0-3_armhf.deb Size: 102336 SHA256: 4c4b683e8315f26619b4a73b85bdb91cba497cb1bcce53f947a56ca5977d05d0 SHA1: 07da76b95521a398da8b2a028a6960518017ceb7 MD5sum: 301bcf05ebf6277e2272aed8ed49b3d8 Description: dive computer interface library - development files libdivecomputer is an interface library for common dive computers . The libdivecomputer library allows interfacing with several common dive computers from multiple manufacturers. . This package holds the development files. Package: libdivecomputer0 Source: libdivecomputer Version: 0.1.0-3 Architecture: armhf Maintainer: Khalid El Fathi Installed-Size: 227 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Homepage: http://divesoftware.org/libdc Priority: extra Section: libs Filename: pool/main/libd/libdivecomputer/libdivecomputer0_0.1.0-3_armhf.deb Size: 84756 SHA256: 367368f1e1e0d87cea748bb1bece8b19443db19ce7d491713c8309a3ac407375 SHA1: 907777f3a1abb15d6ed0e88900af946b657443df MD5sum: 3d20015c34210303d45ace216c931109 Description: dive computer interface library libdivecomputer is an interface library for common dive computers . The libdivecomputer library allows interfacing with several common dive computers from multiple manufacturers. . This package holds the shared library. Package: libdjconsole-data Source: libdjconsole Version: 0.1.3-1 Installed-Size: 104 Maintainer: Adrien Cunin Architecture: all Size: 4452 SHA256: 0b19dbb98bf20cfce76dd9b05135c0561d8707b7e21403363f2b6c4a0359b89b SHA1: ead4a97dde9696cac0a84205883a92ffdd1ae147 MD5sum: 2ffc6d5cf0d4dacc874d6267c5abf902 Description: Hercules DJ Console access library - data files The DJ Console library is a C++ library that facilitates access to the Hercules DJ Console MK I and MK II via libusb. It supports all non-audio functions such as LEDs, buttons and controllers. . This package contains the data files. Section: libs Priority: optional Filename: pool/main/libd/libdjconsole/libdjconsole-data_0.1.3-1_all.deb Package: libdjconsole-dev Source: libdjconsole Version: 0.1.3-1 Architecture: armhf Maintainer: Adrien Cunin Installed-Size: 73 Depends: libdjconsole0 (= 0.1.3-1), libusb-dev Priority: optional Section: libdevel Filename: pool/main/libd/libdjconsole/libdjconsole-dev_0.1.3-1_armhf.deb Size: 13142 SHA256: c1895bfa1509d13afdd124355b84f56e823fdcf7b00feafa5d27a03464423b1d SHA1: cf4560a06514049adb0bcc7f667b8b346f2d5cb2 MD5sum: 9c35d7fbd5575a057df2489ab57e4ea5 Description: Hercules DJ Console access library - development headers The DJ Console library is a C++ library that facilitates access to the Hercules DJ Console MK I and MK II via libusb. It supports all non-audio functions such as LEDs, buttons and controllers. . This package contains the development headers. Package: libdjconsole0 Source: libdjconsole Version: 0.1.3-1 Architecture: armhf Maintainer: Adrien Cunin Installed-Size: 50 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libdjconsole-data (= 0.1.3-1) Priority: optional Section: libs Filename: pool/main/libd/libdjconsole/libdjconsole0_0.1.3-1_armhf.deb Size: 12496 SHA256: 5ea86c853c9e245c695a625f979ec3722a08ced055af7baf6a4bdfca2a456a2a SHA1: c182f187c9b28b207e48c32aaa2650913f4c831e MD5sum: 38aa80d22d6599ba22a4a3eb42f19f92 Description: Hercules DJ Console access library The DJ Console library is a C++ library that facilitates access to the Hercules DJ Console MK I and MK II via libusb. It supports all non-audio functions such as LEDs, buttons and controllers. Package: libdjvulibre-dev Source: djvulibre Version: 3.5.25.3-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 3793 Depends: libdjvulibre21 (= 3.5.25.3-1), libjpeg-dev Homepage: http://djvu.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/d/djvulibre/libdjvulibre-dev_3.5.25.3-1_armhf.deb Size: 1708576 SHA256: 2d5a6c8b6bf5c5b292c4f690cadfcc5bc9f1b986de65e14efcbb01e09e22f53d SHA1: cdf047bdfd416ffba692ef0d2effad1f9840c771 MD5sum: 1352719d5cb141f787afd6f2da749164 Description: Development files for the DjVu image format DjVu image format static library and development files. . DjVu is a set of compression technologies, a file format, and a software platform for the delivery over the Web of digital documents, scanned documents, and high resolution images. . DjVu documents download and display extremely quickly, and look exactly the same on all platforms. DjVu can be seen as a superior alternative to PDF and Postscript for digital documents, to TIFF (and PDF) for scanned documents, to JPEG for photographs and pictures, and to GIF for large palettized images. DjVu is the only Web format that is practical for distributing high-resolution scanned documents in color. Package: libdjvulibre-text Source: djvulibre Version: 3.5.25.3-1 Installed-Size: 382 Maintainer: Barak A. Pearlmutter Architecture: all Replaces: djview3 (= 3.5.20-9), djvulibre-desktop (<< 3.5.20-9), libdjvulibre15 Size: 78072 SHA256: fd7706ac8660cca42e4ecb3a1583d530852688e2a3369070f27bea2a6d8ce5f3 SHA1: bcbfe005226a6ca3479293cd5e4f9d817a35cb77 MD5sum: 02196a3b733ab1ee510985b27e7904d0 Description: Linguistic support files for libdjvulibre Runtime linguistic support files for the libdjvulibre library. Homepage: http://djvu.sourceforge.net/ Tag: made-of::xml, role::app-data, role::documentation Section: libs Priority: optional Filename: pool/main/d/djvulibre/libdjvulibre-text_3.5.25.3-1_all.deb Package: libdjvulibre21 Source: djvulibre Version: 3.5.25.3-1 Architecture: armhf Maintainer: Barak A. Pearlmutter Installed-Size: 1397 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libstdc++6 (>= 4.4.0), libdjvulibre-text (>= 3.5.25.3-1) Conflicts: libdjvulibre1 Replaces: libdjvulibre1, libdjvulibre15 Homepage: http://djvu.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/d/djvulibre/libdjvulibre21_3.5.25.3-1_armhf.deb Size: 631546 SHA256: 852c482127bf3d791aa135606038b2555c910cb76ec3cdb12315424e11e45fd3 SHA1: 0f9060a2618caa940275b5ee7c065410c43b7d26 MD5sum: 4c8832f214161df806b82c45b3a811c1 Description: Runtime support for the DjVu image format DjVu runtime library. Package: libdkim-dev Source: libdkim Version: 1:1.0.21-3 Architecture: armhf Maintainer: Magnus Holmgren Installed-Size: 147 Depends: libdkim1d (= 1:1.0.21-3), libssl-dev, libc6 (>= 2.4), libgcc1 (>= 1:4.1.1) Homepage: http://libdkim.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/libd/libdkim/libdkim-dev_1.0.21-3_armhf.deb Size: 46088 SHA256: dd68d5af3536608b0f6817b08a6880b69c09d4a5fedc77ff078eb954a9bf2369 SHA1: 6fad4803be728d63d3bd6bcba279cc9c5cabf9c4 MD5sum: 1fde4606528fedce3d80318a759e02a2 Description: cryptographically identify the sender of email DomainKeys Identified Mail (DKIM) defines a domain-level authentication framework for email using public-key cryptography and key server technology to permit verification of the source and contents of messages by either Mail Transport Agents (MTAs) or Mail User Agents (MUAs). . libdkim is a library, developed by Alt-N Technologies, that allows incorporating DKIM into an existing MTA or other application. It provides full support for signing, verifying, and SSP. See the changelogs for information on compliance with specifications. . This package contains the development files needed to compile and link programs with libdkim. Package: libdkim1d Source: libdkim Version: 1:1.0.21-3 Architecture: armhf Maintainer: Magnus Holmgren Installed-Size: 89 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6) Homepage: http://libdkim.sourceforge.net Priority: optional Section: libs Filename: pool/main/libd/libdkim/libdkim1d_1.0.21-3_armhf.deb Size: 36170 SHA256: 267e70e3f043ba554e6cc96a1a8072a7a13d5eb250f34bf413f1d5a2afad87c2 SHA1: a97b1b24f8697d9489e8349388716daa19392bde MD5sum: 6a616d69b7c951040fad3cbc252dea54 Description: cryptographically identify the sender of email DomainKeys Identified Mail (DKIM) defines a domain-level authentication framework for email using public-key cryptography and key server technology to permit verification of the source and contents of messages by either Mail Transport Agents (MTAs) or Mail User Agents (MUAs). . libdkim is a library, developed by Alt-N Technologies, that allows incorporating DKIM into an existing MTA or other application. It provides full support for signing, verifying, and SSP. See the changelogs for information on compliance with specifications. Package: libdkim1d-dbg Source: libdkim Version: 1:1.0.21-3 Architecture: armhf Maintainer: Magnus Holmgren Installed-Size: 489 Depends: libdkim1d (= 1:1.0.21-3) Homepage: http://libdkim.sourceforge.net Priority: extra Section: debug Filename: pool/main/libd/libdkim/libdkim1d-dbg_1.0.21-3_armhf.deb Size: 163140 SHA256: 11b62e2ffdb8c657b8796d337177e167d9fb81595cc81933085331ba6f941c94 SHA1: 562591a6c7a738a5c5cb7f9dc28d9837fc8c54d8 MD5sum: cd9e532c3d66babad8111a4dcf07377c Description: DomainKeys Identified Mail (DKIM) library - debug symbols This package contains the debug symbols for libdkim1d, a library for server-level signing of email using the DKIM standard, and verification of the signatures. See the description of that package for more information. Package: libdlm-dev Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 108 Depends: libdlm3 (= 3.0.12-3.2+rvt+deb7u2) Priority: optional Section: libdevel Filename: pool/main/r/redhat-cluster/libdlm-dev_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 39210 SHA256: be445f2ce144e5e4b84e2f75fa94f93c90fbe63da6e2ede8d9fd9a7762a68d14 SHA1: a45b99984ed48f9289faa3adc40f34a4c968b4fc MD5sum: 7d08ea3b3b809cc081ec093fe062b7df Description: Red Hat cluster suite - distributed lock manager development files This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . DLM is a symmetric general-purpose distributed lock manager. It is used for example by GFS and the distributed volume manager. . This package contains the files needed for developing applications that use the lock manager. Package: libdlm3 Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 90 Depends: libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7), libxml2 (>= 2.7.4) Breaks: udev (<< 0.136-1) Priority: optional Section: libs Filename: pool/main/r/redhat-cluster/libdlm3_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 30072 SHA256: c36d741d72670b9f37ef1ae7aecddbde5f0b3fdef98462ca78bd2d272f8a121e SHA1: 4118048e1747d96447bac948558e0fb4a473256b MD5sum: 43e95979e1156d0c207d815e8010b6cb Description: Red Hat cluster suite - distributed lock manager library This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . DLM is a symmetric general-purpose distributed lock manager. It is used for example by GFS and the distributed volume manager. . This is the client library for applications using the lock manager. The lock manager itself is a kernel module. Package: libdlmcontrol-dev Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 69 Depends: libdlmcontrol3 (= 3.0.12-3.2+rvt+deb7u2) Priority: optional Section: libdevel Filename: pool/main/r/redhat-cluster/libdlmcontrol-dev_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 23758 SHA256: 6a9d191e593b2d71c9603c2c7bcf8cd19916870b9e58b238203bbc1df137c8a2 SHA1: cb37be3f51dfd6e5ccc1e1b703f4ed9e14efb00c MD5sum: 86f47670dbc1ac44d8a7435123c6d619 Description: Red Hat cluster suite - distributed lock manager development files This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . DLM is a symmetric general-purpose distributed lock manager. It is used for example by GFS and the distributed volume manager. . This package contains the files needed for developing applications that use the lock manager. Package: libdlmcontrol3 Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 60 Depends: libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7), libxml2 (>= 2.7.4) Priority: optional Section: libs Filename: pool/main/r/redhat-cluster/libdlmcontrol3_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 23368 SHA256: 0793ab31e0a4a1b769f56bca1d60315a1e49033b89a8e5708536fe185202cfee SHA1: ab8ce1bf252098f21fa7008ec89e26d87f2c3319 MD5sum: fbda57305a3252c3515219ef34fb2ad6 Description: Red Hat cluster suite - distributed lock manager library This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . DLM is a symmetric general-purpose distributed lock manager. It is used for example by GFS and the distributed volume manager. . This is the client library for applications using the lock manager. The lock manager itself is a kernel module. Package: libdlrestrictions-dev Source: pkg-kde-tools Version: 0.15.3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 65 Depends: libdlrestrictions1 (= 0.15.3) Priority: extra Section: libdevel Filename: pool/main/p/pkg-kde-tools/libdlrestrictions-dev_0.15.3_armhf.deb Size: 16616 SHA256: 7db3b781a598aba1e99f6fbb4bbc72a15fcb291500772110d117211a2a5dc83c SHA1: 97d50f8dc8af94eb9795a6315ef23130c652499c MD5sum: 5f6d7dda6535019aed55585bdbdfadf7 Description: development files for the DLRestrictions library This package provides development files needed to build both shared libraries, which specify their compatibility restrictions, and applications, which check whether those restrictions are satisfied before using those libraries. The package also contains a CMake module which simplifies building DLRestricted shared libraries with CMake. Package: libdlrestrictions1 Source: pkg-kde-tools Version: 0.15.3 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 58 Depends: libc6 (>= 2.13-28) Priority: extra Section: libs Filename: pool/main/p/pkg-kde-tools/libdlrestrictions1_0.15.3_armhf.deb Size: 19414 SHA256: 9666bf1e78f46a407497d6d6d657abeb268945179a660a864eb2cdf5db31fa06 SHA1: 5ba040167b1d56e35dbc2c38ca0819e3886b89fd MD5sum: 338ae748641f5cb0c24b3fc6bf891f9f Description: library that implements library compatibility checks for dlopen() This package provides a DLRestrictions library that can be used to check compatibility of the external shared library (or plugin) against other libraries currently loaded in the global symbol object. It might help to avoid symbol clashes and provide means for graceful failure detection in advance before the first dlsym() call. Otherwise, usage of incompatible library might result in crashes or other unpredictable failures of the application. . A shared library that is protected by DLRestrictions provides a special symbol which defines conditions under which the library may be safely used. At the moment, the only restriction that may be imposed controls whether multiple SONAMEs of the same library can safely co-exist in the same symbol space. Shared library dependencies are also checked for compatibility. Package: libdm0 Source: dmapi Version: 2.2.10-1 Architecture: armhf Maintainer: Nathan Scott Installed-Size: 70 Depends: libc6 (>= 2.13-28) Recommends: xfsdump (>= 2.2.34) Replaces: dmapi Provides: dmapi Priority: optional Section: libs Filename: pool/main/d/dmapi/libdm0_2.2.10-1_armhf.deb Size: 25930 SHA256: 510994498c1531eda61f439990a244762cd1e03a150ac99a7a14c6089ca5b791 SHA1: a90a8fb18d17f9a2c334d934564a10b401a242cd MD5sum: c7798c98c8e702ea3aa29de3195d1c5b Description: Data Management API runtime environment Files required by system software using the Data Management API (DMAPI). This is used to implement the interface defined in the X/Open document: Systems Management: Data Storage Management (XDSM) API dated February 1997. This interface is implemented by the libdm library. Package: libdm0-dev Source: dmapi Version: 2.2.10-1 Architecture: armhf Maintainer: Nathan Scott Installed-Size: 104 Depends: libc6-dev | libc-dev, libdm0, xfslibs-dev (>= 2.7.13) Conflicts: xfslibs-dev (<< 2.7.13) Replaces: dmapi-dev Provides: dmapi-dev Priority: extra Section: libdevel Filename: pool/main/d/dmapi/libdm0-dev_2.2.10-1_armhf.deb Size: 18776 SHA256: e1edab467b691aaaceb65fe3e4a3329f903ec79260d445733c8e76df114e5dad SHA1: 23573c3499f1d2a644a8b05a45249d004ed5ee5b MD5sum: e4ca092fa8fa853b087f5a2ed65e498b Description: Data Management API static libraries and headers libdm0-dev contains the libraries and header files needed to develop programs which make use of the Data Management API (DMAPI). Package: libdmalloc-dev Source: dmalloc Version: 5.5.2-5 Architecture: armhf Maintainer: Roland Stigge Installed-Size: 1181 Depends: libc6 (>= 2.13-28), dpkg (>= 1.15.4) | install-info, libdmalloc5 (= 5.5.2-5) Homepage: http://www.dmalloc.com/ Priority: extra Section: libdevel Filename: pool/main/d/dmalloc/libdmalloc-dev_5.5.2-5_armhf.deb Size: 632226 SHA256: 6232ee897e4bf678af4926bbc7bef8889963e186a2da57927126a32923dc2c93 SHA1: 1526ba0829b006985a8de6a02198c49307f1399b MD5sum: 84fb0178ba02548b24eadcf96787e2b3 Description: debug memory allocation library (development files and doc) Drop in replacement for the system's `malloc', `realloc', `calloc', `free' and other memory management routines while providing powerful debugging facilities configurable at runtime. . These facilities include such things as memory-leak tracking, fence-post write detection, file/line number reporting, and general logging of statistics. . This package contains the static libraries and documentation. Package: libdmalloc5 Source: dmalloc Version: 5.5.2-5 Architecture: armhf Maintainer: Roland Stigge Installed-Size: 286 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Suggests: gcc, gdb Conflicts: libdmalloc4 Replaces: libdmalloc4 Homepage: http://www.dmalloc.com/ Priority: extra Section: libs Filename: pool/main/d/dmalloc/libdmalloc5_5.5.2-5_armhf.deb Size: 143328 SHA256: b5ce2e9f4c365890d9b5be1e6699a34530b8ce0e7532ab675e68dc89ba0018c0 SHA1: b5beb9862eb133c8d9ec598645424b4aae45428f MD5sum: abf021c48dcbb045fdf43c8cc00573d6 Description: debug memory allocation library Drop in replacement for the system's `malloc', `realloc', `calloc', `free' and other memory management routines while providing powerful debugging facilities configurable at runtime. . These facilities include such things as memory-leak tracking, fence-post write detection, file/line number reporting, and general logging of statistics. . This package contains only the shared libraries, the development files and documentation is in the libdmalloc-dev package. Package: libdmapsharing-3.0-2 Source: libdmapsharing Version: 2.9.15-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 212 Depends: libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.23.2), libgstreamer0.10-0 (>= 0.10.0), libsoup2.4-1 (>= 2.32), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Homepage: http://www.flyn.org/projects/libdmapsharing/ Priority: optional Section: libs Filename: pool/main/libd/libdmapsharing/libdmapsharing-3.0-2_2.9.15-1_armhf.deb Size: 95382 SHA256: fa2eb87713d6e1f6074230ee4819af30f9d5d3ff709434bdd76382acdb326977 SHA1: 328c3890c1fd72e7311217e89369ddc7f1ff22d0 MD5sum: fa5d7f6459a54916caf23e4bb6e9d769 Description: DMAP client and server library - runtime libdmapsharing is a library to access and share content through the DMAP (DAAP & DPAP) protocols. The library is written in C using GObject and libsoup. . This package contains the runtime needed by programs using the library. Package: libdmapsharing-3.0-dev Source: libdmapsharing Version: 2.9.15-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 452 Depends: libdmapsharing-3.0-2 (= 2.9.15-1), libglib2.0-dev, libsoup2.4-dev, libgstreamer0.10-dev Homepage: http://www.flyn.org/projects/libdmapsharing/ Priority: optional Section: libdevel Filename: pool/main/libd/libdmapsharing/libdmapsharing-3.0-dev_2.9.15-1_armhf.deb Size: 76208 SHA256: b4e430887dbadd7af8c5ac295cff3a305b1e1364940e52cbb6dd48fd00f4152b SHA1: bff578330a9b5d70e0cf3d729bde632e0af02b93 MD5sum: 18728f46693129e981f9b2ef36c7b13c Description: DMAP client and server library - development libdmapsharing is a library to access and share content through the DMAP (DAAP & DPAP) protocols. The library is written in C using GObject and libsoup. . This package contains the development library and headers needed to build programs using the library. Package: libdmraid-dev Source: dmraid Version: 1.0.0.rc16-4.2 Architecture: armhf Maintainer: Giuseppe Iuculano Installed-Size: 403 Depends: libdmraid1.0.0.rc16 (= 1.0.0.rc16-4.2) Homepage: http://people.redhat.com/~heinzm/sw/dmraid/ Priority: optional Section: libdevel Filename: pool/main/d/dmraid/libdmraid-dev_1.0.0.rc16-4.2_armhf.deb Size: 158326 SHA256: 4be71a42fe049e1740e880f586156b71f7f4bbcbd882d53db441c60fc93e7525 SHA1: 459ec0988c63d879cc90360ee427dbde1903bbc9 MD5sum: f99a7fdeb9e12b3bcf76614a4148c57b Description: Device-Mapper Software RAID support tool - header files dmraid discovers, activates, deactivates and displays properties of software RAID sets (eg, ATARAID) and contained DOS partitions. . dmraid uses the Linux device-mapper to create devices with respective mappings for the ATARAID sets discovered. . This package contains the header files needed to link programs against dmraid. Package: libdmraid1.0.0.rc16 Source: dmraid Version: 1.0.0.rc16-4.2 Architecture: armhf Maintainer: Giuseppe Iuculano Installed-Size: 247 Depends: libc6 (>= 2.13-28), libdevmapper1.02.1 (>= 2:1.02.20), libgcc1 (>= 1:4.4.0) Replaces: libdmraid1.0.0.rc15 (<< 1.0.0.rc16-1) Homepage: http://people.redhat.com/~heinzm/sw/dmraid/ Priority: optional Section: libs Filename: pool/main/d/dmraid/libdmraid1.0.0.rc16_1.0.0.rc16-4.2_armhf.deb Size: 116022 SHA256: e3023a3af2a2297fe3401ceaaba6a393ca3c51d4daf33864a052529bed76a9c1 SHA1: 59e4c837e2b7a3c569709e6b79db2cd4643a529f MD5sum: 3b345ae801c167fddce1bf86fba19a43 Description: Device-Mapper Software RAID support tool - shared library dmraid discovers, activates, deactivates and displays properties of software RAID sets (eg, ATARAID) and contained DOS partitions. . dmraid uses the Linux device-mapper to create devices with respective mappings for the ATARAID sets discovered. . This package contains the dmraid shared library, which implements the back half of dmraid, including on-disk metadata formats. Package: libdmtx-dev Source: libdmtx Version: 0.7.2-2+build1 Architecture: armhf Maintainer: Roberto Lumbreras Installed-Size: 416 Depends: libdmtx0a (= 0.7.2-2+build1) Multi-Arch: same Homepage: http://www.libdmtx.org Priority: optional Section: libdevel Filename: pool/main/libd/libdmtx/libdmtx-dev_0.7.2-2+build1_armhf.deb Size: 225906 SHA256: 982e020d900660f264fb145d0075f1f55e22d48e9f7311325802a888516fb449 SHA1: 71e7e12e31e094652a36a0dc6b967c973fc6023f MD5sum: 194355a94f51264b7bec4872d6c268b5 Description: Data Matrix barcodes (development files and static libraries) Libdmtx is a library for reading and writing Data Matrix 2D barcodes, two-dimensional symbols that hold a dense pattern of data with built-in error correction. . This package contains the static libraries and C header files needed for development of applications with Libdmtx. Package: libdmtx-utils Source: libdmtx Version: 0.7.2-2+build1 Architecture: armhf Maintainer: Roberto Lumbreras Installed-Size: 95 Depends: libc6 (>= 2.13-28), libdmtx0a (>= 0.7.2), libgomp1 (>= 4.2.1), libmagickcore5 (>= 8:6.7.7.10), libmagickwand5 (>= 8:6.7.7.10) Homepage: http://www.libdmtx.org Priority: optional Section: utils Filename: pool/main/libd/libdmtx/libdmtx-utils_0.7.2-2+build1_armhf.deb Size: 28828 SHA256: 51f9c2f68d86474951cac7afec7d6cf2a8a8a00d343c76830d36ba3db0456d02 SHA1: c63f05e59d9132397e72e73d56f5a224304dd72a MD5sum: a9930dbf29e000b49c68cc8b6c5d8191 Description: Utilities for reading and writing Data Matrix 2D barcodes Libdmtx is a library for reading and writing Data Matrix 2D barcodes, two-dimensional symbols that hold a dense pattern of data with built-in error correction. . This package includes the command-line utilities for reading and writing Data Matrix barcode symbols. Package: libdmtx0a Source: libdmtx Version: 0.7.2-2+build1 Architecture: armhf Maintainer: Roberto Lumbreras Installed-Size: 121 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Conflicts: libdmtx0 Multi-Arch: same Homepage: http://www.libdmtx.org Priority: optional Section: libs Filename: pool/main/libd/libdmtx/libdmtx0a_0.7.2-2+build1_armhf.deb Size: 57278 SHA256: 3259af7b4661ab6e6a79f8580f8f7139b8f860479c7b0623b384faf8c4d1b273 SHA1: ca6399788958056565802eed8a47f467f03f999c MD5sum: 33a2725308f84d6effe068203138a978 Description: Data Matrix barcodes (runtime library) Libdmtx is a library for reading and writing Data Matrix 2D barcodes, two-dimensional symbols that hold a dense pattern of data with built-in error correction. . This package provides the Libdmtx runtime library. Package: libdmx-dev Source: libdmx Version: 1:1.1.2-1+deb7u1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 100 Depends: libdmx1 (= 1:1.1.2-1+deb7u1), libx11-dev, x11proto-dmx-dev Replaces: x11proto-dmx-dev (<< 1:2.2.99.1) Multi-Arch: same Priority: optional Section: libdevel Filename: pool/main/libd/libdmx/libdmx-dev_1.1.2-1+deb7u1_armhf.deb Size: 35652 SHA256: ae545792267d9e4275c2b38523ec98f8664aa7fd949b81e663eef2d37bbea0e0 SHA1: b4a1e19fe3b750b31172d50dd40a8a4b71c83771 MD5sum: 091c34229863ab668e2eb5172c509261 Description: X11 Distributed Multihead extension library (development headers) libdmx is an interface to the DMX extension for X, which allows a single server to be set up as a proxy spanning multiple servers -- not unlike Xinerama across discrete physical machines. It can be reconfigured on the fly to change the layout, and it is presented as a single logical display to clients. . libdmx allows clients to configure the layout of DMX servers by adding and removing screens, input devices, et al. . This package contains the development headers for the library found in libdmx1. Non-developers likely have little use for this package. . More information about X.Org can be found at: . This module can be found at git://anongit.freedesktop.org/git/xorg/lib/libdmx Package: libdmx1 Source: libdmx Version: 1:1.1.2-1+deb7u1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 47 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libx11-6 (>= 2:1.4.99.1), libxext6 Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libd/libdmx/libdmx1_1.1.2-1+deb7u1_armhf.deb Size: 9694 SHA256: 902caed6cae601cecc2f4932d024cd7b71a80d1d15589acaff5cd20a1006ed4b SHA1: a9408f84da1bf9caeef793a8ee81957f81b118e9 MD5sum: 14ab129201987a3994794fa96f8e5b47 Description: X11 Distributed Multihead extension library libdmx is an interface to the DMX extension for X, which allows a single server to be set up as a proxy spanning multiple servers -- not unlike Xinerama across discrete physical machines. It can be reconfigured on the fly to change the layout, and it is presented as a single logical display to clients. . libdmx allows clients to configure the layout of DMX servers by adding and removing screens, input devices, et al. . More information about X.Org can be found at: . This module can be found at git://anongit.freedesktop.org/git/xorg/lib/libdmx Package: libdmx1-dbg Source: libdmx Version: 1:1.1.2-1+deb7u1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 101 Pre-Depends: multiarch-support Depends: libdmx1 (= 1:1.1.2-1+deb7u1) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/libd/libdmx/libdmx1-dbg_1.1.2-1+deb7u1_armhf.deb Size: 27142 SHA256: 04a76814c09aaf4afc2dc6d178e5d54602aef2f1f19c6162698bd5c8471ba4cf SHA1: 76bc6f4a709ccbffffea1cae6d22c7f7f5df563e MD5sum: 82694dd2ca1d50b12914338012a6e029 Description: X11 Distributed Multihead library (debug package) libdmx is an interface to the DMX extension for X, which allows a single server to be set up as a proxy spanning multiple servers -- not unlike Xinerama across discrete physical machines. It can be reconfigured on the fly to change the layout, and it is presented as a single logical display to clients. . libdmx allows clients to configure the layout of DMX servers by adding and removing screens, input devices, et al. . This package contains the debug versions of the library found in libdmx1. Non-developers likely have little use for this package. . More information about X.Org can be found at: . This module can be found at git://anongit.freedesktop.org/git/xorg/lib/libdmx Package: libdnet Source: dnprogs Version: 2.60 Architecture: armhf Maintainer: Chrissie Caulfield Installed-Size: 217 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.1.1) Suggests: dnet-common (>= 2.60) Priority: optional Section: libs Filename: pool/main/d/dnprogs/libdnet_2.60_armhf.deb Size: 83718 SHA256: 754b9298e312272dacd3bbe62192560d62db30d99fa1a4829c3c3f9317cd3966 SHA1: 98751be15f7494a256d1442cd3e0300a7ac738be MD5sum: bd2c828b68238a2acf9a10a70cc2fb04 Description: DECnet Libraries This package contains the libraries necessary for a functioning DECnet system. Most DECnet programs require these libraries to be present on the system. The libraries are: libdnet - the basic DECnet API as featured on Ultrix(R) systems libdnet_daemon - useful calls for writing DECnet daemons libdap - DAP (Data Access Protocol) C++ classes librms - High level library for programmatic access VMS files from Linux. . To do useful work with DECnet you will also need the dnet-common package. . If you're looking for libdnet, the "dumb" networking library from libdnet.sf.net by Dug Song then you should install libdumbnet instead. Sorry for any confusion caused! Package: libdnet-dev Source: dnprogs Version: 2.60 Architecture: armhf Maintainer: Chrissie Caulfield Installed-Size: 577 Depends: libdnet (>= 2.60) Priority: extra Section: libdevel Filename: pool/main/d/dnprogs/libdnet-dev_2.60_armhf.deb Size: 321166 SHA256: e38d4eb5c6d4966991c5cdeea72018afd41f6e7bc98e61f5b628a50c23cc81af SHA1: 86bc1d4962a9c852e5d3c3d69523ed2dc285f298 MD5sum: e88ff63cf7a1e283494cfefdb56df03e Description: DECnet development libraries & Headers Theses are the development libraries for Linux DECnet. This package contains the static libraries, header files and man pages for DECnet development on Linux. Package: libdns-ruby Source: dnsruby Version: 1.53-1 Installed-Size: 26 Maintainer: Ondřej Surý Architecture: all Depends: ruby-dnsruby Size: 2268 SHA256: 92392eb13ee5fb9d4ba101051d7cdc819482bf257a94a68cf050a77622428f1e SHA1: cdc1b641fe9a10bea8a9e678dbac1628ec14d078 MD5sum: 51cf5a9d35714c6326efceca51bfb8dd Description: Ruby DNS client library (dummy package) Dnsruby is a pure Ruby DNS client library. It provides a complete DNS client implementation, including full DNSSEC support. Dnsruby is currently used in projects such as OpenDNSSEC and ISC's DLV service. . This package is a transitional package and can be safely removed after upgrade. Homepage: http://rubyforge.org/projects/dnsruby/ Tag: protocol::dns, role::dummy, role::shared-lib Section: ruby Priority: optional Filename: pool/main/d/dnsruby/libdns-ruby_1.53-1_all.deb Package: libdns-ruby1.8 Source: dnsruby Version: 1.53-1 Installed-Size: 26 Maintainer: Ondřej Surý Architecture: all Depends: ruby-dnsruby Size: 2276 SHA256: 2fc42b91722bbc9de293544fa0e6cf5f46ee0d3c78c062bd24f19b31579885f9 SHA1: 6f0736eb4ede124b70cd8f52bd0dbe530bb3e4ea MD5sum: c7a679ddc755b91929eba1fb3d6835ae Description: Ruby DNS client library (dummy package) Dnsruby is a pure Ruby DNS client library. It provides a complete DNS client implementation, including full DNSSEC support. Dnsruby is currently used in projects such as OpenDNSSEC and ISC's DLV service. . This package is a transitional package and can be safely removed after upgrade. Homepage: http://rubyforge.org/projects/dnsruby/ Tag: protocol::dns, role::dummy, role::shared-lib Section: ruby Priority: optional Filename: pool/main/d/dnsruby/libdns-ruby1.8_1.53-1_all.deb Package: libdns-zoneparse-perl Version: 1.10-1 Installed-Size: 93 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 24256 SHA256: bf39b1083e96861b3ce444ed1a529e5e758426be7909b994ba7b3716de7d5c13 SHA1: 1ed945a55321ca8049c790477ec4cbc2eeb05cd3 MD5sum: a8fc6a723ec98d5bf6077b31488b0c7a Description: Perl extension for parsing and manipulating DNS Zone Files DNS::ZoneParse will parse a Zone File and put all the Resource Records (RRs) into an anonymous hash structure. At the moment, the following types of RRs are supported: SOA, NS, MX, A, CNAME, TXT, PTR. It could be useful for maintaining DNS zones, or for transferring DNS zones to other servers. If you want to generate an XML-friendly version of your zone files, it is easy to use XML::Simple with this module once you have parsed the zonefile. . DNS::ZoneParse scans the DNS zonefile - removes comments and seperates the file into its constituent records. It then parses each record and stores the records internally. Homepage: http://search.cpan.org/dist/DNS-ZoneParse/ Tag: devel::lang:perl, devel::library, implemented-in::perl, protocol::dns Section: perl Priority: optional Filename: pool/main/libd/libdns-zoneparse-perl/libdns-zoneparse-perl_1.10-1_all.deb Package: libdns88 Source: bind9 Version: 1:9.8.4.dfsg.P1-6+nmu2+deb7u20 Architecture: armhf Maintainer: LaMont Jones Installed-Size: 1474 Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libgeoip1 (>= 1.4.8+dfsg), libgssapi-krb5-2 (>= 1.10+dfsg~), libisc84, libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.6.27) Conflicts: libbind0, libbind9-41 Replaces: libbind0 Priority: standard Section: libs Filename: pool/main/b/bind9/libdns88_9.8.4.dfsg.P1-6+nmu2+deb7u20_armhf.deb Size: 711504 SHA256: b02153bffa2b2a4d719d78e70cc174c7f2ded47e140a25680ea76f4e47254042 SHA1: e1dc41bf57c8423badf96e388fe677db8d5d4df9 MD5sum: 3538f750bf1cab4a587b3a7ad94994a7 Description: DNS Shared Library used by BIND The Berkeley Internet Name Domain (BIND) implements an Internet domain name server. BIND is the most widely-used name server software on the Internet, and is supported by the Internet Software Consortium, www.isc.org. This package delivers the libdns shared library used by BIND's daemons and clients. Package: libdnsjava-java Source: dnsjava Version: 2.0.8-1 Installed-Size: 312 Maintainer: Chris Grzegorczyk Original-Maintainer: Ubuntu Developers Architecture: all Depends: default-jre-headless | java2-runtime-headless Size: 292698 SHA256: 385f828b7b056cd287db2538cb86885972d331560235ed88f8743296ba2d69bd SHA1: 9e2719272aabc4e33277e2c8112913fff7d03be5 MD5sum: c59ff39123508c318515194624230005 Description: Implementation of DNS in Java dnsjava is an implementation of DNS in Java. It can be used for queries, zone transfers, and dynamic updates. It includes a cache which can be used by clients, and a minimal implementation of a server. Homepage: http://www.dnsjava.org Section: java Priority: optional Filename: pool/main/d/dnsjava/libdnsjava-java_2.0.8-1_all.deb Package: libdockapp-dev Source: libdockapp Version: 1:0.5.0-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 97 Depends: libdockapp2 (= 1:0.5.0-3), libx11-dev, libxpm-dev Homepage: http://solfertje.student.utwente.nl/~dalroi/libdockapp/ Priority: optional Section: libdevel Filename: pool/main/libd/libdockapp/libdockapp-dev_0.5.0-3_armhf.deb Size: 29934 SHA256: ec20dcaf64698c3860cec59130409d8fab0bb948107f155beeb9367b4b8c4153 SHA1: 6d8770577c70ec231c46d358137d8fbecddc5e35 MD5sum: 3a279714e004bc5589e4468001f5966b Description: Window Maker Dock App support (development files) Simple library that eases the creation of Window Maker dock apps. It provides functions that set up a dock app in such a way that they can be properly docked. It also provides some event handlers and makes it very simple to write dockapps. . This package contains the static library and the required headers. Use it to compile programs which use libdockapp. Package: libdockapp2 Source: libdockapp Version: 1:0.5.0-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 56 Depends: libc6 (>= 2.13-28), libxext6, libxpm4 Suggests: libdockapp-dev Conflicts: wmaker (<< 0.62.1) Homepage: http://solfertje.student.utwente.nl/~dalroi/libdockapp/ Priority: optional Section: libs Filename: pool/main/libd/libdockapp/libdockapp2_0.5.0-3_armhf.deb Size: 17438 SHA256: dd318f80ed3d23a3c21d52e86f420838d6a5666e7379d2bf96653fd700989408 SHA1: dc1f22e3ea7b0f6c35fb16aa095cc91fa41a779d MD5sum: fce9e0451d770633589385ebdae0b4a0 Description: Window Maker Dock App support (shared library) Simple library that eases the creation of Window Maker dock apps. It provides functions that set up a dock app in such a way that they can be properly docked. It also provides some event handlers and makes it very simple to write dockapps. . This package contains the shared library. Install this for programs that use libdockapp. Package: libdolfin1.0 Source: dolfin Version: 1.0.0-7 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 4059 Depends: libamd2.2.0 (>= 1:3.4.0), libarmadillo3, libblas3 | libblas.so.3 | libatlas3-base, libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-math1.49.0 (>= 1.49.0-1), libboost-mpi1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-serialization1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcamd2.2.0 (>= 1:3.4.0), libccolamd2.7.1 (>= 1:3.4.0), libcgal9, libcholmod1.7.1 (>= 1:3.4.0), libcolamd2.7.1 (>= 1:3.4.0), libgcc1 (>= 1:4.4.0), libgmp10, libgmpxx4ldbl, libgomp1 (>= 4.4), liblapack3 | liblapack.so.3 | libatlas3-base, libmpfr4 (>= 3.1.0), libopenmpi1.3, libstdc++6 (>= 4.6), libumfpack5.4.0 (>= 1:3.4.0), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Suggests: dolfin-doc, libdolfin1.0-dbg, python-dolfin Homepage: http://fenicsproject.org Priority: extra Section: libs Filename: pool/main/d/dolfin/libdolfin1.0_1.0.0-7_armhf.deb Size: 1436204 SHA256: af128c36d97ca36659b5afce2cf5d35174e9068a1c1ae3ef2b563556fdf8f79d SHA1: c8c6e098d10490647487c67ced92e4a62d33e86f MD5sum: 6237c5b50f56489be08b901cc4f4facf Description: Shared libraries for DOLFIN DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the shared libraries. Package: libdolfin1.0-dbg Source: dolfin Version: 1.0.0-7 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 80132 Depends: libdolfin1.0 (= 1.0.0-7) Homepage: http://fenicsproject.org Priority: extra Section: debug Filename: pool/main/d/dolfin/libdolfin1.0-dbg_1.0.0-7_armhf.deb Size: 26152246 SHA256: 6f1dd58a7b06040096e2ca0effde9e761f7a4490daf4034744bcef952c435237 SHA1: e5ac31c4e68de63461426913452ae4640b550339 MD5sum: 3230d224987f3a7a07bd9b96fb374386 Description: Shared libraries with debugging symbols for DOLFIN DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the shared libraries with debugging symbols. Package: libdolfin1.0-dev Source: dolfin Version: 1.0.0-7 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1849 Depends: libdolfin1.0 (= 1.0.0-7), libxml2-dev, pkg-config, libboost-dev, libboost-program-options-dev, libboost-filesystem-dev, libboost-serialization-dev, libboost-thread-dev, libboost-iostreams-dev, libboost-mpi-dev, libboost-math-dev, libsuitesparse-dev, libarmadillo-dev, cmake (>= 2.8.0), ufc (>= 2.0.5-3), python-ufc (>= 2.0.5-3), libcgal-dev Conflicts: libdolfin0-dev Replaces: libdolfin0-dev Homepage: http://fenicsproject.org Priority: extra Section: libdevel Filename: pool/main/d/dolfin/libdolfin1.0-dev_1.0.0-7_armhf.deb Size: 308382 SHA256: 883f12e3f7ecfe11012ffd4a25db49a52a9ee49211dce109832654fac5210964 SHA1: 25bc48623caf2e1b7d1121bd180b62c8f8a46d3a MD5sum: 959ce030f73c1317374bd8c3e227cc95 Description: Shared links and header files for DOLFIN DOLFIN is the Python and C++ interface of the FEniCS project for the automated solution of differential equations, providing a consistent PSE (Problem Solving Environment) for solving ordinary and partial differential equations. Key features include a simple, consistent and intuitive object-oriented API; automatic and efficient evaluation of variational forms; automatic and efficient assembly of linear systems; and support for general families of finite elements. . This package contains the development files. Package: libdom4j-java Source: dom4j Version: 1.6.1+dfsg.2-6 Installed-Size: 539 Maintainer: Debian Java Maintainers Architecture: all Depends: libjaxen-java, libjaxme-java, libxpp2-java, libxpp3-java, libbackport-util-concurrent-java Suggests: libdom4j-java-doc Size: 333768 SHA256: 1f033ad2b4a65acf8adc3b16c786fe4c64f2e59ff7bec29723b525adff1902c0 SHA1: 073f62d86f2396a518c939c138b569f552f64f29 MD5sum: 3ad4fab758d77ae80d0b5a857d446c9d Description: flexible XML framework for Java dom4j is a library for working with XML, XPath and XSLT on the Java platform using the Java Collections Framework and with full support for DOM, SAX and JAXP. Homepage: http://sourceforge.net/projects/dom4j/ Tag: devel::examples, implemented-in::java, works-with-format::xml, works-with-format::xml:xslt Section: java Priority: optional Filename: pool/main/d/dom4j/libdom4j-java_1.6.1+dfsg.2-6_all.deb Package: libdom4j-java-doc Source: dom4j Version: 1.6.1+dfsg.2-6 Installed-Size: 4532 Maintainer: Debian Java Maintainers Architecture: all Suggests: libdom4j-java Size: 490050 SHA256: aa73e0805c12f1cc7be381e5185a22e2a955abe54334a13f62b064b0c87d72c9 SHA1: a32d31ea7ee7b1ff31d2eb09bf16f63847b5cf50 MD5sum: 806418ca31dd6b336d82b150d8d743a2 Description: documentation for libdom4j-java This package contains the documentation for dom4j, including the API Javadoc. Homepage: http://sourceforge.net/projects/dom4j/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/d/dom4j/libdom4j-java-doc_1.6.1+dfsg.2-6_all.deb Package: libdoodle-dev Source: doodle Version: 0.7.0-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 92 Depends: libdoodle1 (= 0.7.0-5) Homepage: http://www.gnunet.org/doodle/ Priority: optional Section: libdevel Filename: pool/main/d/doodle/libdoodle-dev_0.7.0-5_armhf.deb Size: 29584 SHA256: dd657f9ddbcbde7cea2970a7ab08e7083fe96a8e5bf700ddb7d10b1aff80bbae SHA1: 0e8ee83dd290f5073b29efac303ae98ca7969b85 MD5sum: c25b8a80079faea20109c93aa8257e9f Description: Desktop Search Engine (development) It searches your hard drive for files using pattern matching on meta-data. It extracts file-format specific meta-data using libextractor and builds a suffix tree to index the files. The index can then be searched rapidly. It is similar to locate, but can take advantage of information such as ID3 tags. It is possible to do full-text indexing using the appropriate libextractor plugins. It also supports using FAM to keep the database up-to-date. . This is the library to access the doodle database from other applications (development files). Package: libdoodle1 Source: doodle Version: 0.7.0-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 67 Depends: libc6 (>= 2.13-28), libextractor3, libextractor-plugins Homepage: http://www.gnunet.org/doodle/ Priority: optional Section: libs Filename: pool/main/d/doodle/libdoodle1_0.7.0-5_armhf.deb Size: 26392 SHA256: ef17d0bb9dba7e56cd32d99d8467b8fdfd3c4a9782590e8c8c8c36880499c276 SHA1: 71272a1198dc705004aa9f51c8d534f1492d3d86 MD5sum: 15217c8e16e8548e91654fadf83fd549 Description: Desktop Search Engine (library) It searches your hard drive for files using pattern matching on meta-data. It extracts file-format specific meta-data using libextractor and builds a suffix tree to index the files. The index can then be searched rapidly. It is similar to locate, but can take advantage of information such as ID3 tags. It is possible to do full-text indexing using the appropriate libextractor plugins. It also supports using FAM to keep the database up-to-date. . This is the library to access the doodle database from other applications. Package: libdose2-ocaml Source: dose2 (1.4.2-4) Version: 1.4.2-4+b1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 58 Depends: libbz2-ocaml-1qq81, libcalendar-ocaml-880d5, libpcre-ocaml-werc3, libzip-ocaml-tcv11, ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), libpopt0 (>= 1.14), librpm3 (>= 4.10.0), librpmio3 (>= 4.10.0) Provides: libdose2-ocaml-utah0 Homepage: http://sodiac.gforge.inria.fr/ Priority: extra Section: ocaml Filename: pool/main/d/dose2/libdose2-ocaml_1.4.2-4+b1_armhf.deb Size: 11876 SHA256: a278efe9df8a0f4e5e7ddb56afe47339f85b1b2897890bccb89aed34ea6552ae SHA1: a3d7e6963c7c143b264ce19f8f8d98f4b18b9b0c MD5sum: c4bcdd74776fc0718c30c12ed4135f9b Description: OCaml libraries for managing packages and their dependencies Dose2 is a framework made of several OCaml libraries for managing distribution packages and their dependencies. . Though not tied to any particular distribution, dose2 constitutes a pool of libraries which enable injecting packages coming from various distribution. Companion libraries (e.g. ceve) and tools (e.g. pkglab) rely on dose2 to manage packages coming from various distributions, e.g. Debian and Red Hat. . Besides basic functionalities for querying and setting package properties, dose2 also implements algorithms for solving more complex problems (monitoring package evolutions, correct and complete dependency resolution, repository-wide uninstallability checks). . This package contains the runtime stuff you need to run programs using dose2. Package: libdose2-ocaml-dev Source: dose2 (1.4.2-4) Version: 1.4.2-4+b1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 659 Depends: libbz2-ocaml-dev-1qq81, libcalendar-ocaml-dev-880d5, libdose2-ocaml-utah0, libpcre-ocaml-dev-werc3, libzip-ocaml-dev-tcv11, ocaml-nox-3.12.1, libpcre-ocaml-dev, libcalendar-ocaml-dev, libzip-ocaml-dev, libbz2-ocaml-dev, librpm-dev, libgdbm-dev Suggests: ocaml-findlib Provides: libdose2-ocaml-dev-utah0 Homepage: http://sodiac.gforge.inria.fr/ Priority: extra Section: ocaml Filename: pool/main/d/dose2/libdose2-ocaml-dev_1.4.2-4+b1_armhf.deb Size: 143660 SHA256: 418cc6f86687ecff53c71da4e7eba6aaa89d36327262adb847505dd8118c4d6d SHA1: c19724c347a33a1068a35039f1a1a8f1aae72e77 MD5sum: b0193494167d76e86b2cff3c0099b84c Description: OCaml libraries for package dependencies (development files) Dose2 is a framework made of several OCaml libraries for managing distribution packages and their dependencies. . Though not tied to any particular distribution, Dose 2 constitutes a pool of libraries which enable injecting packages coming from various distribution. Companion libraries (e.g. ceve) and tools (e.g. pkglab) rely on dose2 to manage packages coming from various distributions, e.g. Debian and Red Hat. . Besides basic functionalities for querying and setting package properties, dose also implements algorithms for solving more complex problems (monitoring package evolutions, correct and complete dependency resolution, repository-wide uninstallability checks). . This package contains the development stuff you need to use dose2 in the development of your programs. Package: libdose3-ocaml Source: dose3 Version: 3.0.2-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 53 Depends: libbz2-ocaml-1qq81, libextlib-ocaml-2t4w2, libpcre-ocaml-werc3, libzip-ocaml-tcv11, ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), librpm3 (>= 4.10.0), librpmio3 (>= 4.10.0) Provides: libdose3-ocaml-1c4g1 Homepage: http://www.mancoosi.org/software/ Priority: extra Section: ocaml Filename: pool/main/d/dose3/libdose3-ocaml_3.0.2-3_armhf.deb Size: 10550 SHA256: 552e1c57b0a2c664371a4595d3615c0b8da51ce3fa4fca86ed5e4f4b05aaf314 SHA1: db960af1cd21acc6e535f93efdbcf2589c920489 MD5sum: e9be2f916939618bf07b4ab1e38b99a3 Description: OCaml libraries for package dependencies (runtime files) Dose3 is a framework consisting of several OCaml libraries for analysing the metadata of software packages (like Packages files of debian suites). . Though not tied to any particular distribution, Dose3 constitutes a pool of libraries which enable analyzing packages coming from various distributions. . Besides basic functionalities for querying and setting package properties, dose3 also implements algorithms for solving more complex problems (monitoring package evolutions, correct and complete dependency resolution, repository-wide uninstallability checks). . This package contains only the shared runtime stub libraries. Package: libdose3-ocaml-dev Source: dose3 Version: 3.0.2-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 5246 Depends: libbz2-ocaml-dev-1qq81, libcudf-ocaml-dev-tbya1, libdose3-ocaml-1c4g1, libextlib-ocaml-dev-2t4w2, libocamlgraph-ocaml-dev-qrnk6, libpcre-ocaml-dev-werc3, libzip-ocaml-dev-tcv11, ocaml-nox-3.12.1 Provides: libdose3-ocaml-dev-1c4g1 Homepage: http://www.mancoosi.org/software/ Priority: extra Section: ocaml Filename: pool/main/d/dose3/libdose3-ocaml-dev_3.0.2-3_armhf.deb Size: 530880 SHA256: 81641e62fa88f34ad01e11ddc9a5d938be668d081b04899c9e9b375ef24f5b08 SHA1: c7ececa9fc239d5c652731c98aa970ab6669d601 MD5sum: 35d31cd3f870fa06a01311e804230bbb Description: OCaml libraries for package dependencies (development files) Dose3 is a framework consisting of several OCaml libraries for analysing the metadata of software packages (like Packages files of debian suites). . Though not tied to any particular distribution, Dose3 constitutes a pool of libraries which enable analyzing packages coming from various distributions. . Besides basic functionalities for querying and setting package properties, dose3 also implements algorithms for solving more complex problems (monitoring package evolutions, correct and complete dependency resolution, repository-wide uninstallability checks). . This package contains the development stuff you need to use dose3 for the development of programs. Package: libdotconf-dev Source: dotconf Version: 1.0.13-3 Architecture: armhf Maintainer: Shane Wegner Installed-Size: 168 Depends: libdotconf1.0 (= 1.0.13-3), libc6-dev Priority: extra Section: libdevel Filename: pool/main/d/dotconf/libdotconf-dev_1.0.13-3_armhf.deb Size: 48132 SHA256: cd8c07491c6d3e78d28f97c54c80af2f060456821ee830d09ee90ca26884a2aa SHA1: d275fe9940c00019cf434042244e81fb328f0c78 MD5sum: 4efc3aa01c52a4dbb2fc8d16a31c3e5c Description: Configuration file parser library - development files dot.conf is a simple-to-use and powerful configuration-file parser library written in C. The configuration files created for dot.conf look very similar to those used by the Apache Webserver. Even Container-Directives known from httpd.conf can easily be used in the exact same manner as for Apache-Modules. It supports various types of arguments, dynamically loadable modules that create their own configuration options on-the-fly, a here-documents feature to pass very long ARG_STR data to your app, and on-the-fly inclusion of additional config files. . This package contains the development files necessary to build programs using the dotconf library. Package: libdotconf1.0 Source: dotconf Version: 1.0.13-3 Architecture: armhf Maintainer: Shane Wegner Installed-Size: 50 Depends: libc6 (>= 2.7) Priority: optional Section: libs Filename: pool/main/d/dotconf/libdotconf1.0_1.0.13-3_armhf.deb Size: 15864 SHA256: 6a85f2eec790e19cb76fffa2ca29487c7ab3ee5ac61628ca4ca5cbc601d9acc2 SHA1: c0feb35f748bb03ede0f5287fe206de6821cf461 MD5sum: 5ad3db98856aa1620f288e31439cf167 Description: Configuration file parser library - runtime files dot.conf is a simple-to-use and powerful configuration-file parser library written in C. The configuration files created for dot.conf look very similar to those used by the Apache Webserver. Even Container-Directives known from httpd.conf can easily be used in the exact same manner as for Apache-Modules. It supports various types of arguments, dynamically loadable modules that create their own configuration options on-the-fly, a here-documents feature to pass very long ARG_STR data to your app, and on-the-fly inclusion of additional config files. Package: libdoxia-java Source: doxia Version: 1.1.4-2 Installed-Size: 1191 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-configuration-java, libcommons-lang-java (>= 2.6), libfop-java, libhttpclient-java, libitext1-java, liblog4j1.2-java (>= 1.2.16), libplexus-container-default-java, libplexus-containers-java, libplexus-i18n-java, libplexus-utils-java, libxerces2-java Suggests: libdoxia-java-doc Size: 731102 SHA256: 92d62a44278f056d7417cbeb71f6af87d597e4d4b47593f3ac04f7ddebf8eedc SHA1: fe6f75e6dd4c6802c2846ce9eabeeddf259a6c93 MD5sum: 1d992359d6c488263f42615a064f1da8 Description: powerful content generation framework Doxia is a content generation framework which aims to provide its users with powerful techniques for generating static and dynamic content. . Doxia can be used to generate static sites in addition to being incorporated into dynamic content generation systems like blogs, wikis and content management systems. . Doxia is used extensively by Maven and it powers the entire documentation system of Maven. It gives Maven the ability to take any document that Doxia supports and output it any format. Homepage: http://maven.apache.org/doxia/ Tag: implemented-in::java, works-with-format::html Section: java Priority: optional Filename: pool/main/d/doxia/libdoxia-java_1.1.4-2_all.deb Package: libdoxia-java-doc Source: doxia Version: 1.1.4-2 Installed-Size: 13512 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc, libcommons-configuration-java-doc, liblog4j1.2-java-doc, libplexus-containers-java-doc, libplexus-utils-java-doc Suggests: libdoxia-java Size: 2241254 SHA256: 7c9107d134b645a68ca802d60ade8ab9158d5c04e5096f6ae183eef5fed7379a SHA1: 470e09cac64b106681b9a68593214be02aa79b1f MD5sum: 0798cd311bcf8ef718ae335408bb15a1 Description: Documentation for libdoxia-java Doxia is a content generation framework which aims to provide its users with powerful techniques for generating static and dynamic content. . Doxia can be used to generate static sites in addition to being incorporated into dynamic content generation systems like blogs, wikis and content management systems. . Doxia is used extensively by Maven and it powers the entire documentation system of Maven. It gives Maven the ability to take any document that Doxia supports and output it any format. . This package provides the API documentation for Doxia. Homepage: http://maven.apache.org/doxia/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/d/doxia/libdoxia-java-doc_1.1.4-2_all.deb Package: libdoxia-maven-plugin-java Source: doxia-maven-plugin Version: 1.1.4-1 Installed-Size: 108 Maintainer: Debian Java Maintainers Architecture: all Depends: libdoxia-java, libdoxia-sitetools-java, libmaven-doxia-tools-java, libmaven2-core-java, libplexus-utils-java Size: 24854 SHA256: 07d38b7d9b80a65f3fa32953b7e4b98d1c823b014fac82efeef51a2c5b7e453e SHA1: 96ceac7f1175b2a3efba803292fdeb14a2c9531e MD5sum: b6cabb3194a1afb1369440bd16e00b06 Description: Maven plugin for Doxia Doxia is a content generation framework which aims to provide its users with powerful techniques for generating static and dynamic content. . Doxia can be used to generate static sites in addition to being incorporated into dynamic content generation systems like blogs, wikis and content management systems. . Doxia is used exensively by Maven and it powers the entire documentation system of Maven. It gives Maven the ability to take any document that Doxia supports and output it any format. . This package provides a Maven plugin for Doxia. Homepage: http://maven.apache.org/doxia/doxia/doxia-maven-plugin Section: java Priority: optional Filename: pool/main/d/doxia-maven-plugin/libdoxia-maven-plugin-java_1.1.4-1_all.deb Package: libdoxia-sitetools-java Source: doxia-sitetools Version: 1.1.4-1 Installed-Size: 324 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-collections3-java, libdoxia-java, libitext1-java, libjaxp1.3-java, libplexus-container-default-java, libplexus-i18n-java, libplexus-utils-java, libplexus-velocity-java, libxalan2-java, velocity Suggests: libdoxia-sitetools-java-doc Conflicts: libdoxia-java (<= 1.0-alpha-7-1) Size: 130338 SHA256: 751d2e8879d9fd4945daa46c158167741d0d65722f89353b96e7f8353b08b924 SHA1: d9c03a7a790e21046c02b5534eba25a6e609f8ab MD5sum: 6557fd96c54ea20f5de49174d7506843 Description: Extension package of the content generation framework Doxia Doxia Sitetools generates sites, consisting of static and dynamic content that was generated by Doxia. Doxia is a content generation framework which aims to provide its users with powerful techniques for generating static and dynamic content. . Doxia can be used to generate static sites in addition to being incorporated into dynamic content generation systems like blogs, wikis and content management systems. . Doxia is used exensively by Maven and it powers the entire documentation system of Maven. It gives Maven the ability to take any document that Doxia supports and output it any format. Homepage: http://maven.apache.org/doxia/doxia-sitetools/ Section: java Priority: optional Filename: pool/main/d/doxia-sitetools/libdoxia-sitetools-java_1.1.4-1_all.deb Package: libdoxia-sitetools-java-doc Source: doxia-sitetools Version: 1.1.4-1 Installed-Size: 2288 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc, libdoxia-java-doc, libplexus-utils-java-doc, libxalan2-java-doc Suggests: libdoxia-sitetools-java Size: 229042 SHA256: 7d5ec6917abbbe0a614708e5d8c1022a08e1bfe6fcc31ea7157246f0fb71180e SHA1: ac08f541f748929f533ce78583d468edaa56c455 MD5sum: cbef935a894ebd9d053bad9d3f50083b Description: Documentation for Doxia Sitetools Doxia Sitetools generates sites, consisting of static and dynamic content that was generated by Doxia. Doxia is a content generation framework which aims to provide its users with powerful techniques for generating static and dynamic content. . Doxia can be used to generate static sites in addition to being incorporated into dynamic content generation systems like blogs, wikis and content management systems. . Doxia is used exensively by Maven and it powers the entire documentation system of Maven. It gives Maven the ability to take any document that Doxia supports and output it any format. . This package contains the API documentation of libdoxia-sitetools-java. Homepage: http://maven.apache.org/doxia/doxia-sitetools/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/d/doxia-sitetools/libdoxia-sitetools-java-doc_1.1.4-1_all.deb Package: libdpkg-dev Source: dpkg Version: 1.16.18+rpi1 Architecture: armhf Origin: debian Bugs: debbugs://bugs.debian.org Maintainer: Dpkg Developers Installed-Size: 879 Homepage: http://wiki.debian.org/Teams/Dpkg Priority: optional Section: libdevel Filename: pool/main/d/dpkg/libdpkg-dev_1.16.18+rpi1_armhf.deb Size: 689638 SHA256: 7ed7e907165bee84f7f919e0875d60f1c6602cd32758b29da0980004418d4565 SHA1: 0dfebf5730c60adc1c31f3aefbf88586e462fa04 MD5sum: 469bf3c63a8bde62b4c1df49532f3a71 Description: Debian package management static library This package provides the header files and static library necessary to develop software using libdpkg, the same library used internally by dpkg. . Note though, that the API is to be considered volatile, and might change at any time, use at your own risk. Package: libdpkg-log-perl Version: 1.20-2 Installed-Size: 124 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdatetime-perl, libdatetime-format-strptime-perl, libparams-validate-perl Size: 25752 SHA256: 103b81b619b51ac5c698386661ae069b35849304c98d765ed81ec3447ebbe80e SHA1: aeefd2e9cbda45ff44319f28f21fd12e0122d19e MD5sum: 54158e95ea7209c28e083a73b319499e Description: module for parsing and analysing dpkg log files DPKG::Log is a perl library which aims at parsing and analysing a dpkg logfile. . It supports: - Parsing a dpkg log and representing each line as a separate generic object. - Analysing a dpkg log and extracting information from it, such as installed packages, upgraded packages etc. Homepage: http://search.cpan.org/dist/DPKG-Log/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdpkg-log-perl/libdpkg-log-perl_1.20-2_all.deb Package: libdpkg-perl Source: dpkg Version: 1.16.18+rpi1 Architecture: all Origin: debian Bugs: debbugs://bugs.debian.org Maintainer: Dpkg Developers Installed-Size: 1697 Depends: dpkg (>= 1.15.8), perl, libtimedate-perl Recommends: libfile-fcntllock-perl, bzip2, xz-utils Suggests: debian-keyring, gnupg, gpgv, gcc | c-compiler, binutils, patch Breaks: dpkg-dev (<< 1.15.6) Replaces: dpkg (<< 1.15.8), dpkg-dev (<< 1.15.6) Multi-Arch: foreign Homepage: http://wiki.debian.org/Teams/Dpkg Priority: optional Section: perl Filename: pool/main/d/dpkg/libdpkg-perl_1.16.18+rpi1_all.deb Size: 967280 SHA256: 295099b1c047554e827d86140189d63e06fc8261d4b1ab1f158d584958eb1bbb SHA1: e487b39d7a801adcdb74edf01946b343c0f5ca31 MD5sum: dc99e56f0dc702fb3be3ac030e48d038 Description: Dpkg perl modules This package provides the perl modules used by the scripts in dpkg-dev. They cover a wide range of functionalities. Among them there are the following modules: . - Dpkg::Arch: manipulate Debian architecture information - Dpkg::BuildOptions: parse and manipulate DEB_BUILD_OPTIONS - Dpkg::Changelog: parse Debian changelogs - Dpkg::Checksums: generate and parse checksums - Dpkg::Compression::Process: wrapper around compression tools - Dpkg::Compression::FileHandle: transparently (de)compress files - Dpkg::Control: parse and manipulate Debian control information (.dsc, .changes, Packages/Sources entries, etc.) - Dpkg::Deps: parse and manipulate dependencies - Dpkg::ErrorHandling: common error functions - Dpkg::Index: collections of Dpkg::Control (Packages/Sources files for example) - Dpkg::IPC: spawn sub-processes and feed/retrieve data - Dpkg::Substvars: substitute variables in strings - Dpkg::Vendor: identify current distribution vendor - Dpkg::Version: parse and manipulate Debian package versions . All the packages listed in Suggests or Recommends are used by some of the modules. Package: libdpkg-ruby Source: ruby-debian Version: 0.3.8 Installed-Size: 32 Maintainer: Ryan Niebur Architecture: all Depends: ruby-debian (>= 0.3.8) Size: 4894 SHA256: d705799e1803433215be64d9fb43105aac40599922cbe28dd8a1ea8e718f0e08 SHA1: 5054d92e501788bdee8e0a846c8f669256b3a3b5 MD5sum: 4e58e3c0c7fcfb37022d290fbf427d34 Description: Transitional package for ruby-debian This is a transitional package to ease upgrades to the ruby-debian package. It can safely be removed. Tag: role::dummy Section: ruby Priority: optional Filename: pool/main/r/ruby-debian/libdpkg-ruby_0.3.8_all.deb Package: libdpkg-ruby1.8 Source: ruby-debian Version: 0.3.8 Installed-Size: 32 Maintainer: Ryan Niebur Architecture: all Depends: ruby-debian (>= 0.3.8) Size: 4898 SHA256: 30bafce4f9a722a0ee22fd52944ffd69c9e29e396078f91675810cb59d9e0974 SHA1: cb686d1d9097f894689586bcab31eab800f16ff9 MD5sum: e8f01151be40e30a9e86e39cec0837b0 Description: Transitional package for ruby-debian This is a transitional package to ease upgrades to the ruby-debian package. It can safely be removed. Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::dummy, role::shared-lib, suite::debian, works-with::software:package Section: ruby Priority: optional Filename: pool/main/r/ruby-debian/libdpkg-ruby1.8_0.3.8_all.deb Package: libdpkg-ruby1.9.1 Source: ruby-debian Version: 0.3.8 Installed-Size: 32 Maintainer: Ryan Niebur Architecture: all Depends: ruby-debian (>= 0.3.8) Size: 4898 SHA256: 3af6ba92dafe45aa4428dd3c410cc05d113aa21729ba369987930501a1605d6a SHA1: 2eec03d5b6b74b3b00a0fb8010312c927f2bfa12 MD5sum: 68e9033197cdc5e81a3cc022004ad9e5 Description: Transitional package for ruby-debian This is a transitional package to ease upgrades to the ruby-debian package. It can safely be removed. Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::dummy, role::shared-lib, suite::debian, works-with::software:package Section: ruby Priority: optional Filename: pool/main/r/ruby-debian/libdpkg-ruby1.9.1_0.3.8_all.deb Package: libdpm-dev Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 1121 Depends: libdpm1 (= 1.8.2-1), liblcgdm-dev (= 1.8.2-1) Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: libdevel Filename: pool/main/l/lcgdm/libdpm-dev_1.8.2-1_armhf.deb Size: 432486 SHA256: e7541db8beb781e8a4d7b6fce7fe1235394e2ee142dc9d100b15b7592fa691e2 SHA1: d81fa1dfddac8f37df04e142536da3dcd60ef65c MD5sum: dc7ff06ac9baa759a8080da9a9083010 Description: DPM development libraries and header files The LCG Disk Pool Manager (DPM) creates a storage element from a set of disks. It provides several interfaces for storing and retrieving data such as RFIO and SRM version 1, version 2 and version 2.2. This package contains the development libraries and header files for DPM. Package: libdpm-perl Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 765 Depends: libdpm1 (= 1.8.2-1), libc6 (>= 2.13-28), liblcgdm1, libperl5.14 (>= 5.14.2), perl (>= 5.14.2-9), perlapi-5.14.2 Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: perl Filename: pool/main/l/lcgdm/libdpm-perl_1.8.2-1_armhf.deb Size: 171410 SHA256: 9f3e220aa3ba11268d57c71526e3a66c91282ba6a61221e1fa4b13463d5bd6c3 SHA1: c40e7f9ae64911877a36285db68adc6562ff5fec MD5sum: 995522886b707542ebcfc4bf63ee06af Description: Disk Pool Manager (DPM) perl bindings The LCG Disk Pool Manager (DPM) creates a storage element from a set of disks. It provides several interfaces for storing and retrieving data such as RFIO and SRM version 1, version 2 and version 2.2. This package provides Perl bindings for the DPM client library. Package: libdpm1 Source: lcgdm Version: 1.8.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 381 Depends: liblcgdm1 (= 1.8.2-1), libc6 (>= 2.13-28) Homepage: http://glite.web.cern.ch/glite/ Priority: optional Section: libs Filename: pool/main/l/lcgdm/libdpm1_1.8.2-1_armhf.deb Size: 163478 SHA256: c0209d3b0e7dce50bdf3ba5da0e7b6cfadabcbc60ac244f06e697181dee91fe5 SHA1: a603bf33d12b1f124531fb709a2bf5072c7c3b56 MD5sum: 890e8db83615bc039b3d5f2512712047 Description: Disk Pool Manager (DPM) libraries The LCG Disk Pool Manager (DPM) creates a storage element from a set of disks. It provides several interfaces for storing and retrieving data such as RFIO and SRM version 1, version 2 and version 2.2. This package contains the runtime DPM client library. Package: libdrawtk-dev Source: drawtk Version: 2.0-2 Architecture: armhf Maintainer: Nicolas Bourdaud Installed-Size: 117 Depends: libdrawtk0 (= 2.0-2) Multi-Arch: same Homepage: http://cnbi.epfl.ch/software/drawtk.html Priority: extra Section: libdevel Filename: pool/main/d/drawtk/libdrawtk-dev_2.0-2_armhf.deb Size: 43416 SHA256: ea5df33766a92000fe42bb989bec34d9112db425b6869c459874f9043b3960d4 SHA1: e4ca038818e92df293b39c8fa4932682e476e6ed MD5sum: 94146d4c1468631415c1e2683492f2c3 Description: Library to simple and efficient 2D drawings (development files) This package provides an C library to perform efficient 2D drawings. The drawing is done by OpenGL allowing fast and nice rendering of basic shapes, text, images and videos. It has been implemented as a thin layer that hides the complexity of the OpenGL library. . This package contains the files needed to compile and link programs which use drawtk. Package: libdrawtk0 Source: drawtk Version: 2.0-2 Architecture: armhf Maintainer: Nicolas Bourdaud Installed-Size: 84 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libfreeimage3, libfreetype6 (>= 2.2.1), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.12.0), libgstreamer-plugins-base0.10-0 (>= 0.10.23), libgstreamer0.10-0 (>= 0.10.25), libsdl1.2debian (>= 1.2.11) Multi-Arch: same Homepage: http://cnbi.epfl.ch/software/drawtk.html Priority: extra Section: libs Filename: pool/main/d/drawtk/libdrawtk0_2.0-2_armhf.deb Size: 32480 SHA256: 17ab7cf7b209ae0eac500ca8692e030e18e1c57a9c72e6411387002427096c46 SHA1: b2be39341ef64ea0f867324b73aa16a935708e0b MD5sum: e2397f76c3b812f53024129b07f22109 Description: Library to simple and efficient 2D drawings This package provides an C library to perform efficient 2D drawings. The drawing is done by OpenGL allowing fast and nice rendering of basic shapes, text, images and videos. It has been implemented as a thin layer that hides the complexity of the OpenGL library. Package: libdrawtk0-dbg Source: drawtk Version: 2.0-2 Architecture: armhf Maintainer: Nicolas Bourdaud Installed-Size: 105 Depends: libdrawtk0 (= 2.0-2) Multi-Arch: same Homepage: http://cnbi.epfl.ch/software/drawtk.html Priority: extra Section: debug Filename: pool/main/d/drawtk/libdrawtk0-dbg_2.0-2_armhf.deb Size: 61724 SHA256: 0cdd4ae8b877200b8a42c6131d8487e188a811b8a6efdcec3d03bcd5c6dc9888 SHA1: 1d40caa174d6bcc1107e7a1229e11e626fcf31b3 MD5sum: c54403ad7d7b82d93bdec0cb801f42e4 Description: Library to simple and efficient 2D drawings (debugging symbols) This package provides an C library to perform efficient 2D drawings. The drawing is done by OpenGL allowing fast and nice rendering of basic shapes, text, images and videos. It has been implemented as a thin layer that hides the complexity of the OpenGL library. . This package provides the debugging symbols for the library. Package: libdrizzle-dbg Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 905 Depends: libdrizzle4 (= 1:7.1.36-stable-1) Homepage: http://launchpad.net/drizzle Priority: extra Section: debug Filename: pool/main/d/drizzle/libdrizzle-dbg_7.1.36-stable-1_armhf.deb Size: 686530 SHA256: 380d6bd3ece1e0927508cc4e68e62cc2bc3e7289b3b365c394d67df02859d34c SHA1: d808fe5afa53ddf88bcfc11896e8519c700ec7df MD5sum: 0cdf714ebc9b785524cc5ad9689df019 Description: library for the Drizzle and MySQL protocols, debug symbols libdrizzle is a library implementing both the Drizzle and MySQL protocols. It has been designed to be light on memory usage, thread safe, and provide full access to server side methods. . This package provides debugging symbols. Package: libdrizzle-dev Source: drizzle Version: 1:7.1.36-stable-1 Installed-Size: 824 Maintainer: Tobias Frost Architecture: all Depends: libdrizzle4 (>= 1:7.1.36-stable-1), libdrizzle4 (<< 1:7.1.36-stable-1.1~), zlib1g-dev Size: 633118 SHA256: 1a34ce928221284f60916d069e975514fd901d42cecfc7cc0870e41e38015a93 SHA1: 371c2cea6dc9773da8f1f1e9b58051f39a58cf73 MD5sum: 3d39d560ebc3b4c1fc2d05d9ca95db6d Description: library for the Drizzle and MySQL protocols, development files libdrizzle is a library implementing both the Drizzle and MySQL protocols. It has been designed to be light on memory usage, thread safe, and provide full access to server side methods. . This package provides the files needed for development. Homepage: http://launchpad.net/drizzle Tag: devel::library, role::devel-lib Section: libdevel Priority: extra Filename: pool/main/d/drizzle/libdrizzle-dev_7.1.36-stable-1_all.deb Package: libdrizzle4 Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 790 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.1.1) Homepage: http://launchpad.net/drizzle Priority: optional Section: libs Filename: pool/main/d/drizzle/libdrizzle4_7.1.36-stable-1_armhf.deb Size: 647922 SHA256: 29ce437830286a6c206a7932d5079a59f5a90f5abeba07c4658cbb9bb55d82e7 SHA1: 4fee2cf8879cb3ea95b739bc5c2e8607df84f9a0 MD5sum: e87ed9a3e2ad65501dfa93a82d10de39 Description: library for the Drizzle and MySQL protocols libdrizzle is a library implementing both the Drizzle and MySQL protocols. It has been designed to be light on memory usage, thread safe, and provide full access to server side methods. . This package provides the Drizzle client library. Package: libdrizzledmessage-dev Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 674 Depends: libdrizzledmessage0 (= 1:7.1.36-stable-1) Homepage: http://launchpad.net/drizzle Priority: extra Section: libdevel Filename: pool/main/d/drizzle/libdrizzledmessage-dev_7.1.36-stable-1_armhf.deb Size: 614660 SHA256: a42bfd458aa7ff19789574068aa80c4fb870cf46b9b9fdc19ea6a14cc6f16ad9 SHA1: 0603a8542de575b7cc10918a54139d782c9e7456 MD5sum: 79884d74c8f9a7a9fe1f512f6aa0a7fa Description: Devel library containing serialized messages used with Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the protobuf-based message serializations dev files. Package: libdrizzledmessage0 Source: drizzle Version: 1:7.1.36-stable-1 Architecture: armhf Maintainer: Tobias Frost Installed-Size: 1470 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libprotobuf7, libstdc++6 (>= 4.4.0) Homepage: http://launchpad.net/drizzle Priority: optional Section: libs Filename: pool/main/d/drizzle/libdrizzledmessage0_7.1.36-stable-1_armhf.deb Size: 866526 SHA256: 1a2605f5cb7d2c43ddddb50369fd4f605d8330bd649e84792b443117d553a00f SHA1: e70816eff6e98e42be76ff11aa8d74a91d834623 MD5sum: bbef53d4d69ae8961cbbef3e9f8eda22 Description: Library containing serialized messages used with Drizzle The Drizzle project is building a database optimized for Cloud and Net applications. It is being designed for massive concurrency on modern multi-cpu/core architecture. The code is originally derived from MySQL. . This package includes the protobuf-based message serializations. Package: libdrm-dev Source: libdrm Version: 2.4.40-1~deb7u2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 919 Depends: libdrm2 (= 2.4.40-1~deb7u2), libdrm-radeon1 (= 2.4.40-1~deb7u2), libdrm-nouveau1a (= 2.4.40-1~deb7u2), libdrm-omap1 (= 2.4.40-1~deb7u2), libkms1 (= 2.4.40-1~deb7u2) Replaces: linux-libc-dev (<< 2.6.32-10) Priority: optional Section: libdevel Filename: pool/main/libd/libdrm/libdrm-dev_2.4.40-1~deb7u2_armhf.deb Size: 555194 SHA256: 90c12a9d8e25aae694ef8be883603b8adc85be80436fa08e66cab4b9d3983761 SHA1: a18129af276f4d6d8d6cbe6bfc090a03c0b26527 MD5sum: 8b2ce998dda1c330746b6bebc7eb3f19 Description: Userspace interface to kernel DRM services -- development files This library implements the userspace interface to the kernel DRM services. DRM stands for "Direct Rendering Manager", which is the kernelspace portion of the "Direct Rendering Infrastructure" (DRI). The DRI is currently used on Linux to provide hardware-accelerated OpenGL drivers. . This package provides the development environment for libdrm. Package: libdrm-nouveau1a Source: libdrm Version: 2.4.40-1~deb7u2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 497 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdrm2 (>= 2.4.3) Conflicts: libdrm-nouveau1 Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libd/libdrm/libdrm-nouveau1a_2.4.40-1~deb7u2_armhf.deb Size: 446036 SHA256: c656cbb182ee273e785241e64ef102371b7b351505ae8d6c4af8c74a824d06c2 SHA1: fc9a6c02ab8310cbb12a02ec8b2a93ec3d7eb634 MD5sum: d1af0b28eb7c9e772464d43a40fc93e4 Description: Userspace interface to nouveau-specific kernel DRM services -- runtime This library implements the userspace interface to the nouveau-specific kernel DRM services. DRM stands for "Direct Rendering Manager", which is the kernelspace portion of the "Direct Rendering Infrastructure" (DRI). The DRI is currently used on Linux to provide hardware-accelerated OpenGL drivers. Package: libdrm-nouveau1a-dbg Source: libdrm Version: 2.4.40-1~deb7u2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 504 Depends: libdrm-nouveau1a (= 2.4.40-1~deb7u2) Conflicts: libdrm-nouveau1-dbg Multi-Arch: same Priority: extra Section: debug Filename: pool/main/libd/libdrm/libdrm-nouveau1a-dbg_2.4.40-1~deb7u2_armhf.deb Size: 458396 SHA256: 0dc7046edf05bed6ead23ffa587d68c3922f6a268725f0921f848f806923ea6e SHA1: 99afb0c7ffc76ba7f6668888c3ea0d3b682d3341 MD5sum: 628a79370dfce1e6f0e4ef8053c1894d Description: Userspace interface to nouveau-specific kernel DRM -- debugging symbols This library implements the userspace interface to the kernel DRM services. DRM stands for "Direct Rendering Manager", which is the kernelspace portion of the "Direct Rendering Infrastructure" (DRI). The DRI is currently used on Linux to provide hardware-accelerated OpenGL drivers. . This package provides the debugging symbols for the libdrm-nouveau1a package. Package: libdrm-omap1 Source: libdrm Version: 2.4.40-1~deb7u2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 480 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdrm2 (>= 2.4.3) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libd/libdrm/libdrm-omap1_2.4.40-1~deb7u2_armhf.deb Size: 440292 SHA256: a8f9dadf2cb3255a53249591fbdf58d3c7cf7521314c2b9c964ae503a2166342 SHA1: 03a3e2c05c9cd0e89dfc773717fabeb5d9e9461f MD5sum: 46e16b0901f22bcaba80906a6d75c222 Description: Userspace interface to omap-specific kernel DRM services -- runtime This library implements the userspace interface to the omap-specific kernel DRM services. DRM stands for "Direct Rendering Manager", which is the kernelspace portion of the "Direct Rendering Infrastructure" (DRI). The DRI is currently used on Linux to provide hardware-accelerated OpenGL drivers. Package: libdrm-omap1-dbg Source: libdrm Version: 2.4.40-1~deb7u2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 487 Depends: libdrm-omap1 (= 2.4.40-1~deb7u2) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/libd/libdrm/libdrm-omap1-dbg_2.4.40-1~deb7u2_armhf.deb Size: 442648 SHA256: 9c65e6f5b263434c26df46413a51aed613016cf2aafbcfb075e76e30ac31b9c7 SHA1: 1acd0480bc9b40ab6e31f21f3aa792633c709aaa MD5sum: ca3d7491eea8cf86040d0ec3539c4874 Description: Userspace interface to omap-specific kernel DRM services -- debugging symbols This library implements the userspace interface to the kernel DRM services. DRM stands for "Direct Rendering Manager", which is the kernelspace portion of the "Direct Rendering Infrastructure" (DRI). The DRI is currently used on Linux to provide hardware-accelerated OpenGL drivers. . This package provides the debugging symbols for the libdrm-omap1 package. Package: libdrm-radeon1 Source: libdrm Version: 2.4.40-1~deb7u2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 518 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdrm2 (>= 2.4.38) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libd/libdrm/libdrm-radeon1_2.4.40-1~deb7u2_armhf.deb Size: 455186 SHA256: c7845c179e73a58ae7c69d1788571756e03a827b0cd2ce7c5d1593857e618678 SHA1: fa555fa953605ae4b7197174fd650177236248f6 MD5sum: 5864af0be2c12af1d7be09cdeacf3602 Description: Userspace interface to radeon-specific kernel DRM services -- runtime This library implements the userspace interface to the radeon-specific kernel DRM services. DRM stands for "Direct Rendering Manager", which is the kernelspace portion of the "Direct Rendering Infrastructure" (DRI). The DRI is currently used on Linux to provide hardware-accelerated OpenGL drivers. Package: libdrm-radeon1-dbg Source: libdrm Version: 2.4.40-1~deb7u2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 517 Depends: libdrm-radeon1 (= 2.4.40-1~deb7u2) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/libd/libdrm/libdrm-radeon1-dbg_2.4.40-1~deb7u2_armhf.deb Size: 469144 SHA256: a1487eaed95e059b2b564612cfdbfef6607b3bd316385fb692e163e6b413b451 SHA1: d93df0c40429df60d8568b01f0b77b0a68f85e2f MD5sum: 152f75d5e42697a15e1e3b567b467057 Description: Userspace interface to radeon-specific kernel DRM services -- debugging symbols This library implements the userspace interface to the kernel DRM services. DRM stands for "Direct Rendering Manager", which is the kernelspace portion of the "Direct Rendering Infrastructure" (DRI). The DRI is currently used on Linux to provide hardware-accelerated OpenGL drivers. . This package provides the debugging symbols for the libdrm-radeon1 package. Package: libdrm2 Source: libdrm Version: 2.4.40-1~deb7u2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 512 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libd/libdrm/libdrm2_2.4.40-1~deb7u2_armhf.deb Size: 455712 SHA256: 4fdb09b2fef217f5703cff8d603250274c26fcf007279ebd871c013c0634ecc3 SHA1: 9fd21354fdc56908e25f4d366d1b05810900038f MD5sum: f90b9c665c0680e9c5aa9e36783db4b3 Description: Userspace interface to kernel DRM services -- runtime This library implements the userspace interface to the kernel DRM services. DRM stands for "Direct Rendering Manager", which is the kernelspace portion of the "Direct Rendering Infrastructure" (DRI). The DRI is currently used on Linux to provide hardware-accelerated OpenGL drivers. . This package provides the runtime environment for libdrm. Package: libdrm2-dbg Source: libdrm Version: 2.4.40-1~deb7u2 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 525 Depends: libdrm2 (= 2.4.40-1~deb7u2) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/libd/libdrm/libdrm2-dbg_2.4.40-1~deb7u2_armhf.deb Size: 475280 SHA256: d2f2f8b41b289e43e829a40fb8841f00e717010bc26521ae4ab5b262a5d1e716 SHA1: c8924af36898459179075614ed3489e42d199852 MD5sum: 370efd86f763d2907b8a27b0a4927705 Description: Userspace interface to kernel DRM services -- debugging symbols This library implements the userspace interface to the kernel DRM services. DRM stands for "Direct Rendering Manager", which is the kernelspace portion of the "Direct Rendering Infrastructure" (DRI). The DRI is currently used on Linux to provide hardware-accelerated OpenGL drivers. . This package provides debugging symbols for the libdrm2 package. Package: libdrumstick-dbg Source: libdrumstick Version: 0.5.0-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1340 Depends: libdrumstick0 (= 0.5.0-3) Multi-Arch: same Homepage: http://drumstick.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/libd/libdrumstick/libdrumstick-dbg_0.5.0-3_armhf.deb Size: 1147158 SHA256: cbebf7841d4debd73811391c792f7d95faa64c7dd9fd7df7b3e9bd38ff81c0b8 SHA1: 7246bda190b6a21c7fd3260c25a9ff206124e169 MD5sum: b7d32e4f8a1800728618bb4e76c78978 Description: Qt4/C++ wrapper for ALSA Sequencer - debugging symbols This library is a C++ wrapper around the ALSA library sequencer interface, using Qt4 objects, idioms and style. ALSA sequencer provides software support for MIDI technology on Linux. Complementary classes for SMF and WRK file processing are also included. . This package provides the debugging symbols. Package: libdrumstick-dev Source: libdrumstick Version: 0.5.0-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 153 Depends: libdrumstick0 (= 0.5.0-3) Multi-Arch: same Homepage: http://drumstick.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/libd/libdrumstick/libdrumstick-dev_0.5.0-3_armhf.deb Size: 29050 SHA256: 7656a4c22bdb28784348659caebdc1619184b16dab25088d5355a68b378754ef SHA1: 87789c00782ff35bc99519acafaba65ebf8111bf MD5sum: b56b53de29d4351ef51e3af172c7688b Description: Qt4/C++ wrapper for ALSA Sequencer - development files This library is a C++ wrapper around the ALSA library sequencer interface, using Qt4 objects, idioms and style. ALSA sequencer provides software support for MIDI technology on Linux. Complementary classes for SMF and WRK file processing are also included. . This package provides the development files. Package: libdrumstick0 Source: libdrumstick Version: 0.5.0-3 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 519 Pre-Depends: multiarch-support Depends: libasound2 (>= 1.0.17), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0) Multi-Arch: same Homepage: http://drumstick.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libd/libdrumstick/libdrumstick0_0.5.0-3_armhf.deb Size: 172990 SHA256: c9073234d1a8a467a462657ca517dc02d34ead7408831e452fa59768466ef961 SHA1: e1b2a38286883382668f9b87e8bfac5b7df4d2e4 MD5sum: 6438a43a25f1cf37b3176abc16338544 Description: Qt4/C++ wrapper for ALSA Sequencer This library is a C++ wrapper around the ALSA library sequencer interface, using Qt4 objects, idioms and style. ALSA sequencer provides software support for MIDI technology on Linux. Complementary classes for SMF and WRK file processing are also included. Package: libdsdp-5.8gf Source: dsdp Version: 5.8-9.1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 390 Depends: libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), liblapack3gf | liblapack.so.3gf | libatlas3gf-base Homepage: http://www-unix.mcs.anl.gov/DSDP/ Priority: extra Section: libs Filename: pool/main/d/dsdp/libdsdp-5.8gf_5.8-9.1_armhf.deb Size: 179546 SHA256: 0ef08a761eeea4975f2cb18ab9b126cf4c5ecd97b4a1537e4a21a62644ab160f SHA1: bfdffab52e778babb802a1aa17aff44961036d99 MD5sum: be85361d5c82ddaf8e6803a792d1002f Description: Software for Semidefinite Programming The DSDP software is a free open source implementation of an interior-point method for semidefinite programming. It provides primal and dual solutions, exploits low-rank structure and sparsity in the data, and has relatively low memory requirements for an interior-point method. It allows feasible and infeasible starting points and provides approximate certificates of infeasibility when no feasible solution exists. The dual-scaling algorithm implemented in this package has a convergence proof and worst-case polynomial complexity under mild assumptions on the data. Furthermore, the solver offers scalable parallel performance for large problems and a well documented interface. Some of the most popular applications of semidefinite programming and linear matrix inequalities (LMI) are model control, truss topology design, and semidefinite relaxations of combinatorial and global optimization problems. . This package contains the library files. Package: libdsdp-dev Source: dsdp Version: 5.8-9.1 Architecture: armhf Maintainer: Soeren Sonnenburg Installed-Size: 638 Depends: libdsdp-5.8gf (= 5.8-9.1) Homepage: http://www-unix.mcs.anl.gov/DSDP/ Priority: extra Section: libdevel Filename: pool/main/d/dsdp/libdsdp-dev_5.8-9.1_armhf.deb Size: 229954 SHA256: 6e22a354e30db55e1d2d12271264c2537b53e44f4dafdc36f7b9ddb7f88e4628 SHA1: ba817cd9b3e0eab2d3484f237090396a054807a2 MD5sum: 36d8b63d92fb575eecb76b01977e8813 Description: Software for Semidefinite Programming The DSDP software is a free open source implementation of an interior-point method for semidefinite programming. It provides primal and dual solutions, exploits low-rank structure and sparsity in the data, and has relatively low memory requirements for an interior-point method. It allows feasible and infeasible starting points and provides approximate certificates of infeasibility when no feasible solution exists. The dual-scaling algorithm implemented in this package has a convergence proof and worst-case polynomial complexity under mild assumptions on the data. Furthermore, the solver offers scalable parallel performance for large problems and a well documented interface. Some of the most popular applications of semidefinite programming and linear matrix inequalities (LMI) are model control, truss topology design, and semidefinite relaxations of combinatorial and global optimization problems. . This package contains the header files for developers. Package: libdshconfig1 Source: libdshconfig Version: 0.20.13-1 Architecture: armhf Maintainer: Junichi Uekawa Installed-Size: 40 Depends: libc6 (>= 2.4) Priority: optional Section: libs Filename: pool/main/libd/libdshconfig/libdshconfig1_0.20.13-1_armhf.deb Size: 10648 SHA256: e8bcc814652b0cdc1ebe2c040def3417577792404110d4e29b9b4333097c2ca4 SHA1: 29a80d6fc9a501fdaa535897697294e0c48cf542 MD5sum: 47bd00f065d8a570532e339df8268f09 Description: configuration file parser library for dsh - runtime Runtime library for configuration file parser, required for running programs with dsh config file support. . dsh uses this library to parse configuration files. Package: libdshconfig1-dev Source: libdshconfig Version: 0.20.13-1 Architecture: armhf Maintainer: Junichi Uekawa Installed-Size: 167 Depends: libdshconfig1 (= 0.20.13-1) Conflicts: libdshconfig-dev Provides: libdshconfig-dev Priority: optional Section: libdevel Filename: pool/main/libd/libdshconfig/libdshconfig1-dev_0.20.13-1_armhf.deb Size: 31388 SHA256: e934914407a38885b3921c5c7906d385049eaa68167276e09ad624ad9e0f8f4c SHA1: 9bfe22780b184681bd2a4505f094bcdfee3b9fea MD5sum: 79f79dde65d6fbba2f28cabe210462b4 Description: configuration file parser library for dsh - development Development library for a simple configuration file parser. . Includes the necessary files for compiling programs with libdshconfig. . This library supports a very simple line-based configuration file format. Package: libdsocksd0 Source: dante Version: 1.1.19.dfsg-3 Architecture: armhf Maintainer: Peter Pentchev Installed-Size: 286 Depends: libc6 (>> 2.13), libc6 (<< 2.14) Conflicts: dante-client (<< 1.1.19.dfsg) Replaces: dante-client (<< 1.1.19.dfsg) Homepage: http://www.inet.no/dante/ Priority: optional Section: libs Filename: pool/main/d/dante/libdsocksd0_1.1.19.dfsg-3_armhf.deb Size: 112030 SHA256: 38c3658660ec0ee58183b77da118118dc1ded5f124835e28cf151ce191206090 SHA1: ce69ae60d008893f62f7830c58b082e046488240 MD5sum: 580e9493aa2408fb5d4b37e7f82496c7 Description: SOCKS library for internal use by the dante client Dante is a circuit-level firewall/proxy that can be used to provide convenient and secure network connectivity to a wide range of hosts while requiring only the server Dante runs on to have external network connectivity. . This package provides the actual SOCKS wrapper library, which should only be used via the dante-client package's socksify(1) executable. Package: libdspam7 Source: dspam Version: 3.10.1+dfsg-11 Architecture: armhf Maintainer: Debian DSPAM Maintainers Installed-Size: 239 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), adduser Suggests: libdspam7-drv (= 3.10.1+dfsg-11) Homepage: http://dspam.sourceforge.net Priority: optional Section: libs Filename: pool/main/d/dspam/libdspam7_3.10.1+dfsg-11_armhf.deb Size: 143758 SHA256: 37d6928c210a20663eb34c83a589815344d6816a37501ede9bdb3911b892242f SHA1: 0ba71a46790493b23676e5d2699df0bf3eee3107 MD5sum: 17043fdac3ba0c5f75a8c5d181237b95 Description: Core message processing and classification functionality for DSPAM DSPAM is a dedicated statistical filter with minimal resources. It includes many new algorithms to fight against spam including: * Concept Identification * Message Inoculation * Advanced de-obfuscation techniques * Bayesian Noise Reduction . This package contains the library where people can link to. Package: libdspam7-dbg Source: dspam Version: 3.10.1+dfsg-11 Architecture: armhf Maintainer: Debian DSPAM Maintainers Installed-Size: 335 Depends: libdspam7 (= 3.10.1+dfsg-11) Recommends: libdspam7-drv-hash (= 3.10.1+dfsg-11), libdspam7-drv-mysql (= 3.10.1+dfsg-11), libdspam7-drv-pgsql (= 3.10.1+dfsg-11), libdspam7-drv-sqlite3 (= 3.10.1+dfsg-11) Suggests: libc-dbg Homepage: http://dspam.sourceforge.net Priority: extra Section: debug Filename: pool/main/d/dspam/libdspam7-dbg_3.10.1+dfsg-11_armhf.deb Size: 249026 SHA256: e0f28c7ca80da16caa7e1e69e8c7740aa67f8a43b021e8a11065b2b57bab2962 SHA1: 6082d3c5fefee168634874e1f2b26791a96c66c4 MD5sum: b9663e4e73d7191151e15d9adad3a183 Description: debugging symbols for DSPAM related libraries DSPAM is a dedicated statistical filter with minimal resources. It includes many new algorithms to fight against spam including: * Concept Identification * Message Inoculation * Advanced de-obfuscation techniques * Bayesian Noise Reduction . This package includes the debugging symbols for DSPAM related libraries. Package: libdspam7-dev Source: dspam Version: 3.10.1+dfsg-11 Architecture: armhf Maintainer: Debian DSPAM Maintainers Installed-Size: 539 Depends: libdspam7 (= 3.10.1+dfsg-11) Homepage: http://dspam.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/d/dspam/libdspam7-dev_3.10.1+dfsg-11_armhf.deb Size: 253418 SHA256: 9f86dbaf3abee4b5ad9a8e4d7a0e99782feb01f94e7de1ab02272100927ac804 SHA1: f8a8856b619efd127b9316b420af5569351d707a MD5sum: 818d03fbe6e351f2d97741c76e685046 Description: Development headers for libdspam DSPAM is a dedicated statistical filter with minimal resources. It includes many new algorithms to fight against spam including: * Concept Identification * Message Inoculation * Advanced de-obfuscation techniques * Bayesian Noise Reduction . This package contains the development headers for libdspam. Package: libdspam7-drv-hash Source: dspam Version: 3.10.1+dfsg-11 Architecture: armhf Maintainer: Debian DSPAM Maintainers Installed-Size: 172 Depends: libc6 (>= 2.13-28), libdspam7 (= 3.10.1+dfsg-11), libgcc1 (>= 1:4.4.0) Breaks: dspam (<= 3.9.1~rc1+git20110514.347379b+dfsg-1) Replaces: dspam (<= 3.9.1~rc1+git20110514.347379b+dfsg-1) Provides: libdspam7-drv Homepage: http://dspam.sourceforge.net Priority: optional Section: mail Filename: pool/main/d/dspam/libdspam7-drv-hash_3.10.1+dfsg-11_armhf.deb Size: 110200 SHA256: d983bf55a3d6de11c76b8d013ace100adcc3c9d8191a37dbc2369768bfe559ea SHA1: a52570dc66eef8122ec94ad8fabd449ab55a5fb8 MD5sum: bdd5225f95c88c92040048f35eb1e991 Description: Hash backend for DSPAM anti-spam filter DSPAM is a dedicated statistical filter with minimal resources. It includes many new algorithms to fight against spam including: * Concept Identification * Message Inoculation * Advanced de-obfuscation techniques * Bayesian Noise Reduction . This package contains the hash backend. Package: libdspam7-drv-mysql Source: dspam Version: 3.10.1+dfsg-11 Architecture: armhf Maintainer: Debian DSPAM Maintainers Installed-Size: 240 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libdspam7 (= 3.10.1+dfsg-11), libmysqlclient18 (>= 5.5.24+dfsg-1), dbconfig-common, ucf (>= 0.28), mysql-client Recommends: mysql-server Provides: libdspam7-drv Homepage: http://dspam.sourceforge.net Priority: optional Section: mail Filename: pool/main/d/dspam/libdspam7-drv-mysql_3.10.1+dfsg-11_armhf.deb Size: 124960 SHA256: 98967998f96d367245fa7a4e91cd745202fdc944327e47ebd03174efe170300b SHA1: 6ed15bba2f26e90219fc7c8fb8279ba52414cc9c MD5sum: e6c0b32cc5a3fe8cc61358ed1de4e4a9 Description: MySQL backend for DSPAM anti-spam filter DSPAM is a dedicated statistical filter with minimal resources. It includes many new algorithms to fight against spam including: * Concept Identification * Message Inoculation * Advanced de-obfuscation techniques * Bayesian Noise Reduction . This package contains the MySQL backend. Package: libdspam7-drv-pgsql Source: dspam Version: 3.10.1+dfsg-11 Architecture: armhf Maintainer: Debian DSPAM Maintainers Installed-Size: 243 Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libdspam7 (= 3.10.1+dfsg-11), libpq5, dbconfig-common, ucf (>= 0.28), postgresql-client Recommends: postgresql Provides: libdspam7-drv Homepage: http://dspam.sourceforge.net Priority: optional Section: mail Filename: pool/main/d/dspam/libdspam7-drv-pgsql_3.10.1+dfsg-11_armhf.deb Size: 123874 SHA256: a71cb506b84cce4c317277f62fcfce0c1f192ac1c61b7ba7df35b9cdd081883e SHA1: 87afe1d6adcab84a48a1de898537a0d089c5d621 MD5sum: d92beb6ff8d0be0ffcfa00054b6b38ca Description: PostgreSQL backend for DSPAM anti-spam filter DSPAM is a dedicated statistical filter with minimal resources. It includes many new algorithms to fight against spam including: * Concept Identification * Message Inoculation * Advanced de-obfuscation techniques * Bayesian Noise Reduction . This package contains the PostgreSQL backend. Package: libdspam7-drv-sqlite3 Source: dspam Version: 3.10.1+dfsg-11 Architecture: armhf Maintainer: Debian DSPAM Maintainers Installed-Size: 185 Depends: libc6 (>= 2.13-28), libdspam7 (= 3.10.1+dfsg-11), libsqlite3-0 (>= 3.5.9), sqlite3 Provides: libdspam7-drv Homepage: http://dspam.sourceforge.net Priority: optional Section: mail Filename: pool/main/d/dspam/libdspam7-drv-sqlite3_3.10.1+dfsg-11_armhf.deb Size: 111206 SHA256: 197f0a4da04066865220fc3cfef6b0ba099cb959871e3a32ef39541b2cfb9c47 SHA1: 8f5b1b1b54ae98209c1bafbe9fbd6c25213d4698 MD5sum: eff7b542fd65915776903b0dc32313e6 Description: sqlite3 backend for DSPAM anti-spam filter DSPAM is a dedicated statistical filter with minimal resources. It includes many new algorithms to fight against spam including: * Concept Identification * Message Inoculation * Advanced de-obfuscation techniques * Bayesian Noise Reduction . This package contains the sqlite3 backend. Package: libdssi-ocaml Source: ocaml-dssi Version: 0.1.0-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 46 Depends: libladspa-ocaml-6rlh8, ocaml-base-nox-3.12.1, libc6 (>= 2.13-28) Provides: libdssi-ocaml-vsfm9 Homepage: http://savonet.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-dssi/libdssi-ocaml_0.1.0-1_armhf.deb Size: 7048 SHA256: d7848addcd7fb83d89e65f5cc439531e42d0a5f3a105a8d45bf84bf9cf96aca0 SHA1: 4c306261dafc3aa591a841917c0a3938221db96c MD5sum: cbeb1889192d7779ec3cb999211ad6a8 Description: OCaml interface to DSSI plugins -- runtime files This package provides an interface to the DSSI plugins for OCaml programmers. . DSSI is an API for audio plugins, with particular application for software synthesis plugins with native user interfaces. . This package contains only the shared runtime stub libraries. Package: libdssi-ocaml-dev Source: ocaml-dssi Version: 0.1.0-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 93 Depends: libdssi-ocaml-vsfm9, libladspa-ocaml-dev-6rlh8, ocaml-nox-3.12.1, dssi-dev, libdssi-ocaml (= 0.1.0-1), ocaml-findlib Provides: libdssi-ocaml-dev-vsfm9 Homepage: http://savonet.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-dssi/libdssi-ocaml-dev_0.1.0-1_armhf.deb Size: 14544 SHA256: 5c8e0b367f991772754859df6309cfb294eb0e6c44c1f21e6f6dd67d2750ca6c SHA1: 55adb51773fe31309c12a869156dd6fed045071e MD5sum: 39aabbafa5690121ff1e9a16d74a0a1f Description: OCaml interface to DSSI plugins -- developpement files This package provides an interface to DSSI plugins for OCaml programmers. . DSSI is an API for audio plugins, with particular application for software synthesis plugins with native user interfaces. . This package contains all the development stuff you need to develop OCaml programs which use ocaml-dssi. Package: libdssialsacompat-dev Source: libdssialsacompat Version: 1.0.8a-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 87 Depends: libdssialsacompat0 (= 1.0.8a-1) Homepage: http://smbolton.com/linux.html Priority: optional Section: libdevel Filename: pool/main/libd/libdssialsacompat/libdssialsacompat-dev_1.0.8a-1_armhf.deb Size: 13092 SHA256: 67cb307773f82fded76aa399fe41260ac21e7f8cb9f6efc1b27cbfadc575b978 SHA1: c32c3fc367cb7f02fd16689bc1f7d94be713df3a MD5sum: b533b1b03ed85187ebee47621988fa14 Description: DSSI ALSA compatibility library (development files) libdssialsacompat is simply an extraction from and repackaging of the code from alsa-lib, necessary to support DSSI on non-ALSA systems. . libdssialsacompat is intended to provide the snd_seq_event_t declarations and handling code necessary to compile and use DSSI on non-ALSA systems. It aims to allows compiling DSSI code with as little change as possible, while not presenting itself in such a way as to fool other autoconf-enabled code into thinking a system has ALSA. . This library does NOT provide any sort of emulation of the ALSA audio, MIDI, or sequencer devices. The only part of ALSA that is required by the DSSI specification is the snd_seq_event_t definition and handling, and that is all libdssialsacompat is intended to replace. Other ALSA code should be ported to native facilities. . This package provides the development files. Package: libdssialsacompat0 Source: libdssialsacompat Version: 1.0.8a-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 37 Depends: libc6 (>= 2.4) Homepage: http://smbolton.com/linux.html Priority: optional Section: libs Filename: pool/main/libd/libdssialsacompat/libdssialsacompat0_1.0.8a-1_armhf.deb Size: 5066 SHA256: 8f58c25ae6d6bf3baa4c7f385bd54a232224461889e1a4e0aa06ca0164263109 SHA1: 9f6ac6961ec0f3f27b0c7fca0d87032c25f936b1 MD5sum: 7934925f5474f74fff26f54db8d2ba2a Description: DSSI ALSA compatibility library for non-Linux platforms libdssialsacompat is simply an extraction from and repackaging of the code from alsa-lib, necessary to support DSSI on non-ALSA systems. . libdssialsacompat is intended to provide the snd_seq_event_t declarations and handling code necessary to compile and use DSSI on non-ALSA systems. It aims to allows compiling DSSI code with as little change as possible, while not presenting itself in such a way as to fool other autoconf-enabled code into thinking a system has ALSA. . This library does NOT provide any sort of emulation of the ALSA audio, MIDI, or sequencer devices. The only part of ALSA that is required by the DSSI specification is the snd_seq_event_t definition and handling, and that is all libdssialsacompat is intended to replace. Other ALSA code should be ported to native facilities. . This package provides the shared library. Package: libdtdinst-java Source: jing-trang Version: 20091111-5 Installed-Size: 190 Maintainer: Debian XML/SGML Group Architecture: all Size: 141622 SHA256: b44e050884e96a4b8a8b44cc5b46ed63059cbb1861fa845d7132dbd8cc730da4 SHA1: d89385cb3300e88c39d26dddbd2650767653fa34 MD5sum: a1a2d7a53658fdce6bfee2a832777ee2 Description: XML DTD to XML instance format converter - Java library dtdinst converts XML DTDs into XML instance format. The XML instance can be in either a format specific to DTDinst or RELAX NG format. . This package contains the Java library Homepage: http://code.google.com/p/jing-trang/ Section: java Priority: extra Filename: pool/main/j/jing-trang/libdtdinst-java_20091111-5_all.deb Package: libdtdparser-java Version: 1.21a-5 Installed-Size: 994 Maintainer: Debian Java Maintainers Architecture: all Size: 85668 SHA256: f1721119316da0c11251d40de834fe7aa005202ba3395bdbb12165ecc485b194 SHA1: 6b4a160a222e47b4ab5d6554e76db5322aa24ec4 MD5sum: c6711eb501472860dc1c97945e628f55 Description: Java DTD parser library DTD parsers for Java seem to be pretty scarce. That's probably because DTD isn't valid XML. At some point, if/when XML Schema becomes widely accepted, no one will need DTD parsers anymore. Until then, you can use this library to parse a DTD. . This library was packaged with ArgoUML in mind. Homepage: http://www.rpbourret.com/dtdparser/index.htm Tag: devel::lang:java, devel::library, implemented-in::java, use::text-formatting, works-with::text Section: java Priority: optional Filename: pool/main/libd/libdtdparser-java/libdtdparser-java_1.21a-5_all.deb Package: libdtools-ocaml-dev Source: ocaml-dtools Version: 0.3.0-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 243 Depends: libsyslog-ocaml-dev-ajop8, ocaml-nox-3.12.1, ocaml-findlib Provides: libdtools-ocaml-dev-tg484 Homepage: http://liquidsoap.fm/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-dtools/libdtools-ocaml-dev_0.3.0-1_armhf.deb Size: 34374 SHA256: 2bfb5d72404e9e7521ddfe72ac05003f029aabcfb7e8dc2b8e5698b3cc6c3ab4 SHA1: 7ba30769020bbca83a06cf7416f4edeb16a31a8f MD5sum: fcdfdf7a7a9cf2c6c50b558fedc6422c Description: library for writing daemons in OCaml dtools is a set of useful functions for writing daemon services in OCaml. . It includes support for: * configuration options * logging * detaching and writing PID file * start-up and shutdown task manager Package: libdts-dev Source: libdca Version: 0.0.5-5 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 51 Depends: libdca-dev (= 0.0.5-5) Homepage: http://www.videolan.org/developers/libdca.html Priority: optional Section: oldlibs Filename: pool/main/libd/libdca/libdts-dev_0.0.5-5_armhf.deb Size: 14190 SHA256: 7b2c4540e9582ead2f26703efec005876acd32ca54b0d8c7735f5979968b7f08 SHA1: 208fb0d3e791609f5b4761ece6ec52ade3e31e47 MD5sum: c61332f54aba09783e313cfe46f24c09 Description: backward compatibility place-holder for libdca libdca provides a low-level interface to decode audio frames encoded using DTS Coherent Acoustics. DTS Coherent Acoustics streams are commonly found on DVDs, DTS audio CDs and some radio broadcastings. libdca also provides downmixing and dynamic range compression for various output configurations. . This package contains compatibility headers used to build applications that still use libdts. Package: libdublincore-record-perl Version: 0.03-1 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libclass-accessor-perl Size: 13396 SHA256: 64b15ba77a1e7c14667b0304254006a348e6a1fa71ef7f51284c8ebbbd817a05 SHA1: e5d1309b22bdc5e08dc0a347ef6d2f296dfffc3c MD5sum: 09cdaadc095ac89da13a43706b30c36d Description: Container for Dublin Core metadata elements DublinCore::Record methods such as element(), elements(), title(), etc return DublinCore::Element objects as their result. These can be queried further to extract an elements content, qualifier, language, and schema. For a definition of these attributes please see RFC 2731 and http://www.dublincore.org. Homepage: http://search.cpan.org/dist/DublinCore-Record/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libd/libdublincore-record-perl/libdublincore-record-perl_0.03-1_all.deb Package: libdumb1 Source: libdumb Version: 1:0.9.3-5.4 Architecture: armhf Maintainer: Debian allegro packages maintainers Installed-Size: 360 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libd/libdumb/libdumb1_0.9.3-5.4_armhf.deb Size: 204064 SHA256: 667ed4106261750fb95fee0e3aefa8805bcfbfb7449ba726c64444caceac6782 SHA1: d89460a952303ae8518372256d1c5afa366df77a MD5sum: 8605cf63fcd879479894608f42636e1b Description: dynamic universal music bibliotheque DUMB is a tracker library with support for IT, XM, S3M and MOD files. It targets maximum accuracy to the original formats, with low-pass resonant filters for the IT files, accurate timing and pitching, and three resampling quality settings (aliasing, linear interpolation and cubic interpolation). . This package contains the libdumb1 runtime library, a standalone library for module playback. If you plan to use the Allegro library with your project, please consider the libaldmb1 library. Package: libdumb1-dev Source: libdumb Version: 1:0.9.3-5.4 Architecture: armhf Maintainer: Debian allegro packages maintainers Installed-Size: 307 Depends: libdumb1 (= 1:0.9.3-5.4) Conflicts: libdumb0-dev Multi-Arch: same Priority: optional Section: libdevel Filename: pool/main/libd/libdumb/libdumb1-dev_0.9.3-5.4_armhf.deb Size: 122838 SHA256: 3119539338f787de5519cea9b94996d3248fe8bd7c3dd348f29ff8a6beaedbf1 SHA1: 9141eb2b33c225fcffecc2881423816f1cf52385 MD5sum: de0af5b3e840dd11b0b3c377fa72dfe6 Description: development files for libdumb1 DUMB is a tracker library with support for IT, XM, S3M and MOD files. It targets maximum accuracy to the original formats, with low-pass resonant filters for the IT files, accurate timing and pitching, and three resampling quality settings (aliasing, linear interpolation and cubic interpolation). . This package contains the header files and static library needed to compile applications that use libdumb1. Package: libdumbnet-dev Source: libdumbnet Version: 1.12-3.1 Architecture: armhf Maintainer: Jan Christoph Nordholz Installed-Size: 196 Depends: libc6 (>= 2.7), libdumbnet1 (= 1.12-3.1) Homepage: http://code.google.com/p/libdnet/ Priority: optional Section: libdevel Filename: pool/main/libd/libdumbnet/libdumbnet-dev_1.12-3.1_armhf.deb Size: 58424 SHA256: 4f5884b5820d3a8f76670a95a5735a26e19d6f4c3a68caa2c6edeb2fddda8b4c SHA1: 89f23ea78c2c193e97a1ad08cdcae4b8caf52dbd MD5sum: f9395cfb386f39221945c21a00de735f Description: A dumb, portable networking library -- development files libdumbnet provides a simplified, portable interface to several low-level networking routines, including * network address manipulation * kernel arp(4) cache and route(4) table lookup and manipulation * network firewalling (Ip filter, ipfw, ipchains, pdf, ...) * network interface lookup and manipulation * raw IP packet and Ethernet frame transmission . libdumbnet is known as libdnet in other distributions, but was renamed in Debian in favour of the older DECnet library 'libdnet'. . This package contains the static library and the C header files. Package: libdumbnet1 Source: libdumbnet Version: 1.12-3.1 Architecture: armhf Maintainer: Jan Christoph Nordholz Installed-Size: 86 Depends: libc6 (>= 2.7) Homepage: http://code.google.com/p/libdnet/ Priority: optional Section: libs Filename: pool/main/libd/libdumbnet/libdumbnet1_1.12-3.1_armhf.deb Size: 27488 SHA256: 726d005bc8583f6a729343a6402011e56064494ba88750f4ad696336a88067d2 SHA1: 05b004af41c1b5586efcd6bb9438b83437c15d76 MD5sum: 5a1bbe42728f125d70714251467b2abb Description: A dumb, portable networking library -- shared library libdumbnet provides a simplified, portable interface to several low-level networking routines, including * network address manipulation * kernel arp(4) cache and route(4) table lookup and manipulation * network firewalling (Ip filter, ipfw, ipchains, pdf, ...) * network interface lookup and manipulation * raw IP packet and Ethernet frame transmission . libdumbnet is known as libdnet in other distributions, but was renamed in Debian in favour of the older DECnet library 'libdnet'. . This package contains the shared library. Package: libdumbster-java Source: dumbster Version: 1.6+debian-2 Installed-Size: 210 Maintainer: Stefan Denker Architecture: all Depends: libgnumail-java Suggests: junit Size: 25666 SHA256: 8770953bb79df2c63b792aa6105455f984c602ddd583f1aebdec5aae6f90e932 SHA1: c9db8d6849fecd2ee31acc6c69cc238b0418985b MD5sum: 16ed39f247bfb74247414c9e31823583 Description: very simple fake SMTP Server for testing purposes The Dumbster is a very simple fake SMTP server designed for unit and system testing applications that send email messages. It responds to all standard SMTP commands but does not deliver messages to the user. The messages are stored within the Dumbster for later extraction and verification. . The Dumbster slots itself very easily into your testing strategy. As long as your application talks to an email server using SMTP then the Dumbster can be used to test the application with no code changes Homepage: http://quintanasoft.com/dumbster/ Section: java Priority: extra Filename: pool/main/d/dumbster/libdumbster-java_1.6+debian-2_all.deb Package: libdune-common-2.2.0 Source: dune-common Version: 2.2.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 117 Pre-Depends: multiarch-support, dpkg (>= 1.15.6) Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.dune-project.org/ Priority: optional Section: libs Filename: pool/main/d/dune-common/libdune-common-2.2.0_2.2.0-1_armhf.deb Size: 25586 SHA256: 24b8d35f7db61db06fb3f65eeb2b75c6c57f88452b264ed25f9977f904aee2bb SHA1: 7df6abb78a4b40f060cb49d8aecaa0e3375c3a96 MD5sum: a3f71626741525af9f9c4598f0307633 Description: toolbox for solving PDEs -- basic classes (library) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the library for the basic classes. Package: libdune-common-dbg Source: dune-common Version: 2.2.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 298 Pre-Depends: dpkg (>= 1.15.6) Depends: libdune-common-2.2.0 (= 2.2.0-1) Homepage: http://www.dune-project.org/ Priority: extra Section: debug Filename: pool/main/d/dune-common/libdune-common-dbg_2.2.0-1_armhf.deb Size: 240102 SHA256: 42c9f21106f79519511672231f1d4c537f6e8c0d5534598a35f1d3b6cdeb2001 SHA1: b2037bfddf21ec8cc68c970cbe22b142038ffe6e MD5sum: 4b27b65efe1a35b5aeb14638d829df19 Description: toolbox for solving PDEs -- basic classes (debug symbols) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the debug symbols for the basic classes. Package: libdune-common-dev Source: dune-common Version: 2.2.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1217 Pre-Depends: dpkg (>= 1.15.6) Depends: libdune-common-2.2.0 (= 2.2.0-1) Recommends: automake, autoconf, libtool, pkg-config Suggests: libdune-common-dbg (= 2.2.0-1) Homepage: http://www.dune-project.org/ Priority: optional Section: libdevel Filename: pool/main/d/dune-common/libdune-common-dev_2.2.0-1_armhf.deb Size: 209360 SHA256: 71e39326dbe45f2fa4b5f50b9ddac0584544039ebbee3d76a484f3bb332beb5b SHA1: 067a840847e4e9c7505f74b1b695de1cf5a8d636 MD5sum: fbe5821b56ce578c5813e0f56f1173e4 Description: toolbox for solving PDEs -- basic classes (development files) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the development files for the basic classes. Package: libdune-common-doc Source: dune-common Version: 2.2.0-1 Installed-Size: 11406 Maintainer: Debian Science Maintainers Architecture: all Pre-Depends: dpkg (>= 1.15.6) Size: 809964 SHA256: d974024f635c32de75e8724d2c35c3a4e83cb94d75d23afb79bb9f3ead198a6d SHA1: 7e13bff81bae502f90838df93aae86ee3105afea MD5sum: 2af933c8c9ab52cb845b90f836e637ff Description: toolbox for solving PDEs -- basic classes (documentation) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the documentation for the basic classes. Homepage: http://www.dune-project.org/ Section: doc Priority: optional Filename: pool/main/d/dune-common/libdune-common-doc_2.2.0-1_all.deb Package: libdune-geometry-2.2.0 Source: dune-geometry Version: 2.2.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 245 Pre-Depends: multiarch-support, dpkg (>= 1.15.6) Depends: libc6 (>= 2.13-28), libdune-common-2.2.0, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.dune-project.org/ Priority: optional Section: libs Filename: pool/main/d/dune-geometry/libdune-geometry-2.2.0_2.2.0-1_armhf.deb Size: 72368 SHA256: c24bc46df0a7cf897cff1bc9a9f67b88bb63de00a3980594d3cefb4b7e078a76 SHA1: 53155853b8e114d99102273c82aa34f839195e04 MD5sum: 88764ab6195aff7212d7fc302223ddda Description: toolbox for solving PDEs -- geometry classes (library) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the library for the geometry classes. Package: libdune-geometry-dbg Source: dune-geometry Version: 2.2.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 487 Pre-Depends: dpkg (>= 1.15.6) Depends: libdune-geometry-2.2.0 (= 2.2.0-1) Homepage: http://www.dune-project.org/ Priority: extra Section: debug Filename: pool/main/d/dune-geometry/libdune-geometry-dbg_2.2.0-1_armhf.deb Size: 418578 SHA256: 74d0e86b22f45f8734fc5e2b2096881d2ae8cf6ad71adf913548370a2b164798 SHA1: 906da29afc7548bbebf7605d89e1fc24a6c21791 MD5sum: 963f77e7f76226e7931f8ed85b47bebb Description: toolbox for solving PDEs -- geometry classes (debug symbols) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the debug symbols for the geometry classes. Package: libdune-geometry-dev Source: dune-geometry Version: 2.2.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1497 Pre-Depends: dpkg (>= 1.15.6) Depends: libdune-geometry-2.2.0 (= 2.2.0-1), libdune-common-dev (>= 2.2.0) Suggests: libdune-geometry-dbg (= 2.2.0-1) Homepage: http://www.dune-project.org/ Priority: optional Section: libdevel Filename: pool/main/d/dune-geometry/libdune-geometry-dev_2.2.0-1_armhf.deb Size: 264864 SHA256: 0057bb8dbf6e6d38b07be73fbfb57ce41f8f91d2c55bac8090a6ccea1cc94b44 SHA1: 8c317159609e0dc626ff9194ee15c33e3a525375 MD5sum: 91ec6911aef14fd9d118acae9f15d2a4 Description: toolbox for solving PDEs -- geometry classes (development files) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the development files for the geometry classes. Package: libdune-geometry-doc Source: dune-geometry Version: 2.2.0-1 Installed-Size: 5690 Maintainer: Debian Science Maintainers Architecture: all Pre-Depends: dpkg (>= 1.15.6) Size: 555138 SHA256: 9b89cab816e856b7ed40fb6726717c5dd2547ab041a5bfe5f428f292f152a86d SHA1: d0a0113bde19c45a901daddc800376693f473a5f MD5sum: f6f38790d37c97fad3e9330f918932ab Description: toolbox for solving PDEs -- geometry classes (documentation) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the documentation for the geometry classes. Homepage: http://www.dune-project.org/ Section: doc Priority: optional Filename: pool/main/d/dune-geometry/libdune-geometry-doc_2.2.0-1_all.deb Package: libdune-grid-2.2.0 Source: dune-grid Version: 2.2.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 3355 Pre-Depends: multiarch-support, dpkg (>= 1.15.6) Depends: libalberta2 (>= 2.0.1), libc6 (>= 2.13-28), libdune-common-2.2.0, libdune-geometry-2.2.0, libgcc1 (>= 1:4.4.0), libopenmpi1.3, libstdc++6 (>= 4.4.0) Homepage: http://www.dune-project.org/ Priority: optional Section: libs Filename: pool/main/d/dune-grid/libdune-grid-2.2.0_2.2.0-1_armhf.deb Size: 482408 SHA256: f6dbd73760595a4f2839b1ac6366e2a6ca6d56630501360c54c5789f855da502 SHA1: cf51c1bf7e68fe0e9e2757f3cae74b4e8ad507e2 MD5sum: eb0fb12ac804eae0ddf2b0531e46c992 Description: toolbox for solving PDEs -- grid interface (library) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the library for the grid interface. Package: libdune-grid-dbg Source: dune-grid Version: 2.2.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 12521 Pre-Depends: dpkg (>= 1.15.6) Depends: libdune-grid-2.2.0 (= 2.2.0-1) Homepage: http://www.dune-project.org/ Priority: extra Section: debug Filename: pool/main/d/dune-grid/libdune-grid-dbg_2.2.0-1_armhf.deb Size: 10977824 SHA256: e1f6c3347784ad4f7934d61eac199971073f2a05d7464d1c507c0f3e52e8da33 SHA1: 43573e2678d677f5067a7a578e9c32096236b89b MD5sum: 12b1911c615c2b2b5984474efd34d97a Description: toolbox for solving PDEs -- grid interface (debug symbols) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the debug symbols for the grid interface. Package: libdune-grid-dev Source: dune-grid Version: 2.2.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 12797 Pre-Depends: dpkg (>= 1.15.6) Depends: libdune-grid-2.2.0 (= 2.2.0-1), libdune-common-dev (>= 2.2.0), libdune-geometry-dev (>= 2.2.0) Suggests: libdune-grid-dbg (= 2.2.0-1) Homepage: http://www.dune-project.org/ Priority: optional Section: libdevel Filename: pool/main/d/dune-grid/libdune-grid-dev_2.2.0-1_armhf.deb Size: 1097834 SHA256: 05712ad5c9d238302ac3dae5388f25c858234b2620548e2f17dd0ec43b90eafd SHA1: 5976499224e81f33954b2000e62f3f6c616a45de MD5sum: 5c6f6f16f63ddd8b42842ae538e65402 Description: toolbox for solving PDEs -- grid interface (development files) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the development files for the grid interface. Package: libdune-grid-doc Source: dune-grid Version: 2.2.0-1 Installed-Size: 44935 Maintainer: Debian Science Maintainers Architecture: all Pre-Depends: dpkg (>= 1.15.6) Size: 4656310 SHA256: 83adf7057a5299cb2e2c6e8a921ac6e1d0527ed6650f7f6825a6cc4f91d158b7 SHA1: c756030046bc70e6cd06c8c012178438857c7c89 MD5sum: 6105d7347ff9e9fee913e3032db5e4d6 Description: toolbox for solving PDEs -- grid interface (documentation) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the documentation for the grid interface. Homepage: http://www.dune-project.org/ Section: doc Priority: optional Filename: pool/main/d/dune-grid/libdune-grid-doc_2.2.0-1_all.deb Package: libdune-istl-dev Source: dune-istl Version: 2.2.0-1 Installed-Size: 973 Maintainer: Debian Science Maintainers Architecture: all Depends: libdune-common-dev (>= 2.2.0) Pre-Depends: dpkg (>= 1.15.6) Size: 150190 SHA256: 9d85c46f43fd2303676a2fbe482ad7e09b5db7967f6002da2d97d3812b6d7409 SHA1: 0c885aab43204ca78bb7ff331aae81b7ecb5ca51 MD5sum: 5de66038be2247ffdf4d3c5acd2cac68 Description: toolbox for solving PDEs -- iterative solvers (development files) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the development files for the iterative solver template library. Homepage: http://www.dune-project.org/ Tag: devel::library, role::devel-lib Section: libdevel Priority: optional Filename: pool/main/d/dune-istl/libdune-istl-dev_2.2.0-1_all.deb Package: libdune-istl-doc Source: dune-istl Version: 2.2.0-1 Installed-Size: 14551 Maintainer: Debian Science Maintainers Architecture: all Pre-Depends: dpkg (>= 1.15.6) Size: 1058302 SHA256: 404063dae50caffd476f5edc3cb7d0642b4c828db607967ea8d2aa7655e764dd SHA1: b3dc27873d2a276f93a51575e953374d51870bd5 MD5sum: 10890a54ef81e32abee48c4fb2ef2058 Description: toolbox for solving PDEs -- iterative solvers (documentation) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the documentation for the iterative solver template library. Homepage: http://www.dune-project.org/ Section: doc Priority: optional Filename: pool/main/d/dune-istl/libdune-istl-doc_2.2.0-1_all.deb Package: libdune-localfunctions-dev Source: dune-localfunctions Version: 2.2.0-1 Installed-Size: 689 Maintainer: Debian Science Maintainers Architecture: all Depends: libdune-common-dev (>= 2.2.0), libdune-geometry-dev (>= 2.2.0) Pre-Depends: dpkg (>= 1.15.6) Size: 87116 SHA256: 2051745ad718cc2e0bd24a27d87f9bbd50b80234f643d19ec1719c8e4f2cbabc SHA1: 8672c850f02250c4b1b96c5ae92e6053bf222014 MD5sum: 2267075fe9eb57b13ccb6cb64104ab24 Description: toolbox for solving PDEs -- local basis (development files) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the development files for the interface for the local basis and layout of the degrees of freedom. Homepage: http://www.dune-project.org/ Tag: devel::library, role::devel-lib Section: libdevel Priority: optional Filename: pool/main/d/dune-localfunctions/libdune-localfunctions-dev_2.2.0-1_all.deb Package: libdune-localfunctions-doc Source: dune-localfunctions Version: 2.2.0-1 Installed-Size: 12604 Maintainer: Debian Science Maintainers Architecture: all Pre-Depends: dpkg (>= 1.15.6) Size: 858552 SHA256: 4c426b332c18517e2b9b58e73227423ad8a3c9cb37f77df0d32d3946add0c20e SHA1: cbdc893897af26bf9551894f3da765f7ae12fba6 MD5sum: 7383f49bfa7eb77f9577965bf037fbb6 Description: toolbox for solving PDEs -- local basis (documentation) DUNE, the Distributed and Unified Numerics Environment is a modular toolbox for solving partial differential equations (PDEs) with grid-based methods. It supports the easy implementation of methods like Finite Elements (FE), Finite Volumes (FV), and also Finite Differences (FD). . This package contains the documentation for the interface for the local basis and layout of the degrees of freedom. Homepage: http://www.dune-project.org/ Section: doc Priority: optional Filename: pool/main/d/dune-localfunctions/libdune-localfunctions-doc_2.2.0-1_all.deb Package: libduo-dev Source: duo-unix Version: 1.8.1-1~deb7u1 Architecture: armhf Maintainer: Kees Cook Installed-Size: 54 Depends: libduo3 (= 1.8.1-1~deb7u1) Homepage: https://github.com/duosecurity/duo_unix Priority: extra Section: libdevel Filename: pool/main/d/duo-unix/libduo-dev_1.8.1-1~deb7u1_armhf.deb Size: 7302 SHA256: 6f0648123fc63bc9f8d7b1e9eefb0e5c826a6c9a30064e06255530f9eea9e87e SHA1: 9c560e3ac72ecd7166c1d44d16ef0fe2758fd39c MD5sum: 6cdbc5e943fee447c7fc434626ba9b57 Description: Duo Security development libraries and header files This package provides the develpment libraries and header files needed to link against the Due Security library functions. Also includes the manpages for library functions. Package: libduo3 Source: duo-unix Version: 1.8.1-1~deb7u1 Architecture: armhf Maintainer: Kees Cook Installed-Size: 123 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpam0g (>= 0.99.7.1), libssl1.0.0 (>= 1.0.0) Multi-Arch: same Homepage: https://github.com/duosecurity/duo_unix Priority: extra Section: libs Filename: pool/main/d/duo-unix/libduo3_1.8.1-1~deb7u1_armhf.deb Size: 41652 SHA256: e4bd37deb13e681f479a6853060fefc7784d4fd84274c008b467a7bbe89415ca SHA1: 6cd90f7867fdeddd9dfa3c890e659f3554ec13bb MD5sum: e417ff5e984a2d38c546a0fc8fdee857 Description: Duo Security library This package provides the shared library used for making use of the Duo Security two-factor authentication functionality. Also includes manpage. Package: libduppy-ocaml Source: ocaml-duppy Version: 0.4.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 45 Depends: libc6 (>= 2.13-28), libpcre-ocaml-werc3, ocaml-base-nox-3.12.1 Provides: libduppy-ocaml-cuhn8 Homepage: http://savonet.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-duppy/libduppy-ocaml_0.4.2-1_armhf.deb Size: 7552 SHA256: 5a5e40afcfb3617b2b7265ddcd7191d880cfae77e6fb190902579c2e2f2b1fc3 SHA1: 8b261f0c9af0844652cc125beb8a40a0b4cd57e0 MD5sum: b1d64cada364981c76912ce85e704682 Description: Advanced scheduler for OCaml (Runtime library) Duppy is an event scheduler written for OCaml. It allows the user to execute tasks according to some events on unix sockets, or a given delay. . Several threaded queues can proceed tasks in parallel. Tasks are processed according to an abstract notion of priority. . This package contains only the shared runtime stub libraries. Package: libduppy-ocaml-dev Source: ocaml-duppy Version: 0.4.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 381 Depends: camlp4-3.12.1, libduppy-ocaml-cuhn8, libpcre-ocaml-dev-werc3, ocaml-nox-3.12.1, ocaml-findlib Provides: libduppy-ocaml-dev-cuhn8 Homepage: http://savonet.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-duppy/libduppy-ocaml-dev_0.4.2-1_armhf.deb Size: 83274 SHA256: 7910dbceed4ea9a023a126d619e6c3e8d91ddad0b73944f8aabc1d4edd1fd445 SHA1: 1fd0765b493432f765db1b31ba49fa282597f0bc MD5sum: 235be8535f43678477dbf35e167d2a4a Description: Advanced scheduler for OCaml (Development package) Duppy is an event scheduler written for OCaml. It allows the user to execute tasks according to some events on unix sockets, or a given delay. . Several threaded queues can proceed tasks in parallel. Tasks are processed according to an abstract notion of priority. . This package contains all the development stuff you need to use ocaml-duppy in your programs. Package: libdv-bin Source: libdv Version: 1.0.0-6 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 125 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdv4, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsdl1.2debian (>= 1.2.11), libx11-6, libxext6, libxv1 Recommends: oss-compat Replaces: libdv-dev (<< 0.98-1) Homepage: http://libdv.sourceforge.net/ Priority: extra Section: video Filename: pool/main/libd/libdv/libdv-bin_1.0.0-6_armhf.deb Size: 55546 SHA256: dc047bddf94c693afd91b1a672302e6f9b991fab256d7cfdd829d08e2a764bcf SHA1: 43c4da99a592dd2f8d1274d2d9c4fc20df944892 MD5sum: 67ffd860c9f3a1ac4e19a4d0fb9f0554 Description: software library for DV format digital video (sample apps) The Quasar DV Codec (libdv) is a software decoder for DV format video, as defined by the IEC 61834 and SMPTE 314M standards. DV is the encoding format used by consumer-grade digital camcorders. . This package contains sample programs for viewing (playdv), encoding (encodedv), transferring DV data (dvconnect) and insert audio into a DV stream (dubdv). Package: libdv4 Source: libdv Version: 1.0.0-6 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 158 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Suggests: libdv-bin, oss-compat Multi-Arch: same Homepage: http://libdv.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libd/libdv/libdv4_1.0.0-6_armhf.deb Size: 76560 SHA256: 2622966989a0b2bd133065f019b376564f18642f99984992e5727795847a572a SHA1: b873456fad1d2c7a7acf3006980012c864b76fa2 MD5sum: d2a46381aa5c1ecb4addbe8faf6482c6 Description: software library for DV format digital video (runtime lib) The Quasar DV Codec (libdv) is a software decoder for DV format video, as defined by the IEC 61834 and SMPTE 314M standards. DV is the encoding format used by consumer-grade digital camcorders. . This package contains the library needed to run executables using libdv. Package: libdv4-dev Source: libdv Version: 1.0.0-6 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 86 Depends: libdv4 (= 1.0.0-6), libpopt-dev Recommends: pkg-config Conflicts: libdv-dev Replaces: libdv-dev Provides: libdv-dev Multi-Arch: same Homepage: http://libdv.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libd/libdv/libdv4-dev_1.0.0-6_armhf.deb Size: 26352 SHA256: e27e9c2186e5a5eeaeafccdc4398a41675fd4e3c0fe56c299ca4a83770798400 SHA1: 19a93fcad39ce67694b8967bd480d1867aae9640 MD5sum: c5b764ccef110372baf68551dbb54cf5 Description: software library for DV format digital video (devel files) The Quasar DV Codec (libdv) is a software decoder for DV format video, as defined by the IEC 61834 and SMPTE 314M standards. DV is the encoding format used by consumer-grade digital camcorders. . This package contains the development headers and library files needed to compile programs using libdv. Package: libdvb-dev Source: libdvb Version: 0.5.5.1-5.1 Architecture: armhf Maintainer: Debian multimedia packages maintainers Installed-Size: 637 Priority: optional Section: libdevel Filename: pool/main/libd/libdvb/libdvb-dev_0.5.5.1-5.1_armhf.deb Size: 254316 SHA256: a5731b5f3e1a379ae03e9444a845f5ea598e52318e893286993385e7cf6c3859 SHA1: 471f1a2a6bb6fc1aa7fd63f72352ca091208af25 MD5sum: b0d06d04173dfd4c17482ae775c140d9 Description: library to tune and command Digital Video Broadcasting cards The DVB standard (Digital Video Broadcasting) is an integrated package of standards for the distribution of terrestrial (DVB-T), satellite (DVB-S) and cable (DVB-C) digital television. . This library offers an abstraction layer over the Linux DVB kernel drivers to tune and command DVB cards that are connected to the system. Common uses include scanning transponders, selecting channels and retrieving raw MPEG-2 transport streams (TS). . This package contains the header files and static libraries needed to compile applications or shared objects that use libdvb. Package: libdvbcsa-dev Source: libdvbcsa Version: 1.1.0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 45 Depends: libdvbcsa1 (= 1.1.0-2) Multi-Arch: same Homepage: http://www.videolan.org/developers/libdvbcsa.html Priority: optional Section: libdevel Filename: pool/main/libd/libdvbcsa/libdvbcsa-dev_1.1.0-2_armhf.deb Size: 3276 SHA256: 277665b7fdc1a6a97e98b9e8aeef1c5182ba3182e04e0fb49921ae9f7282ca6f SHA1: b036fa751f7e3c5ba16a0985122cef61a8d5cb50 MD5sum: 85b2cb132f0c20d3f3eceecfb988bc8b Description: free implementation of the DVB/CSA (development files) libdvbcsa is a free implementation of the DVB Common Scrambling Algorithm with encryption and decryption capabilities. . It comes in two flavors: a classical single packet implementation and a faster parallel bitslice implementation. . This package provides the development files for libdvbcsa. Package: libdvbcsa1 Source: libdvbcsa Version: 1.1.0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 134 Pre-Depends: multiarch-support Depends: libc6 (>= 2.4) Multi-Arch: same Homepage: http://www.videolan.org/developers/libdvbcsa.html Priority: optional Section: video Filename: pool/main/libd/libdvbcsa/libdvbcsa1_1.1.0-2_armhf.deb Size: 54392 SHA256: 3b8a7114049831ddfc5b072b7808def8896c81138ad48dfd940a852922a912e1 SHA1: 043e696de7847f22d1671366b6e4806da1c3cf41 MD5sum: c828c4f8ccb0bd03cb1a0f7bb19d72f3 Description: free implementation of the DVB/CSA libdvbcsa is a free implementation of the DVB Common Scrambling Algorithm with encryption and decryption capabilities. . It comes in two flavors: a classical single packet implementation and a faster parallel bitslice implementation. . This package provides the shared library. Package: libdvbpsi-dev Source: libdvbpsi Version: 0.2.2-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 374 Depends: libdvbpsi7 (= 0.2.2-1) Conflicts: libdvbpsi0-dev, libdvbpsi1-dev, libdvbpsi2-dev, libdvbpsi3-dev, libdvbpsi4-dev, libdvbpsi5-dev Homepage: http://www.videolan.org/developers/libdvbpsi.html Priority: optional Section: libdevel Filename: pool/main/libd/libdvbpsi/libdvbpsi-dev_0.2.2-1_armhf.deb Size: 52508 SHA256: 6aa49b7b61148761991e0e6fa5573dca7cf94ffeae18faed027a6c698e753721 SHA1: 1b7683c3f7556f135c4dc35036d242276fb0612e MD5sum: daea2ef777566536e514c86c484a5892 Description: development files for libdvbpsi7 libdvbpsi is a simple library designed for MPEG TS and DVB PSI tables decoding and generating. . This package contains the header files and static library needed to compile applications that use libdvbpsi7. Package: libdvbpsi7 Source: libdvbpsi Version: 0.2.2-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 112 Depends: libc6 (>= 2.4) Homepage: http://www.videolan.org/developers/libdvbpsi.html Priority: optional Section: libs Filename: pool/main/libd/libdvbpsi/libdvbpsi7_0.2.2-1_armhf.deb Size: 43088 SHA256: 530d6d3aafee58c06553748f44bf68a83a69d77847f6287596fc7a2a4b0f2a3e SHA1: 4d93cde775eead0a28f160f45f7edd94a9d33134 MD5sum: 9c43e37bc2b74d9a4c30ed0d83e86737 Description: library for MPEG TS and DVB PSI tables decoding and generating libdvbpsi is a simple library designed for MPEG TS and DVB PSI tables decoding and generating. . This package contains the libdvbpsi runtime library. Package: libdvdnav-dbg Source: libdvdnav Version: 4.2.0+20120524-2 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 240 Depends: libdvdnav4 (= 4.2.0+20120524-2), libdvdnav-dev (= 4.2.0+20120524-2) Homepage: http://github.com/microe/libdvdnav Priority: extra Section: debug Filename: pool/main/libd/libdvdnav/libdvdnav-dbg_4.2.0+20120524-2_armhf.deb Size: 142806 SHA256: 208aaf0d6469a091239fe5da37339a8fc78d75c716a52fa25b41afaa0b4faef6 SHA1: 216da702d8226b2bb9fae454f2eb2c2e75267d98 MD5sum: ba3c76263c084e14d1def053b1ec21e5 Description: DVD navigation library (debug) libdvdnav is a DVD navigation library, which provides an interface to the advanced features of DVDs, like menus and navigation. It contains the VM and other parts useful for writing DVD players. It's based on Ogle, but was modified to be used by xine and mplayer. . This package contains the debugging symbols. Package: libdvdnav-dev Source: libdvdnav Version: 4.2.0+20120524-2 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 391 Depends: libdvdnav4 (= 4.2.0+20120524-2), libdvdread-dev, pkg-config Suggests: libdvdcss-dev Homepage: http://github.com/microe/libdvdnav Priority: optional Section: libdevel Filename: pool/main/libd/libdvdnav/libdvdnav-dev_4.2.0+20120524-2_armhf.deb Size: 99212 SHA256: 807b0aee2fc211d86f796c8e0dc7861e40abf3ffcf4262b9ddbd3a06b33c3d3a SHA1: b8cc301fcd0a6817f1d6647a5eaaaa5564c26055 MD5sum: 100d94f72b14bf0883302bdff7277e01 Description: DVD navigation library (development) libdvdnav is a DVD navigation library, which provides an interface to the advanced features of DVDs, like menus and navigation. It contains the VM and other parts useful for writing DVD players. It's based on Ogle, but was modified to be used by xine and mplayer. . This package contains the development files. Package: libdvdnav4 Source: libdvdnav Version: 4.2.0+20120524-2 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 193 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdvdread4, libgcc1 (>= 1:4.4.0) Suggests: libdvdcss2 Homepage: http://github.com/microe/libdvdnav Priority: optional Section: libs Filename: pool/main/libd/libdvdnav/libdvdnav4_4.2.0+20120524-2_armhf.deb Size: 42162 SHA256: 8b7afb38a9da2f8c277cd72874b15a8362dc0d2543ae23d4c36df91fb61c51c8 SHA1: 4bcc67f00e8ea8ce08dc1819ac5eed19224c22af MD5sum: 62a41dc9b4baca9fe710be4223297036 Description: DVD navigation library libdvdnav is a DVD navigation library, which provides an interface to the advanced features of DVDs, like menus and navigation. It contains the VM and other parts useful for writing DVD players. It's based on Ogle, but was modified to be used by xine and mplayer. Package: libdvdread-dbg Source: libdvdread Version: 4.2.0+20120521-2 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 143 Depends: libdvdread4 (= 4.2.0+20120521-2), libdvdread-dev (= 4.2.0+20120521-2) Homepage: http://github.com/microe/libdvdread Priority: extra Section: debug Filename: pool/main/libd/libdvdread/libdvdread-dbg_4.2.0+20120521-2_armhf.deb Size: 95846 SHA256: 97fbb948cd5c85d0ddede4f888d2dc2e83d327137dce17f64e963a47d511ec0f SHA1: 30f51abfa65f94be7579fc83dbcc9018d34d0648 MD5sum: 78f59ec63d8d220f137903fdc4587563 Description: library for reading DVDs (debug) libdvdread provides the functionality that is required to access many DVDs. It parses IFO files, reads NAV-blocks, and performs CSS authentication and descrambling. . This package contains the debugging symbols. Package: libdvdread-dev Source: libdvdread Version: 4.2.0+20120521-2 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 286 Depends: libdvdread4 (= 4.2.0+20120521-2), pkg-config Recommends: libdvdnav-dev Suggests: libdvdcss-dev Homepage: http://github.com/microe/libdvdread Priority: optional Section: libdevel Filename: pool/main/libd/libdvdread/libdvdread-dev_4.2.0+20120521-2_armhf.deb Size: 72412 SHA256: 5787c68626af1fd8463db92fbbc725133b1a0ac8a1d01d9235527f033f54082b SHA1: 0af7f683a57f0a8e2bc9ec479d9254a76c372179 MD5sum: 4d9110a1f56fb488cbe89c7d9a76ab11 Description: library for reading DVDs (development) libdvdread provides the functionality that is required to access many DVDs. It parses IFO files, reads NAV-blocks, and performs CSS authentication and descrambling. . This package contains the development files. Package: libdvdread4 Source: libdvdread Version: 4.2.0+20120521-2 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 171 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Recommends: libdvdnav4 Suggests: libdvdcss2 Homepage: http://github.com/microe/libdvdread Priority: optional Section: libs Filename: pool/main/libd/libdvdread/libdvdread4_4.2.0+20120521-2_armhf.deb Size: 55196 SHA256: 4276ffa29fd3721935e46d4de6fdddb50ab248e4f877a7489a56cf1a35c3633b SHA1: 1b869365ab79996deafd8c8cdd9b1a89ca406542 MD5sum: fd8a244862b8a4d10366fb7ea9b90957 Description: library for reading DVDs libdvdread provides the functionality that is required to access many DVDs. It parses IFO files, reads NAV-blocks, and performs CSS authentication and descrambling. . libdvdread probes for libdvdcss at runtime and if found, will use it to decrypt sections of the DVD as necessary. libdvdcss needs to be installed from third-party repositories (see README.css), it's not included in Debian. Package: libdw-dev Source: elfutils Version: 0.152-1+wheezy1 Architecture: armhf Maintainer: Kurt Roeckx Installed-Size: 490 Depends: libelf-dev, libdw1 (= 0.152-1+wheezy1) Homepage: https://fedorahosted.org/elfutils/ Priority: optional Section: libdevel Filename: pool/main/e/elfutils/libdw-dev_0.152-1+wheezy1_armhf.deb Size: 144316 SHA256: de6d89b940252ade12111d450fcd7e16bd4970b9df164e0afda07d510c2361f8 SHA1: 55621ddb1979ee3cb4fd3cd944d60cce1c018eb9 MD5sum: 23bbbf6cd16647b88069e7df17ad24bc Description: libdw1 development libraries and header files libdw1 provides a library that provides access to DWARF debug information stored inside ELF files. . This package contains development libraries and header files for libdw1. . It also contains a static version of libdw. Only link to the static version for special cases and when you don't need anything from the ebl backends. Package: libdw1 Source: elfutils Version: 0.152-1+wheezy1 Architecture: armhf Maintainer: Kurt Roeckx Installed-Size: 466 Depends: libbz2-1.0, libc6 (>= 2.13-28), libelf1 (>= 0.144), libgcc1 (>= 1:4.4.0), liblzma5 (>= 5.1.1alpha+20120614), zlib1g (>= 1:1.2.2.3) Homepage: https://fedorahosted.org/elfutils/ Priority: optional Section: libs Filename: pool/main/e/elfutils/libdw1_0.152-1+wheezy1_armhf.deb Size: 194540 SHA256: b7b58310d7a793404e129ff0d74e1a72e650bccfd9c079ab1a057493bfce52a4 SHA1: 3d1e948f282ddfa38453bc5f0ed70224ae8b8d8d MD5sum: 49a80e7258ac496b34449b6792868c98 Description: library that provides access to the DWARF debug information libdw1 provides a library that provides access to DWARF debug information stored inside ELF files. . This library is part of elfutils. Package: libdwarf-dev Source: dwarfutils Version: 20120410-2+deb7u2 Architecture: armhf Maintainer: Fabian Wolff Installed-Size: 990 Conflicts: libdw-dev Priority: optional Section: libdevel Filename: pool/main/d/dwarfutils/libdwarf-dev_20120410-2+deb7u2_armhf.deb Size: 682546 SHA256: 85342e3aa7a730c0ab88cc74b31e2c84a436c6f8ca1b8c4ed79482b6bccd6b24 SHA1: 01572f013637a788e025ab805ff9952bea7c1c93 MD5sum: b34da6872c5d1b677a34f1337db1f81e Description: library to consume and produce DWARF debug information The libdwarf package provides a shared library which allows reading/consumer and writing/producer of DWARF debugging information from object files, shared libraries, and executables. . This library is part of dwarfutils. Package: libdx4 Source: dx Version: 1:4.4.4-4 Architecture: armhf Maintainer: Daniel Kobras Installed-Size: 8604 Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libgomp1 (>= 4.2.1), libhdf4-0-alt, libice6 (>= 1:1.0.0), libmagickcore5 (>= 8:6.7.4.0), libnetcdfc7, libsm6, libtiff4 (>> 3.9.5-3~), libx11-6, libxext6, libxmu6, libxp6, libxpm4, libxt6 Homepage: http://www.opendx.org/ Priority: optional Section: libs Filename: pool/main/d/dx/libdx4_4.4.4-4_armhf.deb Size: 3553336 SHA256: 0a92b760593ce9cf8de20d3379686afcf72487667e457d78c0a676cde6a95b8a SHA1: 713257106f5229e5a7fb6caed860713c546d6cb6 MD5sum: ac381f8bc63242dd5b65cd047ea268e0 Description: OpenDX (IBM Visualization Data Explorer) - shared libraries Data Explorer is a system of tools and user interfaces for visualizing data. In general terms the visualization of data can be considered a 3-stage process: 1. Describing and importing data 2. Processing the data through a visualization program 3. Presenting the resulting image. This is the package containing the shared libraries. Programs that use routines from the OpenDX classes usually depend on it. Package: libdx4-dev Source: dx Version: 1:4.4.4-4 Architecture: armhf Maintainer: Daniel Kobras Installed-Size: 12375 Depends: libdx4 (= 1:4.4.4-4) Suggests: lesstif2-dev, libx11-dev, x11proto-core-dev, libxt-dev, libxpm-dev, libxmu-dev, libbz2-dev, libglu1-xorg-dev (>= 6.8.2.dfsg.1-3) | libglu-dev, libmagickwand-dev, libpng12-dev, libhdf4-alt-dev | libhdf4-dev, libnetcdf-dev, libxml2-dev, libjpeg62-dev, libtiff4-dev | libtiff-dev, zlib1g-dev | libz-dev, libwmf-dev, liblcms1-dev Conflicts: dx-dev (<< 1:4.3.0) Replaces: dx-dev (<= 1:4.3.2-1) Provides: dx-dev Homepage: http://www.opendx.org/ Priority: optional Section: libdevel Filename: pool/main/d/dx/libdx4-dev_4.4.4-4_armhf.deb Size: 4487854 SHA256: dac33ba5dc29cb8fdccee6b890d137de538af0fb7bfe6207727e7074441f6fc8 SHA1: 1d20f7b91cf07e2274d87f49c45dc14e0312fe02 MD5sum: 6fe4fe1d46f881619d3c06828537675f Description: OpenDX (IBM Visualization Data Explorer) - development files Data Explorer is a system of tools and user interfaces for visualizing data. In general terms the visualization of data can be considered a 3-stage process: 1. Describing and importing data 2. Processing the data through a visualization program 3. Presenting the resulting image. This is the development package. It contains the build rules, library routines and header files necessary for creating custom software that uses the OpenDX classes. Package: libdxflib-2.2.0.0 Source: dxflib Version: 2.2.0.0-8 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 152 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.qcad.org/dxflib.html Priority: optional Section: libs Filename: pool/main/d/dxflib/libdxflib-2.2.0.0_2.2.0.0-8_armhf.deb Size: 43168 SHA256: 5810e4660469552c1f908fb6f434b2774e352172b5836148c6b4df7e8864d8c9 SHA1: 0db29c9db60f9745703f1eb73072b62be92489a3 MD5sum: b39d6dd9a428fcb511d210688f904c0e Description: Library for reading and writing DXF files dxflib is a C++ library for reading and writing DXF files. When reading DXF files, dxflib parses the file and calls functions that you define in your own C++ class for adding entities, layers, etc. Package: libdxflib-2.2.0.0-dbg Source: dxflib Version: 2.2.0.0-8 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 368 Depends: libdxflib-2.2.0.0 (= 2.2.0.0-8) Homepage: http://www.qcad.org/dxflib.html Priority: extra Section: debug Filename: pool/main/d/dxflib/libdxflib-2.2.0.0-dbg_2.2.0.0-8_armhf.deb Size: 120398 SHA256: 496dffecb34f5909ab3c8fc75ac1ae2fec628dd90bf5e6e9376ccde8b8974aba SHA1: 3d36714db6cbda131b7961850c3067124334f7c3 MD5sum: 847ddcfe436b3b5986aef1ed160aff09 Description: Debugging symbols for the dxflib library dxflib is a C++ library for reading and writing DXF files. When reading DXF files, dxflib parses the file and calls functions that you define in your own C++ class for adding entities, layers, etc. . This package contains the debugging symbols for libdxflib. Package: libdxflib-dev Source: dxflib Version: 2.2.0.0-8 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 279 Depends: libdxflib-2.2.0.0 (= 2.2.0.0-8) Homepage: http://www.qcad.org/dxflib.html Priority: extra Section: libdevel Filename: pool/main/d/dxflib/libdxflib-dev_2.2.0.0-8_armhf.deb Size: 62026 SHA256: 1d3061ee08a304eee744e5b2808c96acd14adbd5b567bf665fbaf5a89db31a5b SHA1: 8d8adcc8c8558cacd3b26f34914b243319930c12 MD5sum: cc6c4e8bd8ce25fd081ea27b02340d2b Description: Development files for the dxflib library dxflib is a C++ library for reading and writing DXF files. When reading DXF files, dxflib parses the file and calls functions that you define in your own C++ class for adding entities, layers, etc. . This package contains the development files (headers and documentation) for libdxflib. Package: libdynalang-java Source: dynalang Version: 0.4-1 Installed-Size: 1556 Maintainer: Debian Java Maintainers Architecture: all Size: 176132 SHA256: 75882136632d402a7a7ba24efb648287b89108fe71ee96155e8a2ff55d3eb4ae SHA1: cb165119a8c985218c17566d100c2782a0266a9e MD5sum: 327fd03396e768afa317d3a2d9b3a135 Description: The JVM Dynamic Languages Metaobject Protocol Library This library aims to make it possible for objects created by a runtime for one dynamic language to be passed to and manipulated by a different dynamic language, running within the same JVM. More generally, it aims to provide a facility where an arbitrary system (usually a language runtime) can intuitively manipulate any arbitrary object model built atop of Java objects (usually the native object model of some other language). . Basically, it provides a unified mechanism that all dynamic languages on the JVM can use to inter-operably manipulate their own objects as well as objects from any other language that also uses this mechanism. Homepage: http://dynalang.sourceforge.net Section: java Priority: optional Filename: pool/main/d/dynalang/libdynalang-java_0.4-1_all.deb Package: libdynalogin-1-0 Source: dynalogin Version: 0.9.14-2 Architecture: armhf Maintainer: Debian Authentication Maintainers Installed-Size: 102 Depends: libapr1 (>= 1.2.7), libc6 (>= 2.13-28), liboath0 (>= 1.4.0), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11) Homepage: http://www.dynalogin.org/ Priority: optional Section: libs Filename: pool/main/d/dynalogin/libdynalogin-1-0_0.9.14-2_armhf.deb Size: 21408 SHA256: 7d0d33c001b2c313dfbdd852bb7721a54bebb5b5603422f8b31ec1055bd15efc SHA1: 5cced8df277271ef7046afc8cde3fcd437d5aa49 MD5sum: 271486d41adf16411d6576b46768f324 Description: two-factor HOTP authentication - implementation libs dynalogin is a two-factor authentication framework based on the HOTP (Open Authentication) algorithm. Dynalogin can store credentials in any database supported by UNIXODBC, which makes the solution robust and scalable. It can also store credentials in flat files if desired. dynalogin has been successfully integrated in solutions for OpenID, making it possible to use two-factor authentication with hundreds of other web applications and public web sites. There is a dynalogin soft-token for Android. . This library is used by a dynalogin authentication server or any other software component that wants to implement the HOTP algorithm internally. The package also includes various storage modules (file storage and UNIXODBC) for retaining the user credentials and algorithm data. Package: libdynamite-dev Source: dynamite Version: 0.1.1-2 Architecture: armhf Maintainer: Evgeni Golov Installed-Size: 46 Depends: libdynamite0 (= 0.1.1-2) Homepage: http://sourceforge.net/projects/synce Priority: optional Section: libdevel Filename: pool/main/d/dynamite/libdynamite-dev_0.1.1-2_armhf.deb Size: 6676 SHA256: 8ec239c2e6d084ad8c6cd58890d3cb8c09fbf5993141d56bb2e7c47e926ae4b5 SHA1: 6bb1243103bcc586013aebefe3c3a0c0f165ca83 MD5sum: 5a3cee37ae0fb2a910d7e601a254b84d Description: PKWARE Data Compression decompressor library - development files The dynamite library is needed by tools to be capable of squeezing out juicy .cab files from self-extracting installation programs created by the Setup Factory installation program. . This package contains development files for libdynamite. Package: libdynamite0 Source: dynamite Version: 0.1.1-2 Architecture: armhf Maintainer: Evgeni Golov Installed-Size: 38 Depends: libc6 (>= 2.4) Conflicts: libdynamite Replaces: libdynamite Homepage: http://sourceforge.net/projects/synce Priority: optional Section: libs Filename: pool/main/d/dynamite/libdynamite0_0.1.1-2_armhf.deb Size: 6952 SHA256: bee8a78f9461b504452125a956dd1011e50c3dcb44b4ba40fbbea15c856d2f4a SHA1: a0190a62ca82dbad320a868cf1e28e8e83c8aeba MD5sum: 68f1fd6d33cb9abaf3c3eb3ec47b3bdd Description: PKWARE Data Compression decompressor library The dynamite library is needed by tools to be capable of squeezing out juicy .cab files from self-extracting installation programs created by the Setup Factory installation program. Package: libeasy-format-ocaml Source: easy-format Version: 1.0.0-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 49 Depends: ocaml-base-nox-3.12.1 Recommends: ocaml-findlib Provides: libeasy-format-ocaml-olwe5 Homepage: http://martin.jambon.free.fr/easy-format.html Priority: optional Section: ocaml Filename: pool/main/e/easy-format/libeasy-format-ocaml_1.0.0-1_armhf.deb Size: 6312 SHA256: 74d18bb9e0342d0cd678b171ee30800127f97359cef95569b799f15b7df54e55 SHA1: 9192a237b021ea312e5a7591367a9847d9f35b0d MD5sum: da0eb962387b59647f4550149bd92ad8 Description: text generation with indentation made easy(ier) for OCaml This module offers a simplified interface to the Format module of the standard library. Input data must be converted into a tree using 3 kinds of nodes: atoms, lists and labelled nodes. Each node is bound to its own formatting parameters and a single function call produces the formatted output. . This package contains the shared runtime libraries. Package: libeasy-format-ocaml-dev Source: easy-format Version: 1.0.0-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 147 Depends: libeasy-format-ocaml-olwe5, ocaml-nox-3.12.1 Recommends: ocaml-findlib Provides: libeasy-format-ocaml-dev-olwe5 Homepage: http://martin.jambon.free.fr/easy-format.html Priority: optional Section: ocaml Filename: pool/main/e/easy-format/libeasy-format-ocaml-dev_1.0.0-1_armhf.deb Size: 21632 SHA256: e4fedf57526a3e3a9d5db0bfea445e65da8fb7d00e8563b5edeaf5adda3c8bf3 SHA1: 57d4479fc4beadbdf8d5a066014d2a200a668b14 MD5sum: e64032c3ab97d0c5f9ca8cda4bb622a6 Description: text generation with indentation made easy(ier) for OCaml This module offers a simplified interface to the Format module of the standard library. Input data must be converted into a tree using 3 kinds of nodes: atoms, lists and labelled nodes. Each node is bound to its own formatting parameters and a single function call produces the formatted output. Package: libeasyconf-java Source: easyconf Version: 0.9.5-3 Installed-Size: 110 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-beanutils-java, libcommons-collections3-java, libcommons-configuration-java, libcommons-digester-java, libcommons-lang-java, libcommons-logging-java Suggests: libservlet2.5-java, libstruts1.2-java, libxstream-java Size: 46730 SHA256: b1d00c3815de1f7c3d2eff0b6ede348b3f72f3f7cd8ec9c9c5da80c7385fd5c3 SHA1: a64167a476e2ea8051206c84cb723a454afdc182 MD5sum: 48da123b69cb67415663aa5419539c2e Description: library to access configuration of software components EasyConf is a library to access configuration of software components and applications. . EasyConf main features: * XML files, typed property files (including lists, numbers, classes, etc), or a combination of both * multiple environments (development, integration, preproduction, production) * aggregate several components: for example for portlets and portals * support for ASP applications: each company/organization serviced may have its own configuration * provides access to the configuration using JMX . This package contains EasyConf java library (JAR). Homepage: http://easyconf.sourceforge.net/ Section: java Priority: optional Filename: pool/main/e/easyconf/libeasyconf-java_0.9.5-3_all.deb Package: libeasyconf-java-doc Source: easyconf Version: 0.9.5-3 Installed-Size: 1188 Maintainer: Debian Java Maintainers Architecture: all Suggests: libeasyconf-java Size: 76424 SHA256: 515781838a53af9ba2f421d488dd9a447b7063ac500171cfc8dcf971d78932cb SHA1: 46eaa12781636c9437c6877621d9a633dab55ac3 MD5sum: 8e6f7a29bb586f4d0d709c53285b3793 Description: library to access configuration of software components - Javadoc EasyConf is a library to access configuration of software components and applications. . EasyConf main features: * XML files, typed property files (including lists, numbers, classes, etc), or a combination of both * multiple environments (development, integration, preproduction, production) * aggregate several components: for example for portlets and portals * support for ASP applications: each company/organization serviced may have its own configuration * provides access to the configuration using JMX . This package contains EasyConf API Javadoc documentation. Homepage: http://easyconf.sourceforge.net/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/e/easyconf/libeasyconf-java-doc_0.9.5-3_all.deb Package: libeasymock-java Source: easymock Version: 2.4+ds1-7 Installed-Size: 70 Maintainer: Debian Java Maintainers Architecture: all Suggests: libeasymock-java-doc Size: 58662 SHA256: 76165429d6fa3ba6b8a5dbd3e762c1a1e9d8e890cb607e834a2b34a690805710 SHA1: a837cf3507df8573422966cde46c5a3e5e7fa888 MD5sum: 4be9c263dee948cdcf3006769ec3c5f6 Description: Java library to generate Mock Objects for given interfaces EasyMock 2 is a library that provides an easy way to use Mock Objects for given interfaces. Mock Objects simulate parts of the behavior of domain code, and are able to check whether they are used as defined. Domain classes can be tested in isolation by simulating their collaborators with Mock Objects. Homepage: http://www.easymock.org Section: java Priority: optional Filename: pool/main/e/easymock/libeasymock-java_2.4+ds1-7_all.deb Package: libeasymock-java-doc Source: easymock Version: 2.4+ds1-7 Installed-Size: 1435 Maintainer: Debian Java Maintainers Architecture: all Enhances: libeasymock-java Size: 125604 SHA256: aa6cbc30538dd82462aa15ffdaed1df86ecbf49c1523fdbe11de6b34187291fc SHA1: e46a617e2ce98cbe6e2e963a45372d7fa9ee385e MD5sum: 8fbc58293a1b2322235d76fcc996ad64 Description: Java library to generate Mock Objects for given interfaces (documentation) EasyMock 2 is a library that provides an easy way to use Mock Objects for given interfaces. Mock Objects simulate parts of the behavior of domain code, and are able to check whether they are used as defined. Domain classes can be tested in isolation by simulating their collaborators with Mock Objects. . This package contains the documentation for the Mock Objects library. Homepage: http://www.easymock.org Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/e/easymock/libeasymock-java-doc_2.4+ds1-7_all.deb Package: libeb-ruby1.8 Source: ruby-eb Version: 2.6-2 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-eb Size: 3284 SHA256: c9e9c6a1dc84772cf233620fa1f2f2061a5830995ddc564a38591e9d9e608c9b SHA1: 2ce3275b017056b472ed353fdf6b7606a21ebf17 MD5sum: 70f058825608fed29c36f2f7615781c3 Description: EB library interface for the Ruby (transitional package) This is a dummy transition package that can be safely removed once no package depend on it. Homepage: http://rubyeb.sourceforge.net/ Tag: devel::lang:ruby, devel::library, hardware::storage, hardware::storage:cd, implemented-in::ruby, role::shared-lib, use::viewing, works-with::text Section: ruby Priority: extra Filename: pool/main/r/ruby-eb/libeb-ruby1.8_2.6-2_all.deb Package: libeb16 Source: eb Version: 4.4.3-6 Architecture: armhf Maintainer: Tatsuya Kinoshita Installed-Size: 282 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4) Suggests: libeb16-dev, eb-utils, eb-doc Conflicts: eb-utils (<= 4.3-1), libeb, libeb12, libeb13, libeb4, libeb5, libeb6, libeb7 Replaces: libeb, libeb12, libeb13, libeb4, libeb5, libeb6, libeb7 Multi-Arch: same Homepage: http://www.sra.co.jp/people/m-kasahr/eb/ Priority: optional Section: libs Filename: pool/main/e/eb/libeb16_4.4.3-6_armhf.deb Size: 106806 SHA256: edbd72a50e731997ae66eccfb860f6833814309197a0a8ad7c64e2cb78aec98c SHA1: d63be20b5d9c1d122597f3fa4c1261cb7834279e MD5sum: 0761cf0f6f88aea3a42ac8ac5c09833b Description: C library for accessing electronic books (runtime files) EB Library is a C library for accessing CD-ROM books. . EB Library supports to access CD-ROM books of EB, EBG, EBXA, EBXA-C, S-EBXA and EPWING formats. CD-ROM books of those formats are popular in Japan. Since CD-ROM books themseves are stands on the ISO 9660 format, you can mount the discs by the same way as other ISO 9660 discs. . This package provides shared libraries of EB Library. Package: libeb16-dev Source: eb Version: 4.4.3-6 Architecture: armhf Maintainer: Tatsuya Kinoshita Installed-Size: 466 Depends: libeb16 (= 4.4.3-6), dpkg-dev (>= 1.16) Multi-Arch: same Homepage: http://www.sra.co.jp/people/m-kasahr/eb/ Priority: optional Section: libdevel Filename: pool/main/e/eb/libeb16-dev_4.4.3-6_armhf.deb Size: 152810 SHA256: ed09028c89f4b57a846f5ac98804e09fdc5ced387d8cdc50571d2391fd4c665f SHA1: 6c7a293ad8c801ddfec4682f8795b3b8a3b2df7c MD5sum: 16c776cf04a909c7ab52e86ec4f0bf0a Description: C library for accessing electronic books (development files) EB Library is a C library for accessing CD-ROM books. . EB Library supports to access CD-ROM books of EB, EBG, EBXA, EBXA-C, S-EBXA and EPWING formats. CD-ROM books of those formats are popular in Japan. Since CD-ROM books themseves are stands on the ISO 9660 format, you can mount the discs by the same way as other ISO 9660 discs. . This package provides development libraries and headers of EB Library. Package: libebackend-1.2-2 Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 477 Depends: gconf-service, libc6 (>= 2.13-28), libdb5.1, libedataserver-1.2-16 (>= 3.4.4), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.32), libsqlite3-0 (>= 3.6.0), libxml2 (>= 2.6.27) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libs Filename: pool/main/e/evolution-data-server/libebackend-1.2-2_3.4.4-3+deb7u1_armhf.deb Size: 426464 SHA256: 9e33afc83622a6a428f948de7e542e9e99c9936c3c4dd6f8d181935b4fc3fddf SHA1: 197c933cc4991b4324e2af788c4f9d369691e39e MD5sum: 2c8118ba86d7c96ed1181e1d3da7b815 Description: Utility library for evolution data servers This package is a utility library for evolution-data-servers providing backend functions to access data. . Evolution is the integrated mail, calendar, task and address book distributed suite from Novell, Inc. Package: libebackend1.2-dev Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 471 Depends: libebackend-1.2-2 (= 3.4.4-3+deb7u1), libglib2.0-dev Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libdevel Filename: pool/main/e/evolution-data-server/libebackend1.2-dev_3.4.4-3+deb7u1_armhf.deb Size: 416384 SHA256: 295233cb1911e0f6dd0afcfe05e68e2897f0369ed03de97273a8d7dccf11fcfd SHA1: 7e022c1085b2c60ec3a823ca47942b98bee2e913 MD5sum: 0931a39d12ea62723ce1b4326d5948f9 Description: Utility library for evolution data servers (development files) This package contains header files and static library for libebackend. . libebackend is a utility library for evolution-data-server providing backend function to access data. . Evolution is the integrated mail, calendar, task and address book distributed suite from Novell, Inc. Package: libebml-dev Source: libebml Version: 1.2.2-2+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 409 Depends: libebml3 (= 1.2.2-2+deb7u1) Multi-Arch: same Homepage: http://dl.matroska.org/downloads/libebml/ Priority: optional Section: libdevel Filename: pool/main/libe/libebml/libebml-dev_1.2.2-2+deb7u1_armhf.deb Size: 105662 SHA256: 34e00d60e43ccb51d420cc98e04c18ea077260f93670ca3b3eb416c4eefbe24b SHA1: 368f1b038efcc9867a1c1cea71c702a8a55be50d MD5sum: 5e0979e3abb25f02ff19f6fbe1dccb0f Description: access library for the EBML format (development files) The libebml library allows one to read and write files using EBML (the Extensible Binary Meta Language), a binary pendant to XML. Using libebml makes it easier to extend a file format without breaking support in older parsers. . This package contains the header files and static libraries needed to compile applications that use libebml. Package: libebml3 Source: libebml Version: 1.2.2-2+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 126 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Multi-Arch: same Homepage: http://dl.matroska.org/downloads/libebml/ Priority: optional Section: libs Filename: pool/main/libe/libebml/libebml3_1.2.2-2+deb7u1_armhf.deb Size: 58690 SHA256: ef46fa720699c2a563528e73c3cff4b3e2cc1433dae170b4e1cbe3406d2c238b SHA1: a7babf020829315a04964a63b6da1ad1609251ef MD5sum: 512caa1a21bd6e7834c8b2f1d0fca267 Description: access library for the EBML format (shared library) The libebml library allows one to read and write files using EBML (the Extensible Binary Meta Language), a binary pendant to XML. Using libebml makes it easier to extend a file format without breaking support in older parsers. . This package contains the shared library needed to run applications that use libebml. Package: libebook-1.2-13 Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 685 Depends: gconf-service, libc6 (>= 2.13-28), libcamel-1.2-33 (>= 3.4), libcamel-1.2-33 (<< 3.5), libcomerr2 (>= 1.01), libedataserver-1.2-16 (>= 3.4.4), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.32), libgssapi-krb5-2 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libsoup2.4-1 (>= 2.31.2), libsqlite3-0 (>= 3.5.9), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libs Filename: pool/main/e/evolution-data-server/libebook-1.2-13_3.4.4-3+deb7u1_armhf.deb Size: 483934 SHA256: 6c092e8fb495cea7790ca1319ad460021ca49f979fb003c032330d942cd7c905 SHA1: 5379868ab1be1363d711be4800aa6d43ab528e84 MD5sum: f6e50f316bbb48c75291778f7b45731a Description: Client library for evolution address books This package is a client library for evolution addressbooks. . Evolution is the integrated mail, calendar, task and address book distributed suite from Novell, Inc. Package: libebook-tools-perl Version: 0.4.9-1 Architecture: armhf Maintainer: Zed Pobre Installed-Size: 641 Depends: perl (>= 5.8.8), libarchive-zip-perl, libbit-vector-perl, libconfig-inifiles-perl, libdate-manip-perl, libfile-mimeinfo-perl, libfile-slurp-perl, libhtml-parser-perl, libimage-size-perl, liblist-moreutils-perl, libossp-uuid-perl, libpalm-perl, libstring-crc32-perl, libtie-ixhash-perl, libtime-local-perl, libxml-twig-perl, txt2html Homepage: http://search.cpan.org/dist/EBook-Tools/ Priority: optional Section: perl Filename: pool/main/libe/libebook-tools-perl/libebook-tools-perl_0.4.9-1_armhf.deb Size: 195110 SHA256: 4978f43772da0ae35e9fbbd632a78123f3a56473f58ee3da6b65421f1ba53b08 SHA1: 7ef637ec70722c75200bf1ee9d79ccfac1de5fd3 MD5sum: 9abc39ea9773eeb0d27bef6f033dbbb0 Description: E-Book manipulation tool and Perl libraries EBook-Tools contains a library and a command-line tool for unpacking, creating, correcting, and repacking electronic books. . Current native unpacking support is limited to PalmDoc, EReader, IMP, and Mobipocket without DRM protection, though MS Reader (lit.) and Mobipocket DRM is supported by automatic use of ConvertLIT and MobiDeDRM if available. The metadata correction tools are quite extensive, however. For more details, see the POD information on EBook::Tools and EBook::Tools::Unpack. Package: libebook1.2-dev Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 869 Depends: libebook-1.2-13 (= 3.4.4-3+deb7u1), gir1.2-ebook-1.2 (= 3.4.4-3+deb7u1), libedataserver1.2-dev (= 3.4.4-3+deb7u1), libcamel1.2-dev (= 3.4.4-3+deb7u1), libglib2.0-dev Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libdevel Filename: pool/main/e/evolution-data-server/libebook1.2-dev_3.4.4-3+deb7u1_armhf.deb Size: 446948 SHA256: 8ea0835007d37b709e6e3d24ab9855682f16a37701443aecef4d1dfaf328f46e SHA1: 0cf6300da88816098caa123847ee0562881d8021 MD5sum: 1064d59e3beb6136f48ff64c6b665261 Description: Client library for evolution address books (development files) This package contains header files and static library for libebook. . libebook is a client library for evolution addressbooks. Evolution is the integrated mail, calendar, task and address book distributed suite from Novell, Inc. Package: libecal-1.2-11 Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 770 Depends: gconf-service, libc6 (>= 2.13-28), libedataserver-1.2-16 (>= 3.4.4), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.32), libical0 (>= 0.42), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libsoup2.4-1 (>= 2.31.2), libxml2 (>= 2.6.27) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libs Filename: pool/main/e/evolution-data-server/libecal-1.2-11_3.4.4-3+deb7u1_armhf.deb Size: 507642 SHA256: dd3463c045a921f57c58b94fa6b6755355169cb72153d4f8afb3478f5b121a38 SHA1: 2c6efc9c8d7c029d0bb318be22e2be61f7fb17d3 MD5sum: b1a7b7e9a0d3cdb38e414a2a2a64d00c Description: Client library for evolution calendars Evolution is the integrated mail, calendar, task and address book distributed suite from Novell, Inc. . This package is a client library for evolution calendar. Package: libecal1.2-dev Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 881 Depends: libecal-1.2-11 (= 3.4.4-3+deb7u1), gir1.2-ecalendar-1.2 (= 3.4.4-3+deb7u1), libical-dev (>= 0.43), libedataserver1.2-dev (= 3.4.4-3+deb7u1), libglib2.0-dev Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libdevel Filename: pool/main/e/evolution-data-server/libecal1.2-dev_3.4.4-3+deb7u1_armhf.deb Size: 449410 SHA256: a0003fe4f854d16f884e008944b07aeda473ebac8c236550962763c644ed4df0 SHA1: 58767a7c229eed937e086bd04273a230e8acf102 MD5sum: aa1f641e20afc514faf9e102fc7221a5 Description: Client library for evolution calendars (development files) Evolution is the integrated mail, calendar, task and address book distributed suite from Novell, Inc. . This package contains header files and static library for libecal. Package: libecasound-ruby1.8 Source: ecasound Version: 2.9.0-1 Installed-Size: 88 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: ruby-ecasound Size: 62526 SHA256: 9b2706448a25a2588cc30c87f99b226f50ed26debe3f6f832d79764bf74349c0 SHA1: f4f5bb8f8f13c4b2fd65573f62e171a11123dd03 MD5sum: 1a02d0b5f44bb183dfc79801567d5ab3 Description: transitional dummy package for ruby-ecasound This dummy package is provided for a smooth transition from the previous libecasound-ruby1.8 package to the ruby-ecasound package. . It may be safely removed after installation. Homepage: http://www.eca.cx/ecasound/ Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::shared-lib, sound::player, works-with::audio Section: oldlibs Priority: extra Filename: pool/main/e/ecasound/libecasound-ruby1.8_2.9.0-1_all.deb Package: libecasoundc-dev Source: ecasound Version: 2.9.0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 123 Depends: libecasoundc1 (= 2.9.0-1) Breaks: libecasoundc2.2-dev (<< 2.8.0) Replaces: libecasoundc2.2-dev (<< 2.8.0) Homepage: http://www.eca.cx/ecasound/ Priority: extra Section: libdevel Filename: pool/main/e/ecasound/libecasoundc-dev_2.9.0-1_armhf.deb Size: 65866 SHA256: d1fef18c63ce564a490f2aa8f3f6f876ed904365bf8db07dbc8dbbfe1a3c912b SHA1: 1c1e3d86d04a4d97501109850626e9c96a3f5cdb MD5sum: 267bfc3dc3bd1aa342a85de89cb53dee Description: multitrack-capable audio recorder and effect processor (C dev library) Ecasound is a software package designed for multitrack audio processing. It can be used for simple tasks like audio playback, recording and format conversions, as well as for multitrack effect processing, mixing, recording and signal recycling. . Ecasound supports a wide range of audio inputs, outputs and effect algorithms. Effects and audio objects can be combined in various ways, and their parameters can be controlled by operator objects like oscillators and MIDI-CCs. . This package provides ecasound's C library development files. Package: libecasoundc1 Source: ecasound Version: 2.9.0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 122 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Multi-Arch: same Homepage: http://www.eca.cx/ecasound/ Priority: extra Section: libs Filename: pool/main/e/ecasound/libecasoundc1_2.9.0-1_armhf.deb Size: 73940 SHA256: e60ac984a8972d4bb3f15a39e414123cd62e97ff4a7b565cb9da321300100196 SHA1: cbdfb22e442e7317b0140a3f3234a1dd0047bc68 MD5sum: b58b412219adcfe9e56b1ceccef5bc77 Description: multitrack-capable audio recorder and effect processor (C library) Ecasound is a software package designed for multitrack audio processing. It can be used for simple tasks like audio playback, recording and format conversions, as well as for multitrack effect processing, mixing, recording and signal recycling. . Ecasound supports a wide range of audio inputs, outputs and effect algorithms. Effects and audio objects can be combined in various ways, and their parameters can be controlled by operator objects like oscillators and MIDI-CCs. . This package provides ecasound's C library. Package: libecasoundc2.2-dev Source: ecasound Version: 2.9.0-1 Installed-Size: 88 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: libecasoundc-dev Size: 62518 SHA256: 3171b3e866f3dd319caa48e521e9377ed41e9cb13727c33419554d246a1b5ba2 SHA1: 86a0dd68f328deb62fd6cd9b0de133558d9bf414 MD5sum: 1b4a612a60a9eea85603d270c89a390a Description: transitional dummy package for libecasoundc-dev This dummy package is provided for a smooth transition from the previous libecasoundc2.2-dev package to the libecasoundc-dev package. . It may be safely removed after installation. Homepage: http://www.eca.cx/ecasound/ Tag: devel::lang:c, devel::library, role::devel-lib, role::dummy, sound::player, works-with::audio Section: oldlibs Priority: extra Filename: pool/main/e/ecasound/libecasoundc2.2-dev_2.9.0-1_all.deb Package: libechonest-dbg Source: libechonest Version: 1.2.1-1 Architecture: armhf Maintainer: Lisandro Damián Nicanor Pérez Meyer Installed-Size: 1249 Depends: libechonest1.2 (= 1.2.1-1) Recommends: libqt4-dbg Homepage: https://projects.kde.org/projects/playground/libs/libechonest Priority: extra Section: debug Filename: pool/main/libe/libechonest/libechonest-dbg_1.2.1-1_armhf.deb Size: 1168834 SHA256: 73a923e05166e96e4ebd9950870145faf016c1b3a994c39f20a725a3b59fa57d SHA1: 98ecde9d57b7992613c78889c95db0effc060ba5 MD5sum: 5d2547ca470507f424841787d4bbe3df Description: Qt library for The Echo Nest platform - debug files Libechonest is a Qt library for communicating with 'The Echo Nest': an 'intelligent music application platform'. It currently supports all of the features of the Echo Nest API, including all the API functions. . These are the debuging files. Package: libechonest-dev Source: libechonest Version: 1.2.1-1 Architecture: armhf Maintainer: Lisandro Damián Nicanor Pérez Meyer Installed-Size: 140 Depends: libechonest1.2 (= 1.2.1-1) Homepage: https://projects.kde.org/projects/playground/libs/libechonest Priority: extra Section: libdevel Filename: pool/main/libe/libechonest/libechonest-dev_1.2.1-1_armhf.deb Size: 22542 SHA256: a43ffa39f5e889e305f60d57e23e49db13e6713ae391857e7382d9a1df8a663c SHA1: 827b013345d4dea78d0f9b2257439d1b2bd9819c MD5sum: c1312233928f2d075499b224b989f4b7 Description: Qt library for The Echo Nest platform - development files Libechonest is a Qt library for communicating with 'The Echo Nest': an 'intelligent music application platform'. It currently supports all of the features of the Echo Nest API, including all the API functions. . These are the development files. Package: libechonest1.2 Source: libechonest Version: 1.2.1-1 Architecture: armhf Maintainer: Lisandro Damián Nicanor Pérez Meyer Installed-Size: 469 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqjson0 (>= 0.7.1), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.3.0) Multi-Arch: same Homepage: https://projects.kde.org/projects/playground/libs/libechonest Priority: extra Section: libs Filename: pool/main/libe/libechonest/libechonest1.2_1.2.1-1_armhf.deb Size: 156230 SHA256: 0d0b619598b495e4ef666a31e2a800d95d8e8ffabb4073c5a6279da61e03e490 SHA1: ac0649f33024dbee95248f3b682fa631902d39a6 MD5sum: de62abf0398b3f60351394a9e31798c8 Description: Qt library for communicating with The Echo Nest platform Libechonest is a Qt library for communicating with 'The Echo Nest': an 'intelligent music application platform'. It currently supports all of the features of the Echo Nest API, including all the API functions. Package: libecj-java Source: ecj Version: 3.5.1-3 Installed-Size: 1356 Maintainer: Debian Java Maintainers Architecture: all Replaces: ecj (<< 3.3.0-1), ecj-bootstrap (<< 3.2.2), eclipse-ecj Depends: java-common (>= 0.23) Recommends: default-jre-headless | java2-runtime-headless | java5-runtime-headless Suggests: ecj, ant, libecj-java-gcj Conflicts: ecj-bootstrap (<< 3.2.2), eclipse-ecj Size: 1238370 SHA256: 1f64193dd7757bc7359896f4126e1024a0b116556d8ec90ef3189d880bdc9b01 SHA1: cdcbc12aa1e48488639a404fa2341a2005b75c30 MD5sum: 5784f62a770468e1bd5e36bbf3659754 Description: Eclipse Java compiler (library) This package provides a standalone version of the Eclipse JDT compiler library which is distributed as part of Eclipse. It passes the JCK (Java Compatibility Kit) and is compatible with Java 1.3, 1.4, 1.5, 1.6 and 1.7. Tag: devel::compiler, devel::lang:java, devel::library, implemented-in::java, role::devel-lib, suite::eclipse Section: java Priority: optional Filename: pool/main/e/ecj/libecj-java_3.5.1-3_all.deb Package: libecj-java-gcj Source: ecj Version: 3.5.1-3 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 4573 Depends: java-common (>= 0.23), libecj-java (>= 3.5.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcj-bc (>= 4.4.5-1~), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Replaces: ecj-bootstrap-gcj (<< 3.2.2), ecj-gcj (<< 3.3.0+0728), eclipse-ecj-gcj Priority: optional Section: java Filename: pool/main/e/ecj/libecj-java-gcj_3.5.1-3_armhf.deb Size: 1699440 SHA256: b410e57efc4fd2d8bfc799a09dbbf4ed6b47ff3130aecb868392f04c99b1282a SHA1: 3736bf37c4e34166577bd04aee03fadcbf36e80f MD5sum: ff5268ce4073d3006cc4f74124662862 Description: Eclipse Java compiler (native library) This package provides a standalone version of the Eclipse JDT compiler library which is distributed as part of Eclipse. It passes the JCK (Java Compatibility Kit) and is compatible with Java 1.3, 1.4, 1.5, 1.6 and 1.7. . This package contains a native version of libecj-java built using gcj. Package: libeclipselink-java Source: eclipselink Version: 2.1.3-2 Installed-Size: 5751 Maintainer: Debian Java Maintainers Architecture: all Depends: glassfish-javaee, libgeronimo-jpa-2.0-spec-java, libgeronimo-validation-1.0-spec-java, libasm-java, libasm2-java, antlr3 (>= 3.2) Recommends: aspectj, libsdo-api-java Suggests: libeclipselink-java-doc (= 2.1.3-2) Size: 5440402 SHA256: c2d15fb3c5d7f52be5f499421f24d7aa810642f125b5e74a3d2d088f1459120d SHA1: 82b8f2b2599c9c1e145247ae57598658838612b4 MD5sum: 3480675ba232c4da59623be9dea074a0 Description: Eclipse Persistence Services Project Eclipse Persistence Services Project, more commonly known as EclipseLink, is a Java comprehensive persistence framework delivering a set of persistence services based around standards. This lets you rapidly build applications that combine the best aspects of object technology and the specific data source. . EclipseLink was started by a donation of the full source code and test suites of Oracle's TopLink product. . EclipseLink's services currently include object-relational with JPA, object-XML binding in MOXy (with support for JAXB), a Service Data Objects (SDO) implementation and support for another technologies like: Database Web Services (DWS), XML-Relational (XRM) and Non-Relational (EIS via JCA). Homepage: http://www.eclipse.org/eclipselink/ Section: java Priority: optional Filename: pool/main/e/eclipselink/libeclipselink-java_2.1.3-2_all.deb Package: libeclipselink-java-doc Source: eclipselink Version: 2.1.3-2 Installed-Size: 46892 Maintainer: Debian Java Maintainers Architecture: all Recommends: libeclipselink-java (= 2.1.3-2) Suggests: default-jdk-doc Size: 3778630 SHA256: 16d2723f31e8e64ab9624e24d148c2d5bfd4b36c0c3491d9e3ff1f2950f8e42f SHA1: a92e5a1057b48bb888fe64f23c6ea12ad32deef8 MD5sum: bb4d0e18d841e6cacbcc9f062db8e255 Description: Documentation for libeclipselink-java Documentation for Eclipse Persistence Services Project, more commonly known as EclipseLink, that is a Java comprehensive persistence framework delivering a set of persistence services based around standards. This lets you rapidly build applications that combine the best aspects of object technology and the specific data source. . EclipseLink was started by a donation of the full source code and test suites of Oracle's TopLink product. . EclipseLink's services currently include object-relational with JPA, object-XML binding in MOXy (with support for JAXB), a Service Data Objects (SDO) implementation and support for another technologies like: Database Web Services (DWS), XML-Relational (XRM) and Non-Relational (EIS via JCA). Homepage: http://www.eclipse.org/eclipselink/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/e/eclipselink/libeclipselink-java-doc_2.1.3-2_all.deb Package: libecm-dev Source: gmp-ecm Version: 6.4.2-1 Architecture: armhf Maintainer: Laurent Fousse Installed-Size: 502 Depends: libgmp10-dev, libecm0 (= 6.4.2-1) Homepage: http://ecm.gforge.inria.fr/ Priority: optional Section: libdevel Filename: pool/main/g/gmp-ecm/libecm-dev_6.4.2-1_armhf.deb Size: 244364 SHA256: 0ef74e445f825a69795184a2af36d9e1d5960e77108f380ebada98d5727475fd SHA1: 3f4b8e32ebcc692c780ae08a205877b33fda84ca MD5sum: c5a23b829af41fc50900ef85cd022788 Description: Factor integers using the Elliptic Curve Method (library) gmp-ecm is a free implementation of the Elliptic Curve Method (ECM) for integer factorization. . The original purpose of the ECMNET project was to make Richard Brent's prediction true, i.e. to find a factor of 50 digits or more by ECM. This goal was attained on September 14, 1998, when Conrad Curry found a 53-digit factor of 2^677-1 c150 using George Woltman's mprime program. The new goal of ECMNET is now to find other large factors by ecm, mainly by contributing to the Cunningham project, most likely the longest, ongoing computational project in history according to Bob Silverman. A new record was set by Nik Lygeros and Michel Mizony, who found in December 1999 a prime factor of 54 digits using GMP-ECM. . See http://www.loria.fr/~zimmerma/records/ecmnet.html for more information about ecmnet. . This package provides the static library. Package: libecm0 Source: gmp-ecm Version: 6.4.2-1 Architecture: armhf Maintainer: Laurent Fousse Installed-Size: 397 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://ecm.gforge.inria.fr/ Priority: optional Section: libs Filename: pool/main/g/gmp-ecm/libecm0_6.4.2-1_armhf.deb Size: 225354 SHA256: fae89a3b4f167cf2c994c52ddd85b8ed1de656d20f3f1b1b76ef7982fdc145f5 SHA1: d395de67dc2c92a82e8b352a424c4d761e02c86f MD5sum: 007f9ca90b86fa20a8af3b87e12b19c8 Description: Factor integers using the Elliptic Curve Method (library) gmp-ecm is a free implementation of the Elliptic Curve Method (ECM) for integer factorization. . The original purpose of the ECMNET project was to make Richard Brent's prediction true, i.e. to find a factor of 50 digits or more by ECM. This goal was attained on September 14, 1998, when Conrad Curry found a 53-digit factor of 2^677-1 c150 using George Woltman's mprime program. The new goal of ECMNET is now to find other large factors by ecm, mainly by contributing to the Cunningham project, most likely the longest, ongoing computational project in history according to Bob Silverman. A new record was set by Nik Lygeros and Michel Mizony, who found in December 1999 a prime factor of 54 digits using GMP-ECM. . See http://www.loria.fr/~zimmerma/records/ecmnet.html for more information about ecmnet. . This package provides the shared library. Package: libecore-con1 Source: ecore Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 135 Pre-Depends: multiarch-support Depends: libc-ares2 (>= 1.7.0), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libecore1 (>= 1.2.0), libeina1 (>= 1.2.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0) Homepage: http://enlightenment.org Priority: optional Section: libs Filename: pool/main/e/ecore/libecore-con1_1.2.0-2_armhf.deb Size: 53712 SHA256: a4c66853fbfb171c9f5e4c2aecdd10d7b29d7526b41d740213ab0ff4095bc438 SHA1: 5641f26843c5b2b1479d76df3163168856c255ea MD5sum: 37e23d0dd952cfe548b3794646550061 Description: Ecore Connection Library This is the core event abstraction layer and X abstraction layer that makes doing selections, Xdnd, general X stuff, and event loops, timeouts and idle handlers fast, optimized, and convenient. It's a separate library so anyone can make use of the work put into Ecore to make this job easy for applications. . This package contains the Ecore Connection Library. Package: libecore-dbg Source: ecore Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 902 Depends: libecore1 (= 1.2.0-2) Recommends: libecore-con1 (= 1.2.0-2), libecore-evas1 (= 1.2.0-2), libecore-fb1 (= 1.2.0-2), libecore-file1 (= 1.2.0-2), libecore-imf1 (= 1.2.0-2), libecore-ipc1 (= 1.2.0-2), libecore-x1 (= 1.2.0-2) Homepage: http://enlightenment.org Priority: extra Section: debug Filename: pool/main/e/ecore/libecore-dbg_1.2.0-2_armhf.deb Size: 640640 SHA256: 3431a66129cae406865d563bbad998a9b06a15ed423a67325236d3cbc0f2f51c SHA1: 4d7f1944559278ccc38608488e8381d089d8fc90 MD5sum: 707eb6b9e970f0054c1a8448d38596ec Description: Debugging symbols for libecore This is the core event abstraction layer and X abstraction layer that makes doing selections, Xdnd, general X stuff, and event loops, timeouts and idle handlers fast, optimized, and convenient. It's a separate library so anyone can make use of the work put into Ecore to make this job easy for applications . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libecore-dev Source: ecore Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 1430 Depends: libecore1 (= 1.2.0-2), libecore-con1 (= 1.2.0-2), libecore-evas1 (= 1.2.0-2), libecore-fb1 (= 1.2.0-2), libecore-file1 (= 1.2.0-2), libecore-imf1 (= 1.2.0-2), libecore-ipc1 (= 1.2.0-2), libecore-x1 (= 1.2.0-2), libeet-dev (>= 1.4.0~), libevas-dev (>= 1.0.0~), libeina-dev (>= 1.0.0~), libgnutls-dev, libcurl4-gnutls-dev, libxcursor-dev, libxss-dev, libxrender-dev, libxinerama-dev, libxrandr-dev, libxext-dev, libxi-dev, libxp-dev, libxcomposite-dev, libxdamage-dev, x11proto-xext-dev, libxtst-dev, libglib2.0-dev, libc-ares-dev, pkg-config Suggests: libecore-doc Homepage: http://enlightenment.org Priority: optional Section: libdevel Filename: pool/main/e/ecore/libecore-dev_1.2.0-2_armhf.deb Size: 409030 SHA256: d3f97966c229635934146d11d07b4618060245b0a031286d34a5ea2a2b357168 SHA1: 147c2d1461c5796cb0d09a20202d68a76ade287b MD5sum: 3a6649d2a293e09a34cca1f8fcb3783b Description: Ecore headers and static libraries This is the core event abstraction layer and X abstraction layer that makes doing selections, Xdnd, general X stuff, and event loops, timeouts and idle handlers fast, optimized, and convenient. It's a separate library so anyone can make use of the work put into Ecore to make this job easy for applications. . This package contains headers and static libraries for the Ecore library. Package: libecore-doc Source: ecore Version: 1.2.0-2 Installed-Size: 5661 Maintainer: Debian Pkg-e Team Architecture: all Enhances: libecore-dev Size: 2675832 SHA256: 52950b8fa74640895bf4f60bdfbfa0e5cc2cc6c170a7a43c29ba3e016d0f57db SHA1: ec3b00b221a4ea8c412a03b0f93ce695ef2c874c MD5sum: ab43d24124981aaa8450028e963b032a Description: Ecore API Documentation This is the core event abstraction layer and X abstraction layer that makes doing selections, Xdnd, general X stuff, and event loops, timeouts and idle handlers fast, optimized, and convenient. It's a separate library so anyone can make use of the work put into Ecore to make this job easy for applications. . This package provides development documentation (html and manpages)for the Ecore library. Homepage: http://enlightenment.org Tag: devel::doc, made-of::html, role::documentation, suite::TODO Section: doc Priority: optional Filename: pool/main/e/ecore/libecore-doc_1.2.0-2_all.deb Package: libecore-evas1 Source: ecore Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 172 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libecore-fb1 (>= 1.2.0), libecore-input1 (>= 1.2.0), libecore-ipc1 (>= 1.2.0), libecore-x1 (>= 1.2.0), libecore1 (>= 1.2.0), libeina1 (>= 1.0.0~beta), libevas1 (>= 1.2.0), libx11-6, libxext6 Homepage: http://enlightenment.org Priority: optional Section: libs Filename: pool/main/e/ecore/libecore-evas1_1.2.0-2_armhf.deb Size: 64132 SHA256: 6d0a1f40e06f8117a651a118b58d0fac82c8fdfa1a74f738ac9e3ebffcb0d1e3 SHA1: 818ed17a1eceee4ee3669603c680d5f56df58d48 MD5sum: 76b5f027b7f78a12e8ea34af0d63700e Description: Ecore Evas Wrapper Library This is the core event abstraction layer and X abstraction layer that makes doing selections, Xdnd, general X stuff, and event loops, timeouts and idle handlers fast, optimized, and convenient. It's a separate library so anyone can make use of the work put into Ecore to make this job easy for applications. . This package contains the Ecore Evas wrapper functions. Package: libecore-fb1 Source: ecore Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 70 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libecore-input1 (>= 1.2.0), libecore1 (>= 1.2.0), libeina1 (>= 1.0.0~beta) Homepage: http://enlightenment.org Priority: optional Section: libs Filename: pool/main/e/ecore/libecore-fb1_1.2.0-2_armhf.deb Size: 23878 SHA256: 6e823f0c744045680e0d72546bde4eb0d0516381e61c24157178ec192d09d642 SHA1: d05d4c584f432b40a56a6f9efb5c0eccb6fe5b46 MD5sum: b2a30fad2552ca5e8ff5e17f4f4e631c Description: Ecore frame buffer system functions This is the core event abstraction layer and X abstraction layer that makes doing selections, Xdnd, general X stuff, and event loops, timeouts and idle handlers fast, optimized, and convenient. It's a separate library so anyone can make use of the work put into Ecore to make this job easy for applications. . This package contains the Ecore frame buffer system functions. Package: libecore-file1 Source: ecore Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 70 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libecore-con1 (>= 1.2.0), libecore1 (>= 1.2.0), libeina1 (>= 1.0.0~beta) Homepage: http://enlightenment.org Priority: optional Section: libs Filename: pool/main/e/ecore/libecore-file1_1.2.0-2_armhf.deb Size: 24216 SHA256: 79e5086461c658387bed3b574a4e3e131042ab1904f4b87ad77e57cae5aa77f1 SHA1: fdcdbea03c583087460080dc1bc3848c005f018e MD5sum: 00cbc29741786323d193e5d26ecb2e80 Description: Ecore File Library This is the core event abstraction layer and X abstraction layer that makes doing selections, Xdnd, general X stuff, and event loops, timeouts and idle handlers fast, optimized, and convenient. It's a separate library so anyone can make use of the work put into Ecore to make this job easy for applications. . This package contains the Ecore File Library. Package: libecore-imf1 Source: ecore Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 71 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libecore1 (>= 1.2.0), libeina1 (>= 1.0.0~beta), libevas1 (>= 1.2.0) Homepage: http://enlightenment.org Priority: optional Section: libs Filename: pool/main/e/ecore/libecore-imf1_1.2.0-2_armhf.deb Size: 22134 SHA256: 035ae0c67154e702490aa4dc76035c6a9afb867e4ce71c8aec9a02d26524650d SHA1: 10c6856eb8ce93b96a71e46a566286e7a7e2a0df MD5sum: c2b0457a4ea9551c8a116434612ee403 Description: Ecore Input Method Framework module This is the core event abstraction layer and X abstraction layer that makes doing selections, Xdnd, general X stuff, and event loops, timeouts and idle handlers fast, optimized, and convenient. It's a separate library so anyone can make use of the work put into Ecore to make this job easy for applications. . This package contains the Ecore Input Method Framework module, and the Evas helper functions for it. Package: libecore-input1 Source: ecore Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 63 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libecore1 (>= 1.2.0), libeina1 (>= 1.0.0~beta), libevas1 (>= 1.2.0) Homepage: http://enlightenment.org Priority: optional Section: libs Filename: pool/main/e/ecore/libecore-input1_1.2.0-2_armhf.deb Size: 19038 SHA256: f3424ee5279bb874687bb03a94997ef694500cd1119518c36d831e0833145baf SHA1: 3e0a0116bfedaaaec5a4939a5859ebf93fb9faf3 MD5sum: 5953a7366f18fe18c3b55fe41588ae7d Description: Ecore input module This is the core event abstraction layer and X abstraction layer that makes doing selections, Xdnd, general X stuff, and event loops, timeouts and idle handlers fast, optimized, and convenient. It's a separate library so anyone can make use of the work put into Ecore to make this job easy for applications. . This package contains the Ecore input module. Package: libecore-ipc1 Source: ecore Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 66 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libecore-con1 (>= 1.2.0), libecore1 (>= 1.2.0), libeina1 (>= 1.0.0~beta) Homepage: http://enlightenment.org Priority: optional Section: libs Filename: pool/main/e/ecore/libecore-ipc1_1.2.0-2_armhf.deb Size: 21434 SHA256: ca57bf48c8a30eb392118a73031839f50d9c2bfdcad11944541eda61ce646ab2 SHA1: f913e231334410d3a9512048cd4cc5fde9ff61b3 MD5sum: e880d10a45fcdc7140076b0de571a042 Description: Ecore inter-process communication functions This is the core event abstraction layer and X abstraction layer that makes doing selections, Xdnd, general X stuff, and event loops, timeouts and idle handlers fast, optimized, and convenient. It's a separate library so anyone can make use of the work put into Ecore to make this job easy for applications. . This package contains the Ecore inter-process communication functions. Package: libecore-x1 Source: ecore Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 250 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libecore-input1 (>= 1.2.0), libecore1 (>= 1.2.0), libeina1 (>= 1.0.0~beta), libglib2.0-0 (>= 2.12.0), libx11-6 (>= 2:1.2.99.901), libxcomposite1 (>= 1:0.3-1), libxcursor1 (>> 1.1.2), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6 (>= 2:1.2.99.4), libxinerama1, libxp6, libxrandr2 (>= 2:1.2.99.3), libxrender1, libxss1, libxtst6 Homepage: http://enlightenment.org Priority: optional Section: libs Filename: pool/main/e/ecore/libecore-x1_1.2.0-2_armhf.deb Size: 101070 SHA256: f59fbeccf3b579f51e623fc9b75d6bd4d0202ea2f7a9b71b5250097093be4ea6 SHA1: 763762ff86cee6aad8e9cb33812fee01bce1c272 MD5sum: fe39219cc4c4571997ea417bd40de5fb Description: Ecore functions for dealing with the X Windows System This is the core event abstraction layer and X abstraction layer that makes doing selections, Xdnd, general X stuff, and event loops, timeouts and idle handlers fast, optimized, and convenient. It's a separate library so anyone can make use of the work put into Ecore to make this job easy for applications. . This package contains the Ecore wrapper and convenience functions for using the X Windows System. Package: libecore1 Source: ecore Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 151 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libeina1 (>= 1.2.0), libglib2.0-0 (>= 2.31.8) Homepage: http://enlightenment.org Priority: optional Section: libs Filename: pool/main/e/ecore/libecore1_1.2.0-2_armhf.deb Size: 63398 SHA256: 2966a9bd5cf8fcc6bdab7fced19a6978977bfeb8fed46274605b7ec9ef670fe4 SHA1: f2b66e33e9b17b33e7dc05a05fce4a65811f9188 MD5sum: d64cbff35dda026da7fc1856dc89e995 Description: Core abstraction layer for enlightenment DR 0.17 This is the core event abstraction layer and X abstraction layer that makes doing selections, Xdnd, general X stuff, and event loops, timeouts and idle handlers fast, optimized, and convenient. It's a separate library so anyone can make use of the work put into Ecore to make this job easy for applications. Package: libecpg-compat3 Source: postgresql-9.1 Version: 9.1.24lts2-0+deb7u2 Architecture: armhf Maintainer: Debian PostgreSQL Maintainers Installed-Size: 49 Depends: libc6 (>= 2.13-28), libecpg6 (>= 9.0~), libpgtypes3 Homepage: http://www.postgresql.org/ Priority: optional Section: libs Filename: pool/main/p/postgresql-9.1/libecpg-compat3_9.1.24lts2-0+deb7u2_armhf.deb Size: 33186 SHA256: 8cbd03b23ee3d44c3f702bf58c6c57e2d4e5f9dc042cb996ea34bfb975003b13 SHA1: bd19b335236769f522da285009292c8b9808d4a3 MD5sum: f3a8a6a8aecddfb20a08158019d98b9a Description: older version of run-time library for ECPG programs The libecpg_compat shared library is used by programs built with ecpg. (Embedded PostgreSQL for C). . PostgreSQL is an object-relational SQL database management system. Package: libecpg-dev Source: postgresql-9.1 Version: 9.1.24lts2-0+deb7u2 Architecture: armhf Maintainer: Debian PostgreSQL Maintainers Installed-Size: 915 Depends: libc6 (>= 2.13-28), libpq-dev, libecpg6 (= 9.1.24lts2-0+deb7u2), libecpg-compat3 (= 9.1.24lts2-0+deb7u2), libpgtypes3 (= 9.1.24lts2-0+deb7u2) Conflicts: libecpg3-dev, postgresql-dev Homepage: http://www.postgresql.org/ Priority: optional Section: libdevel Filename: pool/main/p/postgresql-9.1/libecpg-dev_9.1.24lts2-0+deb7u2_armhf.deb Size: 206120 SHA256: e011738b49c4b3a83d0b91babe4a3a2f9a3fd46c2c52337b3bd3f6f57d9cc678 SHA1: a5c6c97ed5c40944e9eede92132b59aaecd1b642 MD5sum: ed0f58f6c19791450205e31c7bdd78a0 Description: development files for ECPG (Embedded PostgreSQL for C) This package contains the necessary files to build ECPG (Embedded PostgreSQL for C) programs. It includes the development libraries and the preprocessor program ecpg. . PostgreSQL is an object-relational SQL database management system. . Install this package if you want to write C programs with SQL statements embedded in them (rather than run by an external process). Package: libecpg6 Source: postgresql-9.1 Version: 9.1.24lts2-0+deb7u2 Architecture: armhf Maintainer: Debian PostgreSQL Maintainers Installed-Size: 330 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpgtypes3, libpq5 Homepage: http://www.postgresql.org/ Priority: optional Section: libs Filename: pool/main/p/postgresql-9.1/libecpg6_9.1.24lts2-0+deb7u2_armhf.deb Size: 91316 SHA256: 818d8dfe9ed0851b32892b16529ed6b5857444de886d6d70aeced878ff90d60c SHA1: 0ace45f5d253c8675ccca35d5b0fd6cde15d21d9 MD5sum: c45f979356e7d44cd2e0f05533b3d7bf Description: run-time library for ECPG programs The libecpg shared library is used by programs built with ECPG (Embedded PostgreSQL for C). . PostgreSQL is an object-relational SQL database management system. Package: libecryptfs-dev Source: ecryptfs-utils Version: 99-1+deb7u1 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 146 Depends: libecryptfs0 (= 99-1+deb7u1), libgcrypt11-dev, libgpg-error-dev, libgpgme11-dev, libkeyutils-dev, libpam0g-dev, libpkcs11-helper1-dev, libtspi-dev Homepage: https://launchpad.net/ecryptfs Priority: optional Section: libdevel Filename: pool/main/e/ecryptfs-utils/libecryptfs-dev_99-1+deb7u1_armhf.deb Size: 40240 SHA256: c778ac8af9ffba953578cec84c315094e9ed9e61ac6920d72278085d9d5e7aed SHA1: 19b87f678956ce1376cd6b120d8aa9f6a2aa9739 MD5sum: 9586b977e790318802791ff039f36d8b Description: ecryptfs cryptographic filesystem (development) eCryptfs is a POSIX-compliant enterprise-class stacked cryptographic filesystem for Linux. . This package contains the development files. Package: libecryptfs0 Source: ecryptfs-utils Version: 99-1+deb7u1 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 101 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libkeyutils1, libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1) Homepage: https://launchpad.net/ecryptfs Priority: optional Section: libs Filename: pool/main/e/ecryptfs-utils/libecryptfs0_99-1+deb7u1_armhf.deb Size: 34110 SHA256: d2729cd085afa1345ed0eb958d2b4e9eebdbfca18f0490d5c1079cd408bb2f56 SHA1: a1a30472daf25de6036e51150680e04f97d86f6a MD5sum: 856f6343309e484c74dc02ab33d50088 Description: ecryptfs cryptographic filesystem (library) eCryptfs is a POSIX-compliant enterprise-class stacked cryptographic filesystem for Linux. . This package contains the library. Package: libedac-dev Source: edac-utils Version: 0.18-1 Architecture: armhf Maintainer: Yaroslav Halchenko Installed-Size: 66 Depends: libedac1 (= 0.18-1) Homepage: http://sourceforge.net/projects/edac-utils Priority: extra Section: libdevel Filename: pool/main/e/edac-utils/libedac-dev_0.18-1_armhf.deb Size: 18036 SHA256: 72b12fb0f7bafbceb2fb49ec95435b475c131dcac691ac0ed49051a11442d35a SHA1: 19fcb40215786bbccfa9a0f93614d2b97c128b63 MD5sum: 46171aba31e3838c56d0a644f69d2ad3 Description: report kernel-detected PCI and ECC RAM errors This package contains the user-space utilities for use with the EDAC kernel subsystem. EDAC (Error Detection and Correction) is a set of Linux kernel modules for handling hardware-related errors. Currently its major focus is ECC memory error handling. However it also detects and reports PCI bus parity errors. . PCI parity errors are supported on all architectures (and are a mandatory part of the PCI specification). . Main memory ECC drivers are memory controller specific. At the time of writing, drivers exist for many x86-specific chipsets and CPUs, and some PowerPC, and MIPS systems. . This package contains development files for the library Package: libedac1 Source: edac-utils Version: 0.18-1 Architecture: armhf Maintainer: Yaroslav Halchenko Installed-Size: 47 Depends: libc6 (>= 2.13-28), libsysfs2 Provides: libedac Homepage: http://sourceforge.net/projects/edac-utils Priority: extra Section: libs Filename: pool/main/e/edac-utils/libedac1_0.18-1_armhf.deb Size: 13694 SHA256: 8326c3ae5451267bc2bf74c8ee1bb194360d3400510a327f13fbcf6022bd457d SHA1: a7be86f58c6d13779fbabecc4fccb8b6bce97ba6 MD5sum: 7fd742b61ad5cbde0d07955c58200796 Description: report kernel-detected PCI and ECC RAM errors This package contains the user-space utilities for use with the EDAC kernel subsystem. EDAC (Error Detection and Correction) is a set of Linux kernel modules for handling hardware-related errors. Currently its major focus is ECC memory error handling. However it also detects and reports PCI bus parity errors. . PCI parity errors are supported on all architectures (and are a mandatory part of the PCI specification). . Main memory ECC drivers are memory controller specific. At the time of writing, drivers exist for many x86-specific chipsets and CPUs, and some PowerPC, and MIPS systems. . This package includes shared library Package: libedac1-dbg Source: edac-utils Version: 0.18-1 Architecture: armhf Maintainer: Yaroslav Halchenko Installed-Size: 104 Depends: libedac1 (= 0.18-1) Provides: libedac Homepage: http://sourceforge.net/projects/edac-utils Priority: extra Section: debug Filename: pool/main/e/edac-utils/libedac1-dbg_0.18-1_armhf.deb Size: 31646 SHA256: 8edf4387aef40f7b8e8d90102cbcd669c063c6d3cba3a6c67e294f91bdf381e5 SHA1: c81e864697885c3534ea1d8145930edd09cd8865 MD5sum: 14e364d81a0927abd20114e08619775a Description: report kernel-detected PCI and ECC RAM errors This package contains the user-space utilities for use with the EDAC kernel subsystem. EDAC (Error Detection and Correction) is a set of Linux kernel modules for handling hardware-related errors. Currently its major focus is ECC memory error handling. However it also detects and reports PCI bus parity errors. . PCI parity errors are supported on all architectures (and are a mandatory part of the PCI specification). . Main memory ECC drivers are memory controller specific. At the time of writing, drivers exist for many x86-specific chipsets and CPUs, and some PowerPC, and MIPS systems. . This package includes shared library with debugging symbols not stripped Package: libedata-book-1.2-13 Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 609 Depends: gconf-service, libc6 (>= 2.13-28), libdb5.1, libebackend-1.2-2 (>= 3.4.4), libebook-1.2-13 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.32), libgoa-1.0-0 (>= 3.1.1), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libsoup2.4-1 (>= 2.31.2), libsqlite3-0 (>= 3.5.9), libxml2 (>= 2.6.27) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libs Filename: pool/main/e/evolution-data-server/libedata-book-1.2-13_3.4.4-3+deb7u1_armhf.deb Size: 458528 SHA256: 32a47047a51770dbc1d23ee6da55c52be31d20fdb921a6b3db303a97dba353b4 SHA1: 38b2bf7faf0ec07c9c33ac2611c60d68ccb04efe MD5sum: 968530d1b7df59acf425795d88339d3e Description: Backend library for evolution address books Evolution is the integrated mail, calendar, task and address book distributed suite from Novell, Inc. . This package is a backend library for evolution address book. Package: libedata-book1.2-dev Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 496 Depends: libedata-book-1.2-13 (= 3.4.4-3+deb7u1), libedataserver1.2-dev (= 3.4.4-3+deb7u1), libebook1.2-dev (= 3.4.4-3+deb7u1), libglib2.0-dev Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libdevel Filename: pool/main/e/evolution-data-server/libedata-book1.2-dev_3.4.4-3+deb7u1_armhf.deb Size: 419374 SHA256: ff3ef7cdc90d64cbe38556c517a666b54f92c2a3e82ba527a22dcc05c3caf017 SHA1: b89c854907b7e1a82193a5b9dfc8d8083f2cb191 MD5sum: 9b43a425afe4ab3f5ac0e69183fd59b2 Description: Backend library for evolution address books (development files) Evolution is the integrated mail, calendar, task and address book distributed suite from Novell, Inc. . This package contains header files and static library for libedata-book. Package: libedata-cal-1.2-15 Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 661 Depends: gconf-service, libc6 (>= 2.13-28), libebackend-1.2-2 (>= 3.4.4), libecal-1.2-11 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.32), libical0 (>= 0.48), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libsoup2.4-1 (>= 2.31.2), libxml2 (>= 2.6.27) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libs Filename: pool/main/e/evolution-data-server/libedata-cal-1.2-15_3.4.4-3+deb7u1_armhf.deb Size: 470864 SHA256: 630cfc91217ffd0ece35ea3e20731037b8d39632a9e0d70b91753b69c0ce8337 SHA1: 8c48b6614fbbc890f3ff59e0932d83a30aa5f42c MD5sum: 34d0a802f27f55cbc155cd724a2954a2 Description: Backend library for evolution calendars Evolution is the integrated mail, calendar, task and address book distributed suite from Novell, Inc. . This package is a backend library for evolution calendar. Package: libedata-cal1.2-dev Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 504 Depends: libedata-cal-1.2-15 (= 3.4.4-3+deb7u1), libical-dev (>= 0.43), libedataserver1.2-dev (= 3.4.4-3+deb7u1), libecal1.2-dev (= 3.4.4-3+deb7u1), libebackend1.2-dev (= 3.4.4-3+deb7u1), libglib2.0-dev Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libdevel Filename: pool/main/e/evolution-data-server/libedata-cal1.2-dev_3.4.4-3+deb7u1_armhf.deb Size: 420216 SHA256: 62de661e85d619f4fa7e020245844f8663d665e6ea3a7d027e4c013b08fc00ec SHA1: 0a4bf9e598950dc6f9558338e779f9bac11bf53a MD5sum: 96c336afbd2fd6d5ae913c60a666955e Description: Backend library for evolution calendars (development files) Evolution is the integrated mail, calendar, task and address book distributed suite from Novell, Inc. . This package contains header files and static library for libedata-cal. Package: libedataserver-1.2-16 Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 689 Depends: gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.32), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libsoup2.4-1 (>= 2.31.2), libxml2 (>= 2.7.4) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libs Filename: pool/main/e/evolution-data-server/libedataserver-1.2-16_3.4.4-3+deb7u1_armhf.deb Size: 488476 SHA256: 43cc390b3808a85eb025324486e3a32872f463a16294c43cecb6ff1d1d92a872 SHA1: 5cef414f4040b40c3190c40114154dff49f9fb0a MD5sum: 78b4b92e153126f137f064af6e3445d2 Description: Utility library for evolution data servers The data server, called "Evolution Data Server" is responsible for managing calendar and addressbook information. . This package is a utility library for evolution-data-server. Package: libedataserver1.2-dev Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 1019 Depends: libedataserver-1.2-16 (= 3.4.4-3+deb7u1), gir1.2-edataserver-1.2 (= 3.4.4-3+deb7u1), libxml2-dev, libglib2.0-dev, libgconf2-dev, libsoup2.4-dev Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libdevel Filename: pool/main/e/evolution-data-server/libedataserver1.2-dev_3.4.4-3+deb7u1_armhf.deb Size: 457054 SHA256: 26d2e1e911d155ed7f2ee19e27683a0f7cbe9dae7db30b083d27297d10739d67 SHA1: 08705f5a00729ac2e53525fe308749affa7615f2 MD5sum: a5813800f5ac496f0bd0ba1061c384c3 Description: Utility library for evolution data servers (development files) The data server, called "Evolution Data Server" is responsible for managing calendar and addressbook information. . This package contains header files and static library for libedataserver. Package: libedataserverui-3.0-1 Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 653 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libcamel-1.2-33 (>= 3.4), libcamel-1.2-33 (<< 3.5), libcomerr2 (>= 1.01), libebook-1.2-13 (>= 3.4.4), libecal-1.2-11 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.32), libgnome-keyring0 (>= 3.2.2-2~), libgssapi-krb5-2 (>= 1.6.dfsg.2), libgtk-3-0 (>= 3.2), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.31.2), libsqlite3-0 (>= 3.5.9), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4), evolution-data-server-common (>= 3.4) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libs Filename: pool/main/e/evolution-data-server/libedataserverui-3.0-1_3.4.4-3+deb7u1_armhf.deb Size: 481808 SHA256: 9352bafb7e729dd9810d6d9ebb40570218a3503e79fe1ed3157b732cefcc3c9a SHA1: 4deb8cbe61d34bb29c9832881a5ad7ca5b2c027a MD5sum: f8e9cdb45fc36d7187a304a0e4878a49 Description: GUI utility library for evolution data servers The data server, called "Evolution Data Server" is responsible for managing calendar and addressbook information. . This package is a GUI utility library for evolution-data-server. Package: libedataserverui-3.0-dev Source: evolution-data-server Version: 3.4.4-3+deb7u1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 504 Depends: libedataserverui-3.0-1 (= 3.4.4-3+deb7u1), libgtk-3-dev, libedataserver1.2-dev (= 3.4.4-3+deb7u1), libebook1.2-dev (= 3.4.4-3+deb7u1) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libdevel Filename: pool/main/e/evolution-data-server/libedataserverui-3.0-dev_3.4.4-3+deb7u1_armhf.deb Size: 420080 SHA256: 9324c81ad99090033bca7a12e42bbcf86f4912f9a4bb8a0b5feaeecdcdbf2afa SHA1: 901bd21f623d19dc88d49c156db59f1464a018b2 MD5sum: 4012b5cee278fb5aed471cfc7da8d870 Description: GUI utility library for evolution data servers (development files) The data server, called "Evolution Data Server" is responsible for managing calendar and addressbook information. . This package contains header files and static library for libedataserverui. Package: libedbus-dev Source: edbus Version: 1.2.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 437 Depends: libedbus1 (= 1.2.0-1), libdbus-1-dev, libeina-dev (>= 1.0.0~), libecore-dev (>= 1.2.0), libevas-dev (>= 1.2.0) Homepage: http://enlightenment.org Priority: optional Section: libdevel Filename: pool/main/e/edbus/libedbus-dev_1.2.0-1_armhf.deb Size: 114026 SHA256: b5179e696b2872befacbba73c33afe31230732f6f2c7d01245922c593312c7ca SHA1: b435076283b4af9de77b0471432d128838966208 MD5sum: 83b04f11674933f969ca3a21c8f6ad24 Description: D-Bus and HAL wrapper libraries for use with the EFL - Development files Wrappers around D-Bus to ease integrating D-Bus with EFL based applications. . This package contains headers and static libraries for libedbus, libehal and libenotify Package: libedbus1 Source: edbus Version: 1.2.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 295 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), libecore1 (>= 1.2.0), libeina1 (>= 1.0.0~beta), libevas1 (>= 1.2.0) Homepage: http://enlightenment.org Priority: optional Section: libs Filename: pool/main/e/edbus/libedbus1_1.2.0-1_armhf.deb Size: 104270 SHA256: 31a0de96b419b796a3289747f3374ef2e8317f15f1fc616f66e3b96b40c7b6fc SHA1: 5725d10f81ae8d25e5053399e992c720cb5fb5bb MD5sum: 40d0d1a0a0a037bd03909e890f4bd0b9 Description: D-Bus and HAL wrapper libraries for use with the EFL This package contains: - libedbus0 and libehal0 : D-Bus and HAL-related wrappers to ease integrating D-Bus and HAL with EFL-based applications - libenotify0 : provides an EFL-based notification support Package: libedit-dev Source: libedit Version: 2.11-20080614-5 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 203 Depends: libedit2 (= 2.11-20080614-5), libbsd-dev (>= 0.1.3), libtinfo-dev Multi-Arch: same Homepage: http://ftp.netbsd.org/pub/NetBSD/NetBSD-current/src/lib/libedit/ Priority: optional Section: libdevel Filename: pool/main/libe/libedit/libedit-dev_2.11-20080614-5_armhf.deb Size: 77606 SHA256: 68f5d6ab0fb89fce6d284253fd610fc64a24354fb48624ec7aba01038f4b23de SHA1: f154a0cf0c7df3a0cdef2f05920bea3c7bc2aa3a MD5sum: bd392022e85efb4b37bd73076a12ef04 Description: BSD editline and history libraries (development files) The editline library provides generic line editing and history functions. . It slightly resembles GNU readline Package: libedit2 Source: libedit Version: 2.11-20080614-5 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 149 Pre-Depends: multiarch-support Depends: libbsd0 (>= 0.0), libc6 (>= 2.13-28), libtinfo5 Multi-Arch: same Homepage: http://ftp.netbsd.org/pub/NetBSD/NetBSD-current/src/lib/libedit/ Priority: standard Section: libs Filename: pool/main/libe/libedit/libedit2_2.11-20080614-5_armhf.deb Size: 62096 SHA256: cf516d94a5d60361388c44d7e07310e69025698ead1fbeac5d61425f6cc20fe1 SHA1: ff93184913e9bbea7c1f6837703030567765abba MD5sum: f1b6d94e41ffd480342ebd0cf450006b Description: BSD editline and history libraries The editline library provides generic line editing and history functions. . It slightly resembles GNU readline Package: libeditline-dev Source: editline Version: 1.12-6 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 78 Depends: libeditline0 (= 1.12-6) Priority: optional Section: libdevel Filename: pool/main/e/editline/libeditline-dev_1.12-6_armhf.deb Size: 17570 SHA256: 9b8e957fdc0210702a4afecfa624cb4ac9eb00f3dcf11c0b2fc068fd082dfda4 SHA1: 82443bcb72641f0c162a99e0299ed1e1f5dafd3c MD5sum: 2b8fc2e909d4a097526148d8f337be8f Description: development files for libeditline This is a line-editing library. It can be linked into almost any program to provide command-line editing and recall. It is call-compatible with a subset of the FSF readline library, but it is a fraction of the size (and offers fewer features). . This package contains the developer files: static libraries, headers, manpages. Package: libeditline0 Source: editline Version: 1.12-6 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 57 Depends: libc6 (>= 2.4) Priority: optional Section: libs Filename: pool/main/e/editline/libeditline0_1.12-6_armhf.deb Size: 13318 SHA256: 6bc4d80a7e6fcf16a5916baa660a8acbcdeb9d254cccb36861d3c621314959a7 SHA1: 7c6573a3b0f7b325d3a64db5b8378f8c8e9b79f8 MD5sum: 636b2d1efbc60ab96942d0eb1dfc94dc Description: line editing library similar to readline This is a line-editing library. It can be linked into almost any program to provide command-line editing and recall. It is call-compatible with a subset of the FSF readline library, but it is a fraction of the size (and offers fewer features). . This package contains the runtime library only. Package: libedje-bin Source: edje Version: 1.2.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 367 Depends: libc6 (>= 2.13-28), libecore-evas1 (>= 1.2.0), libecore-file1 (>= 1.2.0), libecore1 (>= 1.2.0), libedje1, libeet1 (>= 1.2.3), libeina1 (>= 1.2.0), libevas1 (>= 1.2.0), liblua5.1-0, libembryo-bin (>= 0.9.9.050+svn20090204), libevas1-engine-buffer Homepage: http://enlightenment.org Priority: optional Section: devel Filename: pool/main/e/edje/libedje-bin_1.2.0-1_armhf.deb Size: 142874 SHA256: 957d6c7bc8c6da1349a1cb95eec8e1af37087e53e9002c0a6b2a420bba6fe583 SHA1: d0a2933c44e893d14bbbf0c3b1bc7b3d2f2a78b3 MD5sum: 69bcd8b1373360e4a83e4fd14476f728 Description: Various binaries for use with libedje Edje is a graphical layout and animation library for animated resizable, compressed and scalable themes. It is the theming engine behind Enlightenment DR 0.17. . This package contains the following binaries: - edje_cc: Compiles EDC files. - edje_decc: Used to decompile compiled edje files. - edje_recc: A convenience script to recompile EDC files. Package: libedje-dbg Source: edje Version: 1.2.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 1837 Depends: libedje1 (= 1.2.0-1) Homepage: http://enlightenment.org Priority: extra Section: debug Filename: pool/main/e/edje/libedje-dbg_1.2.0-1_armhf.deb Size: 734144 SHA256: 44691d6fe6164666a7a2b1db2c0b1ae60ca2d7413490c3a7a4bcb1918b41f202 SHA1: 175feaf3a1b82f73627541b011b94ddaa560d17c MD5sum: f97098b2aad132fb80e29ddea588c38d Description: Debugging symbols for libedje Edje is a graphical layout and animation library for animated resizable, compressed and scalable themes. It is the theming engine behind Enlightenment DR 0.17. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libedje-dev Source: edje Version: 1.2.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 947 Depends: libedje1 (= 1.2.0-1), libedje-bin, libeet-dev (>= 1.4.0~), libembryo-dev (>= 1.0.0~), libevas-dev (>= 1.2.0), libecore-dev (>= 1.2.0), libeina-dev (>= 1.2.0), liblua5.1-0-dev, pkg-config Homepage: http://enlightenment.org Priority: optional Section: libdevel Filename: pool/main/e/edje/libedje-dev_1.2.0-1_armhf.deb Size: 273728 SHA256: e4aec3b26fa0d3bdb5447208c7cc4ff5fc74239e5040c1c1820ca797624dd6af SHA1: 2b76771043ed98d4f87b5e57e2f5cbc4e8b22853 MD5sum: 9a65559c856bde1c22eda22bb4bb31cb Description: libedje headers and static libraries Edje is a graphical layout and animation library for animated resizable, compressed and scalable themes. It is the theming engine behind Enlightenment DR 0.17. . This package provides headers and static libraries for Edje. Package: libedje-doc Source: edje Version: 1.2.0-1 Installed-Size: 9453 Maintainer: Debian Pkg-e Team Architecture: all Enhances: libedje-dev Size: 1371076 SHA256: ff4d284fb6af01d6d2a504bab222847897314e466475206e01b911ab35986e2a SHA1: e4945389a31ce4046fcd7352bfcf3b282e8de414 MD5sum: da164be51e946a626fbdb793dd509b76 Description: libedje0 development documentation Edje is a graphical layout and animation library for animated resizable, compressed and scalable themes. It is the theming engine behind Enlightenment DR 0.17. . This package provides development documentation for Edje. Homepage: http://enlightenment.org Tag: devel::doc, made-of::html, role::documentation, suite::TODO Section: doc Priority: optional Filename: pool/main/e/edje/libedje-doc_1.2.0-1_all.deb Package: libedje1 Source: edje Version: 1.2.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 496 Depends: libc6 (>= 2.13-28), libecore-evas1 (>= 1.2.0), libecore-file1 (>= 1.2.0), libecore-imf1 (>= 1.2.0), libecore1 (>= 1.2.0), libeet1 (>= 1.2.3), libeina1 (>= 1.2.0), libembryo1 (>= 0.9.1.042), libevas1 (>= 1.2.0), liblua5.1-0 Suggests: libedje-bin (= 1.2.0-1) Homepage: http://enlightenment.org Priority: optional Section: libs Filename: pool/main/e/edje/libedje1_1.2.0-1_armhf.deb Size: 202024 SHA256: 92c9f2f4a73f0dae58352330f8ff45caa08619c1a7e82f0bd65db9d0054a7821 SHA1: 4982b4acc16dde448195403cd91c44450f19d54d MD5sum: 654d3dfb27578ccd8e8f4ff932a2008b Description: Graphical layout and animation library Edje is a graphical layout and animation library for animated resizable, compressed and scalable themes. It is the theming engine behind Enlightenment DR 0.17. Package: libee-dev Source: libee Version: 0.4.1-1 Architecture: armhf Maintainer: Pierre Chifflier Installed-Size: 121 Depends: libee0 (= 0.4.1-1) Homepage: http://libee.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libe/libee/libee-dev_0.4.1-1_armhf.deb Size: 20396 SHA256: 4853d0b30f0c1eb7449c443417872bf6552f7872c02e51f8ab61374bbd60214a SHA1: 6a68f169eade0eb833af57ce9a18a39311e439e6 MD5sum: 10c53480d98f25a554b79f757e39010b Description: Event expression library inspired by CEE Libee is an event expression library which is inspired by the upcoming CEE standard. It provides capabilities to generate and emit messages in a set of standard format and read a set of different input formats. . This package contains the development files. Package: libee0 Source: libee Version: 0.4.1-1 Architecture: armhf Maintainer: Pierre Chifflier Installed-Size: 72 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libestr0 (>= 0.1.0) Homepage: http://libee.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libe/libee/libee0_0.4.1-1_armhf.deb Size: 20972 SHA256: f69a0e5fc4a05a50bd2106e15f43e4990d3d865eb439e72a83def966a1bd9823 SHA1: 066e85db885fa23b3ef57eb8f3148d15d4d6ea28 MD5sum: 4861a9068b96bba1053f427ade6cb1a3 Description: Event expression library inspired by CEE Libee is an event expression library which is inspired by the upcoming CEE standard. It provides capabilities to generate and emit messages in a set of standard format and read a set of different input formats. . This package contains the shared library. Package: libeegdev-dev Source: eegdev Version: 0.2-3 Architecture: armhf Maintainer: Nicolas Bourdaud Installed-Size: 102 Depends: libeegdev0 (= 0.2-3) Multi-Arch: same Homepage: http://cnbi.epfl.ch/software/eegdev.html Priority: extra Section: libdevel Filename: pool/main/e/eegdev/libeegdev-dev_0.2-3_armhf.deb Size: 22696 SHA256: 7602f763edcd30946b5234d5674c65d992739f766ecebc27e1f40d62d486c2ea SHA1: e38218b9a5521e08ac826a6751be4a3192a537b2 MD5sum: fc4bccc8e4397143b0cfd7d95c9794a2 Description: Biosignal acquisition device library (Developement files) eegdev is a library that provides a unified interface for accessing various EEG (and other biosignals) acquisition systems. This interface has been designed to be both flexible and efficient. The device specific part is implemented by the mean of plugins which makes adding new device backend fairly easy even if the library does not support them yet officially. . The core library not only provides to users a unified and consistent interfaces to the acquisition device but it also provides many functionalities to the device backends (plugins) ranging from configuration to data casting and scaling making writing new device backend an easy task. . This library is particularly useful to handle the acquisition part of a Brain Computer Interface (BCI) or any realtime multi-electrode acquisition in neurophysiological research. . This package contains the files needed to compile and link programs which use eegdev. Its provides also the headers neeeded to develop new device plugins. The manpages and examples are shipped in this package. Package: libeegdev0 Source: eegdev Version: 0.2-3 Architecture: armhf Maintainer: Nicolas Bourdaud Installed-Size: 107 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Recommends: eegdev-plugins-free Multi-Arch: same Homepage: http://cnbi.epfl.ch/software/eegdev.html Priority: extra Section: libs Filename: pool/main/e/eegdev/libeegdev0_0.2-3_armhf.deb Size: 41382 SHA256: ccd0397fb80992681089647036c8ea3ee2f6636ab99350733272cc780ca55541 SHA1: d624363ab5ed226c452f3d9c00df0ec5574875a5 MD5sum: b7654c5d175dd70b34138bc7dcf96970 Description: Biosignal acquisition device library eegdev is a library that provides a unified interface for accessing various EEG (and other biosignals) acquisition systems. This interface has been designed to be both flexible and efficient. The device specific part is implemented by the mean of plugins which makes adding new device backend fairly easy even if the library does not support them yet officially. . The core library not only provides to users a unified and consistent interfaces to the acquisition device but it also provides many functionalities to the device backends (plugins) ranging from configuration to data casting and scaling making writing new device backend an easy task. . This library is particularly useful to handle the acquisition part of a Brain Computer Interface (BCI) or any realtime multi-electrode acquisition in neurophysiological research. . This package contains the core library Package: libeegdev0-dbg Source: eegdev Version: 0.2-3 Architecture: armhf Maintainer: Nicolas Bourdaud Installed-Size: 203 Depends: libeegdev0 (= 0.2-3) Multi-Arch: same Homepage: http://cnbi.epfl.ch/software/eegdev.html Priority: extra Section: debug Filename: pool/main/e/eegdev/libeegdev0-dbg_0.2-3_armhf.deb Size: 135694 SHA256: 7068611d13c54f134e5580376958740cdeda079daff201bd0a2fa92817370fa3 SHA1: e4e8befa7222cf1ffe32575f9436c17c97334bae MD5sum: 241f40b932a58bfab8469aa18c08ae28 Description: Biosignal acquisition device library (Debugging symbols) eegdev is a library that provides a unified interface for accessing various EEG (and other biosignals) acquisition systems. This interface has been designed to be both flexible and efficient. The device specific part is implemented by the mean of plugins which makes adding new device backend fairly easy even if the library does not support them yet officially. . The core library not only provides to users a unified and consistent interfaces to the acquisition device but it also provides many functionalities to the device backends (plugins) ranging from configuration to data casting and scaling making writing new device backend an easy task. . This library is particularly useful to handle the acquisition part of a Brain Computer Interface (BCI) or any realtime multi-electrode acquisition in neurophysiological research. . This package provides the debugging symbols for the library. Package: libeet-bin Source: eet Version: 1.6.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 63 Depends: libc6 (>= 2.13-28), libeet1 (>= 1.6.0), libeina1 (>= 1.0.0~beta) Homepage: http://www.enlightenment.org Priority: optional Section: libs Filename: pool/main/e/eet/libeet-bin_1.6.0-1_armhf.deb Size: 18538 SHA256: f13e52a30d9727719002e4dcf947074422f6ed10601a2f069c70df81a40ed9a3 SHA1: 2fd88e35f164f2a2ef01e72cd5e3ec23c642f45c MD5sum: a84106176f34592cc90dad31d61acee5 Description: Enlightenment DR17 file chunk reading/writing utility Eet is a tiny library designed to write an arbitrary set of chunks of data to a file and optionally compress each chunk (very much like a zip file) and allows fast random-access reading of the file later on. It does not do zip as zip itself has more complexity than needed, and it was much simpler to implement this once here. . This package contains eet, an utility that allows you to extract, insert, encode and decode config blobs created with libeet. Package: libeet-dbg Source: eet Version: 1.6.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 365 Depends: libeet1 (= 1.6.0-1) Homepage: http://www.enlightenment.org Priority: extra Section: debug Filename: pool/main/e/eet/libeet-dbg_1.6.0-1_armhf.deb Size: 139266 SHA256: 8b24a1900d8543a0ea6b8ba442838a430a9f0db6c79ee1ae0485884fd9839f69 SHA1: 3553c76ba01742a77f822a3c110edd84e24869b8 MD5sum: 4e8ffdb104d62dc259558a6a20b642d1 Description: E17 file chunk reading/writing library - debug symbols Eet is a tiny library designed to write an arbitrary set of chunks of data to a file and optionally compress each chunk (very much like a zip file) and allows fast random-access reading of the file later on. It does not do zip as zip itself has more complexity than needed, and it was much simpler to implement this once here . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libeet-dev Source: eet Version: 1.6.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 330 Depends: libeet1 (= 1.6.0-1), libjpeg-dev, libeina-dev (>= 1.2.0), libgnutls-dev, pkg-config Recommends: libeet-doc Homepage: http://www.enlightenment.org Priority: optional Section: libdevel Filename: pool/main/e/eet/libeet-dev_1.6.0-1_armhf.deb Size: 94234 SHA256: 8917c1f66a21f0c28996b17650ef4193f3a5293bec2c8e1af06db45a607f7c07 SHA1: ad347b78238aab8acbadb097f5e30cadec2f789d MD5sum: 5e4d54dd7a0ab9044e5b476fb74c824c Description: Enlightenment DR17 file chunk reading/writing library development files Eet is a tiny library designed to write an arbitrary set of chunks of data to a file and optionally compress each chunk (very much like a zip file) and allows fast random-access reading of the file later on. It does not do zip as zip itself has more complexity than needed, and it was much simpler to implement this once here. . This package contains headers and static libraries for development with libeet. Package: libeet-doc Source: eet Version: 1.6.0-1 Installed-Size: 1145 Maintainer: Debian Pkg-e Team Architecture: all Enhances: libeet-dev Size: 335440 SHA256: 9625f2a3ba475853727aca935773932a9bc21849796717f9bce28b354627d67a SHA1: fa0adb88185f6fbaeb149296c7afe4d261b545f6 MD5sum: 13c1d3ac13059fd5bd7b2ff81eb86bd8 Description: libeet1 API documentation Eet is a tiny library designed to write an arbitrary set of chunks of data to a file and optionally compress each chunk (very much like a zip file) and allows fast random-access reading of the file later on. It does not do zip as zip itself has more complexity than needed, and it was much simpler to implement this once here. . This package contains documentation (html and manpages) for development with libeet. Homepage: http://www.enlightenment.org Tag: devel::doc, made-of::html, made-of::man, role::documentation, suite::TODO Section: doc Priority: optional Filename: pool/main/e/eet/libeet-doc_1.6.0-1_all.deb Package: libeet1 Source: eet Version: 1.6.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 135 Depends: libc6 (>= 2.13-28), libeina1 (>= 1.2.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libjpeg8 (>= 8c), zlib1g (>= 1:1.1.4) Homepage: http://www.enlightenment.org Priority: optional Section: libs Filename: pool/main/e/eet/libeet1_1.6.0-1_armhf.deb Size: 57738 SHA256: 2a23817961bb9d3a098b13cabc656fe21c331c89c5c6e2814596fb4f60322653 SHA1: 90c10962261c73c681c0beaf54cdef0ba3e8c3c8 MD5sum: 215fccaef41d02519cdf7462d2132ee4 Description: Enlightenment DR17 file chunk reading/writing library Eet is a tiny library designed to write an arbitrary set of chunks of data to a file and optionally compress each chunk (very much like a zip file) and allows fast random-access reading of the file later on. It does not do zip as zip itself has more complexity than needed, and it was much simpler to implement this once here. . It's small, fast, and does a job. It's heavily commented and fully documented. Package: libefreet-dev Source: efreet Version: 1.2.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 254 Depends: libefreet1 (= 1.2.0-1), libecore-dev (>= 1.2.0), libeina-dev (>= 1.2.0) Suggests: libefreet-doc Homepage: http://www.enlightenment.org Priority: optional Section: libdevel Filename: pool/main/e/efreet/libefreet-dev_1.2.0-1_armhf.deb Size: 77454 SHA256: 3c7ccad8a77613cbe71d44fe58cb18d08fb9afee441a3067c109cc471128db98 SHA1: 211fc5dabbd9bf5c66307d3aea6812eedac77aaa MD5sum: 0ae434c4585ded74d6fdd2b11d3d14db Description: Development files for libefreet and libefreet-mime An implementation of several specifications from freedesktop.org intended for use in Enlightenment DR17 (e17) and other applications using the Enlightenment Foundation Libraries (EFL). Currently, the following specifications are included: - Base Directory - Desktop Entry - Icon Theme - Menu . This package contains headers and static libraries for Efreet. Package: libefreet-doc Source: efreet Version: 1.2.0-1 Installed-Size: 733 Maintainer: Debian Pkg-e Team Architecture: all Enhances: libefreet-dev Size: 156716 SHA256: bada13065d7ebfe1647ffdf69260913b7f5ca02b64063ebad36d5ab6186330fe SHA1: 8fa3955b925eda3f90e83e4d59a59a42fa870a5e MD5sum: 9c2e85a7a8dada42a1838017ea647de8 Description: libefreet and libfreet-mime API documentation An implementation of several specifications from freedesktop.org intended for use in Enlightenment DR17 (e17) and other applications using the Enlightenment Foundation Libraries (EFL). Currently, the following specifications are included: - Base Directory - Desktop Entry - Icon Theme - Menu . This package contains HTML documentation for development with libefreet and libefreet-mime. Homepage: http://www.enlightenment.org Tag: devel::doc, made-of::html, role::documentation, suite::TODO Section: doc Priority: optional Filename: pool/main/e/efreet/libefreet-doc_1.2.0-1_all.deb Package: libefreet1 Source: efreet Version: 1.2.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 198 Depends: libc6 (>= 2.13-28), libecore-file1 (>= 1.2.0), libecore1 (>= 1.2.0), libeet1 (>= 1.2.3), libeina1 (>= 1.2.0) Homepage: http://www.enlightenment.org Priority: optional Section: libs Filename: pool/main/e/efreet/libefreet1_1.2.0-1_armhf.deb Size: 78974 SHA256: 066625c2df06d9043ae2f039acd6a54b2b4ddd1a0749fa6e737d019a9f44410f SHA1: bdf24f08c350ffb5c4921f589bb178501158800f MD5sum: b4edbea6963c54d8c708b59f5b0683b2 Description: Library that implements freedesktop.org specs for use with E17/EFL An implementation of several specifications from freedesktop.org intended for use in Enlightenment DR17 (e17) and other applications using the Enlightenment Foundation Libraries (EFL). Currently, the following specifications are included: - Base Directory - Desktop Entry - Icon Theme - Menu . This package provides the libefreet0 and libefreet0-mime libraries, which contains efreet-based functions for dealing with mime. Package: libegl1-mesa Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 179 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdrm2 (>= 2.3.1), libgbm1 (>= 7.11~1), libudev0 (>= 0.140), libx11-6, libx11-xcb1, libxcb-dri2-0 (>= 1.8), libxcb-render0, libxcb-shape0, libxcb-xfixes0, libxcb1 Recommends: libegl1-mesa-drivers Conflicts: libegl1-x11 Replaces: libegl1-x11 Provides: libegl1-x11 Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/m/mesa/libegl1-mesa_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 65716 SHA256: 161d6e11a48bad5419fa86b8949e64f0b1f172cebbcf4cac344ea673f0398bdf SHA1: d817cfe3480426b39af082bbe503b2b846664330 MD5sum: cc8769f83b004c376f2805bb9308ed36 Description: free implementation of the EGL API -- runtime This package contains the EGL native platform graphics interface library. EGL provides a platform-agnostic mechanism for creating rendering surfaces for use with other graphics libraries, such as OpenGL|ES and OpenVG. . This package contains modules to interface with the existing system GLX or DRI2 drivers to provide OpenGL via EGL. The libegl1-mesa-drivers package provides drivers to provide hardware-accelerated OpenGL|ES and OpenVG support. Package: libegl1-mesa-dbg Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 436 Depends: libegl1-mesa (= 8.0.5-4+deb7u2+rpi1) Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/m/mesa/libegl1-mesa-dbg_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 136264 SHA256: 07447b8dd146ba3bd259e1d94a890b63ab57fe67f84d509b0e4300f111c2a24a SHA1: 360fe2507807dce6596b815845faa5c4c39154c8 MD5sum: 154d999c7ecece690ba82e49741ed0f9 Description: free implementation of the EGL API -- debugging symbols This package contains the EGL native platform graphics interface library. EGL provides a platform-agnostic mechanism for creating rendering surfaces for use with other graphics libraries, such as OpenGL|ES and OpenVG. . This package contains the debugging symbols for the EGL library. Package: libegl1-mesa-dev Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 141 Depends: libegl1-mesa (= 8.0.5-4+deb7u2+rpi1), libegl1-mesa-drivers (= 8.0.5-4+deb7u2+rpi1), libdrm-dev (>= 2.4.19), x11proto-dri2-dev (>= 2.1), x11proto-gl-dev (>= 1.4.11), libx11-dev, libxext-dev, libxxf86vm-dev, libxdamage-dev, libxfixes-dev, libxcb-glx0-dev, libx11-xcb-dev Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/m/mesa/libegl1-mesa-dev_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 42452 SHA256: 9251cb069844b7d26da64cf81e6dd6ffbc1dd3d3da016f02c3e97cb8d9fa19ea SHA1: c7ea1bdd9cc1c391bcc10a05ce344aaad2248064 MD5sum: 0b6a937b93c520458ad973f8b6e5c887 Description: free implementation of the EGL API -- development files This package contains the development environment required for compiling programs against EGL native platform graphics interface library. EGL provides a platform-agnostic mechanism for creating rendering surfaces for use with other graphics libraries, such as OpenGL|ES and OpenVG. . This package provides the development environment for compiling programs against the EGL library. Package: libegl1-mesa-drivers Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 4903 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdrm-nouveau1a (>= 2.4.23), libdrm2 (>= 2.4.17), libegl1-mesa (= 8.0.5-4+deb7u2+rpi1), libgbm1 (>= 7.11~1), libgcc1 (>= 1:4.4.0), libglapi-mesa (= 8.0.5-4+deb7u2+rpi1), libopenvg1-mesa (>= 7.9~) | libopenvg1, libstdc++6 (>= 4.3.0), libudev0 (>= 0.140), libx11-6 (>= 2:1.4.99.1), libxext6, libxfixes3 Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/m/mesa/libegl1-mesa-drivers_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 1431512 SHA256: 98f562030fbf95db27052b4a8409d9963f784a3698c04627d4cc610a04df9789 SHA1: e47ec84a9cbda0b68f5fa9db7259bf9f33853219 MD5sum: 17caa3be828da8958fbaf0e3fd8a4a33 Description: free implementation of the EGL API -- hardware drivers This package contains the EGL native platform graphics interface library. EGL provides a platform-agnostic mechanism for creating rendering surfaces for use with other graphics libraries, such as OpenGL|ES and OpenVG. . This package contains the drivers required for hardware accelerated rendering of EGL-based graphics libraries, such as OpenGL|ES and OpenVG. Package: libegl1-mesa-drivers-dbg Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 23844 Depends: libegl1-mesa-drivers (= 8.0.5-4+deb7u2+rpi1) Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/m/mesa/libegl1-mesa-drivers-dbg_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 4897812 SHA256: 25862bf07207bf4f487da619ef91c63862d1b205ba9a12affaa76051daadd13a SHA1: 60b8afa8ce15bd4db6c6270717cca62e1f988616 MD5sum: ddd174c85f0e6870e46b8ba5b70ce574 Description: free implementation of the EGL API -- driver debugging symbols This package contains the EGL native platform graphics interface library. EGL provides a platform-agnostic mechanism for creating rendering surfaces for use with other graphics libraries, such as OpenGL|ES and OpenVG. . This package contains the debugging symbols for the drivers required for hardware accelerated rendering of EGL-based graphics libraries. Package: libehcache-java Source: ehcache Version: 2.5.0-1 Installed-Size: 1035 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-logging-java, libcommons-collections3-java, libservlet2.5-java, libbackport-util-concurrent-java, libjsr107cache-java Size: 856496 SHA256: 57f24cf50b24e6cda79699380bab2a9f477db1fd243e14fd15d127d92239316f SHA1: f62336263e9dc7c46739b9feb683f99b844af156 MD5sum: 99244bfa03a14ba243a9783d5a04cfa3 Description: distributed cache library Ehcache is a distributed cache for general purpose caching in Java, J2EE and light-weight containers. It features memory and disk stores, replicate by copy and invalidate, listeners, a gzip caching servlet filter and much more. Homepage: http://ehcache.sourceforge.net/ Section: java Priority: optional Filename: pool/main/e/ehcache/libehcache-java_2.5.0-1_all.deb Package: libeigen2-dev Source: eigen2 Version: 2.0.17-1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1236 Suggests: libeigen2-doc Homepage: http://eigen.tuxfamily.org Priority: extra Section: libdevel Filename: pool/main/e/eigen2/libeigen2-dev_2.0.17-1_armhf.deb Size: 216596 SHA256: 6e55ef0b10428b4c1d765ff1ab04ddca48a0ad3575ae87451a4924d2b1a7f6f5 SHA1: c203715d718440b7c11c3155643e03bb52a6ea79 MD5sum: 4edf54090c2748a5d8b7164ef65c1789 Description: lightweight C++ template library for linear algebra Eigen 2 is a lightweight C++ template library for vector and matrix math, a.k.a. linear algebra. . Unlike most other linear algebra libraries, Eigen 2 focuses on the simple mathematical needs of applications: games and other OpenGL apps, spreadsheets and other office apps, etc. Eigen 2 is dedicated to providing optimal speed with GCC. Package: libeigen2-doc Source: eigen2 Version: 2.0.17-1 Installed-Size: 3933 Maintainer: Debian Qt/KDE Maintainers Architecture: all Suggests: libeigen2-dev Size: 557482 SHA256: 17f3eab96b192ad32f3656c835517e63755e2c17c90c1d59549e464975c42e20 SHA1: 5d309709ae4b6128f2c287a40adfd93df8f40b27 MD5sum: 07718c674c2ad1e608a86a63ac336514 Description: eigen2 API docmentation Eigen 2 is a lightweight C++ template library for vector and matrix math, a.k.a. linear algebra. . This package provides the complete eigen2 4 API documentation in HTML format. Homepage: http://eigen.tuxfamily.org Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/e/eigen2/libeigen2-doc_2.0.17-1_all.deb Package: libeigen3-dev Source: eigen3 Version: 3.1.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 3467 Suggests: libeigen3-doc Homepage: http://eigen.tuxfamily.org Priority: extra Section: libdevel Filename: pool/main/e/eigen3/libeigen3-dev_3.1.0-1_armhf.deb Size: 612724 SHA256: 23eed4034a88b977c2d257d34c9b844285dad95395c3a7a4fc417c6ff0b72aa0 SHA1: 431162fadebcec7ead8805a5b55985ed11b96b71 MD5sum: f4176456a97e763856cc8a1eedba1e17 Description: lightweight C++ template library for linear algebra Eigen 3 is a lightweight C++ template library for vector and matrix math, a.k.a. linear algebra. . Unlike most other linear algebra libraries, Eigen 3 focuses on the simple mathematical needs of applications: games and other OpenGL apps, spreadsheets and other office apps, etc. Eigen 3 is dedicated to providing optimal speed with GCC. A lot of improvements since 2-nd version of Eigen. Package: libeigen3-doc Source: eigen3 Version: 3.1.0-1 Installed-Size: 64456 Maintainer: Debian Science Maintainers Architecture: all Depends: ttf-freefont, libjs-jquery Suggests: libeigen3-dev Size: 18520978 SHA256: 1cef6782c95384480e03e5b81e6caab2c50f691914f1ca70e60e22c8669a8132 SHA1: c3508e84c93cf1c0487b59c5b1e6158eb0d42d9f MD5sum: 152e5b7c880d2475b6d5348dff257531 Description: eigen3 API documentation Eigen 3 is a lightweight C++ template library for vector and matrix math, a.k.a. linear algebra. . This package provides the complete eigen3 API documentation in HTML format. Homepage: http://eigen.tuxfamily.org Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/e/eigen3/libeigen3-doc_3.1.0-1_all.deb Package: libeigenbase-farrago-java Source: eigenbase-farrago Version: 0.9.0-1 Installed-Size: 132 Maintainer: Damien Raude-Morvan Architecture: all Depends: default-jre-headless | java5-runtime-headless, libeigenbase-resgen-java Size: 87492 SHA256: a390c18083ae769cd692fed2864b239771fe1d4a86ee94ec83863eef1818e854 SHA1: 41109e50525976ad4e350244b993ea3e3d3c887c MD5sum: 873eecbb86b446e863c2f8dc77c78261 Description: Java framework for constructing RDBMS services Farrago is an extensible platform for constructing enterprise-class RDBMS services . Farrago is not an end-user product like MySQL or PostgreSQL. It provides a standards-based pluggable architecture, component library, and toolset for developers of other projects which specialize it. . This package only ship eigenbase-properties part of Farrago. Homepage: http://farrago.sourceforge.net/ Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/e/eigenbase-farrago/libeigenbase-farrago-java_0.9.0-1_all.deb Package: libeigenbase-resgen-java Source: eigenbase-resgen Version: 1.3.0.13768-1 Installed-Size: 180 Maintainer: Damien Raude-Morvan Architecture: all Depends: libxerces2-java Size: 146286 SHA256: 203bfeeb33670d2070276e2121c19f0b8989eb9c18739fe4173a061fa554a314 SHA1: 61e43eae58d8f6a1152b870bc9b23e486e295503 MD5sum: add3c33e0cd2d6157dba05972009099b Description: Java i18n code generator from XML files Eigenbase Resource Generator helps you build and maintain internationalized applications in Java. From a simple XML file, it generates classes to access those resources in a type-safe manner. . It is tightly integrated with Ant, to make the development process painless; and it supports a variety of schemes to determine the current locale. Homepage: http://eigenbase.sourceforge.net/resgen/ Tag: devel::code-generator, devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib, use::organizing Section: java Priority: optional Filename: pool/main/e/eigenbase-resgen/libeigenbase-resgen-java_1.3.0.13768-1_all.deb Package: libeigenbase-resgen-java-doc Source: eigenbase-resgen Version: 1.3.0.13768-1 Installed-Size: 6119 Maintainer: Damien Raude-Morvan Architecture: all Recommends: default-jdk-doc, junit-doc Suggests: libeigenbase-resgen-java Size: 668030 SHA256: 74d6258a45248af2780543cb35eb31ba250af97f9d026f32bda590106b4c1662 SHA1: e2ea533efc0c41c8d5c7dbb632704531a222f3da MD5sum: afc7a6c685939daf2ab37563db9dc5c3 Description: Java i18n code generator from XML files - documentation Eigenbase Resource Generator helps you build and maintain internationalized applications in Java. From a simple XML file, it generates classes to access those resources in a type-safe manner. . It is tightly integrated with Ant, to make the development process painless; and it supports a variety of schemes to determine the current locale. . This package contains Javadoc API documentation of eigenbase-resgen. Homepage: http://eigenbase.sourceforge.net/resgen/ Tag: devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/e/eigenbase-resgen/libeigenbase-resgen-java-doc_1.3.0.13768-1_all.deb Package: libeina-dbg Source: eina Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 818 Depends: libeina1 (= 1.2.0-2) Homepage: http://www.enlightenment.org Priority: extra Section: debug Filename: pool/main/e/eina/libeina-dbg_1.2.0-2_armhf.deb Size: 296064 SHA256: 3da9c7e88d7f145050376707f51c757aaa09e5442749c9cad174566adec9da06 SHA1: 8ccdf4b12c95ef21b8a5cf9de40c3b6ed7e6e088 MD5sum: de182db90aff0ce452abf0e82b20a304 Description: debugging symbols for use with libeina Eina is a multi-platform library that provides optimized data types and a few tools. It supports the following data types: - Array - Hash Table - Double-linked list - Red-black tree - Shared string - Access Content types + Accessor: can access items of a container randomly + Iterator: can access items of a container sequentially . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libeina-dev Source: eina Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 1255 Depends: libeina1 (= 1.2.0-2), pkg-config Recommends: libeina-doc Homepage: http://www.enlightenment.org Priority: optional Section: libdevel Filename: pool/main/e/eina/libeina-dev_1.2.0-2_armhf.deb Size: 309988 SHA256: 8d9900c722bbbc2c01c95ab44cc56634edeccba1aa6218ccad3e38a7315fc370 SHA1: d74a58d7646b07c8fb61b43ea26da6042b5f3357 MD5sum: 6a51ceba5766bf566e5ee7f11aec9380 Description: Development files for libeina Eina is a multi-platform library that provides optimized data types and a few tools. It supports the following data types: - Array - Hash Table - Double-linked list - Red-black tree - Shared string - Access Content types + Accessor: can access items of a container randomly + Iterator: can access items of a container sequentially . This package contains headers and static libraries for development with libeina. Package: libeina-doc Source: eina Version: 1.2.0-2 Installed-Size: 13068 Maintainer: Debian Pkg-e Team Architecture: all Enhances: libeina-dev Size: 9847766 SHA256: 1a6103c5c4235a846b1f4b490934203999640ac16aa2f2e5d78a1e4cbdc01fd5 SHA1: 74db9ff9e1231bb1b821ff0ff0e360b3b11b1cb4 MD5sum: 27387b8a827a4a20a37a04424340eefd Description: Documentation for use with libeina Eina is a multi-platform library that provides optimized data types and a few tools. It supports the following data types: - Array - Hash Table - Double-linked list - Red-black tree - Shared string - Access Content types + Accessor: can access items of a container randomly + Iterator: can access items of a container sequentially . This package contains documentation for libeina. Homepage: http://www.enlightenment.org Tag: devel::doc, made-of::html, role::documentation, suite::TODO Section: doc Priority: optional Filename: pool/main/e/eina/libeina-doc_1.2.0-2_all.deb Package: libeina1 Source: eina Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 371 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Conflicts: libeina0 Breaks: libeet1 (<= 1.4.0~beta+1.4.0) Homepage: http://www.enlightenment.org Priority: optional Section: libs Filename: pool/main/e/eina/libeina1_1.2.0-2_armhf.deb Size: 151912 SHA256: 81c0d75a0d0394d8d25d9fa6c4e1b8fab027c9ef51633f5e3d1aa4d15e724512 SHA1: e773380cddb6ff6375be90c33c6d04c88ce4cc56 MD5sum: e69453fee195c5452a99ff765abc3113 Description: Enlightenment Foundation Library providing optimized data types Eina is a multi-platform library that provides optimized data types and a few tools. It supports the following data types: - Array - Hash Table - Double-linked list - Red-black tree - Shared string - Access Content types + Accessor: can access items of a container randomly + Iterator: can access items of a container sequentially Package: libeiskaltdcpp2.2 Source: eiskaltdcpp Version: 2.2.6-4 Architecture: armhf Maintainer: Boris Pek Installed-Size: 2106 Depends: libattr1 (>= 1:2.4.46-5), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libidn11 (>= 1.13), liblua5.1-0, libminiupnpc5, libpcre3 (>= 8.10), libpcrecpp0 (>= 7.7), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.2.0) Conflicts: libeiskaltdcpp2.2-unstable Replaces: libeiskaltdcpp2.2-unstable Homepage: http://code.google.com/p/eiskaltdc/ Priority: optional Section: net Filename: pool/main/e/eiskaltdcpp/libeiskaltdcpp2.2_2.2.6-4_armhf.deb Size: 837580 SHA256: 41d58ae6519b292437193244732620c64fabf3b341888b2164e387d7dc97fabc SHA1: 8c8dc27c564ad72e622061907281beafd45f82c9 MD5sum: 536d41eb481925e624d2eba352f7b25c Description: DC++ kernel library from EiskaltDC++ This package installs only DC++ kernel library which required EiskaltDC++. . EiskaltDC++ is a cross-platform program that uses the Direct Connect and ADC protocols. It is compatible with other DC clients, such as the original DC from Neomodus, DC++ and derivatives. EiskaltDC++ also interoperates with all common DC hub software. Package: libeiskaltdcpp2.2-dbg Source: eiskaltdcpp Version: 2.2.6-4 Architecture: armhf Maintainer: Boris Pek Installed-Size: 29694 Depends: libeiskaltdcpp2.2 (= 2.2.6-4) Conflicts: libeiskaltdcpp2.2-unstable-dbg Replaces: libeiskaltdcpp2.2-unstable-dbg Homepage: http://code.google.com/p/eiskaltdc/ Priority: extra Section: debug Filename: pool/main/e/eiskaltdcpp/libeiskaltdcpp2.2-dbg_2.2.6-4_armhf.deb Size: 11071052 SHA256: 2d50d49ae5c56d46f7a101a3f4ca08834f8356de3b7ac3a4ae8395e9542e2bbf SHA1: 319e6ee453f46acf6c54ec81656f5d93e4127b5a MD5sum: 4c221cdb17c8708f989daa069ce3fd35 Description: DC++ kernel from EiskaltDC++ (debug symbols) This package contains the debugging symbols for EiskaltDC++ kernel library. . EiskaltDC++ is a cross-platform program that uses the Direct Connect and ADC protocols. It is compatible with other DC clients, such as the original DC from Neomodus, DC++ and derivatives. EiskaltDC++ also interoperates with all common DC hub software. Package: libelektra-cpp-dev Source: elektra Version: 0.7.1-1 Architecture: armhf Maintainer: Pino Toscano Installed-Size: 109 Depends: libelektra-cpp0 (= 0.7.1-1), libelektra-dev (= 0.7.1-1) Homepage: http://www.libelektra.org/ Priority: optional Section: libdevel Filename: pool/main/e/elektra/libelektra-cpp-dev_0.7.1-1_armhf.deb Size: 37318 SHA256: e269513a73ab4c5818d49410f96a13bc982ce9c38035110307540c23879e3798 SHA1: 6a40420ca6a9e190dad74e836656506f60c536e8 MD5sum: 6cdaf3c9b5038ef50768c086ceffa8a3 Description: elektra configuration store, CPP binding [development] Elektra provides a universal and secure framework to store configuration parameters in a hierarchical key-value pair mechanism, instead of each program using its own text configuration files. This allows any program to read and save its configuration with a consistent API, and allows them to be aware of other applications' configurations, permitting easy application integration. While architecturally similar to other OS registries, Elektra does not have most of the problems found in those implementations. . This package contains the development files for the CPP binding of the Elektra library. Package: libelektra-cpp0 Source: elektra Version: 0.7.1-1 Architecture: armhf Maintainer: Pino Toscano Installed-Size: 90 Depends: libc6 (>= 2.13-28), libelektra3 (>= 0.7.0), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://www.libelektra.org/ Priority: optional Section: libs Filename: pool/main/e/elektra/libelektra-cpp0_0.7.1-1_armhf.deb Size: 36222 SHA256: a70d5190af13ddea1be0aba9ede749febb45bd2bb2fbc1bc875a05308a44fd25 SHA1: b8b01d1f43c2bf700135d4c181be43c831d7c017 MD5sum: 76d8574a76c7b3dc39e5c2e091cd812b Description: elektra configuration store, CPP binding Elektra provides a universal and secure framework to store configuration parameters in a hierarchical key-value pair mechanism, instead of each program using its own text configuration files. This allows any program to read and save its configuration with a consistent API, and allows them to be aware of other applications' configurations, permitting easy application integration. While architecturally similar to other OS registries, Elektra does not have most of the problems found in those implementations. . This package contains the CPP binding for the Elektra library. Package: libelektra-dev Source: elektra Version: 0.7.1-1 Architecture: armhf Maintainer: Pino Toscano Installed-Size: 184 Depends: libelektra3 (= 0.7.1-1) Conflicts: libkrb5-dev Homepage: http://www.libelektra.org/ Priority: optional Section: libdevel Filename: pool/main/e/elektra/libelektra-dev_0.7.1-1_armhf.deb Size: 61562 SHA256: ce975f5b71207c238de9d6ab51137668deb00565aeb9c978e10aa63f5f6a9556 SHA1: d8994aa664492bcf194b47676d4a12bbd7c551da MD5sum: 2e141c43d9155f6b9af98ce5ff3eb16d Description: elektra configuration store, main library [development] Elektra provides a universal and secure framework to store configuration parameters in a hierarchical key-value pair mechanism, instead of each program using its own text configuration files. This allows any program to read and save its configuration with a consistent API, and allows them to be aware of other applications' configurations, permitting easy application integration. While architecturally similar to other OS registries, Elektra does not have most of the problems found in those implementations. . This package contains the development files for the main elektra library. Package: libelektra3 Source: elektra Version: 0.7.1-1 Architecture: armhf Maintainer: Pino Toscano Installed-Size: 128 Depends: libc6 (>= 2.13-28), libltdl7 (>= 2.4.2) Suggests: elektra-doc Homepage: http://www.libelektra.org/ Priority: optional Section: libs Filename: pool/main/e/elektra/libelektra3_0.7.1-1_armhf.deb Size: 53746 SHA256: 7c3c30c4df6e6f493ad0622565f506af8147e7418b876b77ac1c39f873c8267c SHA1: eca98d020b59df43b58e710ae54e82efc79ba8a0 MD5sum: eb636be52959fe8de9c99fb78befedd4 Description: elektra configuration store, main library Elektra provides a universal and secure framework to store configuration parameters in a hierarchical key-value pair mechanism, instead of each program using its own text configuration files. This allows any program to read and save its configuration with a consistent API, and allows them to be aware of other applications' configurations, permitting easy application integration. While architecturally similar to other OS registries, Elektra does not have most of the problems found in those implementations. . This package contains the main elektra library, and the 'filesys' and 'hosts' backends. Package: libelektratools-dev Source: elektra Version: 0.7.1-1 Architecture: armhf Maintainer: Pino Toscano Installed-Size: 81 Depends: libelektratools2 (= 0.7.1-1), libelektra-dev (= 0.7.1-1) Homepage: http://www.libelektra.org/ Priority: optional Section: libdevel Filename: pool/main/e/elektra/libelektratools-dev_0.7.1-1_armhf.deb Size: 32158 SHA256: 8c9c3686561f424a6e5530dad6d7e2cb91481a265ce9cd4060db917460aef1e6 SHA1: 24837075a5cef6a29d01be8f23f20413e0dc40ea MD5sum: efe36a5755d3a7a8138a9e07cc98abbd Description: elektra configuration store, elektratools library [development] Elektra provides a universal and secure framework to store configuration parameters in a hierarchical key-value pair mechanism, instead of each program using its own text configuration files. This allows any program to read and save its configuration with a consistent API, and allows them to be aware of other applications' configurations, permitting easy application integration. While architecturally similar to other OS registries, Elektra does not have most of the problems found in those implementations. . This package contains the development files for the elektratools library. Package: libelektratools2 Source: elektra Version: 0.7.1-1 Architecture: armhf Maintainer: Pino Toscano Installed-Size: 70 Depends: libc6 (>= 2.13-28), libelektra3 (>= 0.7.0), libxml2 (>= 2.7.4) Homepage: http://www.libelektra.org/ Priority: optional Section: libs Filename: pool/main/e/elektra/libelektratools2_0.7.1-1_armhf.deb Size: 31736 SHA256: c490f672dd0a0da44178f437137d49a77dfb5a792a52e1612fddca39e30d3756 SHA1: b29eec4746b9e9fbba1c80406cc0ed8c5d88c710 MD5sum: 07c95145fd6a644780e66a45fbe9d0cc Description: elektra configuration store, elektratools library Elektra provides a universal and secure framework to store configuration parameters in a hierarchical key-value pair mechanism, instead of each program using its own text configuration files. This allows any program to read and save its configuration with a consistent API, and allows them to be aware of other applications' configurations, permitting easy application integration. While architecturally similar to other OS registries, Elektra does not have most of the problems found in those implementations. . This package contains the elektratools library, which is used to deserialize keys from XML and serialize them to XML. Package: libelemental-dev Source: gelemental Version: 1.2.0-8 Architecture: armhf Maintainer: Debichem Team Installed-Size: 702 Depends: libelemental0 (= 1.2.0-8), libglibmm-2.4-dev, libpango1.0-dev Suggests: libelemental-doc Conflicts: libelemental Provides: libelemental Homepage: http://web.archive.org/web/20080209213045/http://www.kdau.com/projects/gelemental/ Priority: optional Section: libdevel Filename: pool/main/g/gelemental/libelemental-dev_1.2.0-8_armhf.deb Size: 219084 SHA256: 96aa1e6a5174e7ca5d479c7bbe37bde9ba72f9a912b31e6e1d8c33566bed261a SHA1: 53fc818b33615952e06b30f5a304c93ae8b6e6e2 MD5sum: be4baeba4d651cbf197fa24c949635a9 Description: Periodic Table viewer (development files) gElemental is a GTK+ periodic table viewer that provides detailed information about chemical elements. . It features a table view which allows the elements to be coloured thematically by several properties, a sortable list view and an element properties dialog, displaying a variety of information, including historical, thermodynamic, electrochemical, and crystallographic properties. . This package contains the development libraries and headers. Package: libelemental-doc Source: gelemental Version: 1.2.0-8 Installed-Size: 868 Maintainer: Debichem Team Architecture: all Recommends: libelemental-dev Size: 276366 SHA256: b85afdffae22541c59b11f1f821caccb23ec112636e268f49d02a7908273dc24 SHA1: 2249a2d961595396fd0db8a6fa151cc0db5008d0 MD5sum: 784aaf0fa1514d9872a92e5f271a8e6f Description: Periodic Table viewer (API documentation) gElemental is a GTK+ periodic table viewer that provides detailed information about chemical elements. . It features a table view which allows the elements to be coloured thematically by several properties, a sortable list view and an element properties dialog, displaying a variety of information, including historical, thermodynamic, electrochemical, and crystallographic properties. . This package contains the API documentation. Homepage: http://web.archive.org/web/20080209213045/http://www.kdau.com/projects/gelemental/ Tag: devel::doc, field::chemistry, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gelemental/libelemental-doc_1.2.0-8_all.deb Package: libelemental0 Source: gelemental Version: 1.2.0-8 Architecture: armhf Maintainer: Debichem Team Installed-Size: 380 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.18.0), libglibmm-2.4-1c2a (>= 2.31.22), libpango1.0-0 (>= 1.14.0), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6) Homepage: http://web.archive.org/web/20080209213045/http://www.kdau.com/projects/gelemental/ Priority: optional Section: libs Filename: pool/main/g/gelemental/libelemental0_1.2.0-8_armhf.deb Size: 156380 SHA256: 75021508865ec0d550edfa9c33aa703df44b507bada4e89192b1e329159d50b7 SHA1: c09090aef729ca7ac91fc2d75284d064c1bfd22b MD5sum: f596a9dad55ce2f0cc9a80b746e8071d Description: Periodic Table viewer (data and shared library) gElemental is a GTK+ periodic table viewer that provides detailed information about chemical elements. . It features a table view which allows the elements to be coloured thematically by several properties, a sortable list view and an element properties dialog, displaying a variety of information, including historical, thermodynamic, electrochemical, and crystallographic properties. . This package contains the shared library and the data set. Package: libelementary-bin Source: elementary Version: 0.7.0.55225-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 51 Depends: libc6 (>= 2.13-28), libecore-evas1 (>= 1.0.0), libecore-file1 (>= 1.0.0), libecore-imf1 (>= 1.0.0), libecore1 (>= 1.0.0), libedje1 (>= 1.0.0~beta), libeet1 (>= 1.0.0), libeina1 (>= 1.0.0~beta), libelementary-svn-09, libevas1 (>= 1.0.0) Homepage: http://www.enlightenment.org Priority: optional Section: libs Filename: pool/main/e/elementary/libelementary-bin_0.7.0.55225-1_armhf.deb Size: 10686 SHA256: 0904805e44c022407665fe97f621c7ded67fbbdd724d818a513b9e4209da2d16 SHA1: 3ea05cc0e7b6601ddbebcacdba6d8a3f5d250ee3 MD5sum: d6d7d84f0bba59a9e31bd57185b2021e Description: EFL-based widget set library - helper programs Elementary is a widget set based on the Enlightenment Foundation Libraries, primarily aimed at creating graphical user interfaces for mobile and embedded devices. . This package contains the elementary_run and elementary_quicklaunch helpers Package: libelementary-data Source: elementary Version: 0.7.0.55225-1 Installed-Size: 1088 Maintainer: Debian Pkg-e Team Architecture: all Size: 931852 SHA256: 6bc96b9cb507ba5e75c0f82ab77cf09610e780d1ec4aafdb7151f4a722456dae SHA1: 72e06e3e1166e5d53cb23c29dbf2550a4795fd85 MD5sum: c4e23a6600b2bab162aeb0f32bc4dca5 Description: runtime data-files for libelementary Elementary is a widget set based on the Enlightenment Foundation Libraries, primarily aimed at creating graphical user interfaces for mobile and embedded devices. . This package contains graphics and styles needed by applications using elementary. Homepage: http://www.enlightenment.org Section: misc Priority: optional Filename: pool/main/e/elementary/libelementary-data_0.7.0.55225-1_all.deb Package: libelementary-dbg Source: elementary Version: 0.7.0.55225-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 1999 Depends: libelementary-svn-09 (= 0.7.0.55225-1) Homepage: http://www.enlightenment.org Priority: extra Section: debug Filename: pool/main/e/elementary/libelementary-dbg_0.7.0.55225-1_armhf.deb Size: 672632 SHA256: 1786295138331c536f971565f47320a5d0c61d2e721eef09534ec7e74d346992 SHA1: 243ea37be773fbffe4ab9f1aac5c0cd56bce5945 MD5sum: 0f5485831b50333933c4bca211275199 Description: debugging symbols for use with libelementary Elementary is a widget set based on the Enlightenment Foundation Libraries, primarily aimed at creating graphical user interfaces for mobile and embedded devices. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libelementary-dev Source: elementary Version: 0.7.0.55225-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 1401 Depends: libelementary-svn-09 (= 0.7.0.55225-1), libeet-dev (>= 1.0.0~), libeina-dev (>= 1.0.0~), libevas-dev (>= 1.0.0~), libecore-dev (>= 1.0.0~), libedje-dev (>= 1.0.0~), pkg-config Homepage: http://www.enlightenment.org Priority: optional Section: libdevel Filename: pool/main/e/elementary/libelementary-dev_0.7.0.55225-1_armhf.deb Size: 409510 SHA256: 75abddc61c68d9466de5e63e835127b97f3b0123a6958b7cb15ee4e02a21d347 SHA1: f1153da3be3f00b380c307b462bea50d0bd05cb2 MD5sum: 2ebf35794dcbba0a1152bc1d8e3eedac Description: Development files for libelementary Elementary is a widget set based on the Enlightenment Foundation Libraries, primarily aimed at creating graphical user interfaces for mobile and embedded devices. . This package contains headers and static libraries for development with libelementary. Package: libelementary-svn-09 Source: elementary Version: 0.7.0.55225-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 834 Depends: libc6 (>= 2.13-28), libecore-evas1 (>= 1.0.0), libecore-fb1 (>= 1.0.0), libecore-file1 (>= 1.0.0), libecore-imf1 (>= 1.0.0), libecore-x1 (>= 1.0.0), libecore1 (>= 1.0.0), libedje1 (>= 1.0.0~beta), libeet1 (>= 1.2.3), libeina1 (>= 1.0.0~beta3), libevas1 (>= 1.0.0), libgcc1 (>= 1:4.4.0), libelementary-data (= 0.7.0.55225-1) Homepage: http://www.enlightenment.org Priority: optional Section: libs Filename: pool/main/e/elementary/libelementary-svn-09_0.7.0.55225-1_armhf.deb Size: 329268 SHA256: 8d907c2d768193812e774f372242122d4c292c0e659d955aa72251246246398d SHA1: b6e01751b9dd10a6e890233fa0a03feccafb8d3b MD5sum: c03553b4465c4872b045a5823eee220e Description: EFL-based widget set library Elementary is a widget set based on the Enlightenment Foundation Libraries, primarily aimed at creating graphical user interfaces for mobile and embedded devices. Package: libelf-dev Source: elfutils Version: 0.152-1+wheezy1 Architecture: armhf Maintainer: Kurt Roeckx Installed-Size: 284 Depends: libelf1 (= 0.152-1+wheezy1) Conflicts: libelfg0-dev Homepage: https://fedorahosted.org/elfutils/ Priority: optional Section: libdevel Filename: pool/main/e/elfutils/libelf-dev_0.152-1+wheezy1_armhf.deb Size: 66806 SHA256: 4977a8ac10482497d9609a1fb3cc54ee8877c32ca136f8eddecafe78238c82b7 SHA1: 4e8aae76c6a44d4ba6932e822cab29a66010f15d MD5sum: 9be56a865548a03da4733bede58e5494 Description: libelf1 development libraries and header files libelf1 provides a shared library which allows reading and writing of ELF files on a high level. . This package contains development libraries and header files for libelf1. Package: libelf1 Source: elfutils Version: 0.152-1+wheezy1 Architecture: armhf Maintainer: Kurt Roeckx Installed-Size: 1006 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: https://fedorahosted.org/elfutils/ Priority: optional Section: libs Filename: pool/main/e/elfutils/libelf1_0.152-1+wheezy1_armhf.deb Size: 299952 SHA256: 7dbca513cc8487b4a28e05a337e9926058230376d3c224096a614a2208aaf95d SHA1: aa0db1870d1be89b4f7377478bb932227fa29ec6 MD5sum: 8b8ed17bae326847de9d806501f8a102 Description: library to read and write ELF files The libelf1 package provides a shared library which allows reading and writing ELF files on a high level. Third party programs depend on this package to read internals of ELF files. The programs of the elfutils package use it also to generate new ELF files. . This library is part of elfutils. Package: libelfg0 Source: libelf Version: 0.8.13-3 Architecture: armhf Maintainer: Alex Pennace Installed-Size: 146 Depends: libc6 (>= 2.4) Conflicts: libelf, libelf0 (<= 0.6.4-5) Priority: optional Section: libs Filename: pool/main/libe/libelf/libelfg0_0.8.13-3_armhf.deb Size: 56458 SHA256: 4054460211c06f805997bcc2fe212d9afce7f5ccf1c1768fa2e253495e8387b6 SHA1: 0a4875a1ca976e5003d8178fa2f1354c141e4a5f MD5sum: e1e5ecefaa23b108e90489547643c83b Description: an ELF object file access library libelf provides routines to access and manipulate ELF object files. It is still not complete, but is required for a number of programs, such as Eli (a state of the art compiler generation system), and Elk (the Extension Language Kit - an implementation of the Scheme programming language.) . This shared library may be needed by pre-packaged programs. To compile programs with this library, you will need to install the libelfg0-dev package as well. Package: libelfg0-dev Source: libelf Version: 0.8.13-3 Architecture: armhf Maintainer: Alex Pennace Installed-Size: 229 Depends: libelfg0 (= 0.8.13-3), libc6-dev Conflicts: libelf-dev, libelf0-dev, libelf1-dev Priority: optional Section: libdevel Filename: pool/main/libe/libelf/libelfg0-dev_0.8.13-3_armhf.deb Size: 63706 SHA256: 805301c3bb3cdad2096b4636ea71175c22e72d3771b48739e87123c0d9b434ff SHA1: cc7bacf546d01840e5e11504985feeca616ac98e MD5sum: 95719f50204e26e2fe1afbcd846bc5d3 Description: an ELF object file access library: development files libelf provides routines to access and manipulate ELF object files. It is still not complete, but is required for a number of programs, such as Eli (a state of the art compiler generation system), and Elk (the Extension Language Kit - an implementation of the Scheme programming language.) . This development library is only needed if you intend to compile, or write, your own programs with this library. It holds the static linking library (.a), and the required .so link to libelf0.so.0. To run programs linked with this library, install the libelfg0 package. Package: libeliom-ocaml Source: eliom Version: 2.2.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 1293 Depends: libcalendar-ocaml-880d5, libcryptokit-ocaml-3jzv6, libfindlib-ocaml-h0ll5, libjs-of-ocaml-qit64, liblwt-ocaml-nx662, liblwt-ssl-ocaml-brb18, libocamlnet-ocaml-kuut1, libocsigenserver-ocaml-wcl65, libpcre-ocaml-werc3, libreact-ocaml-76aa8, libssl-ocaml-2uu48, libtyxml-ocaml-ggad3, ocaml-base-nox-3.12.1, ocamlduce-base-3.12.1.0, libc6 (>= 2.13-28) Recommends: ocaml-findlib Provides: libeliom-ocaml-5nuo9 Homepage: http://ocsigen.org/eliom Priority: optional Section: ocaml Filename: pool/main/e/eliom/libeliom-ocaml_2.2.2-1_armhf.deb Size: 244740 SHA256: eb12770406443e61557c52ea2794153188c477d663431370aed4a6375e4ff39e SHA1: 5852fa10d78f9f5e5fcdc9601c6624d3ef1a19b6 MD5sum: 89943581cf557092f4bc684ce556ad58 Description: web framework for ocsigenserver (runtime libraries) Eliom allows one to easily develop sophisticated client/server Web applications using OCaml. . This package contains dynamically loadable modules to use with Ocsigenserver. Package: libeliom-ocaml-dev Source: eliom Version: 2.2.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 11754 Depends: camlp4-3.12.1, libcalendar-ocaml-dev-880d5, libcryptokit-ocaml-dev-3jzv6, libeliom-ocaml-5nuo9, libfindlib-ocaml-dev-h0ll5, libjs-of-ocaml-dev-qit64, liblwt-ocaml-dev-nx662, liblwt-ssl-ocaml-dev-brb18, libocamlnet-ocaml-dev-kuut1, libocsigenserver-ocaml-dev-wcl65, libpcre-ocaml-dev-werc3, libreact-ocaml-dev-76aa8, libssl-ocaml-dev-2uu48, libtyxml-ocaml-dev-ggad3, ocaml-nox-3.12.1, ocamlduce-3.12.1.0 Recommends: ocaml-findlib Provides: libeliom-ocaml-dev-5nuo9 Homepage: http://ocsigen.org/eliom Priority: optional Section: ocaml Filename: pool/main/e/eliom/libeliom-ocaml-dev_2.2.2-1_armhf.deb Size: 3465308 SHA256: 3e2bd8117105b66ddef6a5718d4822d096563d1cc77386a8a74a85cdc515a273 SHA1: 7b376f4ceba03dad7bbec5f311b59b8b56642d63 MD5sum: 6b9fe039abb879e30d1b1932ead16cc2 Description: web framework for ocsigenserver (dev libraries) Eliom allows one to easily develop sophisticated client/server Web applications using OCaml. . This package contains the development files needed to use Eliom. Package: libeliom-ocaml-doc Source: eliom Version: 2.2.2-1 Installed-Size: 37511 Maintainer: Debian OCaml Maintainers Architecture: all Enhances: libeliom-ocaml-dev Size: 1651808 SHA256: eba61dcb4632b525489d5350fdf8be0ac3527438090385b81983b1210ea0f49d SHA1: 45554ae86dfc09b77c3d720b00a01c75b8572578 MD5sum: 5dbdf0f4a3f52fdf9298d0aa2fea365d Description: web framework for ocsigenserver (documentation) Eliom allows one to easily develop sophisticated client/server Web applications using OCaml. . This package contains documentation: the manual (in wikicreole format) and the API reference generated by ocamldoc (in HTML format). Homepage: http://ocsigen.org/eliom Section: doc Priority: optional Filename: pool/main/e/eliom/libeliom-ocaml-doc_2.2.2-1_all.deb Package: libelixirfm-perl Version: 1.1.976-2 Installed-Size: 256 Maintainer: Debian Perl Group Architecture: all Depends: perl, libencode-arabic-perl Size: 52312 SHA256: 550feb6879cc63467743243ea4054ea92f0cf13ee4bb3a3fda90c280e8a3404f SHA1: f9d28f503723c14a0ec4e9b4a3cc8ba47a7d359f MD5sum: 996852b807c4b3465a8bbf6213b0cfa7 Description: perl implementation for Functional Arabic Morphology The ElixirFM module reimplements some of the functionality of the ElixirFM system written in Haskell, which implements Functional Arabic Morphology. . ElixirFM can process words of Modern Written Arabic using four different modes. . You can find documentation for this module at http://elixir-fm.wiki.sourceforge.net/ Homepage: http://elixir-fm.sourceforge.net Tag: culture::arabic, devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, works-with::unicode Section: perl Priority: optional Filename: pool/main/libe/libelixirfm-perl/libelixirfm-perl_1.1.976-2_all.deb Package: libelk0 Source: elk Version: 3.99.8-2 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 425 Depends: libc6 (>= 2.13-28), libelfg0 (>= 0.8.12), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxmu6, libxt6 Conflicts: elk (<< 3.99-1) Replaces: elk (<< 3.99-1) Priority: optional Section: libs Filename: pool/main/e/elk/libelk0_3.99.8-2_armhf.deb Size: 190886 SHA256: b66a295eda3877a711854b0036f0441d917c27c5468c568afc044202d9fe9d42 SHA1: cd8a481ab661db9618fbfacf77b87cc5c7070b72 MD5sum: d3be119c9a67cb2bff4e481b6a6c212f Description: implementation of Scheme (the Extension Language Kit) Elk is an implementation of the Scheme programming language, designed specifically as an embeddable, reusable extension language subsystem for applications written in C or C++. . This package contains the libelk0 runtime library. Package: libelk0-dev Source: elk Version: 3.99.8-2 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 670 Depends: libelfg0-dev, libx11-dev, libxext-dev, libxmu-dev, libxt-dev, libice-dev, libsm-dev Conflicts: elk (<< 3.99-1) Replaces: elk (<< 3.99-1) Priority: optional Section: libdevel Filename: pool/main/e/elk/libelk0-dev_3.99.8-2_armhf.deb Size: 230838 SHA256: 784b434c8d50dd806fc06ce3d29edf21539a27dd6820eb5a385b1eeeee6dab5a SHA1: d4ca17ccb8723633e5bc4df5641ac5243972fd77 MD5sum: c5c2ebac6fbb4f4d1c2e13ef902299ac Description: development files for libelk0 Elk is an implementation of the Scheme programming language, designed specifically as an embeddable, reusable extension language subsystem for applications written in C or C++. . This package contains the header files and static library needed to compile applications that use libelk0. Package: libelmer-dev Source: elmerfem Version: 6.1.0.svn.5396.dfsg2-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 42697 Depends: elmer (= 6.1.0.svn.5396.dfsg2-2), libelmersolver-6.1 (= 6.1.0.svn.5396.dfsg2-2), libhypre-dev, libsuitesparse-dev, libarpack2-dev, libmumps-scotch-dev, libblas-dev | libblas-3gf.so, liblapack-dev | liblapack-3gf.so, mpi-default-dev, mpi-default-bin, gfortran Conflicts: elmer (<< 5.5.0.svn.5210.dfsg-1), elmer-common (<< 5.5.0.svn.4499.dfsg-1) Homepage: http://www.csc.fi/elmer/ Priority: extra Section: libdevel Filename: pool/main/e/elmerfem/libelmer-dev_6.1.0.svn.5396.dfsg2-2_armhf.deb Size: 4182432 SHA256: cb8d949793fbe4fccd9266a3ceb3db3a7a23aab0c35909f674725f7b126a6a1a SHA1: 215d17eb5de64e5d2506009ccf2c75ee2032f2a2 MD5sum: 9b458bc66a661e830370f6366d23f87d Description: finite element multiphysics suite - development files The Elmer multiphysics simulation package includes physical models of fluid dynamics, structural mechanics, electromagnetics, heat transfer, and acoustics, among others. These are described by partial differential equations which Elmer solves by the Finite Element Method (FEM). . Elmer uses METIS (or its free counterpart Scotch) for mesh partitioning, and (P)ARPACK, UMFPACK, BLAS/LAPACK, and hypre to solve the sparse linear systems resulting from FEM discretization. It includes pre- and post-processors, and several examples illustrating simulation of various physical phenomena. . Elmer's lead institution is CSC in collaboration with Finnish universities, research institutes, and industry. . This package contains the static libraries and header files for eio, huti, and matc, as well as the Elmer solver shared library symlink. These are used for developing Elmer, and are not needed to run the software. Package: libelmersolver-6.1 Source: elmerfem Version: 6.1.0.svn.5396.dfsg2-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 9335 Depends: libamd2.2.0 (>= 1:3.4.0), libarpack2 (>= 2.1), libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libhypre-2.8.0b, liblapack3 | liblapack.so.3 | libatlas3-base, libmumps-scotch-4.10.0, libparpack2 (>= 2.1), libstdc++6 (>= 4.4.0), libumfpack5.4.0 (>= 1:3.4.0) Suggests: libelmer-dev Conflicts: libelmersolver-5.4.1 Replaces: libelmersolver-5.4.1 Homepage: http://www.csc.fi/elmer/ Priority: extra Section: libs Filename: pool/main/e/elmerfem/libelmersolver-6.1_6.1.0.svn.5396.dfsg2-2_armhf.deb Size: 2865446 SHA256: f4aaed8dabda5aaacb89e2268744c3c6a86802806b7dd77fde7366dafd1866f8 SHA1: 5147642be4262dcfdab5696bafc447631e07a219 MD5sum: ec959e83da17ab27c1d59a3de1fd40dc Description: finite element multiphysics suite - library The Elmer multiphysics simulation package includes physical models of fluid dynamics, structural mechanics, electromagnetics, heat transfer, and acoustics, among others. These are described by partial differential equations which Elmer solves by the Finite Element Method (FEM). . Elmer uses METIS (or its free counterpart Scotch) for mesh partitioning, and (P)ARPACK, UMFPACK, BLAS/LAPACK, and hypre to solve the sparse linear systems resulting from FEM discretization. It includes pre- and post-processors, and several examples illustrating simulation of various physical phenomena. . Elmer's lead institution is CSC in collaboration with Finnish universities, research institutes, and industry. . This package provides the Elmer solver shared library. Package: libelmersolver-dbg Source: elmerfem Version: 6.1.0.svn.5396.dfsg2-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 788 Depends: libelmersolver-6.1 (= 6.1.0.svn.5396.dfsg2-2) Homepage: http://www.csc.fi/elmer/ Priority: extra Section: debug Filename: pool/main/e/elmerfem/libelmersolver-dbg_6.1.0.svn.5396.dfsg2-2_armhf.deb Size: 190248 SHA256: 9932a44cd9434d29bb11f1cf3b1a29b9842cc1517a494a4e08ee410e2f2db6fa SHA1: a4a960e12a514720692ff553a24e5283be563e3d MD5sum: aa649a5c93bb730e89a789e26b95bf03 Description: finite element multiphysics suite - library debugging symbols The Elmer multiphysics simulation package includes physical models of fluid dynamics, structural mechanics, electromagnetics, heat transfer, and acoustics, among others. These are described by partial differential equations which Elmer solves by the Finite Element Method (FEM). . Elmer uses METIS (or its free counterpart Scotch) for mesh partitioning, and (P)ARPACK, UMFPACK, BLAS/LAPACK, and hypre to solve the sparse linear systems resulting from FEM discretization. It includes pre- and post-processors, and several examples illustrating simulation of various physical phenomena. . Elmer's lead institution is CSC in collaboration with Finnish universities, research institutes, and industry. . This package provides the Elmer solver shared library's debugging symbols. Package: libemail-abstract-perl Version: 3.004-1 Installed-Size: 116 Maintainer: Debian Perl Group Architecture: all Depends: perl, libemail-simple-perl, libmodule-pluggable-perl, libmro-compat-perl Recommends: libmime-tools-perl (>= 5.501) Size: 25250 SHA256: 7ad69ec9658d7a0beac8091598776603549c6606f53222bd867d880c1276ac34 SHA1: 0bd40987acd098f36e7a95d7866cf00533297c9d MD5sum: d234519f03e2a58b6f9d061a5692d981 Description: library that presents unified interface to mail representations "Email::Abstract" provides module writers with the ability to write representation-independent mail handling code. Where previously one would either have to specify the mail class required, or to build a new object from scratch, "Email::Abstract" can be used to perform certain simple operations on an object regardless of its underlying representation. Homepage: http://emailproject.perl.org/wiki/Email::Abstract Tag: devel::lang:perl, devel::library, implemented-in::perl, works-with::mail Section: perl Priority: optional Filename: pool/main/libe/libemail-abstract-perl/libemail-abstract-perl_3.004-1_all.deb Package: libemail-address-perl Version: 1.895-1+deb7u1 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 27800 SHA256: 02e7765837885a7c11a76f7d38070af254885448988fbcd85bea40353861fe6c SHA1: 4b6829b3a6ffb7bfd0a00e4b4afd992b22715dca MD5sum: 2b88421861b3faed02ad2566c78cbe89 Description: Perl module for RFC 2822 address parsing and creation Email::Address implements a complete RFC 2822 parser that locates email addresses in strings and returns a list of Email::Address objects found. Alternatively you may construct objects manually. The goal of this software is to be correct, and very very fast. Homepage: http://search.cpan.org/dist/Email-Address/ Pre-Depends: dpkg (>= 1.15.6) Section: perl Priority: optional Filename: pool/main/libe/libemail-address-perl/libemail-address-perl_1.895-1+deb7u1_all.deb Package: libemail-date-format-perl Version: 1.002-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 6258 SHA256: 1fe06ce69d58a4a123b7a740db6600cca8c0ef5c56ded7b5d6896017ef8d78a8 SHA1: 25cdac05a16451c7043e44273dae02b39c6c0352 MD5sum: 8a2e7c252711195325df84d86d6597f8 Description: Module to generate RFC-2822-valid date strings This module provides a simple means for generating an RFC 2822 compliant datetime string. It was refactored from Email::Date to avoid large dependency chains. Homepage: http://search.cpan.org/dist/Email-Date-Format/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libemail-date-format-perl/libemail-date-format-perl_1.002-1_all.deb Package: libemail-date-perl Version: 1.103-3 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl, libtime-piece-perl, libemail-abstract-perl, libtimedate-perl, libemail-date-format-perl Size: 8514 SHA256: 39482f5e8d11194c9f4987c0fe494e807fdd887a457ee9dce29698683c0d22af SHA1: 8efcfdebb149a56023b665044eb70e48e76bb596 MD5sum: 967d36735bf462365e66b0ea066c8243 Description: Perl module for correct formatting of dates in emails RFC 2822 defines the Date: header. It declares the header a required part of an email message. The syntax for date headers is clearly laid out. Still, even a perfectly planned world has storms. The truth is, many programs get it wrong. Very wrong. Or, they don't include a Date: header at all. This often forces you to look elsewhere for the date, and hoping to find something. . For this reason, the tedious process of looking for a valid date has been encapsulated in this software. Further, the process of creating RFC compliant date strings is also found in this software. Homepage: http://search.cpan.org/dist/Email-Date/ Tag: devel::lang:perl, implemented-in::perl, use::checking, use::timekeeping, works-with::mail Section: perl Priority: optional Filename: pool/main/libe/libemail-date-perl/libemail-date-perl_1.103-3_all.deb Package: libemail-filter-perl Version: 1.032-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libemail-localdelivery-perl, libclass-trigger-perl, libemail-simple-perl, libipc-run-perl Size: 11992 SHA256: 02f474b49d14604c01b65d42483f3cfd912d219c56ae1f8a165b5f9937232167 SHA1: 53860c1d254817cf1a7d27c2bc8b7209b11078d6 MD5sum: 7a7f6caf41fbdff546121fdfcd91e523 Description: library for creating easy email filters Email::Filter is another module produced by the "Perl Email Project", a reaction against the complexity and increasing bugginess of the "Mail::*" modules. It replaces Mail::Audit, and allows you to write programs describing how your mail should be filtered. Homepage: http://emailproject.perl.org/wiki/Email::Filter Tag: devel::lang:perl, implemented-in::perl, use::filtering, works-with::mail Section: perl Priority: optional Filename: pool/main/libe/libemail-filter-perl/libemail-filter-perl_1.032-1_all.deb Package: libemail-find-perl Version: 0.10-dfsg-1.1 Installed-Size: 84 Maintainer: Francesco Cecconi Architecture: all Depends: libemail-address-perl, libemail-valid-perl, perl (>= 5.6.0-16) Size: 16886 SHA256: fa36875cb7474d85888cf589e4e4743da3d67075ed0abb750e935e40ebdff11b SHA1: 628605c2cec5d63839b016d160497d30b253c151 MD5sum: 4003b96fea91df9b59f8559a30331c0c Description: Find RFC 822 email addresses in plain text Email::Find is a module for finding a subset of RFC 822 email addresses in arbitrary text. The addresses it finds are not guaranteed to exist or even actually be email addresses at all, but they will be valid RFC 822 syntax. . Email::Find will perform some heuristics to avoid some of the more obvious red herrings and false addresses, but there's only so much which can be done without a human. . Homepage: http://search.cpan.org/~miyagawa/Email-Find-0.10/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::searching, works-with::mail Section: perl Priority: optional Filename: pool/main/libe/libemail-find-perl/libemail-find-perl_0.10-dfsg-1.1_all.deb Package: libemail-folder-perl Version: 0.855-1 Installed-Size: 104 Maintainer: Debian Perl Group Architecture: all Depends: perl, libemail-foldertype-perl, libemail-simple-perl Size: 22342 SHA256: 4c68b081b10376233ff7daf886090b3e7c4acbc11074b9368ec6a40e5899e88b SHA1: c339c6830f1cf20beeb793d66256c575778fdaef MD5sum: 072e5f0b2eb70d427390eea64635a2e2 Description: Perl module to read mail from a folder Email::Folder is a Perl module which reads all messages from a folder into Email::Simple objects (see libemail-simple-perl for more), making them easy to manipulate from Perl. It becomes fairly trivial to extract information from headers in your mail, or change them to different values. As a result, this provides a general purpose mail handling facility. Homepage: http://search.cpan.org/dist/Email-Folder/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libe/libemail-folder-perl/libemail-folder-perl_0.855-1_all.deb Package: libemail-foldertype-perl Version: 0.813-1 Installed-Size: 104 Maintainer: Michael Ablassmeier Architecture: all Depends: perl (>= 5.6.0-16), libmodule-pluggable-perl Size: 14680 SHA256: 6071b9e41ea7bef7dca72dcf51cb5f5a05c1962e42937b9a35385bcb6103073d SHA1: a0e46d3812065d5270705a4a129dabbcebf5250e MD5sum: 4bcc53d98b33ef90a533a1f8867ab91a Description: determine the type of a mail folder Provides a utility function for detecting the type of a given mail folder. Available types are subject to the types that are registered. Tag: devel::lang:perl, devel::library, implemented-in::perl, use::scanning, works-with::mail Section: perl Priority: optional Filename: pool/main/libe/libemail-foldertype-perl/libemail-foldertype-perl_0.813-1_all.deb Package: libemail-localdelivery-perl Version: 0.217-2 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: libemail-foldertype-perl (>= 0.7-1), libemail-simple-perl (>= 1.998), libfile-path-expand-perl (>= 1.01-1), liblockfile1 (>= 1.0-1), perl (>= 5.6.0-16) Size: 9644 SHA256: 40a1ab2f13b74c81caa46ab687a66e309f767beb334616ec67d1dbd4241c4986 SHA1: 68ba7366d732220e62ec3b222f11f63707e08885 MD5sum: bc6b2c5c236375405611c495173e99d5 Description: Deliver a piece of email - simply Email::LocalDelivery delivers an email to a list of mailboxes. Homepage: http://emailproject.perl.org/wiki/Email::LocalDelivery Tag: devel::lang:perl, implemented-in::perl, use::transmission, works-with::mail Section: perl Priority: optional Filename: pool/main/libe/libemail-localdelivery-perl/libemail-localdelivery-perl_0.217-2_all.deb Package: libemail-messageid-perl Version: 1.402-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl, libemail-address-perl Size: 8068 SHA256: 737ac8b2cb9d123b5af5687c9397e81b62eebbacf1d7329b7f5a4035ffd78404 SHA1: a894f0810ed7c009bd6dfd198c946851b6115c93 MD5sum: 439fd94c90739a147998354b5ee08e46 Description: Perl library for unique mail Message-ID generation Message-IDs are optional, but highly recommended headers that identify a message uniquely. The Email::MessageID module generates unique Message-IDs. Homepage: http://search.cpan.org/dist/Email-MessageID/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libe/libemail-messageid-perl/libemail-messageid-perl_1.402-1_all.deb Package: libemail-mime-contenttype-perl Version: 1.014-3 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 8304 SHA256: 832a2acb0e0eaaee5c097d0f876c80a2a94eed48d429cc7775753836b707e975 SHA1: c66945e3b9161db5483deb54dbf7cc9c8a039b79 MD5sum: a1fb374d3b741582e55ed0cddbf1e17c Description: Parse a MIME Content-Type Header Email::MIME::ContentType provides a method for parsing email content type headers according to section 5.1 of RFC 2045. The method returns a hash having entries for the discrete type, the composite type, and a hash of attributes. Homepage: http://emailproject.perl.org/wiki/Email::MIME::ContentType Tag: devel::lang:perl, implemented-in::perl, use::analysing, use::viewing, works-with::mail Section: perl Priority: optional Filename: pool/main/libe/libemail-mime-contenttype-perl/libemail-mime-contenttype-perl_1.014-3_all.deb Package: libemail-mime-createhtml-perl Version: 1.030-1 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl, libhtml-tokeparser-simple-perl, liblog-trace-perl, libmime-types-perl, libdata-serializer-perl, libhtml-tagset-perl, libemail-mime-perl (>= 1.901) | libemail-mime-creator-perl, libfile-policy-perl, libwww-perl Size: 30090 SHA256: 428a38d293ddb0884eb5425270b612debb9ca0e910dd686e60f51d2f6d5b4d59 SHA1: ef9b38690c4690e30c54024f77bcf3eb818a84ba MD5sum: 6201486e86b8c4d9248ffd97de723fe6 Description: multipart HTML email builder Email::MIME::CreateHTML allows you to build HTML emails, optionally with a text-only alternative and embedded media objects. . For example, an HTML email with an alternative version in plain text and with all the required images contained in the mail. . Note that this module does not send any mail, it merely does the work of building the appropriate MIME message. The message can be sent with Email::Send or any other mailer that can be fed a string representation of an email message. Homepage: http://search.cpan.org/dist/Email-MIME-CreateHTML/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libemail-mime-createhtml-perl/libemail-mime-createhtml-perl_1.030-1_all.deb Package: libemail-mime-encodings-perl Version: 1.313-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 7110 SHA256: 76a6a2502cb862312fbb899e1aad894f69e4bce22e5dc269d48e3d7cbf4d49c7 SHA1: 8903c07f095a2e373a1307adc1cdde08f6333e51 MD5sum: 5c8cc7f27264dc5ae07ab635777af361 Description: unified interface to MIME encoding and decoding Email::MIME::Encodings simply wraps MIME::Base64 and MIME::QuotedPrint so that you can throw the contents of a Content-Transfer-Encoding header at some text and have the right thing happen. Homepage: http://search.cpan.org/dist/Email-MIME-Encodings/ Tag: devel::lang:perl, implemented-in::perl, use::converting, works-with::mail Section: perl Priority: optional Filename: pool/main/libe/libemail-mime-encodings-perl/libemail-mime-encodings-perl_1.313-1_all.deb Package: libemail-mime-perl Version: 1.910-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Replaces: libemail-mime-creator-perl (<< 1.900-1), libemail-mime-modifier-perl (<< 1.900-1) Provides: libemail-mime-creator-perl, libemail-mime-modifier-perl Depends: perl, libemail-simple-perl, libemail-mime-contenttype-perl, libemail-mime-encodings-perl (>= 1.313), libmime-types-perl, libemail-simple-perl (>= 2.100) | libemail-simple-creator-perl, libemail-messageid-perl Breaks: libemail-mime-creator-perl (<< 1.900-1), libemail-mime-modifier-perl (<< 1.900-1) Size: 28124 SHA256: ea5ac304c1409c5580f3d75126fec543b8d6e6249ae30af9097b3970b52d48e9 SHA1: a05a79fecd937b1a5b92638db46242a20fb69cab MD5sum: 532f700dd1cb4080763c167932dc2442 Description: module for simple MIME message parsing Email::MIME is an extension of the Email::Simple module for easily handling MIME-encoded messages. It takes a message as a string, splits it up into its constituent parts, and allows you access to various parts of the message. Headers are decoded from MIME encoding. Homepage: http://search.cpan.org/dist/Email-MIME/ Tag: devel::lang:perl, implemented-in::perl, use::analysing, use::viewing, works-with::mail Section: perl Priority: optional Filename: pool/main/libe/libemail-mime-perl/libemail-mime-perl_1.910-1_all.deb Package: libemail-outlook-message-perl Version: 0.911-1 Installed-Size: 89 Maintainer: Debian Perl Group Architecture: all Depends: perl, libemail-mime-perl, libio-string-perl, libole-storage-lite-perl Size: 22964 SHA256: bbd042d443fc58e9876c9718a8d73f37e3db3566195306f2fd299f04ddd8e8c6 SHA1: 33e0309259d28810d1ef2a050c4fe052c10429a5 MD5sum: 7d7ef906d5b4faefa704001563a67421 Description: module for reading Outlook .msg files Email::Outlook::Message reads e-mail messages stored as .msg files (such as generated by Microsoft Outlook), and converts them to Email::MIME objects. . Not all data in the .msg file is converted. The meaning of some parts hasn't been worked out, while other parts do not make sense outside of Outlook and Exchange. . Currently GPG signed mail is not processed correctly and neither are attachments of type 'appledoublefile'. Homepage: http://github.com/mvz/email-outlook-message-perl Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, use::converting, works-with::mail Section: perl Priority: optional Filename: pool/main/libe/libemail-outlook-message-perl/libemail-outlook-message-perl_0.911-1_all.deb Package: libemail-received-perl Version: 1.00-1 Installed-Size: 88 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libregexp-common-perl Size: 11732 SHA256: 4119a9494e09c4b581bc2de92ca5bac72b62b77735a07bbad78c982c4e2dcd72 SHA1: 6dd126d958385402744cf631ff50d4b15520ec7c MD5sum: b688e9127b2d9699ae1f4e761facfc7b Description: Perl module to parse an email Received: header Email::Received is a Perl Email Project rewrite of SpamAssassin's email header parser. This was done so that the great work they did in analysing pretty much every possible Received header format could be used in applications other than SpamAssassin itself. . The module provides one function, parse_received, which takes a single Received line. It then produces either nothing, if the line is unparsable, or a hash reference if it parsed the message. Homepage: http://search.cpan.org/dist/Email-Received/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libemail-received-perl/libemail-received-perl_1.00-1_all.deb Package: libemail-send-io-perl Version: 2.200-1 Installed-Size: 24 Maintainer: Debian Perl Group Architecture: all Replaces: libemail-send-perl (<< 2.186) Depends: perl (>= 5.6.0-16), libio-all-perl (>= 0.32), libreturn-value-perl Recommends: libemail-send-perl Size: 5748 SHA256: a5230ff94ee98c156b5ea52c71767e6be0ae0b3124b8d3ad2400ec3e91a987ec SHA1: 0cd2e99e57da88c0ab8f4b00d0e13a512af0d595 MD5sum: 3f11d0a6fc16103ad4498976e3c55c9b Description: Send mail via IO::All Email::Send::IO is a mailer for Email::Send that will send a message using IO operations. By default it sends mail to STDERR, very useful for debugging. The IO functionality is built upon IO::All. . Homepage: http://search.cpan.org/dist/Email-Send-IO/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libemail-send-io-perl/libemail-send-io-perl_2.200-1_all.deb Package: libemail-send-perl Version: 2.198-4 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl, libreturn-value-perl (>= 1.28), libemail-simple-perl (>= 1.92), libclass-accessor-perl, libemail-address-perl (>= 1.80), libemail-abstract-perl Recommends: libemail-send-io-perl Size: 35992 SHA256: d51c41787bb8ca180bb621cdbf1cfa8a5a84b274d9b7b2d07a4c30b59eb50169 SHA1: 7ae12df1e34a221f47ab652410a72fd927603f26 MD5sum: 489f17539aab2056c425abbffa78d77f Description: Perl module for simply sending email (deprecated) Email::Send provides a very simple, very clean, very specific interface to multiple mailers. The goal of this software is to be small and simple, easy to use, and easy to extend. . Note that this package has been deprecated upstream; please consider to use libemail-sender-perl instead. Homepage: http://search.cpan.org/dist/Email-Send/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libemail-send-perl/libemail-send-perl_2.198-4_all.deb Package: libemail-sender-perl Version: 0.110005-1 Installed-Size: 188 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcapture-tiny-perl, libemail-abstract-perl (>= 3), libemail-address-perl, libemail-simple-perl, liblist-moreutils-perl, libmoose-perl (>= 0.70), libthrowable-perl, libtry-tiny-perl Size: 78728 SHA256: 0bed163a18674d1a67ffbdc3dc935cb714e3c2ba79db7b077f86bca652510882 SHA1: fb17ad38920782ffe483d21cd322e452499ff900 MD5sum: 4f83e2d73f67876506ae306616d005dd Description: Perl module for sending email Email::Sender is a Perl module provides an interface to send email via many backends, including sendmail, SMTP, delivering directly to mbox file, etc. . Email::Sender replaces the old and sometimes problematic Email::Send library (see libemail-send-perl), which did a decent job at handling very simple mail sending tasks, but was not suitable for serious use for a variety of reasons. Homepage: http://search.cpan.org/dist/Email-Sender/ Tag: devel::lang:perl, devel::library, implemented-in::perl, protocol::smtp, works-with::mail Section: perl Priority: optional Filename: pool/main/libe/libemail-sender-perl/libemail-sender-perl_0.110005-1_all.deb Package: libemail-sender-transport-smtp-tls-perl Version: 0.10-1 Installed-Size: 65 Maintainer: Debian Perl Group Architecture: all Depends: perl, libemail-sender-perl (>= 0.102370), libnet-smtp-tls-butmaintained-perl, libmoose-perl Size: 9050 SHA256: 22f7d8cd9d827f37ee091e54a022e63fa73423da3bff664cf36e6f2fd0df48c9 SHA1: 652a624a35a68ba211d352272b1464532110c49c MD5sum: 1e0b62e89855d0fd8a7484687a90f874 Description: Perl module for sending email using TLS Email::Sender replaces the old and sometimes problematic Email::Send library, while this module replaces the Email::Send::SMTP::TLS. It is still alpha, but it works. use it at your own risk! Homepage: http://search.cpan.org/dist/Email-Sender-Transport-SMTP-TLS/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libemail-sender-transport-smtp-tls-perl/libemail-sender-transport-smtp-tls-perl_0.10-1_all.deb Package: libemail-simple-perl Version: 2.101-1 Installed-Size: 77 Maintainer: Debian Perl Group Architecture: all Replaces: libemail-simple-creator-perl (<< 2.100-1) Provides: libemail-simple-creator-perl Depends: perl, libemail-date-format-perl Breaks: libemail-simple-creator-perl (<< 2.100-1) Size: 22890 SHA256: 911a4ad6a3691c91c263593aaa0344eb41c2a0ce3eb619a123fb91eb1f1c6ee3 SHA1: 20465a6b9f23e90e66552efd9e6744b82b57a289 MD5sum: 0bbf0d9ca476ecfbb019585e4453ec5b Description: module to parse RFC2822 headers and message format Email::Simple is the first deliverable of the Perl Email Project, a reaction against the complexity and increasing bugginess of the Mail::* modules. In contrast, Email::* modules are meant to be simple to use and to maintain, pared to the bone, fast, minimal in their external dependencies, and correct. Homepage: http://emailproject.perl.org/wiki/Email::Simple Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, works-with::mail Section: perl Priority: optional Filename: pool/main/libe/libemail-simple-perl/libemail-simple-perl_2.101-1_all.deb Package: libemail-thread-perl Version: 0.711-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libmail-thread-perl Size: 5930 SHA256: fd883173fa1421cea401cd4a1f32ded24f35a8610098f018c0a0e27209afe470 SHA1: 2dab53a2892c2804cefff6ef4366f6577b6b9e86 MD5sum: 93cfc24a1cebe183cf0f864d32d58d72 Description: library providing threading for Email::Simple objects Email::Thread is a wrapper for Mail::Thread that allows it to work with Email::Simple objects. The combination of the two libraries allows collections of Email::Simple objects to be organized into threads by References and In-Reply-To headers. Homepage: http://search.cpan.org/dist/Email-Thread/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libemail-thread-perl/libemail-thread-perl_0.711-1_all.deb Package: libemail-valid-loose-perl Version: 0.05-3 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: libemail-valid-perl, perl (>= 5.6.0-16) Enhances: libemail-valid-perl Size: 6254 SHA256: c9f3d23fccfaf8ac8ec2126fb5110d71af53bbc9fda155d8776ca81ff02757fc SHA1: af4d19aa99d734f0736e1e333d2410fae96c9383 MD5sum: 9b4a2cec29dffd10f0c617de402167d9 Description: Email::Valid which allows dot immediately before at mark Email::Valid::Loose is a subclass of Email::Valid, which allows dot (.) immediately before at-mark (@). It is invalid in RFC822, but is commonly used in some of mobile phone addresses in Japan (like docomo.ne.jp or jp-t.ne.jp). Homepage: http://search.cpan.org/dist/Email-Valid-Loose/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libe/libemail-valid-loose-perl/libemail-valid-loose-perl_0.05-3_all.deb Package: libemail-valid-perl Version: 0.190-1 Installed-Size: 81 Maintainer: Debian Perl Group Architecture: all Depends: perl, libmailtools-perl, libnet-dns-perl, libnet-domain-tld-perl, netbase Pre-Depends: dpkg (>= 1.15.6~) Size: 20874 SHA256: 0b4662dc358d4b3310cfdcc4d12e8f48836a1e215c087969a25eccfff9a97e95 SHA1: a776637ddd12c4a4fe7ea08a042b3fd5d3565396 MD5sum: 77bef6e4770057c0f4262063a20f81aa Description: Perl module for checking the validity of Internet email addresses Email::Valid determines whether an email address is well-formed, and optionally, whether a mail host exists for the domain. Homepage: http://search.cpan.org/dist/Email-Valid/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, use::checking, works-with::mail Section: perl Priority: optional Filename: pool/main/libe/libemail-valid-perl/libemail-valid-perl_0.190-1_all.deb Package: libemboss-acd-perl Source: emboss-explorer Version: 2.2.0-7+deb7u1 Installed-Size: 57 Maintainer: Debian-Med Packaging Team Architecture: all Depends: perl, libparse-recdescent-perl Size: 10714 SHA256: 9520724238e0bec9997a27ec5c3dc5642d96ab7f957b6ce210291a2bed566ec5 SHA1: 33174af7d304fdef8e613ec9ccc8ed63947fb8e6 MD5sum: b3072b98a102c06381974db7b52cfabe Description: perl module to parse EMBOSS ACD files EMBOSS::ACD parses EMBOSS Ajax Command Definition files and provides object-oriented access to the data contained therein. . For a complete specification of the ACD format, see http://emboss.sourceforge.net/developers/acd Homepage: http://embossgui.sourceforge.net/ Tag: biology::emboss, devel::lang:perl, devel::library, field::biology, field::biology:bioinformatics, implemented-in::perl, role::devel-lib, use::converting Section: perl Priority: optional Filename: pool/main/e/emboss-explorer/libemboss-acd-perl_2.2.0-7+deb7u1_all.deb Package: libembryo-bin Source: embryo Version: 1.2.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 172 Depends: libc6 (>= 2.13-28), libeina1 (>= 1.2.0), libembryo1 (>= 0.9.1.042) Homepage: http://www.enlightenment.org Priority: optional Section: devel Filename: pool/main/e/embryo/libembryo-bin_1.2.0-1_armhf.deb Size: 68194 SHA256: f5cb7e4540848644c59e0de8c977ee6ad6eba64f3b6f84f7d6e01afc27312ac1 SHA1: 3c3a16d77ed3bc335430d88dc4e97f213e396895 MD5sum: 0be6c27d97fc8bd54cc9d5ecee6ceeb2 Description: SMALL compiler creating Embryo bytecode Embryo is primarily a shared library that gives you an API to load and control interpreted programs compiled into an abstract machine bytecode that it understands. This abstract (or virtual) machine is similar to a real machine with a CPU, but it is emulated in software . This package contains the embryo compiler: embryo_cc. Package: libembryo-dbg Source: embryo Version: 1.2.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 329 Depends: libembryo1 (= 1.2.0-1) Homepage: http://www.enlightenment.org Priority: extra Section: debug Filename: pool/main/e/embryo/libembryo-dbg_1.2.0-1_armhf.deb Size: 123550 SHA256: 391d5fe4a8af89f14baad42b7e82bd7381a91e1628bd06c6cb98d3393206e025 SHA1: 45ec32529d5f47c60376964b93b8db33537c4f98 MD5sum: 6a6af59e827e61369b6ae755f32c4873 Description: Debugging symbols for libembryo Embryo is primarily a shared library that gives you an API to load and control interpreted programs compiled into an abstract machine bytecode that it understands. This abstract (or virtual) machine is similar to a real machine with a CPU, but it is emulated in software . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libembryo-dev Source: embryo Version: 1.2.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 118 Depends: libembryo1 (= 1.2.0-1), pkg-config Homepage: http://www.enlightenment.org Priority: optional Section: libdevel Filename: pool/main/e/embryo/libembryo-dev_1.2.0-1_armhf.deb Size: 30154 SHA256: 2041dc19fe8bbe41fd0c1eb72f139561da8fdb4674eb68baebaabaa1eb88e4dc SHA1: d774a25047280d9c6738375e7167e3c8eec11c7d MD5sum: 07be900c10c542ae502b2918c05b5f76 Description: Development files for libembryo1 Embryo is primarily a shared library that gives you an API to load and control interpreted programs compiled into an abstract machine bytecode that it understands. This abstract (or virtual) machine is similar to a real machine with a CPU, but it is emulated in software. . This package contains headers and static libraries for Embryo. Package: libembryo-doc Source: embryo Version: 1.2.0-1 Installed-Size: 298 Maintainer: Debian Pkg-e Team Architecture: all Enhances: libembryo-dev Size: 87608 SHA256: b2ae651c8ef25ac120672f693f43a6a8cc70eff6a909d277dc029293d8e43e79 SHA1: 614fc10aee33f49b75ec30b3c67ef80dea3153a0 MD5sum: 4b8bee1663ce82a8a630512bb4723671 Description: libembryo1 development documentation Embryo is primarily a shared library that gives you an API to load and control interpreted programs compiled into an abstract machine bytecode that it understands. This abstract (or virtual) machine is similar to a real machine with a CPU, but it is emulated in software. . This package provides development documentation for Embryo. Homepage: http://www.enlightenment.org Tag: devel::doc, role::documentation, suite::TODO Section: doc Priority: optional Filename: pool/main/e/embryo/libembryo-doc_1.2.0-1_all.deb Package: libembryo1 Source: embryo Version: 1.2.0-1 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 71 Depends: libc6 (>= 2.13-28) Suggests: libembryo-bin Homepage: http://www.enlightenment.org Priority: optional Section: libs Filename: pool/main/e/embryo/libembryo1_1.2.0-1_armhf.deb Size: 22032 SHA256: d47a9c99b511aa8963599bf5a615305616e4f5f484eff5cbf407d33c062a56a2 SHA1: e2975a9a171aacf22dd99b742742dc877f21216e MD5sum: bd3944b31da546dac391e1eb74f7d6a4 Description: SMALL-based abstract machine (AMX) bytecode interpreter Embryo is primarily a shared library that gives you an API to load and control interpreted programs compiled into an abstract machine bytecode that it understands. This abstract (or virtual) machine is similar to a real machine with a CPU, but it is emulated in software. The architecture is simple and is the same as the abstract machine (AMX) in the SMALL language as it is based on exactly the same code. Embryo has modified the code for the AMX extensively and has made it smaller and more portable. It is VERY small. The total size of the virtual machine code AND header files is less than 2500 lines of code. It includes the floating point library support by default as well. This makes it one of the smallest interpreters around, and thus makes is very efficient to use in code. . See also http://www.compuphase.com/small.htm for details on SMALL. Package: libemma-java Source: emma-coverage Version: 2.0.5312+dfsg-4 Installed-Size: 516 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java2-runtime-headless Size: 431406 SHA256: adc4a649af398fcc4665c0ad970d3b6baa471b15bc41fc4e168d1468bb1022ea SHA1: 8a2fbb26fb3d59e9ba22b28ea5baa960c333b747 MD5sum: 5a365a30ec0063cbef353351353597b0 Description: Java code coverage tool EMMA is a toolkit for measuring and reporting Java code coverage. EMMA distinguishes itself from other tools by going after a unique feature combination: support for large-scale enterprise software development while keeping individual developer's work fast and iterative. Homepage: http://emma.sourceforge.net Section: java Priority: optional Filename: pool/main/e/emma-coverage/libemma-java_2.0.5312+dfsg-4_all.deb Package: libemos-data Source: emoslib Version: 000382+dfsg-2 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 15994 Homepage: http://www.ecmwf.int/products/data/software/interpolation.html Priority: optional Section: utils Filename: pool/main/e/emoslib/libemos-data_000382+dfsg-2_armhf.deb Size: 1238536 SHA256: 3277f512db508dd321258328ad1ecc14be7d3971b507bbbdf2c74c55ab01a2d0 SHA1: f0d3f25480460653235f63556c569fc21257926b MD5sum: eff8075eed9f73be25852563bd2b235c Description: Data files for the ECMWF Interpolation library The Interpolation library (EMOSLIB) includes Interpolation software and GRIB, BUFR, CREX encoding/decoding routines. It is used by the ECMWF meteorological archival and retrieval system (MARS) and also by the ECMWF graphics package MetView. . This package contains the data files needed by EMOSLIB. Package: libemos-dev Source: emoslib Version: 000382+dfsg-2 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 5960 Depends: libemos0d (= 000382+dfsg-2) Recommends: pkg-config Multi-Arch: foreign Homepage: http://www.ecmwf.int/products/data/software/interpolation.html Priority: optional Section: libdevel Filename: pool/main/e/emoslib/libemos-dev_000382+dfsg-2_armhf.deb Size: 2045494 SHA256: 8c9a6465a92565872ae430cb7fdc54f3d17a3931fd78f633d8e0cc5e366e3ee6 SHA1: a503dfd2180afeaa0cc4d4b5d4f4a8fd5d9c6e31 MD5sum: e57198daca3dee7904bf94b34b78586d Description: ECMWF Interpolation Library - development The Interpolation library (EMOSLIB) includes Interpolation software and GRIB, BUFR, CREX encoding/decoding routines. It is used by the ECMWF meteorological archival and retrieval system (MARS) and also by the ECMWF graphics package MetView. . This package contains the static libraries and headers needed to build applications against libemos. Package: libemos0d Source: emoslib Version: 000382+dfsg-2 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 3463 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libemos-data Multi-Arch: same Homepage: http://www.ecmwf.int/products/data/software/interpolation.html Priority: optional Section: libs Filename: pool/main/e/emoslib/libemos0d_000382+dfsg-2_armhf.deb Size: 1588620 SHA256: 79f1834de298f73a5ade4c1f5c2225ae1f810cff2ce038c02bc1a017542d59e1 SHA1: 87cd2127280f1d1a16764cdbdfda0b76487ac58e MD5sum: 626280a8adab2256432dcbd72ef584dc Description: ECMWF Interpolation Library The Interpolation library (EMOSLIB) includes Interpolation software and GRIB, BUFR, CREX encoding/decoding routines. It is used by the ECMWF meteorological archival and retrieval system (MARS) and also by the ECMWF graphics package MetView. Package: libenca-dbg Source: enca Version: 1.13-4 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 279 Depends: libenca0 (= 1.13-4) Homepage: http://cihar.com/software/enca/ Priority: extra Section: debug Filename: pool/main/e/enca/libenca-dbg_1.13-4_armhf.deb Size: 93348 SHA256: c9a2c73e6000f51f050ae43cd02a6485c00ead6c2332c00ccd1ba6742145b4df SHA1: d2cbb971158955f4d9108d68e083dbe473442d43 MD5sum: 63a6e7e132900567b6fbcab54bcfd193 Description: Extremely Naive Charset Analyser - debug files Enca is an Extremely Naive Charset Analyser. It detects the character set and the encoding of text files and can also convert them to other encodings. . This package contains debugger symbols useful for debugging problems with this library. Package: libenca-dev Source: enca Version: 1.13-4 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 180 Depends: libenca0 (= 1.13-4) Homepage: http://cihar.com/software/enca/ Priority: optional Section: libdevel Filename: pool/main/e/enca/libenca-dev_1.13-4_armhf.deb Size: 28940 SHA256: a01e4a40c0d273f722353a10a07b11f45759fcfd78ee170ed19952b1b481072e SHA1: 2dcaf21429f1d8648dfde9f8fb8c02b654f62862 MD5sum: cf8a8b98d120e20fc3234f0a2cb5d593 Description: Extremely Naive Charset Analyser - development files Enca is an Extremely Naive Charset Analyser. It detects the character set and the encoding of text files and can also convert them to other encodings. . This package contains header files needed for development with libenca. It also contains API documentation. Package: libenca0 Source: enca Version: 1.13-4 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 195 Depends: libc6 (>= 2.13-28) Homepage: http://cihar.com/software/enca/ Priority: optional Section: libs Filename: pool/main/e/enca/libenca0_1.13-4_armhf.deb Size: 67462 SHA256: f27f3210f3cd303107e1dc6c2fcd6f86d8a8fcd999410c529761e6aa9e561f75 SHA1: cfa4e54dc08120c3bb2091e222cf97cfde94449d MD5sum: 08eabb8066f8a7fe7876704511f517ef Description: Extremely Naive Charset Analyser - shared library files Enca is an Extremely Naive Charset Analyser. It detects the character set and the encoding of text files and can also convert them to other encodings. . This package contains the shared library needed to run applications compiled against libenca. Package: libenchant-dev Source: enchant Version: 1.6.0-7 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 205 Depends: libenchant1c2a (= 1.6.0-7), libglib2.0-dev Homepage: http://www.abisource.com/projects/enchant/ Priority: optional Section: libdevel Filename: pool/main/e/enchant/libenchant-dev_1.6.0-7_armhf.deb Size: 64160 SHA256: 568137797e22f83de232d006f204be9b9003c3e6dcd0b2c1d0ef0c13801f61b8 SHA1: ddf19438bc7fad004798f2ede4e6e61058053a6b MD5sum: 60ea88b4c20e1a50589d37706abb557f Description: Wrapper library for various spell checker engines (development) Enchant is a generic spell checking library which uses existing spell checker engines such as ispell, aspell and myspell as its backends. . Enchant steps in to provide uniformity and conformity on top of these libraries, and implement certain features that may be lacking in any individual provider library. . This package contains files needed for development with Enchant. Package: libenchant-voikko Source: enchant Version: 1.6.0-7 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 47 Depends: libc6 (>= 2.13-28), libenchant1c2a (>= 1.6), libglib2.0-0 (>= 2.12.0), libvoikko1 (>= 2.1) Breaks: libenchant1c2a (= 1.4.2-3) Replaces: libenchant1c2a (= 1.4.2-3) Homepage: http://www.abisource.com/projects/enchant/ Priority: optional Section: libs Filename: pool/main/e/enchant/libenchant-voikko_1.6.0-7_armhf.deb Size: 11202 SHA256: 48daf2648cdf48163d371a257b858e8df42e9c059d1519052a0b4a0ceded79ea SHA1: 0f36bbabfebf09095a974fd4e86942aca6a92483 MD5sum: 32ac43c79b24cd803dd220c25c289747 Description: Voikko spell-checker libenchant plugin Voikko is a Finnish spell-checker and hyphenator based on Malaga and Suomi-Malaga. . This package contains a libenchant provider plugin that allows using Voikko in any application that uses enchant library for spell-checking, such as Abiword. Package: libenchant1c2a Source: enchant Version: 1.6.0-7 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 208 Depends: libaspell15 (>= 0.60.7~20110707), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libhunspell-1.3-0, libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4), aspell-en | myspell-dictionary | aspell-dictionary | ispell-dictionary | hunspell-dictionary Recommends: enchant Suggests: libenchant-voikko Breaks: ispell (<< 3.3.02), libenchant1, libenchant1c2 Replaces: libenchant-dev (<< 1.1.1++cvs.2003.11.08), libenchant1, libenchant1c2 Homepage: http://www.abisource.com/projects/enchant/ Priority: optional Section: libs Filename: pool/main/e/enchant/libenchant1c2a_1.6.0-7_armhf.deb Size: 74650 SHA256: 6ef403bd7a46fa65cac86020e09ce811f67f94dc10af7facdeaa45c57661c153 SHA1: 6ba6c9178787ef49c777348bc3ccba93be03543b MD5sum: d404924bf6105b609c78e2f6956ae549 Description: Wrapper library for various spell checker engines (runtime libs) Enchant is a generic spell checking library which uses existing spell checker engines such as ispell, aspell and myspell as its backends. . Enchant steps in to provide uniformity and conformity on top of these libraries, and implement certain features that may be lacking in any individual provider library. . This package contains the shared library and non-Voikko enchant plugins. Package: libencode-arabic-perl Version: 1.9-1 Installed-Size: 436 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 94024 SHA256: ed162564232dd09bb550f439e678d806b7f506a51a1a9482f88f1a3f35269d6f SHA1: cc9350972506dbcd84033a3b0f0facba8ffc2e13 MD5sum: 4555121304158f30dac060d2ffa07deb Description: perl implementation for Arabic encodings Encode::Arabic is a wrapper for various implementations of the encoding systems used for the Arabic language and covering even some non-Arabic extensions to the Arabic script. The included modules fit in the philosophy of Encode::Encoding and can be used directly with the Encode module. Homepage: http://search.cpan.org/dist/Encode-Arabic/ Tag: culture::arabic, devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, works-with::unicode Section: perl Priority: optional Filename: pool/main/libe/libencode-arabic-perl/libencode-arabic-perl_1.9-1_all.deb Package: libencode-detect-perl Version: 1.01-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 233 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: http://search.cpan.org/dist/Encode-Detect/ Priority: optional Section: perl Filename: pool/main/libe/libencode-detect-perl/libencode-detect-perl_1.01-2_armhf.deb Size: 85168 SHA256: a260b8f067544ae52c5aa07f8ab0e09e32578ebf0810599eafdd4523c28f07d9 SHA1: 1e40d9ef00e1e07fb6db7be3d750c8571cb0da05 MD5sum: 509fc0ea79917ffa5e29af6b4ef7d12b Description: An Encode::Encoding subclass that detects the encoding of data This module provides an interface to Mozilla's universal charset detector, which detects the charset used to encode data. Package: libencode-eucjpms-perl Version: 0.07-3 Architecture: armhf Maintainer: Taku YASUI Installed-Size: 535 Depends: libc6 (>= 2.4), perl (>= 5.14.2-9), perlapi-5.14.2 Homepage: http://search.cpan.org/dist/Encode-EUCJPMS/ Priority: optional Section: perl Filename: pool/main/libe/libencode-eucjpms-perl/libencode-eucjpms-perl_0.07-3_armhf.deb Size: 170664 SHA256: 379fb523bf27336efdfa4543535ae5d6c18b399a94f64ee815d67c2aec132afa SHA1: 4e6bb66e62f8c458a786c70ae8b1c4443d6f9f8f MD5sum: 934c4bfbd1b1e98b5a519dc8aa5331fb Description: Perl library for Microsoft Compatible Japanese Encodings This module implements Microsoft compatible encodings for Japanese. Encodings supported are as follows. . Canonical Alias Description -------------------------------------------------------------------- eucJP-ms qr/\beuc-?jp-?ms$/i eucJP-ms qr/\beuc-?jp-?win$/i cp51932 qr/\bcp51932$/i Windows Codepage 51932 cp50220 Windows Codepage 50220 cp50221 Windows Codepage 50221 -------------------------------------------------------------------- . To find out how to use this module in detail, see Encode. Package: libencode-hanextra-perl Version: 0.23-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 5267 Depends: libc6 (>= 2.4), perl (>= 5.14.2-9), perlapi-5.14.2 Homepage: http://search.cpan.org/dist/Encode-HanExtra/ Priority: optional Section: perl Filename: pool/main/libe/libencode-hanextra-perl/libencode-hanextra-perl_0.23-2_armhf.deb Size: 2086836 SHA256: da2d050bc449de5da7c66e64ebd0963d0f0d26dea53a4921e2a94fc7adbf387f SHA1: bbf8601933187b42101b377b632613c09738478e MD5sum: a281144d78d289a6eb0eb80cb3b8bad5 Description: perl module providing extra sets of Chinese character encodings Perl 5.7.3 and later ships with an adequate set of Chinese encodings, including the commonly used CP950, CP936 (also known as GBK), Big5 (alias for Big5-Eten), Big5-HKSCS, EUC-CN, HZ, and ISO-IR-165. However, the numbers of Chinese encodings are staggering, and a complete coverage will easily increase the size of perl distribution by several megabytes; hence, this module tries to provide the rest of them. If you are using Perl 5.8 or later, Encode::CN and Encode::TW will automatically load the extra encodings for you, so there's no need to explicitly write "use Encode::HanExtra" if you are using one of them already. Package: libencode-imaputf7-perl Version: 1.05-2 Installed-Size: 28 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 9316 SHA256: 2db9914339f126550081c9f8f23bd7dd3cd5353a7689f9ae0ecf357e879f4ef4 SHA1: e6c1b31ee1ef8410c9ca46668b831021ff94fc92 MD5sum: d2b8700235a81238616abecd3cf781c8 Description: modification of UTF-7 encoding for IMAP IMAP mailbox names are encoded in a modified UTF-7 when names contains international characters outside of the printable ASCII range. The modified UTF-7 encoding is defined in RFC2060 (section 5.1.3). Homepage: http://search.cpan.org/dist/Encode-IMAPUTF7/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libencode-imaputf7-perl/libencode-imaputf7-perl_1.05-2_all.deb Package: libencode-jis2k-perl Version: 0.02-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 1066 Depends: libc6 (>= 2.4), perl (>= 5.14.2-9), perlapi-5.14.2 Homepage: http://search.cpan.org/dist/Encode-JIS2K/ Priority: optional Section: perl Filename: pool/main/libe/libencode-jis2k-perl/libencode-jis2k-perl_0.02-1_armhf.deb Size: 373828 SHA256: 69f405e6dbcceaa484d3578c945491ba33510233521baf24b42c7617f924e354 SHA1: a4727938f09a0c16778b73290c2b6e96b5039647 MD5sum: 66aa71bc0c405c91fcba9ca2a7679090 Description: perl module providing JIS X 0212 (aka JIS 2000) Encodings This extension to the Encode perl module (which provides an interface between perl strings and other character encodings) provides specific coverage for the JIS X 0213 character set. Package: libencode-locale-perl Version: 1.03-1 Installed-Size: 59 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 13624 SHA256: 60fb3fde382eb78b3a6338e4bb7587603306a2a5744746c502a15c4329cacecd SHA1: 60a2448c7c42918e5a618ea6776ebba829743dc1 MD5sum: 3172a4475c955c731233ad0be7d6e3f2 Description: utility to determine the locale encoding In many applications it's wise to let Perl use Unicode for the strings it processes. Most of the interfaces Perl has to the outside world are still byte based. Programs therefore need to decode byte strings that enter the program from the outside and encode them again on the way out. . The POSIX locale system is used to specify both the language conventions requested by the user and the preferred character set to consume and output. The Encode::Locale module looks up the charset and encoding (called a CODESET in the locale jargon) and arranges for the Encode module to know this encoding under the name "locale". It means bytes obtained from the environment can be converted to Unicode strings by calling Encode::encode(locale => $bytes) and converted back again with Encode::decode(locale => $string). Homepage: http://search.cpan.org/dist/Encode-Locale/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libencode-locale-perl/libencode-locale-perl_1.03-1_all.deb Package: libencode-perl Version: 2.44-1+deb7u1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 4855 Depends: perl (>= 5.14.2-17+rpi1), perlapi-5.14.2, libc6 (>= 2.13-28) Homepage: http://search.cpan.org/dist/Encode/ Priority: extra Section: perl Filename: pool/main/libe/libencode-perl/libencode-perl_2.44-1+deb7u1_armhf.deb Size: 1993816 SHA256: 9e75f161f15b66a31a93d1b819261769678b61b2f70f3f928be6b5cc04edb67d SHA1: aca868850be1bbf497262cca0fb17e6df160e918 MD5sum: c4030da9f8f46b8e12a896523f5670e6 Description: module providing interfaces between Perl's strings and the system The Encode module provides the interfaces between Perl's strings and the rest of the system. . When Perl is processing "binary data", the programmer wants Perl to process "sequences of bytes". This is not a problem for Perl - as a byte has 256 possible values, it easily fits in Perl's much larger "logical character". . Encodes a string from Perl's internal form into ENCODING and returns a sequence of octets. Package: libend-perl Version: 2009040201-1 Installed-Size: 52 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 8318 SHA256: 83616ed5353176d5ced067368ac950b9da6878c132e7d4b0822872220942b0b8 SHA1: 2280ce0456d00621c2d44a67bd5d7beb6b881556 MD5sum: 464ef09ce5c9cf453d88588409676fcc Description: Perl interface for a generalized END {} The End module exports a single subroutine, end, which allows you to set up some code that is run whenever the current block is exited, regardless whether that is due to a return, next, last, redo, exit, die, goto or just reaching the end of the block. Homepage: http://search.cpan.org/dist/End/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libe/libend-perl/libend-perl_2009040201-1_all.deb Package: libenet-dev Source: enet Version: 1.3.3-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 84 Depends: libenet1a (= 1.3.3-2) Recommends: libenet-doc, libenet1a-dbg Replaces: libenet1-dev (<< 1.2) Homepage: http://enet.bespin.org/ Priority: optional Section: libdevel Filename: pool/main/e/enet/libenet-dev_1.3.3-2_armhf.deb Size: 14040 SHA256: 82f101914e2ca3ba149fa666e0285b0ff221aae23d46e334aaa3b6ede48f8ee2 SHA1: af84ddc96c419dd2c32baf93fad8032d8d628cd3 MD5sum: 37049fc0cfa3a79303d374997a6f9a28 Description: thin network communication layer on top of UDP - headers ENet's purpose is to provide a relatively thin, simple and robust network communication layer on top of UDP (User Datagram Protocol). The primary feature it provides is optional reliable, in-order delivery of packets. . ENet is NOT intended to be a general purpose high level networking library that handles authentication, lobbying, server discovery, compression, encryption and other high level, often application level or dependent tasks. . This package contains the development files. Package: libenet-doc Source: enet Version: 1.3.3-2 Installed-Size: 900 Maintainer: Debian Games Team Architecture: all Size: 70584 SHA256: 67581573930a4271e7ade86724a9887248cbdb3ca1bb7d9df8e59f8e945597aa SHA1: 7694b3daa8d74300b0eb5e6818db4b40a4e8646f MD5sum: 08ec9f1149734958e44c324e256d7f73 Description: thin network communication layer on top of UDP - documentation ENet's purpose is to provide a relatively thin, simple and robust network communication layer on top of UDP (User Datagram Protocol). The primary feature it provides is optional reliable, in-order delivery of packets. . ENet is NOT intended to be a general purpose high level networking library that handles authentication, lobbying, server discovery, compression, encryption and other high level, often application level or dependent tasks. . This package includes the documentation. Homepage: http://enet.bespin.org/ Tag: devel::doc, made-of::html, protocol::udp, role::documentation Section: doc Priority: optional Filename: pool/main/e/enet/libenet-doc_1.3.3-2_all.deb Package: libenet1a Source: enet Version: 1.3.3-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 85 Pre-Depends: multiarch-support Depends: libc6 (>= 2.4) Conflicts: libenet1 Replaces: libenet1 Multi-Arch: same Homepage: http://enet.bespin.org/ Priority: optional Section: libs Filename: pool/main/e/enet/libenet1a_1.3.3-2_armhf.deb Size: 23210 SHA256: eced0d8615a1dcc283fbaf389d4f44e614c410a5a9ec2d54f70a950c7c44693f SHA1: 76977961e3e70963b8eb30d928cf1534c2742de6 MD5sum: bfcbcd77d4ad4e6f427012619f69437e Description: thin network communication layer on top of UDP ENet's purpose is to provide a relatively thin, simple and robust network communication layer on top of UDP (User Datagram Protocol). The primary feature it provides is optional reliable, in-order delivery of packets. . ENet is NOT intended to be a general purpose high level networking library that handles authentication, lobbying, server discovery, compression, encryption and other high level, often application level or dependent tasks. Package: libenet1a-dbg Source: enet Version: 1.3.3-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 92 Depends: libenet1a (= 1.3.3-2) Homepage: http://enet.bespin.org/ Priority: extra Section: debug Filename: pool/main/e/enet/libenet1a-dbg_1.3.3-2_armhf.deb Size: 45870 SHA256: 7cbb18e2e642db8ae51647b0cc435120da64dd81c4cd471fe9fe18e5692745c6 SHA1: 3b0fd014f0ba9fa6ce0eb7dd6f3c47cab7d81f50 MD5sum: d5d017b38e285b9d579e0a2e8ccde452 Description: thin network communication layer on top of UDP - debug symbols ENet's purpose is to provide a relatively thin, simple and robust network communication layer on top of UDP (User Datagram Protocol). The primary feature it provides is optional reliable, in-order delivery of packets. . ENet is NOT intended to be a general purpose high level networking library that handles authentication, lobbying, server discovery, compression, encryption and other high level, often application level or dependent tasks. . This package contains the debugging symbols for the library. Package: libengine-pkcs11-openssl Source: engine-pkcs11 Version: 0.1.8-2 Architecture: armhf Maintainer: Eric Dorland Installed-Size: 116 Depends: libc6 (>= 2.13-28), libp11-2 (>= 0.2.8), libssl1.0.0 (>= 1.0.0) Conflicts: libopensc-openssl Replaces: libopensc-openssl Provides: libopensc-openssl Priority: optional Section: libs Filename: pool/main/e/engine-pkcs11/libengine-pkcs11-openssl_0.1.8-2_armhf.deb Size: 27446 SHA256: b9cb71069b52558001ed4122995af39d161e2effef04056983bd63b80f7581d4 SHA1: d40d9a9b50535ea5023304371c719d1757849655 MD5sum: 2a7b44d77de607c11a084d428dc82fa0 Description: OpenSSL engine for PKCS#11 modules With this engine for OpenSSL you can use OpenSSL library and command line tools with any PKCS#11 implementation as backend for the crypto operations. . Engine_pkcs11 was developed for smart cards, and mostly for the OpenSC PKCS#11 module, but it should work fine with any PKCS#11 implementation. . Engine_pkcs11 is a spin off from OpenSC and replaced libopensc-openssl. Package: libenv-path-perl Version: 0.18-1 Installed-Size: 108 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 21152 SHA256: fbbfb131ae3627e929e6b642506c63ab19896629c3b2c658872f324d893aec81 SHA1: b003b22d4ed194b7fbe6976ba8a08792c107d449 MD5sum: b919219b74f445c3dd93661ef64c0e41 Description: Perl module implementing advanced operations on path variables Env::Path presents an object-oriented interface to path variables, defined as that subclass of environment variables which name an ordered list of filesystem elements separated by a platform-standard separator. . Env::Path is for cases where you need to insert or remove interior path entries, strip redundancies, operate on a path variable without having to know whether the current platform uses ":" or ";", operate on a path variable which may have a different name on different platforms, etc. Homepage: http://search.cpan.org/dist/Env-Path/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libenv-path-perl/libenv-path-perl_0.18-1_all.deb Package: libenv-ps1-perl Version: 0.06-1 Installed-Size: 136 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 15538 SHA256: 2ce6d1f1e7f2856c68cf67fcc91be7b4d92390ba5a1f21ee28c3f1e6c0655f79 SHA1: d599ef36b887f7f03a364f1438902d085653703d MD5sum: 16b5e7286eb39a52db82612b9580da23 Description: prompt string formatter Env::PS1 supplies variables that are "tied" to environment variables like 'PS1' and 'PS2', if read it takes the contents of the variable as a format string like the ones bash(1) uses to format the prompt. . It is intended to be used in combination with the various ReadLine packages. Homepage: http://search.cpan.org/dist/Env-PS1/ Tag: devel::lang:perl, devel::library, implemented-in::perl, interface::shell Section: perl Priority: optional Filename: pool/main/libe/libenv-ps1-perl/libenv-ps1-perl_0.06-1_all.deb Package: libenv-sanctify-perl Version: 1.06-1 Installed-Size: 52 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 7370 SHA256: 533207aa470e0265c19c12afae2f77f1579a3eec51f5900f3f1f22f0d727227b SHA1: 0c9f60eccec20a16b75c4a91749f1489d237b646 MD5sum: 25f545fa15235cd0e77765d37c63604f Description: Perl module providing lexically scoped environment (%ENV) Env::Sanctify is a module that provides lexically scoped manipulation and sanctification of %ENV. With this module, one can add or alter environment variables, later restoring the environment back either manually or automatically once the object falls out of scope. . This is useful for manipulating the environment that forked processes and sub-processes will inherit. Homepage: http://search.cpan.org/dist/Env-Sanctify/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libenv-sanctify-perl/libenv-sanctify-perl_1.06-1_all.deb Package: libepc-1.0-3 Source: libepc Version: 0.4.4-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 159 Depends: libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0), libsoup2.4-1 (>= 2.4.0), libuuid1 (>= 2.16), libepc-common (= 0.4.4-1) Homepage: http://live.gnome.org/libepc/ Priority: optional Section: libs Filename: pool/main/libe/libepc/libepc-1.0-3_0.4.4-1_armhf.deb Size: 68444 SHA256: 583415524f3bf8c1efb5fc0e766744082350e7895b8f40be25995046c3a15ff9 SHA1: f20c81a39f39ad7a8cec40dd5328932a69e24520 MD5sum: 0508fc12f46375d202d1b2cf2e558f00 Description: Easy Publish and Consume library - shared libraries The Easy Publish and Consume library provides an easy method to publish data per HTTPS announce that information via DNS-SD, find that information and finally consume it. . You can use this library as key/value store published to the network, using encryption, authentication and service discovery. . This package contains the shared libraries. Package: libepc-common Source: libepc Version: 0.4.4-1 Installed-Size: 309 Maintainer: Debian GNOME Maintainers Architecture: all Size: 45004 SHA256: 3bd43a26ffac478e55ed9dabe2ccb2e1e016cb220204281218e71666b7ad3da6 SHA1: f9021c0ce75bf2ae2c27b51cf4ed68f0a9453ff4 MD5sum: d735b3fad8a08248f97476280a9cb95f Description: Easy Publish and Consume library - data files The Easy Publish and Consume library provides an easy method to publish data per HTTPS announce that information via DNS-SD, find that information and finally consume it. . You can use this library as key/value store published to the network, using encryption, authentication and service discovery. . This package contains the data files for the shared libraries Homepage: http://live.gnome.org/libepc/ Section: libs Priority: optional Filename: pool/main/libe/libepc/libepc-common_0.4.4-1_all.deb Package: libepc-dev Source: libepc Version: 0.4.4-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 257 Depends: libepc-1.0-3 (= 0.4.4-1), libavahi-client-dev (>= 0.6), libavahi-glib-dev (>= 0.6), libsoup2.4-dev, libgnutls-dev Suggests: libepc-doc Homepage: http://live.gnome.org/libepc/ Priority: optional Section: libdevel Filename: pool/main/libe/libepc/libepc-dev_0.4.4-1_armhf.deb Size: 81766 SHA256: 70db469f8bd45efdbf3bfef2d7c02d2c4bdb9a08dd054ba2f4c8711ba0924cf1 SHA1: 3dc7bede9f0f16bf10a5c752874f516fe66b0ff0 MD5sum: cb2993a4760c60aaef828430be357727 Description: Easy Publish and Consume library - development files The Easy Publish and Consume library provides an easy method to publish data per HTTPS announce that information via DNS-SD, find that information and finally consume it. . You can use this library as key/value store published to the network, using encryption, authentication and service discovery. . This package contains the headers and development libraries needed to build applications using the Easy Publish and Consume library Package: libepc-doc Source: libepc Version: 0.4.4-1 Installed-Size: 708 Maintainer: Debian GNOME Maintainers Architecture: all Recommends: libepc-1.0-3, libepc-ui-1.0-3 Suggests: devhelp Size: 187874 SHA256: 1d4659a4f0e9bd8e4354d988ccc54d3457e54731a1da0a29749f44dc8dc1da9e SHA1: 201fddefaeb092b0779f777d3d8b4dc727581b29 MD5sum: 9642ad692d4a5a90ed57645f6eccc3a9 Description: Easy Publish and Consume library - documentation The Easy Publish and Consume library provides an easy method to publish data per HTTPS announce that information via DNS-SD, find that information and finally consume it. . You can use this library as key/value store published to the network, using encryption, authentication and service discovery. . This package contains the HTML documentation for the Easy Publish and Consume library Homepage: http://live.gnome.org/libepc/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libe/libepc/libepc-doc_0.4.4-1_all.deb Package: libepc-ui-1.0-3 Source: libepc Version: 0.4.4-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 82 Depends: libatk1.0-0 (>= 1.12.4), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libepc-1.0-3 (>= 0.4.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgnutls26 (>= 2.12.17-0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libuuid1 (>= 2.16) Homepage: http://live.gnome.org/libepc/ Priority: optional Section: libs Filename: pool/main/libe/libepc/libepc-ui-1.0-3_0.4.4-1_armhf.deb Size: 36678 SHA256: bb1fdc49923a395ba378cc052bde4b2e406b6e5782aeaaa1bd8ec4d9e6d74c1b SHA1: b8e740f2f2960e8be65525e72f6d40a01129a539 MD5sum: bbbd64e338d3e518b147dabf46685840 Description: Easy Publish and Consume library - shared widget libraries The Easy Publish and Consume library provides an easy method to publish data per HTTPS announce that information via DNS-SD, find that information and finally consume it. . You can use this library as key/value store published to the network, using encryption, authentication and service discovery. . This package contains the shared widget libraries. Package: libepc-ui-dev Source: libepc Version: 0.4.4-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 103 Depends: libepc-ui-1.0-3 (= 0.4.4-1), libepc-dev (= 0.4.4-1), libgtk-3-dev (>= 3.0) Suggests: libepc-doc Homepage: http://live.gnome.org/libepc/ Priority: optional Section: libdevel Filename: pool/main/libe/libepc/libepc-ui-dev_0.4.4-1_armhf.deb Size: 37566 SHA256: 1b84d59d555a4a8d86f5f4e574849370a8d6a32b687326b8959c0c4171303e3a SHA1: 2d66bfb555fe45ce9b90427f5a759c10f30bf185 MD5sum: bc1f0d81bcfb11ec5f8170ec2566dfad Description: Easy Publish and Consume library - widget development files The Easy Publish and Consume library provides an easy method to publish data per HTTPS announce that information via DNS-SD, find that information and finally consume it. . You can use this library as key/value store published to the network, using encryption, authentication and service discovery. . This package contains the headers and development libraries needed to build applications using the Easy Publish and Consume widget library Package: libepr-api2 Source: epr-api Version: 2.2-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 533 Depends: libc6 (>= 2.4) Homepage: http://github.com/bcdev/epr-api Priority: optional Section: libs Filename: pool/main/e/epr-api/libepr-api2_2.2-2_armhf.deb Size: 112288 SHA256: e8a6c10f936328058722f219ae832209c2236739a45b56aa65742760c285b05e SHA1: 7d3071e27307f11bc921ba13352628f7bdc67f56 MD5sum: 4acb40ba886c714035d1cba9a1c9c362 Description: ENVISAT Product Reader API for C The ENVISAT Product Reader API is a set of C-source code files supporting developers who want to use MERIS, AATSR, and ASAR data products of the ESA ENVISAT satellite in their software. . The main use case for the C API is the ingestion of ENVISAT data into * new scientific algorithms developed for the MERIS, AATSR or ASAR sensors or even all of them, * existing scientific software packages written in C or C++, or COTS software systems which allow for extension using a C or C++ interface. Package: libepr-api2-dev Source: epr-api Version: 2.2-2 Installed-Size: 894 Maintainer: Debian GIS Project Architecture: all Provides: libepr-api-dev Depends: libc6-dev, libepr-api2 (>= 2.2-2), libepr-api2 (<< 2.2-2+1~) Conflicts: libepr-api-dev Size: 136222 SHA256: 0d2a4f824a9ee677c31a1e0221e31bff6e56a5b93cbf163b7a5a770cf6a4e8d5 SHA1: ce71bba4cf0535faa6d7120de93c53e0379f9181 MD5sum: 1f4308d7aac088deee1137e82727e8ba Description: ENVISAT Product Reader API for C - Development files The ENVISAT Product Reader API is a set of C-source code files supporting developers who want to use MERIS, AATSR, and ASAR data products of the ESA ENVISAT satellite in their software. . The main use case for the C API is the ingestion of ENVISAT data into * new scientific algorithms developed for the MERIS, AATSR or ASAR sensors or even all of them, * existing scientific software packages written in C or C++, or COTS software systems which allow for extension using a C or C++ interface. . This package contains development files (headers). Homepage: http://github.com/bcdev/epr-api Tag: devel::library, role::devel-lib Section: libdevel Priority: optional Filename: pool/main/e/epr-api/libepr-api2-dev_2.2-2_all.deb Package: libepsilon-dev Source: libepsilon Version: 0.9.1-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 167 Depends: libepsilon0 (= 0.9.1-2) Homepage: http://sourceforge.net/projects/epsilon-project Priority: extra Section: libdevel Filename: pool/main/libe/libepsilon/libepsilon-dev_0.9.1-2_armhf.deb Size: 54942 SHA256: d77c90f1edc8c5170dfce214b8a26114b9be8205eef64ab6431736dc128cd4db SHA1: eb00aaa99ff38b55a0aa6f8d0b0398c43e19acc4 MD5sum: 025c31f0908aeee776ff8477950a85b6 Description: A library for wavelet image compression - development files Epsilon is C library for wavelet based lossy image compression. Wavelet-driven compressors are know to be much more effective than traditional DCT-based ones (like JPEG). . At the moment, the program supports about 30 different wavelet filters, runs in parallel in multi-threaded and MPI environments, can process huge images and much more. . This package contains development stuff. Package: libepsilon0 Source: libepsilon Version: 0.9.1-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 112 Depends: libc6 (>= 2.7) Homepage: http://sourceforge.net/projects/epsilon-project Priority: extra Section: libs Filename: pool/main/libe/libepsilon/libepsilon0_0.9.1-2_armhf.deb Size: 42488 SHA256: 2850de1d6d11a04807f4a8f16501fd4fb7678f5f96d44f2ac6d9c629bad0c5e2 SHA1: 206a203937b1eb44b4a39a3c290791d9ff71e19c MD5sum: 730fb56a88298ea871783e59b21c6abb Description: A library for wavelet image compression Epsilon is C library for Wavelet based lossy image compression. Wavelet-driven compressors are know to be much more effective than traditional DCT-based ones (like JPEG). . At the moment, the program supports about 30 different wavelet filters, runs in parallel in multi-threaded and MPI environments, can process huge images and much more. . This package contains files required to build C/C++ programs which use the Epsilon library. Package: libept-dev Source: libept Version: 1.0.9 Architecture: armhf Maintainer: Enrico Zini Installed-Size: 3409 Depends: libept1.4.12 (= 1.0.9), libwibble-dev (>= 0.1.21), libwibble-dev (<< 0.2), libtagcoll2-dev (>= 2.0.6), libtagcoll2-dev (<< 2.1), libapt-pkg-dev (>= 0.6.46.1), libxapian-dev (>= 1.0.5) Recommends: pkg-config Conflicts: debtags (<< 0.7) Priority: important Section: libdevel Filename: pool/main/libe/libept/libept-dev_1.0.9_armhf.deb Size: 559346 SHA256: 5e8d2ec56f83d0239d72d1e4b6d498df7aac72e0c8035a69b99446efe7b10a8f SHA1: 31954a75eb4bc5c74fc4c8ac27c9f3b423a21d24 MD5sum: 12c8d84e99b6005984c84ecfc68fc490 Description: High-level library for managing Debian package information The library defines a very minimal framework in which many sources of data about Debian packages can be implemented and queried together. . The library includes four data sources: . * APT: access the APT database * Debtags: access the Debtags tag information * Popcon: access Popcon package scores * The Xapian index built by apt-xapian-index . This is the development library. Package: libept1.4.12 Source: libept Version: 1.0.9 Architecture: armhf Maintainer: Enrico Zini Installed-Size: 315 Depends: libapt-pkg4.12 (>= 0.8.16~exp9), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libxapian22, zlib1g (>= 1:1.2.6) Priority: important Section: libs Filename: pool/main/libe/libept/libept1.4.12_1.0.9_armhf.deb Size: 127588 SHA256: 7215972b1576d9e95acb1906f474103cdfb69cff8a41a6d5812f450b626395d0 SHA1: d6094251610f5ddecfd141f3328c7ac149c3cfbc MD5sum: 8da1917c67ec1df16b473e458cc9ce1f Description: High-level library for managing Debian package information The library defines a very minimal framework in which many sources of data about Debian packages can be implemented and queried together. . The library includes four data sources: . * APT: access the APT database * Debtags: access the Debtags tag information * Popcon: access Popcon package scores * The Xapian index built by apt-xapian-index . This is the shared library. Package: libepub-dev Source: ebook-tools Version: 0.2.1-2 Architecture: armhf Maintainer: Pino Toscano Installed-Size: 43 Depends: libepub0 (= 0.2.1-2) Homepage: http://sourceforge.net/projects/ebook-tools Priority: optional Section: libdevel Filename: pool/main/e/ebook-tools/libepub-dev_0.2.1-2_armhf.deb Size: 5578 SHA256: c6ce2c7879a0677fbf62708ffeaa5fddffe2280c4acd9ddc1ae6e299f15287be SHA1: 56d41836c23d33d0f94a9c7024f35663aad1fa36 MD5sum: d6bfad7ebac5a1c0118bf500ab5c8801 Description: library to work with the EPub file format - development files The ebook-tools provide ways for accessing and converting different ebook file formats. . This C library is free and portable. It includes methods to parse EPub files and extract their contents. . This package provides development headers and libraries for libepub. Package: libepub0 Source: ebook-tools Version: 0.2.1-2 Architecture: armhf Maintainer: Pino Toscano Installed-Size: 87 Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4), libzip2 (>= 0.10) Homepage: http://sourceforge.net/projects/ebook-tools Priority: optional Section: libs Filename: pool/main/e/ebook-tools/libepub0_0.2.1-2_armhf.deb Size: 22872 SHA256: a57954d03de9c227d659c4ba071f1fa8ec4f60f264f60861832d0f63c4e34af7 SHA1: 3d01cedc96c539045cd429c87ddd2b7344f1b51a MD5sum: 397d45d37c1ecba7265580cdd2222f36 Description: library to work with the EPub file format - runtime library The ebook-tools provide ways for accessing and converting different ebook file formats. . This C library is free and portable. It includes methods to parse EPub files and extract their contents. . This package provides the main epub library. Package: libequinox-osgi-java Source: eclipse Version: 3.8.0~rc4-1 Installed-Size: 2994 Maintainer: Debian Orbital Alignment Team Architecture: all Replaces: eclipse-platform-gcj, eclipse-rcp-gcj Recommends: libservlet3.0-java Breaks: eclipse-rcp (<< 3.5.1-0ubuntu3) Size: 2731660 SHA256: 3717435a96d48fb04b33dbfb15dabe1056c322eede0806a163753d16fef00e09 SHA1: ea8659a924d2b2e95deaa7c096a2895bc0f4574f MD5sum: b60614a56e23e3f87260c2326f939050 Description: Equinox OSGi framework The Eclipse Platform is an open and extensible platform for anything and yet nothing in particular. It provides a foundation for constructing and running integrated software-development tools. The Eclipse Platform allows tool builders to independently develop tools that integrate with other people's tools so seamlessly you can't tell where one tool ends and another starts. . This package includes only the Eclipse Equinox OSGi framework, which can be used outside Eclipse. Homepage: http://www.eclipse.org/ Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::plugin, role::shared-lib, suite::eclipse Section: java Priority: optional Filename: pool/main/e/eclipse/libequinox-osgi-java_3.8.0~rc4-1_all.deb Package: liberis-1.3-19 Source: eris Version: 1.3.19-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 806 Pre-Depends: multiarch-support, dpkg (>= 1.15.6~) Depends: libatlas-cpp-0.6-1 (>= 0.6.2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmercator-0.3-1 (>= 0.3.0), libsigc++-2.0-0c2a (>= 2.0.2), libskstream-0.3-6 (>= 0.3.8), libstdc++6 (>= 4.6), libwfmath-0.3-6 (>= 0.3.11) Multi-Arch: same Homepage: http://www.worldforge.org/ Priority: optional Section: libs Filename: pool/main/e/eris/liberis-1.3-19_1.3.19-5_armhf.deb Size: 263000 SHA256: 4e7744737ae1bee99a7264469e834a5fe5c2e5a04f4903e09d121c86915c76ee SHA1: 7286ce43a7a8c2a9dc30402c69370c1df22620e7 MD5sum: 6e315fdb0055f9cc2621d56e02852e96 Description: WorldForge client entity library Eris is designed to simplify client development (and avoid repeating the same work several times), by providing a common system to deal with the back end tasks. Notably, Eris encapsulates most of the work in getting Atlas entities available on your client, logging into a server, and managing updates from the server. Thus it can be considered as a session layer above Atlas, providing persistent (for the session) objects as opposed to Atlas ones (which are transient). It handles the client-side implementation of the meta-server protocol, and querying game servers; out-of-game (OOG) operations (via the Lobby and Rooms), and most important in-game (IG) operations such as entity creation, movement and updates. . Eris provides a generic 'Entity' class, which you are free to sub-class and provide to the system (by registering a factory with the World); thus you are free to create different classes to handle characters, walls, vehicles, etc as your client dictates. An alternative approach is to simply create peer classes, and connect them to Eris via callbacks. Eris makes extensive use of libSigC++, which must be correctly installed and functioning on your system. Familiarity with signal/slot programming concepts is essential for using Eris; the libSigC++ home-page has some examples. Gtk+ or QT signal systems also provide a good introduction. Package: liberis-1.3-19-dbg Source: eris Version: 1.3.19-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 2642 Pre-Depends: dpkg (>= 1.15.6~) Depends: liberis-1.3-19 (= 1.3.19-5) Multi-Arch: same Homepage: http://www.worldforge.org/ Priority: extra Section: debug Filename: pool/main/e/eris/liberis-1.3-19-dbg_1.3.19-5_armhf.deb Size: 2585764 SHA256: f1edd0a9b789ac2d58bb9aea36e80975a3243261ac44298475f7e47d3eecf02e SHA1: 808bb56c06e61023c4b5637ff5f02651f0ed08ed MD5sum: a88170fe7451c383b2977dcdcb4b8773 Description: WorldForge client entity library - debugging library Eris is designed to simplify client development (and avoid repeating the same work several times), by providing a common system to deal with the back end tasks. Notably, Eris encapsulates most of the work in getting Atlas entities available on your client, logging into a server, and managing updates from the server. Thus it can be considered as a session layer above Atlas, providing persistent (for the session) objects as opposed to Atlas ones (which are transient). It handles the client-side implementation of the meta-server protocol, and querying game servers; out-of-game (OOG) operations (via the Lobby and Rooms), and most important in-game (IG) operations such as entity creation, movement and updates. . Eris provides a generic 'Entity' class, which you are free to sub-class and provide to the system (by registering a factory with the World); thus you are free to create different classes to handle characters, walls, vehicles, etc as your client dictates. An alternative approach is to simply create peer classes, and connect them to Eris via callbacks. Eris makes extensive use of libSigC++, which must be correctly installed and functioning on your system. Familiarity with signal/slot programming concepts is essential for using Eris; the libSigC++ home-page has some examples. Gtk+ or QT signal systems also provide a good introduction. . This package contains the debugging library. Package: liberis-1.3-dev Source: eris Version: 1.3.19-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 217 Pre-Depends: dpkg (>= 1.15.6~) Depends: libatlas-cpp-0.6-dev (>= 0.6.2), liberis-1.3-19 (= 1.3.19-5), libglib2.0-dev, libsigc++-2.0-dev, libskstream-0.3-dev (>= 0.3.8), libwfmath-0.3-dev (>= 0.3.11) Homepage: http://www.worldforge.org/ Priority: optional Section: libdevel Filename: pool/main/e/eris/liberis-1.3-dev_1.3.19-5_armhf.deb Size: 99494 SHA256: 02956566969dbd4a18afdfc62de4a36f2a9de403cab1e5837d57458195e22443 SHA1: 99b4e8305f40ae107b85aa191432610963e7920f MD5sum: 255f72e48a51668ad3570852f6f384fe Description: WorldForge client entity library - development files Eris is designed to simplify client development (and avoid repeating the same work several times), by providing a common system to deal with the back end tasks. Notably, Eris encapsulates most of the work in getting Atlas entities available on your client, logging into a server, and managing updates from the server. Thus it can be considered as a session layer above Atlas, providing persistent (for the session) objects as opposed to Atlas ones (which are transient). It handles the client-side implementation of the meta-server protocol, and querying game servers; out-of-game (OOG) operations (via the Lobby and Rooms), and most important in-game (IG) operations such as entity creation, movement and updates. . Eris provides a generic 'Entity' class, which you are free to sub-class and provide to the system (by registering a factory with the World); thus you are free to create different classes to handle characters, walls, vehicles, etc as your client dictates. An alternative approach is to simply create peer classes, and connect them to Eris via callbacks. Eris makes extensive use of libSigC++, which must be correctly installed and functioning on your system. Familiarity with signal/slot programming concepts is essential for using Eris; the libSigC++ home-page has some examples. Gtk+ or QT signal systems also provide a good introduction. . This package contains the development files for compiling software depending on Eris. Package: liberis-doc Source: eris Version: 1.3.19-5 Installed-Size: 2692 Maintainer: Debian Games Team Architecture: all Pre-Depends: dpkg (>= 1.15.6~) Suggests: liberis-1.3-dev Size: 243916 SHA256: c7418c4190dbc8811d75d3d1bb4f9b4354f4050355635c232816c74751077e09 SHA1: eeceff1106b155ac8f5154d15c2ae1514cfc16d5 MD5sum: bf43710173367598a4bf5528d2eee663 Description: WorldForge client entity library - API documentation Eris is designed to simplify client development (and avoid repeating the same work several times), by providing a common system to deal with the back end tasks. Notably, Eris encapsulates most of the work in getting Atlas entities available on your client, logging into a server, and managing updates from the server. Thus it can be considered as a session layer above Atlas, providing persistent (for the session) objects as opposed to Atlas ones (which are transient). It handles the client-side implementation of the meta-server protocol, and querying game servers; out-of-game (OOG) operations (via the Lobby and Rooms), and most important in-game (IG) operations such as entity creation, movement and updates. . Eris provides a generic 'Entity' class, which you are free to sub-class and provide to the system (by registering a factory with the World); thus you are free to create different classes to handle characters, walls, vehicles, etc as your client dictates. An alternative approach is to simply create peer classes, and connect them to Eris via callbacks. Eris makes extensive use of libSigC++, which must be correctly installed and functioning on your system. Familiarity with signal/slot programming concepts is essential for using Eris; the libSigC++ home-page has some examples. Gtk+ or QT signal systems also provide a good introduction. . This package contains the API documentation in HTML format. Multi-Arch: foreign Homepage: http://www.worldforge.org/ Tag: devel::doc, devel::lang:c++, devel::library, game::mud, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/e/eris/liberis-doc_1.3.19-5_all.deb Package: liberror-perl Version: 0.17-1 Installed-Size: 96 Maintainer: Clint Burfoot Architecture: all Depends: perl (>= 5.6.0-16) Size: 23596 SHA256: dbbcd82c57afae639f26632af48f31f06ff3d7ed74f03ac260df7e76d8aef07d SHA1: 5de14ada4e2fee4064ad72facf828a00c913b294 MD5sum: 857dffd27a011db99889de2096096d95 Description: Perl module for error/exception handling in an OO-ish way This module provides two interfaces. Firstly "Error" provides a procedural interface to exception handling. Secondly "Error" is a base class for errors/exceptions that can either be thrown, for subsequent catch, or can simply be recorded. . Errors in the class "Error" should not be thrown directly, but the user should throw errors from a sub-class of "Error". Tag: devel::debugger, devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/liberror-perl/liberror-perl_0.17-1_all.deb Package: liberubis-ruby Source: ruby-erubis Version: 2.7.0-2 Installed-Size: 37 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-erubis Size: 11154 SHA256: 95e0959be8463a33c164c5217e4cc138ce730d0691b844afdf55b0ad8b26f1f2 SHA1: 0bfa15ee69bccf5237787875cd7cdfe89b6ce7d4 MD5sum: df3e03963612efd5171400f8103301c0 Description: Transitional package for ruby-erubis This is a transitional package to ease upgrades to the ruby-erubis package. It can safely be removed. Homepage: http://www.kuwata-lab.com/erubis/ Section: oldlibs Priority: extra Filename: pool/main/r/ruby-erubis/liberubis-ruby_2.7.0-2_all.deb Package: liberubis-ruby1.8 Source: ruby-erubis Version: 2.7.0-2 Installed-Size: 37 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-erubis Size: 11154 SHA256: f4f4c55e514637b5970cd2ad899c21ba7cda3bb71e39b73cd434436226ee7d7b SHA1: 886fc66276b6906a90c28674abeb146155214fa6 MD5sum: 0887fc1ba1dec321486eeaa373564d3a Description: Transitional package for ruby-erubis This is a transitional package to ease upgrades to the ruby-erubis package. It can safely be removed. Homepage: http://www.kuwata-lab.com/erubis/ Tag: role::dummy Section: oldlibs Priority: extra Filename: pool/main/r/ruby-erubis/liberubis-ruby1.8_2.7.0-2_all.deb Package: liberubis-ruby1.9.1 Source: ruby-erubis Version: 2.7.0-2 Installed-Size: 37 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-erubis Size: 11164 SHA256: ece9fd46a2a330dfc3cf126ffe9e66d7f2587da472398d5ce03165cb5df7f1f8 SHA1: 61c3d9376171c5af3fd1d0ef8eb26a48e7fdef60 MD5sum: f4be533ff538d766ae8b3f1c29bc3dae Description: Transitional package for ruby-erubis This is a transitional package to ease upgrades to the ruby-erubis package. It can safely be removed. Homepage: http://www.kuwata-lab.com/erubis/ Tag: role::dummy Section: oldlibs Priority: extra Filename: pool/main/r/ruby-erubis/liberubis-ruby1.9.1_2.7.0-2_all.deb Package: liberuby Source: eruby Version: 1.0.5-2.1 Architecture: armhf Maintainer: Shugo Maeda Installed-Size: 64 Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.352) Priority: optional Section: libs Filename: pool/main/e/eruby/liberuby_1.0.5-2.1_armhf.deb Size: 15360 SHA256: deee631745374b9d2673566b1ba6751d15599b9ed9564c9c51beaa671621f745 SHA1: 1fc68696f7c3a1d9688618fa40cbd997f1c8b05b MD5sum: 8e3cad7eb20e56b4e50989ccdb3bce57 Description: Library for eruby This package includes library for eruby. eruby interprets a Ruby code embedded text file. For example, eruby enables you to embed a Ruby code to a HTML file. Package: liberuby-dev Source: eruby Version: 1.0.5-2.1 Architecture: armhf Maintainer: Shugo Maeda Installed-Size: 55 Depends: liberuby (= 1.0.5-2.1), libc6-dev Conflicts: eruby-dev Replaces: eruby-dev Priority: optional Section: libdevel Filename: pool/main/e/eruby/liberuby-dev_1.0.5-2.1_armhf.deb Size: 15012 SHA256: c59f67f039fcc600e570f2e7640ed30278eac2dae2bdb51a9892a97b4587c7c6 SHA1: c60118580faa41d2c88499eed79ccac2fa693f7c MD5sum: 224727a30bac6d852b520de8ecb696e7 Description: Development files for liberuby This package includes development files for liberuby. eruby interprets a Ruby code embedded text file. For example, eruby enables you to embed a Ruby code to a HTML file. Package: libescape-ruby Version: 0.2-1 Installed-Size: 356 Maintainer: NIIBE Yutaka Architecture: all Depends: ruby, ruby1.8 Size: 20508 SHA256: a323050b282a5a7b147ba92de01a74421b80f2490dc7783a00102dade752ec29 SHA1: 11acd9eac6ff1ee6cc192c60ef201408255c8c7f MD5sum: 9d4f719de212de406cfe7669997ed15e Description: HTML/URI/shell escaping utilities for Ruby For Ruby programmers, this utility provides several escaping functions for HTML, URI, and shell command line handling. . Homepage: http://www.a-k-r.org/escape/ Section: ruby Priority: optional Filename: pool/main/libe/libescape-ruby/libescape-ruby_0.2-1_all.deb Package: libescpr-dev Source: epson-inkjet-printer-escpr Version: 1.1.1-2 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 85 Depends: libescpr1 (= 1.1.1-2) Homepage: http://avasys.jp/eng/linux_driver/download/lsb/epson-inkjet/escpr/ Priority: optional Section: libdevel Filename: pool/main/e/epson-inkjet-printer-escpr/libescpr-dev_1.1.1-2_armhf.deb Size: 18312 SHA256: 01553628ca9503d835ec42e4a3b58b22a2c08d28dab73fd1b77a2149332f5e7d SHA1: d12a5d5edcd6b5e0891d6ad23f78220b3a437b71 MD5sum: b3d3725d729ae6549b509f6c7a4dbb89 Description: printer driver for Epson Inkjet - library development files ESC/P-R is a common language for selected Epson printers that supports every media type, paper size and associated printing mode available on those printers. It is suited especially for consumer electronics devices and embedded equipments. ESC/P-R allows many kinds of devices to connect and communicate with Epson inkjet printers, expanding possibilities for use with medical equipment, measuring equipment, electronic whiteboards, and at home with home electronics and game machines. . This package contains the development files for libescpr1. Package: libescpr1 Source: epson-inkjet-printer-escpr Version: 1.1.1-2 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 56 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcups2 (>= 1.4.0), libcupsimage2 (>= 1.4.0) Multi-Arch: same Homepage: http://avasys.jp/eng/linux_driver/download/lsb/epson-inkjet/escpr/ Priority: optional Section: libs Filename: pool/main/e/epson-inkjet-printer-escpr/libescpr1_1.1.1-2_armhf.deb Size: 14388 SHA256: 29033e8027a457f6b8f0630cda124074c7c66b6258eb72081b37fa5ff68b6fa6 SHA1: f6830bec0aeee8e0ed4ddc74453b7ef33b681128 MD5sum: 3fbf3d87882b93bbe07bda39d6b6ab8f Description: printer driver for Epson Inkjet - shared library ESC/P-R is a common language for selected Epson printers that supports every media type, paper size and associated printing mode available on those printers. It is suited especially for consumer electronics devices and embedded equipments. ESC/P-R allows many kinds of devices to connect and communicate with Epson inkjet printers, expanding possibilities for use with medical equipment, measuring equipment, electronic whiteboards, and at home with home electronics and game machines. . This is the shared library package. Package: libesd-java Source: jesd Version: 0.0.7-4 Installed-Size: 360 Maintainer: Debian Java Maintainers Architecture: all Depends: libjorbis-java Size: 46430 SHA256: 014350c4400777a1e5aeb5ad2ec6d77fd648695e184a2a1db55a3ff50257d595 SHA1: d877ba076f9f9d8686223eaaea460d82ab0bdaac MD5sum: c160234ad3a3a99325d8504325d96194 Description: Implementation of EsounD in pure Java JEsd includes an audio server, which corresponds to 'esd' and classes for communicating with 'esd', which corresponds to 'libesd'. This client side code will enable us to hack audio apps in pure Java. Homepage: http://www.jcraft.com/jesd/ Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib, works-with-format::oggvorbis, works-with-format::wav, works-with::audio Section: java Priority: optional Filename: pool/main/j/jesd/libesd-java_0.0.7-4_all.deb Package: libesd0 Source: esound Version: 0.2.41-10 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 68 Pre-Depends: multiarch-support Depends: libasound2 (>= 1.0.16), libaudiofile1 (>= 0.3.3), libc6 (>= 2.13-28), esound-common (= 0.2.41-10) Suggests: pulseaudio-esound-compat Conflicts: libesd-alsa0 Multi-Arch: same Priority: optional Section: libs Filename: pool/main/e/esound/libesd0_0.2.41-10_armhf.deb Size: 18066 SHA256: a53831b29281655f00691d8af313a0091531c67ce1007c473f0ac3456d300f40 SHA1: 0aab4212a83c75d845ba36669387573f11ebef8b MD5sum: 7c392b3a4ae71572b97323c457c0336e Description: Enlightened Sound Daemon - Shared libraries This program is designed to mix together several digitized audio streams for playback by a single device. . This package contains the shared library. Package: libesd0-dev Source: esound Version: 0.2.41-10 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 118 Depends: libesd0 (= 0.2.41-10), esound-common (= 0.2.41-10), libaudiofile-dev (>= 0.1.5), pkg-config Multi-Arch: same Priority: optional Section: libdevel Filename: pool/main/e/esound/libesd0-dev_0.2.41-10_armhf.deb Size: 26442 SHA256: 684d36b280eeb039735b6ef5e360fe6b0bf8204bb9bb5de4ecd58391368e7cde SHA1: 53740f1069214eb1576070506f3d7f5ac81d45e1 MD5sum: d6b83c85bc95575106dd2d834859eada Description: Enlightened Sound Daemon - Development files This program is designed to mix together several digitized audio streams for playback by a single device. . This package contains the header files needed to compile applications that use libesd0. Package: libesmtp-dev Source: libesmtp Version: 1.0.6-1 Architecture: armhf Maintainer: Jeremy T. Bouse Installed-Size: 184 Depends: libesmtp6 (= 1.0.6-1), libc6-dev Homepage: http://www.stafford.uklinux.net/libesmtp/ Priority: optional Section: libdevel Filename: pool/main/libe/libesmtp/libesmtp-dev_1.0.6-1_armhf.deb Size: 62002 SHA256: 736857d2186a525f1ed3abe59329a7d601f7285db0d675f98c4633b3a6ffe035 SHA1: a411433281c59c44a8c018ba7fbf403f6a0b2be1 MD5sum: 390e53fc36d0f2234f5867f3b5ec7ba5 Description: LibESMTP SMTP client library development files LibESMTP is a library to manage posting (or submission of) electronic mail using SMTP to a preconfigured Mail Transport Agent (MTA) such as Exim. It may be used as part of a Mail User Agent (MUA) or another program that must be able to post electronic mail but where mail functionality is not the program's primary purpose. Package: libesmtp6 Source: libesmtp Version: 1.0.6-1 Architecture: armhf Maintainer: Jeremy T. Bouse Installed-Size: 127 Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0) Replaces: libesmtp5 Homepage: http://www.stafford.uklinux.net/libesmtp/ Priority: optional Section: libs Filename: pool/main/libe/libesmtp/libesmtp6_1.0.6-1_armhf.deb Size: 56426 SHA256: e48d990b731fb7efffd715d954298a10b07f60c9cd3af0f4d623cadb33f0cd48 SHA1: 96cfe3e450458966971953b563e4d3fe527c332a MD5sum: dca6c940503a2d0422b455a67eba7f6c Description: LibESMTP SMTP client library LibESMTP is a library to manage posting (or submission of) electronic mail using SMTP to a preconfigured Mail Transport Agent (MTA) such as Exim. It may be used as part of a Mail User Agent (MUA) or another program that must be able to post electronic mail but where mail functionality is not the program's primary purpose. Package: libespeak-dev Source: espeak Version: 1.46.02-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 433 Depends: libespeak1 (= 1.46.02-2) Multi-Arch: same Homepage: http://espeak.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/e/espeak/libespeak-dev_1.46.02-2_armhf.deb Size: 200516 SHA256: 3e7024d95a5bc93dfe52516621e53259c02ec832930dea7c3acecacc882dea5f SHA1: d57b2a04f397ee810e0bd8de5ab24fe999666d51 MD5sum: 13967479ab6c27a1ba7277a727178bb9 Description: Multi-lingual software speech synthesizer: development files eSpeak is a software speech synthesizer for English, and some other languages. . This package contains the eSpeak development files needed to build against the espeak shared library. Package: libespeak1 Source: espeak Version: 1.46.02-2 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 276 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libportaudio2 (>= 19+svn20101113), libpulse0 (>= 0.99.1), libsonic0 (>= 0.1.10), libstdc++6 (>= 4.1.1), espeak-data (= 1.46.02-2) Multi-Arch: same Homepage: http://espeak.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/e/espeak/libespeak1_1.46.02-2_armhf.deb Size: 151766 SHA256: ddfe62c74526077d4e6853752e1b68a23fbe7cc39ec647740334337a2b5ce476 SHA1: da9e49bdf27e876bfdc2e2335b0f7be449f1b955 MD5sum: 09eced359b45323f4c53c9f9cb0a9854 Description: Multi-lingual software speech synthesizer: shared library eSpeak is a software speech synthesizer for English, and some other languages. . This package contains the espeak program in a shared library. Package: libestools2.1 Source: speech-tools Version: 1:2.1~release-5 Architecture: armhf Maintainer: Jean-Philippe MENGUAL Installed-Size: 3158 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.4.0), libtinfo5 Conflicts: libestools1.2c102, speech-tools1 Replaces: libestools1.2c102, speech-tools1 Multi-Arch: same Homepage: http://festvox.org/packed/festival/ Priority: optional Section: libs Filename: pool/main/s/speech-tools/libestools2.1_2.1~release-5_armhf.deb Size: 1179440 SHA256: d3bc9f6b6173433fcd47003700a18e54e5b76231d86077982fe2e30bbcdf7c10 SHA1: 4082b65efeecc14871694f107df6d241e25c89b2 MD5sum: 81c72e397e20dfed0dde09841d104c9b Description: Edinburgh Speech Tools Library The Edinburgh Speech Tools Library is a collection of C++ class, functions and related programs for manipulating the sorts of objects used in speech processing. Package: libestools2.1-dev Source: speech-tools Version: 1:2.1~release-5 Architecture: armhf Maintainer: Jean-Philippe MENGUAL Installed-Size: 10331 Depends: libc6-dev, libesd0-dev, libestools2.1 (= 1:2.1~release-5), libncurses5-dev Suggests: speech-tools-doc Conflicts: libestools1.2-dev, libestools2.0-dev, speech-tools-dev Replaces: libestools1.2-dev, libestools2.0-dev, speech-tools-dev Provides: libestools-dev, libestools2.0-dev Homepage: http://festvox.org/packed/festival/ Priority: optional Section: libdevel Filename: pool/main/s/speech-tools/libestools2.1-dev_2.1~release-5_armhf.deb Size: 2456274 SHA256: e637690f160dccdbade917a1ef0077006f7a2dec49235d3b01c6180d14b5d203 SHA1: a9c0cc00c2735c322c8cb560bf783a69fb6ea51a MD5sum: d8dfbb3ab99e1cced9e24d7db3f2f647 Description: Edinburgh Speech Tools Library - developer's libraries The Edinburgh Speech Tools Library is a collection of C++ class, functions and related programs for manipulating the sorts of objects used in speech processing. . This package contains the header files and static libraries. Package: libestr-dev Source: libestr Version: 0.1.1-2 Architecture: armhf Maintainer: Pierre Chifflier Installed-Size: 56 Depends: libestr0 (= 0.1.1-2) Homepage: http://libestr.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libe/libestr/libestr-dev_0.1.1-2_armhf.deb Size: 7492 SHA256: 133f9e68baebcbe4f75213acbcc4a76465165c91afc038ee68eb9e3e0c7b32cd SHA1: b8fa548a02564cdc2e665206ddca35e6ce05a8a5 MD5sum: feeb241db6f2af04ea3fa4299dc83eec Description: Helper functions for handling strings (headers) The 'libestr' library implements some helper functions to handle strings and easily, escaping special characters etc. . This package contains the development files. Package: libestr0 Source: libestr Version: 0.1.1-2 Architecture: armhf Maintainer: Pierre Chifflier Installed-Size: 48 Pre-Depends: multiarch-support Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://libestr.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libe/libestr/libestr0_0.1.1-2_armhf.deb Size: 8900 SHA256: 18fdd02be9952f1c33d18fe6194ee84d5682ebef2d902a797ec17f4499fd9e5c SHA1: a7294cbb4fa24dd2888e15ff2ff4067f83b26346 MD5sum: cad4f29bd5380f98268f866395c744d6 Description: Helper functions for handling strings (lib) The 'libestr' library implements some helper functions to handle strings and easily, escaping special characters etc. . This package contains the shared library. Package: libestraier-ruby-doc Source: hyperestraier Version: 1.4.13-3 Installed-Size: 944 Maintainer: KURASHIKI Satoru Architecture: all Suggests: libestraier-ruby1.8 | libestraier-ruby1.9.1 Size: 56272 SHA256: 34dea250a31aeafa0857ed5e45a2278251c85f1f6a01d8a6967e75587f62421a SHA1: c94f92680839a7ad6f1d20ada1894a7815ae9ff4 MD5sum: 1ae36f2274de29ca67c94140dca98f40 Description: Hyper Estraier Node API Libraries for Ruby (documentation) Hyper Estraier is a full-text search system. This package provides the Ruby interface for the Node API for Hyper Estraier. . This is the documentation package, with upstream documentation, as well as generated rdoc. Homepage: http://http://fallabs.com/hyperestraier/ Tag: devel::doc, devel::lang:ruby, role::documentation Section: doc Priority: optional Filename: pool/main/h/hyperestraier/libestraier-ruby-doc_1.4.13-3_all.deb Package: libethos-1.0-0 Source: ethos Version: 0.2.2-3 Architecture: armhf Maintainer: Mathieu Trudel-Lapierre Installed-Size: 90 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0) Homepage: http://git.dronelabs.com/ethos Priority: optional Section: libs Filename: pool/main/e/ethos/libethos-1.0-0_0.2.2-3_armhf.deb Size: 23480 SHA256: f0721147c5d98021350d81ce0f1a58b5cee279d51f565bf8f832f2b09e12681a SHA1: b4eac2a7da1765e0d82a14b09430b474e93c8166 MD5sum: da696bcba8b7514bd92a1668409ac66b Description: GObject library for application plugins - shared libraries Ethos is a library providing a standard system of reusable extensions. The goal is to enable plugin developers to reuse the same code in different applications and thereby make better use of their time. . This package contains the shared libraries. Package: libethos-dev Source: ethos Version: 0.2.2-3 Architecture: armhf Maintainer: Mathieu Trudel-Lapierre Installed-Size: 84 Depends: libethos-1.0-0 (= 0.2.2-3), libglib2.0-dev (>= 2.16.0) Suggests: libunique-doc, vala Homepage: http://git.dronelabs.com/ethos Priority: optional Section: libdevel Filename: pool/main/e/ethos/libethos-dev_0.2.2-3_armhf.deb Size: 9548 SHA256: 42843bbaa60a964d22a50b4c4247c13ae6b2eb97fd3206c61f7ee018404bed35 SHA1: 069d998ac49de614c229bc9f6b7a6f8b73ad40e4 MD5sum: b09cf11853e1742713d6a472d4307463 Description: GObject library for application plugins - development files Ethos is a library providing a standard system of reusable extensions. The goal is to enable plugin developers to reuse the same code in different applications and thereby make better use of their time. . This package contains the headers and development libraries needed to build applications using the Ethos library Package: libethos-doc Source: ethos Version: 0.2.2-3 Installed-Size: 252 Maintainer: Mathieu Trudel-Lapierre Architecture: all Recommends: libethos-1.0-0 Suggests: devhelp Size: 34602 SHA256: 085eaf202bef170ee1bbb925cca5d46fc28cf172b475d03b8fa61a7c87614ea2 SHA1: d428960e481f2feb45bd9778a34d75416e9490a8 MD5sum: 1c3d203265eed286e1dde90fdd91305c Description: GObject library for application plugins - documentation Ethos is a library providing a standard system of reusable extensions. The goal is to enable plugin developers to reuse the same code in different applications and thereby make better use of their time. . This package contains the HTML documentation for the Ethos library Homepage: http://git.dronelabs.com/ethos Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/e/ethos/libethos-doc_0.2.2-3_all.deb Package: libethos-ui-1.0-0 Source: ethos Version: 0.2.2-3 Architecture: armhf Maintainer: Mathieu Trudel-Lapierre Installed-Size: 66 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libethos-1.0-0 (>= 0.1.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0) Homepage: http://git.dronelabs.com/ethos Priority: optional Section: libs Filename: pool/main/e/ethos/libethos-ui-1.0-0_0.2.2-3_armhf.deb Size: 15884 SHA256: a70fe567e360d7a50c9775ac7f639f1ac14a126fbf04ee20e5dccf8389d91a8e SHA1: cf6410ef23a517a9b57df01660ed8f10cfac9af6 MD5sum: b6344a0b50a6e2b37cbf0711207f857a Description: GObject library for application plugins, GTK+ integration - shared libraries Ethos is a library providing a standard system of reusable extensions. The goal is to enable plugin developers to reuse the same code in different applications and thereby make better use of their time. . This package contains the shared libraries for the GTK+ integration. Package: libethos-ui-dev Source: ethos Version: 0.2.2-3 Architecture: armhf Maintainer: Mathieu Trudel-Lapierre Installed-Size: 68 Depends: libethos-dev, libethos-ui-1.0-0 (= 0.2.2-3), libglib2.0-dev (>= 2.16.0), libgtk2.0-dev (>= 2.10.0) Suggests: libunique-doc, vala Homepage: http://git.dronelabs.com/ethos Priority: optional Section: libdevel Filename: pool/main/e/ethos/libethos-ui-dev_0.2.2-3_armhf.deb Size: 7134 SHA256: c1d7d80997d0bbfc4d3f5a37e7dff8fad9f6220fe83121f0ea762c5bea9cb46d SHA1: 840b99e7ccd085102b2b077419baf5ea366ece4e MD5sum: f035938cc3fcc1d6cc62d563540c3c50 Description: GObject library for application plugins, GTK+ integration - development files Ethos is a library providing a standard system of reusable extensions. The goal is to enable plugin developers to reuse the same code in different applications and thereby make better use of their time. . This package contains the headers and development libraries needed to build applications using the Ethos UI library Package: libetpan-dbg Source: libetpan Version: 1.0-5 Architecture: armhf Maintainer: Nikita V. Youshchenko Installed-Size: 2204 Depends: libetpan15 (= 1.0-5) Homepage: http://libetpan.sourceforge.net/libetpan Priority: extra Section: debug Filename: pool/main/libe/libetpan/libetpan-dbg_1.0-5_armhf.deb Size: 798574 SHA256: a862586eb8f5f2356fa43c522cc56292fe8e0211a3006377dac7762389401e7f SHA1: ec32b8ad83e61b8baf1ab50ca1aa57c4e0451b62 MD5sum: c92b463a912aaaf6a5609ca6e050bbc3 Description: debugging symbols for libetpan libEtPan! is a mail library. It may be used for low-level mail handling: network protocols (IMAP/NNTP/POP3/SMTP over TCP/IP and SSL/TCP/IP), local storage (mbox/MH/maildir), message / MIME parsing. . This package provides debugging symbols associated with libetpan. They will automatically be used by gdb for debugging libetpan-related issues. Package: libetpan-dev Source: libetpan Version: 1.0-5 Architecture: armhf Maintainer: Nikita V. Youshchenko Installed-Size: 1582 Depends: libetpan15 (= 1.0-5), libdb5.1-dev, libgnutls-dev, liblockfile-dev, libsasl2-dev, libexpat1-dev, libcurl4-gnutls-dev (>= 7.16.4-5) Suggests: libetpan-doc Homepage: http://libetpan.sourceforge.net/libetpan Priority: extra Section: libdevel Filename: pool/main/libe/libetpan/libetpan-dev_1.0-5_armhf.deb Size: 388940 SHA256: fb8bad6cece51a27ec6e44096476de30792a93352c50f6be27a4040beece5db3 SHA1: 551c0d5b3eb2485c9aee0b82b714bf61c135c7b6 MD5sum: de6bba3812c1c0ffd40a9e4ba6de5760 Description: mail handling library - development files libEtPan! is a mail library. It may be used for low-level mail handling: network protocols (IMAP/NNTP/POP3/SMTP over TCP/IP and SSL/TCP/IP), local storage (mbox/MH/maildir), message / MIME parsing. . This package provides development files for the library. Package: libetpan-doc Source: libetpan Version: 1.0-5 Installed-Size: 566 Maintainer: Nikita V. Youshchenko Architecture: all Size: 87050 SHA256: aa58864b7b910f50203e29b6eb1e91d886ec89bd68183b27e3d3b8ce26f2c1b1 SHA1: 327a53e7e1b1ea9f3c3d98e0dcd0f5e5f315cbe2 MD5sum: 7d4d09ff68279f6d69d7278a9f8ec292 Description: mail handling library - API documentation libEtPan! is a mail library. It may be used for low-level mail handling: network protocols (IMAP/NNTP/POP3/SMTP over TCP/IP and SSL/TCP/IP), local storage (mbox/MH/maildir), message / MIME parsing. . This package provides library API documentation and test sources. Homepage: http://libetpan.sourceforge.net/libetpan Tag: devel::doc, devel::library, protocol::imap, protocol::pop3, protocol::smtp, protocol::ssl, role::documentation Section: doc Priority: optional Filename: pool/main/libe/libetpan/libetpan-doc_1.0-5_all.deb Package: libetpan15 Source: libetpan Version: 1.0-5 Architecture: armhf Maintainer: Nikita V. Youshchenko Installed-Size: 639 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libdb5.1, libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0), liblockfile1 (>= 1.0), libsasl2-2 (>= 2.1.24), libstdc++6 (>= 4.1.1) Homepage: http://libetpan.sourceforge.net/libetpan Priority: optional Section: libs Filename: pool/main/libe/libetpan/libetpan15_1.0-5_armhf.deb Size: 273946 SHA256: 095960eab700ebdcecc315bb3b14a1d79ab31ab7d76b348c441736d2c29a8ba5 SHA1: 0285c506e8e384a7ca2fb66e6514ead32b048a4d MD5sum: fa209bcfff5e881812db41abcee97dbd Description: mail handling library libEtPan! is a mail library. It may be used for low-level mail handling: network protocols (IMAP/NNTP/POP3/SMTP over TCP/IP and SSL/TCP/IP), local storage (mbox/MH/maildir), message / MIME parsing. . This package provides shared library files. Package: libetsf-io-dev Source: etsf-io Version: 1.0.3-4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1951 Depends: libnetcdf-dev Suggests: libetsf-io-doc Homepage: http://www.etsf.eu/resources/software/libraries_and_tools Priority: optional Section: libdevel Filename: pool/main/e/etsf-io/libetsf-io-dev_1.0.3-4_armhf.deb Size: 394458 SHA256: 995ad329ded939c08c074def2930979346ba73730e722c3450eceae1d404f5fc SHA1: 730df11a9fe6d422dd57442424cccf646d4dbcac MD5sum: ec5e7cf66fdb22e983a714562268c725 Description: Static libraries and Fortran module files of ETSF_IO ETSF_IO is a library of F90 routines to read/write the ETSF file format. . This package contains the static libraries provided by ETSF_IO to let electronic structure codes read and write ETSF files. It also contains the module file used by the Fortran compiler. Package: libetsf-io-doc Source: etsf-io Version: 1.0.3-4 Installed-Size: 2855 Maintainer: Debian Science Team Architecture: all Size: 210670 SHA256: d755b0d8abdbfb3798e54c29d3f90686d59ccd0674eb4195f1f9f022f4e9cf06 SHA1: ac0027990ce8a1e57c3fb5e769e7bff02e30ba88 MD5sum: 5e5de16447ac43be20240a0a14def1b0 Description: Developer documentation API and tutorials for ETSF_IO ETSF_IO is a library of F90 routines to read/write the ETSF file format. . This Package contains the HTML documentation of the API and some tutorials on how to use the library in electronic structure codes. Homepage: http://www.etsf.eu/resources/software/libraries_and_tools Tag: devel::doc, devel::examples, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/e/etsf-io/libetsf-io-doc_1.0.3-4_all.deb Package: libev-dev Source: libev Version: 1:4.11-1 Architecture: armhf Maintainer: Jérémy Lal Installed-Size: 224 Depends: libev4 (= 1:4.11-1) Homepage: http://libev.schmorp.de/ Priority: extra Section: libdevel Filename: pool/main/libe/libev/libev-dev_4.11-1_armhf.deb Size: 127274 SHA256: fb88c376f64a222ff505d69df8065e3ae88ece6eb40e099b21fc026d313ad8ed SHA1: a3c6ff961516d748425c4d1eb78cbc863e13c0b4 MD5sum: cce2d31f125ede3b052f84a7cb0f2a1a Description: static library, header files, and docs for libev Static library, header files, and documentation for libev. . libev provides a full-featured and high-performance event loop that is loosely modelled after libevent. It includes relative timers, absolute timers with customized rescheduling, synchronous signals, process status change events, event watchers dealing with the event loop itself, file watchers, and even limited support for fork events. It uses a priority queue to manage timers and uses arrays as fundamental data structure. It has no artificial limitations on the number of watchers waiting for the same event. . libev supports select, poll, epoll, kqueue, and inotify. Package: libev-libevent-dev Source: libev Version: 1:4.11-1 Installed-Size: 47 Maintainer: Jérémy Lal Architecture: all Depends: libev4 (>= 1:4.11), libev4 (<< 1:4.11+1~), libev-dev Conflicts: libevent-dev Size: 14910 SHA256: 1f2041b84f9cb381c3090688bcd445e416698173cb2e3226ace440bda2f7c885 SHA1: 1d304f36c33cbafe900e6d3861753d9d63821ec1 MD5sum: 494a8b3b1c991a5544b35b0b88c7347a Description: libevent event loop compatibility wrapper for libev Compatibility wrapper "event.h" to enable programs which use the libevent event loop to link against libev. . libev provides a full-featured and high-performance event loop that is loosely modelled after libevent. It includes relative timers, absolute timers with customized rescheduling, synchronous signals, process status change events, event watchers dealing with the event loop itself, file watchers, and even limited support for fork events. It uses a priority queue to manage timers and uses arrays as fundamental data structure. It has no artificial limitations on the number of watchers waiting for the same event. . libev supports select, poll, epoll, kqueue, and inotify. Homepage: http://libev.schmorp.de/ Tag: devel::library, role::devel-lib Section: libdevel Priority: extra Filename: pool/main/libe/libev/libev-libevent-dev_4.11-1_all.deb Package: libev-perl Version: 4.11-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 601 Depends: perl (>= 5.14.2-16+rpi1), perlapi-5.14.2, libc6 (>= 2.13-28), libcommon-sense-perl Homepage: http://search.cpan.org/dist/EV/ Priority: optional Section: perl Filename: pool/main/libe/libev-perl/libev-perl_4.11-2_armhf.deb Size: 275250 SHA256: 4d01cf25b9c19cf19083e7796a1c4c3fac00a5ed0f0dd878788b339323bad91e SHA1: 41799560765e947d2d250658fbc3a532d3c40012 MD5sum: b752f15d332660f37355534c22ceb4e7 Description: Perl interface to libev, the high performance event loop EV provides a Perl interface to libev, a high performance and full-featured event loop that is loosely modelled after libevent. . It includes relative timers, absolute timers with customized rescheduling, synchronous signals, process status change events, event watchers dealing with the event loop itself, file watchers, and even limited support for fork events. . It uses a priority queue to manage timers and uses arrays as fundamental data structure. It has no artificial limitations on the number of watchers waiting for the same event. Package: libev4 Source: libev Version: 1:4.11-1 Architecture: armhf Maintainer: Jérémy Lal Installed-Size: 86 Depends: libc6 (>= 2.13-28) Homepage: http://libev.schmorp.de/ Priority: extra Section: libs Filename: pool/main/libe/libev/libev4_4.11-1_armhf.deb Size: 35280 SHA256: 1819d8367774be2df3a7a3ba2f24c25b5ef37474a7c5e1f092945cdb7c2c14bb SHA1: ec8d176da0c9ea609d35af234c22930bdd1d17fc MD5sum: f889a4d9d89167fd50cacba0551b215e Description: high-performance event loop library modelled after libevent libev provides a full-featured and high-performance event loop that is loosely modelled after libevent. It includes relative timers, absolute timers with customized rescheduling, synchronous signals, process status change events, event watchers dealing with the event loop itself, file watchers, and even limited support for fork events. It uses a priority queue to manage timers and uses arrays as fundamental data structure. It has no artificial limitations on the number of watchers waiting for the same event. . libev supports select, poll, epoll, kqueue, and inotify. Package: libeval-closure-perl Version: 0.08-1 Installed-Size: 54 Maintainer: Debian Perl Group Architecture: all Depends: perl, libsub-exporter-perl, libtry-tiny-perl Size: 11068 SHA256: ddf515c1e51c75fc8475e556bc7b601d4b8f08e6a4eca7b33ece91e959ed9fc5 SHA1: 3f3f8ec417707a388b1d96da1713965dfca9182b MD5sum: 1fb82a8da1a35de7f6259cfaada77df2 Description: Perl module to safely and cleanly create closures via string eval String eval is often used for dynamic code generation. For instance, Moose uses it heavily, to generate inlined versions of accessors and constructors, which speeds code up at runtime by a significant amount. String eval is not without its issues however - it's difficult to control the scope it's used in (which determines which variables are in scope inside the eval), and it can be quite slow, especially if doing a large number of evals. . Eval::Closure attempts to solve both of those problems. It provides an eval_closure function, which evals a string in a clean environment, other than a fixed list of specified variables. It also caches the result of the eval, so that doing repeated evals of the same source, even with a different environment, will be much faster (but note that the description is part of the string to be evaled, so it must also be the same (or non-existent) if caching is to work properly). Homepage: http://search.cpan.org/dist/Eval-Closure/ Tag: implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libeval-closure-perl/libeval-closure-perl_0.08-1_all.deb Package: libeval-context-perl Version: 0.09.11-1 Installed-Size: 112 Maintainer: David Paleino Architecture: all Depends: perl (>= 5.9.3), libreadonly-perl, libsub-install-perl, libfile-slurp-perl Size: 25112 SHA256: c7273d8ffd51d854b08fb39cb128d2fa6585f2f025e67e9f99be4696099b2b37 SHA1: 67981a3e7a83d916d1f1dea0c32fdb40e016e53a MD5sum: 6f12a4143a74a9d4c0e2c71174e2aa9f Description: evalute perl code in context wrapper Eval::Context defines a subroutine that lets you evaluate Perl code in a specific context. The code can be passed directly as a string or as a file name to read from. It also provides some subroutines to let you define and optionally share variables and subroutines between your code and the code you wish to evaluate. Finally there is some support for running your code in a safe compartment. Homepage: http://search.cpan.org/dist/Eval-Context/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libeval-context-perl/libeval-context-perl_0.09.11-1_all.deb Package: libeval0 Source: gmchess Version: 0.29.6-2 Architecture: armhf Maintainer: Debian Chinese Team Installed-Size: 100 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Replaces: gmchess (<< 0.20.3-1) Homepage: http://code.google.com/p/gmchess/ Priority: optional Section: libs Filename: pool/main/g/gmchess/libeval0_0.29.6-2_armhf.deb Size: 36372 SHA256: 35dc82ac1fafc00b08c1900a9b2253daa04ee82e42de8a79a74a95b3b94cdb54 SHA1: 47e4c1b49ea8ba3342e5190856a0bd7f02335118 MD5sum: 084c905c799ca6c3f99af784f0b02ca0 Description: support library for eleeye elephant eye (eleeye) is a Chinese chess (Xiangqi) engine providing a set of functions for developing Xiangqi games. . This package provide library that eleeye engine used to keep algorithm's balance. . Chinese chess (Xiangqi) is one of the most popular chess games to have originated in China. Package: libeval0-dev Source: gmchess Version: 0.29.6-2 Architecture: armhf Maintainer: Debian Chinese Team Installed-Size: 106 Depends: libeval0 (= 0.29.6-2) Conflicts: libeval-dev Provides: libeval-dev Homepage: http://code.google.com/p/gmchess/ Priority: optional Section: libdevel Filename: pool/main/g/gmchess/libeval0-dev_0.29.6-2_armhf.deb Size: 36122 SHA256: 16ca07da07e1a1714dc204bd66b3b138e4242622091c0a601e7741b075f7e91b SHA1: 58c9a77f6e78399377d37323ce4d134988c903a3 MD5sum: 8bd950cdb8b160faa431fb0b0cc0b609 Description: support library for eleeye - development file elephant eye (eleeye) is a Chinese chess (Xiangqi) engine providing a set of functions for developing Xiangqi games. . This package provide development file for library that eleeye engine used to keep algorithm's balance. . Chinese chess (Xiangqi) is one of the most popular chess games to have originated in China. Package: libevas-dbg Source: evas Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 3584 Depends: libevas1 (= 1.2.0-2) Homepage: http://www.enlightenment.org Priority: extra Section: debug Filename: pool/main/e/evas/libevas-dbg_1.2.0-2_armhf.deb Size: 1269674 SHA256: 124e44ddd6ec6803f997813c9e5f58e027f5376732e0b4370e9150328088853d SHA1: 675147fc274537988e16ac791ede1d481d66a7a8 MD5sum: 793de06e577f90493f83e61f3c27286d Description: enlightenment advanced canvas library Evas is an advanced canvas library, providing six engines for rendering: X11, OpenGL (hardware accelerated), DirectFB, the framebuffer, Microsoft Windows and Qtopia. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libevas-dev Source: evas Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 1687 Depends: libevas1 (= 1.2.0-2), libjpeg-dev, libx11-dev, libfreetype6-dev, libfontconfig1-dev, libeet-dev (>= 1.6.0), libeina-dev (>= 1.2.0), libfribidi-dev, pkg-config Suggests: libevas-doc Homepage: http://www.enlightenment.org Priority: optional Section: libdevel Filename: pool/main/e/evas/libevas-dev_1.2.0-2_armhf.deb Size: 539280 SHA256: e0419eb8272b364319edb23d511cc10153dd36090b61a43c8974f0acbcdfc311 SHA1: a63837d6a42f6a8bf62314cc65ba1b375f06c498 MD5sum: 4f762f7b89b2dc83cc1b790250faac0c Description: Enlightenment DR17 advanced canvas library development files Evas is an advanced canvas library, providing six engines for rendering: X11, OpenGL (hardware accelerated), DirectFB, the framebuffer, Microsoft Windows and Qtopia. . Due to its simple API, evas can be developed with rapidly, and cleanly. . This package provides headers and static libraries required to develop against evas. Package: libevas-doc Source: evas Version: 1.2.0-2 Installed-Size: 11006 Maintainer: Debian Pkg-e Team Architecture: all Enhances: libevas-dev Size: 8408760 SHA256: 655453ca3eda2916fc9ea47879b104b32029734e4df9d51dd2aae030de3c961f SHA1: 6e24fe37a842e5cc8fe90dfe538898dd3c6f2651 MD5sum: 7a474363378132f6323c220470869f0c Description: Evas API Documentation Evas is an advanced canvas library, providing six engines for rendering: X11, OpenGL (hardware accelerated), DirectFB, the framebuffer, Microsoft Windows and Qtopia. Due to its simple API, evas can be developed with rapidly, and cleanly. . This package provides development documentation (html and manpages) for the Evas library. Homepage: http://www.enlightenment.org Tag: devel::doc, made-of::html, role::documentation, suite::TODO Section: doc Priority: optional Filename: pool/main/e/evas/libevas-doc_1.2.0-2_all.deb Package: libevas1 Source: evas Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 1131 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libeet1 (>= 1.1.0), libeina1 (>= 1.2.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgif4 (>= 4.1.4), libglib2.0-0 (>= 2.12.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), librsvg2-2 (>= 2.14.4), libtiff4 (>> 3.9.5-3~) Homepage: http://www.enlightenment.org Priority: optional Section: libs Filename: pool/main/e/evas/libevas1_1.2.0-2_armhf.deb Size: 408236 SHA256: be645d9800666016f82f2c9bb2c5ebd15e92395a639e673ae409616ba4affd74 SHA1: 3596f3578fae51a61d3533e13f312bc76bd1241a MD5sum: 1fe4e5dbadd62752a0b51d6ec8dac828 Description: Enlightenment DR17 advanced canvas library Evas is an advanced canvas library, providing six engines for rendering: X11, OpenGL (hardware accelerated), DirectFB, the framebuffer, Microsoft Windows and Qtopia. . Due to its simple API, evas can be developed with rapidly, and cleanly. . This package contains the core library and a set of image loaders and/or savers for various formats: eet, gif, jpeg, png, svg, tiff and xpm Package: libevas1-engines-core Source: evas Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 73 Depends: libc6 (>= 2.13-28), libeina1 (>= 1.2.0), libevas1 (>= 1.2.0) Conflicts: libevas-0.9.9.050a-engines Replaces: libevas-engines Provides: libevas1-engine-buffer, libevas1-engine-software-generic Homepage: http://www.enlightenment.org Priority: optional Section: libs Filename: pool/main/e/evas/libevas1-engines-core_1.2.0-2_armhf.deb Size: 20388 SHA256: dd41ac59b9b4cd396d8d7365c2315a885f0c2b996fb81e42ae30a64a7f1bd756 SHA1: 6f66e51605ca67444bc8bd8aa822f5e2338c3c8b MD5sum: bb14f24d59705e8d719da47b7f8bcf20 Description: Evas module providingg the framebuffer render engine Evas is an advanced canvas library, providing six engines for rendering: X11, OpenGL (hardware accelerated), DirectFB, the framebuffer, Microsoft Windows and Qtopia. Due to its simple API, evas can be developed with rapidly, and cleanly. . This package contains the core Evas engine modules: - buffer - software/genenric Package: libevas1-engines-x Source: evas Version: 1.2.0-2 Architecture: armhf Maintainer: Debian Pkg-e Team Installed-Size: 254 Depends: libc6 (>= 2.13-28), libeet1 (>= 1.0.0), libeina1 (>= 1.0.0~beta), libevas1 (>= 1.2.0), libfreetype6 (>= 2.2.1), libgl1-mesa-glx | libgl1, libx11-6, libxext6, libxrender1, libevas1-engines-core Conflicts: libevas-0.9.9.050a-engines Replaces: libevas-engines, libevas-engines-extras Provides: libevas1-engine-gl-x11, libevas1-engine-software-x11 Homepage: http://www.enlightenment.org Priority: optional Section: libs Filename: pool/main/e/evas/libevas1-engines-x_1.2.0-2_armhf.deb Size: 96172 SHA256: 8f681fc56fa537f9cdef352c5a18154de96493955f1eb863e5f71297f5f3df59 SHA1: 40e3a6170546d04fb3c35739ea81943f77dedcc1 MD5sum: 8571eb1930d427f54dcbcfb99f99245a Description: Evas module providing the X11 engines Evas is an advanced canvas library, providing six engines for rendering: X11, OpenGL (hardware accelerated), DirectFB, the framebuffer, Microsoft Windows and Qtopia. Due to its simple API, evas can be developed with rapidly, and cleanly. . This package contains the X11-related Evas engine modules: - GL/X11 - Software/X11 (Xlib/XCB) Package: libevd-0.1-0 Source: event-dance Version: 0.1.20-2 Architecture: armhf Maintainer: Alberto Garcia Installed-Size: 414 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0), libjson-glib-1.0-0 (>= 0.13.2), libsoup2.4-1 (>= 2.28.0), libuuid1 (>= 2.16) Multi-Arch: same Homepage: https://gitorious.org/eventdance Priority: optional Section: libs Filename: pool/main/e/event-dance/libevd-0.1-0_0.1.20-2_armhf.deb Size: 211480 SHA256: ad42696a5be166f6857cf12177ff17d83e373f357eb18a918554465eca05830b SHA1: b14262caea4eedf1deae441576047c1387aaa7cf MD5sum: 2cf9c0160e2a69947f86712b29039d12 Description: Peer-to-peer inter-process communication library - Shared libraries EventDance is an open source library for interconnecting heterogeneous applications in a simple, secure and scalable fashion. It provides a nice API to send and receive data among distributed applications over different types of transports. This and other features like cryptography, make EventDance a perfect choice for peer-to-peer application development. . This package contains the shared libraries. Package: libevd-0.1-dev Source: event-dance Version: 0.1.20-2 Architecture: armhf Maintainer: Alberto Garcia Installed-Size: 1104 Depends: libevd-0.1-0 (= 0.1.20-2), gir1.2-evd-0.1 (= 0.1.20-2), libglib2.0-dev (>= 2.28.0), libgnutls-dev (>= 2.12.0), libsoup2.4-dev (>= 2.28.0), libjson-glib-dev (>= 0.14.0) Recommends: pkg-config Homepage: https://gitorious.org/eventdance Priority: optional Section: libdevel Filename: pool/main/e/event-dance/libevd-0.1-dev_0.1.20-2_armhf.deb Size: 273952 SHA256: 35aea8949bce488b52b4f93cff01312b9554d2df8f2519feeb25b7c23707fdd1 SHA1: 9b81f821c28bd74dd4689eb0d9984cf954c82d01 MD5sum: d7c795b39bed403c403142412d4340fe Description: Peer-to-peer inter-process communication library - Development files EventDance is an open source library for interconnecting heterogeneous applications in a simple, secure and scalable fashion. It provides a nice API to send and receive data among distributed applications over different types of transports. This and other features like cryptography, make EventDance a perfect choice for peer-to-peer application . This package contains the development files. Package: libevdocument3-4 Source: evince Version: 3.4.0-3.1+deb7u2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 966 Depends: libarchive12, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libdjvulibre21 (>= 3.5.25.3), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.2), libgxps2 (>= 0.2.1), libkpathsea6, libpango1.0-0 (>= 1.14.0), libpoppler-glib8 (>= 0.18), libspectre1 (>= 0.2.3), libstdc++6 (>= 4.1.1), libt1-5 (>= 5.1.0), libtiff4 (>> 3.9.5-3~), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Breaks: libevince3-3 Replaces: libevince3-3 Homepage: http://www.gnome.org/projects/evince/ Priority: optional Section: libs Filename: pool/main/e/evince/libevdocument3-4_3.4.0-3.1+deb7u2_armhf.deb Size: 617998 SHA256: c7f49dd0b54f81e8ef12e3dde83e1c8ac8f395d8ba0ab7e8aa26336325e30e29 SHA1: 25128f4d79251495f44d39dc2b282c4f5db3cc4c MD5sum: 86340bdba4b65859dfb971597d78d519 Description: Document (PostScript, PDF) rendering library This package contains libevdocument, which performs the rendering of the documents. . It ships with a ps, pdf, dvi, tiff, djvu, comics and xps backend. Package: libevent-2.0-5 Source: libevent Version: 2.0.19-stable-3+deb7u2 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 286 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Multi-Arch: same Homepage: http://libevent.org/ Priority: standard Section: libs Filename: pool/main/libe/libevent/libevent-2.0-5_2.0.19-stable-3+deb7u2_armhf.deb Size: 156420 SHA256: f182085e07552fb3a56e3f345b4002f7b9842597ea6eeb22c2ed44b901930772 SHA1: 7078cf053a8fd5d04931447f2c2925f9b6fd47e9 MD5sum: 5530c22bd45ae0ea3bee0878ec377373 Description: Asynchronous event notification library Libevent is an asynchronous event notification library that provides a mechanism to execute a callback function when a specific event occurs on a file descriptor or after a timeout has been reached. . It is meant to replace the asynchronous event loop found in event driven network servers. Currently, libevent supports /dev/poll, kqueue(2), event ports, select(2), poll(2) and epoll(4). . For backward compatibility and to transition to "libevent_core only" or "libevent_core + libevent_extra", the libevent source package builds the libevent library that includes everything in libevent_core and libevent_extra. Package: libevent-core-2.0-5 Source: libevent Version: 2.0.19-stable-3+deb7u2 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 190 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Multi-Arch: same Homepage: http://libevent.org/ Priority: optional Section: libs Filename: pool/main/libe/libevent/libevent-core-2.0-5_2.0.19-stable-3+deb7u2_armhf.deb Size: 113158 SHA256: cd12aee6fd90f877f177457855d90c4f11344a10ca26549fc9e15c8a59cdfe6f SHA1: 3eda0b4eb78bbdadbd5064ab69f629e8f23620f4 MD5sum: 8091547432863003df5a57fbcd4baee0 Description: Asynchronous event notification library (core) Libevent is an asynchronous event notification library that provides a mechanism to execute a callback function when a specific event occurs on a file descriptor or after a timeout has been reached. . It is meant to replace the asynchronous event loop found in event driven network servers. Currently, libevent supports /dev/poll, kqueue(2), event ports, select(2), poll(2) and epoll(4). . The libevent_core library includes event loops, timers, buffer code, and various small compatibility functions. . If you're writing software that only uses libevent's event loop, you should link against only the libevent_core library. Package: libevent-dbg Source: libevent Version: 2.0.19-stable-3+deb7u2 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 622 Depends: libevent-2.0-5 (= 2.0.19-stable-3+deb7u2), libevent-core-2.0-5 (= 2.0.19-stable-3+deb7u2), libevent-extra-2.0-5 (= 2.0.19-stable-3+deb7u2), libevent-pthreads-2.0-5 (= 2.0.19-stable-3+deb7u2), libevent-openssl-2.0-5 (= 2.0.19-stable-3+deb7u2) Multi-Arch: same Homepage: http://libevent.org/ Priority: extra Section: debug Filename: pool/main/libe/libevent/libevent-dbg_2.0.19-stable-3+deb7u2_armhf.deb Size: 538952 SHA256: 5534cf0c8f84815143d91de6346c14d60eb05002072cf098ad02ec32e693b1c7 SHA1: e6bc1c7477b688ff19396a5eafc67af666afaab1 MD5sum: 0732db44c74c4a6a23fbcc740d0a95ee Description: Asynchronous event notification library (debug symbols) Libevent is an asynchronous event notification library that provides a mechanism to execute a callback function when a specific event occurs on a file descriptor or after a timeout has been reached. . This package includes debugging information for libevent. Package: libevent-dev Source: libevent Version: 2.0.19-stable-3+deb7u2 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 1101 Depends: libevent-2.0-5 (= 2.0.19-stable-3+deb7u2), libevent-core-2.0-5 (= 2.0.19-stable-3+deb7u2), libevent-extra-2.0-5 (= 2.0.19-stable-3+deb7u2), libevent-pthreads-2.0-5 (= 2.0.19-stable-3+deb7u2), libevent-openssl-2.0-5 (= 2.0.19-stable-3+deb7u2) Homepage: http://libevent.org/ Priority: optional Section: libdevel Filename: pool/main/libe/libevent/libevent-dev_2.0.19-stable-3+deb7u2_armhf.deb Size: 326884 SHA256: ec3a56b22b42f2e9af61661a0699812293c23faf130962f4143cf264cd195b91 SHA1: 94305e431275dd8461e3d4531050bd46e9f8bf7f MD5sum: a2a9574d27a3e4982b00d43a33b5aad0 Description: Asynchronous event notification library (development files) Libevent is an asynchronous event notification library that provides a mechanism to execute a callback function when a specific event occurs on a file descriptor or after a timeout has been reached. . This package includes development files for compiling against libevent. Package: libevent-extra-2.0-5 Source: libevent Version: 2.0.19-stable-3+deb7u2 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 146 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libevent-core-2.0-5 (= 2.0.19-stable-3+deb7u2) Multi-Arch: same Homepage: http://libevent.org/ Priority: optional Section: libs Filename: pool/main/libe/libevent/libevent-extra-2.0-5_2.0.19-stable-3+deb7u2_armhf.deb Size: 93488 SHA256: d51bbd6f1acc078dc925a364febecd8483b99124f7d24b59d6788d587d4c6215 SHA1: f279f279936bd3bbf6a30b0331393bc25d58a9ee MD5sum: ad318d84b37db549cd391d98500f9081 Description: Asynchronous event notification library (extra) Libevent is an asynchronous event notification library that provides a mechanism to execute a callback function when a specific event occurs on a file descriptor or after a timeout has been reached. . It is meant to replace the asynchronous event loop found in event driven network servers. Currently, libevent supports /dev/poll, kqueue(2), event ports, select(2), poll(2) and epoll(4). . The libevent_extra library includes code for HTTP, DNS, RPC, and so on. . If you're writing software that uses libevent's protocol support, you need to link libevent_core and libevent_extra as well. Package: libevent-loop-ruby Source: ruby-event-loop Version: 0.3-5 Installed-Size: 30 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-event-loop Size: 5974 SHA256: d1aead48da2fd11d700c7631b12837691d4cc9ff893a4dadd6de7596fa39cc4f SHA1: 70dabee36e0caed244036082ffdcc34a3682e590 MD5sum: bfcd6e173b5f86cd1a9dc59542242fc4 Description: Transitional package for ruby-event-loop This is a transitional package to ease upgrades to the ruby-event-loop package. It can safely be removed. Homepage: http://brockman.se/2005/ruby-event-loop/ Section: oldlibs Priority: extra Filename: pool/main/r/ruby-event-loop/libevent-loop-ruby_0.3-5_all.deb Package: libevent-loop-ruby1.8 Source: ruby-event-loop Version: 0.3-5 Installed-Size: 30 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-event-loop Size: 5980 SHA256: f5c1f66c1160cc0490cda1860fa9a030d009ef7b92267efa0fa2c94a6aa43604 SHA1: d5d686e0ea1630d57bd73a4a6af0bce4a2ca999f MD5sum: 1f5a49731158ed3948dd4dabc239149d Description: Transitional package for ruby-event-loop This is a transitional package to ease upgrades to the ruby-event-loop package. It can safely be removed. Homepage: http://brockman.se/2005/ruby-event-loop/ Tag: role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-event-loop/libevent-loop-ruby1.8_0.3-5_all.deb Package: libevent-openssl-2.0-5 Source: libevent Version: 2.0.19-stable-3+deb7u2 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 58 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libevent-core-2.0-5 (= 2.0.19-stable-3+deb7u2), libssl1.0.0 (>= 1.0.0) Multi-Arch: same Homepage: http://libevent.org/ Priority: optional Section: libs Filename: pool/main/libe/libevent/libevent-openssl-2.0-5_2.0.19-stable-3+deb7u2_armhf.deb Size: 50024 SHA256: 25adcc19956a47d4e1013fdeda5f66c8168f63d2d2094c5ca0713ae5cf9cf04f SHA1: 9172f2936989629f9184f3b1895121b8812dfa19 MD5sum: 5ace7ee16d9ce1c2c6d2ac11d3ab043b Description: Asynchronous event notification library (openssl) Libevent is an asynchronous event notification library that provides a mechanism to execute a callback function when a specific event occurs on a file descriptor or after a timeout has been reached. . It is meant to replace the asynchronous event loop found in event driven network servers. Currently, libevent supports /dev/poll, kqueue(2), event ports, select(2), poll(2) and epoll(4). . There is now a bufferevent type that supports SSL/TLS using the OpenSSL library. The code for this is build in a separate library, libevent_openssl, so that your programs don't need to link against OpenSSL unless they actually want SSL support. Package: libevent-perl Version: 1.15-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 468 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Recommends: libtime-hires-perl Homepage: http://search.cpan.org/dist/Event/ Priority: optional Section: perl Filename: pool/main/libe/libevent-perl/libevent-perl_1.15-1_armhf.deb Size: 277402 SHA256: aba0f691784f1c27e5fca7f2f9037dea6bcf3a2879e53aeb4fad12d2e80dd844 SHA1: 296092d46b401594a6a9c6968cc49b80f768f8f6 MD5sum: 40078249e92f03d6c1bd218023246492 Description: generic Perl event loop module The Event module provides a simple and optimized event loop for a rather broad number of applications. It allows Perl programs to register interest in events that concern it, and will receive those events. Package: libevent-pthreads-2.0-5 Source: libevent Version: 2.0.19-stable-3+deb7u2 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 46 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libevent-core-2.0-5 (= 2.0.19-stable-3+deb7u2) Multi-Arch: same Homepage: http://libevent.org/ Priority: optional Section: libs Filename: pool/main/libe/libevent/libevent-pthreads-2.0-5_2.0.19-stable-3+deb7u2_armhf.deb Size: 44090 SHA256: 777d3a66bcc929e9841d4e203268517e5c786c9bc97e4996400499e9888339d6 SHA1: d9c525480e2429567b97da14b737be550136e349 MD5sum: 1d3894f2464970e3b77dbd743c3b6234 Description: Asynchronous event notification library (pthreads) Libevent is an asynchronous event notification library that provides a mechanism to execute a callback function when a specific event occurs on a file descriptor or after a timeout has been reached. . It is meant to replace the asynchronous event loop found in event driven network servers. Currently, libevent supports /dev/poll, kqueue(2), event ports, select(2), poll(2) and epoll(4). . If you want threading support and you're using pthreads, you'll need to link against the libevent_pthreads library in addition to libevent_core. Package: libevent-rpc-perl Version: 1.01-2 Installed-Size: 236 Maintainer: Debian Perl Group Architecture: all Depends: perl, libevent-perl | libglib-perl Recommends: libio-socket-ssl-perl Size: 66058 SHA256: 14fb1b2cb91ae6ce91cf5ad896527f46cc3d68753010d1b3f6030d28c34c774c SHA1: 8d82400ceaa56a980afa5db4b7ab3207deccee84 MD5sum: 5ef0c95b3bad81a8d0088509b08a82c0 Description: Event based transparent Client/Server RPC framework Event::RPC supports you in developing Event based networking client/server applications with transparent object/method access from the client to the server. Network communication is optionally encrypted using IO::Socket::SSL. Several event loop managers are supported due to an extensible API. Currently Event and Glib are implemented. . Event::RPC consists of a server and a client library. The server exports a list of classes and methods, which are allowed to be called over the network. More specific it acts as a proxy for objects created on the server side (on demand of the connected clients) which handles client side methods calls with transport of method arguments and return values. Homepage: http://www.exit1.org/Event-RPC/ Tag: devel::lang:perl, devel::library, devel::rpc, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libe/libevent-rpc-perl/libevent-rpc-perl_1.01-2_all.deb Package: libeventdb-dev Source: libeventdb Version: 0.90-5 Architecture: armhf Maintainer: Moray Allan Installed-Size: 416 Depends: libeventdb2 (= 0.90-5), libglib2.0-dev Homepage: http://gpe.linuxtogo.org/ Priority: optional Section: libdevel Filename: pool/main/libe/libeventdb/libeventdb-dev_0.90-5_armhf.deb Size: 74724 SHA256: 18a5c7203e091c5a880b50eff1a1c7ee3bee3991c8d2ccfe5affc30f472d7404 SHA1: cecd72d8cfbd2ddf8872d3804f48034985c22777 MD5sum: f6728def8ff0f9f2878f9c5b24a6cb79 Description: library that provides access to gpe-calendar data [development] This is the development package for programs that need to access the gpe-calendar database. Package: libeventdb2 Source: libeventdb Version: 0.90-5 Architecture: armhf Maintainer: Moray Allan Installed-Size: 117 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libsqlite0 (>= 2.8.17) Homepage: http://gpe.linuxtogo.org/ Priority: optional Section: libs Filename: pool/main/libe/libeventdb/libeventdb2_0.90-5_armhf.deb Size: 46582 SHA256: 4776c83f5b2d3451c2da9825e711ca00c900f7386f84ad5c58190ecf3705c308 SHA1: ce4a91285e8b279f3bbfe416d229af9ea8f7718d MD5sum: e1584eeb6a75938dd204eaac1b864611 Description: library that provides access to gpe-calendar data [runtime] This is the runtime package for programs that need to access the gpe-calendar database. Package: libeventdb2-dbg Source: libeventdb Version: 0.90-5 Architecture: armhf Maintainer: Moray Allan Installed-Size: 202 Depends: libeventdb2 (= 0.90-5) Homepage: http://gpe.linuxtogo.org/ Priority: extra Section: debug Filename: pool/main/libe/libeventdb/libeventdb2-dbg_0.90-5_armhf.deb Size: 76552 SHA256: 4c0f5a7fe0beee068a049c8e986ed35ce225385dc4b7d115160ac529a0361df4 SHA1: a4b1de3d5bdd21a516974645d2848fdab922c859 MD5sum: df0bd788befba8b7c82047dfc2834a8e Description: library that provides access to gpe-calendar data [debugging] This is the debugging package for programs that need to access the gpe-calendar database. Package: libeventmachine-ruby Source: ruby-eventmachine Version: 0.12.10-3+deb7u1 Installed-Size: 34 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-eventmachine Size: 8978 SHA256: 106bb304f116277543866bb81069a89e1d34ffe6d37b5900c5692b554c65459d SHA1: 3f343f5b43db126598309d84e6382ae2f2558af1 MD5sum: 8a21a41573da12b543c4bff861bf81fe Description: Transitional package for ruby-eventmachine This is a transitional package to ease upgrades to the ruby-eventmachine package. It can safely be removed. Homepage: http://rubyeventmachine.com/ Section: ruby Priority: optional Filename: pool/main/r/ruby-eventmachine/libeventmachine-ruby_0.12.10-3+deb7u1_all.deb Package: libeventmachine-ruby-doc Source: ruby-eventmachine Version: 0.12.10-3+deb7u1 Installed-Size: 34 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-eventmachine Size: 8984 SHA256: 5226749b8f9f4e70efa8af02f76192231174968503149344146d3f76c026a646 SHA1: 709a814af6eeb43de6bf2a1a6782546f5798f2a9 MD5sum: b3ce0158fcc94dd3dfe5398a07e119e8 Description: Transitional package for ruby-eventmachine This is a transitional package to ease upgrades to the ruby-eventmachine package. It can safely be removed. Homepage: http://rubyeventmachine.com/ Section: doc Priority: optional Filename: pool/main/r/ruby-eventmachine/libeventmachine-ruby-doc_0.12.10-3+deb7u1_all.deb Package: libeventmachine-ruby1.8 Source: ruby-eventmachine Version: 0.12.10-3+deb7u1 Installed-Size: 34 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-eventmachine Size: 8988 SHA256: d8f89e6f926f7f33a2de6d9c7ca1083a2645fd31840c690297372cb7850e4df8 SHA1: 784dfc2067f0244e47678008c471c05c2e986dd9 MD5sum: e2ef43e7b66627436d0b8a54ebec6b17 Description: Transitional package for ruby-eventmachine This is a transitional package to ease upgrades to the ruby-eventmachine package. It can safely be removed. Homepage: http://rubyeventmachine.com/ Section: ruby Priority: optional Filename: pool/main/r/ruby-eventmachine/libeventmachine-ruby1.8_0.12.10-3+deb7u1_all.deb Package: libevince-dev Source: evince Version: 3.4.0-3.1+deb7u2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 2125 Depends: libevdocument3-4 (= 3.4.0-3.1+deb7u2), libevview3-3 (= 3.4.0-3.1+deb7u2), gir1.2-evince-3.0 (= 3.4.0-3.1+deb7u2), libgtk-3-dev (>= 3.0.2), libglib2.0-dev (>= 2.25.1) Homepage: http://www.gnome.org/projects/evince/ Priority: optional Section: libdevel Filename: pool/main/e/evince/libevince-dev_3.4.0-3.1+deb7u2_armhf.deb Size: 689532 SHA256: 5a9539923834a2d1d484b1f61f7a27d3c06afb6e2f2b9676c06070dc56589581 SHA1: ab059f1f0c978c3aea2154af210a5e7e0afedb6b MD5sum: 88c7935d66a07acf642ec936a0cd03de Description: Document (PostScript, PDF) rendering library - development files This package contains evince-related libraries for rendering and displaying Evince documents like PostScript (PS), Encapsulated PostScript (EPS), DjVu, DVI, Portable Document Format (PDF) and XML Paper Specification (XPS) files. * libevdocument, which performs the rendering of the documents * libevview, which provides GTK+ widgets for displaying documents . This package provides the development headers, static libraries and documentation. Package: libevocosm-4.0-4 Source: libevocosm Version: 4.0.2-2.1 Architecture: armhf Maintainer: Al Stone Installed-Size: 99 Depends: libbrahe-1.3-3 (>= 1.3.2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Priority: optional Section: libs Filename: pool/main/libe/libevocosm/libevocosm-4.0-4_4.0.2-2.1_armhf.deb Size: 31568 SHA256: b785c9a6e707faa701d9d75e01deb74e968abbe22a6e35464a9065bc9e8e0b91 SHA1: ab7cec260147eb2b08d0c206cf1a4b6621f3f5a5 MD5sum: e005562ba3c7092fbf30c1354c03cd90 Description: C++ framework for developing evolutionary algorithms This library provides a framework for programming a wide variety of evolutionary algorithms, ranging from genetic algorithms to agent simulations. Evocosm is the foundation for Acovea Package: libevocosm-dev Source: libevocosm Version: 4.0.2-2.1 Architecture: armhf Maintainer: Al Stone Installed-Size: 1942 Depends: libevocosm-4.0-4 (= 4.0.2-2.1), libbrahe-dev Priority: optional Section: libdevel Filename: pool/main/libe/libevocosm/libevocosm-dev_4.0.2-2.1_armhf.deb Size: 585028 SHA256: 727ec68ea23bfbfc9ae50bbcedb412b3e2e4bd2e2b2d0e7b8f6c76d2a1834d87 SHA1: 0ecd5c817526895b78763c92829f459ae4483394 MD5sum: 7cf5b42854b42c7eaf2bc282ccbefd2b Description: C++ framework for developing evolutionary algorithms This library provides a framework for programming a wide variety of evolutionary algorithms, ranging from genetic algorithms to agent simulations. Evocosm is the foundation for Acovea . This package contains the files needed to develop code using libevocosm. Package: libevolution Source: evolution (3.4.4-3) Version: 3.4.4-3+b1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 5951 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libcamel-1.2-33 (>= 3.4), libcamel-1.2-33 (<< 3.5), libcanberra-gtk3-0 (>= 0.25), libcanberra0 (>= 0.2), libchamplain-0.12-0 (>= 0.11.0), libchamplain-gtk-0.12-0 (>= 0.11.0), libclutter-1.0-0 (>= 1.10.0), libclutter-gtk-1.0-0 (>= 0.91.8), libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.7.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdrm2 (>= 2.3.1), libebackend-1.2-2 (>= 3.4.4), libebook-1.2-13 (>= 3.4.4), libecal-1.2-11 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libedataserverui-3.0-1 (>= 3.4.4), libenchant1c2a (>= 1.6), libgail-3-0 (>= 3.0.0), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgeoclue0 (>= 0.11.1), libglib2.0-0 (>= 2.31.8), libgnome-desktop-3-2 (>= 3.2.0), libgoa-1.0-0 (>= 3.1.1), libgtk-3-0 (>= 3.4.0), libgtkhtml-4.0-0 (>= 4.4), libgtkhtml-4.0-0 (<< 4.5), libgtkhtml-editor-4.0-0 (>= 4.4), libgtkhtml-editor-4.0-0 (<< 4.5), libical0 (>= 0.42), libice6 (>= 1:1.0.0), libjson-glib-1.0-0 (>= 0.12.0), libldap-2.4-2 (>= 2.4.7), libmx-1.0-2 (>= 1.2.0), libnotify4 (>= 0.7.0), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libpango1.0-0 (>= 1.20.0), libpython2.7 (>= 2.7), libsm6, libsoup-gnome2.4-1 (>= 2.31.2), libsoup2.4-1 (>= 2.26.1), libsqlite3-0 (>= 3.5.9), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6, libxml2 (>= 2.7.4) Replaces: evolution (<< 2.29.1-1) Homepage: http://projects.gnome.org/evolution/ Priority: optional Section: gnome Filename: pool/main/e/evolution/libevolution_3.4.4-3+b1_armhf.deb Size: 2206076 SHA256: e3fcc05dc324d880d542d0cbe8d1a4840bd8aca41632bc77905ac4ca1a6c5948 SHA1: fde4e3e41205d525cb7867d068550127cc2b019f MD5sum: 0497ac25b2e41799a98a04c6f2a7fdca Description: evolution libraries This package contains libraries used by evolution and the Anjal mail client. Package: libevs-dev Source: corosync Version: 1.4.2-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 199 Depends: libevs4 (= 1.4.2-3) Conflicts: libcorosync-dev (<= 1.4.2-1) Replaces: libcorosync-dev (<= 1.4.2-1) Priority: optional Section: libdevel Filename: pool/main/c/corosync/libevs-dev_1.4.2-3_armhf.deb Size: 149888 SHA256: 51d9e4dd30a07fd53471d3adb2ed3306aea4229656b11265df88cc28562da029 SHA1: df6d33db49609574cfac043f63a9ecc7ace0b9f5 MD5sum: 197c076879bf161cf41bab1c2cf38305 Description: Standards-based cluster framework, Evs devel files Corosync is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package contains the Evs library, which is a library included in Corosync. Package: libevs4 Source: corosync Version: 1.4.2-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 181 Depends: libc6 (>= 2.13-28), libcoroipcc4 (>= 1.4.2) Conflicts: libcorosync4 (<= 1.4.2-1) Replaces: libcorosync4 (<= 1.4.2-1) Priority: optional Section: libs Filename: pool/main/c/corosync/libevs4_1.4.2-3_armhf.deb Size: 148712 SHA256: 2e19f3a4458e4893da6db14212c781e12b5f609ce1160aa31d66615bf4a1c6b6 SHA1: 578bdad9353496316546d1d682be38a3172dc814 MD5sum: 4d6587e123159840822f2389d7414654 Description: Standards-based cluster framework, Evs library Corosync is a project to implement a production quality "Revised BSD" licensed implementation of all core functionalities required by openais. The project implements cutting edge research on virtual synchrony to provide 100% correct operation in the face of failures or partitionable networks with excellent performance characteristics. . The Application Interface Specification is a software API and policies which are used to develop applications that maintain service during faults. . This package contains the Evs library, which is a library included in Corosync. Package: libevtlog-dev Source: eventlog Version: 0.2.12-5 Architecture: armhf Maintainer: SZALAY Attila Installed-Size: 71 Depends: libevtlog0 (= 0.2.12-5) Priority: extra Section: libdevel Filename: pool/main/e/eventlog/libevtlog-dev_0.2.12-5_armhf.deb Size: 11864 SHA256: cbe1c5851e114e888649ba2dcdf1ab48f04897f2fd21e24405549c5c7d8c8170 SHA1: 1d3c7439e0c300b79b775fe5548232daa65917a1 MD5sum: 845b8f167a8bd27bbd8548e6b05ca110 Description: Syslog event logger library development files The EventLog library aims to be a replacement of the simple syslog() API provided on UNIX systems. The major difference between EventLog and syslog is that EventLog tries to add structure to messages. . EventLog provides an interface to build, format and output an event record. The exact format and output method can be customized by the administrator via a configuration file. . This package contains the development files. Package: libevtlog0 Source: eventlog Version: 0.2.12-5 Architecture: armhf Maintainer: SZALAY Attila Installed-Size: 43 Depends: libc6 (>= 2.4) Priority: extra Section: libs Filename: pool/main/e/eventlog/libevtlog0_0.2.12-5_armhf.deb Size: 9140 SHA256: 23b43bdf49296126405ae95cfb2c9c1d95c0ab6f7e5a7e5db85c307a71aff118 SHA1: fa2ca28e63d183f25e626c47735c94b94056e7de MD5sum: 64147b9674d8055898466a383d18ad3e Description: Syslog event logger library The EventLog library aims to be a replacement of the simple syslog() API provided on UNIX systems. The major difference between EventLog and syslog is that EventLog tries to add structure to messages. . EventLog provides an interface to build, format and output an event record. The exact format and output method can be customized by the administrator via a configuration file. . This package is the runtime part of the library. Package: libevtlog0-dbg Source: eventlog Version: 0.2.12-5 Architecture: armhf Maintainer: SZALAY Attila Installed-Size: 74 Depends: libevtlog0 (= 0.2.12-5) Priority: extra Section: debug Filename: pool/main/e/eventlog/libevtlog0-dbg_0.2.12-5_armhf.deb Size: 15492 SHA256: b3365fd4f9249a2ae6c412e2c788ddad2d8b5a59a7a5eec5628da203297e1e82 SHA1: c79aec15f3d395a2af2ec853fcfbea2b34eb8c7c MD5sum: e59a3c9327ef90e424ecfa18d056569c Description: Syslog event logger library debug symbols The EventLog library aims to be a replacement of the simple syslog() API provided on UNIX systems. The major difference between EventLog and syslog is that EventLog tries to add structure to messages. . EventLog provides an interface to build, format and output an event record. The exact format and output method can be customized by the administrator via a configuration file. . This package contains the debug symbols. Package: libevview3-3 Source: evince Version: 3.4.0-3.1+deb7u2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 714 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libevdocument3-4 (>= 3.3.90), libgail-3-0 (>= 3.0.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.2), libpango1.0-0 (>= 1.14.0) Breaks: libevince3-3 Replaces: libevince3-3 Homepage: http://www.gnome.org/projects/evince/ Priority: optional Section: libs Filename: pool/main/e/evince/libevview3-3_3.4.0-3.1+deb7u2_armhf.deb Size: 549810 SHA256: e035b2485518a1c10b5482745f5637ba5dbfc2f3e0452e04cb2735d4cc83c2f9 SHA1: b043894baed18fafb39e708bd9d5de969758b9b5 MD5sum: fb84c10e1e7394bd24983e07d8f250ff Description: Document (PostScript, PDF) rendering library - Gtk+ widgets This package contains libevview, which provides GTK+ widgets for displaying documents. Package: libewf-dbg Source: libewf Version: 20100226-1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 1301 Depends: libewf1 (= 20100226-1), libewf-dev (= 20100226-1) Homepage: http://libewf.sourceforge.net Priority: extra Section: debug Filename: pool/main/libe/libewf/libewf-dbg_20100226-1_armhf.deb Size: 458874 SHA256: 1f067e7efefafb96f32cd00f59e796ce0af41ff40df433733401c01741ab7742 SHA1: 338571bafe1ee7189fb86b96f33eab38455f3287 MD5sum: e7a12a93c188832e8f0a0f95aa558212 Description: library with support for Expert Witness Compression Format (debug) Libewf is a library with support for reading and writing the Expert Witness Compression Format (EWF). This library allows you to read media information of EWF files in the SMART (EWF-S01) format and the EnCase (EWF-E01) format. It supports files created by EnCase 1 to 6, linen and FTK Imager. . This package contains the debugging symbols. Package: libewf-dev Source: libewf Version: 20100226-1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 810 Depends: libewf1 (= 20100226-1), zlib1g-dev Homepage: http://libewf.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/libe/libewf/libewf-dev_20100226-1_armhf.deb Size: 225092 SHA256: 08d37e6657794a32dd60795c3535e1e452e3ffe945c92c5ffd1548d3af3cfbce SHA1: 2a99db2414860784051d0d0af90edbb7493e3c88 MD5sum: 34652c4ccc67e5961e5331410a77538f Description: support for Expert Witness Compression format (development) Libewf is a library with support for reading and writing the Expert Witness Compression Format (EWF). This library allows you to read media information of EWF files in the SMART (EWF-S01) format and the EnCase (EWF-E01) format. It supports files created by EnCase 1 to 6, linen and FTK Imager. . This package contains the development files. Package: libewf1 Source: libewf Version: 20100226-1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 524 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.2.0) Homepage: http://libewf.sourceforge.net Priority: optional Section: libs Filename: pool/main/libe/libewf/libewf1_20100226-1_armhf.deb Size: 190226 SHA256: 9e5150f7990aaaa871e866a53af5c625766750d07966c8e63e40df21cf0d3243 SHA1: 4d002dc0a8e22fbf9272de13c95c8858ef2de516 MD5sum: 06f0f6e3819bf7eb098e532ff5256ac7 Description: library with support for Expert Witness Compression Format Libewf is a library with support for reading and writing the Expert Witness Compression Format (EWF). This library allows you to read media information of EWF files in the SMART (EWF-S01) format and the EnCase (EWF-E01) format. It supports files created by EnCase 1 to 6, linen and FTK Imager. Package: libexactimage-perl Source: exactimage Version: 0.8.5-5+deb7u4 Architecture: armhf Maintainer: Daniel Stender Installed-Size: 1279 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgif4 (>= 4.1.4), libgomp1 (>= 4.4), libilmbase6 (>= 1.0.1), libjasper1, libjpeg8 (>= 8c), libopenexr6 (>= 1.6.1), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), zlib1g (>= 1:1.1.4), perl (>= 5.14.2-21+rpi2+deb7u2), perlapi-5.14.2 Breaks: exactimage-perl (<< 0.8) Replaces: exactimage-perl (<< 0.8) Homepage: http://www.exactcode.de/site/open_source/exactimage/ Priority: optional Section: perl Filename: pool/main/e/exactimage/libexactimage-perl_0.8.5-5+deb7u4_armhf.deb Size: 664814 SHA256: c021387c786c602b8f84a1c727b8ef8ad3b34f492ac7f4cff404bc67f5261aa9 SHA1: 8bb0bb124e688deed20cf1e0154de538067ee4fb MD5sum: cb079e08131061706d62e06cb20ef5e1 Description: fast image manipulation library (Perl bindings) ExactImage is a fast C++ image processing library. Unlike many other library frameworks it allows operation in several color spaces and bit depths natively, resulting in low memory and computational requirements. . This package contains the Perl bindings. Package: libexcalibur-logger-java Source: excalibur-logger Version: 2.1-4 Installed-Size: 108 Maintainer: Debian Java Maintainers Architecture: all Depends: libavalon-framework-java, libexcalibur-logkit-java, libgeronimo-jms-1.1-spec-java | libjboss-j2ee-java, libgnumail-java, liblog4j1.2-java, libservlet2.5-java, libxalan2-java Size: 73042 SHA256: 215fe2639026f711502c52f61ff8507d3e935374ff40805a6632ff550d57c571 SHA1: a6442358f67028631cb8628ffa2d06c3847cae65 MD5sum: f4a316b344032fac2348e20ce535d54e Description: Excalibur project's log management system Excalibur-Logger integrates neatly into the Avalon ECM and Excalibur-Fortress. The main goal is to be able to define the log categories on a component basis by specifying a 'logger' attribute which denotes the log category to use for a particular component (given the component is LogEnabled or Loggable). Homepage: http://excalibur.apache.org/logger.html Section: java Priority: optional Filename: pool/main/e/excalibur-logger/libexcalibur-logger-java_2.1-4_all.deb Package: libexcalibur-logkit-java Source: excalibur-logkit Version: 2.0-9 Installed-Size: 132 Maintainer: Debian Java Maintainers Architecture: all Replaces: liblogkit-java Conflicts: liblogkit-java Size: 76670 SHA256: 8626fee78b699bdd88333f43dbb6fd952e03652e192b16059459548699e7c76e SHA1: 1977bd5eac17953880ea29b3c5223a564eca0ad3 MD5sum: 9897c180ca6dc639404b212f22cff19d Description: Lightweight and fast designed logging toolkit for Java Excalibur Logkit (previously avalon logkit) is a lightweight, fast, securely designed logging toolkit. It is designed to integrate into existing applications. Logkit is more lightweight than Log4j. . This package contains the library jar. Homepage: http://excalibur.apache.org/ Section: java Priority: optional Filename: pool/main/e/excalibur-logkit/libexcalibur-logkit-java_2.0-9_all.deb Package: libexcalibur-logkit-java-doc Source: excalibur-logkit Version: 2.0-9 Installed-Size: 2792 Maintainer: Debian Java Maintainers Architecture: all Size: 180292 SHA256: adef9ba6132fd4f34e86615707ac58a8a7ea11d07279b4f9e2139d7f6d67e2a7 SHA1: 5316ff2f0151be6258330c80f88494b6631f7c64 MD5sum: 75fa6fb10b5ec065755935b1bd504f3c Description: Lightweight and fast designed logging toolkit for Java (API docs) Excalibur Logkit (previously avalon logkit) is a lightweight, fast, securely designed logging toolkit. It is designed to integrate into existing applications. Logkit is more lightweight than Log4j. . This package contains the API documentation. Homepage: http://excalibur.apache.org/ Tag: admin::logging, devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/e/excalibur-logkit/libexcalibur-logkit-java-doc_2.0-9_all.deb Package: libexcel-template-perl Version: 0.34-1 Installed-Size: 214 Maintainer: Debian Perl Group Architecture: all Depends: perl, libio-stringy-perl, libspreadsheet-writeexcel-perl, libxml-parser-perl Size: 103806 SHA256: 960745acec5b4f712acc7ef2b5a425f4723f4a384cca54a1fb4ef873af12d0ab SHA1: 0a9e73629cc46f1013f39785b83f053949ad07ba MD5sum: 571b3011549e023ef41de1a899e512d9 Description: Perl module for templating Excel files Excel::Template is a layout system that uses the data structures from HTML::Template to create an Excel spreadsheet file from an XML template file that describes it. Note that all limitations stated in the module Spreadsheet::WriteExcel are in force, as that is the module used for rendering. Homepage: http://search.cpan.org/dist/Excel-Template/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libexcel-template-perl/libexcel-template-perl_0.34-1_all.deb Package: libexcel-template-plus-perl Version: 0.05-1 Installed-Size: 83 Maintainer: Debian Perl Group Architecture: all Depends: perl, libexcel-template-perl, libio-string-perl, libmoose-perl, libmoosex-param-perl, libspreadsheet-parseexcel-perl, libtemplate-perl Size: 16526 SHA256: fb8f058ab065300cb8a5128c81bd93a60c4ad74ecb3d1b3c4e15fe7b5485c033 SHA1: e1e6b0c250298f5cf3848f585bcd4ca4c6e7789d MD5sum: 245908cc1b4be8a5ee17cc2f52a17a77 Description: module for testing and comparing Excel files Excel::Template::Plus attempts to provide a means of testing and comparing dynamically generated excel files. Currently it only supports comparing two excel files for some approximation of structural (values within cells) and visual (formatting of said cells) equivalence. Homepage: http://search.cpan.org/dist/Excel-Template-Plus/ Section: perl Priority: optional Filename: pool/main/libe/libexcel-template-plus-perl/libexcel-template-plus-perl_0.05-1_all.deb Package: libexcel-writer-xlsx-perl Version: 0.47-1 Installed-Size: 1716 Maintainer: Alexander Zangerl Architecture: all Depends: perl, libarchive-zip-perl Size: 419228 SHA256: 6ceb6854aabfb709dc8ded71289bae5cb2f4d1036b7fbea495d221e509066f4a SHA1: 2c52f643e8d96dcfb10e4feccfa0507fb203fb0a MD5sum: fa4a20e882eca7a786bb0fa3796291ff Description: module to create Excel spreadsheets in xlsx format The Excel::Writer::XLSX module is used to create an Excel file in the 2007+ XLSX format. The XLSX format is the Office Open XML (OOXML) format used by Excel 2007 and later. . This module cannot, as yet, write to an existing Excel XLSX file. The module uses the same interface as the Spreadsheet::WriteExcel module (which creates binary XLS Excel files). Homepage: http://jmcnamara.github.com/excel-writer-xlsx/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libexcel-writer-xlsx-perl/libexcel-writer-xlsx-perl_0.47-1_all.deb Package: libexception-class-dbi-perl Version: 1.00-1 Installed-Size: 88 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdbi-perl (>= 1.28), libexception-class-perl (>= 1.02) Size: 18194 SHA256: 2d354ca4d3a23b3214f0bcedd10f3dbc9fee4f590a256e3535f3492429d62c1c SHA1: 6631a0b59e0cd83ca4f8115df355782e27378c23 MD5sum: d830956aeb81c0b085329e0991333a0c Description: exception objects customized for DBI These exception objects fit into the Exception::Class hierarchy but are thrown in certain DBI specific contexts. So be setting a handler during the database connection call database error handling can be simplified. Homepage: http://search.cpan.org/dist/Exception-Class-DBI/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libexception-class-dbi-perl/libexception-class-dbi-perl_1.00-1_all.deb Package: libexception-class-perl Version: 1.32-1 Installed-Size: 101 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-data-inheritable-perl (>= 0.02), libdevel-stacktrace-perl (>= 1.2000) Size: 33916 SHA256: 2fc6496d8a7624d42284702733484c6fc8290bd973cebd6b0813dc2a9e624b28 SHA1: c6dade5f56678002962f913044db251c2d1c759a MD5sum: 28b3cdc991af079c100a3d815b26b553 Description: module that allows you to declare real exception classes in Perl Exception::Class allows you to declare exceptions in your modules in a manner similar to how exceptions are declared in Java. . It features a simple interface allowing programmers to 'declare' exception classes at compile time. It also has a base exception class, Exception::Class::Base, that can be used for classes stored in files (aka modules ;) ) that are subclasses. . It is designed to make structured exception handling simpler and better by encouraging people to use hierarchies of exceptions in their applications. Homepage: http://search.cpan.org/dist/Exception-Class/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libexception-class-perl/libexception-class-perl_1.32-1_all.deb Package: libexception-class-trycatch-perl Version: 1.12-1 Installed-Size: 88 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.005), libexception-class-perl (>= 1.2) Size: 15504 SHA256: 09c33ca626de32e47f61beaf86c69f1318893eb2193bc66879825d7f71ed1456 SHA1: df1d67ccfb77ba8986e7d48195c34757db50ee9f MD5sum: ac12bc8d878eca403a7a42c86b840cea Description: syntactic try/catch sugar for use with Exception::Class Exception::Class::TryCatch provides syntactic sugar for use with Exception::Class using the familiar keywords try and catch. Its primary objective is to allow users to avoid dealing directly with $@ by ensuring that any exceptions caught in an eval are captured as Exception::Class objects, whether they were thrown objects to begin with or whether the error resulted from die. This means that users may immediately use isa and various Exception::Class methods to process the exception. . In addition, this module provides for a method to push errors onto a hidden error stack immediately after an eval so that cleanup code or other error handling may also call eval without the original error in $@ being lost. Homepage: http://search.cpan.org/dist/Exception-Class-TryCatch/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libexception-class-trycatch-perl/libexception-class-trycatch-perl_1.12-1_all.deb Package: libexception-handler-perl Version: 1.004-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 6498 SHA256: fc3e9b9b75091539da88de998c480eac4e24587f69b4f62177abd780cf5407bc SHA1: 26bdbd8ba23f25db9e3b3a2e53f70421886d3ae9 MD5sum: 039a3db2cc8012e8b779d79d985c2b14 Description: perl module Exception::Handler This Perl module reportx exceptions with formatted text call-stack. Homepage: http://search.cpan.org/dist/Exception-Handler/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libexception-handler-perl/libexception-handler-perl_1.004-1_all.deb Package: libexchangemapi-1.0-0 Source: evolution-mapi Version: 3.4.4-1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 406 Depends: gconf-service, libc6 (>= 2.13-28), libcamel-1.2-33 (>= 3.4), libcamel-1.2-33 (<< 3.5), libdcerpc0, libebook-1.2-13 (>= 3.4.4), libecal-1.2-11 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.31.8), libical0 (>= 0.42), libmapi0, libndr0, libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libsamba-util0, libsoup2.4-1 (>= 2.4.0), libsqlite3-0 (>= 3.5.9), libtalloc2 (>= 2.0.4~git20101213), libtevent0 (>= 0.9.9), libxml2 (>= 2.6.27) Homepage: http://www.go-evolution.org/MAPIProvider Priority: optional Section: libs Filename: pool/main/e/evolution-mapi/libexchangemapi-1.0-0_3.4.4-1_armhf.deb Size: 181706 SHA256: f04297fa54cbc2a81e1672bb9bf82eaa74aa92521903f4be7a7a5a64711f7599 SHA1: 09cdb51d981a401b41a9ca424d9c275d07f0c6d6 MD5sum: 06164e7e0e764bb9a9769563b46906b0 Description: client library for accessing Exchange servers - runtime libexchangemapi helps accessing Microsoft Exchange servers using the proprietary MAPI protocol. It is based on Openchange, and used by the Evolution MAPI provider. Package: libexchangemapi-1.0-dev Source: evolution-mapi Version: 3.4.4-1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 169 Depends: libexchangemapi-1.0-0 (= 3.4.4-1), evolution-data-server-dev (>= 3.4.4), evolution-dev (>= 3.4.4), evolution-dev (<< 3.5), libmapi-dev (>= 1:0.11) Homepage: http://www.go-evolution.org/MAPIProvider Priority: optional Section: libdevel Filename: pool/main/e/evolution-mapi/libexchangemapi-1.0-dev_3.4.4-1_armhf.deb Size: 86000 SHA256: 191a61663b2217cc78a452dfb7905e0f031f3902e9e9d2d165914d9f5f91123a SHA1: 20c1c5c5b22d082292b7f321377b176c2d3ca4f2 MD5sum: bb3591ff4de71112316cc198c067a5fd Description: client library for accessing Exchange servers - development libexchangemapi helps accessing Microsoft Exchange servers using the proprietary MAPI protocol. It is based on Openchange, and used by the Evolution MAPI provider. . This package contains headers and static library files for using libexchangemapi. Package: libexempi-dev Source: exempi Version: 2.2.0-1+deb7u1 Architecture: armhf Maintainer: Asheesh Laroia Installed-Size: 2019 Depends: libexempi3 (= 2.2.0-1+deb7u1) Multi-Arch: same Homepage: http://libopenraw.freedesktop.org/wiki/Exempi Priority: optional Section: libdevel Filename: pool/main/e/exempi/libexempi-dev_2.2.0-1+deb7u1_armhf.deb Size: 694352 SHA256: 8bc9ef9997118e33a07c01a95172a38ae321e8b06af8c009a38dc6b75419240c SHA1: 09dc41ff8dd9f6d626fc0a25187ddb4dfc5b43f8 MD5sum: 4deef0e4ab3df0ad76a8832b230da09c Description: library to parse XMP metadata (Development files) Exempi is a library to parse XMP metadata as defined by the specification. . XMP (Extensible Metadata Platform) facilitates embedding metadata in files using a subset of RDF. Most notably XMP supports embedding metadata in PDF and many image formats, though it is designed to support nearly any file type. Package: libexempi3 Source: exempi Version: 2.2.0-1+deb7u1 Architecture: armhf Maintainer: Asheesh Laroia Installed-Size: 1004 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://libopenraw.freedesktop.org/wiki/Exempi Priority: optional Section: libs Filename: pool/main/e/exempi/libexempi3_2.2.0-1+deb7u1_armhf.deb Size: 455550 SHA256: 022de9f728ea34823242fa504f5c16b102d5836f8f27f845c5d8da4bae336435 SHA1: da84b12b63dbda9fc3abe2ded2fe77ecf6bc59e8 MD5sum: 11b1c25aaf6bc87b60fb05972b29af29 Description: library to parse XMP metadata (Library) Exempi is a library to parse XMP metadata as defined by the specification. . XMP (Extensible Metadata Platform) facilitates embedding metadata in files using a subset of RDF. Most notably XMP supports embedding metadata in PDF and many image formats, though it is designed to support nearly any file type. Package: libexempi3-dbg Source: exempi Version: 2.2.0-1+deb7u1 Architecture: armhf Maintainer: Asheesh Laroia Installed-Size: 2544 Depends: libexempi3 (= 2.2.0-1+deb7u1) Multi-Arch: same Homepage: http://libopenraw.freedesktop.org/wiki/Exempi Priority: extra Section: debug Filename: pool/main/e/exempi/libexempi3-dbg_2.2.0-1+deb7u1_armhf.deb Size: 2590700 SHA256: d97b5fba800228c4a93eef78577cf7903cdf7528050a6aa1339c7adfa47ab9c8 SHA1: 12bef9abd4d38eb4355464898c828cd6ac395578 MD5sum: 4581df481f9391e86ee8f648ef88ea5b Description: library to parse XMP metadata (Debug files) Exempi is a library to parse XMP metadata as defined by the specification. . XMP (Extensible Metadata Platform) facilitates embedding metadata in files using a subset of RDF. Most notably XMP supports embedding metadata in PDF and many image formats, though it is designed to support nearly any file type. Package: libexif-dev Source: libexif Version: 0.6.20-3 Architecture: armhf Maintainer: Debian PhotoTools Maintainers Installed-Size: 1367 Depends: libc6-dev, libexif12 (= 0.6.20-3), libjs-jquery Homepage: http://libexif.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/libe/libexif/libexif-dev_0.6.20-3_armhf.deb Size: 425962 SHA256: df6811aed43cc40bcff0813f1b4658c720399a98060cc3dd0468627d2658a3a1 SHA1: d4eaa3fe7912cc2a234af25087e0d52ec1b88994 MD5sum: e33e8a2a7cac50d82a080b894b80c3c8 Description: library to parse EXIF files (development files) Most digital cameras produce EXIF files, which are JPEG files with extra tags that contain information about the image. The EXIF library allows you to parse an EXIF file and read the data from those tags. . This package contains the development files. Package: libexif-gtk-dev Source: libexif-gtk Version: 0.3.5-5 Architecture: armhf Maintainer: Debian PhotoTools Maintainers Installed-Size: 191 Depends: libexif-gtk5 (= 0.3.5-5), libgtk2.0-dev Homepage: http://libexif.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/libe/libexif-gtk/libexif-gtk-dev_0.3.5-5_armhf.deb Size: 43554 SHA256: ea32bb9415208dce67f075f81d7a7448f5907d9b586208f7e44e08ef87b38e43 SHA1: a153cb1c0014b6fa1db21e17f54bc751d3bd6cd9 MD5sum: fe760a968e60a105f493f001c7f140b1 Description: Library providing GTK+ widgets to display/edit EXIF tags (development files) Most digital cameras produce EXIF files, which are JPEG files with extra tags that contain information about the image. The EXIF library allows you to parse an EXIF file and read the data from those tags. . This package contains the development files. Package: libexif-gtk5 Source: libexif-gtk Version: 0.3.5-5 Architecture: armhf Maintainer: Debian PhotoTools Maintainers Installed-Size: 140 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexif12, libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://libexif.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libe/libexif-gtk/libexif-gtk5_0.3.5-5_armhf.deb Size: 34542 SHA256: c1c2a97a49c1f8e4eda1a1bcda574b79b35874f6e178a04381781431a088adee SHA1: 4114b4a315e53760c96f486ece3f82b37e0047f1 MD5sum: 075ffe8cda3ff8c7f39ca3b879175010 Description: Library providing GTK+ widgets to display/edit EXIF tags Most digital cameras produce EXIF files, which are JPEG files with extra tags that contain information about the image. The EXIF library allows you to parse an EXIF file and read the data from those tags. . This library provides GTK+ widgets to display/edit EXIF tags. Package: libexif-ruby Source: ruby-exif Version: 0.1.2-20 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-exif Size: 3920 SHA256: 543fd76bc647a1fe453aab31aa4017163b3f60dcd35b1338f688792e0631337c SHA1: 7bfcbb74e5190d6a1c587187d6b3e7a2faed2652 MD5sum: 54162f61641f224fe93a0db2c9991f0a Description: Ruby library for EXIF tag parsing (transitional package) This is a dummy transition package that can be safely removed once no package depend on it. Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::metapackage, use::editing, use::viewing, works-with-format::jpg, works-with::image, works-with::image:raster Section: oldlibs Priority: extra Filename: pool/main/r/ruby-exif/libexif-ruby_0.1.2-20_all.deb Package: libexif-ruby1.8 Source: ruby-exif Version: 0.1.2-20 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-exif Size: 3898 SHA256: 3ea4ba547487cc225ef53e67d6c5d77c5503923124996cd6fcb51d22158da81a SHA1: d1a4319328267b190cba4672dffbd8146eeeba99 MD5sum: f908a45b44a8f66484358405ba2330e3 Description: Ruby library for EXIF tag parsing (transitional package) libexif is a library for parsing, editing, and saving EXIF data. Tag: devel::lang:ruby, devel::library, implemented-in::ruby, use::editing, use::viewing, works-with-format::jpg, works-with::image, works-with::image:raster Section: oldlibs Priority: extra Filename: pool/main/r/ruby-exif/libexif-ruby1.8_0.1.2-20_all.deb Package: libexif-ruby1.9.1 Source: ruby-exif Version: 0.1.2-20 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-exif Size: 3926 SHA256: 54235ed2046b3dc52d6c328feee3e2fa18a241a459b6babbabf966ba77077d0f SHA1: 1ad4feebcccfc9b7f0fcd3258cd342c1c05f8385 MD5sum: e8a6548fd6b7c4989be298abbdfae3b2 Description: Ruby library for EXIF tag parsing (transitional package) This is a dummy transition package that can be safely removed once no package depend on it. Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::shared-lib, use::editing, use::viewing, works-with-format::jpg, works-with::image, works-with::image:raster Section: oldlibs Priority: extra Filename: pool/main/r/ruby-exif/libexif-ruby1.9.1_0.1.2-20_all.deb Package: libexif12 Source: libexif Version: 0.6.20-3 Architecture: armhf Maintainer: Debian PhotoTools Maintainers Installed-Size: 1779 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://libexif.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libe/libexif/libexif12_0.6.20-3_armhf.deb Size: 575170 SHA256: 9b6b724490f86b99cfc6aab811bbe22d78ceafbab22c7b81170e85d8498a6bd2 SHA1: 53a5a9746ca90e06d3360394228c8af0105d46bf MD5sum: 7c833c2e4c33199a3005ae941bab9130 Description: library to parse EXIF files Most digital cameras produce EXIF files, which are JPEG files with extra tags that contain information about the image. The EXIF library allows you to parse an EXIF file and read the data from those tags. Package: libexiv2-12 Source: exiv2 Version: 0.23-1+deb7u2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 1846 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Suggests: exiv2 Homepage: http://www.exiv2.org Priority: optional Section: libs Filename: pool/main/e/exiv2/libexiv2-12_0.23-1+deb7u2_armhf.deb Size: 737272 SHA256: 12464dee2d0f86eb60674dc0353fdbfe155b0ef399fcf7d4b6333c5be65b673d SHA1: 4296d048a0770ca2665085eb0b2b4e3eaef102a9 MD5sum: e3bf8919d0049c019ab1eef97329fe0f Description: EXIF/IPTC metadata manipulation library Exiv2 is a C++ library and a command line utility to manage image metadata. It provides fast and easy read and write access to the Exif, IPTC and XMP metadata of images in various formats . Exiv2 library provides: * fast read and write access to the Exif, IPTC, and XMP metadata of an image * an easy to use and extensively documented API * conversions of Exif and IPTC metadata to XMP and vice versa * a smart IPTC implementation that does not affect data that programs like Photoshop store in the same image segment * Exif Makernote support: - Makernote tags can be read and written just like any other metadata - a sophisticated write algorithm avoids corrupting the Makernote * a simple interface to extract previews embedded in RAW images and Exif thumbnails * set and delete methods for Exif thumbnails Package: libexiv2-dbg Source: exiv2 Version: 0.23-1+deb7u2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 12035 Depends: libexiv2-12 (= 0.23-1+deb7u2) Homepage: http://www.exiv2.org Priority: extra Section: debug Filename: pool/main/e/exiv2/libexiv2-dbg_0.23-1+deb7u2_armhf.deb Size: 4196946 SHA256: 43521ccdb23a0741f49ef641ed010a61e9997efcc6e0fe8ce68a6337b2de6b11 SHA1: ad7af72e146ff0323d767f6fb863dfe53b7a2105 MD5sum: 6bb27b39643f9b1d425cda97efee7377 Description: EXIF/IPTC metadata manipulation library - debug Exiv2 is a C++ library and a command line utility to manage image metadata. It provides fast and easy read and write access to the Exif, IPTC and XMP metadata of images in various formats . This package provides the Exiv2 debug symbols. Package: libexiv2-dev Source: exiv2 Version: 0.23-1+deb7u2 Architecture: armhf Maintainer: Debian KDE Extras Team Installed-Size: 5771 Depends: libexiv2-12 (= 0.23-1+deb7u2), pkg-config Suggests: libexiv2-doc Homepage: http://www.exiv2.org Priority: optional Section: libdevel Filename: pool/main/e/exiv2/libexiv2-dev_0.23-1+deb7u2_armhf.deb Size: 1679456 SHA256: 60b4473e33b1579925ccce9ac21fff1eb079658f0a9bce2dfbcfd2c87301c102 SHA1: b92b748833758d85b5de904a3d39c32090f626a9 MD5sum: 78b661d3275a807854dab2e0ea298446 Description: EXIF/IPTC metadata manipulation library - development files Exiv2 is a C++ library and a command line utility to manage image metadata. It provides fast and easy read and write access to the Exif, IPTC and XMP metadata of images in various formats . This package provides the development files for using exiv2. Package: libexiv2-doc Source: exiv2 Version: 0.23-1+deb7u2 Installed-Size: 130 Maintainer: Debian KDE Extras Team Architecture: all Size: 63590 SHA256: b895c1209e66204920de945bcd5ddf47fe019c4b962b26bc31128e3a52a59538 SHA1: 715794010bce511a1984c4bc15dd357a1ad1dc7c MD5sum: 8f862da61733661a70869ca2ff2415ea Description: EXIF/IPTC metadata manipulation library - HTML documentation Exiv2 is a C++ library and a command line utility to manage image metadata. It provides fast and easy read and write access to the Exif, IPTC and XMP metadata of images in various formats . This package provides the Exiv2 HTML documentation. Homepage: http://www.exiv2.org Section: doc Priority: optional Filename: pool/main/e/exiv2/libexiv2-doc_0.23-1+deb7u2_all.deb Package: libexml-java Version: 0.0.20080703-4 Installed-Size: 279 Maintainer: Debian Java Maintainers Architecture: all Size: 237210 SHA256: 147418f9af064284bd8e549652e4c53f701f162d7928f971bd821ff5b42a5c0f SHA1: 59e01634a412fca2d5733b14884aff0e5021933c MD5sum: 927321bd2b22c76a5b93271034640776 Description: e-xml parsing library for java A XML pull-parse library for Java 1.2, designed for processing XML protocol messages in the most efficient way possible. Contains a complete HTTP client library. Homepage: http://e-xml.sourceforge.net/ Section: java Priority: optional Filename: pool/main/libe/libexml-java/libexml-java_0.0.20080703-4_all.deb Package: libexo-1-0 Source: exo Version: 0.6.2-5 Architecture: armhf Maintainer: Debian Xfce Maintainers Installed-Size: 2116 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxfce4util4 (>= 4.3.99.2), libexo-common, libexo-helpers Recommends: liburi-perl Multi-Arch: same Homepage: http://www.xfce.org Priority: optional Section: libs Filename: pool/main/e/exo/libexo-1-0_0.6.2-5_armhf.deb Size: 538024 SHA256: 802e39fa289cee9f8dbbcf098a59d2c3653a9ab156c949ef829304a27df80331 SHA1: b349de7ac4f2fb03ff8337eed154e860f756d4b5 MD5sum: 3a2d744e601b252f8c8b3203f102e572 Description: Library with extensions for Xfce libexo is a library for Xfce that contains a bunch of additional widgets and a framework for editable toolbars (an improved version of the framework present in GNOME), light-weight session management support, functions to automatically synchronize object properties (based on GObject Binding Properties) and several miscellaneous utility and helper functions for application developers. . While Xfce ships with quite a few libraries that are primarily targeted at desktop development, libexo is targeted at application development, with a focus on applications for Xfce. Package: libexo-1-0-dbg Source: exo Version: 0.6.2-5 Architecture: armhf Maintainer: Debian Xfce Maintainers Installed-Size: 601 Depends: libexo-1-0 (= 0.6.2-5) Multi-Arch: same Homepage: http://www.xfce.org Priority: extra Section: debug Filename: pool/main/e/exo/libexo-1-0-dbg_0.6.2-5_armhf.deb Size: 479658 SHA256: a76e80e4fa59bb44857e64fb08b40bb7a85eb67f77f2cd1232cffd8c429edfd5 SHA1: 6c4b38007582d5152186e05f6f3060a5302c823c MD5sum: c8e6549d1ec832650432d248bef2755e Description: debugging informations for libexo This package contains the debugging symbols for libexo, additional library for Xfce. Package: libexo-1-dev Source: exo Version: 0.6.2-5 Architecture: armhf Maintainer: Debian Xfce Maintainers Installed-Size: 1537 Depends: libexo-1-0 (= 0.6.2-5), libxfce4util-dev (>= 4.8.0), libgtk2.0-dev Multi-Arch: same Homepage: http://www.xfce.org Priority: optional Section: libdevel Filename: pool/main/e/exo/libexo-1-dev_0.6.2-5_armhf.deb Size: 466768 SHA256: 860026e1fa48b679491ae41dce06a81fc411001e0389a21712bb69f8255dab86 SHA1: 3f8bb1e2e2abbf1288001a5c8abeb4e9bf0acd2b MD5sum: 13cefb2c3ad9a2841651817947922509 Description: Development files for libexo This package contains the headers and the static library for libexo-1-0. Package: libexo-common Source: exo Version: 0.6.2-5 Installed-Size: 1097 Maintainer: Debian Xfce Maintainers Architecture: all Replaces: libexo-0.3-0 (<< 0.3.104-3) Pre-Depends: dpkg (>= 1.15.7.2) Size: 666880 SHA256: 539c80e2a9cfa0f36b9024677cb7c66bfd3c852e169a5c4687f8dcb63031aafc SHA1: 9bb077bb9a67ffb9291e475d7333e2fb7d685164 MD5sum: 6f6b0891ae638ccaf4c571f284ed91bc Description: libexo common files This package contains the common files in use by exo library. It ships various helpers, desktop files and documentation. Multi-Arch: foreign Homepage: http://www.xfce.org Tag: role::app-data, suite::xfce Section: libs Priority: extra Filename: pool/main/e/exo/libexo-common_0.6.2-5_all.deb Package: libexo-helpers Source: exo Version: 0.6.2-5 Architecture: armhf Maintainer: Debian Xfce Maintainers Installed-Size: 185 Replaces: libexo-0.3-0 (<< 0.3.104-3), libexo-common (<< 0.6.2-3) Multi-Arch: same Homepage: http://www.xfce.org Priority: optional Section: libs Filename: pool/main/e/exo/libexo-helpers_0.6.2-5_armhf.deb Size: 115490 SHA256: 1ddcf3199ef0125caf10c489aa5df9ab6b52a49b794c73fd68c351ec85b80909 SHA1: 537801fb5fd7120ec4bcf132ec2eb969f01ccedf MD5sum: f13323d3d56cf3a821f9885f938fa33c Description: libexo helpers This package contains the helper files in use by exo library for its preferred applications framework. Package: libexodusii-dev Source: exodusii Version: 5.14.dfsg.1-2 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 838 Depends: libnetcdf-dev, libnemesis3 (= 5.14.dfsg.1-2), libexodusii5 (= 5.14.dfsg.1-2) Multi-Arch: same Homepage: http://sourceforge.net/projects/exodusii/ Priority: optional Section: libdevel Filename: pool/main/e/exodusii/libexodusii-dev_5.14.dfsg.1-2_armhf.deb Size: 202736 SHA256: b61ac8f532687385c91cd76bd4bd74c3a119364f4777e0999ba5fbd5fcf880c6 SHA1: f145a71fcd103950ad916265c117521da8cfd091 MD5sum: a62d13a8567b006448d120d25264ec39 Description: exodusII datamodel for finite element analysis EXODUS II is a model developed to store and retrieve transient data for finite element analyses. It is used for preprocessing, postprocessing, as well as code to code data transfer. ExodusII is based on netcdf. It includes the nemesis parallel extension. Package: libexodusii5 Source: exodusii Version: 5.14.dfsg.1-2 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 318 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libnetcdfc7 Multi-Arch: same Homepage: http://sourceforge.net/projects/exodusii/ Priority: optional Section: libs Filename: pool/main/e/exodusii/libexodusii5_5.14.dfsg.1-2_armhf.deb Size: 119206 SHA256: bda3a42320d3289b4c6b6e23da12efceb0fbb913fe19993f5e2cf08c40ae226a SHA1: a6ea2bd0ad191606932f77050a5762418595c1f2 MD5sum: 4c82f405dd9e2ef69b8f80fabb803ce1 Description: exodusII datamodel for finite element analysis EXODUS II is a model developed to store and retrieve transient data for finite element analyses. It is used for preprocessing, postprocessing, as well as code to code data transfer. ExodusII is based on netcdf. Package: libexosip2-7 Source: libexosip2 Version: 3.6.0-4 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 356 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libosip2-7 Homepage: http://savannah.gnu.org/projects/exosip/ Priority: optional Section: libs Filename: pool/main/libe/libexosip2/libexosip2-7_3.6.0-4_armhf.deb Size: 110800 SHA256: ad22f38ea12e8dcd57e63f5911c52bf07dc44e7bf3d3303e90076df4b6c210ee SHA1: 88ef783881b7cbcaa3f9f53a9e783a48cd3f573c MD5sum: 70130ff9257474fbf196947a2b5004f4 Description: eXtended osip library Hides the complexity of using the SIP protocol for multimedia session establishment. This protocol is mainly to be used by VoIP telephony applications (endpoints or conference server) but might be also useful for any application that wish to establish sessions like multi-player games. Package: libexosip2-dev Source: libexosip2 Version: 3.6.0-4 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 1038 Depends: libexosip2-7 (= 3.6.0-4), libosip2-dev Homepage: http://savannah.gnu.org/projects/exosip/ Priority: optional Section: libdevel Filename: pool/main/libe/libexosip2/libexosip2-dev_3.6.0-4_armhf.deb Size: 270264 SHA256: 0613db2883bc3ccca0f3b9807e5bab27d50cc7973291cdd6dbed2e9a5f41eb3f SHA1: 2ae56bf333f2d2dd6ce25db79dac8e81c3cf7854 MD5sum: eeaeb9dd2446befea2e887b7519c673a Description: eXtended osip library development files Hides the complexity of using the SIP protocol for multimedia session establishment. This protocol is mainly to be used by VoIP telephony applications (endpoints or conference server) but might be also useful for any application that wish to establish sessions like multi-player games. . Development files for the eXtended osip library Package: libexpat-gst Source: gnu-smalltalk Version: 3.2.4-2 Architecture: armhf Maintainer: Debian GNU Smalltalk maintainers Installed-Size: 135 Depends: gnu-smalltalk (= 3.2.4-2), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), gnu-smalltalk-common (>= 3.2.4) Homepage: http://smalltalk.gnu.org Priority: extra Section: interpreters Filename: pool/main/g/gnu-smalltalk/libexpat-gst_3.2.4-2_armhf.deb Size: 82936 SHA256: a33349c1d6dfaf8b511c4e0575cd25b60db5d584a51a736e02e74f8686e0e81d SHA1: 4d88d131c606d4742f0cdaf74740db983224ce30 MD5sum: 20c15cdab0203a62ec269675642015e2 Description: Expat bindings for GNU Smalltalk This package contains the Expat bindings for GNU Smalltalk. . For more information on GNU Smalltalk see the gnu-smalltalk package. Package: libexpat-ocaml Source: ocaml-expat Version: 0.9.1+debian1-7 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 55 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), ocaml-base-nox-3.12.1 Provides: libexpat-ocaml-opnc1 Homepage: http://www.xs4all.nl/~mmzeeman/ocaml/ Priority: optional Section: libs Filename: pool/main/o/ocaml-expat/libexpat-ocaml_0.9.1+debian1-7_armhf.deb Size: 9950 SHA256: d005a8b9be601353e129cdfe2ddc767a74ca802f82991e6f373d0558164b96e2 SHA1: 5e99eacbf1ac76d7b7228d198353453543ce1491 MD5sum: 7181a4dc4b76a4b1acf1d11aedce4ea1 Description: OCaml expat bindings This Objective Caml library provides XML parsing facilities based on the expat library. . This package contains only the shared runtime stub libraries. Package: libexpat-ocaml-dev Source: ocaml-expat Version: 0.9.1+debian1-7 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 151 Depends: libexpat1-dev, ocaml-findlib (>= 1.1), libexpat-ocaml-opnc1, ocaml-nox-3.12.1 Provides: libexpat-ocaml-dev-opnc1 Homepage: http://www.xs4all.nl/~mmzeeman/ocaml/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-expat/libexpat-ocaml-dev_0.9.1+debian1-7_armhf.deb Size: 20624 SHA256: 833789c78e73d9938d6e13493ef7eb6a396789f0b208c52bb6b446c0d6ea3518 SHA1: d8fc19f9f6efd0e3fbd1e4663acf45563b75ac76 MD5sum: c383acbda1715da868ad3c5b2d8e70ef Description: OCaml expat bindings This Objective Caml library provides XML parsing facilities based on the expat library. . This package contains the developpment part of the OCaml expat package. Package: libexpat1 Source: expat Version: 2.1.0-1+deb7u5 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 294 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Conflicts: wink (<= 1.5.1060-4) Multi-Arch: same Homepage: http://expat.sourceforge.net Priority: optional Section: libs Filename: pool/main/e/expat/libexpat1_2.1.0-1+deb7u5_armhf.deb Size: 118212 SHA256: 0a2311cd917d064ae544e2115475385190cca899f7304ebeb14d8056f7a8fa5f SHA1: 4773665a7992a6a1398b9904dbc6dafb4073c9a9 MD5sum: ea08f690862bde4bbe09c1466d1abd9f Description: XML parsing C library - runtime library This package contains the runtime, shared library of expat, the C library for parsing XML. Expat is a stream-oriented parser in which an application registers handlers for things the parser might find in the XML document (like start tags). Package: libexpat1-dev Source: expat Version: 2.1.0-1+deb7u5 Architecture: armhf Maintainer: Laszlo Boszormenyi (GCS) Installed-Size: 581 Depends: libexpat1 (= 2.1.0-1+deb7u5), libc6-dev | libc-dev Conflicts: libexpat-dev Provides: libexpat-dev Homepage: http://expat.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/e/expat/libexpat1-dev_2.1.0-1+deb7u5_armhf.deb Size: 211248 SHA256: 76ba6b1f197c7553a71f7bb048592749ee9aa325baeb121f1e072743aaa67d2c SHA1: 87c76611a73d34c90e2220027c38da7fcb2cd8ea MD5sum: b34cf9519ea74afb832845135f29be64 Description: XML parsing C library - development kit This package contains the header file and development libraries of expat, the C library for parsing XML. Expat is a stream oriented XML parser. This means that you register handlers with the parser prior to starting the parse. These handlers are called when the parser discovers the associated structures in the document being parsed. A start tag is an example of the kind of structures for which you may register handlers. Package: libexpect-ocaml Source: ocaml-expect Version: 0.0.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 70 Depends: libextlib-ocaml-2t4w2, libpcre-ocaml-werc3, ocaml-base-nox-3.12.1 Provides: libexpect-ocaml-o96t3 Homepage: http://forge.ocamlcore.org/projects/ocaml-expect/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-expect/libexpect-ocaml_0.0.2-1_armhf.deb Size: 16922 SHA256: 5a5339d69be0429677bbb12c6b79b6c108b2043033d46b0263a847f51e256e43 SHA1: c64e48e26810c7235b185d193b0ebae775e54114 MD5sum: 0ce308d827080f8217d8918a86274601 Description: Expect-like framework This is a simple implementation of `expect` to help building unitary testing of interactive program. . It helps to receive question and send answers from an interactive process. You can match the question using a regular expression (Str). You can also use a timeout to ensure that the process answer in time. . See the [Expect manual](http://expect.nist.gov/) for more information and example. . This package contains the shared runtime libraries. Package: libexpect-ocaml-dev Source: ocaml-expect Version: 0.0.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 117 Depends: libexpect-ocaml-o96t3, libextlib-ocaml-dev-2t4w2, libpcre-ocaml-dev-werc3, ocaml-nox-3.12.1 Recommends: ocaml-findlib Provides: libexpect-ocaml-dev-o96t3 Homepage: http://forge.ocamlcore.org/projects/ocaml-expect/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-expect/libexpect-ocaml-dev_0.0.2-1_armhf.deb Size: 12574 SHA256: 01e898f912a56c782574b323179bf9b63fdd6718704ee24e92386d41d7a53706 SHA1: ac92ea51adcabbade7d07d17605bbc2c68f56434 MD5sum: cd205dcabeaeb1aadcb63f38c5ea7175 Description: Expect-like framework This is a simple implementation of `expect` to help building unitary testing of interactive program. . It helps to receive question and send answers from an interactive process. You can match the question using a regular expression (Str). You can also use a timeout to ensure that the process answer in time. . See the [Expect manual](http://expect.nist.gov/) for more information and example. Package: libexpect-perl Version: 1.21-1 Installed-Size: 212 Maintainer: Jörgen Hägg Architecture: all Depends: perl, libio-stty-perl, libio-pty-perl (>= 1:1.05-1) Size: 76966 SHA256: ed3cee6bac935c234a6d9e5bb2edacf4aac9cb821714072baa4ff4ebeea27b46 SHA1: 55f723deef9668dadbd574e27d15be5dd3cd8403 MD5sum: 436b4af5c8c92889cad9180838f10ab1 Description: Expect.pm - Perl Expect interface The Expect module is a successor of Comm.pl and a descendent of Chat.pl. It more closely resembles the Tcl Expect language than its predecessors. It does not contain any of the networking code found in Comm.pl. I suspect this would be obsolete anyway given the advent of IO::Socket and external tools such as netcat. . Expect.pm is an attempt to have more of a switch() & case: feel to make decision processing more fluid. three separate types of debugging have been implemented to make code production easier. . It is now possible to interconnect multiple file handles (and processes) much like Tcl's expect. An attempt was made to enable all the features of Tcl's expect without forcing Tcl on the victim programmer :-) . Tag: devel::lang:perl, devel::library, implemented-in::perl, works-with::software:running Section: perl Priority: optional Filename: pool/main/libe/libexpect-perl/libexpect-perl_1.21-1_all.deb Package: libexpect-php5 Version: 0.3.1-1 Architecture: armhf Maintainer: Alex Denvir Installed-Size: 66 Depends: expect, libc6 (>= 2.13-28), tcl8.5 (>= 8.5.0), phpapi-20100525+lfs, ucf Homepage: http://pecl.php.net/expect Priority: optional Section: web Filename: pool/main/libe/libexpect-php5/libexpect-php5_0.3.1-1_armhf.deb Size: 9128 SHA256: 37bbae13dc0cc8a03ec45d9b0dd553029c63545c265e46eee4228f17129c2d80 SHA1: c9bc32f82e86d2650f1425d8979735a70a075287 MD5sum: 75e8bde86fc4b81e00085edd0725be8f Description: expect module for PHP 5 This extension allows PHP to interact with processes through PTY, using expect library. Package: libexpect-simple-perl Version: 0.04-2 Installed-Size: 28 Maintainer: Debian Perl Group Architecture: all Depends: perl, libexpect-perl Size: 12480 SHA256: 90e14585795bf73c63d00efb04a39c8d00877e7d05df5d88385f83e5769dc788 SHA1: 62c70deb46d0a3cada40cdbf96c6f461320745ff MD5sum: 1fb07fbb8bc00a533d06540dbcb2efa2 Description: wrapper around the Expect module Expect::Simple is a wrapper around the Expect module which should suffice for simple applications. It hides most of the Expect machinery; the Expect object is available for tweaking if need be. Homepage: http://search.cpan.org/dist/Expect-Simple/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libexpect-simple-perl/libexpect-simple-perl_0.04-2_all.deb Package: libexplain-dev Source: libexplain Version: 0.52.D002-1 Architecture: armhf Maintainer: Peter Miller Installed-Size: 5318 Depends: libexplain30 (= 0.52.D002-1), lsof Homepage: http://libexplain.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/libe/libexplain/libexplain-dev_0.52.D002-1_armhf.deb Size: 575138 SHA256: b77d2b4eead601189838095d9f098123c442099827c844ac575faac922859025 SHA1: 4bdbff28083b5c924566a7d75fd252c66f00f75d MD5sum: 7a45efc0a8464f39d2c3b59a3f323d0b Description: library of syscall-specific strerror replacements - development files This package provides a library which may be used to explain Unix and Linux system call errors. The library is not quite a drop-in replacement for strerror, but it comes close, with each system call having a dedicated libexplain function. Package: libexplain30 Source: libexplain Version: 0.52.D002-1 Architecture: armhf Maintainer: Peter Miller Installed-Size: 848 Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), lsof Homepage: http://libexplain.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libe/libexplain/libexplain30_0.52.D002-1_armhf.deb Size: 323718 SHA256: f1735cc2683931d411a577cdbe6780cbb84be92ee72dc20957ecb47b64b25467 SHA1: e1fa3b79c9eac4845a85a9550a8693049a2f9bf0 MD5sum: 00740c54012eb69f771df53a3fcb8120 Description: library of syscall-specific strerror replacements This package provides a library which may be used to explain Unix and Linux system call errors. The library is not quite a drop-in replacement for strerror, but it comes close, with each system call having a dedicated libexplain function. Package: libexplain30-dbg Source: libexplain Version: 0.52.D002-1 Architecture: armhf Maintainer: Peter Miller Installed-Size: 3675 Depends: lsof, libexplain30 (= 0.52.D002-1) Homepage: http://libexplain.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/libe/libexplain/libexplain30-dbg_0.52.D002-1_armhf.deb Size: 750732 SHA256: 65cba1ba23ee5e37d5b233ace113a6e7531098c29d6f9daf26fed30d2ec9c4ee SHA1: fa1d2ff3ccc3bec80e4fca837520b9c5d705813d MD5sum: 5b70670b117603d71775d16d1b8b7907 Description: library of syscall-specific strerror replacements - debugging symbols This package provides a library which may be used to explain Unix and Linux system call errors. The library is not quite a drop-in replacement for strerror, but it comes close, with each system call having a dedicated libexplain function. Package: libexporter-easy-perl Version: 0.16-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 14984 SHA256: fa7038eed36df13052ec05ae93d5bb71241dc3b70e3eb44ad3ac168fcde5f153 SHA1: 3d4292e2bff0eea479d768236ac7efbd33653770 MD5sum: 9a65464df83007fc4b04eeb010b0fcfa Description: perl module to take the drudgery out of Exporting symbols Exporter::Easy makes using Exporter easy. In it's simplest case it allows you to drop the boilerplate code that comes with using Exporter. In more complicated situations where you use tags to build lists and more tags, it will set @EXPORT, @EXPORT_OK, @EXPORT_FAIL and %EXPORT_TAGS in the current package, add Exporter to that package's @ISA and do a use vars on all the variables mentioned. The rest is handled as normal by Exporter. Homepage: http://search.cpan.org/dist/Exporter-Easy/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libexporter-easy-perl/libexporter-easy-perl_0.16-1_all.deb Package: libexporter-lite-perl Version: 0.02-2 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 8908 SHA256: e7ac5608d0ec944102780332355579a7f4f47edc64d824f4159b0a847ac1e6cb SHA1: 93d8b26a5dd8519d3bdb2e00e0d0ae2f5de827ef MD5sum: f98e76fd02f81cc2e1b258822c04b5ad Description: lightweight subset of Exporter Exporter::Lite is an alternative to Exporter intended to provide a lightweight subset of its functionality. It supports import(), @EXPORT and @EXPORT_OK and not a whole lot else. . Unlike Exporter, it is not necessary to inherit from Exporter::Lite (ie. no @ISA = qw(Exporter::Lite) mantra). Exporter::Lite simply exports its import() function. This might be called a "mix-in". . Setting up a module to export its variables and functions is simple: . package My::Module; use Exporter::Lite; . @EXPORT = qw($Foo bar); Homepage: http://search.cpan.org/dist/Exporter-Lite/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libexporter-lite-perl/libexporter-lite-perl_0.02-2_all.deb Package: libexporter-renaming-perl Version: 1.19-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 12364 SHA256: ac6db5dd7334a086c23b4fc46d7aa249d2b8b30ac5719432451b68bfd7ba495f SHA1: f25a1554a44edd3d9b7b67b109e7b9b2193cdf52 MD5sum: 7dcfc0a8b2cea3f0da58aa97fd4a4eaf Description: facility to rename symbols when imported After 'use Exporter::Renaming;' you can import symbols from exporting modules not only under their original names, but also under names of your choosing. Exporter continues to behave normally for normal imports while renaming behaviour is switched on. Only the presence of the keyword 'Renaming' followed by an array reference after a 'use' statement triggers renaming. Homepage: http://search.cpan.org/dist/Exporter-Renaming/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libexporter-renaming-perl/libexporter-renaming-perl_1.19-1_all.deb Package: libexporter-tidy-perl Version: 0.07-2 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 9588 SHA256: 43193dc5e8dc828df95b03024ba3ea1bc33c2111abf1216b5cdd80d8f763af99 SHA1: 25bd9a44c071998beb82b776e10dda5a59eee9b9 MD5sum: f0ddf4472d941de03f59a9641b041723 Description: another way of exporting symbols Exporter::Tidy serves as an easy, clean alternative to Exporter. Unlike Exporter, it is not subclassed, but it simply exports a custom import() into your namespace. . With Exporter::Tidy, you don't need to use any package global in your module. Even the subs you export can be lexically scoped. Homepage: http://search.cpan.org/dist/Exporter-Tidy/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libexporter-tidy-perl/libexporter-tidy-perl_0.07-2_all.deb Package: libextlib-ocaml Source: extlib Version: 1.5.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 664 Depends: ocaml-base-nox-3.12.1 Breaks: libextlib-ocaml-dev (<< 1.5.1-4) Replaces: libextlib-ocaml-dev (<< 1.5.1-4) Provides: libextlib-ocaml-2t4w2 Homepage: http://code.google.com/p/ocaml-extlib/ Priority: optional Section: ocaml Filename: pool/main/e/extlib/libextlib-ocaml_1.5.2-1_armhf.deb Size: 282124 SHA256: a39fec0e9f0dc31c0c3b6fd0d0ffe334e74d5d8b9d1bae79a578e7ed8675c79d SHA1: fcb57e9b80b6d69c39d45a8a3569e1b69cd1e190 MD5sum: 86bf805343be4d7a2ccd9136d65d1c4c Description: extended standard library for OCaml (plugins) ExtLib is a project aiming at providing a complete - yet small - standard library for the OCaml programming language. . This package contains plugins needed by plugins using ExtLib. Package: libextlib-ocaml-dev Source: extlib Version: 1.5.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 1144 Depends: libextlib-ocaml-2t4w2, ocaml-nox-3.12.1 Recommends: ocaml-findlib Provides: libextlib-ocaml-dev-2t4w2 Homepage: http://code.google.com/p/ocaml-extlib/ Priority: optional Section: ocaml Filename: pool/main/e/extlib/libextlib-ocaml-dev_1.5.2-1_armhf.deb Size: 154412 SHA256: 36f30642b75d5e9d0dc178a39d7e0f2d01716d6e5e2165cb0dec30e199034e07 SHA1: 633e939a10433fd191d20ff7eb27bde9e74b458e MD5sum: cca7932246beee2db174ca9f82f8eeb2 Description: extended standard library for OCaml ExtLib is a project aiming at providing a complete - yet small - standard library for the OCaml programming language. . The purpose of this library is to add new functions to OCaml Standard Library modules, to modify some functions in order to get better performances or more safety (tail-recursive) but also to provide new modules which should be useful for the average OCaml programmer. . ExtLib contains modules implementing: enumeration over abstract collection of elements, efficient bit sets, dynamic arrays, references on lists, Unicode characters and UTF-8 encoded strings, additional and improved functions for hashtables, strings, lists and option types. Package: libextlib-ruby Source: ruby-extlib Version: 0.9.15-3 Installed-Size: 30 Maintainer: Bryan McLellan Architecture: all Depends: ruby-extlib Size: 4180 SHA256: b17a332bbf7155e39b6a49f2a1f48d8bc6deafcb55593d63b7ca2bb14fdb274d SHA1: 0a77158c8ec33b24c9836c0821661bfd20cec286 MD5sum: db26187f88999befae8996172108ed98 Description: Transitional package for ruby-extlib This is a transitional package to ease upgrades to the ruby-extlib package. It can safely be removed. Homepage: http://rubyforge.org/projects/extlib/ Section: ruby Priority: extra Filename: pool/main/r/ruby-extlib/libextlib-ruby_0.9.15-3_all.deb Package: libextlib-ruby-doc Source: ruby-extlib Version: 0.9.15-3 Installed-Size: 30 Maintainer: Bryan McLellan Architecture: all Depends: ruby-extlib Size: 4180 SHA256: a320b93c04731473d46d257fe35f8c861472bb8115b9ddbc31610ccd45e5642c SHA1: 516f4bd2e7273e37dd8a9c80430cf9fe0bf7cfd7 MD5sum: 3113c77e9276e30ac51e1283b8ea6eb7 Description: Transitional package for ruby-extlib This is a transitional package to ease upgrades to the ruby-extlib package. It can safely be removed. Homepage: http://rubyforge.org/projects/extlib/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/r/ruby-extlib/libextlib-ruby-doc_0.9.15-3_all.deb Package: libextlib-ruby1.8 Source: ruby-extlib Version: 0.9.15-3 Installed-Size: 30 Maintainer: Bryan McLellan Architecture: all Depends: ruby-extlib Size: 4180 SHA256: 4461dfcf4ef248d25bcb0c4e90514586d412603ba2425e5e25b882ddae8bd522 SHA1: ae9559bbad34b34bced92323424726f3815331ae MD5sum: 0b792d88f11cec7f8182b4f3b09b5feb Description: Transitional package for ruby-extlib This is a transitional package to ease upgrades to the ruby-extlib package. It can safely be removed. Homepage: http://rubyforge.org/projects/extlib/ Section: ruby Priority: extra Filename: pool/main/r/ruby-extlib/libextlib-ruby1.8_0.9.15-3_all.deb Package: libextlib-ruby1.9.1 Source: ruby-extlib Version: 0.9.15-3 Installed-Size: 30 Maintainer: Bryan McLellan Architecture: all Depends: ruby-extlib Size: 4182 SHA256: a69cfbfd58c237a228b11ad5c3569a76484c08128cf358c5be055c83d0436aa0 SHA1: 494f0fd4dafaccec7641dfe1f1f033f3dd68b711 MD5sum: 29a5db040f8330c612b172ab627abcbb Description: Transitional package for ruby-extlib This is a transitional package to ease upgrades to the ruby-extlib package. It can safely be removed. Homepage: http://rubyforge.org/projects/extlib/ Section: ruby Priority: extra Filename: pool/main/r/ruby-extlib/libextlib-ruby1.9.1_0.9.15-3_all.deb Package: libextractor-dbg Source: libextractor Version: 1:0.6.3-5+deb7u1 Architecture: armhf Maintainer: Bertrand Marc Installed-Size: 591 Depends: libextractor3 (= 1:0.6.3-5+deb7u1), libextractor-dev (= 1:0.6.3-5+deb7u1), libextractor-plugins (= 1:0.6.3-5+deb7u1), extract (= 1:0.6.3-5+deb7u1) Homepage: http://www.gnu.org/software/libextractor/ Priority: extra Section: debug Filename: pool/main/libe/libextractor/libextractor-dbg_0.6.3-5+deb7u1_armhf.deb Size: 443016 SHA256: 2a08b348df9779592b14bc74f8fc342af095b9867cba3298aef479294df64a6b SHA1: ba5847859a840525ff5b2b4a29cc05ddf6e3e34f MD5sum: a5753e73c55bba63d8c20cbab56d5fcd Description: extracts meta-data from files of arbitrary type (debug) GNU libextractor provides developers of file-sharing networks, file managers, and WWW-indexing bots with a universal library to obtain meta-data about files. . This package contains the debugging symbols. Package: libextractor-dev Source: libextractor Version: 1:0.6.3-5+deb7u1 Architecture: armhf Maintainer: Bertrand Marc Installed-Size: 37 Depends: libextractor3 (= 1:0.6.3-5+deb7u1), libextractor-plugins (= 1:0.6.3-5+deb7u1) Homepage: http://www.gnu.org/software/libextractor/ Priority: optional Section: libdevel Filename: pool/main/libe/libextractor/libextractor-dev_0.6.3-5+deb7u1_armhf.deb Size: 25146 SHA256: 1dea6a1c380bb3f70c9ca3dc73bbd51e6e0580a2b804b103a1e8d2d361f9d9bf SHA1: 9e12a3452b0849c093b35604fa37295eff95d00e MD5sum: 51d38beccf3b832467b72a3bcc7db7a2 Description: extracts meta-data from files of arbitrary type (development) GNU libextractor provides developers of file-sharing networks, file managers, and WWW-indexing bots with a universal library to obtain meta-data about files. . This package contains the development files. Package: libextractor-java-dbg Source: libextractor-java Version: 0.6.0-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 77 Depends: libextractor-java0 (= 0.6.0-6), libextractor-java-dev (= 0.6.0-6) Homepage: http://www.gnu.org/software/libextractor/ Priority: extra Section: debug Filename: pool/main/libe/libextractor-java/libextractor-java-dbg_0.6.0-6_armhf.deb Size: 17558 SHA256: 53efb798b38c9c4c895d6dfe51ffe0d170cafc3bda9b51175de8bc77344f46b4 SHA1: 0f71559fc6241904273dc96952cd04777bc822a6 MD5sum: ee5f7b799409bea0491bdcd40860c4fe Description: Java bindings for GNU libextractor (debug) GNU libextractor provides developers of file-sharing networks, file managers, and WWW-indexing bots with a universal library to obtain meta-data about files. . This package contains the debugging symbols for the Java bindings. Package: libextractor-java-dev Source: libextractor-java Version: 0.6.0-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 33 Depends: libextractor-java0 (= 0.6.0-6) Homepage: http://www.gnu.org/software/libextractor/ Priority: optional Section: libdevel Filename: pool/main/libe/libextractor-java/libextractor-java-dev_0.6.0-6_armhf.deb Size: 4866 SHA256: 8c46ef03c12844681b451e2d49efcddb017302683a1fa146f4511c7d97f82b05 SHA1: 1a8bdfde2ce4a51068bfefe689cc989698b6c015 MD5sum: 490b818db4f33a8d5084019c34bddf76 Description: Java bindings for GNU libextractor (development) GNU libextractor provides developers of file-sharing networks, file managers, and WWW-indexing bots with a universal library to obtain meta-data about files. . This package contains the development files of the Java bindings. Package: libextractor-java0 Source: libextractor-java Version: 0.6.0-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 45 Depends: libc6 (>= 2.13-28), libextractor3 Homepage: http://www.gnu.org/software/libextractor/ Priority: optional Section: libs Filename: pool/main/libe/libextractor-java/libextractor-java0_0.6.0-6_armhf.deb Size: 10552 SHA256: 716f8779262b97e3f1e2f7ca90c04df2f49248b222566e6334c4877d30106aa9 SHA1: b86aade5b2d0b7a2cf82d38e6f5be716797f8361 MD5sum: a8e86c1c26efe9f0db020fb292425ec3 Description: Java bindings for GNU libextractor GNU libextractor provides developers of file-sharing networks, file managers, and WWW-indexing bots with a universal library to obtain meta-data about files. . This package contains the Java bindings. Package: libextractor-plugins Source: libextractor Version: 1:0.6.3-5+deb7u1 Architecture: armhf Maintainer: Bertrand Marc Installed-Size: 94 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexiv2-12, libextractor3, libflac8 (>= 1.2.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgsf-1-114 (>= 1.14.8), libgtk2.0-0 (>= 2.8.0), libmpeg2-4, libogg0 (>= 1.0rc3), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0), libvorbisfile3 (>= 1.1.2), libxml2 (>= 2.6.27) Homepage: http://www.gnu.org/software/libextractor/ Priority: optional Section: libs Filename: pool/main/libe/libextractor/libextractor-plugins_0.6.3-5+deb7u1_armhf.deb Size: 45776 SHA256: d8db9eceaf33dc7ff4b51ad7789b9fd653d1162cfd36beb97652f0b470a3b968 SHA1: c796adcea535f64481de7f79dc24a04de9eef1c9 MD5sum: 7015154333f4383332e0ab80752f7ee5 Description: extracts meta-data from files of arbitrary type (plugins) GNU libextractor provides developers of file-sharing networks, file managers, and WWW-indexing bots with a universal library to obtain meta-data about files. . This package contains the EXIV2, MPEG, OGG, OLE2 and thumbnail plugins. Package: libextractor3 Source: libextractor Version: 1:0.6.3-5+deb7u1 Architecture: armhf Maintainer: Bertrand Marc Installed-Size: 372 Pre-Depends: multiarch-support Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libltdl7 (>= 2.4.2), libpoppler19 (>= 0.18.4), librpm3 (>= 4.10.0), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Recommends: libextractor-plugins Suggests: libextractor-java, python-extractor Conflicts: libextractor1c2a Replaces: libextractor1c2a Homepage: http://www.gnu.org/software/libextractor/ Priority: optional Section: libs Filename: pool/main/libe/libextractor/libextractor3_0.6.3-5+deb7u1_armhf.deb Size: 132908 SHA256: d79126b667bf8ebbe42354d2dc6c80bdd74bba86730a31fa60be12ccd4f312d9 SHA1: 281ccb631d0e4d17a913731978b151164e8bc15b MD5sum: ebd5c5c18fd835e49594456ef7a7df88 Description: extracts meta-data from files of arbitrary type (library) GNU libextractor provides developers of file-sharing networks, file managers, and WWW-indexing bots with a universal library to obtain meta-data about files. . Currently, libextractor supports the following formats: HTML, PDF, PS, OLE2 (DOC, XLS, PPT), OpenOffice (sxw), StarOffice (sdw), DVI, MAN, MKV, MP3 (ID3v1 and ID3v2), OGG, WAV, EXIV2, JPEG, GIF, PNG, TIFF, DEB, RPM, TAR(.GZ), ZIP, ELF, REAL, RIFF (AVI), MPEG, QT and ASF. . Also, various additional MIME types are detected. It can also be used to compute hash functions (SHA-1, MD5, ripemd160). . This package contains the library and all plugins, except EXIV2, MPEG, OGG, OLE2 and thumbail - they are splittet out to libextractor-plugins. Package: libexttextcat-data Source: libexttextcat Version: 3.2.0-2 Installed-Size: 339 Maintainer: Rene Engelhard Architecture: all Size: 168142 SHA256: bcf98e425cb627e93355a32e666ba2512a966a2d83888d2ec97fbe35ab024094 SHA1: 1cf9d0779b9966e76e82a751b69d40e686c9e68e MD5sum: 601ce75399878272e970bf977f56082c Description: Language detection library - data files Libtextcat is a library with functions that implement the classification technic described in Cavnar & Trenkle, "N-Gram-Based Text Categorization". It was primarily developed for language guessing, a task on which it is known to perform with near-perfect accuracy. . This package provides the libtextcat data files. Homepage: http://software.wise-guys.nl/libtextcat/ Section: text Priority: optional Filename: pool/main/libe/libexttextcat/libexttextcat-data_3.2.0-2_all.deb Package: libexttextcat-dev Source: libexttextcat (3.2.0-2) Version: 3.2.0-2+b1 Architecture: armhf Maintainer: Rene Engelhard Installed-Size: 80 Depends: libexttextcat0 (= 3.2.0-2+b1) Homepage: http://software.wise-guys.nl/libtextcat/ Priority: optional Section: libdevel Filename: pool/main/libe/libexttextcat/libexttextcat-dev_3.2.0-2+b1_armhf.deb Size: 17724 SHA256: 562b85ab9768a02a9a4e28805bd94ae90f94748390630ea29f0bcde9bf8cad00 SHA1: ecebb3712cf3803b2e62f908b3f518351a75fd1c MD5sum: c377e2d8c83cc0346c456048febdc713 Description: Language detection library - development files Libexttextcat is a library with functions that implement the classification technic described in Cavnar & Trenkle, "N-Gram-Based Text Categorization". It was primarily developed for language guessing, a task on which it is known to perform with near-perfect accuracy. . This package provides the files needed for building packages which use libexttextcat. Package: libexttextcat0 Source: libexttextcat (3.2.0-2) Version: 3.2.0-2+b1 Architecture: armhf Maintainer: Rene Engelhard Installed-Size: 60 Depends: libc6 (>= 2.13-28), libexttextcat-data (= 3.2.0-2) Homepage: http://software.wise-guys.nl/libtextcat/ Priority: optional Section: libs Filename: pool/main/libe/libexttextcat/libexttextcat0_3.2.0-2+b1_armhf.deb Size: 15384 SHA256: dad6e40115716f5b8d7bba7433106ed4ed7262b81e07efedf9f38df503956c0a SHA1: 33701a0ec592414950c6fe65a444a5eb735eb441 MD5sum: d4b3056a3ac0edbf31a9a393020d3ce0 Description: Language detection library Libtextcat is a library with functions that implement the classification technic described in Cavnar & Trenkle, "N-Gram-Based Text Categorization". It was primarily developed for language guessing, a task on which it is known to perform with near-perfect accuracy. Package: libextunix-ocaml Source: ocaml-extunix Version: 0.0.5-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 494 Depends: ocaml-base-nox-3.12.1, libc6 (>= 2.13-28) Provides: libextunix-ocaml-fqwe2 Homepage: http://extunix.forge.ocamlcore.org/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-extunix/libextunix-ocaml_0.0.5-2_armhf.deb Size: 155648 SHA256: 3c8fa8ef875c5902c949d9b3730d485868b5ded7201108761ac5710c990ba47f SHA1: 9fd08636de4e13a67d415396390e7e3a17fa45ad MD5sum: 3b86054a216a0b4b665b3d323e306989 Description: Extended functions for OCaml Unix module (runtime package) Thin bindings to various low-level system APIs (often non-portable) which are not covered by Unix module. . Example functions: * uname * statvfs * fsync * fadvise * fallocate * atfile * dirfd * eventfd * signalfd * ... . This package contains the shared runtime libraries. Package: libextunix-ocaml-dev Source: ocaml-extunix Version: 0.0.5-2 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 2706 Depends: libextunix-ocaml-fqwe2, ocaml-nox-3.12.1 Recommends: ocaml-findlib Provides: libextunix-ocaml-dev-fqwe2 Homepage: http://extunix.forge.ocamlcore.org/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-extunix/libextunix-ocaml-dev_0.0.5-2_armhf.deb Size: 242532 SHA256: 723624dfbe2b87a6afa9527a06892a45ac95840940f1dfa9fbe4231c57d7297a SHA1: 3b68a50f291bfee2f843db6efc4c4e74f33e2bd1 MD5sum: 6584c14a69dd9ae233c796464f869190 Description: Extended functions for OCaml Unix module (development package) Thin bindings to various low-level system APIs (often non-portable) which are not covered by Unix module. . Example functions: * uname * statvfs * fsync * fadvise * fallocate * atfile * dirfd * eventfd * signalfd * ... Package: libextutils-autoinstall-perl Version: 0.63-1 Installed-Size: 100 Maintainer: Bastian Blank Architecture: all Depends: perl (>= 5.6.0-16) Suggests: libsort-versions-perl Size: 22130 SHA256: 61fd9a2627a6969dd3040749e7497287776c439e7ec7806415f31bfab25a55eb SHA1: 99af680cc1e95e27d59a22a9735d8bb13f5a0780 MD5sum: 6a5a69e0f1b64375859bd3a7967d05b0 Description: Automatic install of dependencies via CPAN ExtUtils::AutoInstall lets module writers to specify a more sophisticated form of dependency information than the PREREQ_PM option offered by ExtUtils::MakeMaker. Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libextutils-autoinstall-perl/libextutils-autoinstall-perl_0.63-1_all.deb Package: libextutils-cbuilder-perl Version: 0.280205-1 Installed-Size: 123 Maintainer: Debian Perl Group Architecture: all Depends: perl, perl (>= 5.13.9) | libperl-ostype-perl Size: 35122 SHA256: 48fa713b05059c5c2b191a97d93fe4942042fc395e6d1af48b6ddab7c0bc0b63 SHA1: f08762564aad026d6292089795d5eb76896e663d MD5sum: b00511db6269b588921b865cb27d478b Description: module to compile and link C code for Perl modules ExtUtils::CBuilder is a utility to portably build C code by invoking the appropriate compilers and linkers. It provides a cross-platform way to build Perl XS modules, which contain C code that needs to be built and dynamically linked to Perl. . Though motivated by the Module::Build project, it may be useful for other purposes as well. However, this module is not intended as a general interface for all C building needs. Homepage: http://search.cpan.org/dist/ExtUtils-CBuilder/ Tag: devel::lang:c, devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libextutils-cbuilder-perl/libextutils-cbuilder-perl_0.280205-1_all.deb Package: libextutils-cchecker-perl Version: 0.08-1 Installed-Size: 65 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 15126 SHA256: c142556187b0cfa34c100185c3e878af3ec92baa4744ddb7b0207bc0fd190ce9 SHA1: cf9d6d94c3403cc9957be4d54370111aec1be289 MD5sum: bbdacaa40a7ccf7da841b25eb44a7228 Description: helper module for handling C headers and libraries ExtUtils::CChecker is a Perl module designed to check for the presence of libraries and C headers required for building the remainder of your package. It is particularly useful for packages containing Perl bindings to existing C libraries. Homepage: http://search.cpan.org/dist/ExtUtils-CChecker/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libextutils-cchecker-perl/libextutils-cchecker-perl_0.08-1_all.deb Package: libextutils-command-perl Version: 1.17-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 13698 SHA256: 15c8bb9a367bf0843586af32da10981492f09cac17ce03ba6dcd9c69e81008cc SHA1: 77a7d6722bf6c8d92a8500fb519d50318c7929ce MD5sum: 0f8a643c6f4156bdfd956abc78af5402 Description: module providing replacements for common UNIX commands ExtUtils::Command is a Perl module that provides Pure Perl replacements of common UNIX shell commands (cat, mv, cp, touch, rm, mkpath, chmod and more). Because this module is a thin wrapper around Shell::Command, these functions are platform independent and support glob expansion (* and ? masks). Homepage: http://search.cpan.org/dist/ExtUtils-Command/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libextutils-command-perl/libextutils-command-perl_1.17-1_all.deb Package: libextutils-depends-perl Version: 0.304-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 15722 SHA256: 7debcff9183109563d90ef3097f995167313ca64225a341e18485e3917d9c92e SHA1: 90b0453140b7090ece5eba9c10f5cbceb1a785fa MD5sum: 8caa82d7dd3f0bb1398c29bf068e0062 Description: Perl module for building extensions that depend on other extensions ExtUtils::Depends tries to make it easy to build Perl extensions that use functions and typemaps provided by other perl extensions. This means that a perl extension is treated like a shared library that also provides a C and an XS interface. Homepage: http://gtk2-perl.sourceforge.net/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libextutils-depends-perl/libextutils-depends-perl_0.304-1_all.deb Package: libextutils-f77-perl Version: 1.17-1 Installed-Size: 40 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 16342 SHA256: e2348cb423ab5043058b07bf2c2928cc24ba862801397a04888703d4d946a3d4 SHA1: 5d34acc011d85edcd5fa719dedfa244709914e1b MD5sum: 4779f12c3bb2e3a6895e46de2c3c13af Description: module to compile and link FORTRAN 77 code for Perl modules ExtUtils::F77 is a utility to portably build FORTRAN 77 code and link it with C programs. It provides a cross-platform way to determine the correct Fortran runtime libraries required for a given operating system and compiler. Homepage: http://search.cpan.org/dist/ExtUtils-F77/ Tag: devel::lang:fortran, devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libextutils-f77-perl/libextutils-f77-perl_1.17-1_all.deb Package: libextutils-libbuilder-perl Version: 0.04-1 Installed-Size: 24 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 7960 SHA256: 66e628bef46f4c26264fb2f48a3cf2870816e60f220ad4154d8f40afc4e7b29e SHA1: 0d20efef05b3cab8cf9630b9222ea33cf7ed2c55 MD5sum: b182233be3692bca9960e3dae746fc9d Description: Perl module to compile standard, self-contained C libraries Some Perl modules need to ship C libraries together with their Perl code. Although there are mechanisms to compile and link (or glue) C code in your Perl programs, there isn't a clear method to compile standard, self-contained C libraries. . This module main goal is to help in that task. Homepage: http://search.cpan.org/dist/ExtUtils-LibBuilder/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libextutils-libbuilder-perl/libextutils-libbuilder-perl_0.04-1_all.deb Package: libextutils-parsexs-perl Version: 3.150000-1 Installed-Size: 226 Maintainer: Debian Perl Group Architecture: all Depends: perl, libextutils-cbuilder-perl Size: 80864 SHA256: 31de7108071db38e62de47f4dc16c076fd24862b5d67c22b9653e284476a233b SHA1: 6702947b9063b4eeba89b1a554f863526e44f35a MD5sum: 182e735b0a8b6f29242011bbd44c79a5 Description: utility to process Perl XS code into C code ExtUtils::ParseXS is a Perl utility that provides a modular way of compiling Perl XS code into appropriate C code by embedding the constructs necessary to let C functions manipulate Perl values and creating the glue necessary to let Perl access those functions. . This module is already included as part of Perl's core distribution, so this package is only beneficial when newer features or bug fixes are required. Homepage: http://search.cpan.org/dist/ExtUtils-ParseXS/ Tag: devel::lang:c, devel::lang:perl, devel::library, implemented-in::perl, use::converting, works-with::software:source Section: perl Priority: optional Filename: pool/main/libe/libextutils-parsexs-perl/libextutils-parsexs-perl_3.150000-1_all.deb Package: libextutils-pkgconfig-perl Version: 1.13-1 Installed-Size: 55 Maintainer: Debian Perl Group Architecture: all Depends: perl, pkg-config Size: 11260 SHA256: 213f3421f466131463d8f2591bef746560838a4eaa75f38737bb3efb2320abc6 SHA1: 0324bcb8f9b6e3f656d73831dfc8961eb416d5cd MD5sum: ac02c13c028f447186ea8a794718cab2 Description: Perl interface to the pkg-config utility ExtUtils::PkgConfig is a module that retrieves information about installed libraries from the pkg-config utility found on many modern operating systems and architectures. The information provided is useful for compiling against and linking to system-installed libraries. . This module performs some basic error checking to notify users when there are potential problems retrieving the necessary information from pkg-config. Homepage: http://gtk2-perl.sourceforge.net/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libextutils-pkgconfig-perl/libextutils-pkgconfig-perl_1.13-1_all.deb Package: libextutils-xsbuilder-perl Version: 0.28-2 Installed-Size: 256 Maintainer: Debian Perl Group Architecture: all Depends: libparse-recdescent-perl, libtie-ixhash-perl, perl Size: 60432 SHA256: b09668ab1e979a0183d1cc23539ce26c16a38d37d8e7766b996623ca77732e7c SHA1: 19066b9f5adedb5b08f74a52faa78c7d314a15e2 MD5sum: 2f820cbd89fbe03237e47ee8b45e5000 Description: Automatic XS glue code generation ExtUtils::XSBuilder is a set of modules to parse C header files and create XS glue code and documentation from it. . Ideally this allows you to "write" an interface to a C library without coding a line. Although this module automates much of the process, you must still be familiar with C and XS programming since no C API is ideal and usually some adjuments are necessary. When the C API changes, most of the time you only have to rerun XSBuilder to get your new Perl API. Homepage: http://search.cpan.org/dist/ExtUtils-XSBuilder/ Tag: devel::lang:c, devel::lang:perl, devel::library, implemented-in::perl, works-with::software:source Section: perl Priority: optional Filename: pool/main/libe/libextutils-xsbuilder-perl/libextutils-xsbuilder-perl_0.28-2_all.deb Package: libextutils-xspp-perl Version: 0.1602-3 Installed-Size: 412 Maintainer: Debian Perl Group Architecture: all Depends: perl, perl (>= 5.14) | libextutils-parsexs-perl (>= 2.220200) Size: 192846 SHA256: 4637c48bf5ec2d4426d1d675ea173e787449bcd90fa62fd35e8252ee9a6a88c5 SHA1: 289e6c581dc6fdd0c6cc2a32172fc07435e5f7b8 MD5sum: f9e560db1c718221437756019f1f6e3f Description: module for using Perl XS with C++ code ExtUtils::XSpp is a Perl module that implements XS++, a thin layer on top of plain XS designed to support C++ code. It allows developers to easily write Perl bindings to C++ code by compiling code using the XS++ preprocessor `xspp' instead of the normal XS preprocessor `xsubpp'. Homepage: http://search.cpan.org/dist/ExtUtils-XSpp/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libe/libextutils-xspp-perl/libextutils-xspp-perl_0.1602-3_all.deb Package: libezmorph-java Version: 1.0.6-3 Installed-Size: 160 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java2-runtime-headless Size: 81824 SHA256: 6229ec0c02dba7cfaab666d9300de71efddaa871cb1e6d6ce190778d70066d7b SHA1: b44c526794eb0259251d4e0de238c78c7ba74748 MD5sum: c5c22f764d02cd18d2f1e30a909baecd Description: Java library for transforming an Object to another Object EZMorph's key strenghts are: . - Supports transformations for primitives and Objects - Supports transformations for multidimensional arrays - Supports transformations with DynaBeans - Small memory footprint (~76K) Homepage: http://ezmorph.sourceforge.net Section: java Priority: optional Filename: pool/main/libe/libezmorph-java/libezmorph-java_1.0.6-3_all.deb Package: libf2c2 Version: 20090411-2 Architecture: armhf Maintainer: Alan Bain Installed-Size: 267 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Conflicts: f2c (<< 20050501-1) Priority: optional Section: libs Filename: pool/main/libf/libf2c2/libf2c2_20090411-2_armhf.deb Size: 153768 SHA256: 206a68259b0f6bf28d1a2277f6c51cf988a94b6add2757d4f641156e90edeaf8 SHA1: 8204f5f549ee9c8f588e879028b46af992b5ff71 MD5sum: c71d25cb3c80acc75fe00edcdcf66d6c Description: Shared libraries for use with FORTRAN applications These are the shared libraries required for running applications containing a component written in FORTRAN which was converted to C using the FORTRAN to C translator f2c. Package: libf2c2-dev Source: libf2c2 Version: 20090411-2 Architecture: armhf Maintainer: Alan Bain Installed-Size: 1390 Depends: libf2c2 (= 20090411-2), libc6-dev Conflicts: f2c (<< 20050501-1) Priority: optional Section: libdevel Filename: pool/main/libf/libf2c2/libf2c2-dev_20090411-2_armhf.deb Size: 416950 SHA256: cca4711a4c9f80d337497b484ae06fe619db5b6d02068237933bf8c4e40cc8e1 SHA1: 0aa22892153ceab5a8f2db5686397363477eaafa MD5sum: c36cd666d7aa53e07000eec576895d4f Description: Development libraries for use with f2c These are the necessary static libraries and header files for an implementation of the fortran intrinsic functions and IO functions. . They are required when linking applications built from the C output from the FORTRAN to C translator f2c. Package: libf95getdata2 Source: libgetdata Version: 0.7.3-6 Architecture: armhf Maintainer: Michael Milligan Installed-Size: 143 Depends: libgetdata4 (= 0.7.3-6), libfgetdata2 (= 0.7.3-6), libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgfortran3 (>= 4.3), zlib1g (>= 1:1.1.4) Homepage: http://getdata.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libg/libgetdata/libf95getdata2_0.7.3-6_armhf.deb Size: 67128 SHA256: 18fd2a66fee0fbfd908ea3db347a8507a0ea64f1f4122ce3d50bb56fe3e66e9d SHA1: 3f6fa087243dbebb0fe72deb23ea3edbf3e8fc5f MD5sum: 888e151c1384ac6124ba1bfd7390ee7b Description: library to read/write dirfile data - Fortran 95 bindings The GetData Project is the reference implementation of the Dirfile Standards, a filesystem-based, column-oriented database format for time-ordered binary data. The Dirfile database format is designed to provide a fast, simple format for storing and reading data. Package: libfaad-dev Source: faad2 Version: 2.7-8+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 332 Depends: libfaad2 (= 2.7-8+deb7u1) Conflicts: libfaad2-dev Replaces: libfaad2-dev Multi-Arch: same Homepage: http://www.audiocoding.com/ Priority: optional Section: libdevel Filename: pool/main/f/faad2/libfaad-dev_2.7-8+deb7u1_armhf.deb Size: 194720 SHA256: e04adffbf4d804ce01a3a232f3fc986e949c57cb1b8c843622b21832faca0738 SHA1: e25ebf3b1df20bff8ab6c9c492c690011aa96106 MD5sum: 92cc6e959f7dd4c528a2066a93b982f4 Description: freeware Advanced Audio Decoder - development files FAAD2 is the fastest ISO AAC audio decoder available. FAAD2 correctly decodes all MPEG-4 and MPEG-2 MAIN, LOW, LTP, LD and ER object type AAC files. . This package contains development files. Package: libfaad-ocaml Source: ocaml-faad Version: 0.3.0-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 98 Depends: ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), libfaad2 (>= 2.7), libgcc1 (>= 1:4.4.0) Provides: libfaad-ocaml-qcoc3 Homepage: http://savonet.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-faad/libfaad-ocaml_0.3.0-1_armhf.deb Size: 35028 SHA256: 76033f008fc4f9e9c90144978538f6db3556e49f5986f0154b776f4a6644af01 SHA1: fe9acbfeaa783ff3d0fe49c262af1215dc6ae136 MD5sum: ab16d050d1ffd82343422ad74016a576 Description: OCaml interface to the faad library -- runtime files This package provides an interface to the faad library for OCaml programmers. . FAAD2 is the fastest ISO AAC audio decoder available. FAAD2 correctly decodes all MPEG-4 and MPEG-2 MAIN, LOW, LTP, LD and ER object type AAC files. . This package contains only the shared runtime stub libraries. Package: libfaad-ocaml-dev Source: ocaml-faad Version: 0.3.0-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 188 Depends: libfaad-ocaml-qcoc3, ocaml-nox-3.12.1, libfaad-dev, libfaad-ocaml (= 0.3.0-1), ocaml-findlib Provides: libfaad-ocaml-dev-qcoc3 Homepage: http://savonet.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-faad/libfaad-ocaml-dev_0.3.0-1_armhf.deb Size: 47314 SHA256: 2b9cdaf7417eaae846833325aa6a590890b021c9755528d4d6bad9d4888ce042 SHA1: c008b7e7b84afa713fe94bd0ee40cf23f8969808 MD5sum: 45537069475691f6038005e19fdb6f5a Description: OCaml interface to the faad library -- developpement files This package provides an interface to the faad library for OCaml programmers. . FAAD2 is the fastest ISO AAC audio decoder available. FAAD2 correctly decodes all MPEG-4 and MPEG-2 MAIN, LOW, LTP, LD and ER object type AAC files. . This package contains all the development stuff you need to develop OCaml programs which use ocaml-faad. Package: libfaad2 Source: faad2 Version: 2.7-8+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 268 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Conflicts: libfaad2-0 Replaces: libfaad2-0 Multi-Arch: same Homepage: http://www.audiocoding.com/ Priority: optional Section: libs Filename: pool/main/f/faad2/libfaad2_2.7-8+deb7u1_armhf.deb Size: 177530 SHA256: a626fcc28214d35ec7eeb3574aba7bce90ab3d6919bff8abba4a6fd090bda91d SHA1: 973e531518474d4cfe532c1d3eab85d5c2d2994c MD5sum: bd8e0110fd595b4a15a9cfcb2d76e407 Description: freeware Advanced Audio Decoder - runtime files FAAD2 is the fastest ISO AAC audio decoder available. FAAD2 correctly decodes all MPEG-4 and MPEG-2 MAIN, LOW, LTP, LD and ER object type AAC files. . This package contains the shared library. Package: libfacets-ruby Source: ruby-facets Version: 2.9.2-1 Installed-Size: 45 Maintainer: Marc Dequènes (Duck) Architecture: all Depends: ruby-facets Size: 7942 SHA256: d6dd7eb4c6d2f046072035f7a33c35a3d3f44ec3ae5149b5c1e28e91a333aaac SHA1: 05382e8198bf6bfa619dd6ceb150e2d5aba5217a MD5sum: 29da2439b84ca56323b7aeea09ff81c9 Description: Transitional package for ruby-facets This is a transitional package to ease upgrades to the ruby-facets package. It can safely be removed. Homepage: http://rubyworks.github.com/facets Section: ruby Priority: optional Filename: pool/main/r/ruby-facets/libfacets-ruby_2.9.2-1_all.deb Package: libfacets-ruby-doc Source: ruby-facets Version: 2.9.2-1 Installed-Size: 45 Maintainer: Marc Dequènes (Duck) Architecture: all Depends: ruby-facets-doc Size: 7950 SHA256: 398ff537dd6e80bad546df9783106f7f25e42433d4d59ff27bf84d1698c35cab SHA1: 9043b39b1c87a1c7ae93155065162f9d17f7a7aa MD5sum: e55eaa55556c90113164fb0e9fa915f2 Description: Transitional package for ruby-facets This is a transitional package to ease upgrades to the ruby-facets package. It can safely be removed. Homepage: http://rubyworks.github.com/facets Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/r/ruby-facets/libfacets-ruby-doc_2.9.2-1_all.deb Package: libfacets-ruby1.8 Source: ruby-facets Version: 2.9.2-1 Installed-Size: 45 Maintainer: Marc Dequènes (Duck) Architecture: all Depends: ruby-facets Size: 7950 SHA256: 4114372ea2ee02c1480fd30c2ac21b9040584d4745da69297e95b43b04b57b49 SHA1: 2bc9aa11370b425f31c1232da564e804049462c9 MD5sum: 3298ff162580baaffb762c4f4e334ced Description: Transitional package for ruby-facets This is a transitional package to ease upgrades to the ruby-facets package. It can safely be removed. Homepage: http://rubyworks.github.com/facets Section: ruby Priority: optional Filename: pool/main/r/ruby-facets/libfacets-ruby1.8_2.9.2-1_all.deb Package: libfacets-ruby1.9.1 Source: ruby-facets Version: 2.9.2-1 Installed-Size: 45 Maintainer: Marc Dequènes (Duck) Architecture: all Depends: ruby-facets Size: 7950 SHA256: 6056c2bbbf8fad0b704608400f1dec880a1829d5a25dba26afb3120fc5767a27 SHA1: a6f7f74a6a589ee19552939954cd95408f765b05 MD5sum: 7f6fa20dce196e893a8812df45a93b76 Description: Transitional package for ruby-facets This is a transitional package to ease upgrades to the ruby-facets package. It can safely be removed. Homepage: http://rubyworks.github.com/facets Section: ruby Priority: optional Filename: pool/main/r/ruby-facets/libfacets-ruby1.9.1_2.9.2-1_all.deb Package: libfacile-ocaml-dev Source: facile Version: 1.1-8 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 1281 Depends: ocaml-nox-3.12.1 Suggests: ocaml-findlib Provides: libfacile-ocaml-dev-l1mb5 Homepage: http://www.recherche.enac.fr/opti/facile/ Priority: optional Section: ocaml Filename: pool/main/f/facile/libfacile-ocaml-dev_1.1-8_armhf.deb Size: 518422 SHA256: 1abafa8c00cc99bbc28ce529fc316c95c0537a2ab341586a41ed9e8d0d211ae7 SHA1: cd57d8db61af3d416709c0948c2e1458c5a71e2e MD5sum: 4a3b62aaaf0b41737d785cf583446903 Description: functional constraint library implemented in Objective Caml FaCiLe is a constraint programming library over integer finite domain written in Objective Caml. It offers all usual facilities to create and manipulate finite domain variables, arithmetic expressions and constraints (possibly non-linear), built-in global constraints and search goals. FaCiLe allows as well to build easily user-defined constraints and goals (including recursive ones), making pervasive use of OCaml higher-order functionals to provide a simple and flexible interface for the user. As FaCiLe is an OCaml library and not "yet another language", the user benefits from type inference and strong typing discipline, high level of abstraction, modules and objects system, as well as native code compilation efficiency, garbage collection and replay debugger, all features of OCaml (among many others) that allow to prototype and experiment quickly: modeling, data processing and interface are implemented with the same powerful and efficient language. Package: libfaifa-dev Source: faifa Version: 0.2~svn82-1 Architecture: armhf Maintainer: Damien Raude-Morvan Installed-Size: 77 Depends: libfaifa0 (= 0.2~svn82-1) Homepage: https://dev.open-plc.org/ Priority: extra Section: libdevel Filename: pool/main/f/faifa/libfaifa-dev_0.2~svn82-1_armhf.deb Size: 11570 SHA256: 1971be0bcd36601d20ccef3c8afb41bc9197ae0b30fb116bf066c07afeb04d45 SHA1: 8dbb7b438b69ff67006ff164b7a689ee584690bf MD5sum: 973bfdfa8c6e6469794f3459b8040c0c Description: manage HomePlug 1.0/AV devices via ethernet frames - devel library Faifa is a network tool to remotely manage HomePlug 1.0 and HomePlug AV devices. . HomePlug 1.0 and HomePlug AV are specifications of Power Line Communication (PLC). PLC is a system for carrying data - network packets - over power line. . This tool can configure, flash and collect statistics on thoses devices using private and public Ethernet frames. . This package contains Faifa library headers. Package: libfaifa0 Source: faifa Version: 0.2~svn82-1 Architecture: armhf Maintainer: Damien Raude-Morvan Installed-Size: 80 Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), libssl1.0.0 (>= 1.0.0) Homepage: https://dev.open-plc.org/ Priority: extra Section: libs Filename: pool/main/f/faifa/libfaifa0_0.2~svn82-1_armhf.deb Size: 25998 SHA256: 4bd5ac41f1514d0a6c3933f96345e5d59f715767e17a75b139677f506a8ec437 SHA1: 83ca07c5926d9b953ad920ae31230e98bc259176 MD5sum: e7e885921d8be7214bccfaa43764a099 Description: manage HomePlug 1.0/AV devices via ethernet frames - library Faifa is a network tool to remotely manage HomePlug 1.0 and HomePlug AV devices. . HomePlug 1.0 and HomePlug AV are specifications of Power Line Communication (PLC). PLC is a system for carrying data - network packets - over power line. . This tool can configure, flash and collect statistics on thoses devices using private and public Ethernet frames. . This package contains Faifa shared library. Package: libfakechroot Source: fakechroot Version: 2.16-1 Architecture: armhf Maintainer: Piotr Roszatycki Installed-Size: 137 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://fakechroot.alioth.debian.org/ Priority: optional Section: libs Filename: pool/main/f/fakechroot/libfakechroot_2.16-1_armhf.deb Size: 37804 SHA256: f3f3534accbe5cb224f9e090d2a93ce4f9f394dcef1c483d02bc93c1996ee949 SHA1: f5c57fef723490a1bfe28c3323257594002298db MD5sum: 2dccfbd8538481c5ae165da2bd443b2f Description: gives a fake chroot environment - runtime This package provides a library which overrides libc functions, so it is possible to use root-specific tools without root privileges. . In fake chroot you can install i.e. Debian bootstrap, create developer's environment and build packages inside chroot'ed system using standard non-root user account. Package: libfakefs-ruby Source: ruby-fakefs Version: 0.4.0-1 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-fakefs Size: 2676 SHA256: e965cf1849156d556f30b16f076029045c8d11322dadc29aae962b3f58cece21 SHA1: e3a7ea370740c59ab8c47581ad6f84f312438719 MD5sum: db719276d37d7afe6e7aa7ec4ee89b30 Description: Transitional package for ruby-fakefs This is a transitional package to ease upgrades to the ruby-fakefs package. It can safely be removed. Homepage: http://github.com/defunkt/fakefs Section: oldlibs Priority: extra Filename: pool/main/r/ruby-fakefs/libfakefs-ruby_0.4.0-1_all.deb Package: libfakefs-ruby1.8 Source: ruby-fakefs Version: 0.4.0-1 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-fakefs Size: 2682 SHA256: 561eee85a58bcd898576c89e0b2fef97a04faf0cb27c455b11768fb9f2bb5610 SHA1: 6e2d620e52a4a51301f2cdfd60879be9d8aa646d MD5sum: db3e47e78d3c866e95694707e6666999 Description: Transitional package for ruby-fakefs This is a transitional package to ease upgrades to the ruby-fakefs package. It can safely be removed. Homepage: http://github.com/defunkt/fakefs Section: oldlibs Priority: extra Filename: pool/main/r/ruby-fakefs/libfakefs-ruby1.8_0.4.0-1_all.deb Package: libfakefs-ruby1.9.1 Source: ruby-fakefs Version: 0.4.0-1 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-fakefs Size: 2684 SHA256: ada9fe97b135d48ad011fdd60f96cb1824b86e69176fd537c46decd874a2aaf4 SHA1: 1cd625b466072d39fc3552e58c81c5d5380c2a6e MD5sum: 38ab219f5cd8605901d2789e5f98857a Description: Transitional package for ruby-fakefs This is a transitional package to ease upgrades to the ruby-fakefs package. It can safely be removed. Homepage: http://github.com/defunkt/fakefs Section: oldlibs Priority: extra Filename: pool/main/r/ruby-fakefs/libfakefs-ruby1.9.1_0.4.0-1_all.deb Package: libfakekey-dev Source: libfakekey Version: 0.1-7 Architecture: armhf Maintainer: Moray Allan Installed-Size: 49 Depends: libfakekey0 (= 0.1-7), libxtst-dev Multi-Arch: same Priority: optional Section: libdevel Filename: pool/main/libf/libfakekey/libfakekey-dev_0.1-7_armhf.deb Size: 4168 SHA256: 64f269e0fe085bd1a4f5fa4f9c7f0d95725768986c7eb5aa7545c977b3fef1cc SHA1: 94c8a70c9a17316130da5bcad7327f5ec6d8b7d9 MD5sum: 01858a2e7485f1d5f8dd3c9f69760c72 Description: library for converting characters to X key-presses [development] libfakekey is a simple library for converting UTF-8 characters into 'fake' X key-presses. . This package contains the libfakekey development files. Package: libfakekey-doc Source: libfakekey Version: 0.1-7 Installed-Size: 102 Maintainer: Moray Allan Architecture: all Suggests: libfakekey-dev Size: 26082 SHA256: be62fdb67b31f95e3d0a566ff1664c623accdbf2f24ed537a4da8291b0905e45 SHA1: 70602b0952b202ed30f539a3f230b98eb9986202 MD5sum: 76259f19a339edf8782197b7838f76ae Description: library for converting characters to X key-presses [development] libfakekey is a simple library for converting UTF-8 characters into 'fake' X key-presses. . This package contains the libfakekey documentation. Multi-Arch: foreign Section: doc Priority: optional Filename: pool/main/libf/libfakekey/libfakekey-doc_0.1-7_all.deb Package: libfakekey0 Source: libfakekey Version: 0.1-7 Architecture: armhf Maintainer: Moray Allan Installed-Size: 40 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libx11-6, libxtst6 Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libf/libfakekey/libfakekey0_0.1-7_armhf.deb Size: 5758 SHA256: db924b34063a1bc570c1eb0a2ee5be5d15305d43a8ed0b8805599bdb1673e291 SHA1: d2e0ee159f1e016e82ef649fc44290d338230daa MD5sum: 4fb1afb8f43925617fec140765661a6a Description: library for converting characters to X key-presses [runtime] libfakekey is a simple library for converting UTF-8 characters into 'fake' X key-presses. . This package contains the libfakekey runtime library. Package: libfalcon-engine1 Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 4546 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Suggests: falconpl (= 0.9.6.9-git20120606-2) Homepage: http://www.falconpl.org Priority: optional Section: libs Filename: pool/main/f/falconpl/libfalcon-engine1_0.9.6.9-git20120606-2_armhf.deb Size: 1619052 SHA256: 27ac5e9769377f7f4f0db83dd69ccb58ca98cecc8f1de7d69887e345ff09193f SHA1: 981d587893393c80b0bb3a8b482651f70cc9124b MD5sum: ff88ce1674058066f052655ccbf2d9c3 Description: Falcon Programming Language engine Falcon is a small, fast and powerful embeddable programming language. . Falcon aims to be the next generation scripting language, providing a wide set of programming paradigms, template file processing for web development and document based applications, radical internationalization and application extension support. . This is the main engine of the language, including the engine, the Runtime Library and the essential modules. Package: libfalcon-engine1-dbg Source: falconpl Version: 0.9.6.9-git20120606-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 7678 Depends: libfalcon-engine1 (= 0.9.6.9-git20120606-2) Suggests: falcon-dev (= 0.9.6.9-git20120606-2) Homepage: http://www.falconpl.org Priority: extra Section: debug Filename: pool/main/f/falconpl/libfalcon-engine1-dbg_0.9.6.9-git20120606-2_armhf.deb Size: 7182406 SHA256: ce357b7a441956a1f522d16dae5724d3dd076a7802ebdc8ac62d8cdf3a6c3809 SHA1: 4bb588a8df7af939c62aac215df31cb39e08e77f MD5sum: 8065d29cfca16bbdfe16899e962f0068 Description: Falcon P.L. engine - debugging symbols Falcon is a small, fast and powerful embeddable programming language. . Falcon aims to be the next generation scripting language, providing a wide set of programming paradigms, template file processing for web development and document based applications, radical internationalization and application extension support. . This package contains the debugging symbols for libfalcon-engine1 package. Package: libfam-dev Source: fam Version: 2.7.0-17 Architecture: armhf Maintainer: Chuan-kai Lin Installed-Size: 120 Depends: libfam0 (= 2.7.0-17) Homepage: http://oss.sgi.com/projects/fam/ Priority: optional Section: libdevel Filename: pool/main/f/fam/libfam-dev_2.7.0-17_armhf.deb Size: 40608 SHA256: 7a8f303670114d97f4472a9295e0de19ccd87f8c7552b30db67cf6e68d1effc5 SHA1: 16b675f81a512f459c82de9fee2aa05c21572e6f MD5sum: 0fda463d7f1fc817887e1e72948cc65c Description: Client library to control the FAM daemon - development files FAM monitors files and directories, notifying interested applications of changes. . This package provides header files and static libraries to allow the development of programs that interface FAM for file monitoring. Package: libfam-ruby Version: 0.2.0-2.1 Architecture: armhf Maintainer: Jon Bernard Installed-Size: 68 Depends: libc6 (>= 2.13-28), libfam0, libruby1.8 (>= 1.8.7.357-1) Priority: optional Section: ruby Filename: pool/main/libf/libfam-ruby/libfam-ruby_0.2.0-2.1_armhf.deb Size: 13362 SHA256: 4872f0fe791b7a9cf428012a3cd6062f6b0221e21625962cb7d60b412eeadc9b SHA1: eb70d1bc05f9c4cd48432fbd8a4496acd5761d7c MD5sum: 1b41786141e6d2d5e7c7e2ed7013f469 Description: Ruby Extension for the FAM C library FAM, the File Alteration Monitor, provides an API that applications can use to be notified when specific files or directories are changed. . This module provides an FAM interface for the object-oriented scripting language Ruby. Package: libfam0 Source: fam Version: 2.7.0-17 Architecture: armhf Maintainer: Chuan-kai Lin Installed-Size: 73 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: fam (= 2.7.0-17) Replaces: libfam0c102 (<< 2.7.0-17) Provides: libfam0c102 Homepage: http://oss.sgi.com/projects/fam/ Priority: optional Section: libs Filename: pool/main/f/fam/libfam0_2.7.0-17_armhf.deb Size: 27816 SHA256: 84a3539613d65a6bc2cb47dc81ce2a4d457ba866d496e7ee16f53111877c0a9b SHA1: 48f0a428709ef0766bc96bc3dba3f4877fc0d28e MD5sum: f408019c9a3e169482e1013324a1e43c Description: Client library to control the FAM daemon FAM monitors files and directories, notifying interested applications of changes. . This package provides a shared library to allow programs to connect to the FAM daemon and ask for files to be monitored. Package: libfam0c102 Source: fam Version: 2.7.0-17 Installed-Size: 56 Maintainer: Chuan-kai Lin Architecture: all Depends: libfam0 (>= 2.7.0-17) Size: 13838 SHA256: 961e52cb4dcbb6a72baf955b72300bcaa9bbada88b30450b0fcd6ad89f640fb3 SHA1: 70611c993bdef718e8e69806880146dd19e145b4 MD5sum: e76ffbfc4eec6214e2003cea8c5cb298 Description: Dummy package for libfam0 FAM monitors files and directories, notifying interested applications of changes. . This is a dummy package that installs libfam0; see README.Debian for libfam0 for details. You can safely remove this package once libfam0 is installed. Homepage: http://oss.sgi.com/projects/fam/ Tag: role::dummy, role::shared-lib Section: libs Priority: optional Filename: pool/main/f/fam/libfam0c102_2.7.0-17_all.deb Package: libfann-dbg Source: libfann Version: 2.1.0~beta~dfsg-8 Architecture: armhf Maintainer: Christian Kastner Installed-Size: 373 Depends: libfann2 (= 2.1.0~beta~dfsg-8) Homepage: http://leenissen.dk/fann/wp/ Priority: extra Section: debug Filename: pool/main/libf/libfann/libfann-dbg_2.1.0~beta~dfsg-8_armhf.deb Size: 139844 SHA256: 9040dd437c1afb3ce3cb509335e31365f4e0cbda81c21ad80053c3435300f367 SHA1: 8b319c7d9d6b29e27a4e8bc4fb8c9345c4ccb04c MD5sum: f26f45b1f196fdde0f8c0b6426e7ecee Description: Debugging symbols for FANN Fast Artificial Neural Network Library is a free open source neural network library, which implements multilayer artificial neural networks in C with support for both fully connected and sparsely connected networks. Cross-platform execution in both fixed and floating point are supported. It includes a framework for easy handling of training data sets. It is easy to use, versatile, well documented, and fast. A Python binding is available, and bindings for PHP, C++, .NET, Delphi, Octave, Ruby, Pure Data and Mathematica can be downloaded from FANN's homepage. . This package contains the debugging symbols for the libfann library. Package: libfann-dev Source: libfann Version: 2.1.0~beta~dfsg-8 Architecture: armhf Maintainer: Christian Kastner Installed-Size: 565 Depends: libfann2 (= 2.1.0~beta~dfsg-8) Suggests: libfann-doc (= 2.1.0~beta~dfsg-8) Conflicts: libfann1-dev Replaces: libfann1-dev Homepage: http://leenissen.dk/fann/wp/ Priority: optional Section: libdevel Filename: pool/main/libf/libfann/libfann-dev_2.1.0~beta~dfsg-8_armhf.deb Size: 170914 SHA256: 64ed2b16a5eb6f3d25ef43093617069bf7cf5f949f194c6bf4488aa6cb7dfba1 SHA1: e42479bd78278174d843e50b1b223c949634eae7 MD5sum: 6b290f4bf8a5757eb8c3d25f57bd05c5 Description: Development libraries and header files for FANN Fast Artificial Neural Network Library is a free open source neural network library, which implements multilayer artificial neural networks in C with support for both fully connected and sparsely connected networks. Cross-platform execution in both fixed and floating point are supported. It includes a framework for easy handling of training data sets. It is easy to use, versatile, well documented, and fast. A Python binding is available, and bindings for PHP, C++, .NET, Delphi, Octave, Ruby, Pure Data and Mathematica can be downloaded from FANN's homepage. . This package contains the header files and static libraries which are needed for developing libfann applications. Package: libfann-doc Source: libfann Version: 2.1.0~beta~dfsg-8 Installed-Size: 12992 Maintainer: Christian Kastner Architecture: all Recommends: libfann-dev (= 2.1.0~beta~dfsg-8), python-pyfann (= 2.1.0~beta~dfsg-8) Size: 2094206 SHA256: 702e6c8d94e7e564802fa11f6c00e7e5aa17b88843176e881afe391e5e7db925 SHA1: de3fd8aea34814883e4515bde3e89229a17d1cca MD5sum: 9102a89f6a85a6f56c8b6c89057c3e9b Description: API documentation for FANN Fast Artificial Neural Network Library is a free open source neural network library, which implements multilayer artificial neural networks in C with support for both fully connected and sparsely connected networks. Cross-platform execution in both fixed and floating point are supported. It includes a framework for easy handling of training data sets. It is easy to use, versatile, well documented, and fast. A Python binding is available, and bindings for PHP, C++, .NET, Delphi, Octave, Ruby, Pure Data and Mathematica can be downloaded from FANN's homepage. . This package contains the API documentation in HTML form and some introductory material in text form. It also contains examples for the library and the Python wrapper. Homepage: http://leenissen.dk/fann/wp/ Tag: devel::doc, devel::examples, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libf/libfann/libfann-doc_2.1.0~beta~dfsg-8_all.deb Package: libfann2 Source: libfann Version: 2.1.0~beta~dfsg-8 Architecture: armhf Maintainer: Christian Kastner Installed-Size: 319 Depends: libc6 (>= 2.13-28) Suggests: libfann-dev (= 2.1.0~beta~dfsg-8), libfann-doc (= 2.1.0~beta~dfsg-8) Conflicts: libfann1 Replaces: libfann1 Homepage: http://leenissen.dk/fann/wp/ Priority: optional Section: libs Filename: pool/main/libf/libfann/libfann2_2.1.0~beta~dfsg-8_armhf.deb Size: 133158 SHA256: 1e8431d4f7c38617a916b614c6811db95566cf50a423fc0f4d69206a65c08bc8 SHA1: c212cc4f6d33dc58c53b6cfb220d910693307b0e MD5sum: d4568806d0c74b76b660ea4755523c50 Description: Fast Artificial Neural Network Library Fast Artificial Neural Network Library is a free open source neural network library, which implements multilayer artificial neural networks in C with support for both fully connected and sparsely connected networks. Cross-platform execution in both fixed and floating point are supported. It includes a framework for easy handling of training data sets. It is easy to use, versatile, well documented, and fast. A Python binding is available, and bindings for PHP, C++, .NET, Delphi, Octave, Ruby, Pure Data and Mathematica can be downloaded from FANN's homepage. . This package contains the shared libraries. Package: libfannj-java Source: fannj Version: 0.3-1 Installed-Size: 16 Maintainer: Daniel Thomas Architecture: all Depends: junit, libjna-java, libfann2 Suggests: libfannj-java-doc Size: 8516 SHA256: d0c687ea72f6e0ccfc265f4c77d29b34886fb6b3bed3eafb7f4474eee4fdd5e9 SHA1: a199e886ad311c09ae449248791440dd9726d210 MD5sum: dafc329f2366595b6133736bddbd3779 Description: FannJ a Java binding to the Fast Artificial Neural Network (FANN) C library Use FannJ if you have an existing ANN from the FANN project (libfann2) that you would like to access from Java. There are several GUI tools that will help you create and train an ANN. Homepage: http://code.google.com/p/fannj/ Section: java Priority: optional Filename: pool/main/f/fannj/libfannj-java_0.3-1_all.deb Package: libfannj-java-doc Source: fannj Version: 0.3-1 Installed-Size: 292 Maintainer: Daniel Thomas Architecture: all Depends: libfannj-java Recommends: default-jdk-doc Size: 17092 SHA256: 879f7570a102723ad0e4dfa6b5f7b2a40991c3e0c3768760759f2067ecfa1034 SHA1: 31f5e85a63b10e8a9219dee358326cc6bd5fb778 MD5sum: 642a11c7da505071bf31b5aed294eca9 Description: FannJ - Documentation Use FannJ if you have an existing ANN from the FANN project (libfann2) that you would like to access from Java. There are several GUI tools that will help you create and train an ANN. This is the Documentation package for FannJ Homepage: http://code.google.com/p/fannj/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/f/fannj/libfannj-java-doc_0.3-1_all.deb Package: libfarstream-0.1-0 Source: farstream Version: 0.1.2-1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 775 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgssdp-1.0-3 (>= 0.12.0), libgstreamer-plugins-base0.10-0 (>= 0.10.33), libgstreamer0.10-0 (>= 0.10.33), libgupnp-1.0-4 (>= 0.18.0), libgupnp-igd-1.0-4 (>= 0.1.8), libnice10 (>= 0.1.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.6.27), gstreamer0.10-plugins-base (>= 0.10.33), gstreamer0.10-plugins-good (>= 0.10.29), gstreamer0.10-plugins-bad (>= 0.10.17), gstreamer0.10-nice (>= 0.1.0) Conflicts: libgstfarsight0.10-0 Replaces: libgstfarsight0.10-0 Multi-Arch: same Homepage: http://www.freedesktop.org/software/farstream/releases/farstream/ Priority: optional Section: libs Filename: pool/main/f/farstream/libfarstream-0.1-0_0.1.2-1_armhf.deb Size: 410104 SHA256: b4a3d5323a60345f2e030d96e26a9ffd83988d864f48e2f28f0590c98ae23f77 SHA1: 9314eb0a312ed49e50845a68dc971fb582d29fa5 MD5sum: bd655da63f6528d5597b1aeca894b8b7 Description: Audio/Video communications framework: core library The Farstream project is an effort to create a framework to deal with all known audio/video conferencing protocols. On one side it offers a generic API that makes it possible to write plugins for different streaming protocols, on the other side it offers an API for clients to use those plugins. . This package provides the core Farstream library. Package: libfarstream-0.1-dbg Source: farstream Version: 0.1.2-1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 1054 Depends: libfarstream-0.1-0 (= 0.1.2-1), libgstreamer0.10-dev Multi-Arch: same Homepage: http://www.freedesktop.org/software/farstream/releases/farstream/ Priority: extra Section: debug Filename: pool/main/f/farstream/libfarstream-0.1-dbg_0.1.2-1_armhf.deb Size: 853494 SHA256: 7826b1a6835da59cba62a6725650e7fcd4af987869079e8c6f23021f63eb9eac SHA1: 4f163b70816bfb3697b7b0f3e8de82a38b6ee2e0 MD5sum: 12fccf7a1dcdd65bdd2729795360659c Description: Audio/Video communications framework: debugging symbols The Farstream project is an effort to create a framework to deal with all known audio/video conferencing protocols. On one side it offers a generic API that makes it possible to write plugins for different streaming protocols, on the other side it offers an API for clients to use those plugins. . This package provides debugging symbols for Farstream. Package: libfarstream-0.1-dev Source: farstream Version: 0.1.2-1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 556 Depends: libfarstream-0.1-0 (= 0.1.2-1), libgstreamer0.10-dev, gir1.2-farstream-0.1 Homepage: http://www.freedesktop.org/software/farstream/releases/farstream/ Priority: optional Section: libdevel Filename: pool/main/f/farstream/libfarstream-0.1-dev_0.1.2-1_armhf.deb Size: 249300 SHA256: 4fe768328ea359ec10c0c107c834e047523e613f1379b8b1c1b4f1f4563b9a25 SHA1: 9e8e13c3fd077c9161799a857360dbb20ac4fbaa MD5sum: fc6da1d028f526cb7eb14ef2711f57c7 Description: Audio/Video communications framework: development files The Farstream project is an effort to create a framework to deal with all known audio/video conferencing protocols. On one side it offers a generic API that makes it possible to write plugins for different streaming protocols, on the other side it offers an API for clients to use those plugins. . This package provides development files for Farstream. Package: libfarstream-0.1-doc Source: farstream Version: 0.1.2-1 Installed-Size: 861 Maintainer: Debian Telepathy maintainers Architecture: all Size: 264328 SHA256: c42be888693acb07a3f3571ed7c123169e2a547c835ab80e4aa61592963bfb4f SHA1: 36462f55170af5f26ae2286ebe7c8dbddc277376 MD5sum: 4b1be3042d48bfc1e88e6e2715ca5717 Description: Audio/Video communications framework: documentation The Farstream project is an effort to create a framework to deal with all known audio/video conferencing protocols. On one side it offers a generic API that makes it possible to write plugins for different streaming protocols, on the other side it offers an API for clients to use those plugins. . This package provides documentation for Farstream. Homepage: http://www.freedesktop.org/software/farstream/releases/farstream/ Section: doc Priority: optional Filename: pool/main/f/farstream/libfarstream-0.1-doc_0.1.2-1_all.deb Package: libfast-md5-java Source: fast-md5 Version: 2.6.2-2 Installed-Size: 56 Maintainer: Debian QA Group Architecture: all Depends: default-jre-headless | java2-runtime-headless Size: 16146 SHA256: ab656429221b2da40efd86099440ff788cc3c5e659848f5ceb17b780d429d0dd SHA1: 117b0e3e7604ed8a99538171b3c7bfe512eb727e MD5sum: 445473e3f45f1a1e46fac99a199837d8 Description: fast implementation of the MD5 algorithm written in Java fast-md5 is faster than the build-in MD5 support in java standard toolkit. It can be used if one or more of following applies: * Java's built-in MD5 support is a bottleneck for your program's performance and you want something faster. * You are using a version of Java which doesn't have MD5 support, such as J2ME MIDP/CLDC. * You want the extra convenience methods for hashing a file, hashing a string, converting the hash to a hex string, etc. Homepage: http://www.twmacinta.com/myjava/fast_md5.php Section: java Priority: optional Filename: pool/main/f/fast-md5/libfast-md5-java_2.6.2-2_all.deb Package: libfastjet-dev Source: fastjet Version: 3.0.2+dfsg-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1679 Depends: libfastjet0 (= 3.0.2+dfsg-2) Suggests: fastjet-examples, libfastjettools-dev, libfastjet-fortran-dev, libfastjetplugins-dev, fastjet-doc Homepage: http://fastjet.fr/ Priority: optional Section: libdevel Filename: pool/main/f/fastjet/libfastjet-dev_3.0.2+dfsg-2_armhf.deb Size: 481854 SHA256: 13473588b928df2d20ee43fd572524ab1b2000d5d078279c6ee2a55860261aee SHA1: 75b5e1dcea0dc920653f01f6509e8b8011abee43 MD5sum: eb92e57b569e037cf8ed6c52d9b0a46b Description: Development files of FastJet The FastJet package provides a fast implementation of several longitudinally invariant sequential recombination jet algorithms, in particular the longitudinally invariant kt jet algorithm, the inclusive longitudinally invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive anti-kt algorithm. . FastJet also provides a uniform interface to external jet finders via a plugin mechanism, and tools for calculating jet areas and performing background (pileup/UE) subtraction. . This package provides development files of FastJet. Package: libfastjet-fortran-dev Source: fastjet Version: 3.0.2+dfsg-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 235 Depends: gfortran, libfastjet-fortran0 (= 3.0.2+dfsg-2) Homepage: http://fastjet.fr/ Priority: optional Section: libdevel Filename: pool/main/f/fastjet/libfastjet-fortran-dev_3.0.2+dfsg-2_armhf.deb Size: 117662 SHA256: 83741db4dddfeb8c25062ca0594603da167cead2a78d38e8db9ff43400d4aedb SHA1: 24590c6491066d92cc7254f08e70543e0ba91d82 MD5sum: 946dfec49600d012066e04e4e5897a56 Description: Fortran bindings of FastJet - development files The FastJet package provides a fast implementation of several longitudinally invariant sequential recombination jet algorithms, in particular the longitudinally invariant kt jet algorithm, the inclusive longitudinally invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive anti-kt algorithm. . FastJet also provides a uniform interface to external jet finders via a plugin mechanism, and tools for calculating jet areas and performing background (pileup/UE) subtraction. . This package provides development files for Fortran bindings of FastJet. Package: libfastjet-fortran0 Source: fastjet Version: 3.0.2+dfsg-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 182 Pre-Depends: multiarch-support Depends: libfastjet0 (= 3.0.2+dfsg-2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: libfastjet-fortran-dev Multi-Arch: same Homepage: http://fastjet.fr/ Priority: optional Section: libs Filename: pool/main/f/fastjet/libfastjet-fortran0_3.0.2+dfsg-2_armhf.deb Size: 109792 SHA256: d093127089158a109c687820e7169bc133656a0e291d3b86f7928e6be65c6447 SHA1: 75c0078603a0056e861d05c915f332930d208676 MD5sum: 7dfbab7b8c8e2c006cd973770ba6703e Description: Fortran bindings of FastJet The FastJet package provides a fast implementation of several longitudinally invariant sequential recombination jet algorithms, in particular the longitudinally invariant kt jet algorithm, the inclusive longitudinally invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive anti-kt algorithm. . FastJet also provides a uniform interface to external jet finders via a plugin mechanism, and tools for calculating jet areas and performing background (pileup/UE) subtraction. . This package provides Fortran bindings of FastJet. Package: libfastjet0 Source: fastjet Version: 3.0.2+dfsg-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 555 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Suggests: libfastjet-dev, libfastjettools0, libfastjet-fortran0, libfastjetplugins0 Multi-Arch: same Homepage: http://fastjet.fr/ Priority: optional Section: libs Filename: pool/main/f/fastjet/libfastjet0_3.0.2+dfsg-2_armhf.deb Size: 270814 SHA256: c813e1e125a01cd656c242da995f997078f9996b780394a807757fba1b270ead SHA1: ad5fece3d82c408c2a0224bf5fe7b814474b4647 MD5sum: fbd8fe4d92678e0646cf9c81c548f80b Description: Fast C++ code for the kt and other jet algorithms The FastJet package provides a fast implementation of several longitudinally invariant sequential recombination jet algorithms, in particular the longitudinally invariant kt jet algorithm, the inclusive longitudinally invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive anti-kt algorithm. . FastJet also provides a uniform interface to external jet finders via a plugin mechanism, and tools for calculating jet areas and performing background (pileup/UE) subtraction. . This package provides run-time library of FastJet. Package: libfastjetplugins-dev Source: fastjet Version: 3.0.2+dfsg-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 855 Depends: libsiscone-dev, libsiscone-spherical-dev, libfastjetplugins0 (= 3.0.2+dfsg-2) Suggests: fastjet-doc Homepage: http://fastjet.fr/ Priority: optional Section: libdevel Filename: pool/main/f/fastjet/libfastjetplugins-dev_3.0.2+dfsg-2_armhf.deb Size: 266026 SHA256: dd6043ffd619a8fffd50eb6daad36d9e63072c7d7c8bd166559be78282d4f38d SHA1: 5b07692c87ff65b0007dec976bbb60c456a6b836 MD5sum: c7f48e0f99a9e922e276676592b236f2 Description: FastJet plugins - development files The FastJet package provides a fast implementation of several longitudinally invariant sequential recombination jet algorithms, in particular the longitudinally invariant kt jet algorithm, the inclusive longitudinally invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive anti-kt algorithm. . FastJet also provides a uniform interface to external jet finders via a plugin mechanism, and tools for calculating jet areas and performing background (pileup/UE) subtraction. . This package provides development files for plugins of FastJet. Note that ATLASCone and CDFCones plugins are removed due to license issue. Package: libfastjetplugins0 Source: fastjet Version: 3.0.2+dfsg-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 331 Pre-Depends: multiarch-support Depends: libsiscone0, libsiscone-spherical0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Suggests: libfastjetplugins-dev Multi-Arch: same Homepage: http://fastjet.fr/ Priority: optional Section: libs Filename: pool/main/f/fastjet/libfastjetplugins0_3.0.2+dfsg-2_armhf.deb Size: 168226 SHA256: 3d511eafd27bd33e6a4eb1e92a7eec7e9398dcf06f5fcc3c73374a12d24a33ef SHA1: abc53340a5de5c95b6f968628c44cb96d899b7b6 MD5sum: eedb1edd41bfab665d8034bd5552df96 Description: FastJet plugins The FastJet package provides a fast implementation of several longitudinally invariant sequential recombination jet algorithms, in particular the longitudinally invariant kt jet algorithm, the inclusive longitudinally invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive anti-kt algorithm. . FastJet also provides a uniform interface to external jet finders via a plugin mechanism, and tools for calculating jet areas and performing background (pileup/UE) subtraction. . This package provides plugins of FastJet. Note that ATLASCone and CDFCones plugins are removed due to license issue. Package: libfastjettools-dev Source: fastjet Version: 3.0.2+dfsg-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 849 Depends: libfastjettools0 (= 3.0.2+dfsg-2) Homepage: http://fastjet.fr/ Priority: optional Section: libdevel Filename: pool/main/f/fastjet/libfastjettools-dev_3.0.2+dfsg-2_armhf.deb Size: 276166 SHA256: b1439e93e7d69fcf02e3980727ba800c0d0379026e953e724a2ae4b75db3b660 SHA1: a2eaec8e2602eefc8eab9ce4761bab5b4c290852 MD5sum: ee3c729e7ba05646460d3d8c20b61fd6 Description: Tools for FastJet - development files The FastJet package provides a fast implementation of several longitudinally invariant sequential recombination jet algorithms, in particular the longitudinally invariant kt jet algorithm, the inclusive longitudinally invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive anti-kt algorithm. . FastJet also provides a uniform interface to external jet finders via a plugin mechanism, and tools for calculating jet areas and performing background (pileup/UE) subtraction. . This package provides development files of FastJet Tools. Package: libfastjettools0 Source: fastjet Version: 3.0.2+dfsg-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 318 Pre-Depends: multiarch-support Depends: libfastjet0 (= 3.0.2+dfsg-2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: libfastjettools-dev Multi-Arch: same Homepage: http://fastjet.fr/ Priority: optional Section: libs Filename: pool/main/f/fastjet/libfastjettools0_3.0.2+dfsg-2_armhf.deb Size: 162752 SHA256: 4ba47e7f795e50d1f8af397c9e657aee5c4ccddffb185874d3a7cabc1811c3e8 SHA1: d5c7e25358bba13b9252c25f107bc32a51d9d3eb MD5sum: 1d0ac82299e31ca995a5777ac180dd17 Description: Tools for FastJet The FastJet package provides a fast implementation of several longitudinally invariant sequential recombination jet algorithms, in particular the longitudinally invariant kt jet algorithm, the inclusive longitudinally invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive anti-kt algorithm. . FastJet also provides a uniform interface to external jet finders via a plugin mechanism, and tools for calculating jet areas and performing background (pileup/UE) subtraction. . This package provides various tools related to jet areas and subtraction. They can be used with any infrared safe jet algorithm, both native and plugin. Package: libfauhdli-dev Source: fauhdlc Version: 20110812-1 Architecture: armhf Maintainer: FAUmachine Team Installed-Size: 209 Homepage: http://www.faumachine.org Priority: optional Section: libdevel Filename: pool/main/f/fauhdlc/libfauhdli-dev_20110812-1_armhf.deb Size: 72710 SHA256: 25a94661d5ce879c7734378fa9885c1cdb3d2d6b1b969d06634244b38c50c32c SHA1: d736fae50c5b9e38632b4f3e3eb2ceec19fe49df MD5sum: 31966d28dae693d5ee016c38e38fced6 Description: interpreter library and development files for fauhdli This package contains the development files and the interpreter library for fauhdlc's intermediate code interpreter fauhdli. . It is useful, if you want to make use of the foreign interface of VHDL and define an own implementation for it. Package: libfax-hylafax-client-perl Version: 1.02-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), perl-modules (>= 5.8) | libnet-perl (>= 1.12) Size: 14818 SHA256: 1391041e223ae5d43464867867d46d1f2b8801a5c63aaf311d44e5184efb83b0 SHA1: 46132c34ceac4e6d3e39a347944ad2842f96700e MD5sum: 763e1b5ae51c031825108967968a7144 Description: simple Perl client for HylaFAX fax server Fax::Hylafax::Client is a simple Perl client for HylaFAX fax server (www.hylafax.org). It communicates with the server directly through FTP protocol and thus does not require any HylaFAX software components to be installed on the client machine. Homepage: http://search.cpan.org/dist/Fax-Hylafax-Client/ Tag: devel::lang:perl, devel::library, implemented-in::perl, works-with::fax Section: perl Priority: extra Filename: pool/main/libf/libfax-hylafax-client-perl/libfax-hylafax-client-perl_1.02-1_all.deb Package: libfbclient2 Source: firebird2.5 Version: 2.5.2.26540.ds4-1~deb7u4 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 666 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), firebird2.5-common (= 2.5.2.26540.ds4-1~deb7u4), firebird2.5-common-doc (= 2.5.2.26540.ds4-1~deb7u4) Multi-Arch: same Homepage: http://firebirdsql.org/ Priority: optional Section: libs Filename: pool/main/f/firebird2.5/libfbclient2_2.5.2.26540.ds4-1~deb7u4_armhf.deb Size: 224862 SHA256: f88fe50ae733ee378ee322a056aa2d7a3a68ffc55eee67838f390e0334f830ea SHA1: dc2e08b98d57003bd40a541be8a102317e9bea5c MD5sum: 255b2f096737a4f4eb6b4c9c75b4e0b3 Description: Firebird client library Firebird is a relational database offering many ANSI SQL-99 features that runs on Linux, Windows, and a variety of Unix platforms. Firebird offers excellent concurrency, high performance, and powerful language support for stored procedures and triggers. It has been used on production systems under a variety of names since 1981. . This package contains the client library required to connect to Firebird. It works with either "super-server" or "classic" variants, as well as with commercial InterBase servers. . It uses TCP to connect to the server and is tread-safe. . For direct (non-TCP) database access, see libfbembed2.5. Package: libfbclient2-dbg Source: firebird2.5 Version: 2.5.2.26540.ds4-1~deb7u4 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 4176 Depends: firebird2.5-common-doc (= 2.5.2.26540.ds4-1~deb7u4), libfbclient2 (= 2.5.2.26540.ds4-1~deb7u4) Breaks: firebird2.5-super-dbg (<< 2.5.1.26349-0~rc1.ds4-2~) Replaces: firebird2.5-super-dbg (<< 2.5.1.26349-0~rc1.ds4-2~) Homepage: http://firebirdsql.org/ Priority: extra Section: debug Filename: pool/main/f/firebird2.5/libfbclient2-dbg_2.5.2.26540.ds4-1~deb7u4_armhf.deb Size: 1004430 SHA256: c4df43b1929d5aaf48975d823a1dd001eacd89e217e359c4151e6223c48feeed SHA1: 95a713b7696c31d339bfacba4d2776490a5872a6 MD5sum: 51b7a59269d5b4adca4038ded149269b Description: collected debug symbols for libfbclient2 This package contains extracted debug symbols for libfbclient2 library. These may be useful when tracing a problem like a segmentation fault in some binary linked with libfbclient2. Package: libfbembed2.1 Source: firebird2.1 Version: 2.1.5.18496-0.ds2-1 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 3068 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.4.0), firebird2.1-common (= 2.1.5.18496-0.ds2-1), firebird2.1-server-common (= 2.1.5.18496-0.ds2-1), firebird2.1-common-doc (= 2.1.5.18496-0.ds2-1) Homepage: http://firebirdsql.org/ Priority: optional Section: libs Filename: pool/main/f/firebird2.1/libfbembed2.1_2.1.5.18496-0.ds2-1_armhf.deb Size: 949592 SHA256: 35afaac2925cf816a355170c1be6c343b45ef52116e822f01191e02184364c0a SHA1: fa4814503d874af44bfe393ef020b8e864eb1a32 MD5sum: b03270c14e6c8b84be162d0d5a0684bc Description: Firebird embedded client/server library Firebird is a relational database offering many ANSI SQL-99 features that runs on Linux, Windows, and a variety of Unix platforms. Firebird offers excellent concurrency, high performance, and powerful language support for stored procedures and triggers. It has been used on production systems under a variety of names since 1981. . This package contains libfbembed - the embedded client/server library for Firebird. . It can work with remote Firebird servers (either super-server or classic) via TCP connections, in the same way libfbclient does. . Contrary to libfbclient, libfbembed is not thread-safe. . When working with local database libfbembed works directly with the database file without the need of a separate server process. It needs a separate lock manager -- fb_lock_mgr -- which is in firebird2.1-classic package. . If you are in doubt, you most probably need libfbclient2, instead of this package. Package: libfbembed2.5 Source: firebird2.5 Version: 2.5.2.26540.ds4-1~deb7u4 Architecture: armhf Maintainer: Debian Firebird Group Installed-Size: 4330 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.4.0), firebird2.5-common (= 2.5.2.26540.ds4-1~deb7u4), firebird2.5-server-common (= 2.5.2.26540.ds4-1~deb7u4), firebird2.5-common-doc (= 2.5.2.26540.ds4-1~deb7u4) Homepage: http://firebirdsql.org/ Priority: optional Section: libs Filename: pool/main/f/firebird2.5/libfbembed2.5_2.5.2.26540.ds4-1~deb7u4_armhf.deb Size: 1262720 SHA256: 0e2dadd4626f6408902d3a70791d11d8d7b4bc9713299e1e83c80c64cb72ca63 SHA1: 8417f91494c966f29c9d3891ad9c743515974cab MD5sum: 613703bafbc5d6a031bc19ee73349e30 Description: Firebird embedded client/server library Firebird is a relational database offering many ANSI SQL-99 features that runs on Linux, Windows, and a variety of Unix platforms. Firebird offers excellent concurrency, high performance, and powerful language support for stored procedures and triggers. It has been used on production systems under a variety of names since 1981. . This package contains libfbembed - the embedded client/server library for Firebird. . It can work with remote Firebird servers (either super-server or classic) via TCP connections, in the same way libfbclient does. . Contrary to libfbclient, libfbembed is not thread-safe. . When working with local database libfbembed works directly with the database file without the need of a separate server process. It needs a separate lock manager -- fb_lock_mgr -- which is in firebird2.5-classic package. . If you are in doubt, you most probably need libfbclient2, instead of this package. Package: libfcgi-dev Source: libfcgi Version: 2.4.0-8.1+deb7u1 Architecture: armhf Maintainer: Tatsuki Sugiura Installed-Size: 92 Depends: libfcgi0ldbl (= 2.4.0-8.1+deb7u1) Homepage: http://www.fastcgi.com/drupal/ Priority: optional Section: libdevel Filename: pool/main/libf/libfcgi/libfcgi-dev_2.4.0-8.1+deb7u1_armhf.deb Size: 33304 SHA256: c5c0ac6916dff378e7e3315860e464b8499229438f1ee98120a9f17f36244287 SHA1: 69050a3a15c84a31a0bf8d736f846bd5a2b27f9e MD5sum: 64320cb190a79fa2f8cfc8f1de69d506 Description: Header files of FastCGI FastCGI is a language independent, scalable, open extension to CGI that provides high performance without the limitations of server specific APIs. Package: libfcgi-perl Version: 0.74-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 139 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/FCGI/ Priority: optional Section: perl Filename: pool/main/libf/libfcgi-perl/libfcgi-perl_0.74-1_armhf.deb Size: 40472 SHA256: e0e2b72a6f9fffbe7d13cbc86b79218f0322b9cfa9862456a42b5c4f306055d6 SHA1: dfb9cab6e232547600fe842b37f9d91f28482659 MD5sum: 3ff82c550d5eb6f221b0baf043b34bbd Description: helper module for FastCGI FCGI is a simple Perl module for writing FastCGI applications. FastCGI is a language-independent, scalable, open extension to CGI that provides faster performance by keeping programs persistent in memory and allowing them to handle multiple requests. This module provides the core interface needed to write these applications. . For complex applications, you should consider a more full-featured solution such as Plack or Catalyst (see libcatalyst-perl). Package: libfcgi-procmanager-perl Version: 0.24-1 Installed-Size: 81 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 22032 SHA256: c8b28faa04cc4e43bbf474759b9231f9030120ad260f641123de069c054eaa25 SHA1: 351cbfb6a43e9897ce0c0557b2de6b717d663184 MD5sum: b2ce31d594915ed8454f21244183ae65 Description: Perl module to help manage FastCGI applications FCGI::ProcManager serves as a FastCGI process manager, allowing developers to more finely tune performance in their web applications and take advantage of copy-on-write semantics prevalent in the UNIX kernel process system. It can manage a number of FastCGI servers and handles signals on their behalf. Homepage: http://search.cpan.org/dist/FCGI-ProcManager/ Tag: devel::lang:perl, devel::profiler, devel::web, implemented-in::perl, web::cgi Section: perl Priority: optional Filename: pool/main/libf/libfcgi-procmanager-perl/libfcgi-procmanager-perl_0.24-1_all.deb Package: libfcgi-ruby1.8 Source: libfcgi-ruby Version: 0.8.8-1 Architecture: armhf Maintainer: Tatsuki Sugiura Installed-Size: 88 Depends: libc6 (>= 2.13-28), libfcgi0ldbl, libruby1.8 (>= 1.8.7.352) Provides: libfcgi-ruby Priority: optional Section: ruby Filename: pool/main/libf/libfcgi-ruby/libfcgi-ruby1.8_0.8.8-1_armhf.deb Size: 16248 SHA256: b763ec3ce3521142948d5f6b95f6b0e57a8007bf6cc8aacbba7fc06f4b9536b6 SHA1: 58aea6a846d51b5e8d5c136b9d8473cbe2ce8e58 MD5sum: 670bb2afb89687f97ab8e961bbf58968 Description: FastCGI library for Ruby FastCGI is a language independent, scalable, open extension to CGI that provides high performance without the limitations of server specific APIs. For more information, see http://www.fastcgi.com/. . This merges matz's C version(fcgi.so) & Eli's pure ruby version(fastcgi.rb) Package: libfcgi-ruby1.9.1 Source: libfcgi-ruby Version: 0.8.8-1 Architecture: armhf Maintainer: Tatsuki Sugiura Installed-Size: 79 Depends: libc6 (>= 2.13-28), libfcgi0ldbl, libruby1.9.1 (>= 1.9.2.0) Provides: libfcgi-ruby Priority: optional Section: ruby Filename: pool/main/libf/libfcgi-ruby/libfcgi-ruby1.9.1_0.8.8-1_armhf.deb Size: 16196 SHA256: bd1a5cdbd57b50fb37fd3e4c7dd51d11b7ac2848d5f0ad1fda9fcab8febd1d50 SHA1: b385bd3c51e92cc1f5e8886f8d6b3bcaa6946e88 MD5sum: 99c929986e371b68be3478873a2484e9 Description: FastCGI library for Ruby FastCGI is a language independent, scalable, open extension to CGI that provides high performance without the limitations of server specific APIs. For more information, see http://www.fastcgi.com/. . This merges matz's C version(fcgi.so) & Eli's pure ruby version(fastcgi.rb) Package: libfcgi0ldbl Source: libfcgi Version: 2.4.0-8.1+deb7u1 Architecture: armhf Maintainer: Tatsuki Sugiura Installed-Size: 595 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: libfcgi0, libfcgi0c2 Replaces: libfcgi0, libfcgi0c2 Provides: libfcgi Homepage: http://www.fastcgi.com/drupal/ Priority: optional Section: libs Filename: pool/main/libf/libfcgi/libfcgi0ldbl_2.4.0-8.1+deb7u1_armhf.deb Size: 272872 SHA256: c11dfd32b3b8e0be4f184be8f68280bdaf91d7184081ee64a6fb61184f14e7a4 SHA1: 343ff3ecae88f98de12eb12b87fc15b8ea791362 MD5sum: 9422705cc514f768c9a5b946dedbde01 Description: Shared library of FastCGI FastCGI is a language independent, scalable, open extension to CGI that provides high performance without the limitations of server specific APIs. Package: libfdt-dev Source: device-tree-compiler Version: 1.3.0-4 Architecture: armhf Maintainer: Hector Oron Installed-Size: 114 Depends: libfdt1 (= 1.3.0-4) Homepage: http://git.jdl.com/gitweb/?p=dtc.git Priority: extra Section: libdevel Filename: pool/main/d/device-tree-compiler/libfdt-dev_1.3.0-4_armhf.deb Size: 24386 SHA256: 4b57b9464f5c559c28259c7d14b15081828ecdef9b9206936508206f4e964cc9 SHA1: 44b8804a08d8677bcf31c43103a6992f7e18d885 MD5sum: 5f6f1362d011fe1d706b5daf64643fc9 Description: Flat Device Trees manipulation library - development files This is a library containing functions for manipulating Flat Device Trees. . This package contains the files needed for development against libfdt. Package: libfdt1 Source: device-tree-compiler Version: 1.3.0-4 Architecture: armhf Maintainer: Hector Oron Installed-Size: 53 Depends: libc6 (>= 2.13-28) Homepage: http://git.jdl.com/gitweb/?p=dtc.git Priority: extra Section: libs Filename: pool/main/d/device-tree-compiler/libfdt1_1.3.0-4_armhf.deb Size: 15128 SHA256: 5480c9257e590411af852d572282295fdb59b83ea5ab5026f8c402674010550a SHA1: d9c7d575bb418b426eafe46f7adb516dbd3960df MD5sum: f025f1d7020b40bd7b0a82cd3e11fcab Description: Flat Device Trees manipulation library This is a library containing functions for manipulating Flat Device Trees. Package: libfeed-find-perl Version: 0.07-1 Installed-Size: 24 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-errorhandler-perl, liburi-perl, libwww-perl Size: 8836 SHA256: 54f1f8a810ba0a65c0d050f2eae816950f6f1c217e47080c07b9125391eafeb8 SHA1: 0b61d2c55afca546493d28d03dc772ac39ffe08d MD5sum: 8e889424b7b428dd8880cdd1a7eb95ab Description: Syndication feed auto-discovery Feed::Find implements feed auto-discovery for finding syndication feeds, given a URI. It (currently) passes all of the auto-discovery tests at http://diveintomark.org/tests/client/autodiscovery/. . Feed::Find will discover the following feed formats: * RSS 0.91 * RSS 1.0 * RSS 2.0 * Atom Homepage: http://search.cpan.org/dist/Feed-Find/ Tag: devel::lang:perl, implemented-in::perl, use::searching, works-with-format::xml:rss Section: perl Priority: optional Filename: pool/main/libf/libfeed-find-perl/libfeed-find-perl_0.07-1_all.deb Package: libfeedparser-ruby Source: ruby-feedparser Version: 0.7-2 Installed-Size: 36 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-feedparser Size: 5422 SHA256: 44bb60584aa255d075da76c4aba2b7bfd8c1d48b5ccee84e154ad715406c3c7d SHA1: 5df3e3aa4b08cbdbb1cc5145317f556c316ce32a MD5sum: 9fed2993bbf15138bb6ed4aa74067e3f Description: Transitional package for ruby-feedparser This is a transitional package to ease upgrades to the ruby-feedparser package. It can safely be removed. Homepage: http://home.gna.org/ruby-feedparser/ Tag: devel::lang:ruby, implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-feedparser/libfeedparser-ruby_0.7-2_all.deb Package: libfeedparser-ruby-doc Source: ruby-feedparser Version: 0.7-2 Installed-Size: 36 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-feedparser Size: 5428 SHA256: 4739c9f6d11e9d6c5ed1b3505d8c5930f2bec4e6856694e273d9cfe6342af416 SHA1: e963d56d984d6bb79bf22ab28b3491cf7cbe7891 MD5sum: a9905cd517aeedacb672e3b70f22cf61 Description: Transitional package for ruby-feedparser This is a transitional package to ease upgrades to the ruby-feedparser package. It can safely be removed. Homepage: http://home.gna.org/ruby-feedparser/ Tag: devel::doc, devel::lang:ruby, made-of::html, role::documentation, role::dummy Section: doc Priority: optional Filename: pool/main/r/ruby-feedparser/libfeedparser-ruby-doc_0.7-2_all.deb Package: libfeedparser-ruby1.8 Source: ruby-feedparser Version: 0.7-2 Installed-Size: 36 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-feedparser Size: 5432 SHA256: a1451723df31af9eefd9cf0bd76223076e772d15e4a3ac02bd4726b041bef420 SHA1: d182161623aaa762ca7f0f9ae6cd4aac1744414f MD5sum: 03707fa6d4e2831e6ecfdc6881dbd428 Description: Transitional package for ruby-feedparser This is a transitional package to ease upgrades to the ruby-feedparser package. It can safely be removed. Homepage: http://home.gna.org/ruby-feedparser/ Tag: devel::lang:ruby, implemented-in::ruby, role::dummy, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-feedparser/libfeedparser-ruby1.8_0.7-2_all.deb Package: libfeedtools-ruby Source: ruby-feedtools Version: 0.2.29+dfsg1-5 Installed-Size: 36 Maintainer: Marc Dequènes (Duck) Architecture: all Depends: ruby-feedtools Size: 7768 SHA256: 60a8fa61efce05d7a0f0f273b71432d28796517491b8a1eb924906289bd3bbc1 SHA1: 4c898c0c603405a76a870c9232502ce37883547e MD5sum: d8c5f5ffe3e4a9197b03909d67e4c170 Description: Transitional package for ruby-feedtools This is a transitional package to ease upgrades to the ruby-feedtools package. It can safely be removed. Homepage: http://rubyforge.org/projects/feedtools/ Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: ruby Priority: optional Filename: pool/main/r/ruby-feedtools/libfeedtools-ruby_0.2.29+dfsg1-5_all.deb Package: libfeedtools-ruby-doc Source: ruby-feedtools Version: 0.2.29+dfsg1-5 Installed-Size: 36 Maintainer: Marc Dequènes (Duck) Architecture: all Depends: ruby-feedtools-doc Size: 7772 SHA256: d47cb51cd82cdb3e66f876d551a0c7ec339f96a44604ee28e52e2d10b9c6a862 SHA1: 056966b0a8432d68c3c93e862155aaa913daa26d MD5sum: 267813390c22ce8b88d9b357fc5c1ea3 Description: Transitional package for ruby-feedtools-doc This is a transitional package to ease upgrades to the ruby-feedtools package. It can safely be removed. Homepage: http://rubyforge.org/projects/feedtools/ Tag: devel::doc, devel::lang:ruby, made-of::html, role::documentation, role::dummy Section: doc Priority: optional Filename: pool/main/r/ruby-feedtools/libfeedtools-ruby-doc_0.2.29+dfsg1-5_all.deb Package: libfeedtools-ruby1.8 Source: ruby-feedtools Version: 0.2.29+dfsg1-5 Installed-Size: 36 Maintainer: Marc Dequènes (Duck) Architecture: all Depends: ruby-feedtools Size: 7774 SHA256: 446df5191153115b636a494e2825178b20f8174104b6ff3cd8ef15f320dc34d7 SHA1: 935326ed827ceb3b8abc2573c236a6ae409360e1 MD5sum: 0a76558f581225db4500435727f6f933 Description: Transitional package for ruby-feedtools This is a transitional package to ease upgrades to the ruby-feedtools package. It can safely be removed. Homepage: http://rubyforge.org/projects/feedtools/ Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: ruby Priority: optional Filename: pool/main/r/ruby-feedtools/libfeedtools-ruby1.8_0.2.29+dfsg1-5_all.deb Package: libfeedtools-ruby1.9.1 Source: ruby-feedtools Version: 0.2.29+dfsg1-5 Installed-Size: 36 Maintainer: Marc Dequènes (Duck) Architecture: all Depends: ruby-feedtools Size: 7776 SHA256: b54211bf9ca947c619fbec24f2e725bedcb6114356930bc019013bde00405f7a SHA1: 2c9da51b9f7d114423b0e508057de36898d4de97 MD5sum: 88ba7274eb62bc41c987284651088521 Description: Transitional package for ruby-feedtools This is a transitional package to ease upgrades to the ruby-feedtools package. It can safely be removed. Homepage: http://rubyforge.org/projects/feedtools/ Tag: implemented-in::ruby, role::dummy, role::shared-lib Section: ruby Priority: optional Filename: pool/main/r/ruby-feedtools/libfeedtools-ruby1.9.1_0.2.29+dfsg1-5_all.deb Package: libfelix-bundlerepository-java Source: felix-bundlerepository Version: 1.6.6-1 Installed-Size: 233 Maintainer: Debian Java Maintainers Architecture: all Depends: libeasymock-java, libosgi-core-java Recommends: libfelix-osgi-obr-java, libfelix-shell-java, libfelix-utils-java, libkxml2-java, libosgi-compendium-java Suggests: libfelix-bundlerepository-java-doc Size: 159764 SHA256: 553cc29c9ce121e92141445ac0cbd5f5caebe35599dfb2e8ee33872efa0be807 SHA1: 386d2da5405c0a1bbd3fc1dac143e396a78ef32f MD5sum: dedd7de5baecdf1eb21db85f84be43fa Description: Felix OSGi bundle repository service The Felix project is an implementation of the OSGi R4.2 core framework specification. . OSGi framework is a module system and service platform for the Java programming language that implements a complete and dynamic component model. . This subproject provide implementation of repository containing "bundles". Homepage: http://felix.apache.org/site/apache-felix-osgi-bundle-repository.html Section: java Priority: optional Filename: pool/main/f/felix-bundlerepository/libfelix-bundlerepository-java_1.6.6-1_all.deb Package: libfelix-bundlerepository-java-doc Source: felix-bundlerepository Version: 1.6.6-1 Installed-Size: 2043 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: libfelix-bundlerepository-java Size: 352308 SHA256: dafad76eeb4722ec6bcb49a89f0407f4b75cb8c207001d4adefa1c4ee347880d SHA1: 2f5bddff9c7e854c0df582bfc5c20584fd4adbd1 MD5sum: 786747627298780c800b60225aedc53b Description: Documentation for Felix OSGi bundle repository service The Felix project is an implementation of the OSGi R4.2 core framework specification. . OSGi framework is a module system and service platform for the Java programming language that implements a complete and dynamic component model. . This subproject provide implementation of repository containing "bundles". . This package contains the API documentation of libfelix-bundlerepository-java. Homepage: http://felix.apache.org/site/apache-felix-osgi-bundle-repository.html Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/f/felix-bundlerepository/libfelix-bundlerepository-java-doc_1.6.6-1_all.deb Package: libfelix-framework-java Source: felix-framework Version: 4.0.1-2 Installed-Size: 485 Maintainer: Debian Java Maintainers Architecture: all Suggests: libfelix-framework-java-doc Size: 459370 SHA256: dcb8ded802b22f735426a4d2cf12e21ca3e7ed91d519825782b5f93423152319 SHA1: 45f07ec72467d31515575afbe6801b3edcd9d69b MD5sum: 3ec16f587176945614a6cbe3efef7348 Description: The Felix Framework subproject The Felix Framework subproject is an implementation of the OSGi R4.2 core framework specification. Homepage: http://felix.apache.org/site/apache-felix-framework.html Section: java Priority: optional Filename: pool/main/f/felix-framework/libfelix-framework-java_4.0.1-2_all.deb Package: libfelix-framework-java-doc Source: felix-framework Version: 4.0.1-2 Installed-Size: 5883 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Size: 1273416 SHA256: 02fc14ebfa0198570ad5f1c4602055dddec8c1b79c3addf053661f75f7f07a23 SHA1: ac5a4682b44deecac4e32e63a08810698f663eaa MD5sum: d8855fcaac72a8d9756637a41378b32b Description: Javadoc API documentation for the Felix Framework subproject The Felix Framework subproject is an implementation of the OSGi R4.2 core framework specification. This package contains Javadoc API documentation. Homepage: http://felix.apache.org/site/apache-felix-framework.html Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/f/felix-framework/libfelix-framework-java-doc_4.0.1-2_all.deb Package: libfelix-gogo-command-java Source: felix-gogo-command Version: 0.12.0-2 Installed-Size: 115 Maintainer: Debian Java Maintainers Architecture: all Depends: libfelix-bundlerepository-java, libfelix-gogo-runtime-java, libosgi-compendium-java, libosgi-core-java Suggests: libfelix-gogo-command-java-doc Size: 53022 SHA256: 095b04031b5d252dc7b4b6448fdb279976f707ba57c0bef321116cef2173152c SHA1: eb60834dcfa17c38e47a089b2a2ba0fdfcd6368a MD5sum: ea4be28c98b65ee749c16e126eca422b Description: Apache Felix Gogo Command bundle Apache Felix Gogo is a subproject of Apache Felix implementing the OSGi RFC 147, which describes a standard shell for OSGi-based environments. . Command bundle of Gogo subproject implements a set of basic commands. Homepage: http://felix.apache.org/site/apache-felix-gogo.html Section: java Priority: optional Filename: pool/main/f/felix-gogo-command/libfelix-gogo-command-java_0.12.0-2_all.deb Package: libfelix-gogo-command-java-doc Source: felix-gogo-command Version: 0.12.0-2 Installed-Size: 404 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc, libfelix-bundlerepository-java-doc, libfelix-gogo-runtime-java-doc, libosgi-compendium-java-doc, libosgi-core-java-doc Suggests: libfelix-gogo-command-java Size: 69108 SHA256: 8351ba4e7aaf53a56edaec13f402605cbf25d5704f09206d8cc45adbd2cd08a6 SHA1: d1e95a93816c58f611f9f675ca6d1b41c5b30f1f MD5sum: 53872c5e78b5990e805e805ff509a34c Description: Documentation for Apache Felix Gogo Command bundle Apache Felix Gogo is a subproject of Apache Felix implementing the OSGi RFC 147, which describes a standard shell for OSGi-based environments. . Command bundle of Gogo subproject implements a set of basic commands. . This package contains the API documentation of libfelix-gogo-command-java. Homepage: http://felix.apache.org/site/apache-felix-gogo.html Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/f/felix-gogo-command/libfelix-gogo-command-java-doc_0.12.0-2_all.deb Package: libfelix-gogo-runtime-java Source: felix-gogo-runtime Version: 0.10.0-2 Installed-Size: 129 Maintainer: Debian Java Maintainers Architecture: all Depends: libosgi-compendium-java, libosgi-core-java Suggests: libfelix-gogo-runtime-java-doc Size: 64452 SHA256: 9bca9016a3838b92373e714158fa1a4757327935229e1cc1874525d570c10d24 SHA1: dd38f9154919790d6f80008a4db103446557a92b MD5sum: 32fabf94b788e1967519318c0d9bc6f8 Description: Apache Felix Gogo Runtime bundle Apache Felix Gogo is a subproject of Apache Felix implementing the OSGi RFC 147, which describes a standard shell for OSGi-based environments. . Runtime bundle of Gogo subproject implements the core command processing functionality. Homepage: http://felix.apache.org/site/apache-felix-gogo.html Section: java Priority: optional Filename: pool/main/f/felix-gogo-runtime/libfelix-gogo-runtime-java_0.10.0-2_all.deb Package: libfelix-gogo-runtime-java-doc Source: felix-gogo-runtime Version: 0.10.0-2 Installed-Size: 1290 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc, libosgi-compendium-java-doc, libosgi-core-java-doc Suggests: libfelix-gogo-runtime-java Size: 240208 SHA256: ced0f0573fb806bbbff4166091bfea4fd0caa45cf66cb45a557ee93c11de0957 SHA1: 03aaa893d9571bc3e94a62573c9b10e9d3655093 MD5sum: 955341bf8385bbc2b1dd74f3c921ba76 Description: Documentation for Apache Felix Gogo Runtime bundle Apache Felix Gogo is a subproject of Apache Felix implementing the OSGi RFC 147, which describes a standard shell for OSGi-based environments. . Runtime bundle of Gogo subproject implements the core command processing functionality. . This package contains the API documentation of libfelix-gogo-runtime-java. Homepage: http://felix.apache.org/site/apache-felix-gogo.html Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/f/felix-gogo-runtime/libfelix-gogo-runtime-java-doc_0.10.0-2_all.deb Package: libfelix-gogo-shell-java Source: felix-gogo-shell Version: 0.10.0-2 Installed-Size: 112 Maintainer: Debian Java Maintainers Architecture: all Depends: libfelix-gogo-runtime-java, libosgi-compendium-java, libosgi-core-java Suggests: libfelix-gogo-shell-java-doc Size: 50376 SHA256: 12ab7c7235d8739775dc0b86072dab8dfd3b0d5d320107faec76f572c34d6465 SHA1: 5516d5fcc4b4efdafe2439098748e36dc8e3f511 MD5sum: aea9ea7cb8f8a5936fed41b383244c64 Description: Apache Felix Gogo Shell bundle Apache Felix Gogo is a subproject of Apache Felix implementing the OSGi RFC 147, which describes a standard shell for OSGi-based environments. . Shell bundle of Gogo subproject provides a simple textual user interface to interact with the command processor. Homepage: http://felix.apache.org/site/apache-felix-gogo.html Section: java Priority: optional Filename: pool/main/f/felix-gogo-shell/libfelix-gogo-shell-java_0.10.0-2_all.deb Package: libfelix-gogo-shell-java-doc Source: felix-gogo-shell Version: 0.10.0-2 Installed-Size: 523 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc, libfelix-gogo-runtime-java-doc, libosgi-compendium-java-doc, libosgi-core-java-doc Suggests: libfelix-gogo-shell-java Size: 90956 SHA256: c3e1b1e71d3103965e18c500edc837d859df7a1351c42a615b6f8f7efaf914c8 SHA1: 13a2d7183527dba954bdbdaff88a3ff0ea66bd88 MD5sum: 26736a274287f339c3676bc475e8ce51 Description: Documentation for Apache Felix Gogo Shell bundle Apache Felix Gogo is a subproject of Apache Felix implementing the OSGi RFC 147, which describes a standard shell for OSGi-based environments. . Shell bundle of Gogo subproject provides a simple textual user interface to interact with the command processor. . This package contains the API documentation of libfelix-gogo-shell-java. Homepage: http://felix.apache.org/site/apache-felix-gogo.html Tag: devel::doc, devel::lang:java, made-of::html, role::documentation, use::learning Section: doc Priority: optional Filename: pool/main/f/felix-gogo-shell/libfelix-gogo-shell-java-doc_0.10.0-2_all.deb Package: libfelix-main-java Source: felix-main Version: 4.0.1-2 Installed-Size: 542 Maintainer: Debian Java Maintainers Architecture: all Depends: libfelix-framework-java Suggests: libfelix-main-java-doc Size: 459172 SHA256: 33cca28829219a2b098b5513faf4628e1d4a6ef3113e7c4c59c75ca70bc8fd02 SHA1: 27778350a22be4e1b1b0a0b9cfc5d2f283ad22a7 MD5sum: 37bdf78c47b3dc862b050a4ef04e29d9 Description: Librairies to instantiate and execute the Felix Framework The Felix Framework subproject is an implementation of the OSGi R4.2 core framework specification. Homepage: http://felix.apache.org/site/ Section: java Priority: optional Filename: pool/main/f/felix-main/libfelix-main-java_4.0.1-2_all.deb Package: libfelix-main-java-doc Source: felix-main Version: 4.0.1-2 Installed-Size: 1038 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Size: 708984 SHA256: ffcc6a70357f0f181d8a15e1dc6a6dc61b318e57d4c66559bfccc9fb57675948 SHA1: 1aa2e81e6555ae7c6ed102535e4731251b547a98 MD5sum: 303d7a6d0b3fb0f6a46c04c820a4e52c Description: Librairies to instantiate and execute OSGi Felix Framework - doc The Felix Framework subproject is an implementation of the OSGi R4.2 core framework specification. . This package contains Javadoc API documentation. Homepage: http://felix.apache.org/site/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/f/felix-main/libfelix-main-java-doc_4.0.1-2_all.deb Package: libfelix-osgi-obr-java Source: felix-osgi-obr Version: 1.0.2-3 Installed-Size: 67 Maintainer: Debian Java Maintainers Architecture: all Depends: libosgi-core-java Suggests: libfelix-osgi-obr-java-doc Size: 7204 SHA256: 25125e71015798547602cc592486cdfd822216ae74096bcb59503095fcb474b0 SHA1: cd7f1fb946272dccd55b036df68866c9d113d811 MD5sum: 362ed56a390e88f0bd5ed9d59ad7ddbd Description: OSGi OBR Service API The goal of the Apache Felix OSGi Bundle Repository (OBR) is two-fold: 1. To simplify deploying and using available bundles with Felix. 2. To encourage independent bundle development so that communities of interest can grow. Homepage: http://felix.apache.org/site/apache-felix-osgi-bundle-repository.html Section: java Priority: optional Filename: pool/main/f/felix-osgi-obr/libfelix-osgi-obr-java_1.0.2-3_all.deb Package: libfelix-osgi-obr-java-doc Source: felix-osgi-obr Version: 1.0.2-3 Installed-Size: 291 Maintainer: Debian Java Maintainers Architecture: all Size: 22932 SHA256: fc8b8d941bb86cc9ad14568d0fdbef95e8290aa5af2d8c2451721bc9861fac59 SHA1: 188fd7bed7a695798bf0f764e1f969e87b5493b2 MD5sum: 99da2a40a25bc8c7a29ed4531ad29389 Description: Javadoc API for OSGi OBR Service API The goal of the Apache Felix OSGi Bundle Repository (OBR) is two-fold: 1. To simplify deploying and using available bundles with Felix. 2. To encourage independent bundle development so that communities of interest can grow. This package contains Javadoc API documentation. Homepage: http://felix.apache.org/site/apache-felix-osgi-bundle-repository.html Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/f/felix-osgi-obr/libfelix-osgi-obr-java-doc_1.0.2-3_all.deb Package: libfelix-shell-java Source: felix-shell Version: 1.4.2-3 Installed-Size: 120 Maintainer: Debian Java Maintainers Architecture: all Depends: libosgi-compendium-java, libosgi-core-java Suggests: libfelix-shell-java-doc Size: 56760 SHA256: 26fa8f6b10e32cc2ef754e1e337c3439e0b7ea0a72243eb475fcfbda43114ec2 SHA1: bc227f57a3ea8d169f31372f61baffbf82431535 MD5sum: 365f07caabb52c00263d1897b2510b01 Description: Felix OSGi shell to issue commands to the framework The Felix project is an implementation of the OSGi R4.2 core framework specification. . OSGi framework is a module system and service platform for the Java programming language that implements a complete and dynamic component model. . This subproject defines a shell service for creating and executing arbitrary commands into Apache Felix. Homepage: http://felix.apache.org/site/apache-felix-shell.html Section: java Priority: optional Filename: pool/main/f/felix-shell/libfelix-shell-java_1.4.2-3_all.deb Package: libfelix-shell-java-doc Source: felix-shell Version: 1.4.2-3 Installed-Size: 1075 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: libfelix-shell-java Size: 147876 SHA256: 1c2761ca2bcba6eca7de71c9969028cb90beb5818c95fdbc75a4488e2019e259 SHA1: 8b59b6356a2e3fb3c6378ce2c5b41a12b0cc1b0d MD5sum: 2f0897a18bfdf00d036a2404983924a0 Description: Felix OSGi shell - documentation The Felix project is an implementation of the OSGi R4.2 core framework specification. . OSGi framework is a module system and service platform for the Java programming language that implements a complete and dynamic component model. . This package contains Javadoc API documentation for libfelix-shell-java. Homepage: http://felix.apache.org/site/apache-felix-shell.html Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/f/felix-shell/libfelix-shell-java-doc_1.4.2-3_all.deb Package: libfelix-shell-tui-java Source: felix-shell-tui Version: 1.4.1-3 Installed-Size: 71 Maintainer: Debian Java Maintainers Architecture: all Depends: libfelix-shell-java, libosgi-core-java Suggests: libfelix-shell-tui-java-doc Size: 10418 SHA256: 6bdb682ceb0e9cc9a081d03c40fd37190b51e5aeb9e1887ac05f8e8942b87c43 SHA1: fbe0412f303a8f4dbacad52aa070721a3c5e3351 MD5sum: d504fda0aa7a41fdb27965fa2a2ebb68 Description: Apache Felix Shell TUI The Felix project is an implementation of the OSGi R4.2 core framework specification. . OSGi framework is a module system and service platform for the Java programming language that implements a complete and dynamic component model. . This subproject provide a simple command line interface to act as a shell for Felix. Homepage: http://felix.apache.org/site/apache-felix-shell-tui.html Section: java Priority: optional Filename: pool/main/f/felix-shell-tui/libfelix-shell-tui-java_1.4.1-3_all.deb Package: libfelix-shell-tui-java-doc Source: felix-shell-tui Version: 1.4.1-3 Installed-Size: 228 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: libfelix-shell-tui-java Size: 64094 SHA256: 5e930870d4c11042f94453fcaef13c0a98696911d8c7b30eab3eaf7a9c4f6427 SHA1: ecf198eb09fab5cc316bf1fc52d0be3fa3a8f27c MD5sum: 88fac556c5da2229dbcc9fc3237fb135 Description: Documentation for Apache Felix Shell TUI The Felix project is an implementation of the OSGi R4.2 core framework specification. . OSGi framework is a module system and service platform for the Java programming language that implements a complete and dynamic component model. . This subproject provide a simple command line interface to act as a shell for Felix. . This package contains the API documentation of libfelix-shell-tui-java. Homepage: http://felix.apache.org/site/apache-felix-shell-tui.html Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/f/felix-shell-tui/libfelix-shell-tui-java-doc_1.4.1-3_all.deb Package: libfelix-utils-java Source: felix-utils Version: 1.1.0-3 Installed-Size: 108 Maintainer: Debian Java Maintainers Architecture: all Depends: libosgi-compendium-java, libosgi-core-java Suggests: libfelix-utils-java-doc Size: 45542 SHA256: 45a6c2a92496a4b6704ab6f75a5d3571d20a7830b4259cafa5096b5cad03c32f SHA1: 4a67ea8b7a4174eb78e6b4ae3aec74f409d130d2 MD5sum: 1f8b80f6a81370ca672ff2a589329e71 Description: collection of utility classes for Apache Felix The Felix project is an implementation of the OSGi R4.2 core framework specification. . OSGi framework is a module system and service platform for the Java programming language that implements a complete and dynamic component model. . This subproject provides a collection of utility classes used by others components of Apache Felix. Homepage: http://felix.apache.org/ Section: java Priority: optional Filename: pool/main/f/felix-utils/libfelix-utils-java_1.1.0-3_all.deb Package: libfelix-utils-java-doc Source: felix-utils Version: 1.1.0-3 Installed-Size: 791 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: libfelix-utils-java Size: 86014 SHA256: 7ce7a02bb116760c54c4bd8f2d7e0aa0c713ea0741163a141a9a0de808ae11be SHA1: fb1951898d10923e0f744cccca5533161c7a8526 MD5sum: 9b665e9c61d464ac855ce3c45801d203 Description: collection of utility classes for Apache Felix - documentation The Felix project is an implementation of the OSGi R4.2 core framework specification. . OSGi framework is a module system and service platform for the Java programming language that implements a complete and dynamic component model. . This package contains Javadoc API documentation. Homepage: http://felix.apache.org/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/f/felix-utils/libfelix-utils-java-doc_1.1.0-3_all.deb Package: libfence-dev Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 76 Depends: libfence4 (= 3.0.12-3.2+rvt+deb7u2) Priority: optional Section: libdevel Filename: pool/main/r/redhat-cluster/libfence-dev_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 26794 SHA256: de6fd353adb5ac21be1600658791401ece6ce28b2ef2561e6014e7584c3af93b SHA1: 0b3f6668e4b5a37fc326ee7954c2cf36feef0582 MD5sum: 890bed891daecc8b345aa64fee6b0292 Description: Red Hat cluster suite - fence server development files This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . fenced is the daemon in charge of controlling cluster node fencing. . This package contains the files needed for developing applications that need to request node fencing. Package: libfence4 Source: redhat-cluster Version: 3.0.12-3.2+rvt+deb7u2 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 68 Depends: libc6 (>= 2.13-28), libccs3 (>= 3.0.12), libldap-2.4-2 (>= 2.4.7), libxml2 (>= 2.7.4) Priority: optional Section: libs Filename: pool/main/r/redhat-cluster/libfence4_3.0.12-3.2+rvt+deb7u2_armhf.deb Size: 26624 SHA256: b37d621e882510dc557f6bfbf0f9bcabbfef4d7ab9d8428d6bed981dc49106be SHA1: c4fc65f7ed29f4ed105546e8de51219aa33a9c5e MD5sum: 2860cb860018d2592796d0043776f1a7 Description: Red Hat cluster suite - fence client library This package is part of the Red Hat Cluster Suite, a complete high-availability solution. . fenced is the daemon in charge of controlling cluster node fencing. . This is the client library for requesting node fencing. Package: libferret-ruby Source: ruby-ferret Version: 0.11.8.4+debian-2 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-ferret Size: 5472 SHA256: 7c672a93f01701d655ad6441b0331fce7eae2086a41c2f631df964c26d1a9b01 SHA1: 01a1754d407de984f533d66a1fed71cc22a059b7 MD5sum: 764e3b69027fd6f5fbe3d49bed5a6a7c Description: Transitional package for ruby-ferret This is a transitional package to ease upgrades to the ruby-ferret package. It can safely be removed. Homepage: https://github.com/jkraemer/ferret Section: oldlibs Priority: extra Filename: pool/main/r/ruby-ferret/libferret-ruby_0.11.8.4+debian-2_all.deb Package: libferret-ruby1.8 Source: ruby-ferret Version: 0.11.8.4+debian-2 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-ferret Size: 5476 SHA256: 8806114434c1c1923623a35a1d34ff6a7823276a8a83c709b8d22acc37dbbf26 SHA1: e233ea52a65d2d686a730145f8955fbdbbb5c38c MD5sum: 68504fbe89d721fcadd6d65acf815fba Description: Transitional package for ruby-ferret This is a transitional package to ease upgrades to the ruby-ferret package. It can safely be removed. Homepage: https://github.com/jkraemer/ferret Section: oldlibs Priority: extra Filename: pool/main/r/ruby-ferret/libferret-ruby1.8_0.11.8.4+debian-2_all.deb Package: libffado-dev Source: libffado Version: 2.0.99+svn2171-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 71 Depends: libffado2 (= 2.0.99+svn2171-2), libxml2-dev Homepage: http://www.ffado.org Priority: optional Section: libdevel Filename: pool/main/libf/libffado/libffado-dev_2.0.99+svn2171-2_armhf.deb Size: 19266 SHA256: 16dc341023ae5298b3496734f26c568b2b16e81a0e074677d65ccc0c7e6d86e9 SHA1: 21746a68a2ecdedc51687ceefba044f38c2d8fe9 MD5sum: 85c078acca58f93308786c4e03c4c74c Description: FFADO API - development files FFADO is a Linux driver for FireWire (IEEE1394) audio devices. . The FFADO library permits discovering and configuring such devices and provides an API for streaming clients. . This package holds the development files. Package: libffado2 Source: libffado Version: 2.0.99+svn2171-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 4835 Depends: libc6 (>= 2.13-28), libconfig++9, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libiec61883-0 (>= 1.2.0), libraw1394-11, libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0), libxml++2.6-2 (>= 2.34.2), libxml2 (>= 2.6.27) Homepage: http://www.ffado.org Priority: optional Section: libs Filename: pool/main/libf/libffado/libffado2_2.0.99+svn2171-2_armhf.deb Size: 1558570 SHA256: ddb9392e71447ff83ac1318bc61ced07d3e17b09db7183efd6343b905fadcfe7 SHA1: e1f9b8321ae1164bb61a9cff2bdb5d8c9b44f4cb MD5sum: f318bdb5d630c10e209afc3ffe385b00 Description: FFADO API FFADO is a Linux driver for FireWire (IEEE1394) audio devices. . The FFADO library permits discovering and configuring such devices and provides an API for streaming clients. . This package holds the shared library. Package: libffcall1 Source: ffcall Version: 1.10+cvs20100619-2 Architecture: armhf Maintainer: Christoph Egger Installed-Size: 49 Depends: libc6 (>= 2.4) Homepage: https://savannah.gnu.org/projects/libffcall/ Priority: optional Section: libs Filename: pool/main/f/ffcall/libffcall1_1.10+cvs20100619-2_armhf.deb Size: 12874 SHA256: fcad9b764bfcf90179164db474478ea25e85b0c60319d3eab3317efd4d475e16 SHA1: fe3e4f12a7d4cc2407c444e9f4c9351206e0d632 MD5sum: 514b31afd70e40022163997837bddd4d Description: Foreign Function Call Libraries This is a collection of four libraries which can be used to build foreign function call interfaces in embedded interpreters. Package: libffcall1-dev Source: ffcall Version: 1.10+cvs20100619-2 Architecture: armhf Maintainer: Christoph Egger Installed-Size: 274 Depends: libffcall1 (= 1.10+cvs20100619-2) Homepage: https://savannah.gnu.org/projects/libffcall/ Priority: optional Section: libdevel Filename: pool/main/f/ffcall/libffcall1-dev_1.10+cvs20100619-2_armhf.deb Size: 55828 SHA256: cb39086a2a5006ccac470e9d3ca942b19aaf33b7cdfc14ffa4742a21239d8fc9 SHA1: 3b5a260c7179d2f24ff4951257e8418ca45f45f8 MD5sum: 5012db2a241837380dba9a35cf4d01a1 Description: Foreign Function Call Libraries (development files) This is a collection of four libraries which can be used to build foreign function call interfaces in embedded interpreters. . The four packages are: . avcall - calling C functions with variable arguments . vacall - C functions accepting variable argument prototypes . trampoline - closures as first-class C functions . callback - closures with variable arguments as first-class C functions (a reentrant combination of vacall and trampoline) Package: libffi-dev Source: libffi Version: 3.0.10-3+deb7u2 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 312 Depends: libffi5 (= 3.0.10-3+deb7u2), dpkg (>= 1.15.4) | install-info Conflicts: libffi4-dev Multi-Arch: same Priority: optional Section: libdevel Filename: pool/main/libf/libffi/libffi-dev_3.0.10-3+deb7u2_armhf.deb Size: 113908 SHA256: 3f4683cdfb140db28e9b17847d954e3709c1fd34487e3eaa125d0a831d24d533 SHA1: 7d5bd22f37a046800aa24572a572814b97b00060 MD5sum: b0856493d0392e7b5cac3175773874d4 Description: Foreign Function Interface library (development files) This package contains the headers and static library files necessary for building programs which use libffi. . A foreign function interface is the popular name for the interface that allows code written in one language to call code written in another language. Package: libffi-ruby Source: ruby-ffi Version: 1.0.11debian-5 Installed-Size: 30 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-ffi Size: 6038 SHA256: 425f8a562b009754fadb612d63016017b1bdb850c9b5c2ef604eb1fe3aaedcf9 SHA1: 7ebf9124ef44e6ffe5df6c2464373e096eaa2398 MD5sum: 671099f6283fba69a35cd2e00ebc1ef8 Description: Transitional package for ruby-ffi This is a transitional package to ease upgrades to the ruby-ffi package. It can safely be removed. Homepage: http://wiki.github.com/ffi/ffi Section: oldlibs Priority: extra Filename: pool/main/r/ruby-ffi/libffi-ruby_1.0.11debian-5_all.deb Package: libffi-ruby1.8 Source: ruby-ffi Version: 1.0.11debian-5 Installed-Size: 30 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-ffi Size: 6046 SHA256: 5e35e17c460c5ca46ff46aef3f6597ae534382a28c0af111deedefb341c31234 SHA1: 7025060709b56e36f98bc0708e749bb5936b639d MD5sum: 1cb86406e6ddac9f996b0135ad4550b9 Description: Transitional package for ruby-ffi This is a transitional package to ease upgrades to the ruby-ffi package. It can safely be removed. Homepage: http://wiki.github.com/ffi/ffi Section: oldlibs Priority: extra Filename: pool/main/r/ruby-ffi/libffi-ruby1.8_1.0.11debian-5_all.deb Package: libffi-ruby1.9.1 Source: ruby-ffi Version: 1.0.11debian-5 Installed-Size: 30 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-ffi Size: 6040 SHA256: 73db62f98fc03e84cf970bf6822bcbeaa050a87beec0a23098296b815ad71249 SHA1: a6fcd52267e6fa9f329c31ab931bcd8cbbe61cb3 MD5sum: 1079979c6ae9b4013a61ce0dcad376b1 Description: Transitional package for ruby-ffi This is a transitional package to ease upgrades to the ruby-ffi package. It can safely be removed. Homepage: http://wiki.github.com/ffi/ffi Section: oldlibs Priority: extra Filename: pool/main/r/ruby-ffi/libffi-ruby1.9.1_1.0.11debian-5_all.deb Package: libffi5 Source: libffi Version: 3.0.10-3+deb7u2 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 88 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libf/libffi/libffi5_3.0.10-3+deb7u2_armhf.deb Size: 23084 SHA256: 6595390b453f7e07c47baa01509e7f87c06565c004f099455adb74ea46657f16 SHA1: 9c63bec559c1af3cd29ed03255fd931b02fe2a6d MD5sum: 878e11388eebc18f3ab15534bfb091a6 Description: Foreign Function Interface library runtime A foreign function interface is the popular name for the interface that allows code written in one language to call code written in another language. Package: libffi5-dbg Source: libffi Version: 3.0.10-3+deb7u2 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 152 Depends: libffi5 (= 3.0.10-3+deb7u2) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/libf/libffi/libffi5-dbg_3.0.10-3+deb7u2_armhf.deb Size: 47928 SHA256: d2f69ee78f0c8709098dd0cee6b1ba4a0d98529d2ed701d0c9a91a50c4c4e5b7 SHA1: f20cfe2a8c097ec1d30ac4605cc90f6d8d6554a7 MD5sum: bfdcd7e91b36df8c151ec036c7fe3a4e Description: Foreign Function Interface library runtime (debug symbols) A foreign function interface is the popular name for the interface that allows code written in one language to call code written in another language. Package: libffindex0 Source: ffindex Version: 0.9.6.1-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 73 Depends: libc6 (>= 2.13-28) Homepage: http://pubshare.genzentrum.lmu.de/scientific_computing/software/ffindex/ Priority: extra Section: libs Filename: pool/main/f/ffindex/libffindex0_0.9.6.1-1_armhf.deb Size: 15410 SHA256: fa43944df23117b0b2be2f2d9c898624fd510636ae75e0401eb5b21eb0316a4c SHA1: f3ee1684473731ff3b079c7020aa4e4b359b8cdd MD5sum: a0a101bafede1ae35c44a34d7acbbc40 Description: library for simple index/database for huge amounts of small files FFindex is a very simple index/database for huge amounts of small files. The files are stored concatenated in one big data file, separated by '\0'. A second file contains a plain text index, giving name, offset and length of the small files. The lookup is currently done with a binary search on an array made from the index file. . This package provides the shared library. Package: libffindex0-dev Source: ffindex Version: 0.9.6.1-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 71 Depends: libffindex0 (= 0.9.6.1-1) Provides: libffindex-dev Homepage: http://pubshare.genzentrum.lmu.de/scientific_computing/software/ffindex/ Priority: extra Section: libdevel Filename: pool/main/f/ffindex/libffindex0-dev_0.9.6.1-1_armhf.deb Size: 15842 SHA256: 3da4dd66d3d68f24a578c475118cb93397c8a94adbb10dc08f39b2e49cf1d83a SHA1: 5864c653b20bc26d00e9e8467c1a42031b9b6b26 MD5sum: e6812650c822ec704eadc5fc3d2801fe Description: library for simple index/database for huge amounts of small files (development) FFindex is a very simple index/database for huge amounts of small files. The files are stored concatenated in one big data file, separated by '\0'. A second file contains a plain text index, giving name, offset and length of the small files. The lookup is currently done with a binary search on an array made from the index file. . This package contains the header files and documentation needed to develop applications with libffindex. Package: libffmpegthumbnailer-dev Source: ffmpegthumbnailer Version: 2.0.7-2 Architecture: armhf Maintainer: Lionel Le Folgoc Installed-Size: 152 Depends: libffmpegthumbnailer4 (= 2.0.7-2) Homepage: http://code.google.com/p/ffmpegthumbnailer/ Priority: optional Section: libdevel Filename: pool/main/f/ffmpegthumbnailer/libffmpegthumbnailer-dev_2.0.7-2_armhf.deb Size: 35936 SHA256: 224111e86d7e456a284fec2c316b3bad4be1ec3127e42b61b89b054a0d956e3b SHA1: 1c67e1574cf2b228ad24e018e6a565a724789127 MD5sum: 7fd0187cddf6839172f8e19d938a9a78 Description: development files for ffmpegthumbnailer FFmpegthumbnailer is a lightweight video thumbnailer that can be used by file managers to create thumbnails for your video files. The thumbnailer uses ffmpeg to decode frames from the video files, so supported videoformats depend on the configuration flags of ffmpeg. . This package contains the development files distributed with ffmpegthumbnailer. Package: libffmpegthumbnailer4 Source: ffmpegthumbnailer Version: 2.0.7-2 Architecture: armhf Maintainer: Lionel Le Folgoc Installed-Size: 101 Depends: libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0), libswscale2 (>= 5:0.8-2~) Homepage: http://code.google.com/p/ffmpegthumbnailer/ Priority: optional Section: video Filename: pool/main/f/ffmpegthumbnailer/libffmpegthumbnailer4_2.0.7-2_armhf.deb Size: 30830 SHA256: f2d061434f7d807004576f8d1d5273a5009cc93a6f5f3f82562d84a72f5007eb SHA1: eecc4f9b0d8b311979ac86370b9f3359f6feac32 MD5sum: b55cdd9cdd028b16a8451ff96f895749 Description: shared library for ffmpegthumbnailer FFmpegthumbnailer is a lightweight video thumbnailer that can be used by file managers to create thumbnails for your video files. The thumbnailer uses ffmpeg to decode frames from the video files, so supported videoformats depend on the configuration flags of ffmpeg. . This package contains the shared library for ffmpegthumbnailer framework. Package: libffms2-2 Source: ffms2 Version: 2.17-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 217 Depends: libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libswscale2 (>= 5:0.8-2~), zlib1g (>= 1:1.1.4) Homepage: http://code.google.com/p/ffmpegsource/ Priority: optional Section: libs Filename: pool/main/f/ffms2/libffms2-2_2.17-1_armhf.deb Size: 94196 SHA256: 38c6bd192c295b1e1bb4920e3311be81a0c9bbf567e3a969c73b2c3e8ec96d31 SHA1: bd2e95b74f43efb7aeacf0280c1223234dfb1ecf MD5sum: 3b193c292292c0c56a42edba2b4e1c88 Description: Cross platform ffmpeg wrapper library A cross platform ffmpeg wrapper library, and some additional content for things ffmpeg doesn't handle well. A more friendly API and an easy way to say "open and decompress this, I don't care how". Package: libffms2-dev Source: ffms2 Version: 2.17-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 163 Depends: libffms2-2 (= 2.17-1), libavformat-dev, libavcodec-dev, libswscale-dev, libpostproc-dev, libavutil-dev, zlib1g-dev Recommends: ffmsindex Homepage: http://code.google.com/p/ffmpegsource/ Priority: optional Section: libdevel Filename: pool/main/f/ffms2/libffms2-dev_2.17-1_armhf.deb Size: 40012 SHA256: 22f161a5abb72ba7525e56e0aad108e400df2825a2848c76e528888ca11e1ac2 SHA1: 703c3dd4ea1b1aaf53bf16c33b87df53d3ad9878 MD5sum: 864189b9d2c2a13d309b6b6eb3cea260 Description: Development files for libffms2 A cross platform ffmpeg wrapper library, and some additional content for things ffmpeg doesn't handle well. A more friendly API and an easy way to say "open and decompress this, I don't care how". . This package contain headers and other files needed to compile and link against libffms2. Package: libfftw3-3 Source: fftw3 Version: 3.3.2-3.1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 2753 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgomp1 (>= 4.2.1) Suggests: libfftw3-bin, libfftw3-dev Conflicts: fftw3 Replaces: fftw3 Provides: fftw3 Multi-Arch: same Homepage: http://fftw.org Priority: optional Section: libs Filename: pool/main/f/fftw3/libfftw3-3_3.3.2-3.1_armhf.deb Size: 1155706 SHA256: e14beb955cd1ae1ef7d46c399564dd46fa1eef945810cde6928a3b5b8d38edda SHA1: 6f39e759ca0a108f3befd98284ba5027462e180d MD5sum: d5de39ce895aa758f70cfd12284b01c1 Description: Library for computing Fast Fourier Transforms The FFTW library computes Fast Fourier Transforms (FFT) in one or more dimensions. It is extremely fast. This package contains the shared library versions of the fftw libraries in single, double and long double precisions. Note that on some architectures double is the same as long double in which case there is no long double library. . To get the static library and the header files, you need to install libfftw3-dev. For documentation, see libfftw3-doc. Package: libfftw3-bin Source: fftw3 Version: 3.3.2-3.1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 278 Depends: libc6 (>= 2.13-28), libfftw3-3 Breaks: libfftw3-dev (<< 3.3.2-1) Replaces: libfftw3-dev (<< 3.3.2-1) Multi-Arch: foreign Homepage: http://fftw.org Priority: optional Section: libs Filename: pool/main/f/fftw3/libfftw3-bin_3.3.2-3.1_armhf.deb Size: 198054 SHA256: a1353abcbcaf07ffcd10e788b3792b5fab67892104a21a5bafccc11f1a434b14 SHA1: be5c86b4c82a7b166fafe582794dbdc1f84004c6 MD5sum: 1a0c71b5cfb8756fd0c6b11e9c920f4d Description: Library for computing Fast Fourier Transforms - Tools The FFTW library computes Fast Fourier Transforms (FFT) in one or more dimensions. It is extremely fast. This package contains the shared library versions of the fftw libraries in single, double and long double precisions. Note that on some architectures double is the same as long double in which case there is no long double library. . This package contains the wisdom management tools. Package: libfftw3-dbg Source: fftw3 Version: 3.3.2-3.1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 18396 Depends: libfftw3-dev (= 3.3.2-3.1) Homepage: http://fftw.org Priority: extra Section: debug Filename: pool/main/f/fftw3/libfftw3-dbg_3.3.2-3.1_armhf.deb Size: 2930398 SHA256: f15d9f6d3b15f3133bb114104afa36a16864f08a1b5719d5c97acf5377671e3f SHA1: 0cbb13b8f7ea0750854d6696a4cabad403b60f8d MD5sum: 7490e4b805df8060efcac111bab40b1c Description: Library for computing Fast Fourier Transforms - debug symbols The FFTW library computes Fast Fourier Transforms (FFT) in one or more dimensions. It is extremely fast. This package contains the statically linked library, header files and test programs. . This package contains debug packages for both serial and MPI versions. . For documentation, see libfftw3-doc. Package: libfftw3-dev Source: fftw3 Version: 3.3.2-3.1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 4426 Depends: libfftw3-3 (= 3.3.2-3.1), libfftw3-bin (= 3.3.2-3.1) Conflicts: fftw3-dev Replaces: fftw3-dev Provides: fftw3-dev Multi-Arch: same Homepage: http://fftw.org Priority: optional Section: libdevel Filename: pool/main/f/fftw3/libfftw3-dev_3.3.2-3.1_armhf.deb Size: 1221254 SHA256: 69a618bf3e1300e1d923a1690acebc73526defa3ded0cd0c025ea71321ad0b5f SHA1: 3247a93c3a2598bcdf6516a5c3d3171906ec4e4c MD5sum: ff4a33ff44dfd24f31d0d00498a78f18 Description: Library for computing Fast Fourier Transforms - development The FFTW library computes Fast Fourier Transforms (FFT) in one or more dimensions. It is extremely fast. This package contains the statically linked library, header files and test programs. . This package contains the header files and static libraries. For documentation, see libfftw3-doc. Package: libfftw3-doc Source: fftw3 Version: 3.3.2-3.1 Installed-Size: 1137 Maintainer: Debian Science Team Architecture: all Replaces: fftw3-doc Provides: fftw3-doc Depends: dpkg (>= 1.15.4) | install-info Suggests: libfftw3-3 Conflicts: fftw3-doc Size: 351212 SHA256: 9861132f807adee8412abb1bc7c0ac488fb75579339412c1331b1f4280b889cc SHA1: 078f1240b5fb1d65a5f9fa2e4d7adf6cd0e9b425 MD5sum: 88915ce8a8a6a2756d26d7723fe3fc07 Description: Documentation for fftw version 3 The FFTW library computes Fast Fourier Transforms (FFT) in one or more dimensions. It is extremely fast. This package contains the documentation for the fftw3 library. Homepage: http://fftw.org Tag: devel::doc, field::mathematics, made-of::html, made-of::info, role::documentation Section: doc Priority: optional Filename: pool/main/f/fftw3/libfftw3-doc_3.3.2-3.1_all.deb Package: libfftw3-mpi-dev Source: fftw3 Version: 3.3.2-3.1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 499 Depends: libfftw3-mpi3 (= 3.3.2-3.1) Homepage: http://fftw.org Priority: optional Section: libdevel Filename: pool/main/f/fftw3/libfftw3-mpi-dev_3.3.2-3.1_armhf.deb Size: 207128 SHA256: 700e0d68d55f56bbb2f497847c5e6567ced52b90c65465ee66c7f716c19da4aa SHA1: 30edb189f6e3d0d1058371d322b794292d6d9772 MD5sum: 07cfe06f57de01a29de1c23255ff2dc3 Description: MPI Library for computing Fast Fourier Transforms - development The FFTW library computes Fast Fourier Transforms (FFT) in one or more dimensions. It is extremely fast. This package contains the statically linked library, header files and test programs. . This package contains the header files and static libraries for the mpi libraries. For documentation, see libfftw3-doc. Package: libfftw3-mpi3 Source: fftw3 Version: 3.3.2-3.1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 326 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfftw3-3, libopenmpi1.3 Suggests: libfftw3-mpi-dev Breaks: libfftw3-mpi-3 Replaces: libfftw3-mpi-3 Multi-Arch: same Homepage: http://fftw.org Priority: optional Section: libs Filename: pool/main/f/fftw3/libfftw3-mpi3_3.3.2-3.1_armhf.deb Size: 202892 SHA256: adca88ef0c3e0786a7dbdd57a17d9a9e67fdcb8efafa785d051a69f6f0ab9c85 SHA1: a62b1f7e089a8eecbb9da980aec3d54c8b5b046d MD5sum: 33ff72b30236a8a7b8bc10a3a1760665 Description: MPI Library for computing Fast Fourier Transforms The FFTW library computes Fast Fourier Transforms (FFT) in one or more dimensions. It is extremely fast. This package contains the shared library versions of the fftw libraries in single, double and long double precisions. Note that on some architectures double is the same as long double in which case there is no long double library. . To get the static library and the header files, you need to install libfftw3-mpi-dev. For documentation, see libfftw3-doc. Package: libfgetdata2 Source: libgetdata Version: 0.7.3-6 Architecture: armhf Maintainer: Michael Milligan Installed-Size: 116 Depends: libgetdata4 (= 0.7.3-6), libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Homepage: http://getdata.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libg/libgetdata/libfgetdata2_0.7.3-6_armhf.deb Size: 62194 SHA256: af2a01d8fade8b1ff78d00606a8bc4829ebb8a242f34a51ce1eecacedb47632f SHA1: 63843b29331a2a2589da78c021ea38504b8f1253 MD5sum: 922fc449e1f52e493e2d18737c8c6f5b Description: library to read/write dirfile data - Fortran 77 bindings The GetData Project is the reference implementation of the Dirfile Standards, a filesystem-based, column-oriented database format for time-ordered binary data. The Dirfile database format is designed to provide a fast, simple format for storing and reading data. Package: libfields-camlp4-dev Source: fieldslib Version: 107.01-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 373 Depends: ocaml-findlib, camlp4, camlp4-3.12.1, libtype-conv-camlp4-dev-oich0, ocaml-nox-3.12.1 Provides: libfields-camlp4-dev-w8id6 Homepage: http://ocaml.janestreet.com/?q=node/13 Priority: extra Section: ocaml Filename: pool/main/f/fieldslib/libfields-camlp4-dev_107.01-1_armhf.deb Size: 112404 SHA256: b3663b0cdb46990d3816a0dd74e7df788b7d14a319ab3bae8cef4fc78a86a7cb SHA1: da18f492ca24862d7e7ee13e83dbf894107baf57 MD5sum: 65bd0d404b9e8509201b80408fcfdad2 Description: OCaml syntax extension that enables folding over record fields fieldslib is an OCaml syntax extension that can be used to define first class values representing record fields. On top of those values additional routines can then be automatically defined to: . - get and set record fields - iterate and fold over fields - create new record values . fieldslib is built on top of CamlP4. Package: libfile-basedir-perl Version: 0.03-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 10410 SHA256: cf79de26fcf67ff42a88e6b33b486b92943f11760996ad7de19b7b72b96ed41e SHA1: aa9cf95a404ffc6687435e20735a4e28745b1bb6 MD5sum: 110253b54fb7681758030b63a552fd7d Description: Perl module to use the freedesktop basedir specification The File::BaseDir module can be used to find directories and files as specified by the XDG Base Directory Specification. It takes care of defaults and uses File::Spec to make the output platform specific. . For this module the XDG basedir specification 0.6 was used. Homepage: http://search.cpan.org/dist/File-BaseDir/ Tag: admin::filesystem, devel::lang:perl, implemented-in::perl, use::organizing, works-with::file Section: perl Priority: optional Filename: pool/main/libf/libfile-basedir-perl/libfile-basedir-perl_0.03-1_all.deb Package: libfile-bom-perl Version: 0.14-1 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.8.300), libreadonly-perl (>= 0.06) Size: 16648 SHA256: 26aa9128b2877be92677536e30d0312fd15a6dd876ab0b9b7633280279bb2f24 SHA1: b7cda1f2f424699009909db3b57bb99686d5cb6d MD5sum: 2618aaaf9f20045ad4a595dc3dbbc355 Description: Perl module for handling Byte Order Marks File::BOM provides functions for handling Unicode Byte Order Marks, which are sometimes found at the beginning of some files and streams. It allows programs to automatically determine the original byte ordering a file was written with; in other words, whether the program was written in little-endian or big-endian byte order. . This module provides a simple way to open a file, determine its byte ordering and return the appropriate encoding style. Byte Order Marks are usually found at the beginning of a file, but in the case of a stream where this is not the case, this module also provides a utility to scan any filehandle for it. Homepage: http://search.cpan.org/dist/File-BOM/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-bom-perl/libfile-bom-perl_0.14-1_all.deb Package: libfile-cache-perl Version: 0.16-9 Installed-Size: 148 Maintainer: Colin Watson Architecture: all Depends: perl, libdigest-md5-perl, perl (>= 5.8.0) | libstorable-perl Size: 35130 SHA256: a450df080569df08204da465e33a79077c8e1e1e71bacb36d73a0f5aebed2337 SHA1: d2a60374a13e32544492e5d80f2f010941ce5a87 MD5sum: d172ea8a9a55c6380c018a5c297871fd Description: File::Cache, a filesystem-based object store File::Cache implements an object store which shares data across process boundaries via the filesystem. It was written to complement IPC::Cache, but is suitable for larger numbers of more complex objects than that module. . This module will eventually be superseded by the newer Cache::Cache. Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-cache-perl/libfile-cache-perl_0.16-9_all.deb Package: libfile-changenotify-perl Version: 0.22-1 Installed-Size: 112 Maintainer: Debian Perl Group Architecture: all Depends: perl, liblist-moreutils-perl, libclass-load-perl, libmoose-perl, libmoosex-params-validate-perl, libmoosex-semiaffordanceaccessor-perl, libnamespace-autoclean-perl Recommends: liblinux-inotify2-perl (>= 1:1.2) Size: 38288 SHA256: 266d284b3196338f3ef0eeeb1fa18db59901957625d4e31333e5a2494d9fc8f2 SHA1: e2fc7e7b93a68d6b79c85126d6ef4ee39e0a0831 MD5sum: 56035294279202ef375cedfa0950cb27 Description: module to monitor files for changes File::ChangeNotify is a Perl module that provides a way to monitor files for changes in an efficient and cross-platform manner. Developers can override the default mechanism by subclassing the included File::ChangeNotify::Watcher module, allowing for platform-specific optimizations. Homepage: http://search.cpan.org/dist/File-ChangeNotify/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::monitor, works-with::file Section: perl Priority: optional Filename: pool/main/libf/libfile-changenotify-perl/libfile-changenotify-perl_0.22-1_all.deb Package: libfile-chdir-perl Version: 0.1006-1 Installed-Size: 72 Maintainer: James Bromberger Architecture: all Depends: perl Size: 13332 SHA256: 1aacf1ad1b77b53e751ec9619f2a08530ee07b0ba3aeeb3a494d8cbf8cf7bfed SHA1: e592902cd1a493cef49bb121f811ee5233e8715c MD5sum: 78a5702f359515e813e02974a95f6df2 Description: A more sensible way to change directories Perl's chdir() has the unfortunate problem of being very, very, very global. If any part of your program calls chdir() or if any library you use calls chdir(), it changes the current working directory for the whole program. . This sucks. . File::chdir gives you an alternative, $CWD and @CWD. These two variables combine all the power of chdir(), File::Spec and Cwd. Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-chdir-perl/libfile-chdir-perl_0.1006-1_all.deb Package: libfile-chmod-perl Version: 0.32-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 15318 SHA256: 3968d8f3222ef1e09f6929e95da8f55ea39a63d5861a63b9af55f86d8a8bbadf SHA1: dc95ccd4c2bb92028878fbc4ea847a4cb9c1b3eb MD5sum: 16269564cfabcec6abe578f66b75f476 Description: chmod() override with symbolic and ls-style modes File::chmod provides an override for Perl's chmod() function allowing usage of octal, symbolic or "ls-style" file modes. Homepage: http://search.cpan.org/dist/File-chmod/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libf/libfile-chmod-perl/libfile-chmod-perl_0.32-1_all.deb Package: libfile-copy-link-perl Version: 0.113-1 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 16256 SHA256: b807241993f1d51bc2c9e89d133e8b014f1a5d0cca4f27659901dac6ffbccd15 SHA1: 94ccae8dda1235065cbb898c4fb0b4a08a205108 MD5sum: 2221e05dcda6788ead5ba175d64de288 Description: Perl extension for replacing a link by a copy of the linked file The distribution File-Copy-Link includes the modules File::Spec::Link and File::Copy::Link and the script copylink. They include routines to read and copy links. Homepage: http://search.cpan.org/dist/File-Copy-Link/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-copy-link-perl/libfile-copy-link-perl_0.113-1_all.deb Package: libfile-copy-recursive-perl Version: 0.38-1 Installed-Size: 88 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 20554 SHA256: 022eb490b0fbf721fa321725e158a040949479c09c2f9c910c19589a1e57bc8f SHA1: c0ac443726800384d9b2d97c3575f0338a5fed95 MD5sum: 6637082afadd2ce1536e0496da1c70ae Description: Perl extension for recursively copying files and directories File::Copy::Recursive module copies and moves directories recursively (or single files, well... singley) to an optional depth and attempts to preserve each file or directory's mode. Homepage: http://search.cpan.org/dist/File-Copy-Recursive/ Tag: admin::filesystem, devel::lang:perl, implemented-in::perl, works-with::file Section: perl Priority: optional Filename: pool/main/libf/libfile-copy-recursive-perl/libfile-copy-recursive-perl_0.38-1_all.deb Package: libfile-counterfile-perl Version: 1.04-4 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 9110 SHA256: cf73b71d5ec6d96505e478216cfe6c71584b9e6976988d07928e1525ee6794f2 SHA1: 6a933c5fa7862e90d36ea5cc2b93c85d1cd69f2d MD5sum: 6ddccf2eca7f82f21e58fce1819fffda Description: persistent counter class for Perl File::CounterFile implements a persistent counter class. Each counter is represented by a separate file in the file system. File locking is applied, so multiple processes might try to access the same counters at the same time without risk of counter destruction. Homepage: http://search.cpan.org/dist/File-CounterFile/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: extra Filename: pool/main/libf/libfile-counterfile-perl/libfile-counterfile-perl_1.04-4_all.deb Package: libfile-countlines-perl Version: 0.0.3-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 9094 SHA256: 83b649a3224b820ca51e4c567fb44fb6ee702bb09edf26f7875344d85aef26b9 SHA1: 8ed5a6f000ed43b99bf2e5fdd21f67f4332514ae MD5sum: 1a1bad3227968d71ee51b4540340207e Description: module for efficiently counting the number of lines in a file perlfaq5 answers the question on how to count the number of lines in a file. File::CountLines is a convenient wrapper around that method, with additional options. . More specifically, it counts the number of line breaks rather than lines. On Unix systems nearlly all text files end with a newline (by convention), so usually the number of lines and number of line breaks is equal. Homepage: http://search.cpan.org/dist/File-CountLines/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-countlines-perl/libfile-countlines-perl_0.0.3-1_all.deb Package: libfile-desktopentry-perl Version: 0.04-3 Installed-Size: 44 Maintainer: Debian Perl Group Architecture: all Depends: perl, libfile-basedir-perl (>= 0.03) Size: 18954 SHA256: 6a76418858058a745a699109e98add6ae8ce4ea5453592167b8cfdf218492593 SHA1: b0933120d7f826ac9d78611eb8f585040412c8cc MD5sum: 08dc39a559b0f2e740094dd441bffb3f Description: Perl module to handle freedesktop .desktop files File::DesktopEntry is used to work with .desktop files. The format of these files is specified by the freedesktop "Desktp Entry" specification. For this module version 0.9.4 of the specification was used. Homepage: http://search.cpan.org/dist/File-DesktopEntry/ Tag: devel::lang:perl, implemented-in::perl, use::organizing Section: perl Priority: optional Filename: pool/main/libf/libfile-desktopentry-perl/libfile-desktopentry-perl_0.04-3_all.deb Package: libfile-dircompare-perl Version: 0.6-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8270 SHA256: 121847a6b8ce216cef171c7ef15e923f14eb07ed6791affb671f45368579e3a7 SHA1: 8edf54c8b548e3d174fbbd636641aca034d38cf5 MD5sum: 2aeb83670c2b7a8102ddaebe64a86889 Description: Perl module to compare two directories File::DirCompare is a perl module to compare two directories using a callback, invoked for all files that are 'different' between the two directories, and for any files that exist only in one or other directory ('unique' files). Homepage: http://search.cpan.org/dist/File-DirCompare/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-dircompare-perl/libfile-dircompare-perl_0.6-1_all.deb Package: libfile-fcntllock-perl Version: 0.14-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 87 Depends: perl (>= 5.14.2-11), perlapi-5.14.2, libc6 (>= 2.13-28) Homepage: http://search.cpan.org/dist/File-FcntlLock/ Priority: optional Section: perl Filename: pool/main/libf/libfile-fcntllock-perl/libfile-fcntllock-perl_0.14-2_armhf.deb Size: 16792 SHA256: e66f9b2ff10e205e9c9fa2da949ced763463fdf8f8f1b03a9a52719edc1294f2 SHA1: ce92e88bf99ca1c523ba87f02b45816f9c914a34 MD5sum: 7305f67e54976a3cd0146436baa9f044 Description: Perl module for file locking with fcntl(2) File::FcntlLock is a Perl module to do file locking in an object oriented fashion using the fcntl(2) system call. This allows locks on parts of a file as well as on the whole file and overcomes some known problems with flock(2), on which Perl's flock() function is based. . Furthermore due to its design it supports reliable locking over NFS. Package: libfile-find-object-perl Version: 0.2.1-1 Installed-Size: 116 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libclass-xsaccessor-perl Size: 24944 SHA256: 54c48774f50cab33bc4e8232d4712340ed3d535694f2c082481d77c176435a46 SHA1: 3c54346b5b206cee1eeb43a1cc8a126eb6b9e218 MD5sum: a9f0599cf0526b574f5d44554335b585 Description: object oriented File::Find replacement File::Find::Object does same job as File::Find but works like an object and with an iterator. As File::Find is not object oriented, one can't perform multiple searches in the same application. The second problem of File::Find is its file processing: after starting its main loop, one can't easily wait for another event and so get the next result. Homepage: http://search.cpan.org/dist/File-Find-Object/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, use::searching Section: perl Priority: optional Filename: pool/main/libf/libfile-find-object-perl/libfile-find-object-perl_0.2.1-1_all.deb Package: libfile-find-object-rule-perl Version: 0.0301-1 Installed-Size: 119 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-xsaccessor-perl, libfile-find-object-perl, libnumber-compare-perl, libtext-glob-perl Size: 32542 SHA256: d6b10bdaf5b7eaf06534c9bad3f3b5eba9e571a50a5c45724aa003e1f4fb8200 SHA1: ceebe67fc6bb95af116796fa147427da3074bd9d MD5sum: 1cb2b2b91c9ffa19083a987178bc0c11 Description: alternative interface to File::Find::Object File::Find::Object::Rule is a friendlier interface to File::Find::Object. It allows you to build rules which specify the desired files and directories. Homepage: http://search.cpan.org/dist/File-Find-Object-Rule/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-find-object-rule-perl/libfile-find-object-rule-perl_0.0301-1_all.deb Package: libfile-find-rule-perl Version: 0.33-1 Installed-Size: 132 Maintainer: Debian Perl Group Architecture: all Depends: perl, libnumber-compare-perl, libtext-glob-perl Size: 32130 SHA256: a67daf34f698c11ec6e3a95364bbff41d1c855470d3100e75bcceff445c3b48d SHA1: 6afd9254f483b76585f961e211c5d6d86a173128 MD5sum: 9ab3e7d9862ce1ee4c94f1aaba49b52e Description: module to search for files based on rules File::Find::Rule is a Perl module which essentially provides an easy-to-use interface to the popular module, File::Find. It provides a way to build rules that specify desired file and directory names using a text-globbing syntax (provided by Text::Glob). This makes it useful for simple tasks, like finding all ".pm" files in a given directory. Homepage: http://search.cpan.org/dist/File-Find-Rule/ Tag: admin::filesystem, devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, use::scanning, use::searching, works-with::file Section: perl Priority: optional Filename: pool/main/libf/libfile-find-rule-perl/libfile-find-rule-perl_0.33-1_all.deb Package: libfile-find-rule-perl-perl Version: 1.12-1 Installed-Size: 62 Maintainer: Debian Perl Group Architecture: all Depends: perl, libfile-find-rule-perl, libparams-util-perl (>= 0.38), perl (>= 5.10.1) | libparse-cpan-meta-perl (>= 1.38) Size: 10880 SHA256: c45a3b1eb49d8af95a64f3d2ff70c569a0978bb1c2019a459c2ccb394eb251c2 SHA1: 6fe28c5d2232babcf202170a179392347e5a6fcf MD5sum: 84ebc6a52e4db6cdce6dd0f00186fd6a Description: Perl module for searching Perl things File::Find::Rule::Perl provides methods for finding various Perl distribution files easily, which allows one to replicate search queries usually run on CPAN Search or related web sites. . This module makes it easy to find out information like which Perl modules are included in a distribution, names of test scripts, the type of installation mechanism (Makefile.PL or Build.PL) and names of any other miscellaneous Perl scripts that are distributed. It can also be used to locate files that contain Perl code. Homepage: http://search.cpan.org/dist/File-Find-Rule-Perl/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-find-rule-perl-perl/libfile-find-rule-perl-perl_1.12-1_all.deb Package: libfile-find-rule-vcs-perl Version: 1.08-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl, libfile-find-rule-perl, libtext-glob-perl Size: 10094 SHA256: c7f3f77b3ed284f2024e625674f0629324fa23d968accc05691a6d76055a5c12 SHA1: d214542e0b51f48f7044432b580bc176f79a035e MD5sum: bda41c6be1e17ed88c269f023ad4a57c Description: Perl module to exclude files/directories for Version Control Systems Many tools need to be equally useful both on ordinary files, and on code that has been checked out from revision control systems. . File::Find::Rule::VCS provides quick and convenient methods to File::Find::Rule for exclusion of the version control directories of several major Version Control Systems (currently CVS, Subversion, Bazaar, RCS, Git and Mercurial). Homepage: http://search.cpan.org/dist/File-Find-Rule-VCS/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-find-rule-vcs-perl/libfile-find-rule-vcs-perl_1.08-1_all.deb Package: libfile-find-wanted-perl Version: 1.00-1 Installed-Size: 59 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 9508 SHA256: daad84796d75f466873e376fadfe7429a77bc2937e09c971687c1ccd0ba3a575 SHA1: b4b5f389012cd32bafaa15fee1307894ea446fe0 MD5sum: a8dcbb58d9407a8f87885177637e6fa0 Description: more obvious wrapper around File::Find File::Find::Wanted provides a find_wanted() function, which does what File::Find's find() does but in a more obvious way: To get a list of all files ending in .jpg, simply call . @files = find_wanted( sub { -f && /\.jpg$/ }, $dir ); Homepage: http://search.cpan.org/dist/File-Find-Wanted/ Section: perl Priority: optional Filename: pool/main/libf/libfile-find-wanted-perl/libfile-find-wanted-perl_1.00-1_all.deb Package: libfile-finder-perl Version: 0.53-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libtext-glob-perl Size: 25362 SHA256: 9d5f1311df97b53c05ceb3e8de08424670faabb9c2f82f1a06a4a1f341a1ef02 SHA1: aae97e940dae05ae142c068ce21ca68b0fce3cc5 MD5sum: 992592a8a92330128f7f65f0a412693e Description: wrapper for File::Find ala find(1) File::Find is great, but constructing the wanted routine can sometimes be a pain. File::Finder provides a wanted-writer, using syntax that is directly mappable to the find command's syntax. . A File::Finder object contains a hash of File::Find options, and a series of steps that mimic find's predicates. Initially, a File::Finder object has no steps. Each step method clones the previous object's options and steps, and then adds the new step, returning the new object. In this manner, an object can be grown, step by step, by chaining method calls. Furthermore, a partial sequence can be created and held, and used as the head of many different sequences. Homepage: http://search.cpan.org/dist/File-Finder/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-finder-perl/libfile-finder-perl_0.53-1_all.deb Package: libfile-flat-perl Version: 1.04-3 Installed-Size: 81 Maintainer: Jonas Genannt Architecture: all Depends: perl, libfile-ncopy-perl, libfile-remove-perl, libprefork-perl, libfile-slurp-perl, libtest-classapi-perl, libfile-copy-recursive-perl, libparams-util-perl Size: 20654 SHA256: e8ac710b1bef9134ce9d08a99b4ea94d4b17de5727c710298d4cceaf17d3dead SHA1: e4bbf653001b24abb8f64b10fe474f58604c73e2 MD5sum: b680d84bbca1057835be4d81c62c3215 Description: Implements a flat filesystem in perl File::Flat implements a flat filesystem. A flat filesystem is a filesystem in which directories do not exist. It provides an abstraction over any normal filesystem which makes it appear as if directories do not exist. . In effect, it will automatically create directories as needed. This is create for things like install scripts and such, as you never need to worry about the existance of directories, just write to a file, no matter where it is. Homepage: http://search.cpan.org/dist/File-Flat/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libf/libfile-flat-perl/libfile-flat-perl_1.04-3_all.deb Package: libfile-flock-perl Version: 2008.01-1 Installed-Size: 68 Maintainer: Marc Haber Architecture: all Depends: perl Size: 8210 SHA256: 2bb9f9b3b488499c86c27e9a9a8b2c3f1009d2bd4dbe901011404756cf92d701 SHA1: b2c63639be8107c7041b95cdfff4bc080e760beb MD5sum: 2a5a385c80d78c9747cb0ecdd8d0fa45 Description: file locking with flock Lock files using the flock() call. Locks can be created by new'ing a File::Flock object and are automatically removed when the object goes out of scope. Homepage: http://search.cpan.org/dist/File-Flock/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-flock-perl/libfile-flock-perl_2008.01-1_all.deb Package: libfile-fnmatch-perl Version: 0.02-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 72 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/File-FnMatch/ Priority: optional Section: perl Filename: pool/main/libf/libfile-fnmatch-perl/libfile-fnmatch-perl_0.02-1_armhf.deb Size: 10808 SHA256: c8c085002a069e83902c59a3c358340e097ed89cff0ef1bdb357cc0d02b88aea SHA1: c0408a998cca9bf2af0f03aeeaf97fe53425736e MD5sum: 3df1914f14b2147e61425f9f6176784f Description: Perl module that provides simple filename and pathname matching File::FnMatch::fnmatch() provides simple, shell-like pattern matching. . Though considerably less powerful than regular expressions, shell patterns are nonetheless useful and familiar to a large audience of end-users. Package: libfile-fu-perl Version: 0.0.7-2 Installed-Size: 108 Maintainer: Debian Perl Group Architecture: all Depends: perl, libfile-which-perl (>= 0.05), libclass-accessor-classy-perl (>= 0.9) Size: 39846 SHA256: 1190f9b718edecc95d2175d34135d57ce688bdf30e6f9a7a965970ef04d878ca SHA1: 63358a04cab52ed83a712585e704898509f1a5c2 MD5sum: 83ca5c4777280a64020e2804f9b8238b Description: module for manipulating files and directories as objects File::Fu is a Perl module that provides an method of manipulating directories and files as objects, making use of operator overloading to allow for precise composition fo paths and support for many built-in methods. It also provides a way to create temporary directories and files. . The interface and style provided by this module are quite different than Perl built-in functions or File::Spec. The syntax is concise and errors are checked using exceptions, so you never need to check a return code. Homepage: http://search.cpan.org/dist/File-Fu/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-fu-perl/libfile-fu-perl_0.0.7-2_all.deb Package: libfile-homedir-perl Version: 0.99-1 Installed-Size: 135 Maintainer: Debian Perl Group Architecture: all Depends: perl, libfile-which-perl, perl (>= 5.10.1) | libfile-temp-perl Size: 50452 SHA256: 2c85f4f95ac5b8f3f6458e3957705f66fe0b6f07ac45e152d9f153cbe44238c3 SHA1: aa22e105934cbbbe88a478b5f69a7f62fe815c76 MD5sum: b90c4c5c2703a93a3a013aa9620894fe Description: Perl module for finding user directories across platforms File::HomeDir is a module for locating the directories that are "owned" by a user (typicaly your user) and to solve the various issues that arise trying to find them consistently across a wide variety of platforms. . The end result is a single API that can find your resources on any platform, making it relatively trivial to create Perl software that works elegantly and correctly no matter where you run it. Homepage: http://search.cpan.org/dist/File-HomeDir/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libf/libfile-homedir-perl/libfile-homedir-perl_0.99-1_all.deb Package: libfile-inplace-perl Version: 0.20-1 Installed-Size: 52 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8504 SHA256: c7ac9d314025729b0ccb656499b272d2cdb530f8442a60a8dc909751301f3714 SHA1: 7d55685bc5effce05bad8d4314aa384c2117f558 MD5sum: 81d403eb641ed697d099dbfaca1f0ece Description: Perl module to ease editing a file in-place File::Inplace is a perl module intended to ease the common task of editing a file in-place. Inspired by variations of perl's -i option, this module is intended for somewhat more structured and reusable editing than command line perl typically allows. File::Inplace endeavors to guarantee file integrity; that is, either all of the changes made will be saved to the file, or none will. It also offers functionality such as backup creation, automatic field splitting per-line, automatic chomping/unchomping, and aborting edits partially through without affecting the original file. Homepage: http://search.cpan.org/dist/File-Inplace/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-inplace-perl/libfile-inplace-perl_0.20-1_all.deb Package: libfile-keepass-perl Version: 0.03-1 Installed-Size: 100 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcrypt-rijndael-perl Size: 18280 SHA256: 6410b6e1a5cb4511145163ed1c32e6ad285864e5c76c3bc0fb6933503263831e SHA1: ca498188e23776f6450581356bf4e0a65a8d607c MD5sum: def3fc264240b435ac8c15ce2f87339f Description: interface to KeePass V1 database files Perl interface to KeePass (KeePassX) V1 database files. File::KeePass provides methods to create/write/update KeePass files. . For more information about KeePassX please have a look at the keepassx package in Debian. Homepage: http://search.cpan.org/dist/File-KeePass/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-keepass-perl/libfile-keepass-perl_0.03-1_all.deb Package: libfile-libmagic-perl Version: 0.96-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 93 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libmagic1 (>= 5.10) Homepage: http://search.cpan.org/dist/File-LibMagic/ Priority: optional Section: perl Filename: pool/main/libf/libfile-libmagic-perl/libfile-libmagic-perl_0.96-2_armhf.deb Size: 19542 SHA256: 7f3a71da22e9512ec45477f242d18cdb27db0ce227e2cea853e771f7348ff6c7 SHA1: dfc3feec4ae7ae83f0d9638e82f51f36c0edf19e MD5sum: 110ab1545cef8d1fcb97132f427d592e Description: Perl interface to libmagic for determining file type The File::LibMagic module is a simple perl interface to libmagic from the file-4.x or file-5.x package from Christos Zoulas (ftp://ftp.astron.com/pub/file/). . Libmagic is a library for detecting file type, and works like file(1). Package: libfile-listing-perl Version: 6.04-1 Installed-Size: 56 Maintainer: Debian Perl Group Architecture: all Replaces: libwww-perl (<< 6.00) Depends: perl, libhttp-date-perl Breaks: libwww-perl (<< 6.00) Size: 10344 SHA256: 910468093aa2ab3c29376fa7356e5802998342953991f1ba784019f2e742d5fe SHA1: 154350a2ed4ce00805bc8e10a8e762c987e5ad3b MD5sum: 4d3caad495b1a08b50b814ef609121d8 Description: module to parse directory listings File::Listing exports a single function called parse_dir(), which can be used to parse directory listings. . The first parameter to parse_dir() is the directory listing to parse. It can be a scalar, a reference to an array of directory lines or a glob representing a filehandle to read the directory listing from. . The second parameter is the time zone to use when parsing time stamps in the listing. If this value is undefined, then the local time zone is assumed. . The third parameter is the type of listing to assume. Currently supported formats are 'unix', 'apache' and 'dosftp'. The default value 'unix'. Homepage: http://search.cpan.org/dist/File-Listing/ Tag: devel::lang:perl, devel::library, implemented-in::perl, works-with::file Section: perl Priority: optional Filename: pool/main/libf/libfile-listing-perl/libfile-listing-perl_6.04-1_all.deb Package: libfile-localizenewlines-perl Version: 1.11-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.005), libfile-remove-perl (>= 1.42), libfile-find-rule-perl (>= 0.20), libparams-util-perl (>= 0.10), libclass-default-perl (>= 1.0), libfile-slurp-perl (>= 9999.04) Size: 10142 SHA256: dc7dfe1eeba91df490125b10b14d5222d912bdd2bbf844a052ca953c0885b72a SHA1: 9adf35db9ca4924471acd9ec815bcd529566b78f MD5sum: b76ee1f1777d7e8b7c3ed29e0b3b8e78 Description: Perl module to localize the newlines for one or more files File::LocalizeNewlines is a module that allows users to easily fix conflicting newline formats (particularly Unix- and Win32-style line endings). It changes every end-of-line character to those of the local platform, and even corrects instances where multiple different newline formats are used in the same file. Homepage: http://search.cpan.org/dist/File-LocalizeNewlines/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-localizenewlines-perl/libfile-localizenewlines-perl_1.11-1_all.deb Package: libfile-mimeinfo-perl Version: 0.16-1 Installed-Size: 140 Maintainer: Debian Perl Group Architecture: all Depends: perl, libfile-basedir-perl, libfile-desktopentry-perl, shared-mime-info Size: 52104 SHA256: f7593970ce3876feef3cfdd312b3af68426584e46898c46177a4aec9b559a0cc SHA1: 83973cc56ae6ffb261b7554bb5e418a408d8ecbe MD5sum: b8fed658240e2bab617971fcd48bb638 Description: Perl module to determine file types File::MimeInfo can be used to determine the mime type of a file. It tries to implement the freedesktop specification for a shared MIME database. . This package also contains two related utilities: * mimetype: determine a files mimetype * mimeopen: open files according to their mimetype Homepage: http://search.cpan.org/dist/File-MimeInfo/ Tag: devel::lang:perl, implemented-in::perl, use::scanning, works-with::file Section: perl Priority: optional Filename: pool/main/libf/libfile-mimeinfo-perl/libfile-mimeinfo-perl_0.16-1_all.deb Package: libfile-mmagic-perl Source: file-mmagic Version: 1.27-1+deb7u1 Installed-Size: 109 Maintainer: NOKUBI Takatsugu Architecture: all Depends: perl Size: 30528 SHA256: 49c2535664463de1eac7279dc3fcb2cd1115a5e0bfbf8eed8630f07b3fb14720 SHA1: 480760ba307d6ecedf5b985f8290aa8a6ef581bf MD5sum: 12c053ab0d825e4513108e314daaea6b Description: Perl module to guess file type File-MMagic is a Perl module to guess file type from its contents like file(1) command. It has built-in magic entry exported from mod_mime_magic Apache module. Tag: devel::lang:perl, devel::library, implemented-in::perl, use::scanning, works-with::file Section: perl Priority: optional Filename: pool/main/f/file-mmagic/libfile-mmagic-perl_1.27-1+deb7u1_all.deb Package: libfile-mmagic-xs-perl Version: 0.09006-4 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 131 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/File-MMagic-XS/ Priority: optional Section: perl Filename: pool/main/libf/libfile-mmagic-xs-perl/libfile-mmagic-xs-perl_0.09006-4_armhf.deb Size: 32030 SHA256: 51b4d1f12ea7b98411655012e1111009d520e1b2fab29a86e3ff7cf1f47c32ca SHA1: 712270b0d677deea4970a0d2d45a8fb3810aff28 MD5sum: c2fc075d29358677b405f730e27ff0a5 Description: Perl module to guess file type (à la mod_mime_magic) File::MMagic::XS is a port of Apache2 mod_mime_magic.c in Perl, written in XS with the aim of being efficient and fast, especially for applications that need to be run for an extended amount of time. Package: libfile-modified-perl Version: 0.07-2 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 11466 SHA256: 0f816270440407b6417c08909eba03449b8e9b05f8b76dc7bc7f9fb2f877a055 SHA1: 314e69608cae1004112f32372eb11af20a6c5cc8 MD5sum: 4b6d4cdbae868f2638e91943673b4e98 Description: checks intelligently if files have changed File::Modified module is intended as a simple method for programs to detect whether configuration files (or modules they rely on) have changed. There are currently two methods of change detection implemented, mtime and MD5. The MD5 method will fall back to use timestamps if the Digest::MD5 module cannot be loaded. . There is another module, File::Signature, which has many similar features, so if this module doesn't do what you need, maybe File::Signature does. There also is quite some overlap between the two modules, code wise. Homepage: http://search.cpan.org/dist/File-Modified/ Tag: devel::lang:perl, implemented-in::perl, use::checking, works-with::file Section: perl Priority: optional Filename: pool/main/libf/libfile-modified-perl/libfile-modified-perl_0.07-2_all.deb Package: libfile-ncopy-perl Version: 0.36-1 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 14344 SHA256: 09ed02607af0eb8055f8ca6dc0431123695863166a2c00c0d99dcfc3ad2f761c SHA1: 259f97fe85486f22f896fb5ee5aa1a4efc36418a MD5sum: db8db4b160c0f6bb314a3963f5645a00 Description: module for file copying like cp The File::NCopy module provides a function that copies files to directories, or a single file to another file. It can also use a reference to a file handle. The functionality is very similar to the cp program. Homepage: http://search.cpan.org/dist/File-NCopy/ Tag: devel::lang:perl, devel::library, implemented-in::perl, works-with::file Section: perl Priority: optional Filename: pool/main/libf/libfile-ncopy-perl/libfile-ncopy-perl_0.36-1_all.deb Package: libfile-next-perl Version: 1.10-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 20516 SHA256: 4129ed1df74bf912c1340e1cb50265fd1624411c622d2e89a5c165f298f0296f SHA1: 85bbd96710e37cbfaeec7cabffe6777caa71e594 MD5sum: d987ec61f46c5eaba74f6391551e82f1 Description: file-finding iterator File::Next is an iterator-based module for finding files. It's lightweight, has no dependencies, runs under taint mode, and puts your program more directly in control of file selection. . It's taken heavily from Mark Jason Dominus' excellent book "Higher Order Perl". http://hop.perl.plover.com/ Homepage: http://search.cpan.org/dist/File-Next/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-next-perl/libfile-next-perl_1.10-1_all.deb Package: libfile-nfslock-perl Version: 1.21-1 Installed-Size: 96 Maintainer: Dominic Hargreaves Architecture: all Depends: perl Size: 21410 SHA256: a31cf2a3bf6b756a0b811d2d676279e79e49c304c63cd34a1cfd00d01ccb486e SHA1: 43a486bb5262617b9d8e5400cc34ba7a714f39b8 MD5sum: adf51b2119212e90f15f5cd79a117066 Description: perl module to do NFS (or not) locking Program based on the concept of hard linking of files being atomic across NFS. This concept was mentioned in Mail::Box::Locker. Some ideas are taken from there -- particularly the idea of creating a random local file, hard linking a common file to the local file, and then checking the nlink status. . File::NFSLock was written to be light, generic, and fast. Homepage: http://search.cpan.org/dist/File-NFSLock/ Tag: devel::lang:perl, implemented-in::perl, protocol::nfs Section: perl Priority: optional Filename: pool/main/libf/libfile-nfslock-perl/libfile-nfslock-perl_1.21-1_all.deb Package: libfile-path-expand-perl Version: 1.02-1 Installed-Size: 20 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 5052 SHA256: eae5857b187cde5b71dee7d1bc6d1cdbda39bbb232cdb858a9d9a13c75d7e149 SHA1: d5b8cc123abe0e63fd41411c2f108bc3a0990a61 MD5sum: 2150873a294b0c39a4a287c5d72bf65b Description: expand user directories in filenames File::Path::Expand expands user directories in filenames. For the simple case it's no more complex than s{^~/}{$HOME/}, but for other cases it consults getpwent and does the right thing. Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libf/libfile-path-expand-perl/libfile-path-expand-perl_1.02-1_all.deb Package: libfile-path-tiny-perl Version: 0.3-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 12136 SHA256: b0cde91c4a80c33e5b2da5e51d00b4a166ed06492d63c79ca3969a71e18d5803 SHA1: 0253b3868cf97e716e7b49f4ca5fddb92fd5c91e MD5sum: 092a4ad65ce6833cfd89149ed4c79408 Description: Perl module that provides recursive versions of mkdir() and rmdir() The goal of File::Path::Tiny is simply to provide recursive versions of mkdir() and rmdir() with as little code and overhead as possible. . File::Path::Tiny is in no way meant to derogate File::Path and is in no way an endorsement to go out and replace all use of File::Path with File::Path::Tiny. Homepage: http://search.cpan.org/dist/File-Path-Tiny/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-path-tiny-perl/libfile-path-tiny-perl_0.3-1_all.deb Package: libfile-pid-perl Version: 1.01-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: libclass-accessor-perl (>= 0.19), perl (>= 5.6.0-16) Size: 6538 SHA256: 38b5be2cab23f75935c0f7cb8893c5f63d25548f3f1c7d59861f30115fa924fa SHA1: af9a2815f5210aacc5c7cf62101f4be874e79c8d MD5sum: 00ff82a83f504d182589babd500c8c28 Description: Perl module for pid file manipulation File::Pid manages pid files. It creates a pid file, queries the process within to discover if it's still running, and removes the pid file. Homepage: http://search.cpan.org/dist/File-Pid/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-pid-perl/libfile-pid-perl_1.01-1_all.deb Package: libfile-policy-perl Version: 1.005-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libtest-assertions-perl, liblog-trace-perl, libfile-slurp-perl Size: 15696 SHA256: 91f66a12a65e1bcd0c20f1c582dcdc476378a815c1ac1ee5687abead7f122645 SHA1: 8fe837294a52bef5c326938cdd1132bc965afd9b MD5sum: 89dc2e279d05d12d49f36fc35c93362a Description: Simple policy for file I/O functions This defines the policy for file I/O with modules such as File::Slurp::WithinPolicy. The purpose is to allow systems administrators to define locations and restrictions for applications' file I/O and give app developers a policy to follow. Note that the module doesn't ENFORCE the policy - application developers can choose to ignore it (and systems administrators can choose not to install their applications if they do!). . You may control which policy gets applied by creating a File::Policy::Config module with an IMPLEMENTATION constant. You may write your own policy as a module within the File::Policy:: namespace. . By default (if no File::Policy::Config is present), the File::Policy::Default policy gets applied which doesn't impose any restrictions and provides reasonable default locations for temporary and log files. . The motivation behind this module was a standard, flexible approach to allow a site wide file policy to be defined. This will be most useful in large environments where a few sysadmins are responsible for code written by many other people. Simply ensuring that submitted code calls check_safe() ensures file access is sane, reducing the amount of effort required to do a security audit. Homepage: http://search.cpan.org/dist/File-Policy/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-policy-perl/libfile-policy-perl_1.005-1_all.deb Package: libfile-pushd-perl Version: 1.001-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 11436 SHA256: c8eb8686aee56903d8016a754fce8130c6d8354ec5da554ed7569e9b0fa854c6 SHA1: fb02d34fee0dd35557bf107bcb26e5f4145785d2 MD5sum: 17336bc4e88a61be44538ca11edcd226 Description: module for changing directory temporarily for a limited scope File::pushd does a temporary chdir that is easily and automatically reverted, similar to pushd in some Unix command shells. It works by creating an object that caches the original working directory. When the object is destroyed, the destructor calls chdir to revert to the original working directory. By storing the object in a lexical variable with a limited scope, this happens automatically at the end of the scope. . This is very handy when working with temporary directories for tasks like testing; a function is provided to streamline getting a temporary directory from File::Temp. Homepage: http://search.cpan.org/dist/File-pushd/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-pushd-perl/libfile-pushd-perl_1.001-1_all.deb Package: libfile-queue-perl Version: 1.01a-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8634 SHA256: 0dc6244eb92c8b91e556bea4a16bc9596217e87ead8b99013ee21907b09e816a SHA1: 27464281b7658cca1448d6af3e8b0e85290b1447 MD5sum: 07bac40501addf38a7653b796c2d7f54 Description: Perl module providing a persistent FIFO Queue using a file File::Queue allows for the creation of persistent First In, First Out (FIFO) queue objects, saving data in a file. Queue elements can only be scalars; if you want to work with references or other complex data structures, you have to serialize them first. This module was written with speed in mind, and is indeed very fast, but one should take care to understand its limitations. Homepage: http://search.cpan.org/dist/File-Queue/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-queue-perl/libfile-queue-perl_1.01a-1_all.deb Package: libfile-read-perl Version: 0.0801-2 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 11246 SHA256: 2b7426f621fe16c345d95f5624620fb308c92df6e98cc21645e3408be0211a2c SHA1: a9e0d5aea16b24f0393a95f59fe3bfaa646dc568 MD5sum: e47a048cf44c8d8ec81c9e8a2fcf9caf Description: Unique interface for reading one or more files This module mainly proposes functions for reading one or more files, with different options. See below for more details and examples. Homepage: http://search.cpan.org/dist/File-Read/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-read-perl/libfile-read-perl_0.0801-2_all.deb Package: libfile-readbackwards-perl Version: 1.05-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 13022 SHA256: a7657962836f84ac696d11196fd8a5f1251febfad538dc474a7e3ea0df3a870e SHA1: bb144608fecce9cb9f3bd9c161b1cc216977ed68 MD5sum: 4dda3cf395ea1749e2b7a458195b0a37 Description: Perl module for reading a file backwards by lines File::ReadBackwards reads a file backwards line by line. It is simple to use, memory efficient and fast. It supports both an object and a tied handle interface. . It is intended for processing log and other similar text files which typically have their newest entries appended to them. By default files are assumed to be plain text and have a line ending appropriate to the OS. But you can set the input record separator string on a per file basis. Homepage: http://search.cpan.org/dist/File-ReadBackwards/ Tag: devel::lang:perl, devel::library, implemented-in::perl, works-with::logfile Section: perl Priority: optional Filename: pool/main/libf/libfile-readbackwards-perl/libfile-readbackwards-perl_1.05-1_all.deb Package: libfile-remove-perl Version: 1.52-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.10.1) Size: 15586 SHA256: 079d73fe09175f1704a4478c5639bed4ea9c0bc15ae915abc08276c699ac14c0 SHA1: 393fc68b5d8e8f76bb5f7131011c209ca4a50e75 MD5sum: 4749ec688f66b3de522c726201cad512 Description: Perl module to remove files or directories File::Remove::remove removes files and directories. It acts like /bin/rm, for the most part. Although unlink can be given a list of files, it will not remove directories; this module remedies that. It also accepts wildcards, * and ?, as arguments for filenames. Homepage: http://search.cpan.org/dist/File-Remove/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, works-with::file Section: perl Priority: optional Filename: pool/main/libf/libfile-remove-perl/libfile-remove-perl_1.52-1_all.deb Package: libfile-rsync-perl Version: 0.42-2 Installed-Size: 120 Maintainer: Ivan Kohler Architecture: all Depends: rsync (>= 2.6.3), perl (>= 5.6.0-16) Size: 28234 SHA256: c8c0021c86013893ff7729455fb4fbd1ad34839e182fda9f45f5aa50f36c3cfd SHA1: 52a8f50288c490ba5d17f37c00c2f0fb93b8aab9 MD5sum: c0c409a5ee123229b57c465669972cdc Description: perl module interface to rsync(1) Perl Convenience wrapper for the rsync(1) program. Tag: devel::lang:perl, devel::library, implemented-in::perl, use::synchronizing Section: perl Priority: optional Filename: pool/main/libf/libfile-rsync-perl/libfile-rsync-perl_0.42-2_all.deb Package: libfile-rsyncp-perl Version: 0.70-1 Architecture: armhf Maintainer: Ludovic Drolez Installed-Size: 282 Depends: libc6 (>= 2.13-28), perl (>= 5.14.2-9), perlapi-5.14.2 Priority: optional Section: perl Filename: pool/main/libf/libfile-rsyncp-perl/libfile-rsyncp-perl_0.70-1_armhf.deb Size: 93934 SHA256: 7715389b025af79cd5c7d0607261bc1b7c1817515233bcdae83025dd35631619 SHA1: beebe5c587cbb5cf6f175f9840ffb5c8c5ea5773 MD5sum: 40f57502d05b81e86f3db85949120e23 Description: Perl based implementation of an Rsync client This module provides an API that makes it easy to write short scripts that communicate with a native rsync process or a native rsyncd daemon. . It was initially written to provide an Rsync interface to BackupPC. Package: libfile-scan-perl Version: 1.43-2 Installed-Size: 212 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libwww-perl Size: 48146 SHA256: 1515123fffe526d1880beea6cb62f3f95da3029c64cd6229a5f40f62d8442d67 SHA1: 7ee7ff7d6b86b2cd534acbaff6b03b5553e33564 MD5sum: 9fb38b77999322554690ca3a911a0058 Description: Perl module to scan files for viruses The File::Scan module allows users to scan files for known viruses. . The purpose is to provide a basis for creating platform independent virus scanners in Perl. Homepage: http://search.cpan.org/dist/File-Scan/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::antivirus, use::scanning Section: perl Priority: optional Filename: pool/main/libf/libfile-scan-perl/libfile-scan-perl_1.43-2_all.deb Package: libfile-searchpath-perl Version: 0.06-2 Installed-Size: 57 Maintainer: Taku YASUI Architecture: all Depends: perl Size: 10718 SHA256: a838071f65cc7887050d910014076062660c881c4ca5cc972053c6977020b8c5 SHA1: 604814332c41d1b3376d4e645e3a85c481dce5d0 MD5sum: 64df3880f7b0a805b8d8f98278a67cb9 Description: Perl module for searching for a file in a PATH-like variable File::SearchPath provides the ability to search a path-like environment variable such as $PATH, $LD_LIBRARY_PATH (or any environment variable) for a file (that does not necessarily have to be an executable). Homepage: http://search.cpan.org/dist/File-SearchPath/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-searchpath-perl/libfile-searchpath-perl_0.06-2_all.deb Package: libfile-sharedir-install-perl Version: 0.04-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 7818 SHA256: d6b60ab355cacfee046dd1cfc28baf4980be1906b0a4ef82b080a19da7b01776 SHA1: e534b905c8f12ac51a25529b8fef733f32f4b3b6 MD5sum: 05abb283bb2b62129da94294ea6cb953 Description: module for installing read-only data files File::ShareDir::Install is a Perl module that allows you to install read-only data files from a distribution and easily locate them with File::ShareDir. It is similar in nature to Module::Install::Share. Homepage: http://search.cpan.org/dist/File-ShareDir-Install/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-sharedir-install-perl/libfile-sharedir-install-perl_0.04-1_all.deb Package: libfile-sharedir-par-perl Version: 0.06-1 Installed-Size: 112 Maintainer: Debian Perl Group Architecture: all Depends: perl, libfile-sharedir-perl (>= 1.00), libclass-inspector-perl Size: 10942 SHA256: ec4eb42e4137bcb3462a12481404ef99db118eef7811549e61dc39311fbb1510 SHA1: f3c22b893c2b65ba8df03df1adf95c3fa9422d61 MD5sum: 7e677b77e01a25c79cba12404d1bebfa Description: module for handling shared data in PAR archives File::ShareDir::PAR is a Perl module that provides a mechanism for locating shared data in PAR packages. By using this module's functions, the currently loaded .par files will be scanned before @INC, which is the same order you get for loading modules when PAR is in effect. If a matching path is found in a PAR archive, the full archive is extracted and the path returned will point to the extracted copy on disk. Homepage: http://search.cpan.org/dist/File-ShareDir-PAR/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-sharedir-par-perl/libfile-sharedir-par-perl_0.06-1_all.deb Package: libfile-sharedir-perl Version: 1.00-0.1 Installed-Size: 116 Maintainer: Christian Sánchez Architecture: all Depends: perl (>= 5.6.0-16), libparams-util-perl, libclass-inspector-perl, libfile-spec-perl Size: 12266 SHA256: 644410a4242f4521f2fea18d1ebc1654a8866c1216040f75fab9a9aa8ce2790e SHA1: ef7ecdf7cd8cb30742e7e95f61c6e456dea38088 MD5sum: c3949c5538211150d0a4c5415bd4c274 Description: Locate per-dist and per-module shared files The intent of File::ShareDir is to provide a companion to Class::Inspector and File::HomeDir, modules that take a process that is well-known by advanced Perl developers but gets a little tricky, and make it more available to the larger Perl community. Homepage: http://search.cpan.org/dist/File-ShareDir/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, use::organizing Section: perl Priority: optional Filename: pool/main/libf/libfile-sharedir-perl/libfile-sharedir-perl_1.00-0.1_all.deb Package: libfile-slurp-perl Version: 9999.19-1 Installed-Size: 104 Maintainer: Alexander Zangerl Architecture: all Depends: perl Size: 26206 SHA256: 18c79f758de2bb689ba7117d765ca2c1b0bdebdb3b1aa0e380f90cef87b69b24 SHA1: 512c10ab0414921b3fedae2ff8d7b269a30ec07a MD5sum: 9090aca1baaa55b543187e2ed0f580c4 Description: single call read & write file routines This module consists of some quickie routines that read from, append to or overwrite a file with a single subroutine call. Their main intention is to save a couple of lines of code over and over again, but they do not do anything fancy. Homepage: http://search.cpan.org/~uri/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-slurp-perl/libfile-slurp-perl_9999.19-1_all.deb Package: libfile-slurp-unicode-perl Version: 0.7.1-1 Installed-Size: 24 Maintainer: Debian Perl Group Architecture: all Depends: perl, libfile-slurp-perl Size: 6942 SHA256: 069e39015fe56b2c0d331e307d678f98dd8a15e24294178018636a6c3d28b757 SHA1: 86722e08d950e8cf1ec583106dde052b67eae93b MD5sum: 9217420d774f4bf37ae7c2c498dbc684 Description: Perl module to add Unicode support for the File::Slurp package This module wraps the standard File::Slurp package and adds character encoding support. Homepage: http://search.cpan.org/dist/File-Slurp-Unicode/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-slurp-unicode-perl/libfile-slurp-unicode-perl_0.7.1-1_all.deb Package: libfile-spec-native-perl Version: 1.003-1 Installed-Size: 54 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 7974 SHA256: f4cb8cd6459b5427a28e65ebe5922fcf5100f8c104c21852f06e411891315864 SHA1: 7a4fbcc351fd4757f40872d2daffc30e083c3325 MD5sum: 10365551c9ebf0f28d07ca5e52ae599e Description: module for using native OS implementation of File::Spec from a subclass File::Spec::Native is a stupid hack to make the default File::Spec behavior available from a subclass. This can be useful when using another module that expects a subclass of File::Spec but you want to use the current, native OS format (automatically detected by File::Spec). . For example: Path::Class/as_foreign (as of version 0.24) allows you to translate a Path::Class object from one OS format to another. However, there is no way to specify that you want to translate the path into the current, native OS format without guessing at what that format is (which may include peeking into @File::Spec::ISA). . This module @ISA File::Spec. Homepage: http://search.cpan.org/dist/File-Spec-Native/ Section: perl Priority: optional Filename: pool/main/libf/libfile-spec-native-perl/libfile-spec-native-perl_1.003-1_all.deb Package: libfile-spec-perl Version: 3.3300-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 247 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/PathTools/ Priority: optional Section: perl Filename: pool/main/libf/libfile-spec-perl/libfile-spec-perl_3.3300-1_armhf.deb Size: 96802 SHA256: d2506636f469cf6218448142a0e72e8516bdb340222e0e6a16b2bbf208d470ba SHA1: 02780952a55d4c2d80db07d750423fd91f69ecf0 MD5sum: f41ee7dca4eb1a544c83105c37d53dae Description: collection of tools for working with paths across platforms File::Spec is a Perl module that provides a variety of modules and routines useful for working with paths and file specifications in a cross-platform manner. It also includes Cwd. . Please note that the modules from this package are already included in any standard Perl installation since version 5.4.5; this package is provided for those that need newer versions of this distribution. Package: libfile-sync-perl Version: 0.11-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 73 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/File-Sync/ Priority: optional Section: perl Filename: pool/main/libf/libfile-sync-perl/libfile-sync-perl_0.11-1_armhf.deb Size: 11834 SHA256: c8e18c5784b5824e820ddc41ea0722f2953eb29c5903f84c2c9bc153e7a8a3d9 SHA1: 4fa841426f4b66b5fb84e5d7cd8363a4440ae2f2 MD5sum: 021ed9407f3622c547f043a6158fc7d8 Description: Perl interface to sync() and fsync() File::Sync provides Perl interfaces to the Unix sync(2) and POSIX.1b fsync(2) system calls. The fsync() call is needed for putting messages into qmail maildirs, and sync() is included for completeness. Package: libfile-tail-perl Version: 0.99.3-5 Installed-Size: 41 Maintainer: Roland Rosenfeld Architecture: all Depends: perl, libtime-hires-perl Size: 23254 SHA256: 1ed89eee04c9a0a21de83b27973f554c4d846543a6835cd1fb1ef807f2ec3fbb SHA1: 9f2b963415dff0e09a5a913f3d7771d4c52fe655 MD5sum: 1095789d8424801c58e2ff9e4e27ff6b Description: File::Tail perl module The File::Tail module is designed for reading files which are continuously appended to (the name comes from the tail -f directive). Usually such files are logfiles of some description. . The module tries hard not to busy wait on the file, dynamicaly calculating how long it should wait before it pays to try reading the file again. . The module should handle normal log truncations ("close; move; open" or "cat /dev/null >file") transparently, without losing any input. Homepage: http://search.cpan.org/~mgrabnar/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, works-with::logfile Section: perl Priority: optional Filename: pool/main/libf/libfile-tail-perl/libfile-tail-perl_0.99.3-5_all.deb Package: libfile-touch-perl Version: 0.08-1 Installed-Size: 24 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 8672 SHA256: 18ec3b14f5fcd0372008a48a5a0169e5209809dce586db562c6de27280f5e9eb SHA1: d5cf99d9475b6382eced5352ef2f423b744044ef MD5sum: 4a0c469c6313157394f5557fa11d5c29 Description: Perl interface to touch-like functions File::Touch lets you update access and modification timestamps, creating nonexistent files where necessary. It also allows you to only modify one of the stamps, choose to not create nonexistent files, and use timestamps from a different file. Homepage: http://search.cpan.org/dist/File-Touch/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib Section: perl Priority: optional Filename: pool/main/libf/libfile-touch-perl/libfile-touch-perl_0.08-1_all.deb Package: libfile-type-perl Version: 0.22-1.1 Installed-Size: 116 Maintainer: Bastian Blank Architecture: all Depends: perl (>= 5.6.0-16) Size: 17030 SHA256: 460ab8e60ffd938eb0072c8fda5f78208b1926c54f45ec4112e3cc1eb32868ab SHA1: 5cf953cd553bdf60ff831d2708152aab40dd0cc0 MD5sum: 2785f677fbb8ede8c978e995d8335191 Description: determine file type using magic structure File::Type uses magic numbers (typically at the start of a file) to determine the MIME type of that file. . File::Type can use either a filename, or file contents, to determine the type of a file. Tag: devel::lang:perl, devel::library, implemented-in::perl, use::scanning, works-with::file Section: perl Priority: optional Filename: pool/main/libf/libfile-type-perl/libfile-type-perl_0.22-1.1_all.deb Package: libfile-type-webimages-perl Version: 1.01-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 7402 SHA256: d2c8b300d8c4a0f87529910d8f891f9123016b93f60ccc2a4deddc44ec04c1c3 SHA1: bca47a3433aef50c72d8a1398b5fd8f3a0a10351 MD5sum: 67e8563a080aa8b2ce6df2e3f78a125e Description: tool for determining web image file types using magic File::Type::WebImages determines the file type of web images using magic. mime_type() can use either a filename, or file contents, to determine the type of a file. The process involves looking the data at the beginning of the file, sometimes called "magic numbers". Homepage: http://search.cpan.org/dist/File-Type-WebImages/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-type-webimages-perl/libfile-type-webimages-perl_1.01-1_all.deb Package: libfile-userconfig-perl Version: 0.06-2 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl, libfile-homedir-perl, libfile-sharedir-perl (>= 1.00), libfile-copy-recursive-perl Size: 10538 SHA256: 229315c36c8339b9fe9197a0cb7691416c1430061b4b461b56d976d38a5eab29 SHA1: ae97d722082057a7aea8b3848458f0a958bfa2e2 MD5sum: d74328672bb95b453f9381fae85d0e90 Description: provides configuration directory for applications Many modules or applications maintain a user-spec configuration data directory. And the implementation pattern is generally the same. . A directory like /home/myuser/.application is created and populating by a set of default files the first time an application runs, and from there on, the files in that directory are modified. . File::UserConfig provides standard, light and sub-classable default implementation of this concept that Does The Right Thing with the directory names. Homepage: http://search.cpan.org/dist/File-UserConfig/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-userconfig-perl/libfile-userconfig-perl_0.06-2_all.deb Package: libfile-util-perl Version: 3.27-1 Installed-Size: 384 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-ooorno-perl (>= 0.01), libexception-handler-perl (>= 1) Size: 72996 SHA256: 427d5096bcc94457b595c9ba208bb19bc9c5ee593c9948990eb09039409d07e3 SHA1: fbae394bc80a5206aef75c3cbfe52e5630c1fdef MD5sum: 1843d0f8577c24c4fc00b9ed5862851b Description: File::Util - Easy, versatile, portable file handling File::Util provides a comprehensive toolbox of utilities to automate all kinds of common tasks on file / directories. Its purpose is to do so in the most portable manner possible so that users of this module won't have to worry about whether their programs will work on other OSes and machines. Homepage: http://search.cpan.org/dist/File-Util/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-util-perl/libfile-util-perl_3.27-1_all.deb Package: libfile-which-perl Version: 1.09-1 Installed-Size: 62 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 13124 SHA256: 02b25f0d2c1e6746bbee3c847c70d041d07c3fa04d8f4fe18863d8bb46c97a4c SHA1: cd8a7388f8d90aeeb76b6d1303fb871f93564d2c MD5sum: 4749f3bf64dcf4abbb91c6cb2932b577 Description: Perl module for searching paths for executable programs File::Which simulates the behavior of the standard 'which' program: it searches directories of a user's PATH (as returned by File::Spec) looking for executable files matching a given name. . On Debian /usr/bin/which is guaranteed to be available, but going through the Perl implementation can still save a fork. Homepage: http://search.cpan.org/dist/File-Which/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::searching Section: perl Priority: optional Filename: pool/main/libf/libfile-which-perl/libfile-which-perl_1.09-1_all.deb Package: libfile-wildcard-perl Version: 0.11-1 Installed-Size: 104 Maintainer: TANIGUCHI Takaki Architecture: all Depends: perl, libmodule-optional-perl Size: 26106 SHA256: 23cf202bf24542e42e1b9c07ac944ea8bc5c9146712f979379111285bd6387d4 SHA1: a9335864d0d1c5aede86f71eaf77609f7de95932 MD5sum: 9ef7088af781bef4470b2c32733aaa30 Description: Enhanced glob processing When looking at how various operating systems do filename wildcard expansion (globbing), VMS has a nice syntax which allows expansion and searching of whole directory trees. It would be nice if other operating systems had something like this built in. The best Unix can manage is through the utility program find. . File::Wildcard provides this facility to Perl. Whereas native VMS syntax uses the ellipsis "...", this will not fit in with POSIX filenames, as ... is a valid (though somewhat strange) filename. Instead, the construct "///" is used as this cannot syntactically be part of a filename, as you do not get three concurrent filename separators with nothing between (three slashes are used to avoid confusion with //node/path/name syntax). . You don't have to use this syntax, as you can do the splitting yourself and pass in an arrayref as your path. Homepage: http://search.cpan.org/dist/File-Wildcard/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfile-wildcard-perl/libfile-wildcard-perl_0.11-1_all.deb Package: libfilehandle-fmode-perl Version: 0.11-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 75 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/FileHandle-Fmode/ Priority: optional Section: perl Filename: pool/main/libf/libfilehandle-fmode-perl/libfilehandle-fmode-perl_0.11-1_armhf.deb Size: 11022 SHA256: f507a1842bbe013a7019d8f8832e6943526c8c5f7697ad816964b1947e435cf3 SHA1: a86decad8e8df1435304e09a913dc8674d2dd77b MD5sum: 9b9275aedabaa3f87932fcb90b0748db Description: Perl module for checking filehandle status FileHandle::Fmode provides methods to test if a filehandle is readable, writable, both, or open for appending. . FileHandle::Fmode is used by other packages like DBM::Deep. Package: libfilehandle-unget-perl Version: 0.1623-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 15040 SHA256: e18598494f759beda750bb754e589465cc028fa01899e16e9ae74a71f0f94c04 SHA1: 26909337fb5096f06f028c610203c926c5c4ce50 MD5sum: 2be3c52a00fd65243bfa771582772fa2 Description: Perl module that allows ungetting of multiple bytes FileHandle::Unget is a Perl Module that is a drop-in replacement for the standard FileHandle module. It allows more than one byte to be placed back on the input. It also provides ungets to unget a string, which is useful if the filehandle refers to a stream for which you can't just seek() backwards. Homepage: http://search.cpan.org/dist/FileHandle-Unget/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfilehandle-unget-perl/libfilehandle-unget-perl_0.1623-1_all.deb Package: libfilesys-df-perl Version: 0.92-4 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 83 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Filesys-Df/ Priority: optional Section: perl Filename: pool/main/libf/libfilesys-df-perl/libfilesys-df-perl_0.92-4_armhf.deb Size: 14306 SHA256: 1ca49b6af0f4b06974e967070ce9cee0e0394470527b574fc14deb6aeafaee46 SHA1: 1f48f31b78b05640f9fd94d6bb9ac0abe54fb17c MD5sum: 4efb412bfe0b1caed664a862c69a09f0 Description: Module to obtain filesystem disk space information This module provides a way to obtain filesystem disk space information. This is a Unix only distribution. If you want to gather this information for Unix and Windows, use Filesys::DfPortable. The only major benefit of using Filesys::Df over Filesys::DfPortable, is that Filesys::Df supports the use of open filehandles as arguments. Package: libfilesys-diskspace-perl Version: 0.05-15 Installed-Size: 16 Maintainer: Dario Minnucci Architecture: all Depends: perl Size: 10876 SHA256: 3a184ef6d012b2334c708dc0a9d8b07c5033dd5c9f90c48e133b9a5df2812496 SHA1: a5569b326f116848cbbc3f34770afb1c7023e1c3 MD5sum: cedc8e3077670d464b59d1fdeb124296 Description: fetch filesystem size and usage information from Perl This Perl module allows you to fetch filesystem size and usage information (such as the df command displays) from Perl. Homepage: http://search.cpan.org/~ftassin/Filesys-DiskSpace Tag: admin::filesystem, devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, use::scanning Section: perl Priority: optional Filename: pool/main/libf/libfilesys-diskspace-perl/libfilesys-diskspace-perl_0.05-15_all.deb Package: libfilesys-notify-simple-perl Version: 0.08-1 Installed-Size: 56 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8106 SHA256: 136b37986ace02ee57c4a9b7660ba259896d70e3c6ab054bd2691955d17b70a2 SHA1: f3a88c150ebd1928e38c0b606c0b54e6774dd933 MD5sum: 20aeaeeb72749d0f0d3f8a7e88a920c6 Description: simple file system monitor Filesys::Notify::Simple is a Perl module that provides a simple and unified interface to get notifications of changes for a given filesystem path. It can use inotify2 on Linux or fsevents on Mac OS X, and otherwise falls back to a full directory scan. Homepage: http://search.cpan.org/dist/Filesys-Notify-Simple/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfilesys-notify-simple-perl/libfilesys-notify-simple-perl_0.08-1_all.deb Package: libfilesys-smbclient-perl Version: 3.1-3 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 137 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libsmbclient (>= 3.0.24) Homepage: http://search.cpan.org/dist/Filesys-SmbClient/ Priority: extra Section: perl Filename: pool/main/libf/libfilesys-smbclient-perl/libfilesys-smbclient-perl_3.1-3_armhf.deb Size: 35272 SHA256: dc480a7c1d4cbd688b98381688bf6d93b5c9e65e6716cbc7c4b857dde2772d2f SHA1: e0d0fd49ebee23f475da9371b04542b5863695c8 MD5sum: b3081f5925ed4e0e63f2518424e0c63f Description: perl interface to access Samba filesystem This package provides the Filesys::SmbClient module. It provides interface to the functions in libsmbclient.so. It has functions to read/write/add/modify files/directories on Samba shares. Package: libfilesys-statvfs-perl Version: 0.82-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 72 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Filesys-Statvfs/ Priority: optional Section: perl Filename: pool/main/libf/libfilesys-statvfs-perl/libfilesys-statvfs-perl_0.82-2_armhf.deb Size: 10294 SHA256: f6babd6cd9ba946a3e84ccffb123048dc6bfdee23b53907c7cba76c839ac927e SHA1: 9f2b7d2d722cccc226b1fae1fc750f64d49dcce0 MD5sum: cc686cce20aa5b22778f53e309640bf7 Description: Perl module for the statvfs system call Filesys::Statvfs provides a Perl interface to the statvfs() system call. This function returns information about a mounted file system. The package also provides an interface to the very similar fstatvfs() system call. Package: libfilesystem-ruby1.8 Source: libfilesystem-ruby Version: 0.5-3.1 Architecture: armhf Maintainer: Tatsuki Sugiura Installed-Size: 69 Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.352) Conflicts: libfilesystem-ruby (<< 0.4-3) Replaces: libfilesystem-ruby (<< 0.4-3) Provides: libfilesystem-ruby Priority: optional Section: interpreters Filename: pool/main/libf/libfilesystem-ruby/libfilesystem-ruby1.8_0.5-3.1_armhf.deb Size: 10138 SHA256: ff8e6c7054713b7ffdacd12169e082ca215f67b2cb6788aeb1e8e33af54a502c SHA1: b85d1bae8d98286b5e3a5c7c5e9cd65aed07fb49 MD5sum: 8f97d5fa1a8e445bacb7902e75b34ebf Description: Ruby1.8 extension for file-system information This is a Ruby extension for file-system information, using the 'statvfs' and 'getmntent' system calls. Package: libfilesystem-ruby1.9.1 Source: libfilesystem-ruby Version: 0.5-3.1 Architecture: armhf Maintainer: Tatsuki Sugiura Installed-Size: 69 Depends: libc6 (>= 2.13-28), libruby1.9.1 (>= 1.9.2.0) Provides: libfilesystem-ruby Priority: optional Section: interpreters Filename: pool/main/libf/libfilesystem-ruby/libfilesystem-ruby1.9.1_0.5-3.1_armhf.deb Size: 10282 SHA256: 4ee031f6ce10a66adb31a2c1b758cbb2c5b834096231cb246893cbeda4c6a20b SHA1: 9d3d332ac011fb35985ec15b7f2b8b73d32edc10 MD5sum: cd4c19b8fc09ef40a9644db59cc64d03 Description: Ruby1.9.1 extension for file-system information This is a Ruby extension for file-system information, using the 'statvfs' and 'getmntent' system calls. Package: libfileutils-ocaml-dev Source: ocaml-fileutils Version: 0.4.2-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 475 Depends: ocaml-nox-3.12.1 Provides: libfileutils-ocaml-dev-2zro0 Homepage: http://forge.ocamlcore.org/projects/ocaml-fileutils/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-fileutils/libfileutils-ocaml-dev_0.4.2-1_armhf.deb Size: 65580 SHA256: 6c443d35a03b4fc0973d83a0484d0dfb41c68ef36c0d491a25667558073073a8 SHA1: 64b1b948ade87c173675e8301e67c63953179e78 MD5sum: ff18aad92ce098c20614934a84e0d83a Description: File manipulation for OCaml This library provides some functions which operate on the file system for the Objective Caml (OCaml) programming language. The aim is to enhance the basic functionality provided by the OCaml standard Filename module. . It provides functions, written in pure OCaml, for manipulating files (mv, cp, mkdir et al) and abstract filename (make_relative, make_absolute et al). Package: libfilter-eof-perl Version: 0.04-2 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 7610 SHA256: 4083eb49ac3deacf3e3e07f00fb064794f81606d233a7a7462676a4fe9a1ef07 SHA1: 8733a44f826a87df398e65faaeb9751707e57501 MD5sum: d467d1c96491f8f255fe421995f69a54 Description: Run a callback after a file has been compiled Filter::EOF utilises Perl's source filters to provide you with a mechanism to run some code after a file using your module has been processed. . It could also be used for appending Perl code to that file's source. Homepage: http://search.cpan.org/dist/Filter-EOF/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfilter-eof-perl/libfilter-eof-perl_0.04-2_all.deb Package: libfilter-perl Version: 1.45-1+rpi1 Architecture: armhf Maintainer: Colin Watson Installed-Size: 238 Depends: libc6 (>= 2.13-28), perl (>= 5.14.2-12+rpi1), perlapi-5.14.2 Suggests: libcompress-zlib-perl Homepage: http://www.cpan.org/modules/by-module/Filter/ Priority: optional Section: perl Filename: pool/main/libf/libfilter-perl/libfilter-perl_1.45-1+rpi1_armhf.deb Size: 72568 SHA256: 78eb12a49bda8a80cd3244bab656511a79c0007fc8de1fc8b2290ade3918aba0 SHA1: 428b832fc423f1db80de47211b034312e231f1ce MD5sum: cf1ce189666867591919fefb6e00e363 Description: Perl source filters Source filters alter the program text of a module before Perl sees it, much as a C preprocessor alters the source text of a C program before the compiler sees it. . This package contains a number of source filters, including: . exec and sh: pipe the source file through an external command cpp: pipe the source file through the C preprocessor decrypt: example of simple (though weak!) source obfuscation tee: copy filtered source to a file (debugging aid) . A substantial amount of documentation and examples is also included. Package: libfilter-template-perl Version: 1.040-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 19124 SHA256: f080a9c2778c1bd2decc60cbdf74844e97b99003e82bd3f4aab7855e6cce6a5d SHA1: 294355935880dee1ebbaab12aa664cb33d9a8214 MD5sum: 8501e478cc0aa6863fdf0c2cb669715a Description: source filter for inline code templates (macros) Filter::Template is a source filter for Perl that provides a mechanism for defining inline source code templates. Templates can be much faster than subroutines, but can cause debugging to become significantly more difficult. Read the documentation to understand the limitations and choose wisely. Homepage: http://search.cpan.org/dist/Filter-Template/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, works-with::software:source Section: perl Priority: optional Filename: pool/main/libf/libfilter-template-perl/libfilter-template-perl_1.040-1_all.deb Package: libfinance-bank-ie-permanenttsb-perl Version: 0.4-2 Installed-Size: 140 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdate-calc-perl, libswitch-perl | perl (<< 5.10.1-13), libwww-mechanize-perl Size: 29008 SHA256: 56c17ba342eb0c54a585d00c7f7b8a612adb343fed14bc7aabc74cc39ea61622 SHA1: f6c030f6d96345e7fc1d809ca12d2666d7028e35 MD5sum: 19f8ff339d22204b162075bd9d72c5cb Description: perl interface to the PermanentTSB Open24 homebanking Finance::Bank::IE::PermanentTSB provides a set of functions which can be used in perl programs to interact with the Open24 homebanking (www.open24.ie) . The module will allow the user to check the account balance and to display an account statement for a particular time range. . This package also includes a CLI tool (ptsb), which will provide the same functionalities of the module and will also use gnuplot to draw graphs about the status of a bank account. Homepage: http://search.cpan.org/dist/Finance-Bank-IE-PermanentTSB/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfinance-bank-ie-permanenttsb-perl/libfinance-bank-ie-permanenttsb-perl_0.4-2_all.deb Package: libfinance-qif-perl Version: 3.02-1 Installed-Size: 46 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 20872 SHA256: 62b627630b7e842bd394de0a6278e22c1b89b4635cc53f3ad6061019523e2583 SHA1: 4325968686af65f2efc9bc54bf2be1dae635f79a MD5sum: 3c336371ee065b4e18f5b4415d9ee12f Description: Parse and create Quicken Interchange Format files Finance::QIF is a module for working with QIF (Quicken Interchange Format) files in Perl. Finance::QIF reads QIF data records from a file passing each successive record to the caller for processing. This module also has the capability of writing QIF records to a file. . The QIF file format typically consists of a header containing a record or transaction type, followed by associated data records. Within a file there may be multiple headers. Headers are usually followed by data records, however data is not required to always follow a header. . A hash reference is returned for each record read from a file. The hash will have a "header" value which contains the header type that was read along with all supported values found for that record. If a value is not specified in the data file, the value will not exist in this hash. Homepage: http://search.cpan.org/dist/Finance-QIF/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfinance-qif-perl/libfinance-qif-perl_3.02-1_all.deb Package: libfinance-quote-perl Version: 1.17+git20120506-1+deb7u1 Installed-Size: 518 Maintainer: Bart Martens Architecture: all Depends: perl, libhtml-tableextract-perl, libwww-perl, libcrypt-ssleay-perl Size: 211868 SHA256: 97a5264b2d2bb746e585bdce7fcce7236c5208094e5ecad7b4f5ca474a5b271f SHA1: bb7f9d5925167aaa4182e86bede5c44fcfc3d653 MD5sum: 35dd075fd5b216a6b3225913524ba540 Description: Perl module for retrieving stock quotes from a variety of sources This is a Perl module that retrieves stock quotes and other securities information from various locations Homepage: http://finance-quote.sourceforge.net/ Tag: devel::lang:perl, devel::library, field::finance, implemented-in::perl, protocol::http, use::downloading Section: perl Priority: optional Filename: pool/main/libf/libfinance-quote-perl/libfinance-quote-perl_1.17+git20120506-1+deb7u1_all.deb Package: libfinance-quotehist-perl Version: 1.19-1 Installed-Size: 236 Maintainer: John Lightsey Architecture: all Depends: perl, libdate-manip-perl, libhtml-tableextract-perl (>= 2.07), libregexp-common-perl, libtext-csv-perl, libwww-perl Size: 70232 SHA256: fef3625eef50ae3ddd14021e8fc8bc5cdfdefcb0ed4b0b3dd73506c1d1eb744e SHA1: 819c89ea95b20d31a21f61ebfd9254ebbb6894bd MD5sum: d54d3ad14fcbe65e6c56ac5a29a9203e Description: Perl modules for fetching historical stock quotes from the web The Finance-QuoteHist bundle contains several modules designed to fetch historical stock quotes from the web. This includes modules to retrieve information from Yahoo, Yahoo Australia, QuoteMedia, Google, BusinessWeek and MSN. Homepage: http://search.cpan.org/dist/Finance-QuoteHist/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfinance-quotehist-perl/libfinance-quotehist-perl_1.19-1_all.deb Package: libfinance-streamer-perl Version: 1.10-2 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 18776 SHA256: ac8148d27bb5f1338b2afe1b84656a02db1eae45eb1786d931cd0961983c763f SHA1: ce9a7ae9d545d0622c39f60a3db97920918fe62c MD5sum: a8dd341b528b27466ae4d31ac8980dec Description: Perl5 module with interface to Datek Streamer Finance::Streamer provides an interface that can be used to access data provided by the Datek Streamer data feed. . It works with the new Streamer (version 3) as opposed to the older (version 2). Homepage: http://search.cpan.org/dist/Finance-Streamer/ Tag: devel::lang:perl, devel::library, field::finance, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfinance-streamer-perl/libfinance-streamer-perl_1.10-2_all.deb Package: libfinance-yahooquote-perl Source: finance-yahooquote Version: 0.24 Installed-Size: 116 Maintainer: Dirk Eddelbuettel Architecture: all Depends: perl, libwww-perl, libhtml-parser-perl, perl-modules Size: 23454 SHA256: 07aee95090da575ac1b7c8fe81c8d155d09032d0011a660aecab0d7ee4ca3295 SHA1: 869d73fc6d7b93c64f1c7d32ad55ba7a91bc0530 MD5sum: 06e903b692bd98dfa12b17ebfe16c9bb Description: Perl module for retrieving stock quotes from Yahoo! Finance This is a Perl module to retrieve one or more quotes for stocks, mutual funds, exchange rates, options, ... from Yahoo! Finance, covering most (if not all) of the global Yahoo! Finance sites. Tag: devel::lang:perl, devel::library, field::finance, implemented-in::perl, protocol::http, use::downloading Section: perl Priority: optional Filename: pool/main/f/finance-yahooquote/libfinance-yahooquote-perl_0.24_all.deb Package: libfind-lib-perl Version: 1.04-1 Installed-Size: 36 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 13172 SHA256: 9a790e39c97b4d7cec96fcd5b819e9612e22c12348a06b513464eac5f1ec6a45 SHA1: 8d77761a8d60f63d7fff163b11882735e5a934fd MD5sum: e9171d012a365f065f6b55cbd319b614 Description: Perl module to intelligently find libraries Find::Lib is a module aimed at finding and loading libraries in paths relative to the currently running script. It is particularly useful for test scripts, when there are test helper modules included in the distribution that are needed for testing but should not be installed on the system. . It simplifies the finding and loading these special libraries, which can be a tedious and error-prone process, especially when new modules are updated. This module is generally used to locate and load a bundled "bootstrapping" module which then loads the required dependencies. Homepage: http://search.cpan.org/dist/Find-Lib/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfind-lib-perl/libfind-lib-perl_1.04-1_all.deb Package: libfindbin-libs-perl Version: 1.64-1 Installed-Size: 41 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 25748 SHA256: c82fd2a7100667354b343fc3716709131f10972eef6ce8a114bb0cc583ab931d SHA1: 2b2bbd6cf16c66dd93d84810d9fe94b435417354 MD5sum: 5db10584efa06a1aeabb008f4686ce39 Description: use $FindBin::Bin to search for 'lib' directories and use them FindBin::libs is a Perl module to locate and 'use lib' or export directories based on $FindBin::Bin. . This allows using libraries stored in paths relative to the program, which is a useful feature for developers. Homepage: http://search.cpan.org/dist/FindBin-libs/ Section: perl Priority: optional Filename: pool/main/libf/libfindbin-libs-perl/libfindbin-libs-perl_1.64-1_all.deb Package: libfindlib-ocaml Source: findlib Version: 1.3.1-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 278 Depends: ocaml-base-nox-3.12.1 Breaks: ocaml-findlib (<< 1.2.4-3) Replaces: ocaml-findlib (<< 1.2.4-3) Provides: libfindlib-ocaml-h0ll5 Homepage: http://projects.camlcity.org/projects/findlib.html Priority: optional Section: ocaml Filename: pool/main/f/findlib/libfindlib-ocaml_1.3.1-1_armhf.deb Size: 108382 SHA256: 092708d747ffeb0a183707266c2890225114aec0888b31d5db1a80f800bf107b SHA1: c393d089a65f49d092efc6ae4f95b720f9f8c3ad MD5sum: 0cc053b2e0c4e4fd5d27fb334a32a4f7 Description: library for managing OCaml libraries (runtime) The "findlib" OCaml library provides a scheme to manage reusable software components (packages), and includes tools that support this scheme. Packages are collections of OCaml modules for which metainformation can be stored. The packages are kept in the filesystem hierarchy, but with strict directory structure. The library contains functions to look the directory up that stores a package, to query metainformation about a package, and to retrieve dependency information about multiple packages. . This package contains files that are or may be needed at runtime by programs using findlib (META files and dynamically-loadable files). Package: libfindlib-ocaml-dev Source: findlib Version: 1.3.1-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 464 Depends: libfindlib-ocaml-h0ll5, ocaml-nox-3.12.1 Recommends: ocaml-findlib Breaks: ocaml-findlib (<< 1.2.4-3) Replaces: ocaml-findlib (<< 1.2.4-3) Provides: libfindlib-ocaml-dev-h0ll5 Homepage: http://projects.camlcity.org/projects/findlib.html Priority: optional Section: ocaml Filename: pool/main/f/findlib/libfindlib-ocaml-dev_1.3.1-1_armhf.deb Size: 98856 SHA256: 4a0d08640c0af38f88e07991e22676ed4029cb2ae8812c475a813491ff27cd78 SHA1: 8e8196d891a2a0fac9ec1e20124cff49a0b5c9cb MD5sum: 6625562f968d9f001214612b4316935f Description: library for managing OCaml libraries (development files) The "findlib" OCaml library provides a scheme to manage reusable software components (packages), and includes tools that support this scheme. Packages are collections of OCaml modules for which metainformation can be stored. The packages are kept in the filesystem hierarchy, but with strict directory structure. The library contains functions to look the directory up that stores a package, to query metainformation about a package, and to retrieve dependency information about multiple packages. . This package contains development files needed to compile programs using findlib. Package: libfiredns-dev Source: firedns Version: 0.9.12+dfsg-3 Architecture: armhf Maintainer: Herve Rousseau Installed-Size: 70 Depends: libfiredns0.9 (= 0.9.12+dfsg-3), libfirestring-dev Priority: optional Section: libdevel Filename: pool/main/f/firedns/libfiredns-dev_0.9.12+dfsg-3_armhf.deb Size: 15356 SHA256: 5271143f6da24486ec00467e5955a926ec64c05de598497ddc5d17cde58f857d SHA1: a22cb783eeb236c6186b60287d39349c98ea82a2 MD5sum: e076056b512be41d1ce6e05b52923490 Description: Development files for firedns, an asynch. dns resolver library FireDNS is a DNS resolver library. Features include asynchronous resolution, and multi-server queries (making lookups much faster than with the stock libc/bind functions). Package: libfiredns0.9 Source: firedns Version: 0.9.12+dfsg-3 Architecture: armhf Maintainer: Herve Rousseau Installed-Size: 55 Depends: libc6 (>= 2.4), libfirestring0.9 Priority: optional Section: libs Filename: pool/main/f/firedns/libfiredns0.9_0.9.12+dfsg-3_armhf.deb Size: 13572 SHA256: d9727cc7fb0b1a594610edf14d51f3fceffff45eccb85f1d4eeffe6f1208c6b3 SHA1: fbfb0a72068a77b7a67553b1dfb6032f4eaaec4d MD5sum: 2af22954d9c5c7b700d5eefa0f913a87 Description: Runtime libraries for firedns, an asynch. dns resolver library FireDNS is a DNS resolver library. Features include asynchronous resolution, and multi-server queries (making lookups much faster than with the stock libc/bind functions). Package: libfirestring-dev Source: firestring Version: 0.9.12-8 Architecture: armhf Maintainer: Herve Rousseau Installed-Size: 118 Depends: libfirestring0.9 (= 0.9.12-8) Priority: optional Section: libdevel Filename: pool/main/f/firestring/libfirestring-dev_0.9.12-8_armhf.deb Size: 52604 SHA256: 3c33438e4e9e71f39d00841f586f04155ee2224a37348dd730886e78d2ee6776 SHA1: c3f25112854cb35c0f4d324617f88c5b7bcad2d0 MD5sum: 6f47c3e36b000f74bd9bfdcc76945053 Description: Development files for firestring, a string handling library FireString is a library designed to make string handling easier. It includes string functions that are included in most libc's, but not in ANSI C, so that programmers can write portable code while not having to reinvent the wheel. . FireString also includes code for configuration file handling. Package: libfirestring0.9 Source: firestring Version: 0.9.12-8 Architecture: armhf Maintainer: Herve Rousseau Installed-Size: 66 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Priority: optional Section: libs Filename: pool/main/f/firestring/libfirestring0.9_0.9.12-8_armhf.deb Size: 19126 SHA256: 5e0afd9222684d74943916350e051f12d8584b1b59b21dc575b41e5c878d0d37 SHA1: 87a49c78fa35192e54eadb44d25a27522fd63f80 MD5sum: aaeb09d344244740b29d84eb6f5fbbcb Description: Runtime libraries for firestring, a string handling library FireString is a library designed to make string handling easier. It includes string functions that are included in most libc's, but not in ANSI C, so that programmers can write portable code while not having to reinvent the wheel. . FireString also includes code for configuration file handling. Package: libfishsound1 Source: libfishsound Version: 1.0.0-1.1 Architecture: armhf Maintainer: Debian Xiph.org Maintainers Installed-Size: 53 Depends: libc6 (>= 2.13-28), libogg0 (>= 1.0rc3), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2) Priority: optional Section: libs Filename: pool/main/libf/libfishsound/libfishsound1_1.0.0-1.1_armhf.deb Size: 13914 SHA256: a764b4da682b6944dae01c9a0d3a165db3b424e474a0211f48da4b864bf74fff SHA1: c3d1e0ce784c138045dccd1ae73a3f055d216d3f MD5sum: 0837a75db93e2c38622611891b0eb851 Description: simple API that wraps Xiph.Org audio codecs libfishsound is a wrapper around the existing codec libraries and provides a consistent, higher-level programming interface. It has been designed for use in a wide variety of applications; it has no direct dependencies on Annodex or Ogg encapsulation, though it is most commonly used in conjunction with liboggz to decode or encode Ogg encapsulated Vorbis or Speex files. Package: libfishsound1-dbg Source: libfishsound Version: 1.0.0-1.1 Architecture: armhf Maintainer: Debian Xiph.org Maintainers Installed-Size: 100 Depends: libfishsound1 (= 1.0.0-1.1) Priority: extra Section: debug Filename: pool/main/libf/libfishsound/libfishsound1-dbg_1.0.0-1.1_armhf.deb Size: 25478 SHA256: d87f2af3bf925de5f342adda0a30b3d6dc98819940c6294950cade0ac35a6f70 SHA1: dd6c8f09355964e6084c6ce9f20f66ac92dd2018 MD5sum: 94edc7baafc5a837d3e1542e46ccf363 Description: simple API that wraps Xiph.Org audio codecs (debugging information) libfishsound is a wrapper around the existing codec libraries and provides a consistent, higher-level programming interface. It has been designed for use in a wide variety of applications; it has no direct dependencies on Annodex or Ogg encapsulation, though it is most commonly used in conjunction with liboggz to decode or encode Ogg encapsulated Vorbis or Speex files. . This package contains debugging symbols useful for tracing bugs in the libfishsound1 package. Package: libfishsound1-dev Source: libfishsound Version: 1.0.0-1.1 Architecture: armhf Maintainer: Debian Xiph.org Maintainers Installed-Size: 136 Depends: libfishsound1 (= 1.0.0-1.1), libspeex-dev, libvorbis-dev Conflicts: libfishsound-dev Provides: libfishsound-dev Priority: optional Section: libdevel Filename: pool/main/libf/libfishsound/libfishsound1-dev_1.0.0-1.1_armhf.deb Size: 33804 SHA256: 976fff52828588edcaef51a997e59869caf1341c6994ec01f7c2dd3acc8cf729 SHA1: 9abc37b7e963f0ac90d71981a8bc8126595e45df MD5sum: b057a1b3dc9d72ae62c72b3142329a81 Description: simple API that wraps Xiph.Org audio codecs (development files) libfishsound is a wrapper around the existing codec libraries and provides a consistent, higher-level programming interface. It has been designed for use in a wide variety of applications; it has no direct dependencies on Annodex or Ogg encapsulation, though it is most commonly used in conjunction with liboggz to decode or encode Ogg encapsulated Vorbis or Speex files. . This package contains the header files and static libraries required for developing applications that use libfishsound. Package: libfiu-dev Source: libfiu Version: 0.90-3 Architecture: armhf Maintainer: Chris Lamb Installed-Size: 119 Depends: libfiu0 (= 0.90-3) Homepage: http://blitiri.com.ar/p/libfiu/ Priority: optional Section: libdevel Filename: pool/main/libf/libfiu/libfiu-dev_0.90-3_armhf.deb Size: 23646 SHA256: 3bfbb411b9166c61fa63ce3bde1c777fdfa452c9d13a34b5c424459f21123aba SHA1: bdd0499cf572fa590607ec6e6aaf93bb8a223cc8 MD5sum: dd8bdc87aa7e578a1616c45dc8b62abd Description: userspace fault injection framework (development libraries) libfiu is a C library for fault injection. It provides functions to mark "points of failure" inside your code (the "core API"), and functions to enable/disable the failure of those points (the "control API"). . This package contains the development libraries and documentation in HTML and reStructuredText formats. Package: libfiu0 Source: libfiu Version: 0.90-3 Architecture: armhf Maintainer: Chris Lamb Installed-Size: 87 Depends: libc6 (>= 2.13-28) Homepage: http://blitiri.com.ar/p/libfiu/ Priority: optional Section: libs Filename: pool/main/libf/libfiu/libfiu0_0.90-3_armhf.deb Size: 22286 SHA256: 70a6022b556c2e9e64a57c227fdcbf06897cfd3707da28f56806fd0c624150c9 SHA1: d2f8308c8498562313bdbad01fd2f69c1505663a MD5sum: 13aa20e0351338b74e41b214576597c9 Description: userspace fault injection framework libfiu is a C library for fault injection. It provides functions to mark "points of failure" inside your code (the "core API"), and functions to enable/disable the failure of those points (the "control API"). . This package contains the libfiu shared library. To perform fault injection, you will require the "libfiu-dev" package. Package: libfixposix-dev Source: libfixposix Version: 20110316.git47f17f7-1 Architecture: armhf Maintainer: Debian Common Lisp Team Installed-Size: 124 Depends: libfixposix0 (= 20110316.git47f17f7-1) Homepage: http://common-lisp.net/project/iolib/ Priority: optional Section: libdevel Filename: pool/main/libf/libfixposix/libfixposix-dev_20110316.git47f17f7-1_armhf.deb Size: 15948 SHA256: b357719f3934f5b826f339cb81f39555669a6d77cf759f8d0102ce93ca0da611 SHA1: 3c00617c5624a3109ee1c2a622fdbec8e6bc2794 MD5sum: 686b6782e4d6ac1e59849c1a65d091ad Description: Replacement for inconsistent parts of POSIX (development) The purpose of libfixposix is to offer replacements for parts of POSIX whose behaviour is inconsistent across *NIX flavours. . This package contains the headers and static library files. Package: libfixposix0 Source: libfixposix Version: 20110316.git47f17f7-1 Architecture: armhf Maintainer: Debian Common Lisp Team Installed-Size: 49 Depends: libc6 (>= 2.10) Homepage: http://common-lisp.net/project/iolib/ Priority: optional Section: libs Filename: pool/main/libf/libfixposix/libfixposix0_20110316.git47f17f7-1_armhf.deb Size: 10898 SHA256: 34fa16fce6875cdc44956208e85303625750e3584c7a7f545719afa0e3738586 SHA1: 10a70d650458c9e827eaea37c866a30a99bc8d70 MD5sum: c5d7ca2891cf75352ff42929a8d10d11 Description: Replacement for inconsistent parts of POSIX (runtime) The purpose of libfixposix is to offer replacements for parts of POSIX whose behaviour is inconsistent across *NIX flavours. . This package contains the shared library. Package: libfko0 Source: fwknop Version: 2.0.0rc2-2+deb7u2 Architecture: armhf Maintainer: Franck Joncourt Installed-Size: 97 Depends: libc6 (>= 2.13-28), libgpg-error0 (>= 1.10), libgpgme11 (>= 1.2.0) Homepage: http://www.cipherdyne.com/fwknop-c/ Priority: extra Section: libs Filename: pool/main/f/fwknop/libfko0_2.0.0rc2-2+deb7u2_armhf.deb Size: 38928 SHA256: e268f64a80d7f0f211448f9e06fc38057958d8c374b451f16aa78f431bbb171d SHA1: a7a5686ddff6664155bf7b612250d0b4bbe74289 MD5sum: 70789a37039a24d0fba6e7951523442a Description: system interface for user-level fko management The original fwknop is implemented in Perl. The libfko library is an implementation of the fwknop back-end data processing routines written in C as part of the project to move all of fwknop to C. . See the libfko documentation for additional information on usage and the functionality provided by libfko. More information on SPA and fwknop can be found at http://www.cipherdyne.org/fwknop. . This is the shared library. Package: libfko0-dbg Source: fwknop Version: 2.0.0rc2-2+deb7u2 Architecture: armhf Maintainer: Franck Joncourt Installed-Size: 391 Depends: libfko0 (= 2.0.0rc2-2+deb7u2) Homepage: http://www.cipherdyne.com/fwknop-c/ Priority: extra Section: debug Filename: pool/main/f/fwknop/libfko0-dbg_2.0.0rc2-2+deb7u2_armhf.deb Size: 128536 SHA256: 9bf08c96bb582d2aeb927ee03ec5c658312ebb4e218517245ac2e9ab80dc03f2 SHA1: 5ad1a0d86e16acfc31d151eb55c2bda8da2a98d1 MD5sum: 50bcc72da5b90f0dcb03bf1615abcc85 Description: debugging symbol for the libfko library The original fwknop is implemented in Perl. The libfko library is an implementation of the fwknop back-end data processing routines written in C as part of the project to move all of fwknop to C. . See the libfko documentation for additional information on usage and the functionality provided by libfko. More information on SPA and fwknop can be found at http://www.cipherdyne.org/fwknop. . This package provides the debugging symbols for the library as well as for the fwknop server and client programs (C version only). Package: libfko0-dev Source: fwknop Version: 2.0.0rc2-2+deb7u2 Architecture: armhf Maintainer: Franck Joncourt Installed-Size: 151 Depends: libfko0 (= 2.0.0rc2-2+deb7u2), dpkg (>= 1.15.4) | install-info Conflicts: libfko-dev Provides: libfko-dev Homepage: http://www.cipherdyne.com/fwknop-c/ Priority: extra Section: libdevel Filename: pool/main/f/fwknop/libfko0-dev_2.0.0rc2-2+deb7u2_armhf.deb Size: 64284 SHA256: f85c61c13230d113268464295722d21e1f92032ba827f6f3ff4e8a4b4f205fb3 SHA1: 203672c40e770df5feef56028e4c00bec41f3cdb MD5sum: cb426b6f623060a90390e75ef2c95e7a Description: development library and header files for libfko The original fwknop is implemented in Perl. The libfko library is an implementation of the fwknop back-end data processing routines written in C as part of the project to move all of fwknop to C. . See the libfko documentation for additional information on usage and the functionality provided by libfko. More information on SPA and fwknop can be found at http://www.cipherdyne.org/fwknop. . This package contains the development library and its headers. Package: libflac++-dev Source: flac Version: 1.2.1-6+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 234 Depends: libflac++6 (= 1.2.1-6+deb7u1), libflac-dev Multi-Arch: same Homepage: http://flac.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/f/flac/libflac++-dev_1.2.1-6+deb7u1_armhf.deb Size: 49034 SHA256: b58a6f9bd5e076b74f6efc395f14b3c2a741380faeb1aa13d8eae9cf8165b5e2 SHA1: d00a5e9ba1344dbd6e0bd315407bc780af8342f7 MD5sum: cdd8f187329684e932992eafea05cbc1 Description: Free Lossless Audio Codec - C++ development library FLAC stands for Free Lossless Audio Codec. Grossly oversimplified, FLAC is similar to MP3, but lossless. The FLAC project consists of: . * The stream format * libFLAC, which implements a reference encoder, stream decoder, and file decoder * flac, which is a command-line wrapper around libFLAC to encode and decode .flac files * Input plugins for various music players (Winamp, XMMS, and more in the works) . This package contains the development library libFLAC++. Package: libflac++6 Source: flac Version: 1.2.1-6+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 119 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Multi-Arch: same Homepage: http://flac.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/f/flac/libflac++6_1.2.1-6+deb7u1_armhf.deb Size: 34912 SHA256: d9560678a670b397e3bda02690daae71a90ed9a1221024b6feeb89907a970672 SHA1: 837d2455a372a2a74c82cfb76fcc80d22429402f MD5sum: 8f4f0fb73b847a790d31a81c8a3132c5 Description: Free Lossless Audio Codec - C++ runtime library FLAC stands for Free Lossless Audio Codec. Grossly oversimplified, FLAC is similar to MP3, but lossless. The FLAC project consists of: . * The stream format * libFLAC, which implements a reference encoder, stream decoder, and file decoder * flac, which is a command-line wrapper around libFLAC to encode and decode .flac files * Input plugins for various music players (Winamp, XMMS, and more in the works) . This package contains the runtime library libFLAC++. Package: libflac-dev Source: flac Version: 1.2.1-6+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 718 Depends: libflac8 (= 1.2.1-6+deb7u1), libogg-dev Multi-Arch: same Homepage: http://flac.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/f/flac/libflac-dev_1.2.1-6+deb7u1_armhf.deb Size: 217310 SHA256: 059250d210e2281a58d487a151f16bc160125d4e15471d88c1b1c001e5349197 SHA1: c3ea98f633e57c4bb2884200612a3f2cea070b12 MD5sum: 74ea5f6eeaf346ef6771a74345b3a187 Description: Free Lossless Audio Codec - C development library FLAC stands for Free Lossless Audio Codec. Grossly oversimplified, FLAC is similar to MP3, but lossless. The FLAC project consists of: . * The stream format * libFLAC, which implements a reference encoder, stream decoder, and file decoder * flac, which is a command-line wrapper around libFLAC to encode and decode .flac files * Input plugins for various music players (Winamp, XMMS, and more in the works) . This package contains the development library libFLAC. Package: libflac-doc Source: flac Version: 1.2.1-6+deb7u1 Installed-Size: 4117 Maintainer: Debian Multimedia Maintainers Architecture: all Suggests: libflac-dev Size: 788252 SHA256: 16e009f450229752df2bfbcebdf11c65e0264bc44ba91bcf477b0a358bd3e2a4 SHA1: 1a003baebecd2392287891f333f06e5e437b16a5 MD5sum: 534486e58ba8d21d1770f840cfec9a07 Description: Free Lossless Audio Codec - library documentation FLAC stands for Free Lossless Audio Codec. Grossly oversimplified, FLAC is similar to MP3, but lossless. The FLAC project consists of: . * The stream format * libFLAC, which implements a reference encoder, stream decoder, and file decoder * flac, which is a command-line wrapper around libFLAC to encode and decode .flac files * Input plugins for various music players (Winamp, XMMS, and more in the works) . This package contains the API documentation for all the FLAC libraries. Homepage: http://flac.sourceforge.net/ Recommends: doc-base Section: doc Priority: optional Filename: pool/main/f/flac/libflac-doc_1.2.1-6+deb7u1_all.deb Package: libflac-ocaml Source: ocaml-flac Version: 0.1.1-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 60 Depends: libogg-ocaml-xu7z2, ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), libflac8 (>= 1.2.1), libogg0 (>= 1.0rc3) Provides: libflac-ocaml-1psz3 Homepage: http://savonet.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-flac/libflac-ocaml_0.1.1-1_armhf.deb Size: 14194 SHA256: 31edf5b312e109868b6b750e1fbca8098f09f09feac7d461222dde53b186e0b1 SHA1: 7a4c299d14cf830329e302f8c8df56bb2bd66686 MD5sum: e9e551afacbfc2a7b5c22115a08a4768 Description: OCaml interface to the flac library -- runtime files This package provides an interface to the flac library for OCaml programmers. . FLAC stands for Free Lossless Audio Codec. Grossly oversimplified, FLAC is similar to MP3, but lossless. . This package contains only the shared runtime stub libraries. Package: libflac-ocaml-dev Source: ocaml-flac Version: 0.1.1-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 279 Depends: libflac-ocaml-1psz3, libogg-ocaml-dev-xu7z2, ocaml-nox-3.12.1, libflac-dev, libogg-ocaml-dev, libflac-ocaml (= 0.1.1-1), ocaml-findlib Provides: libflac-ocaml-dev-1psz3 Homepage: http://savonet.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-flac/libflac-ocaml-dev_0.1.1-1_armhf.deb Size: 55318 SHA256: ac065d3ffcd02d39c400cd51b627ac9b7f01c78785b0023ffd5c9c2bc339d62e SHA1: ddf7755b48d5b476764b0a6b95eb87297ace0d6f MD5sum: 8b3c01564cc098df1e602f1b2a8a7189 Description: OCaml interface to the flac library -- developpement files This package provides an interface to the flac library for OCaml programmers. . FLAC stands for Free Lossless Audio Codec. Grossly oversimplified, FLAC is similar to MP3, but lossless. . This package contains all the development stuff you need to develop OCaml programs which use ocaml-flac. Package: libflac8 Source: flac Version: 1.2.1-6+deb7u1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 312 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libogg0 (>= 1.0rc3) Multi-Arch: same Homepage: http://flac.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/f/flac/libflac8_1.2.1-6+deb7u1_armhf.deb Size: 144310 SHA256: 4b0da9c4898970fa7cce6c24faf12a30d5ab34840a4207efe7d14e1f9a7e563c SHA1: b33a7a9cdba4484711b07b729f1759d5a6c8bb88 MD5sum: 1e67270697610c3b6db0e93ded953153 Description: Free Lossless Audio Codec - runtime C library FLAC stands for Free Lossless Audio Codec. Grossly oversimplified, FLAC is similar to MP3, but lossless. The FLAC project consists of: . * The stream format * libFLAC, which implements a reference encoder, stream decoder, and file decoder * flac, which is a command-line wrapper around libFLAC to encode and decode .flac files * Input plugins for various music players (Winamp, XMMS, and more in the works) . This package contains the runtime library libFLAC. Package: libflake-dev Source: flake Version: 0.11-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 88 Homepage: http://flake-enc.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/f/flake/libflake-dev_0.11-2_armhf.deb Size: 27854 SHA256: 074ca4963cccd67893db960612a4becbbb5df9675a16a0b1614bfbf6177af00a SHA1: 60b00f1bb05b56806683c10d116fd13c5c109d55 MD5sum: 17da3c084926730f5f609776c7743d8b Description: Alternative encoder for the Free Lossless Audio Codec -- Development files The purpose of Flake is to be an alternative to the FLAC reference encoder with the goal of increasing encoding speed and implementing experimental features. . Flake encodes WAV audio files into lossless FLAC files. . This package contains the static library and header files. Package: libflamingo-java Source: flamingo Version: 5.0-1 Installed-Size: 588 Maintainer: Debian Java Maintainers Architecture: all Depends: libbatik-java, libsvnkit-java, libtrident-java Size: 485486 SHA256: 1afd92a9d94b27ccc861dd3448e37ffcefea2a48dd0c90eb35998575ceb7f9d5 SHA1: 64260b0f39d851abcd195c429f790906b9976342 MD5sum: 0894107e23907d3f8adb62ef75277a8c Description: A Swing ribbon container for Java applications The goal of this project is to provide a Swing implementation of the ribbon container and related components. The components have consistent visuals under the existing core and third-party look-and-feels, respect the DPI settings of the user desktop and follow the core Swing guidelines in the external APIs and the internal implementation details. Homepage: http://java.net/projects/flamingo/ Section: java Priority: optional Filename: pool/main/f/flamingo/libflamingo-java_5.0-1_all.deb Package: libflamingo-java-doc Source: flamingo Version: 5.0-1 Installed-Size: 10752 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc Size: 745992 SHA256: 2d2b1c2b9a19ce3addfb9973f8a64643f841b0706f6c6b5211ca8717e6dd8164 SHA1: e0640e56f0bb4576b4e2f2048bba7395f411be8f MD5sum: 7b9d7523864e631456fcc1186fbb9397 Description: A Swing ribbon container for Java applications (documentation) The goal of this project is to provide a Swing implementation of the ribbon container and related components. The components have consistent visuals under the existing core and third-party look-and-feels, respect the DPI settings of the user desktop and follow the core Swing guidelines in the external APIs and the internal implementation details. . This package provides the documentation. Homepage: http://java.net/projects/flamingo/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/f/flamingo/libflamingo-java-doc_5.0-1_all.deb Package: libflann-dev Source: flann Version: 1.7.1-4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 8982 Depends: libflann1.7 (= 1.7.1-4) Homepage: http://www.cs.ubc.ca/~mariusm/index.php/FLANN/FLANN Priority: optional Section: libdevel Filename: pool/main/f/flann/libflann-dev_1.7.1-4_armhf.deb Size: 1079396 SHA256: 80e974c916c1b5231389a72e004fb9049823c80a678a801126ae0cf82e05ceff SHA1: 045a50f80828366b1c6e858b6d597afa2f3a4fe1 MD5sum: 787d6084b29b555a35048d7c89f414f7 Description: Fast Library for Approximate Nearest Neighbors - development FLANN is a library for performing fast approximate nearest neighbor searches in high dimensional spaces. It contains a collection of algorithms we found to work best for nearest neighbor search and a system for automatically choosing the best algorithm and optimum parameters depending on the dataset. . This package contains development files needed to build FLANN applications. Package: libflann1.7 Source: flann Version: 1.7.1-4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 3340 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Breaks: libflann1 (<< 1.7.1-2) Replaces: libflann1 (<< 1.7.1-2) Homepage: http://www.cs.ubc.ca/~mariusm/index.php/FLANN/FLANN Priority: optional Section: libs Filename: pool/main/f/flann/libflann1.7_1.7.1-4_armhf.deb Size: 703452 SHA256: 882cc11669316834d39b7cf996d8c8ed6149353909f6cfcee3db5d1d97fdf318 SHA1: 9515fe9e5d2087ebd51326a569911822797a885b MD5sum: fdb9588c41f9048ae61c7212f7479f5f Description: Fast Library for Approximate Nearest Neighbors - runtime FLANN is a library for performing fast approximate nearest neighbor searches in high dimensional spaces. It contains a collection of algorithms we found to work best for nearest neighbor search and a system for automatically choosing the best algorithm and optimum parameters depending on the dataset. . This package contains the libraries needed to run FLANN applications. Package: libflatzebra-0.1-2 Source: flatzebra Version: 0.1.5-4 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 80 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.3.0) Conflicts: libgengameng4c2a Replaces: libgengameng4c2a Homepage: http://sarrazip.com/dev/burgerspace.html Priority: extra Section: libs Filename: pool/main/f/flatzebra/libflatzebra-0.1-2_0.1.5-4_armhf.deb Size: 21738 SHA256: b77a4ef00bac85e2ad5025ef1e288dc4eee3cd4a9d5702c6696ebc597fdb86ef SHA1: f7aa8ebe5f0b0043c79aabe7578e64f9539aaabf MD5sum: dcda6067720ec30562a0b8e022d8236e Description: Generic Game Engine library flatzebra is a simple generic C++ game engine library supporting 2D double-buffering. . Install this package if you wish to compile programs which use libflatzebra. Package: libflatzebra-dev Source: flatzebra Version: 0.1.5-4 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 98 Depends: libflatzebra-0.1-2 (= 0.1.5-4) Conflicts: libgengameng-dev Homepage: http://sarrazip.com/dev/burgerspace.html Priority: extra Section: libdevel Filename: pool/main/f/flatzebra/libflatzebra-dev_0.1.5-4_armhf.deb Size: 17642 SHA256: 6bef501bd647685292b5e485c110210b714d1305df9e31559598f3acbcf94bfc SHA1: df9db4d35e95f3a7f7ae4ced5a8026a051df73f4 MD5sum: 93d743194d9f0e0be2523e1ca72ac434 Description: Generic Game Engine library development files flatzebra is a simple, generic C++ game engine library supporting 2D double-buffering. . You should install this package if you need to run packages which use flatzebra. Package: libflexdock-java Version: 1.1.1-3 Installed-Size: 457 Maintainer: Debian Java Maintainers Architecture: all Suggests: libflexdock-java-doc, libflexdock-java-demo Size: 392152 SHA256: 9fd48adc951f0ec13c85f1f35834da74832d9069a8b4e4effb9143d84583313f SHA1: c2602015067b147d5f830767b2afb606e99d3f28 MD5sum: 742209096c385df774210b5006ed1d21 Description: Swing Java docking framework FlexDock is a Java docking framework for use in cross-platform Swing applications. It offers features you'd expect in any desktop docking framework such as: * Tabbed and Split Layouts * Drag-n-Drop capability (with native drag rubber band painting on some platforms) * Floating windows * Collapsible Containers to Save Real Estate * Layout Persistence Homepage: http://java.net/projects/flexdock/ Section: java Priority: optional Filename: pool/main/libf/libflexdock-java/libflexdock-java_1.1.1-3_all.deb Package: libflexdock-java-demo Source: libflexdock-java Version: 1.1.1-3 Installed-Size: 1701 Maintainer: Debian Java Maintainers Architecture: all Depends: libflexdock-java, libskinlf-java, libjgoodies-looks-java Size: 1704482 SHA256: 92b44a59c08cd20dc5aa39cde1b48bd128693ec5f7353c588625395327a47e7b SHA1: 4fd9e0c119d9b9632a3e90b13ed79ad23a4a99a8 MD5sum: 233f282d27977b42fc6ff74926bc1e8a Description: Swing Java docking framework - demos and examples FlexDock is a Java docking framework for use in cross-platform Swing applications. It offers features you'd expect in any desktop docking framework such as: * Tabbed and Split Layouts * Drag-n-Drop capability (with native drag rubber band painting on some platforms) * Floating windows * Collapsible Containers to Save Real Estate * Layout Persistence . This package contains the examples and demonstration files. Homepage: http://java.net/projects/flexdock/ Tag: devel::doc, devel::examples, devel::lang:java, role::documentation Section: java Priority: optional Filename: pool/main/libf/libflexdock-java/libflexdock-java-demo_1.1.1-3_all.deb Package: libflexdock-java-doc Source: libflexdock-java Version: 1.1.1-3 Installed-Size: 9776 Maintainer: Debian Java Maintainers Architecture: all Size: 1063152 SHA256: adff453ca11bd5f6a839d1cdbe7bcf8ac3cf4685d27acff68ffa48cf1058efea SHA1: 8e3d48ce654f08294567c9953f118aa6643ac416 MD5sum: 0580a2642d744c181734480bd9bfb636 Description: Swing Java docking framework - demos and examples FlexDock is a Java docking framework for use in cross-platform Swing applications. It offers features you'd expect in any desktop docking framework such as: * Tabbed and Split Layouts * Drag-n-Drop capability (with native drag rubber band painting on some platforms) * Floating windows * Collapsible Containers to Save Real Estate * Layout Persistence . This package contains documentation files. Homepage: http://java.net/projects/flexdock/ Tag: devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/libf/libflexdock-java/libflexdock-java-doc_1.1.1-3_all.deb Package: libflexmock-ruby Source: ruby-flexmock Version: 0.9.0-1 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-flexmock Size: 4546 SHA256: 2a17dc8eda70f6b74de7ca2d0a90073d6439f21c16c7cfefed2014985567ebf5 SHA1: c7282f1df8a02fe1e639275e6d9a45d1aa48a423 MD5sum: 6c2ed30136af218fd6b2afefc4fcac92 Description: Transitional package for ruby-flexmock This is a transitional package to ease upgrades to the ruby-flexmock package. It can safely be removed. Homepage: https://github.com/jimweirich/flexmock Section: oldlibs Priority: extra Filename: pool/main/r/ruby-flexmock/libflexmock-ruby_0.9.0-1_all.deb Package: libflexmock-ruby1.8 Source: ruby-flexmock Version: 0.9.0-1 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-flexmock Size: 4550 SHA256: f4ff3e2f1495ea977b6b67cb74dd01835f36e6425ac148cd50613e80c095eaeb SHA1: bc3fa81200123702365688246de58f851a1d24a8 MD5sum: 59acdc1b961c1669e7164808b1acc5c8 Description: Transitional package for ruby-flexmock This is a transitional package to ease upgrades to the ruby-flexmock package. It can safely be removed. Homepage: https://github.com/jimweirich/flexmock Tag: role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-flexmock/libflexmock-ruby1.8_0.9.0-1_all.deb Package: libflexmock-ruby1.9.1 Source: ruby-flexmock Version: 0.9.0-1 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-flexmock Size: 4550 SHA256: c3986e93f17796e2ac086d0e45193e001b97c0d0478c794509e679c7c88c299b SHA1: d2a99d56fe8d0bc7f5354975951ed10e69a3718f MD5sum: 6b3a9033044db42141694261741a7a90 Description: Transitional package for ruby-flexmock This is a transitional package to ease upgrades to the ruby-flexmock package. It can safely be removed. Homepage: https://github.com/jimweirich/flexmock Tag: role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-flexmock/libflexmock-ruby1.9.1_0.9.0-1_all.deb Package: libflickcurl-dev Source: flickcurl Version: 1.22-1 Architecture: armhf Maintainer: Kumar Appaiah Installed-Size: 369 Depends: libflickcurl0 (= 1.22-1), libxml2-dev, libraptor1-dev Homepage: http://librdf.org/flickcurl/ Priority: optional Section: libdevel Filename: pool/main/f/flickcurl/libflickcurl-dev_1.22-1_armhf.deb Size: 117156 SHA256: f6e6797ab5d47cd04907c46c7d906798b84553cbbe668df673123194682be233 SHA1: d4db407d2ec547192547807c64d8b372a10a9e94 MD5sum: e0315d4c0aa01e445100c3ef83c5ff27 Description: C library for accessing the Flickr API - development files Flickcurl is a C library for the Flickr API, handling creating the requests, signing, token management, calling the API, marshalling request parameters and decoding responses. The library now supports 100% of the 2008-01-11 version of the API, including the functions for photo uploading, browsing, searching, adding and editing comments, groups, notes, photosets, categories, activity, blogs, favorites, places, tags and photo metadata. It also includes a program flickrdf to turn photo metadata, tags and machine tags into RDF descriptions of photos and tags. . This package contains the development libraries and headers for flickcurl. Package: libflickcurl0 Source: flickcurl Version: 1.22-1 Architecture: armhf Maintainer: Kumar Appaiah Installed-Size: 440 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libxml2 (>= 2.7.4) Homepage: http://librdf.org/flickcurl/ Priority: optional Section: libs Filename: pool/main/f/flickcurl/libflickcurl0_1.22-1_armhf.deb Size: 169422 SHA256: 724142d4510f8cec11a093dde2a6338a27e7db3a9f6c0be404061f5061250b71 SHA1: b0b98a02732e5b8a025bf3f9f0bf9944684745b0 MD5sum: ef49bd375986afe78437fe98e5bf52e7 Description: C library for accessing the Flickr API Flickcurl is a C library for the Flickr API, handling creating the requests, signing, token management, calling the API, marshalling request parameters and decoding responses. The library now supports 100% of the 2008-01-11 version of the API, including the functions for photo uploading, browsing, searching, adding and editing comments, groups, notes, photosets, categories, activity, blogs, favorites, places, tags and photo metadata. It also includes a program flickrdf to turn photo metadata, tags and machine tags into RDF descriptions of photos and tags. Package: libflickcurl0-dbg Source: flickcurl Version: 1.22-1 Architecture: armhf Maintainer: Kumar Appaiah Installed-Size: 1468 Depends: libflickcurl0 (= 1.22-1), flickcurl-utils (= 1.22-1) Homepage: http://librdf.org/flickcurl/ Priority: extra Section: debug Filename: pool/main/f/flickcurl/libflickcurl0-dbg_1.22-1_armhf.deb Size: 369532 SHA256: c12fcece39345e65734a43a69231c1114f767a873f0b375f0bdb36e58558588d SHA1: 190bad62e38c1d48b826933061e68c0b867d5c13 MD5sum: e6da290e580acdf77a2fcf1d384f36cf Description: C library for accessing the Flickr API - debugging symbols Flickcurl is a C library for the Flickr API, handling creating the requests, signing, token management, calling the API, marshalling request parameters and decoding responses. The library now supports 100% of the 2008-01-11 version of the API, including the functions for photo uploading, browsing, searching, adding and editing comments, groups, notes, photosets, categories, activity, blogs, favorites, places, tags and photo metadata. It also includes a program flickrdf to turn photo metadata, tags and machine tags into RDF descriptions of photos and tags. . This package contains the debugging symbols for debugging applications which use libflickurl0. Package: libflickr-api-perl Version: 1.01-3+deb7u2 Installed-Size: 62 Maintainer: Debian Perl Group Architecture: all Depends: perl, libxml-parser-lite-tree-perl (>= 0.08), libwww-perl, liburi-perl Recommends: libcompress-zlib-perl Size: 14086 SHA256: 27afd8118fe1dad8bf0f49341f495bcb04cbd051cbf276626f92afb09301ca11 SHA1: d77a95753c5245d117d8e5fc520d009c15da0397 MD5sum: 93e852b152d2793b0aa9650927de0b49 Description: Perl interface to the Flickr API A simple interface for using the Flickr API. . Flickr::API is a subclass of LWP::UserAgent, so all of the various proxy, request limits, caching, etc are available. Homepage: http://search.cpan.org/dist/Flickr-API/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::organizing, works-with::image Section: perl Priority: extra Filename: pool/main/libf/libflickr-api-perl/libflickr-api-perl_1.01-3+deb7u2_all.deb Package: libflickr-upload-perl Version: 1.32-2 Installed-Size: 112 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libxml-parser-lite-tree-perl (>= 0.03), libwww-perl (>= 1), libflickr-api-perl (>= 0.07) Size: 30824 SHA256: 98f86f5b0e5e71102e947c5b80c9237f62fe484d667411bbf1241dbcde266cb7 SHA1: c6301ce1c90095009c14ea1a23f6456628ba2efa MD5sum: 64ccfadf3913d3e2209d8a34691471bb Description: module for uploading images to flickr.com flickr_upload is a completely functional batch uploading tool which uses Perl module Flickr::Upload as its back-end. It is flickr.com image uploading made easy and quick from the command line; it also helps you tagging, choosing access control, defining content type, setting safety level, etc., while uploading your images. . flickr_upload may also be useful for generating authentication tokens against other API keys/secrets (i.e. for embedding in scripts). Homepage: http://search.cpan.org/dist/Flickr-Upload/ Tag: implemented-in::perl, interface::commandline, role::program, scope::utility Section: perl Priority: extra Filename: pool/main/libf/libflickr-upload-perl/libflickr-upload-perl_1.32-2_all.deb Package: libflickrnet-cil-dev Source: libflickrnet Version: 1:2.2.0-4 Installed-Size: 4 Maintainer: Debian CLI Libraries Team Architecture: all Replaces: libflickrnet2.2-cil (<< 1:2.2.0-2) Depends: libflickrnet2.2-cil (= 1:2.2.0-4) Size: 3736 SHA256: ef41628ad3fbabfd671f3d7489e067ed5ac728c8cbea80d29f9dcc3892b26137 SHA1: 292ab006f55e6f0f90e3922eb90300f06f6da897 MD5sum: cc77955cba0ea0c77bde520ea2b018ba Description: Flickr.Net API Library The Flickr.Net API is a .Net Library for accessing the Flickr API. Written entirely in C# it can be accessed from with any .Net language in .Net Framework 1.1, .Net Framework 2.0, .Net Compact Framework 2.0 and Mono. . This package contains development files for the Flickr.Net library, and should be used for compilation Homepage: http://www.codeplex.com/FlickrNet Tag: devel::ecma-cli, devel::library, role::devel-lib Section: cli-mono Priority: optional Filename: pool/main/libf/libflickrnet/libflickrnet-cil-dev_2.2.0-4_all.deb Package: libflickrnet2.2-cil Source: libflickrnet Version: 1:2.2.0-4 Installed-Size: 151 Maintainer: Debian CLI Libraries Team Architecture: all Depends: cli-common (>= 0.5.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-web4.0-cil (>= 2.10.3), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.1) Size: 55170 SHA256: d8f2cce60c7a704ca89a0ecadfc7339e03290645cd6f2da3684405fe3f84f3d2 SHA1: 1824ead1266e33a61b0cb0cbcea1b3f3cc386486 MD5sum: 77f0ebecb776feae8b8ab8c74716ab38 Description: Flickr.Net API Library The Flickr.Net API is a .Net Library for accessing the Flickr API. Written entirely in C# it can be accessed from with any .Net language in .Net Framework 1.1, .Net Framework 2.0, .Net Compact Framework 2.0 and Mono. . This package contains the Flickr.Net library Homepage: http://www.codeplex.com/FlickrNet Tag: devel::ecma-cli, devel::library, role::shared-lib Section: cli-mono Priority: optional Filename: pool/main/libf/libflickrnet/libflickrnet2.2-cil_2.2.0-4_all.deb Package: libflite1 Source: flite Version: 1.4-release-6 Architecture: armhf Maintainer: Debian Accessibility Team Installed-Size: 18822 Pre-Depends: multiarch-support Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28) Suggests: alsa-base Multi-Arch: same Homepage: http://www.speech.cs.cmu.edu/flite Priority: extra Section: libs Filename: pool/main/f/flite/libflite1_1.4-release-6_armhf.deb Size: 12813524 SHA256: c9fed21d760038fe15af130ffabf874969646ef4bcd7f1362425ea5b0b5390a0 SHA1: 7a5a204db43ef2969f1f6f4fcbfd082e48f7d730 MD5sum: 2c92df66e561939a75e7cf9eb6987ee6 Description: Small run-time speech synthesis engine - shared libraries Flite is a small fast run-time speech synthesis engine. It is the latest addition to the suite of free software synthesis tools including University of Edinburgh's Festival Speech Synthesis System and Carnegie Mellon University's FestVox project, tools, scripts and documentation for building synthetic voices. However, flite itself does not require either of these systems to run. . It currently only supports the English language. . This package contains the shared library objects of Festival Lite Package: libfloat-coq Source: coq-float Version: 1:8.3pl1-4 Installed-Size: 72222 Maintainer: Debian OCaml Maintainers Architecture: all Depends: coq-8.3pl4+3.12.1 Size: 16637158 SHA256: 12ae64a8ca81c8082a0f889610bd34ca84e7a8906af680afc006cbf605824f23 SHA1: 298ab5aa7402e9009ee46fd54c7e8df5013e7dbe MD5sum: 65b3e3517cbb1c310ec6bb4df8e92d5c Description: Coq library on floating-point arithmetic This package provides PFF (Preuves Formelles sur les Flottants = Formal Proofs about Floats), a library for reasoning about floating-point arithmetic in Coq. It contains both definitions and proofs of basic facts, old & new properties and algorithms. Homepage: http://lipforge.ens-lyon.fr/www/pff/ Tag: devel::library, implemented-in::TODO, role::devel-lib Section: math Priority: optional Filename: pool/main/c/coq-float/libfloat-coq_8.3pl1-4_all.deb Package: libflorist-dbg Source: libflorist Version: 2011-1 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 714 Depends: libflorist2011 (= 2011-1) Recommends: libflorist2011-dev (= 2011-1) Suggests: gnat, ada-compiler Priority: extra Section: debug Filename: pool/main/libf/libflorist/libflorist-dbg_2011-1_armhf.deb Size: 225544 SHA256: fa7d40fc1bcfd0a9f4bbbfb084c855409afe60656cbb393bf8e1eb83ded190a1 SHA1: 6c37de1e6ca072af839b7134753ad15ba5233468 MD5sum: 584d3590bde303c1fa49f9d00a1ba598 Description: POSIX.5 Ada interface to operating system services FLORIST is an implementation of the IEEE Standards 1003.5: 1992, IEEE STD 1003.5b: 1996, and parts of IEEE STD 1003.5c: 1998, also known as the POSIX Ada Bindings. Using this library, you can call operating system services from within Ada programs. . This package contains the debugging symbols for the run-time shared library. Package: libflorist2011 Source: libflorist Version: 2011-1 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 286 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnat-4.6 (>= 4.6.3-4+rpi2) Priority: optional Section: libs Filename: pool/main/libf/libflorist/libflorist2011_2011-1_armhf.deb Size: 101936 SHA256: 0429b8eaa346217b6d1e0a41c448ac57047db55692e1b80e53533165b64a6f6f SHA1: 161543ecc0b017078d79c93ee9d2b268fd672a4d MD5sum: 731b50b03233acb0a182fe94b706bc5f Description: POSIX.5 Ada interface to operating system services FLORIST is an implementation of the IEEE Standards 1003.5: 1992, IEEE STD 1003.5b: 1996, and parts of IEEE STD 1003.5c: 1998, also known as the POSIX Ada Bindings. Using this library, you can call operating system services from within Ada programs. . This package contains the run-time shared library. Package: libflorist2011-dev Source: libflorist Version: 2011-1 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 2883 Depends: libflorist2011 (= 2011-1), gnat, gnat-4.6, ada-compiler Priority: optional Section: libdevel Filename: pool/main/libf/libflorist/libflorist2011-dev_2011-1_armhf.deb Size: 717592 SHA256: 6712eacfc16296352d4ff4e536484899b27761857df6d8eecfb044ebbdd6e51a SHA1: c25d2296a92f92ec9d7171fe1dcafd1d08d6b3dc MD5sum: b2f94df3ef2fa8059c76ec1baf6fbf77 Description: POSIX.5 Ada interface to operating system services FLORIST is an implementation of the IEEE Standards 1003.5: 1992, IEEE STD 1003.5b: 1996, and parts of IEEE STD 1003.5c: 1998, also known as the POSIX Ada Bindings. Using this library, you can call operating system services from within Ada programs. . This package contains the development files and unstripped static library. Package: libflowcanvas-dev Source: flowcanvas Version: 0.7.1+dfsg0-0.2 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 77 Depends: libflowcanvas5 (= 0.7.1+dfsg0-0.2) Homepage: http://drobilla.net/software/flowcanvas/ Priority: extra Section: libdevel Filename: pool/main/f/flowcanvas/libflowcanvas-dev_0.7.1+dfsg0-0.2_armhf.deb Size: 12430 SHA256: 640a9da034d4296224ef5b211b5ec5917704707494fc4375ff81e354348cf5e3 SHA1: d0990178a02e2150529f7b015a15316e9be10df3 MD5sum: 9ce96b4cd9b0294eaf04acf31c2d029f Description: interactive widget for “boxes and lines” environments - header files FlowCanvas is an interactive Gtkmm/Gnomecanvasmm widget for “boxes and lines” environments (ie modular synths or interactive finite state automata diagrams). . This package provides the development files. Package: libflowcanvas5 Source: flowcanvas Version: 0.7.1+dfsg0-0.2 Architecture: armhf Maintainer: Paul Brossier Installed-Size: 494 Depends: libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libcdt4, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnomecanvas2-0 (>= 2.11.1), libgnomecanvasmm-2.6-1c2a (>= 2.23.1), libgraph4, libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libgvc5, libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6) Homepage: http://drobilla.net/software/flowcanvas/ Priority: extra Section: libs Filename: pool/main/f/flowcanvas/libflowcanvas5_0.7.1+dfsg0-0.2_armhf.deb Size: 135136 SHA256: acf36aa0a54df5eb20147631a939719f8b6862f0e8130756a9fce3684598210b SHA1: 781d30a897ae06f69feed12b394f9fa99f754b9a MD5sum: 8a3610162c1b59d7fee459c96cc8c39a Description: interactive widget for “boxes and lines” environments FlowCanvas is an interactive Gtkmm/Gnomecanvasmm widget for “boxes and lines” environments (ie modular synths or interactive finite state automata diagrams). . This package provides the runtime library. Package: libfltk-cairo1.3 Source: fltk1.3 Version: 1.3.0-8 Architecture: armhf Maintainer: Aaron M. Ucko Installed-Size: 114 Pre-Depends: multiarch-support Depends: libfltk1.3 (= 1.3.0-8), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Multi-Arch: same Homepage: http://www.fltk.org/ Priority: optional Section: libs Filename: pool/main/f/fltk1.3/libfltk-cairo1.3_1.3.0-8_armhf.deb Size: 76582 SHA256: 37c9c372fada2a0ca23c002eb9fb7d3e5bfd17f67eeece3a9d2e67c45bd7d6e9 SHA1: 3edb779bdabbcd457eeaae212df7854ef911e1d4 MD5sum: 1730c38b5c4d880bc962cc9e65fd3fc7 Description: Fast Light Toolkit - Cairo rendering layer support This package contains the files necessary for running programs dynamically linked with FLTK's Cairo rendering layer bindings. . The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface toolkit originally based on libForms. Package: libfltk-forms1.3 Source: fltk1.3 Version: 1.3.0-8 Architecture: armhf Maintainer: Aaron M. Ucko Installed-Size: 132 Pre-Depends: multiarch-support Depends: libfltk1.3 (= 1.3.0-8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Multi-Arch: same Homepage: http://www.fltk.org/ Priority: optional Section: libs Filename: pool/main/f/fltk1.3/libfltk-forms1.3_1.3.0-8_armhf.deb Size: 83868 SHA256: 2707dd2bc77e00e9ba3bb6e36a22dc8c56ea09f934a659dfed1d19f9b7bf185a SHA1: 03046b3a692031c645c335b30357a8c664b2a126 MD5sum: 0dbc20411aedc0759c7c60dae0ee70ff Description: Fast Light Toolkit - Forms compatibility layer support This package contains the files necessary for running programs dynamically linked with FLTK's Forms compatibility layer. . The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface toolkit originally based on libForms. Package: libfltk-gl1.3 Source: fltk1.3 Version: 1.3.0-8 Architecture: armhf Maintainer: Aaron M. Ucko Installed-Size: 197 Pre-Depends: multiarch-support Depends: libfltk1.3 (= 1.3.0-8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libstdc++6 (>= 4.4.0), libx11-6 Multi-Arch: same Homepage: http://www.fltk.org/ Priority: optional Section: libs Filename: pool/main/f/fltk1.3/libfltk-gl1.3_1.3.0-8_armhf.deb Size: 110968 SHA256: bc21a150f7f37c55f02dc7f5838d5d649d529bc755bf85b89ff7e5388010df49 SHA1: 22e500f3228845f90a4149289e5b58be9936cf22 MD5sum: fd1bd0ec8ba010aadf6834b7d0eab44b Description: Fast Light Toolkit - OpenGL rendering support This package contains the files necessary for running programs dynamically linked with FLTK's OpenGL rendering bindings. . The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface toolkit originally based on libForms. Package: libfltk-images1.3 Source: fltk1.3 Version: 1.3.0-8 Architecture: armhf Maintainer: Aaron M. Ucko Installed-Size: 161 Pre-Depends: multiarch-support Depends: libfltk1.3 (= 1.3.0-8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.3.0) Multi-Arch: same Homepage: http://www.fltk.org/ Priority: optional Section: libs Filename: pool/main/f/fltk1.3/libfltk-images1.3_1.3.0-8_armhf.deb Size: 97536 SHA256: 6060e95db43011f4b44601dc34d9dfb000341db79ba712a6a1f8dbc3790e96c3 SHA1: cc85b87ecfd901ce1674841fb31ce2f6911a000b MD5sum: 074abb482d8b272409de8e96a8f361cd Description: Fast Light Toolkit - image loading support This package contains the files necessary for running programs dynamically linked with FLTK's bindings to image-loading libraries. . The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface toolkit originally based on libForms. Package: libfltk1.1 Source: fltk1.1 Version: 1.1.10-14 Architecture: armhf Maintainer: Aaron M. Ucko Installed-Size: 872 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0), libx11-6, libxft2 (>> 2.1.1), libxinerama1 Breaks: flwm (<= 1.02-2) Multi-Arch: same Homepage: http://www.fltk.org/ Priority: optional Section: libs Filename: pool/main/f/fltk1.1/libfltk1.1_1.1.10-14_armhf.deb Size: 414766 SHA256: 0ad737f4ff718c79585c09b690548e2115f8b572cecd84d187e9187f8e3d80b5 SHA1: 06142245b0f7fd98623d9c20421761ba6897074b MD5sum: 05ab6454a931a6747442869c500cf876 Description: Fast Light Toolkit - shared libraries This package contains the files necessary for running programs dynamically linked with FLTK. . The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface toolkit originally based on libForms. Package: libfltk1.1-dbg Source: fltk1.1 Version: 1.1.10-14 Architecture: armhf Maintainer: Aaron M. Ucko Installed-Size: 994 Depends: libfltk1.1 (= 1.1.10-14) Recommends: gdb Multi-Arch: same Homepage: http://www.fltk.org/ Priority: extra Section: debug Filename: pool/main/f/fltk1.1/libfltk1.1-dbg_1.1.10-14_armhf.deb Size: 807918 SHA256: 29f3bed9eb6a59e646d7da27c67065836e65947d6446770c809a60a5e421342e SHA1: 8ed15eca0b71ebcfb79bf0c8767760d544a5f618 MD5sum: cf91359aa2a48be930cb719aa4a716e2 Description: Fast Light Toolkit - shared libraries with debugging symbols This package is useful to provide a backtrace with symbol names in a debugger; this facilitates interpretation of core dumps, and aids in finding logic errors in programs using the libraries (or in the libraries themselves). . Non-programmers will likely have little use for this. . The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface toolkit originally based on libForms. Package: libfltk1.1-dev Source: fltk1.1 Version: 1.1.10-14 Architecture: armhf Maintainer: Aaron M. Ucko Installed-Size: 1743 Depends: libfltk1.1 (= 1.1.10-14), libx11-dev, debconf (>= 0.5) | debconf-2.0 Recommends: libgl1-mesa-dev | libgl-dev Suggests: fltk1.1-doc, fluid, libglu1-mesa-dev | libglu-dev, libjpeg-dev, libpng-dev, libxext-dev, libxft-dev, libxinerama-dev, zlib1g-dev | libz-dev Conflicts: libfltk-dev Replaces: libfltk-dev Provides: libfltk-dev Homepage: http://www.fltk.org/ Priority: optional Section: libdevel Filename: pool/main/f/fltk1.1/libfltk1.1-dev_1.1.10-14_armhf.deb Size: 592516 SHA256: a89b3927181866df89587415b122fe4197722f1c2475456cc0a34cd903c98035 SHA1: 51df27f6459a514fc1c8cbcdf645f9d10022f0b2 MD5sum: 52302c45bc4d5e6d21541dafe5d51c2f Description: Fast Light Toolkit - development files This package provides the files necessary for compiling programs that depend on FLTK. . The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface toolkit originally based on libForms. Package: libfltk1.3 Source: fltk1.3 Version: 1.3.0-8 Architecture: armhf Maintainer: Aaron M. Ucko Installed-Size: 1271 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxft2 (>> 2.1.1), libxinerama1 Multi-Arch: same Homepage: http://www.fltk.org/ Priority: optional Section: libs Filename: pool/main/f/fltk1.3/libfltk1.3_1.3.0-8_armhf.deb Size: 725114 SHA256: 453e74241247dd579490f4491c79c74470338becfd7ae2061362f6a16c8fdbbc SHA1: 073585210573231ceff89e2e87495cecfffdc157 MD5sum: d3e1c049f5c05f6aaa0386c23ea8eb6f Description: Fast Light Toolkit - main shared library This package contains the files necessary for running programs dynamically linked with FLTK. . The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface toolkit originally based on libForms. Package: libfltk1.3-compat-headers Source: fltk1.3 Version: 1.3.0-8 Installed-Size: 109 Maintainer: Aaron M. Ucko Architecture: all Depends: libfltk1.3-dev Size: 76532 SHA256: 9390400ff7042f97753e337fd84bd0209225b22f4bba2f9ef7f8363a534cec85 SHA1: fa87952dbf7850f2193a66a9cc9f5cc93ef80f1f MD5sum: f0918fa866de8fae3fc9733cc192f2fc Description: Fast Light Toolkit - compatibility header symlinks This package provides header symlinks with .h rather than .H extensions for compatibility with some older code that relies on the lowercase-h names. Multi-Arch: foreign Homepage: http://www.fltk.org/ Tag: devel::library, role::devel-lib Section: libdevel Priority: optional Filename: pool/main/f/fltk1.3/libfltk1.3-compat-headers_1.3.0-8_all.deb Package: libfltk1.3-dbg Source: fltk1.3 Version: 1.3.0-8 Architecture: armhf Maintainer: Aaron M. Ucko Installed-Size: 2154 Depends: libfltk1.3 (= 1.3.0-8) Recommends: gdb Homepage: http://www.fltk.org/ Priority: extra Section: debug Filename: pool/main/f/fltk1.3/libfltk1.3-dbg_1.3.0-8_armhf.deb Size: 1779286 SHA256: 0862d8e4f01bb6a6549791aac838b7ca4d520fd31ed29a9d87001ee073cb5377 SHA1: 7985d7285372bbc7937644ff758b4faea956c6c8 MD5sum: fdb0c3db5cadbd42c9834fd5baab7993 Description: Fast Light Toolkit - shared libraries with debugging symbols This package is useful to provide a backtrace with symbol names in a debugger; this facilitates interpretation of core dumps, and aids in finding logic errors in programs using the libraries (or in the libraries themselves). . Non-programmers will likely have little use for this. . The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface toolkit originally based on libForms. Package: libfltk1.3-dev Source: fltk1.3 Version: 1.3.0-8 Architecture: armhf Maintainer: Aaron M. Ucko Installed-Size: 3036 Depends: libfltk-cairo1.3 (= 1.3.0-8), libfltk-forms1.3 (= 1.3.0-8), libfltk-gl1.3 (= 1.3.0-8), libfltk-images1.3 (= 1.3.0-8), libfltk1.3 (= 1.3.0-8), libx11-dev Recommends: fluid, libgl1-mesa-dev | libgl-dev, libglu1-mesa-dev | libglu-dev Suggests: fltk1.3-doc, libcairo2-dev, libjpeg-dev, libpng-dev, libxext-dev, libxft-dev, libxinerama-dev, zlib1g-dev | libz-dev Conflicts: libfltk-dev Replaces: libfltk-dev Provides: libfltk-dev Homepage: http://www.fltk.org/ Priority: optional Section: libdevel Filename: pool/main/f/fltk1.3/libfltk1.3-dev_1.3.0-8_armhf.deb Size: 1165052 SHA256: 20b355feab705dea0c83a5c38e3ba55cac4d7b65562762e518e47092234f7514 SHA1: c9db4f4ca6ffe48c46457cad3feb18066cb58661 MD5sum: 84e5136b284c5f062ca2e140d959f02e Description: Fast Light Toolkit - development files This package provides the files necessary for compiling programs that depend on FLTK. . The Fast Light Toolkit (FLTK) is a cross-platform graphical user interface toolkit originally based on libForms. Package: libfluidsynth-dev Source: fluidsynth Version: 1.1.5-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 164 Depends: libasound2-dev, libfluidsynth1 (= 1.1.5-2), libglib2.0-dev (>= 2.6.5), libjack-dev | libjack-jackd2-dev, libncurses5-dev | libncurses-dev, libpulse-dev, libreadline-dev (>= 5), libsndfile-dev Multi-Arch: same Homepage: http://www.fluidsynth.org/ Priority: optional Section: libdevel Filename: pool/main/f/fluidsynth/libfluidsynth-dev_1.1.5-2_armhf.deb Size: 49538 SHA256: 648a379d70b8d6a7f71077ce28297b0fba3cb46ab0f9f9e3680de37e8904f212 SHA1: 798227e8ead291aa92e8ed2bd8aa7c720c048135 MD5sum: 515ce59f774720782ae8102889343ff6 Description: Real-time MIDI software synthesizer (development files) Fluidsynth is a real-time midi synthesizer based on the soundfont2 specifications. It can be used to render MIDI input or MIDI files to audio. The MIDI events are read from a MIDI device. The sound is rendered in real-time to the sound output device. . This package contains the development files. Package: libfluidsynth1 Source: fluidsynth Version: 1.1.5-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 357 Pre-Depends: multiarch-support Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libglib2.0-0 (>= 2.31.8), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpulse0 (>= 0.99.1), libreadline6 (>= 6.0), libsndfile1 (>= 1.0.20) Multi-Arch: same Homepage: http://www.fluidsynth.org/ Priority: optional Section: libs Filename: pool/main/f/fluidsynth/libfluidsynth1_1.1.5-2_armhf.deb Size: 166752 SHA256: 9c8f0bcf4d23753c7e6b12eee8282265c8cd58a542f84c12cc5a508153cec5c5 SHA1: 87a1965f1aa451968698633d0f5234a4c743b10f MD5sum: 7c85fcd7e1f131347235a1df194d4bfa Description: Real-time MIDI software synthesizer (runtime library) Fluidsynth is a real-time midi synthesizer based on the soundfont2 specifications. It can be used to render MIDI input or MIDI files to audio. The MIDI events are read from a MIDI device. The sound is rendered in real-time to the sound output device. . This package contains the runtime library. Package: libflute-1.3-jfree-java Source: flute-1.3-jfree Version: 20061107-8 Installed-Size: 136 Maintainer: Debian Java Maintainers Architecture: all Suggests: libflute-1.3-jfree-java-doc Size: 94344 SHA256: dc1dc52d2822b2436f007c7222a7581322e49272c971dd0abc8994b8529f476f SHA1: 3d07c2e17b892681d374552b561e5da81f50dc27 MD5sum: 992825ba79eac32623dc1e07987e2ad8 Description: Java CSS parser using SAC (JFree version) Flute is a CSS2 parser written in Java that implements SAC. SAC is a standard event-based API for CSS parsers, closely modelled on the SAX API for XML parsers. . This package contains the library. Tag: role::shared-lib Section: java Priority: optional Filename: pool/main/f/flute-1.3-jfree/libflute-1.3-jfree-java_20061107-8_all.deb Package: libflute-1.3-jfree-java-doc Source: flute-1.3-jfree Version: 20061107-8 Installed-Size: 2096 Maintainer: Debian Java Maintainers Architecture: all Size: 177108 SHA256: 49d67038261c6c836e19b1c860e21eb3903e685abed05e3b568d0959b97c42f9 SHA1: ba8fe3e0ae9222e88677c4ebecf79883fd66c331 MD5sum: d9881617e75a69901d05a57f01137638 Description: Java CSS parser using SAC (JFree version) -- documentation Flute is a CSS2 parser written in Java that implements SAC. SAC is a standard event-based API for CSS parsers, closely modelled on the SAX API for XML parsers. . This package contains the javadoc documentation. Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/f/flute-1.3-jfree/libflute-1.3-jfree-java-doc_20061107-8_all.deb Package: libflute-java Source: flute Version: 1:1.1.6-3 Installed-Size: 126 Maintainer: Debian Java Maintainers Architecture: all Suggests: libflute-java-doc Size: 94460 SHA256: 36c5fc788de1694a0c5542ee80df3fec5cc6a3da7fc420a23baf8ea6b8a9045a SHA1: f56d057c22506b3a11063b5f94ef27e59fc4a558 MD5sum: a312228707ee8c12306305564310c800 Description: Java CSS parser using SAC (JFree version) Flute is a CSS2 parser written in Java that implements SAC. SAC is a standard event-based API for CSS parsers, closely modelled on the SAX API for XML parsers. . This package contains the library. Tag: role::shared-lib Section: java Priority: optional Filename: pool/main/f/flute/libflute-java_1.1.6-3_all.deb Package: libflute-java-doc Source: flute Version: 1:1.1.6-3 Installed-Size: 1346 Maintainer: Debian Java Maintainers Architecture: all Size: 81944 SHA256: a5a7ff9b0cb0acb754e3c35ee9f882ae17a177959a09d5863fb97de1e6b1c147 SHA1: 9ebecec2bffe898c22ec9c61d1eac70fc4dfb5f3 MD5sum: 5ae5ef0e47777962813b92e1879dd4cf Description: Java CSS parser using SAC (JFree version) -- documentation Flute is a CSS2 parser written in Java that implements SAC. SAC is a standard event-based API for CSS parsers, closely modelled on the SAX API for XML parsers. . This package contains the javadoc documentation. Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/f/flute/libflute-java-doc_1.1.6-3_all.deb Package: libfm-data Source: libfm Version: 0.1.17-2.1 Installed-Size: 1075 Maintainer: Debian LXDE Maintainers Architecture: all Replaces: libfm0 Size: 99820 SHA256: dc4327a6f4b51d2429afa5dc11d716030494a85497709a8d096bd2e4a1a188db SHA1: 01e1ce1f0605ac9b5475ade30994f8ca6e7467fd MD5sum: 0019aaceb8c17efd91f5b6f2ab793668 Description: file management support (common data) LibFM provides file management functions built on top of Glib/GIO, giving a convenient higher-level API. . This package contains the common data of the core library. Homepage: http://pcmanfm.sourceforge.net/ Section: misc Priority: extra Filename: pool/main/libf/libfm/libfm-data_0.1.17-2.1_all.deb Package: libfm-dev Source: libfm Version: 0.1.17-2.1 Architecture: armhf Maintainer: Debian LXDE Maintainers Installed-Size: 977 Depends: libfm1 (= 0.1.17-2.1), libfm-gtk1 (= 0.1.17-2.1) Homepage: http://pcmanfm.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libf/libfm/libfm-dev_0.1.17-2.1_armhf.deb Size: 75198 SHA256: b6b93a863e2ccda6208bf32ae6a45f234e4a96d4f6d5d8a6b041ea2000fddd57 SHA1: 096e9d1d0a0a4558443fd12271e70aa062892b52 MD5sum: aca551824e068bb527c1d5de9d867cc0 Description: file management support (development) LibFM provides file management functions built on top of Glib/GIO, giving a convenient higher-level API. . This package contains the development files. Package: libfm-gtk-bin Source: libfm Version: 0.1.17-2.1 Architecture: armhf Maintainer: Debian LXDE Maintainers Installed-Size: 124 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfm-gtk1 (>= 0.1.12), libfm1 (>= 0.1.12), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.12.0), libmenu-cache1, libpango1.0-0 (>= 1.14.0) Replaces: libfm-gtk0 Homepage: http://pcmanfm.sourceforge.net/ Priority: extra Section: misc Filename: pool/main/libf/libfm/libfm-gtk-bin_0.1.17-2.1_armhf.deb Size: 14958 SHA256: 39f678229a7a0f0c31d3d2f4d4ec998a4648535cbb553b40a0975d204b8c30ae SHA1: c6784de1865af2e3fd6e9ddf10b0576f0040e1fa MD5sum: 66618047cbf934fd0d426ab6be1688c4 Description: file management support (utilities) LibFM provides file management functions built on top of Glib/GIO giving a convenient higher-level API. . This package contains the utilities which are used by the libraries and other packages. Package: libfm-gtk1 Source: libfm Version: 0.1.17-2.1 Architecture: armhf Maintainer: Debian LXDE Maintainers Installed-Size: 258 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfm1 (= 0.1.17-2.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.16.0), libmenu-cache1, libpango1.0-0 (>= 1.18.0) Homepage: http://pcmanfm.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libf/libfm/libfm-gtk1_0.1.17-2.1_armhf.deb Size: 79300 SHA256: 581211df3e7b2d516e17cb92c48ecce0d753f78c3105b893e4b491ec43ffab0b SHA1: 4c6de94bb37951529dd62c493b3377219d356938 MD5sum: 086c416ab9b42297f7b49e688bf1e887 Description: file management support (GTK+ GUI library) LibFM provides file management functions built on top of Glib/GIO giving a convenient higher-level API. . This package contains the GTK+ GUI. Package: libfm1 Source: libfm Version: 0.1.17-2.1 Architecture: armhf Maintainer: Debian LXDE Maintainers Installed-Size: 178 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.31.8), libmenu-cache1, libfm-data, lxmenu-data Homepage: http://pcmanfm.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libf/libfm/libfm1_0.1.17-2.1_armhf.deb Size: 51880 SHA256: 3a430af4528313a5e1694b8bac8c5ef837554d87f773931c0044c71afba3f874 SHA1: 7d8feade4b9f052c06f2f3c2e6b3bc3d92265825 MD5sum: 27ba8423e3746b7e0676cbbad005e204 Description: file management support (core library) LibFM provides file management functions built on top of Glib/GIO, giving a convenient higher-level API. . Some features: * Desktop-independent, following FreeDesktop standards; * Fast, light on memory usage, and responsive - well suited to less powerful hardware such as netbooks and thin clients; * Uses GIO/GVFS (like Nautilus) for Trash support and access to remote file systems (FTP, SFTP, WebDAV, Windows shares, etc.); * Clipboard operations are compatible with GTK+/GNOME and Qt/KDE; * Supports both Drag-and-Drop, and the X Direct Save protocol; * Reusable design with the core functions separated out to simplify porting to other GUIs. . This package contains the core library. Package: libfm1-dbg Source: libfm Version: 0.1.17-2.1 Architecture: armhf Maintainer: Debian LXDE Maintainers Installed-Size: 626 Depends: libfm1 (= 0.1.17-2.1), libfm-gtk1 (= 0.1.17-2.1) Homepage: http://pcmanfm.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/libf/libfm/libfm1-dbg_0.1.17-2.1_armhf.deb Size: 484432 SHA256: b55217c476550be9591a0d1e64c1e6d4fc49aa7ebcbff8e80526a96e76cc89fb SHA1: 55fc2aca1507eb9c157fd4d9989ea513a195fdba MD5sum: eaf4548c0208149206b3a292cd51bd8a Description: file management support (debug) LibFM provides file management functions built on top of Glib/GIO, giving a convenient higher-level API. . This package contains the debugging symbols. Package: libfolia1 Source: libfolia Version: 0.9-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 389 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4) Homepage: http://ilk.uvt.nl/ Priority: extra Section: libs Filename: pool/main/libf/libfolia/libfolia1_0.9-2_armhf.deb Size: 148414 SHA256: 7eff0c41d07bdd63eac4d7f1db8bd891fb4f67a86c6b19f22ed689b88917ba5b SHA1: 1f19ade36343ef215eb180fefc61f9d65d5996a1 MD5sum: d9a3659e1bc66a594b52cec8140b64a6 Description: implementation of the FoLiA document format FoLiA is an XML-based format for Linguistic Annotation suitable for representing written language resources such as corpora. Its goal is to unify a variety of linguistic annotations in one single rich format, without committing to any particular standard annotation set. Instead, it seeks to accommodate any desired system or tagset, and so offer maximum flexibility. This makes FoLiA language independent. see http://ilk.uvt.nl/folia/ for more information. . libfolia is a product of the ILK Research Group, Tilburg University (The Netherlands). . This package provides the runtime files required to run programs that use libfolia. Package: libfolia1-dev Source: libfolia Version: 0.9-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 857 Depends: libfolia1 (= 0.9-2) Homepage: http://ilk.uvt.nl/ Priority: extra Section: libdevel Filename: pool/main/libf/libfolia/libfolia1-dev_0.9-2_armhf.deb Size: 215748 SHA256: 6c90a95625aa5db2c8d469c824d93fdfbb03dccf3402a3741f5153510c3e8f4d SHA1: 88a2a977d0c0b666c06118865bb89d63915b3a67 MD5sum: 2b34d27efcae234b42f30f2bc6fa814f Description: implementation of the FoLiA document format FoLiA is an XML-based format for Linguistic Annotation suitable for representing written language resources such as corpora. Its goal is to unify a variety of linguistic annotations in one single rich format, without committing to any particular standard annotation set. Instead, it seeks to accommodate any desired system or tagset, and so offer maximum flexibility. This makes FoLiA language independent. see http://ilk.uvt.nl/folia/ for more information. . libfolia is a product of the ILK Research Group, Tilburg University (The Netherlands). . This package provides the FoLiA header files required to compile C++ programs that use libfolia. Package: libfolks-dbg Source: folks (0.6.9-1) Version: 0.6.9-1+b1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 1286 Depends: libfolks25 (= 0.6.9-1+b1) Homepage: http://telepathy.freedesktop.org/wiki/Folks Priority: extra Section: debug Filename: pool/main/f/folks/libfolks-dbg_0.6.9-1+b1_armhf.deb Size: 559268 SHA256: 08bcd2c24413575435288828894084fc0054292f1d13d4a3d895d4ac00339e34 SHA1: c63235d3bd276f58c16561a2999d72b64b05f201 MD5sum: aeeb0dc2b85b967e7f8a4796ee52737a Description: library to aggregates people into metacontact - debugging symbols libfolks is a library that aggregates people from multiple sources (eg, Telepathy connection managers and eventually evolution data server, Facebook, etc.) to create metacontacts. . This package contains the debugging symbols for libfolks library. Package: libfolks-dev Source: folks (0.6.9-1) Version: 0.6.9-1+b1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 533 Depends: libfolks25 (= 0.6.9-1+b1), gir1.2-folks-0.6 (= 0.6.9-1+b1), libglib2.0-dev (>= 2.24), libgee-dev (>= 0.6.1-3~) Homepage: http://telepathy.freedesktop.org/wiki/Folks Priority: optional Section: libdevel Filename: pool/main/f/folks/libfolks-dev_0.6.9-1+b1_armhf.deb Size: 205936 SHA256: 621441aa226c4e16b13cd458060ad1086ae80caaa313da1f0a97952f93e90d98 SHA1: cd0ab57a98121eea086f037f6b48b6670eb2917e MD5sum: 45fec82ffaf09c2cb6a936cba820a9d9 Description: library to aggregates people into metacontact - development files libfolks is a library that aggregates people from multiple sources (eg, Telepathy connection managers and eventually evolution data server, Facebook, etc.) to create metacontacts. . This package contains the development files for libfolks library. Package: libfolks-eds-dbg Source: folks (0.6.9-1) Version: 0.6.9-1+b1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 662 Depends: libfolks-eds25 (= 0.6.9-1+b1) Homepage: http://telepathy.freedesktop.org/wiki/Folks Priority: extra Section: debug Filename: pool/main/f/folks/libfolks-eds-dbg_0.6.9-1+b1_armhf.deb Size: 339884 SHA256: fe5d2b588b14719ef2ad02a2c68cdddb436ccb47fbbd690e618a8250edb35290 SHA1: cf46f9aa957117a9184b4ebc9f13026b14381a50 MD5sum: 8bd0017495c2fefbc9a4e24e58900963 Description: Evolution-data-server backend for libfolks - debugging symbols libfolks is a library that aggregates people from multiple sources (eg, Telepathy connection managers and eventually evolution data server, Facebook, etc.) to create metacontacts. . This package contains the debugging symbols for libfolks EDS backend. Package: libfolks-eds-dev Source: folks (0.6.9-1) Version: 0.6.9-1+b1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 228 Depends: libfolks-eds25 (= 0.6.9-1+b1), libfolks-dev (= 0.6.9-1+b1), libglib2.0-dev (>= 2.24), libebook1.2-dev, libedataserver1.2-dev Homepage: http://telepathy.freedesktop.org/wiki/Folks Priority: optional Section: libdevel Filename: pool/main/f/folks/libfolks-eds-dev_0.6.9-1+b1_armhf.deb Size: 181080 SHA256: a37c552dad71a6ca4f4b73d67e0b4e47184f3aa90a1cf199834c7d820602ec66 SHA1: 504cb85623ae6b0cd564ec446b51b61c790f087f MD5sum: 7f437ab26456a3c1d1dc099a0d16d5b4 Description: Evolution-data-server backend for libfolks - development files libfolks is a library that aggregates people from multiple sources (eg, Telepathy connection managers and eventually evolution data server, Facebook, etc.) to create metacontacts. . This package contains the development files for libfolks EDS backend. Package: libfolks-eds25 Source: folks (0.6.9-1) Version: 0.6.9-1+b1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 386 Depends: libc6 (>= 2.13-28), libebook-1.2-13 (>= 3.4.3), libedataserver-1.2-16 (>= 3.4.3), libfolks25 (>= 0.6.8), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), evolution-data-server (>= 3.2.0) Recommends: folks-common (= 0.6.9-1) Homepage: http://telepathy.freedesktop.org/wiki/Folks Priority: optional Section: libs Filename: pool/main/f/folks/libfolks-eds25_0.6.9-1+b1_armhf.deb Size: 244100 SHA256: f3ed2c007377d23878c7b0af0088808ab81e9e0071c4093e5352d5515d7889d6 SHA1: a67e18ef28e22f51860ce6f0f40b44d52454344c MD5sum: cd67afe271526a2234069cee06b88177 Description: Evolution-data-server backend for libfolks libfolks is a library that aggregates people from multiple sources (eg, Telepathy connection managers and eventually evolution data server, Facebook, etc.) to create metacontacts. . This package contains the EDS backend for libfolks Package: libfolks-telepathy-dbg Source: folks (0.6.9-1) Version: 0.6.9-1+b1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 738 Depends: libfolks-telepathy25 (= 0.6.9-1+b1) Homepage: http://telepathy.freedesktop.org/wiki/Folks Priority: extra Section: debug Filename: pool/main/f/folks/libfolks-telepathy-dbg_0.6.9-1+b1_armhf.deb Size: 369962 SHA256: 240a9654ed806fe6a0f9a18cdf6285fde82a78da1a8fdb112c8beb28fdf016bb SHA1: e1507280858a16a6233f8c1d3d61c6d6a7fcb5ea MD5sum: dafee6bd1ee79c765a9fa4b983cb5d31 Description: Telepathy backend for libfolks - debugging symbols libfolks is a library that aggregates people from multiple sources (eg, Telepathy connection managers and eventually evolution data server, Facebook, etc.) to create metacontacts. . This package contains the debugging symbols for libfolks telepathy backend. Package: libfolks-telepathy-dev Source: folks (0.6.9-1) Version: 0.6.9-1+b1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 228 Depends: libfolks-telepathy25 (= 0.6.9-1+b1), libfolks-dev (= 0.6.9-1+b1), libglib2.0-dev (>= 2.24), libtelepathy-glib-dev (>= 0.13.1) Homepage: http://telepathy.freedesktop.org/wiki/Folks Priority: optional Section: libdevel Filename: pool/main/f/folks/libfolks-telepathy-dev_0.6.9-1+b1_armhf.deb Size: 181004 SHA256: bb70c52b3f11faf59ade501754c6202011295345e7278d2f49a82a54694ca217 SHA1: df16f302ea70d57dcd8eac493bfb543228b815ed MD5sum: 4a35cff38a2d2f7c7999cd77dc9ac85e Description: Telepathy backend for libfolks - development files libfolks is a library that aggregates people from multiple sources (eg, Telepathy connection managers and eventually evolution data server, Facebook, etc.) to create metacontacts. . This package contains the development files for libfolks telepathy backend. Package: libfolks-telepathy25 Source: folks (0.6.9-1) Version: 0.6.9-1+b1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 398 Depends: libc6 (>= 2.13-28), libfolks25 (>= 0.6.2), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libtelepathy-glib0 (>= 0.18) Recommends: folks-common (= 0.6.9-1) Homepage: http://telepathy.freedesktop.org/wiki/Folks Priority: optional Section: libs Filename: pool/main/f/folks/libfolks-telepathy25_0.6.9-1+b1_armhf.deb Size: 251678 SHA256: ac88910313bc3e735a5be627ed77695486e45a2b08b7d3068dc044c2bcb0df9d SHA1: 611f2ec3f9fd928cb1b7ec3c09bcbd5911801dbe MD5sum: 2632eb95d5705d1617a3a75e2cf9be77 Description: Telepathy backend for libfolks libfolks is a library that aggregates people from multiple sources (eg, Telepathy connection managers and eventually evolution data server, Facebook, etc.) to create metacontacts. . This package contains the Telepathy backend for libfolks Package: libfolks25 Source: folks (0.6.9-1) Version: 0.6.9-1+b1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 578 Depends: gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0) Recommends: libfolks-eds25, folks-common (= 0.6.9-1) Homepage: http://telepathy.freedesktop.org/wiki/Folks Priority: optional Section: libs Filename: pool/main/f/folks/libfolks25_0.6.9-1+b1_armhf.deb Size: 315988 SHA256: a2c084c710edcc204aff116246367803ebf4de95a22e5ce3a2bf22f328ce29e5 SHA1: bc3c855201b38e34ec537980befacf9520318969 MD5sum: d1a69581ec0f161bcc0dcee800e1646c Description: library to aggregates people into metacontacts libfolks is a library that aggregates people from multiple sources (eg, Telepathy connection managers and eventually evolution data server, Facebook, etc.) to create metacontacts. Package: libfont-afm-perl Version: 1.20-1 Installed-Size: 120 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 14384 SHA256: 52eeb5e682aaa148aa2d36b78e423172e5d6cd518dd3ac2336f1fa334e953d4f SHA1: 16e9b48566845bc90522a895c2d9f8f32d145996 MD5sum: b1515fec851a40bf176212ea29f087ee Description: Font::AFM - Interface to Adobe Font Metrics files This module implements the Font::AFM class. Objects of this class are initialised from an AFM-file and allows you to obtain information about the font and the metrics of the various glyphs in the font. . All measurements in AFM files are given in terms of units equal to 1/1000 of the scale factor of the font being used. To compute actual sizes in a document, these amounts should be multiplied by (scale factor of font)/1000. Homepage: http://search.cpan.org/dist/Font-AFM/ Tag: devel::lang:perl, devel::library, implemented-in::perl, works-with::font Section: perl Priority: optional Filename: pool/main/libf/libfont-afm-perl/libfont-afm-perl_1.20-1_all.deb Package: libfont-freetype-perl Version: 0.03-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 187 Depends: libc6 (>= 2.4), libfreetype6 (>= 2.2.1), perl (>= 5.14.2-9), perlapi-5.14.2 Homepage: http://search.cpan.org/dist/Font-FreeType/ Priority: optional Section: perl Filename: pool/main/libf/libfont-freetype-perl/libfont-freetype-perl_0.03-1_armhf.deb Size: 57842 SHA256: df74d09db21544552af57c683bc9fcdceaa8f548df34a7b767c7555dfd5a4ab9 SHA1: c2056536d056435e8b4ce4f98b9066b924b8d1a7 MD5sum: aa35fe0dd3cadfe742b5765511fb928e Description: Read font files and render glyphs from Perl using FreeType2 This module allows Perl programs to conveniently read information from font files. All the font access is done through the FreeType2 library, which supports many formats. It can render images of characters with high-quality hinting and antialiasing, extract metrics information, and extract the outlines of characters in scalable formats like TrueType. Package: libfont-ttf-perl Version: 0.48-1 Installed-Size: 1108 Maintainer: Debian Perl Group Architecture: all Depends: perl Suggests: libxml-parser-perl Size: 332258 SHA256: ef316eb3675b82355cb9f9b92a0305026816b6465c24d2dcf6c2a81ec0ff44e8 SHA1: 8e2d8a26d6f06f67d152b62ef3c448c712381ddf MD5sum: f31283300fd5bcd847cef226362b5764 Description: Perl module for TrueType font hacking Font::TTF module supports reading, processing and writing many different table formats for TrueType fonts. You can do almost anything with a TrueType font with this module. Homepage: http://search.cpan.org/dist/Font-TTF/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, works-with::font Section: perl Priority: optional Filename: pool/main/libf/libfont-ttf-perl/libfont-ttf-perl_0.48-1_all.deb Package: libfontbox-java Source: libpdfbox-java Version: 1:1.7.0+dfsg-4+deb7u1 Installed-Size: 216 Maintainer: Debian Java Maintainers Architecture: all Size: 178346 SHA256: 8784aa94c4edab971f18ea563a4a7e115ff6767d673e8ceae4b3f0f4f76133a3 SHA1: 18635edfb1fb96f379c94425ae07f3275e4cafbc MD5sum: 42ce7f6f1817fc03df3f060aed910000 Description: Java font library The Apache FontBox library is an open source Java tool to obtain low level information from font files. . This package contains the library itself. Homepage: http://pdfbox.apache.org/ Section: libs Priority: extra Filename: pool/main/libp/libpdfbox-java/libfontbox-java_1.7.0+dfsg-4+deb7u1_all.deb Package: libfontbox-java-doc Source: libpdfbox-java Version: 1:1.7.0+dfsg-4+deb7u1 Installed-Size: 2570 Maintainer: Debian Java Maintainers Architecture: all Replaces: libfontbox-java (<< 1:1.5.0) Suggests: libfontbox-java (= 1:1.7.0+dfsg-4+deb7u1) Breaks: libfontbox-java (<< 1:1.5.0) Size: 171824 SHA256: bd48bc842d7abc60b1f6c891bf1efb3c12b29b4bfe8d6bd763fe4b4156af7625 SHA1: 215ef112e1f00d690154c857d6bcca7c2ee4324d MD5sum: 495739bf4d26e1c7b31904e9aa57c0b5 Description: Java font library (Documentation) The Apache FontBox library is an open source Java tool to obtain low level information from font files. . This package contains the documentation for the library. Homepage: http://pdfbox.apache.org/ Section: doc Priority: extra Filename: pool/main/libp/libpdfbox-java/libfontbox-java-doc_1.7.0+dfsg-4+deb7u1_all.deb Package: libfontchooser-java Source: fontchooser Version: 1.0.0-1 Installed-Size: 84 Maintainer: Debian Java Maintainers Architecture: all Size: 15520 SHA256: f81d9bba3a4f664a834d92c4ce1cd7143c155008c21dbc4087dd743279cfdc39 SHA1: c5e614d51714686bd93d8c95d24d5771b8624a83 MD5sum: c4c462ee9104751d6317490ff208ed64 Description: A Java control to allow font selection Provides a pane of controls designed to allow a user to select a font. Homepage: http://www.connectina.com/components/fontchooser.html Section: java Priority: optional Filename: pool/main/f/fontchooser/libfontchooser-java_1.0.0-1_all.deb Package: libfontchooser-java-doc Source: fontchooser Version: 1.0.0-1 Installed-Size: 504 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc Size: 29348 SHA256: b429fe645336b78aff11f02ba9970a212c908b3a56c9e86e5d687a437d49198d SHA1: 1379d046da6233a3234dfc261848b2dc322bd7da MD5sum: cd79becd8762f8fae2a04ab3b9feef32 Description: A Java control to allow font selection (documentation) Provides a pane of controls designed to allow a user to select a font. . This package contains the documentation. Homepage: http://www.connectina.com/components/fontchooser.html Tag: devel::doc, devel::lang:java, role::documentation, works-with::font Section: doc Priority: optional Filename: pool/main/f/fontchooser/libfontchooser-java-doc_1.0.0-1_all.deb Package: libfontconfig1 Source: fontconfig Version: 2.9.0-7.1+deb7u1 Architecture: armhf Maintainer: Keith Packard Installed-Size: 367 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libfreetype6 (>= 2.2.1), zlib1g (>= 1:1.1.4), fontconfig-config (= 2.9.0-7.1+deb7u1) Provides: libfontconfig Multi-Arch: same Priority: optional Section: libs Filename: pool/main/f/fontconfig/libfontconfig1_2.9.0-7.1+deb7u1_armhf.deb Size: 286102 SHA256: e6e880635ecd14d09ae92e4f932301f18bae28f66526abc22211c6ad991b0517 SHA1: 6b186906f5335c509000f004fd75dc9f8a8dc965 MD5sum: 9970b485e56188db265e7a3d1fdca5dc Description: generic font configuration library - runtime Fontconfig is a font configuration and customization library, which does not depend on the X Window System. It is designed to locate fonts within the system and select them according to requirements specified by applications. . This package contains the runtime library needed to launch applications using fontconfig. Package: libfontconfig1-dbg Source: fontconfig Version: 2.9.0-7.1+deb7u1 Architecture: armhf Maintainer: Keith Packard Installed-Size: 436 Depends: libfontconfig1 (= 2.9.0-7.1+deb7u1) Priority: extra Section: debug Filename: pool/main/f/fontconfig/libfontconfig1-dbg_2.9.0-7.1+deb7u1_armhf.deb Size: 387306 SHA256: 133f484900c951a5ad9ee1fbd9444a0b3323d54605c5bb489069a50f0cfc9f96 SHA1: 0cb01ea996b224faf998b117836474eb14bed24d MD5sum: 6a92c3f5d96e3027a4ef18abb7f23608 Description: generic font configuration library - debugging symbols Fontconfig is a font configuration and customization library, which does not depend on the X Window System. It is designed to locate fonts within the system and select them according to requirements specified by applications. . This package contains the debugging symbols associated with libfontconfig1. They will automatically be used by gdb for debugging fontconfig-related issues. It should be installed when experiencing crashes involving fontconfig so that a better backtrace is provided in the bug report. Package: libfontconfig1-dev Source: fontconfig Version: 2.9.0-7.1+deb7u1 Architecture: armhf Maintainer: Keith Packard Installed-Size: 1496 Depends: libfontconfig1 (= 2.9.0-7.1+deb7u1), libexpat1-dev, libfreetype6-dev (>= 2.1.7), pkg-config Provides: libfontconfig-dev Priority: optional Section: libdevel Filename: pool/main/f/fontconfig/libfontconfig1-dev_2.9.0-7.1+deb7u1_armhf.deb Size: 839674 SHA256: 73e6b76d23193a84f5128580a5e342f3463f70153d1974433a17f85bdb1c0eee SHA1: 24d472b4d5320e348d3536b04cefb055cc989653 MD5sum: 3be017a05dab2670e91e90a046a6b682 Description: generic font configuration library - development Fontconfig is a font configuration and customization library, which does not depend on the X Window System. It is designed to locate fonts within the system and select them according to requirements specified by applications. . This package contains the static libraries and headers needed for the development of applications using fontconfig. Package: libfontenc-dev Source: libfontenc Version: 1:1.1.1-1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 88 Depends: libfontenc1 (= 1:1.1.1-1), zlib1g-dev Multi-Arch: same Priority: optional Section: libdevel Filename: pool/main/libf/libfontenc/libfontenc-dev_1.1.1-1_armhf.deb Size: 25486 SHA256: 148314ee1e582bfeadc31f115fc2483c504b3f5cb4d8da2100049fd031deff67 SHA1: fde9d5ef426f41df5065118f7c2ce6a7ec93ba08 MD5sum: d1047936d2a982ebfdb3b6f5d9cafddb Description: X11 font encoding library (development headers) libfontenc is a library which helps font libraries portably determine and deal with different encodings of fonts. . This package contains the development headers for the library found in libfontenc1. Non-developers likely have little use for this package. . More information about X.Org can be found at: . This module can be found at git://anongit.freedesktop.org/git/xorg/lib/libfontenc Package: libfontenc1 Source: libfontenc Version: 1:1.1.1-1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 64 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.2.6) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libf/libfontenc/libfontenc1_1.1.1-1_armhf.deb Size: 22598 SHA256: 55057781955e793882c119b4608191251ff99110792a4a7ab2076d80fcfd0a7a SHA1: 3080645945185ce317cf0af52f7cbe0b237b622e MD5sum: 9fb43b3b124fa3170d5b95895ca0b7ba Description: X11 font encoding library libfontenc is a library which helps font libraries portably determine and deal with different encodings of fonts. . More information about X.Org can be found at: . This module can be found at git://anongit.freedesktop.org/git/xorg/lib/libfontenc Package: libfontenc1-dbg Source: libfontenc Version: 1:1.1.1-1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 90 Pre-Depends: multiarch-support Depends: libfontenc1 (= 1:1.1.1-1) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/libf/libfontenc/libfontenc1-dbg_1.1.1-1_armhf.deb Size: 27468 SHA256: 90daa74bb3af4c840657ee63d1403bcc78eb80a119058818801fb024fb465d91 SHA1: 152000adb0ab0f160274434a209d1b1fcdce9565 MD5sum: 2f8ea547bafc15b0fc3728361f06e6b2 Description: X11 font encoding library (debug package) libfontenc is a library which helps font libraries portably determine and deal with different encodings of fonts. . This package contains the debug versions of the library found in libfontenc1. Non-developers likely have little use for this package. . More information about X.Org can be found at: . This module can be found at git://anongit.freedesktop.org/git/xorg/lib/libfontenc Package: libfontforge-dev Source: fontforge Version: 0.0.20120101+git-2+deb7u1 Architecture: armhf Maintainer: Debian Fonts Task Force Installed-Size: 480 Depends: libfontforge1 (= 0.0.20120101+git-2+deb7u1), libgdraw4 (= 0.0.20120101+git-2+deb7u1) Homepage: http://fontforge.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/f/fontforge/libfontforge-dev_0.0.20120101+git-2+deb7u1_armhf.deb Size: 133308 SHA256: 7e443e2478b0b56134d75d5d29ba05027d7e7ac13d713b773f4097bd87849081 SHA1: 5409ae24860e29aac013dced6abebcb8222a51a7 MD5sum: 12b542b299a112a58bef57a5b109f2bd Description: font editor - runtime library (development files) Besides being a font editor, FontForge is also a font format converter, and can convert among PostScript (ASCII & binary Type 1, some Type 3s, some Type 0s), TrueType, and OpenType (Type2), CID-keyed, SVG, CFF and multiple-master fonts. . This package contains the runtime library's development files. Package: libfontforge1 Source: fontforge Version: 0.0.20120101+git-2+deb7u1 Architecture: armhf Maintainer: Debian Fonts Task Force Installed-Size: 5900 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgif4 (>= 4.1.4), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libpython2.7 (>= 2.7), libspiro0, libtiff4 (>> 3.9.5-3~), libuninameslist0, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Conflicts: fontforge (<= 0.0.20090224) Replaces: fontforge (<= 0.0.20090224) Homepage: http://fontforge.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/f/fontforge/libfontforge1_0.0.20120101+git-2+deb7u1_armhf.deb Size: 2417324 SHA256: 2b9762ad26c4a2acc0da3740e60ff1c06c2ca2d9cca0c71716b5b98e74c52a51 SHA1: 05b7b2911642a37f49ca5bf8fc2802647c06ed22 MD5sum: 51df3db30fec0691f1d68d5ca77bee36 Description: font editor - runtime library Besides being a font editor, FontForge is also a font format converter, and can convert among PostScript (ASCII & binary Type 1, some Type 3s, some Type 0s), TrueType, and OpenType (Type2), CID-keyed, SVG, CFF and multiple-master fonts. . This package contains the runtime library. Package: libfonts-java Version: 1.1.6.dfsg-3 Installed-Size: 270 Maintainer: Debian Java Maintainers Architecture: all Depends: libjcommon-java, libloader-java Recommends: libitext-java Suggests: libfonts-java-doc Size: 199872 SHA256: 29f6a63b7054c9599b1205d36b2892b37ca0198ea26fab6fe981fc6717384bdf SHA1: 19ec5d3ac57794129220b68b4d485d1803855136 MD5sum: 41e717cef7217888c17773ba29022a20 Description: Java fonts layouting library LibFonts is a library developed to support advanced layouting and allows to read TrueType-Font files to extract layouting specific informations. Homepage: http://jfreereport.sourceforge.net/ Tag: devel::lang:java, role::shared-lib, works-with::font Section: java Priority: optional Filename: pool/main/libf/libfonts-java/libfonts-java_1.1.6.dfsg-3_all.deb Package: libfonts-java-doc Source: libfonts-java Version: 1.1.6.dfsg-3 Installed-Size: 31 Maintainer: Debian Java Maintainers Architecture: all Size: 3076 SHA256: 07e34f8ed26f1b930b0129926752f2c54f8b7235aab440bff3c61338e1f9e4c3 SHA1: d7852ce56c07130c845d65313ad9ff1f954e20b9 MD5sum: 5bcb51520f8c93baa87d96ca1db37692 Description: Java fonts layouting library -- documentation LibFonts is a library developed to support advanced layouting and allows to read TrueType-Font files to extract layouting specific informations. . This package contains the Javadoc. Homepage: http://jfreereport.sourceforge.net/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libf/libfonts-java/libfonts-java-doc_1.1.6.dfsg-3_all.deb Package: libfop-java Source: fop Version: 1:1.0.dfsg2-6+deb7u1 Installed-Size: 9529 Maintainer: Debian Java Maintainers Architecture: all Replaces: fop (<< 1:1.0.dfsg2-6) Depends: libxerces2-java, libxalan2-java, libbsf-java, libavalon-framework-java (>= 4.1.2-2), libbatik-java (>= 1.7), libcommons-io-java, libcommons-logging-java, libxt6, libxtst6, libxmlgraphics-commons-java (>= 1.4), java-wrappers (>= 0.1.15), libxml-commons-external-java Breaks: fop (<< 1:1.0.dfsg2-6) Size: 9107134 SHA256: 9caad0c7c6fcfea426c513d1d6750d121be50ca9ca86510bc22d95c16673551e SHA1: 0124199a80b06dcd489e460f312d6701e24c6968 MD5sum: da4ec327aca7710ab459a9912f33462e Description: XML formatter driven by XSL Formatting Objects (XSL-FO.) FOP is a Java application that reads a formatting object tree and then turns it into a wide variety of output presentations (including AFP, PCL, PDF, PNG, PostScript, RTF, TIFF, and plain text), or displays the result on-screen. . The formatting object tree can be in the form of an XML document (output by an XSLT engine like xalan) or can be passed in memory as a DOM Document or (in the case of xalan) SAX events. . This package contains the fop jar file Homepage: http://xmlgraphics.apache.org/fop/ Recommends: libsaxon-java Section: java Priority: optional Filename: pool/main/f/fop/libfop-java_1.0.dfsg2-6+deb7u1_all.deb Package: libforest-perl Version: 0.09-1 Installed-Size: 264 Maintainer: Debian Perl Group Architecture: all Depends: perl, libmoosex-attributehelpers-perl, libmoose-perl (>= 0.90), libmoosex-clone-perl (>= 0.05) Size: 66950 SHA256: 8cc17f0bbea0d1fa0a98e67aef4e927be362505ba473d3e04de9e1a83a581bf9 SHA1: bef1521b41f17c2f58e68ad3d57de04bba260d60 MD5sum: 085181e6db0fc352284da31f4b8d4d33 Description: collection of N-ary tree related modules Forest is a collection of Perl modules implementing a generalized N-ary tree data structure. It also includes several modules useful for manipulating this data, including loading data from file, indexing it in memory, and writing it back out to files (in various formats). Homepage: http://search.cpan.org/dist/Forest/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libforest-perl/libforest-perl_0.09-1_all.deb Package: libforks-perl Version: 0.34-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 372 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libacme-damn-perl, liblist-moreutils-perl (>= 0.15), libsys-sigaction-perl (>= 0.11) Homepage: http://search.cpan.org/dist/forks/ Priority: optional Section: perl Filename: pool/main/libf/libforks-perl/libforks-perl_0.34-1_armhf.deb Size: 120932 SHA256: 808c847941574a658e914783c10f0560116f108f3fcd36521a759bf420292877 SHA1: 017bb3ff3cff53667268e3647eda467d5b599294 MD5sum: ee758819957d3cb66c220fed4c0d3000 Description: Perl module to emulate threads with fork The forks.pm module is a drop-in replacement for threads.pm. It has the same syntax as the threads.pm module (it even takes over its namespace) but has some significant differences: . - you do _not_ need a special (threaded) version of Perl - it is _much_ more economic with memory usage on OS's that support COW - it is more efficient in the startup of threads - it is slightly less efficient in the stopping of threads - it is less efficient in inter-thread communication . If nothing else, it allows you to use the Perl threading model in non-threaded Perl builds and in older versions of Perl (5.6.0 and higher are supported). Package: libformat-human-bytes-perl Version: 0.05-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 7668 SHA256: 7a7db251dafe3c19932e13715e6998fe76655e23209a14cf5d1b9cbe2668b5be SHA1: 9bfcf665355c0058d4e02f2844f282ec6281a4ce MD5sum: 32b18726e33e7bd177ecc363977464df Description: Format a bytecount and make it human readable Format::Human::Bytes is used to convert bytecount values to human-readable strings. It will return you a printable string which is more readable by humans than a simple bytecount. . For example: use Format::Human::Bytes; $readable = Format::Human::Bytes::base2($bytecount[,$decimals]); It will convert in base 2 format. . $readable = Format::Human::Bytes::base10($bytecount[,$decimals]); It will convert in base 10 format. . Another way is to create constructor for example: . $fhb = Format::Human::Bytes->new(); $readable = $fhb->base2($bytecount[,$decimals]); $readable = $fhb->base10($bytecount[,$decimals]); Homepage: http://search.cpan.org/dist/Format-Human-Bytes/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libformat-human-bytes-perl/libformat-human-bytes-perl_0.05-1_all.deb Package: libforms-bin Source: libforms Version: 1.0.93sp1-2 Architecture: armhf Maintainer: Peter S Galbraith Installed-Size: 409 Depends: libc6 (>= 2.13-28), libforms2, libx11-6, libxpm4 Suggests: libforms-dev Homepage: http://savannah.nongnu.org/projects/xforms/ Priority: optional Section: devel Filename: pool/main/libf/libforms/libforms-bin_1.0.93sp1-2_armhf.deb Size: 177376 SHA256: f9936d4a5c5573d3a557798a050c795d95c956b4d79d7498aadf45c10043c996 SHA1: 173dacb7c7ec8364e34219b72f9d370246598dea MD5sum: a230c04522594e369eddebd96ff98a66 Description: Support binaries for the XForms widget library This package includes the 'fdesign' XForms GUI designer and the 'fd2ps' XForms to PostScript programs. . This package can be handy if you're an XForms developer. Package: libforms-dev Source: libforms Version: 1.0.93sp1-2 Architecture: armhf Maintainer: Peter S Galbraith Installed-Size: 1611 Depends: dpkg (>= 1.15.4) | install-info, libc6-dev, libforms2 (= 1.0.93sp1-2), libxpm-dev Suggests: libforms-bin, libforms-doc Homepage: http://savannah.nongnu.org/projects/xforms/ Priority: optional Section: libdevel Filename: pool/main/libf/libforms/libforms-dev_1.0.93sp1-2_armhf.deb Size: 760724 SHA256: b9fb247401045ea6bf70cd75ac273d028c2078fdde9a6977df2b764b0c35dcca SHA1: 716638bd9c52307b0afb92301dff07671a08ef3f MD5sum: 0fe1a3ee080070dd37e52b70af520f0c Description: Header files and static libraries for the XForms widget library This package contains the header files and static libraries for the XForms library. . Install this package if you want to develop programs using the XForms library. Package: libforms-doc Source: libforms Version: 1.0.93sp1-2 Installed-Size: 3556 Maintainer: Peter S Galbraith Architecture: all Suggests: libforms-dev Size: 1740078 SHA256: f189058bd272b1bc01468919067c1c67c31c24aeb96bfa1b8ea0bfe69e22d0d6 SHA1: c18f8ea4c04d556828aca68e8ce8b29db0038460 MD5sum: 7c0c8af5be83f4f15331c33cc4659409 Description: Documentation for the XForms graphical interface library This package contains PDF and HTML documentation for the XForms library. Homepage: http://savannah.nongnu.org/projects/xforms/ Tag: devel::doc, devel::library, role::documentation Section: doc Priority: optional Filename: pool/main/libf/libforms/libforms-doc_1.0.93sp1-2_all.deb Package: libforms2 Source: libforms Version: 1.0.93sp1-2 Architecture: armhf Maintainer: Peter S Galbraith Installed-Size: 815 Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), libx11-6, libxpm4 Homepage: http://savannah.nongnu.org/projects/xforms/ Priority: optional Section: libs Filename: pool/main/libf/libforms/libforms2_1.0.93sp1-2_armhf.deb Size: 394356 SHA256: f4c1adc089b8a34039270293feba3ecfc93787259e1eee66f9ef15ba011ee174 SHA1: 51ba01c08725b7c6e7fd3537b88fbfd23a7e0b03 MD5sum: 034cddf5acd25191fc77e3aff1830f0f Description: The XForms graphical interface widget library This package contains the XForms shared library. . XForms is a GUI toolkit based on Xlib for X Window Systems. It features a rich set of objects, such as buttons, scrollbars, and menus etc. integrated into an easy and efficient object/event callback execution model that allows fast and easy construction of X-applications. In addition, the library is extensible and new objects can easily be created and added to the library. Package: libformsgl-dev Source: libforms Version: 1.0.93sp1-2 Architecture: armhf Maintainer: Peter S Galbraith Installed-Size: 70 Depends: libc6-dev, libformsgl2 (= 1.0.93sp1-2), libforms2 (= 1.0.93sp1-2), libxpm-dev Conflicts: libforms-dev (<< 1.0-4) Homepage: http://savannah.nongnu.org/projects/xforms/ Priority: optional Section: libdevel Filename: pool/main/libf/libforms/libformsgl-dev_1.0.93sp1-2_armhf.deb Size: 35464 SHA256: f098982f3ca2220a07a6dcc0c82de5ce90d64d3f1390adf0b31625dc4de5542d SHA1: b5c17f532af68877eceda29d6cd903bb0588b883 MD5sum: 0aa5089cca270cb81d924a264ea8f44e Description: Header files and static libraries for the OpenGL XForms library This package contains the header files and static libraries for the XForms library. . Install this package if you want to develop programs using the XForms library under OpenGL. Package: libformsgl2 Source: libforms Version: 1.0.93sp1-2 Architecture: armhf Maintainer: Peter S Galbraith Installed-Size: 66 Depends: libc6 (>= 2.13-28), libforms2, libgl1-mesa-glx | libgl1, libx11-6 Homepage: http://savannah.nongnu.org/projects/xforms/ Priority: optional Section: libs Filename: pool/main/libf/libforms/libformsgl2_1.0.93sp1-2_armhf.deb Size: 36286 SHA256: 5e660fc1686be486936df23dd563542c7d23cc81c712ad91b4d07dd1ce0f55f1 SHA1: fe3c85f48948af96440a8a3d433012e4aa906577 MD5sum: fa22e904498cfc6ed124902c39c0c4fa Description: The OpenGL XForms graphical interface widget library This package contains the OpenGL bindings of the XForms shared library. . XForms is a GUI toolkit based on Xlib for X Window Systems. It features a rich set of objects, such as buttons, scrollbars, and menus etc. integrated into an easy and efficient object/event callback execution model that allows fast and easy construction of X-applications. In addition, the library is extensible and new objects can easily be created and added to the library. Package: libformula-java Source: libformula Version: 1.1.7.dfsg-2 Installed-Size: 421 Maintainer: Debian Java Maintainers Architecture: all Depends: libjcommon-java (>= 1.0.10-1) Suggests: libformula-java-doc Size: 322940 SHA256: 11baffb2286307cce42866d161f6409c0bc79b63184dee2c04895119b5c75511 SHA1: ed162d2dfc791b0bb36ce0bfe44394acc83ac320 MD5sum: 45d9da3e03371d2548e74f0cf6b10630 Description: Excel(tm) style formula expressions library LibFormula provides Excel-Style-Expressions. The implementation provided here is very generic and can be used in any application that needs to compute formulas. . The syntax is based on the OpenFormula-specifications, but with carefully pruning away all the spreadsheet specific parts (like references to cell-ranges). Tag: role::shared-lib Section: java Priority: optional Filename: pool/main/libf/libformula/libformula-java_1.1.7.dfsg-2_all.deb Package: libformula-java-doc Source: libformula Version: 1.1.7.dfsg-2 Installed-Size: 10689 Maintainer: Debian Java Maintainers Architecture: all Size: 456790 SHA256: 66c9b872d4d3612ba2aa4a2d3f414fdf166b3da59898462e3acbdbc1275241b4 SHA1: f7266b3712543702682727edc766de5e88c244e4 MD5sum: b97fcab32ea934ad09148b34cad1fbea Description: Excel(tm) style formula expressions library LibFormula provides Excel-Style-Expressions. The implementation provided here is very generic and can be used in any application that needs to compute formulas. . The syntax is based on the OpenFormula-specifications, but with carefully pruning away all the spreadsheet specific parts (like references to cell-ranges). . This package contains the Javadoc. Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libf/libformula/libformula-java-doc_1.1.7.dfsg-2_all.deb Package: libformvalidator-simple-perl Version: 0.29-1 Installed-Size: 106 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclass-accessor-perl, libclass-data-accessor-perl, libclass-data-inheritable-perl, libclass-inspector-perl, libdate-calc-perl, libdatetime-format-strptime-perl, libemail-valid-loose-perl, liblist-moreutils-perl, libmailtools-perl, libtie-ixhash-perl, libuniversal-exports-perl, libyaml-perl Size: 26360 SHA256: 7abe30b793ecdc6a53eb92b463365947a8093cd296125efb7deddd2f76dea512 SHA1: e12a4962af1e6fe11901979bcbf5d15ff267726f MD5sum: da21bedc5d2afea9944eee6d9c6a19ef Description: module for validating forms with simple chains of constraints FormValidator::Simple provides you a sweet way of form data validation with simple constraints chains. You can write constraints on single line for each input data. . This idea is based on Sledge::Plugin::Validator, and most of validation code is borrowed from this plugin. Homepage: http://search.cpan.org/dist/FormValidator-Simple/ Tag: role::shared-lib Section: perl Priority: optional Filename: pool/main/libf/libformvalidator-simple-perl/libformvalidator-simple-perl_0.29-1_all.deb Package: libfortune-perl Version: 0.2-8 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Recommends: fortunes Size: 13090 SHA256: cefd46eb5b57751b222eda2cf489528de58a5325d9254b20b3fb3def02e3628e SHA1: b5b40bb30b6bb49e52fdec578b94629f5d7ec0f0 MD5sum: d87f00ef6bd6e758bda81d9e2e5b704e Description: Perl module to read fortune (strfile) databases The fortune program is a small but important part of the Unix culture, and this module aims to provide support for its "fortune cookie" databases to Perl programmers. . For efficiency, all versions of fortune rely on a binary header consisting mainly of offsets into the fortune file proper. . Modern versions of fortune keep this header in a separate file, and this is the style adopted by the Fortune module; the older style of munging the header and data into one large "compiled" file is not (currently) supported. Homepage: http://search.cpan.org/dist/Fortune/ Tag: devel::lang:perl, devel::library, game::toys, implemented-in::perl, interface::commandline, use::gameplaying, works-with::text Section: perl Priority: optional Filename: pool/main/libf/libfortune-perl/libfortune-perl_0.2-8_all.deb Package: libfosfat0 Source: fosfat Version: 0.4.0-3 Architecture: armhf Maintainer: Didier Raboud Installed-Size: 56 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1) Multi-Arch: same Homepage: http://home.gna.org/fosfat Priority: optional Section: libs Filename: pool/main/f/fosfat/libfosfat0_0.4.0-3_armhf.deb Size: 14456 SHA256: f7a2bd32e0c5b12481ecba7ef008a1815196be3ef72ffd857600d27a56573d5d SHA1: 269af28e54a01da4e6643d5b1c5c2a5ad41296cd MD5sum: 73a83e340e470fd3ea1fc2ee6fb1073c Description: API for the Smaky file system Fosfat is a C library for providing read-only access to a Smaky formatted disk. Currently, only a tool and a FUSE extension that use this library can be used for reading a directory and copying a file. . The Smaky is a line of mostly 8-bit personal computers and accompanying operating system developed at the EPFL (École Polytechnique Federale de Lausanne), in Switzerland, from 1974. . This package contains the libfosfat0, which provides the API for the Smaky file system. Package: libfosgra0 Source: fosfat Version: 0.4.0-3 Architecture: armhf Maintainer: Didier Raboud Installed-Size: 43 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfosfat0 (>= 0.3.2) Multi-Arch: same Homepage: http://home.gna.org/fosfat Priority: optional Section: libs Filename: pool/main/f/fosfat/libfosgra0_0.4.0-3_armhf.deb Size: 9148 SHA256: 45b2ef7b643fc33e83a04bf2461d409750bacfd59513ded9bb76eecb3be85751 SHA1: e97267ba7cfaf267dc14b0218d22b6703bfc5588 MD5sum: 3dabc71c001ee92b3ec7ddff66324998 Description: library to decode Smaky .IMAGE files Fosfat is a C library for providing read-only access to a Smaky formatted disk. Currently, only a tool and a FUSE extension that use this library can be used for reading a directory and copying a file. . The Smaky is a line of mostly 8-bit personal computers and accompanying operating system developed at the EPFL (École Polytechnique Federale de Lausanne), in Switzerland, from 1974. . This package contains the libfosgra0, used to decode the Smaky .IMAGE picture file. Package: libfox-1.6-0 Source: fox1.6 Version: 1.6.45-1 Architecture: armhf Maintainer: Joachim Wiedorn Installed-Size: 2654 Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0), libtiff4 (>> 3.9.5-3~), libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxfixes3, libxft2 (>> 2.1.1), libxrender1, zlib1g (>= 1:1.1.4) Homepage: http://www.fox-toolkit.org/ Priority: optional Section: libs Filename: pool/main/f/fox1.6/libfox-1.6-0_1.6.45-1_armhf.deb Size: 993930 SHA256: 43d0988c6b29241fc2800a73264305eabfe8cbb51bc8844b9b41f075baa91cfa SHA1: 59e67cdb4612becebee77ede1e9d993af2d3b358 MD5sum: 6dda490251ad56b2e538d1ffdc9487be Description: FOX C++ GUI Toolkit - runtime library FOX is a C++ based Toolkit for developing Graphical User Interfaces easily and effectively. It offers a wide collection of Controls and provides state of the art facilities such as drag and drop, selection, as well as OpenGL widgets for 3D graphical manipulation. FOX also implements icons, images, and user-convenience features such as status line help, and tooltips. Tooltips may even be used for 3D objects! Package: libfox-1.6-dev Source: fox1.6 Version: 1.6.45-1 Architecture: armhf Maintainer: Joachim Wiedorn Installed-Size: 6635 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1), libfox-1.6-0 (= 1.6.45-1), libjpeg-dev, libpng-dev, zlib1g-dev, libx11-dev, libgl1-mesa-dev, libglu1-mesa-dev, libtiff-dev, libcups2-dev, libxcursor-dev, libxft-dev, libxext-dev Suggests: libfox-1.6-doc Conflicts: libfox1.0-dev, libfox1.2-dev, libfox1.4-dev Homepage: http://www.fox-toolkit.org/ Priority: extra Section: libdevel Filename: pool/main/f/fox1.6/libfox-1.6-dev_1.6.45-1_armhf.deb Size: 1679762 SHA256: 48c6af03f5c5fbd2a5dcf3c6e4f24832002a5c06f0d855a86789303544247977 SHA1: 447a9bf3ac72dd0431de4bb9d1e9ed00c76ad0e3 MD5sum: b808db27610205da4b0a4a3526f7043c Description: FOX C++ GUI Toolkit - development headers FOX is a C++ based Toolkit for developing Graphical User Interfaces easily and effectively. It offers a wide collection of controls and provides state of the art facilities. . This package contains the development header files of the FOX Toolkit. Package: libfox-1.6-doc Source: fox1.6 Version: 1.6.45-1 Installed-Size: 2815 Maintainer: Joachim Wiedorn Architecture: all Size: 2078958 SHA256: ece14bee366359b77f6cd4eb7a3feb85b77c5a8acfd988e184a4ad1efb26974f SHA1: b94effd65ce02deddd20cc81fc1e962846b4511a MD5sum: 54544a6a8ebd447801982d7d70849913 Description: FOX C++ GUI Toolkit - documentation FOX is a C++ based Toolkit for developing Graphical User Interfaces easily and effectively. It offers a wide collection of controls and provides state of the art facilities. . This package contains documentation for development of applications using the FOX Toolkit. Homepage: http://www.fox-toolkit.org/ Tag: devel::doc, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/f/fox1.6/libfox-1.6-doc_1.6.45-1_all.deb Package: libfparser-4.3 Source: fparser Version: 4.3-4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 339 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://warp.povusers.org/FunctionParser/ Priority: optional Section: libs Filename: pool/main/f/fparser/libfparser-4.3_4.3-4_armhf.deb Size: 139010 SHA256: 5a3bb89fa5258380da2b54b74dff97036a0323645fb1be41efb2acab7ad0e7dc SHA1: a2c780f39023ce24e8105bd01292f27ab4c171c0 MD5sum: 9be54cbb01ba2ae4dbe3392d1a6fbb87 Description: Library to evaluate strings as mathematical functions This C++ library offers a class which can be used to parse and evaluate a mathematical function from a string (which might be eg. requested from the user). The syntax of the function string is similar to mathematical expressions written in C/C++ (the exact syntax is specified later in this document). The function can then be evaluated with different values of variables. . For example, a function like "sin(sqrt(x*x+y*y))" can be parsed from a string (either std::string or a C-style string) and then evaluated with different values of x and y. This library can be useful for evaluating user-inputted functions, or in some cases interpreting mathematical expressions in a scripting language. Package: libfparser-4.3-dbg Source: fparser Version: 4.3-4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 2064 Depends: libfparser-4.3 (= 4.3-4) Homepage: http://warp.povusers.org/FunctionParser/ Priority: extra Section: debug Filename: pool/main/f/fparser/libfparser-4.3-dbg_4.3-4_armhf.deb Size: 645688 SHA256: 90109abed2ae856059f07d34f2bef77cf79a364945999055b5dc25d88efced67 SHA1: c7ad2df3a36ad42ca28b7cd3455c58d85365095e MD5sum: 9387d8c0bec2e86b7f9a9b3297b856d5 Description: Debugging symbols for the fparser library This C++ library offers a class which can be used to parse and evaluate a mathematical function from a string (which might be eg. requested from the user). The syntax of the function string is similar to mathematical expressions written in C/C++ (the exact syntax is specified later in this document). The function can then be evaluated with different values of variables. . For example, a function like "sin(sqrt(x*x+y*y))" can be parsed from a string (either std::string or a C-style string) and then evaluated with different values of x and y. This library can be useful for evaluating user-inputted functions, or in some cases interpreting mathematical expressions in a scripting language. . This package contains the debugging symbols for libfparser. Package: libfparser-dev Source: fparser Version: 4.3-4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 631 Depends: libfparser-4.3 (= 4.3-4) Homepage: http://warp.povusers.org/FunctionParser/ Priority: extra Section: libdevel Filename: pool/main/f/fparser/libfparser-dev_4.3-4_armhf.deb Size: 193604 SHA256: d336ef9a3425654d9849c00c65f19b6338e26eafd16e957e7c65465db45d2808 SHA1: f8cf19102d46028da5897350f37bc5ab11a38aa5 MD5sum: 23e10b92f608e18bf1fd39032a8dada0 Description: Development files for the fparser library This C++ library offers a class which can be used to parse and evaluate a mathematical function from a string (which might be eg. requested from the user). The syntax of the function string is similar to mathematical expressions written in C/C++ (the exact syntax is specified later in this document). The function can then be evaluated with different values of variables. . For example, a function like "sin(sqrt(x*x+y*y))" can be parsed from a string (either std::string or a C-style string) and then evaluated with different values of x and y. This library can be useful for evaluating user-inputted functions, or in some cases interpreting mathematical expressions in a scripting language. . This package contains the development files (headers and documentation) for libfparser. Package: libfpdf-tpl-php Version: 1.2-2 Installed-Size: 56 Maintainer: Sven Velt Architecture: all Depends: php5 | php5-cli, php-fpdf Size: 6324 SHA256: 4384cc091fbed89092e667cc01983b72e5216de904d258030b3833a90bab49d7 SHA1: f707042d595d90f17b9c9482cfbd872f239b6647 MD5sum: 415f66ae77ee033ac00cb4354141e00b Description: PHP library to use PDF templates with FPDF This script adds the possibility to use PDF templates in a PDF document as explained in the PDF specifications 1.3 (4.9 Form XObjects). This allows for dynamic creation of PDF files. It supports recursive templates. Homepage: http://www.setasign.de/products/pdf-php-solutions/fpdi/ Section: web Priority: optional Filename: pool/main/libf/libfpdf-tpl-php/libfpdf-tpl-php_1.2-2_all.deb Package: libfpdi-php Version: 1.4.1-1 Installed-Size: 132 Maintainer: Sven Velt Architecture: all Depends: php5 | php5-cli, libfpdf-tpl-php Size: 18222 SHA256: 0b9c750c577c92aadaea9efadc36586277cc36520bc43557b76a8602b7428baa SHA1: 6f68a2704e11059afdae5f0a37e50289d32af3a5 MD5sum: 6d6ab5b9bafc131737388a3be683cd88 Description: PHP library for importing existing PDF documents into FPDF FPDI is a collection of PHP classes facilitating developers to read pages from existing PDF documents and use them as templates in FPDF. This allows for dynamic generation of PDF files. Homepage: http://www.setasign.de/products/pdf-php-solutions/fpdi/ Section: web Priority: optional Filename: pool/main/libf/libfpdi-php/libfpdi-php_1.4.1-1_all.deb Package: libfplll-dev Source: fplll Version: 2.1.6+20071129-2.1 Architecture: armhf Maintainer: Tim Abbott Installed-Size: 315 Depends: libfplll0 Homepage: http://perso.ens-lyon.fr/damien.stehle/english.html#software Priority: optional Section: libdevel Filename: pool/main/f/fplll/libfplll-dev_2.1.6+20071129-2.1_armhf.deb Size: 81386 SHA256: fbae3b359556a0e75e3dcbbf1b7caf2cbde6e417ce8436d50084221afdc79567 SHA1: fa6e090cbb8ef32261148ed044c47b9aab03f762 MD5sum: bc15642a86ac49c64ca66244bc141f84 Description: A library for LLL-reduction of euclidean lattices, development fpLLL is a library for computing reduced (nearly orthogonal) bases for Euclidean lattices using the floating-point LLL algorithm. . fpLLL contains multiple different implementations of the floating-point LLL reduction algorithm, offering multiple different speed/guarantees ratios. . It contains a 'wrapper' that chooses the estimated best sequence of variants in order to provide a guaranteed output as fast as possible. In the case of the wrapper, the succession of variants is oblivious to the user. . This package contains development files for libfplll. Package: libfplll0 Source: fplll Version: 2.1.6+20071129-2.1 Architecture: armhf Maintainer: Tim Abbott Installed-Size: 120 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libgmp10, libmpfr4 (>= 3.1.0), libstdc++6 (>= 4.4.0) Homepage: http://perso.ens-lyon.fr/damien.stehle/english.html#software Priority: optional Section: libs Filename: pool/main/f/fplll/libfplll0_2.1.6+20071129-2.1_armhf.deb Size: 46282 SHA256: 66022fa74bfd90c73616abcf73f515da4b0839995038820fda247e97a93ed343 SHA1: 0879b11a06720925009b1e9804abcde06b1b6452 MD5sum: 93b7eb86eca300e5431189e6009943e0 Description: A library for LLL-reduction of Euclidean lattices, runtime fpLLL is a library for computing nearly orthogonal basis for Euclidean lattices using the LLL algorithm. . fpLLL contains multiple different implementations of the floating-point LLL reduction algorithm, offering multiple different speed/guarantees ratios. . It contains a 'wrapper' that chooses the estimated best sequence of variants in order to provide a guaranteed output as fast as possible. In the case of the wrapper, the succession of variants is oblivious to the user. . This package contains a shared library for libfplll. Package: libfprint-dev Source: libfprint Version: 1:0.4.0-4-gdfff16f-4 Architecture: armhf Maintainer: FingerForce Team Installed-Size: 358 Depends: libfprint0 (= 1:0.4.0-4-gdfff16f-4) Homepage: http://www.freedesktop.org/wiki/Software/fprint/libfprint Priority: extra Section: libdevel Filename: pool/main/libf/libfprint/libfprint-dev_0.4.0-4-gdfff16f-4_armhf.deb Size: 122654 SHA256: 70e4258cb30240b048a97d7737e5675d54bdfc46492636d2241c74781189d3b1 SHA1: b09b3e63f03c95fb1b8c06ea266ddbda4382e763 MD5sum: 60e5a985ba7ac899fcd039874fc6250f Description: async fingerprint library of fprint project, development headers The fprint project aims to support for consumer fingerprint reader devices. . Previously, Linux support for such devices has been scattered amongst different projects (many incomplete) and inconsistent in that application developers would have to implement support for each type of fingerprint reader separately. The idea is to change that by providing a central system to support all the fingerprint readers as it's possible to get hands on. . libfprint is the centre of efforts, this component does the dirty job of talking to fingerprint reading devices, and processing fingerprint data. . This library depends on the new libusb, which performs asynchronous callbacks, allowing fprint to perform non-blocking device tasks. . This package provides development headers. Package: libfprint0 Source: libfprint Version: 1:0.4.0-4-gdfff16f-4 Architecture: armhf Maintainer: FingerForce Team Installed-Size: 231 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libusb-1.0-0 (>= 2:1.0.8) Multi-Arch: same Homepage: http://www.freedesktop.org/wiki/Software/fprint/libfprint Priority: extra Section: libs Filename: pool/main/libf/libfprint/libfprint0_0.4.0-4-gdfff16f-4_armhf.deb Size: 94908 SHA256: e7c278e82ca46dbc5f9cccc48b4722d86c18352ac27dbde1740acbfacf4e1a11 SHA1: 973a9d6797edf95f8222434abece231742e2c15e MD5sum: bc218275522d09f836131adce8d87e69 Description: async fingerprint library of fprint project, shared libraries The fprint project aims to support for consumer fingerprint reader devices. . Previously, Linux support for such devices has been scattered amongst different projects (many incomplete) and inconsistent in that application developers would have to implement support for each type of fingerprint reader separately. The idea is to change that by providing a central system to support all the fingerprint readers as it's possible to get hands on. . libfprint is the centre of efforts, this component does the dirty job of talking to fingerprint reading devices, and processing fingerprint data. . This library depends on the new libusb, which performs asynchronous callbacks, allowing fprint to perform non-blocking device tasks. . This package provides shared libraries. Package: libfreecell-solver-dev Source: freecell-solver Version: 3.12.0-1 Architecture: armhf Maintainer: Gergely Risko Installed-Size: 251 Depends: libfreecell-solver0 (= 3.12.0-1) Homepage: http://fc-solve.berlios.de/ Priority: optional Section: libdevel Filename: pool/main/f/freecell-solver/libfreecell-solver-dev_3.12.0-1_armhf.deb Size: 112350 SHA256: 6d4d2098c35d83e22a9c2ba1f52bdac5990fcd4c1952ba9f67e96ff8d9e77cd0 SHA1: 8c35ee79ad261d38ac97eba30c93a856ed1769c9 MD5sum: 71d1009ed850b2d9c04425fa1a89a86a Description: Library for solving Freecell games (Development files) Freecell Solver is a library for automatically solving boards of Freecell and similar variants of card Solitaire. This package contains the header files and static libraries necessary for developing programs using Freecell Solver. . This package contains the files necessary to produce binaries which are linked against libfreecell-solver. Package: libfreecell-solver0 Source: freecell-solver Version: 3.12.0-1 Architecture: armhf Maintainer: Gergely Risko Installed-Size: 170 Depends: libc6 (>= 2.13-28) Homepage: http://fc-solve.berlios.de/ Priority: optional Section: libs Filename: pool/main/f/freecell-solver/libfreecell-solver0_3.12.0-1_armhf.deb Size: 98776 SHA256: 5b248f57c2086fa668d2673397993c2ebf1a277e452a2d3563f279f23a37e7a1 SHA1: 1ad8239c039040d8eb932f35040d99d30516b63a MD5sum: 7a5b09b3072153a262c7165c7070dc39 Description: Library for solving Freecell games Freecell Solver is a library for automatically solving boards of Freecell and similar variants of card Solitaire. This package contains the header files and static libraries necessary for developing programs using Freecell Solver. Package: libfreefem++ Source: freefem++ Version: 3.19.1-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 2801 Depends: libamd2.2.0 (>= 1:3.4.0), libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libgsl0ldbl (>= 1.9), liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libopenmpi1.3, libstdc++6 (>= 4.6), libumfpack5.4.0 (>= 1:3.4.0) Homepage: http://www.freefem.org/ff++/ Priority: optional Section: libs Filename: pool/main/f/freefem++/libfreefem++_3.19.1-1_armhf.deb Size: 1211956 SHA256: af0aff0fa6d8268d664d9a3b77d7016520af6db130ef048ea31e0ad3c7fbb2fb SHA1: 81ef95611b765b0e17b239cf51297482394caac3 MD5sum: 0f71c1d805b6ccba9a7bf77ea2dd22f5 Description: Provides the shared libraries of the FreeFem++ FE suite FreeFem++ is an implementation of a language dedicated to the finite element method. It enables you to solve Partial Differential Equations (PDE) easily. . Problems involving PDE from several branches of physics such as fluid-structure interactions require interpolations of data on several meshes and their manipulation within one program. FreeFem++ includes a fast quadtree-based interpolation algorithm and a language for the manipulation of these data on multiple meshes. It contains also a powerful mesh generation and adaption tool integrated seamlessly in FreeFem++ called bamg. . FreeFem++ is written in C++ and the FreeFem++ language is a C++ idiom allowing for a smooth learning curve. . This package contains the shared libraries of FreeFem++. Package: libfreefem++-dev Source: freefem++ Version: 3.19.1-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1311 Depends: libfreefem++ Homepage: http://www.freefem.org/ff++/ Priority: optional Section: libdevel Filename: pool/main/f/freefem++/libfreefem++-dev_3.19.1-1_armhf.deb Size: 275084 SHA256: 4a76ad8d00cac2c4d2118b3e95e5315eeb32b945ee4322fd6650727bc238bfbe SHA1: 64bce35cf2c45212159bc3e11fd4f070dbc2f729 MD5sum: 373eebbea379f0fe64df03fa32703979 Description: Provides the development files of the FreeFem++ FE suite FreeFem++ is an implementation of a language dedicated to the finite element method. It enables you to solve Partial Differential Equations (PDE) easily. . Problems involving PDE from several branches of physics such as fluid-structure interactions require interpolations of data on several meshes and their manipulation within one program. FreeFem++ includes a fast quadtree-based interpolation algorithm and a language for the manipulation of these data on multiple meshes. It contains also a powerful mesh generation and adaption tool integrated seamlessly in FreeFem++ called bamg. . FreeFem++ is written in C++ and the FreeFem++ language is a C++ idiom allowing for a smooth learning curve. . This package contains the development files of FreeFem++. Package: libfreefem-dev Source: freefem Version: 3.5.8-5 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 408 Depends: libfreefem0 (= 3.5.8-5) Suggests: libfreefem-doc (= 3.5.8-5) Homepage: http://kfem.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/f/freefem/libfreefem-dev_3.5.8-5_armhf.deb Size: 137630 SHA256: 1d7dfde31d800836c3dd0e89f835b9c73af7b71454e0ad99e0d9ef7f4f88268d SHA1: 22174afca874bdb9574da1b4718cc6c3eab5fa22 MD5sum: 38e63712db3d6442850c1697b27ce15b Description: Development library, header files and manpages FreeFEM is a language adapted to Partial Differential equation. The underlying method used is the Finite Element Method. This tool has been successfully used as a teaching tool and even as a research tool. Package: libfreefem-doc Source: freefem Version: 3.5.8-5 Installed-Size: 812 Maintainer: Debian Science Team Architecture: all Size: 104482 SHA256: b377f27e2e5135140bb3096e723d86e4144da325ca4401e1f70188c28ef9ee05 SHA1: ea770e13e0629b854d6d50d119dc583ce5dca590 MD5sum: eb72161374d71be09833e8cde7904f3e Description: Documentation for FreeFEM development FreeFEM is a language adapted to Partial Differential equation. The underlying method used is the Finite Element Method. This tool has been successfully used as a teaching tool and even as a research tool. Homepage: http://kfem.sourceforge.net Tag: devel::doc, devel::lang:c++, devel::library, field::mathematics, role::documentation Section: doc Priority: optional Filename: pool/main/f/freefem/libfreefem-doc_3.5.8-5_all.deb Package: libfreefem0 Source: freefem Version: 3.5.8-5 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 220 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6 Conflicts: libfreefem Replaces: libfreefem Provides: libfreefem Homepage: http://kfem.sourceforge.net Priority: optional Section: libs Filename: pool/main/f/freefem/libfreefem0_3.5.8-5_armhf.deb Size: 104770 SHA256: 1e3f9869f512390591af4f0e5c8de74f9d3a09b6247bf8a40a9a84cb55882e31 SHA1: fa2142e850a0dc66d6e6615f654235a9ce4923bf MD5sum: 2dcef58748e280ca53b72ae19c7134a6 Description: Shared libraries for FreeFEM FreeFEM is a language adapted to Partial Differential equation. The underlying method used is the Finite Element Method. This tool has been successfully used as a teaching tool and even as a research tool. Package: libfreehdl0 Source: freehdl Version: 0.0.7-1.1 Architecture: armhf Maintainer: José L. Redrejo Rodríguez Installed-Size: 1969 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://www.freehdl.seul.org Priority: optional Section: libs Filename: pool/main/f/freehdl/libfreehdl0_0.0.7-1.1_armhf.deb Size: 669684 SHA256: 5ce5a04935e748eaccb6a22a8c88d8189133d9e752e9414fd5830f36e76548ee SHA1: 7c52884ad45399a1454778b4c862e904b7db245f MD5sum: 338b7444e17e6a03a768e1d0314c59ce Description: VHDL simulator for Linux (shared libraries) This is a free VHDL simulator with these features: * Has a graphical waveform viewer. * Has a source level debugger. * Is VHDL-93 compliant. Package: libfreehdl0-dev Source: freehdl Version: 0.0.7-1.1 Architecture: armhf Maintainer: José L. Redrejo Rodríguez Installed-Size: 4135 Depends: libfreehdl0 (= 0.0.7-1.1) Homepage: http://www.freehdl.seul.org Priority: optional Section: libdevel Filename: pool/main/f/freehdl/libfreehdl0-dev_0.0.7-1.1_armhf.deb Size: 1000828 SHA256: 98cede5b8095233f8ba87a03e0984335321b76c75fb95a4fedf4e4017232880a SHA1: 51c98b11b5fc0152e62bd00cf4882e5615133b3b MD5sum: 96e1df0fe020b0247b169b23912ad2b0 Description: VHDL simulator for Linux (development headers) This is a free VHDL simulator with these features: * Has a graphical waveform viewer. * Has a source level debugger. * Is VHDL-93 compliant. . The development headers are needed to compile simulations, not only to build freehdl based applications. Package: libfreehep-chartableconverter-plugin-java Source: freehep-chartableconverter-plugin Version: 2.0-6 Installed-Size: 61 Maintainer: Debian Java Maintainers Architecture: all Depends: libmaven2-core-java, libplexus-compiler-java, libplexus-utils-java Size: 10648 SHA256: c363da8facd50f7c43f8036f643d4912884c291f3fe6ae3a326537b6a6ac3d74 SHA1: 8679c3005d2ffa4a55dd73e16c64bdf9784da30c MD5sum: 2f93967650b0a41535599d2854a0d4d2 Description: FreeHEP Character Table Converter This maven plugin converts 16 bit Unicode text files into lookup tables. These tables are used by the VectorGraphics package (part of the FreeHEP project) to include and embed fonts in the output formats. . FreeHEP is a collection of Java libraries used in High Energy Physics. Homepage: http://java.freehep.org/ Section: java Priority: optional Filename: pool/main/f/freehep-chartableconverter-plugin/libfreehep-chartableconverter-plugin-java_2.0-6_all.deb Package: libfreehep-export-java Source: freehep-export Version: 2.1.1-2 Installed-Size: 96 Maintainer: Debian Java Maintainers Architecture: all Depends: libfreehep-swing-java, openjdk-6-jre | java2-runtime Size: 21110 SHA256: d0baf9865100106af7ccd0fec8378470bf030c30e1ee17ca53068a951b474251 SHA1: 1c0ed726fb7ef964c1db7f80a9fb2690adba39e5 MD5sum: f27f5e0aa48cdeed36cdb7fc2225b104 Description: FreeHEP Export and Save As Library Library to register filetypes (which can be loaded as plugin modules). This library is used by VectorGraphics libraries to implement a modular import/export system for image files: importers or exporters for different formats can be deloped or loaded independently. . FreeHEP is a collection of Java libraries used in High Energy Physics. Homepage: http://java.freehep.org/ Tag: implemented-in::java, role::shared-lib Section: java Priority: optional Filename: pool/main/f/freehep-export/libfreehep-export-java_2.1.1-2_all.deb Package: libfreehep-graphics2d-java Source: freehep-graphics2d Version: 2.1.1-3 Installed-Size: 200 Maintainer: Debian Java Maintainers Architecture: all Depends: libfreehep-util-java, openjdk-6-jre | java2-runtime Size: 122244 SHA256: 40aba7dddb48b5dfe2f48fa7cf54f301eca88813ac4ad3e76d449e292c7fbfa4 SHA1: 178dce051c76d03515e734e815f21887ab024cef MD5sum: c6ff6ef9221e18d178c250bbb73390e0 Description: FreeHEP 2D Graphics Library This library extends the common AWT Graphics2D class, providing a standard and well known interface for writing image files the same way AWT and Swing components are drawn. The actual file writing is done by the GraphicsIO libraries. . FreeHEP is a collection of Java libraries used in High Energy Physics. Homepage: http://java.freehep.org/ Tag: implemented-in::java, role::shared-lib Section: java Priority: optional Filename: pool/main/f/freehep-graphics2d/libfreehep-graphics2d-java_2.1.1-3_all.deb Package: libfreehep-graphicsio-emf-java Source: freehep-graphicsio-emf Version: 2.1.1-emfplus+dfsg1-2 Installed-Size: 456 Maintainer: Debian Java Maintainers Architecture: all Depends: libfreehep-graphicsio-java, libfreehep-graphicsio-tests-java, libfreehep-util-java, libjdom1-java (>= 1.1.2) Size: 369716 SHA256: d05ef9985d54454fae9fbcebe9171e0e5ccd12c86619d19c36c5aca59e8581a9 SHA1: 2b655d442eb5733c4eb3e3ee3b71b93f1bfc0628 MD5sum: d43fd4a99803c4271296daa37bb5a98f Description: FreeHEP Enhanced Metafile Format Driver The GraphicsIO library offers a base interface for image exporters in many vector or bitmap image formats. It features direct support for GIF, PNG, PPM and RAW formats, as well as the ability to manage TrueType fonts. Support for other file types can be added with plugins. . This package contains a GraphicsIO plugin to export to Enhanced Metafile Format. . FreeHEP is a collection of Java libraries used in High Energy Physics. Homepage: http://java.freehep.org/ Tag: implemented-in::java, role::shared-lib Section: java Priority: optional Filename: pool/main/f/freehep-graphicsio-emf/libfreehep-graphicsio-emf-java_2.1.1-emfplus+dfsg1-2_all.deb Package: libfreehep-graphicsio-java Source: freehep-graphicsio Version: 2.1.1-3 Installed-Size: 428 Maintainer: Debian Java Maintainers Architecture: all Depends: libfreehep-export-java, libfreehep-graphics2d-java, libfreehep-io-java Size: 343588 SHA256: 6cc33a679a44742f691a1483f2782be5b45cfdcaa01b0f6d85e2359b73cafdfb SHA1: f8cd5401c0725f0e40409986485bb65031c90727 MD5sum: 79ebcd71c97cdfb2d7907f276db33ac8 Description: FreeHEP GraphicsIO Base Library The GraphicsIO library offers a base interface for image exporters in many vector or bitmap image formats. It features direct support for GIF, PNG, PPM and RAW formats, as well as the ability to manage TrueType fonts. Support for other file types con be added with plugins. . FreeHEP is a collection of Java libraries used in High Energy Physics. Homepage: http://java.freehep.org/ Tag: implemented-in::java, role::shared-lib Section: java Priority: optional Filename: pool/main/f/freehep-graphicsio/libfreehep-graphicsio-java_2.1.1-3_all.deb Package: libfreehep-graphicsio-java-java Source: freehep-graphicsio-java Version: 2.1.1-1 Installed-Size: 112 Maintainer: Debian Java Maintainers Architecture: all Depends: junit, libfreehep-graphicsio-java, libfreehep-graphicsio-tests-java, openjdk-6-jre | java2-runtime Size: 35020 SHA256: 45288aac2901fd0d55e819809fd3d49e05a6393703fcbeb2707ec1de063ca275 SHA1: b801633fa60c9ecf528295c12893d19ca9a46a50 MD5sum: 6d4c4f44f301823f5b53f3b5f3f31b64 Description: FreeHEP Java Driver The GraphicsIO library offers a base interface for image exporters in many vector or bitmap image formats. It features direct support for GIF, PNG, PPM and RAW formats, as well as the ability to manage TrueType fonts. Support for other file types can be added with plugins. . This package contains a GraphicsIO plugin to export the java calls made to Graphics2D as source code, with the associated class, field and method definitions, resulting in a class which, when run will produce the same display. Generating such source code may be helpful in setting up test cases without a lot of machinery around it and in debugging problems for different formats. . FreeHEP is a collection of Java libraries used in High Energy Physics. Homepage: http://java.freehep.org/ Section: java Priority: optional Filename: pool/main/f/freehep-graphicsio-java/libfreehep-graphicsio-java-java_2.1.1-1_all.deb Package: libfreehep-graphicsio-pdf-java Source: freehep-graphicsio-pdf Version: 2.1.1+dfsg-1 Installed-Size: 152 Maintainer: Debian Java Maintainers Architecture: all Depends: junit, libfreehep-graphicsio-java, libfreehep-graphicsio-tests-java, openjdk-6-jre | java2-runtime Size: 71620 SHA256: 8bf827c66808b590a6c4e71bfe2223c43bac44325c5c93fd3b011449d0405f34 SHA1: 1d4e41afe511f405953915dd2145b9a983dcf5ef MD5sum: fb1ad52eb5f117431ca3696c97cf0937 Description: FreeHEP Portable Document Format Driver The GraphicsIO library offers a base interface for image exporters in many vector or bitmap image formats. It features direct support for GIF, PNG, PPM and RAW formats, as well as the ability to manage TrueType fonts. Support for other file types can be added with plugins. . This package contains a GraphicsIO plugin to export to Portable Document Format. . FreeHEP is a collection of Java libraries used in High Energy Physics. Homepage: http://java.freehep.org/ Tag: implemented-in::java, role::shared-lib Section: java Priority: optional Filename: pool/main/f/freehep-graphicsio-pdf/libfreehep-graphicsio-pdf-java_2.1.1+dfsg-1_all.deb Package: libfreehep-graphicsio-ps-java Source: freehep-graphicsio-ps Version: 2.1.1-1 Installed-Size: 124 Maintainer: Debian Java Maintainers Architecture: all Depends: junit, libfreehep-graphicsio-java, libfreehep-graphicsio-tests-java, openjdk-6-jre | java2-runtime Size: 46646 SHA256: cce8086dd3ced9c0ddb0793e22ba21933ff8713f66151899e0d625a9bfe6689a SHA1: 7c74c8aaaf95793c9e2a68de98b4c85cd27628eb MD5sum: 96ab7daefd7f7f05b1220d6a3591376d Description: FreeHEP (Encapsulated) PostScript Driver The GraphicsIO library offers a base interface for image exporters in many vector or bitmap image formats. It features direct support for GIF, PNG, PPM and RAW formats, as well as the ability to manage TrueType fonts. Support for other file types can be added with plugins. . This package contains a GraphicsIO plugin to export to PostScript format. . FreeHEP is a collection of Java libraries used in High Energy Physics. Homepage: http://java.freehep.org/ Section: java Priority: optional Filename: pool/main/f/freehep-graphicsio-ps/libfreehep-graphicsio-ps-java_2.1.1-1_all.deb Package: libfreehep-graphicsio-svg-java Source: freehep-graphicsio-svg Version: 2.1.1-3 Installed-Size: 100 Maintainer: Debian Java Maintainers Architecture: all Depends: junit, libfreehep-graphicsio-java, libfreehep-graphicsio-tests-java, libfreehep-xml-java, openjdk-6-jre | java2-runtime Size: 24808 SHA256: 040274db77701161dac8d1ae5b2397bbe33a046e90607d91d9b34a8d3813d676 SHA1: 568d63284dad2e8604545b51ef8fb22a82119470 MD5sum: 5e743f72339cb0cfd3092a345260ede8 Description: FreeHEP Scalable Vector Graphics Driver The GraphicsIO library offers a base interface for image exporters in many vector or bitmap image formats. It features direct support for GIF, PNG, PPM and RAW formats, as well as the ability to manage TrueType fonts. Support for other file types can be added with plugins. . This package contains a GraphicsIO plugin to export to SVG format. . FreeHEP is a collection of Java libraries used in High Energy Physics. Homepage: http://java.freehep.org/ Section: java Priority: optional Filename: pool/main/f/freehep-graphicsio-svg/libfreehep-graphicsio-svg-java_2.1.1-3_all.deb Package: libfreehep-graphicsio-swf-java Source: freehep-graphicsio-swf Version: 2.1.1+dfsg-1 Installed-Size: 256 Maintainer: Debian Java Maintainers Architecture: all Depends: junit, libfreehep-graphicsio-java, libfreehep-graphicsio-tests-java, openjdk-6-jre | java2-runtime Size: 160276 SHA256: c3e83bf75e4e4b469e0df9a76c2e02cc3f1e8b5968d4d071869885e81160799d SHA1: 5036b0edeb3e3db4ed4edf4ddbd157ccd6f7526d MD5sum: e956e718db79e6c613262f6dc4aea759 Description: FreeHEP SWF (Flash) Driver The GraphicsIO library offers a base interface for image exporters in many vector or bitmap image formats. It features direct support for GIF, PNG, PPM and RAW formats, as well as the ability to manage TrueType fonts. Support for other file types can be added with plugins. . This package contains a GraphicsIO plugin to export to SWF (Flash) format. . FreeHEP is a collection of Java libraries used in High Energy Physics. Homepage: http://java.freehep.org/ Section: java Priority: optional Filename: pool/main/f/freehep-graphicsio-swf/libfreehep-graphicsio-swf-java_2.1.1+dfsg-1_all.deb Package: libfreehep-graphicsio-tests-java Source: freehep-graphicsio-tests Version: 2.1.1+dfsg1-3 Installed-Size: 184 Maintainer: Debian Java Maintainers Architecture: all Depends: junit, libfreehep-export-java, libfreehep-graphicsio-java, libjas-plotter-java, openjdk-6-jre | java2-runtime Size: 104088 SHA256: 8427c7c4861cf21f971fd5df1d13b1d62429994dc46a72272e9ac9ed6f03554f SHA1: 84468f5b48f2a8bce10f651f3e2d4bb8978ea098 MD5sum: 245f92a63573a2e05afb6f4ff7475d00 Description: FreeHEP GraphicsIO Test Library The GraphicsIO library offers a base interface for image exporters in many vector or bitmap image formats. It features direct support for GIF, PNG, PPM and RAW formats, as well as the ability to manage TrueType fonts. Support for other file types con be added with plugins. . This package contains a series of tests used within GraphicsIO or its plugins. . FreeHEP is a collection of Java libraries used in High Energy Physics. Homepage: http://java.freehep.org/ Tag: implemented-in::java, role::shared-lib Section: java Priority: optional Filename: pool/main/f/freehep-graphicsio-tests/libfreehep-graphicsio-tests-java_2.1.1+dfsg1-3_all.deb Package: libfreehep-io-java Source: freehep-io Version: 2.0.2-4 Installed-Size: 144 Maintainer: Debian Java Maintainers Architecture: all Depends: junit (>= 3.8.2) Size: 60586 SHA256: 045e1f26befa5a0aa7bdb870de5afda898aeaf8a2b6e9ffeab1cbc76108f31f8 SHA1: 85b65ccafe57643cc1bfd2e9fe017ca624de5377 MD5sum: 684910a144c1b77bdb7437d55bc31f44 Description: FreeHEP I/O library This library offers a collection of utility subclasses of standard IO Java classes (such as InputStrem, OutputStream, Reader and Writer), which help in dealing with encodings, byte order, tagged streams or other common IO-related issues. It is mainly used by other libraries within the FreeHEP project. . FreeHEP is a collection of Java libraries used in High Energy Physics. Homepage: http://java.freehep.org/ Tag: implemented-in::java, role::shared-lib Section: java Priority: optional Filename: pool/main/f/freehep-io/libfreehep-io-java_2.0.2-4_all.deb Package: libfreehep-swing-java Source: freehep-swing Version: 2.0.3-3 Installed-Size: 288 Maintainer: Debian Java Maintainers Architecture: all Depends: junit, libfreehep-util-java, openjdk-6-jre | java2-runtime Size: 189674 SHA256: 0a1af7048fab5a2b3c71a67594621615845bfec8177a69a9dbc8a543b5b58710 SHA1: 179d4f30e5dc9b804443b856438cf0b9221a6d3d MD5sum: eef5a5d504fc1a12bc4f1992997932b3 Description: FreeHEP swing extensions This library contains a collection of utility classes built on top of the Java Swing framework. It contains new Swing elements, extensions of other elements already in Swing and other wrapper classes used to facilitate the use of the Swing library. It is mainly used by other libraries within the FreeHEP project. . FreeHEP is a collection of Java libraries used in High Energy Physics. Homepage: http://java.freehep.org/ Tag: implemented-in::java, role::shared-lib Section: java Priority: optional Filename: pool/main/f/freehep-swing/libfreehep-swing-java_2.0.3-3_all.deb Package: libfreehep-util-java Source: freehep-util Version: 2.0.2-5 Installed-Size: 104 Maintainer: Debian Java Maintainers Architecture: all Depends: junit (>= 3.8.2), libnb-org-openide-util-java Size: 47698 SHA256: 8be6295b69b6b8da7f78597447927d284e086bfcedb1a7123815eda1119c3e19 SHA1: 23387405844a67aeb430e60de9caaed8f76b4cb9 MD5sum: 94764b9b31bf3b6d744bcac3274d09d4 Description: FreeHEP utility library This library contains miscellaneous utility classes used in other FreeHEP components, such as classes dealing with physical errors and scientific notation, assertions, hash tables, option parsers and others. . FreeHEP is a collection of Java libraries used in High Energy Physics. Homepage: http://java.freehep.org/ Tag: implemented-in::java, role::shared-lib Section: java Priority: optional Filename: pool/main/f/freehep-util/libfreehep-util-java_2.0.2-5_all.deb Package: libfreehep-xml-java Source: freehep-xml Version: 2.1.2+dfsg1-3 Installed-Size: 216 Maintainer: Debian Java Maintainers Architecture: all Depends: junit, libfreehep-io-java, libfreehep-swing-java, libfreehep-util-java, libjdom1-java, openjdk-6-jre | java2-runtime Size: 132512 SHA256: 6548f304782c89462e61dc007835c6ec3a889061e0ea31b01780c5c9107bff42 SHA1: 1e8737f3c60d3ac2ba3a1cc30d0f0c9d0790fd2d MD5sum: c939f29c51a8415c31d5dad303c6c088 Description: FreeHEP XML Library This library provides tools to read and write XML and XHTML files. You can write XML and XHTML files using a Writer-like interface, with additional methods to add tags and attributes, or save and restore on object configuration with XML. . FreeHEP is a collection of Java libraries used in High Energy Physics. Homepage: http://java.freehep.org/ Tag: implemented-in::java, role::shared-lib, works-with-format::xml Section: java Priority: optional Filename: pool/main/f/freehep-xml/libfreehep-xml-java_2.1.2+dfsg1-3_all.deb Package: libfreeimage-dev Source: freeimage Version: 3.15.1-1.1+deb7u1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 3906 Depends: libfreeimage3 (= 3.15.1-1.1+deb7u1) Homepage: http://freeimage.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/f/freeimage/libfreeimage-dev_3.15.1-1.1+deb7u1_armhf.deb Size: 1949042 SHA256: 14543cb3d57b002ab32f675e33692552a0f01f3fc4d66ae48c329b65124b9801 SHA1: d192bfb63305544a3d729eda2f90e707cc0da213 MD5sum: a751a0ef6216cddb6dcc8991806a0306 Description: Support library for graphics image formats (development files) FreeImage is an Open Source C/C++ library project for developers who would like to support popular graphics image formats like PNG, BMP, JPEG, TIFF and others as needed by today's multimedia applications. FreeImage is easy to use, fast, multithreading safe, and cross-platform (works both with Linux, 32 bit Windows and Mac OS X). . This package contains the headers and static libraries needed to develop programs using FreeImage. Package: libfreeimage3 Source: freeimage Version: 3.15.1-1.1+deb7u1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 1742 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libilmbase6 (>= 1.0.1), libjpeg8 (>= 8c), liblcms2-2, libmng1 (>= 1.0.10), libopenexr6 (>= 1.6.1), libopenjpeg2, libpng12-0 (>= 1.2.13-4), libraw5 (>= 0.14.6), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Homepage: http://freeimage.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/f/freeimage/libfreeimage3_3.15.1-1.1+deb7u1_armhf.deb Size: 767714 SHA256: 47003bd57410f39804c03419a7b70bda9cdd7f2c27b539d3b24db40b898a864d SHA1: c88172dec5fdd1e2d9b08fac422e6d3ec5038e5b MD5sum: f706c311f29e874f0754ce3f6ed1331b Description: Support library for graphics image formats (library) FreeImage is an Open Source C/C++ library project for developers who would like to support popular graphics image formats like PNG, BMP, JPEG, TIFF and others as needed by today's multimedia applications. FreeImage is easy to use, fast, multithreading safe, and cross-platform (works both with Linux, 32 bit Windows and Mac OS X). . This package contains the FreeImage library. Package: libfreeimage3-dbg Source: freeimage Version: 3.15.1-1.1+deb7u1 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 6843 Depends: libfreeimage3 (= 3.15.1-1.1+deb7u1) Homepage: http://freeimage.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/f/freeimage/libfreeimage3-dbg_3.15.1-1.1+deb7u1_armhf.deb Size: 2452892 SHA256: 2d0b105a331ba0c146dd3bb34db7f0b353b1511303cdcb5ba1cf630487c63388 SHA1: aedd68fb37f39d59e5c487a79345cae8a821ef70 MD5sum: 75c867107e989675d643396fad0df3f5 Description: Support library for graphics image formats (debugging symbols) FreeImage is an Open Source C/C++ library project for developers who would like to support popular graphics image formats like PNG, BMP, JPEG, TIFF and others as needed by today's multimedia applications. FreeImage is easy to use, fast, multithreading safe, and cross-platform (works both with Linux, 32 bit Windows and Mac OS X). . This package contains the debugging symbols for the FreeImage library. Package: libfreeipmi-dev Source: freeipmi Version: 1.1.5-3 Architecture: armhf Maintainer: Yaroslav Halchenko Installed-Size: 5645 Depends: libfreeipmi12 (= 1.1.5-3) Homepage: http://www.gnu.org/software/freeipmi/ Priority: extra Section: libdevel Filename: pool/main/f/freeipmi/libfreeipmi-dev_1.1.5-3_armhf.deb Size: 1173056 SHA256: aae5fc620e9bd392e43e1acb4ae4fe5aac9bb95be481023c893b4cf3ee30f46b SHA1: 5969d76cbab24b9567570a62350edbf47c8fbdf2 MD5sum: e6e84dcaaca90f66c5517a1b265b48e3 Description: GNU IPMI - development package FreeIPMI is a collection of Intelligent Platform Management IPMI system software. It provides in-band and out-of-band software and a development library conforming to the Intelligent Platform Management Interface (IPMI v1.5 and v2.0) standards. . This is the development package for libfreeipmi. Package: libfreeipmi12 Source: freeipmi Version: 1.1.5-3 Architecture: armhf Maintainer: Yaroslav Halchenko Installed-Size: 3733 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), freeipmi-common (= 1.1.5-3) Homepage: http://www.gnu.org/software/freeipmi/ Priority: extra Section: libs Filename: pool/main/f/freeipmi/libfreeipmi12_1.1.5-3_armhf.deb Size: 947476 SHA256: 74f8f38a5511d5811f0e08e2b23d82abcf99b13963e6b735f1807946340abd77 SHA1: 1ea8dccdb1d97a77f62863e3c08a8a859450ae2e MD5sum: d49b236befb2b36adb345b7ee0913e75 Description: GNU IPMI - libraries FreeIPMI is a collection of Intelligent Platform Management IPMI system software. It provides in-band and out-of-band software and a development library conforming to the Intelligent Platform Management Interface (IPMI v1.5 and v2.0) standards. . OpenIPMI, KCS, SMIC, SSIF, LAN drivers, and an IPMI API in a C Library. Package: libfreemarker-java Version: 2.3.18-1 Installed-Size: 908 Maintainer: Debian Java Maintainers Architecture: all Depends: libcommons-logging-java, libservlet2.5-java, libslf4j-java Suggests: jython, libdom4j-java, libjaxen-java, libjdom1-java, librhino-java, libxalan2-java Size: 787110 SHA256: bcb93ea58617cd5eb461596d43076fb3d574996af643ed3fbc1a1d780e965d0a SHA1: 83f47754f75a9a7b2f81ddd37619dab90a105abe MD5sum: edaf2c8fe225c6131e702adabbeaa429 Description: template engine written in Java FreeMarker is a "template engine"; a generic tool to generate text output (anything from HTML to autogenerated source code) based on templates. It's a Java package, a class library for Java programmers. It's not an application for end-users in itself, but something that programmers can embed into their products. . FreeMarker is designed to be practical for the generation of HTML Web pages, particularly by servlet-based applications following the MVC (Model View Controller) pattern. The idea behind using the MVC pattern for dynamic Web pages is that you separate the designers (HTML authors) from the programmers. Everybody works on what they are good at. Designers can change the appearance of a page without programmers having to change or recompile code, because the application logic (Java programs) and page design (FreeMarker templates) are separated. Templates do not become polluted with complex program fragments. This separation is useful even for projects where the programmer and the HMTL page author is the same person, since it helps to keep the application clear and easily maintainable. Homepage: http://www.freemarker.org/ Tag: devel::lang:java, devel::library, devel::web, implemented-in::java, interface::web, role::devel-lib, role::shared-lib, web::scripting Section: java Priority: optional Filename: pool/main/libf/libfreemarker-java/libfreemarker-java_2.3.18-1_all.deb Package: libfreemarker-java-doc Source: libfreemarker-java Version: 2.3.18-1 Installed-Size: 8344 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc, jython-doc, libcommons-jxpath-java, libdom4j-java-doc, libjaxen-java-doc, libjdom1-java-doc, liblog4j1.2-java-doc, librhino-java-doc, libxalan2-java-doc, libxerces2-java-doc Suggests: libfreemarker-java Size: 562166 SHA256: d80dcc6307f61a16c3f7da2867275137bcf866297b91e648fbafc10033eb8641 SHA1: cd197e880f65c63c9a7309b1e19ce06c56f09f8e MD5sum: 51d3ac38e6b82d24b4bee8d8a57e41ce Description: template engine written in Java (documentation) FreeMarker is a "template engine"; a generic tool to generate text output (anything from HTML to autogenerated source code) based on templates. It's a Java package, a class library for Java programmers. It's not an application for end-users in itself, but something that programmers can embed into their products. . FreeMarker is designed to be practical for the generation of HTML Web pages, particularly by servlet-based applications following the MVC (Model View Controller) pattern. The idea behind using the MVC pattern for dynamic Web pages is that you separate the designers (HTML authors) from the programmers. Everybody works on what they are good at. Designers can change the appearance of a page without programmers having to change or recompile code, because the application logic (Java programs) and page design (FreeMarker templates) are separated. Templates do not become polluted with complex program fragments. This separation is useful even for projects where the programmer and the HMTL page author is the same person, since it helps to keep the application clear and easily maintainable. . This package contains the documentation of libfreemarker-java. Homepage: http://www.freemarker.org/ Tag: devel::web, interface::web, made-of::html, role::documentation, web::scripting Section: doc Priority: optional Filename: pool/main/libf/libfreemarker-java/libfreemarker-java-doc_2.3.18-1_all.deb Package: libfreenect-bin Source: libfreenect Version: 1:0.1.2+dfsg-6 Architecture: armhf Maintainer: Nicolas Bourdaud Installed-Size: 119 Depends: freeglut3, libc6 (>= 2.13-28), libfreenect0.1 (>= 1:0.1.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libstdc++6 (>= 4.4.0) Breaks: libfreenect-demos (<< 1:0.1.2+dfsg-1) Replaces: libfreenect-demos (<< 1:0.1.2+dfsg-1) Homepage: http://openkinect.org/ Priority: extra Section: utils Filename: pool/main/libf/libfreenect/libfreenect-bin_0.1.2+dfsg-6_armhf.deb Size: 37864 SHA256: 64c45b3394e1eb67c59bd7e98d180fca90bb8707e47c13bc83adceb7e6284558 SHA1: 20dd2ece264168f164c10b39113bbf71fc626a91 MD5sum: b56de574977b4973f03f6baac6baa3be Description: library for accessing Kinect device -- utilities and samples libfreenect is a cross-platform library that provides the necessary interfaces to activate, initialize, and communicate data with the Kinect hardware. Currently, the library supports access to RGB and depth video streams, motors, accelerometer and LED and provide binding in different languages (C++, Python...) . This library is the low level component of the OpenKinect project which is an open community of people interested in making use of the Xbox Kinect hardware with PCs and other devices. . This package includes utilities and sample programs for kinect. Package: libfreenect-demos Source: libfreenect Version: 1:0.1.2+dfsg-6 Architecture: armhf Maintainer: Nicolas Bourdaud Installed-Size: 32 Depends: libfreenect-bin Homepage: http://openkinect.org/ Priority: extra Section: libdevel Filename: pool/main/libf/libfreenect/libfreenect-demos_0.1.2+dfsg-6_armhf.deb Size: 7192 SHA256: 224507d21761dc202fe1d4bca3065d464cddd509922af64f081ec04ec234ad67 SHA1: b3cb58a43bc52d634fe5239bba524ac4be5b933e MD5sum: 317355aff3af04eb4251c219ba339266 Description: library for accessing Kinect device -- dummy package libfreenect is a cross-platform library that provides the necessary interfaces to activate, initialize, and communicate data with the Kinect hardware. Currently, the library supports access to RGB and depth video streams, motors, accelerometer and LED and provide binding in different languages (C++, Python...) . This library is the low level component of the OpenKinect project which is an open community of people interested in making use of the Xbox Kinect hardware with PCs and other devices. . This package is a metapackage to do the transition from libfreenect-demos to libfreenect-bin. This package can be removed after installation. Package: libfreenect-dev Source: libfreenect Version: 1:0.1.2+dfsg-6 Architecture: armhf Maintainer: Nicolas Bourdaud Installed-Size: 92 Depends: libfreenect0.1 (= 1:0.1.2+dfsg-6) Multi-Arch: same Homepage: http://openkinect.org/ Priority: extra Section: libdevel Filename: pool/main/libf/libfreenect/libfreenect-dev_0.1.2+dfsg-6_armhf.deb Size: 17274 SHA256: 32bc0219198f56bb4fc7c14a271594f13692ec2faaaf1f779744664fd199f484 SHA1: b111a11641718a4f8d78e1bfd2ddf02244b021b8 MD5sum: 6379569b8b0c186e0796a0c43e1889fe Description: library for accessing Kinect device -- development files libfreenect is a cross-platform library that provides the necessary interfaces to activate, initialize, and communicate data with the Kinect hardware. Currently, the library supports access to RGB and depth video streams, motors, accelerometer and LED and provide binding in different languages (C++, Python...) . This library is the low level component of the OpenKinect project which is an open community of people interested in making use of the Xbox Kinect hardware with PCs and other devices. . This is the development package containing the libraries and header for software development with libfreenect. Package: libfreenect-doc Source: libfreenect Version: 1:0.1.2+dfsg-6 Installed-Size: 482 Maintainer: Nicolas Bourdaud Architecture: all Size: 90642 SHA256: 027df75d4277fdb28d3db76b287208ff560d487aaa9cc29d4ce45c8dc02b2d28 SHA1: a321ed1998439d2d175858ba47966b922f98baa5 MD5sum: 242940813cde4d717000209d4a663c5b Description: library for accessing Kinect device -- documentation libfreenect is a cross-platform library that provides the necessary interfaces to activate, initialize, and communicate data with the Kinect hardware. Currently, the library supports access to RGB and depth video streams, motors, accelerometer and LED and provide binding in different languages (C++, Python...) . This library is the low level component of the OpenKinect project which is an open community of people interested in making use of the Xbox Kinect hardware with PCs and other devices. . This package contains the documentation of the API of libfreenect. Multi-Arch: foreign Homepage: http://openkinect.org/ Section: doc Priority: extra Filename: pool/main/libf/libfreenect/libfreenect-doc_0.1.2+dfsg-6_all.deb Package: libfreenect0.1 Source: libfreenect Version: 1:0.1.2+dfsg-6 Architecture: armhf Maintainer: Nicolas Bourdaud Installed-Size: 128 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libusb-1.0-0 (>= 2:1.0.8) Conflicts: libfreenect Multi-Arch: same Homepage: http://openkinect.org/ Priority: extra Section: libs Filename: pool/main/libf/libfreenect/libfreenect0.1_0.1.2+dfsg-6_armhf.deb Size: 35610 SHA256: e50baa3a16a52861c203a057ccffe62832ebf9e681110cb24c25689b59044e46 SHA1: ba913baf63c9b2c12782fdb604dccccad4b944ba MD5sum: 786d2fe3c19d50b0d31fcd836abdb0c1 Description: library for accessing Kinect device libfreenect is a cross-platform library that provides the necessary interfaces to activate, initialize, and communicate data with the Kinect hardware. Currently, the library supports access to RGB and depth video streams, motors, accelerometer and LED and provide binding in different languages (C++, Python...) . This library is the low level component of the OpenKinect project which is an open community of people interested in making use of the Xbox Kinect hardware with PCs and other devices. . This package contains the shared library of libfreenect. Package: libfreeradius-dev Source: freeradius Version: 2.1.12+dfsg-1.2+deb7u2 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 354 Depends: libfreeradius2 (= 2.1.12+dfsg-1.2+deb7u2) Homepage: http://www.freeradius.org/ Priority: optional Section: libdevel Filename: pool/main/f/freeradius/libfreeradius-dev_2.1.12+dfsg-1.2+deb7u2_armhf.deb Size: 150182 SHA256: 4dac9f589a921fe7f3ea9cfb365bb2f684021c18fd4b17b8bb736afe783096a9 SHA1: 9f23734f0e8d321d5efc6acd6efb3f7c08a62615 MD5sum: 67b4457ff7264fbf004a0bdc299a83b4 Description: FreeRADIUS shared library development files The FreeRADIUS projects' libfreeradius-radius and libfreeradius-eap, used by the FreeRADIUS server and some of the utilities. . This package contains the development headers and static library version. Package: libfreeradius2 Source: freeradius Version: 2.1.12+dfsg-1.2+deb7u2 Architecture: armhf Maintainer: Josip Rodin Installed-Size: 183 Depends: libc6 (>= 2.13-28) Homepage: http://www.freeradius.org/ Priority: optional Section: net Filename: pool/main/f/freeradius/libfreeradius2_2.1.12+dfsg-1.2+deb7u2_armhf.deb Size: 109646 SHA256: 720fcb32779478a2f615037c2aaee014e28137435823ff66a9a6c98459ed084d SHA1: 4e9078522d3e7a2388188952e20e56e6167452e1 MD5sum: 99dee584ab84e6910c1f41bb86328440 Description: FreeRADIUS shared library The FreeRADIUS projects' libfreeradius-radius and libfreeradius-eap, used by the FreeRADIUS server and some of the utilities. Package: libfreerdp-dev Source: freerdp Version: 1.0.1-1.1+deb7u4 Architecture: armhf Maintainer: Mike Gabriel Installed-Size: 253 Depends: libfreerdp1 (= 1.0.1-1.1+deb7u4), libfreerdp-plugins-standard (= 1.0.1-1.1+deb7u4) Homepage: http://www.freerdp.com/ Priority: optional Section: libdevel Filename: pool/main/f/freerdp/libfreerdp-dev_1.0.1-1.1+deb7u4_armhf.deb Size: 56094 SHA256: 5fc1d0d7150614d9b0e94b73fa9909a430d35ba37728f9119898f6e55a222fa1 SHA1: 9d77004a65cda28f681dc00afe47b254170685da MD5sum: a51c3cbe102d106aa17ef788b706bccd Description: RDP client for Windows Terminal Services (development) FreeRDP is a client for Windows Terminal Services implementing the Remote Desktop Protocol (RDP). . This package contains the development files. Package: libfreerdp-plugins-standard Source: freerdp Version: 1.0.1-1.1+deb7u4 Architecture: armhf Maintainer: Mike Gabriel Installed-Size: 218 Pre-Depends: multiarch-support Depends: libasound2 (>= 1.0.16), libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.20), libavutil51 (>= 6:0.8.3-1~), libc6 (>= 2.13-28), libcups2 (>= 1.4.0), libfreerdp1 (>= 1.0~beta5), libgcc1 (>= 1:4.4.0) Multi-Arch: same Homepage: http://www.freerdp.com/ Priority: optional Section: libs Filename: pool/main/f/freerdp/libfreerdp-plugins-standard_1.0.1-1.1+deb7u4_armhf.deb Size: 80720 SHA256: aeabd005d43221d84e6db30ff90a6a2904b2947faa94335b6bceab033d3d22c4 SHA1: d829d14f9fd11329ec636b3d96c5f26a64d854cd MD5sum: 3818eff851930d9b588bedcf92769c8e Description: RDP client for Windows Terminal Services (plugins) FreeRDP is a client for Windows Terminal Services implementing the Remote Desktop Protocol (RDP). . This package contains the plugins available to the Channel Manager extending the RDP core functionality, such as sounds, clipboard synchronisation, disk/printer redirection, etc. Package: libfreerdp1 Source: freerdp Version: 1.0.1-1.1+deb7u4 Architecture: armhf Maintainer: Mike Gabriel Installed-Size: 537 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), libx11-6, libxkbfile1, zlib1g (>= 1:1.1.4) Suggests: xfreerdp Multi-Arch: same Homepage: http://www.freerdp.com/ Priority: optional Section: libs Filename: pool/main/f/freerdp/libfreerdp1_1.0.1-1.1+deb7u4_armhf.deb Size: 212208 SHA256: 2ca1c67edfb3f1769fd09c9e783f0ebe2841d77c3c7999427d65e4a3cc5f845b SHA1: 80dfbb9c5a4e56b63e9c9c45345ef95f10f0a996 MD5sum: 18dc67300ecfd3b3b7d46eb3518b07df Description: RDP client for Windows Terminal Services (library) FreeRDP is a client for Windows Terminal Services implementing the Remote Desktop Protocol (RDP). . This package contains the shared library. Package: libfreetype6 Source: freetype Version: 2.4.9-1.1+deb7u7 Architecture: armhf Maintainer: Steve Langasek Installed-Size: 655 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://www.freetype.org Priority: optional Section: libs Filename: pool/main/f/freetype/libfreetype6_2.4.9-1.1+deb7u7_armhf.deb Size: 402146 SHA256: 63c0b77e38812f9ae0c26259f1899dad72a6701f36cffd38572487ae1fb5d2d8 SHA1: 055befc8e23841444c6c3285eada25379410c139 MD5sum: 8728b8fca44e6b252ce8dec1719560ab Description: FreeType 2 font engine, shared library files The FreeType project is a team of volunteers who develop free, portable and high-quality software solutions for digital typography. They specifically target embedded systems and focus on bringing small, efficient and ubiquitous products. . The FreeType 2 library is their new software font engine. It has been designed to provide the following important features: * A universal and simple API to manage font files * Support for several font formats through loadable modules * High-quality anti-aliasing * High portability & performance . Supported font formats include: * TrueType files (.ttf) and collections (.ttc) * Type 1 font files both in ASCII (.pfa) or binary (.pfb) format * Type 1 Multiple Master fonts. The FreeType 2 API also provides routines to manage design instances easily * Type 1 CID-keyed fonts * OpenType/CFF (.otf) fonts * CFF/Type 2 fonts * Adobe CEF fonts (.cef), used to embed fonts in SVG documents with the Adobe SVG viewer plugin. * Windows FNT/FON bitmap fonts . This package contains the files needed to run programs that use the FreeType 2 library. . Home Page: http://www.freetype.org/ Authors: David Turner Robert Wilhelm Werner Lemberg Package: libfreetype6-dev Source: freetype Version: 2.4.9-1.1+deb7u7 Architecture: armhf Maintainer: Steve Langasek Installed-Size: 3031 Depends: libfreetype6 (= 2.4.9-1.1+deb7u7), libc6-dev | libc-dev, zlib1g-dev | libz-dev Homepage: http://www.freetype.org Priority: optional Section: libdevel Filename: pool/main/f/freetype/libfreetype6-dev_2.4.9-1.1+deb7u7_armhf.deb Size: 748012 SHA256: f754c3bc5393b74be241d7ad49968a15ad7c54da177740b054387cc72670bd8e SHA1: c371debd0492c8b6e3ae45d1cc998e20fe7a3d60 MD5sum: d0168b500d6b7adbcfd280db78431202 Description: FreeType 2 font engine, development files The FreeType project is a team of volunteers who develop free, portable and high-quality software solutions for digital typography. They specifically target embedded systems and focus on bringing small, efficient and ubiquitous products. . This package contains all supplementary files (static library, headers and documentation) you need to develop your own programs using the FreeType 2 library. Package: libfreexl-dev Source: freexl Version: 1.0.0b-1+deb7u5 Architecture: armhf Maintainer: David Paleino Installed-Size: 103 Depends: libfreexl1 (= 1.0.0b-1+deb7u5) Homepage: http://www.gaia-gis.it/FreeXL/ Priority: extra Section: libdevel Filename: pool/main/f/freexl/libfreexl-dev_1.0.0b-1+deb7u5_armhf.deb Size: 28312 SHA256: 747a864dfa1f9a2564a2c97b797173ed791bc54a1f9486bd628489dde19e098b SHA1: 373f95eb30c259d6f76020d341b986a931076092 MD5sum: 7908b55764b9bc3b2933e2367307e004 Description: library for direct reading of Microsoft Excel spreadsheets - devel FreeXL is a C library implementing direct reading of Microsoft Excel spreadsheets, up to the BIFF8 file format specification (i.e. .xls, Microsoft Excel XP/2003 and older). The XML SS file format specification (.xlsx, Microsoft Excel 2007 and newer) is not supported. . This package contains the development headers. Package: libfreexl1 Source: freexl Version: 1.0.0b-1+deb7u5 Architecture: armhf Maintainer: David Paleino Installed-Size: 74 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://www.gaia-gis.it/FreeXL/ Priority: extra Section: libs Filename: pool/main/f/freexl/libfreexl1_1.0.0b-1+deb7u5_armhf.deb Size: 22550 SHA256: 8ce48ba7c289df7ad0815d0c57a4c0e85f5c25ffcac0cd63022e1e6fb97712fe SHA1: 82ad646d599649af5bc2263d4e665feb19119849 MD5sum: b97bf4946b0ba31f37960c31cf87a91a Description: library for direct reading of Microsoft Excel spreadsheets FreeXL is a C library implementing direct reading of Microsoft Excel spreadsheets, up to the BIFF8 file format specification (i.e. .xls, Microsoft Excel XP/2003 and older). The XML SS file format specification (.xlsx, Microsoft Excel 2007 and newer) is not supported. . This package contains the shared library. Package: libfreexl1-dbg Source: freexl Version: 1.0.0b-1+deb7u5 Architecture: armhf Maintainer: David Paleino Installed-Size: 83 Depends: libfreexl1 (= 1.0.0b-1+deb7u5) Homepage: http://www.gaia-gis.it/FreeXL/ Priority: extra Section: debug Filename: pool/main/f/freexl/libfreexl1-dbg_1.0.0b-1+deb7u5_armhf.deb Size: 38838 SHA256: 68cf041be729d4ebfccb6f89751d071990580bf425e4f6ad6b47b2d4b0753353 SHA1: 7587c1d623a66e7cb5773b6d4d66ba048afc7224 MD5sum: a76cf37d0c5e656845b8e401ffcc635d Description: library for direct reading of Microsoft Excel spreadsheets - debug FreeXL is a C library implementing direct reading of Microsoft Excel spreadsheets, up to the BIFF8 file format specification (i.e. .xls, Microsoft Excel XP/2003 and older). The XML SS file format specification (.xlsx, Microsoft Excel 2007 and newer) is not supported. . This package contains the debugging symbols. Package: libfreeze34 Source: zeroc-ice Version: 3.4.2-8.2 Architecture: armhf Maintainer: Francisco Moya Installed-Size: 1165 Depends: libc6 (>= 2.13-28), libdb5.1++, libgcc1 (>= 1:4.4.0), libiceutil34, libstdc++6 (>= 4.6), libzeroc-ice34 Homepage: http://www.zeroc.com/ Priority: optional Section: libs Filename: pool/main/z/zeroc-ice/libfreeze34_3.4.2-8.2_armhf.deb Size: 336130 SHA256: ec6fd08654995721ee94b75e32399565eab26cab14cce5307e00e2ce5e54d6d0 SHA1: ca8e6d65acdbbf12371b7b8e375ab5e58713f102 MD5sum: d06c898084be09c8839b069d1a7f609f Description: Libraries implementing a persistence framework for ZeroC Ice Ice, the Internet Communications Engine, is a middleware for the practical programmer developed by ZeroC Inc. A high-performance Internet communications platform, Ice includes a wealth of layered services and plug-ins. . Ice is built on concepts which will be familiar to CORBA programmers, and supports a wide variety of programming languages and runtime platforms. . Freeze is the persistence framework included in Ice. This package installs the freeze libraries. You will find further information in the ZeroC home page (http://www.zeroc.com/ice.html) and in the non-free package zeroc-ice-manual. Package: libfreezethaw-perl Version: 0.5001-1 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 18206 SHA256: 70948e095ddd0c85db7bbfafdd0f6132fdee19ca64fc4f44ad2dd69c89e41dca SHA1: 673705624f4c7e95f0fab64f9104d21db1042950 MD5sum: ca65e95bc9f1225b5cc67d911d170030 Description: module to serialize and deserialize Perl data structures FreezeThaw is a Perl module designed to convert data to and from a serialized string format, appropriate for saving to and reading from permanent storage. It is capable of dealing with objects, circular lists and repeated appearance of the same reference. Homepage: http://search.cpan.org/dist/FreezeThaw/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::storing Section: perl Priority: optional Filename: pool/main/libf/libfreezethaw-perl/libfreezethaw-perl_0.5001-1_all.deb Package: libfribidi-bin Source: fribidi Version: 0.19.2-3 Architecture: armhf Maintainer: Debian Hebrew Packaging Team Installed-Size: 63 Depends: libc6 (>= 2.4), libfribidi0 (>= 0.19.2) Breaks: libfribidi0 (<< 0.19.2-3) Replaces: libfribidi0 (<< 0.19.2-3) Homepage: http://www.fribidi.org/ Priority: optional Section: utils Filename: pool/main/f/fribidi/libfribidi-bin_0.19.2-3_armhf.deb Size: 19544 SHA256: b8cde04abe02a963872e67fdc92e2f110d4f0a561df0da159e4901277493be59 SHA1: 0bed15d62dc4a84867737166ccd16e12c9ba8917 MD5sum: 4edec102d14ea2f6c2436819e073ea2a Description: Free Implementation of the Unicode BiDi algorithm (utility) FriBiDi is a BiDi algorithm implementation for Hebrew and/or Arabic languages. This package contains a command line interface for the fribidi library. Package: libfribidi-dev Source: fribidi Version: 0.19.2-3 Architecture: armhf Maintainer: Debian Hebrew Packaging Team Installed-Size: 273 Depends: libfribidi0 (= 0.19.2-3) Homepage: http://www.fribidi.org/ Priority: optional Section: libdevel Filename: pool/main/f/fribidi/libfribidi-dev_0.19.2-3_armhf.deb Size: 78388 SHA256: 59f17b7af7ff69747e7da74602d861a92a75de2acf0ff8d15d4a20998f35f228 SHA1: 056030179e0242924a22f8f19d9daa1d578c35b2 MD5sum: 36ffe622fa391a14c3d4964fcba1bac7 Description: Development files for FreeBidi library FriBiDi is a BiDi algorithm implementation for Hebrew and/or Arabic languages. This package contains the header files and static libraries for the FriBidi C library. Package: libfribidi0 Source: fribidi Version: 0.19.2-3 Architecture: armhf Maintainer: Debian Hebrew Packaging Team Installed-Size: 131 Pre-Depends: multiarch-support Depends: libc6 (>= 2.4) Multi-Arch: same Homepage: http://www.fribidi.org/ Priority: optional Section: libs Filename: pool/main/f/fribidi/libfribidi0_0.19.2-3_armhf.deb Size: 35552 SHA256: da66831e35b1de293f606ba0b6f69ce8e98b9d53b43abd5704e15ec4a8af18cd SHA1: f394dbcaee08c995b1cbd586fd066dc2a4325dd0 MD5sum: 2d4425dc88a71d0ee9e318eec35c1985 Description: Free Implementation of the Unicode BiDi algorithm FriBiDi is a BiDi algorithm implementation for Hebrew and/or Arabic languages. This package contains the shared libraries. Package: libfrontier-rpc-perl Version: 0.07b4-6 Installed-Size: 152 Maintainer: Debian Perl Group Architecture: all Depends: perl, libhttp-daemon-perl | libwww-perl (<< 6), libwww-perl, libxml-parser-perl Size: 38452 SHA256: a6ac53ce5224dd7949fed8eba4720a03a3e04bb3ba963b32247ab801fa095ec4 SHA1: 3fd57b1744fdccd2f19072f5621e7c3b2f79c5d7 MD5sum: 2604e24fcb8763e651226c5093e560bc Description: Perl module to implement RPC calls using XML requests Frontier::RPC implements UserLand Software's XML RPC (Remote Procedure Calls using Extensible Markup Language). Frontier::RPC includes both a client module for making requests to a server and a daemon module for implementing servers. Frontier::RPC uses RPC2 format messages. Homepage: http://search.cpan.org/dist/Frontier-RPC/ Tag: devel::lang:perl, devel::library, devel::rpc, implemented-in::perl, works-with-format::xml Section: perl Priority: optional Filename: pool/main/libf/libfrontier-rpc-perl/libfrontier-rpc-perl_0.07b4-6_all.deb Package: libfs-dev Source: libfs Version: 2:1.0.4-1+deb7u1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 141 Depends: libfs6 (= 2:1.0.4-1+deb7u1), x11proto-core-dev, x11proto-fonts-dev Multi-Arch: same Priority: optional Section: libdevel Filename: pool/main/libf/libfs/libfs-dev_1.0.4-1+deb7u1_armhf.deb Size: 41338 SHA256: d32ec9a9e895e420ecd7887d0a50b8c1ad1ab7cd82d229f20cadbb8cdc39f842 SHA1: 72493d29c891a17f4f85376f6a63fe7dce8e7bac MD5sum: 772539e3e7a3f65f1edce7fd0d68cdc6 Description: X11 Font Services library (development headers) libFS, the Font Services library, provides various functions useful to X11 font servers, and clients connecting to font servers. It is not used outside of these implementations. . This package contains the development headers for the library found in libfs6. Non-developers likely have little use for this package. . More information about X.Org can be found at: . This module can be found at git://anongit.freedesktop.org/git/xorg/lib/libFS Package: libfs6 Source: libfs Version: 2:1.0.4-1+deb7u1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 83 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libf/libfs/libfs6_1.0.4-1+deb7u1_armhf.deb Size: 32232 SHA256: b88b5fa06d0586bf1b81a82ed4b3295877b16d52b74d49a3535c4ed3f010be84 SHA1: 2e417611014c703d6a76ef485839ee7a091603bd MD5sum: 0819b2fc9eb10083779ace6fb98da295 Description: X11 Font Services library libFS, the Font Services library, provides various functions useful to X11 font servers, and clients connecting to font servers. It is not used outside of these implementations. . More information about X.Org can be found at: . This module can be found at git://anongit.freedesktop.org/git/xorg/lib/libFS Package: libfs6-dbg Source: libfs Version: 2:1.0.4-1+deb7u1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 219 Pre-Depends: multiarch-support Depends: libfs6 (= 2:1.0.4-1+deb7u1) Multi-Arch: same Priority: extra Section: debug Filename: pool/main/libf/libfs/libfs6-dbg_1.0.4-1+deb7u1_armhf.deb Size: 67754 SHA256: 7380e54870d221cb9b43b3f4aaf3d2bcd9006976cf30080fd498c058ab16e236 SHA1: 98e0db91837b0004b3f7c21fd5823944a7a76b43 MD5sum: d339593a7be67297d26f83e6723334f9 Description: X11 Font Services library (debug package) libFS, the Font Services library, provides various functions useful to X11 font servers, and clients connecting to font servers. It is not used outside of thse implementations. . This package contains the debug versions of the library found in libfs6. Non-developers likely have little use for this package. . More information about X.Org can be found at: . This module can be found at git://anongit.freedesktop.org/git/xorg/lib/libFS Package: libfso-glib-dbg Source: libfso-glib Version: 2012.05.24.1-1.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 1493 Depends: libfso-glib1 (= 2012.05.24.1-1.1) Homepage: http://freesmartphone.org/ Priority: extra Section: debug Filename: pool/main/libf/libfso-glib/libfso-glib-dbg_2012.05.24.1-1.1_armhf.deb Size: 885572 SHA256: 06334a989a32bc8352e8dfdd4b764f63079c6b3c9cf4c60c4440b7a4c7fb8092 SHA1: 94562f03fe81009997eef6877d80189dd67d5219 MD5sum: e50c3077a6f2ff524708578df4bbacb6 Description: debugging symbols for use with libfso-glib This package is part of the freesmartphone.org software stack and is targeted for smartphones. . The library offers C functions for all DBus methods specified in fso-specs. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libfso-glib-dev Source: libfso-glib Version: 2012.05.24.1-1.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 570 Depends: libfso-glib1 (= 2012.05.24.1-1.1), libglib2.0-dev (>= 2.26.0) Homepage: http://freesmartphone.org/ Priority: extra Section: libdevel Filename: pool/main/libf/libfso-glib/libfso-glib-dev_2012.05.24.1-1.1_armhf.deb Size: 42332 SHA256: 13f892c33e552fc0459d0e4ca4331c8057585a81c2add1259261253f18dea228 SHA1: 225e3f9cf4fe4bc2dab2b6587443064de44761cb MD5sum: fc789472316c7eed3dd6a76fb3c828c1 Description: Development files for libfso-glib This package is part of the freesmartphone.org software stack and is targeted for smartphones. . The library offers C functions for all DBus methods specified in fso-specs. . This package contains the development files for the library. Package: libfso-glib1 Source: libfso-glib Version: 2012.05.24.1-1.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 1100 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.26.0) Multi-Arch: same Homepage: http://freesmartphone.org/ Priority: extra Section: libs Filename: pool/main/libf/libfso-glib/libfso-glib1_2012.05.24.1-1.1_armhf.deb Size: 314384 SHA256: 27145d07d47f416eff78ac9feea3a4a3838f523b049515b64e65c03bd74ab287 SHA1: 8914766183200f9eb2800c0c7979d1ec5ec49b94 MD5sum: 14f8815d58164c86e5b5df1fa4d514a9 Description: freesmartphone.org GLib-based DBus bindings This package is part of the freesmartphone.org software stack and is targeted for smartphones. . The library offers C functions for all DBus methods specified in fso-specs. Package: libfsobasics-dbg Source: libfsobasics Version: 0.11.0-1.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 306 Depends: libfsobasics0 (= 0.11.0-1.1) Homepage: http://freesmartphone.org/ Priority: extra Section: debug Filename: pool/main/libf/libfsobasics/libfsobasics-dbg_0.11.0-1.1_armhf.deb Size: 217104 SHA256: b900e0d1d56f3c97fda62e0eb85882cb91885fec1b94ba1815fe0101b83075c4 SHA1: b39204c84466915b1305a311a6cde5d307e3f3a2 MD5sum: 494c365cec974e9979a7ed8b3e95d737 Description: debugging symbols for use with libfsobasics This library contains classes useful for logging and using configuration keyfiles. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libfsobasics-dev Source: libfsobasics Version: 0.11.0-1.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 139 Depends: libfsobasics0 (= 0.11.0-1.1), libnl-3-dev, libnl-route-3-dev Homepage: http://freesmartphone.org/ Priority: extra Section: libdevel Filename: pool/main/libf/libfsobasics/libfsobasics-dev_0.11.0-1.1_armhf.deb Size: 14254 SHA256: a582ce2aa021d6e99a7a9c4db574cc80b7a03eec3b2a0ca0067829bfe20827cc SHA1: 26fde17d489b0ce526f7c9378b7734b7c8e96a0f MD5sum: cd59fd0c5438da10e2beaf281e706cda Description: Development files for libfsobasics This library contains classes useful for logging and using configuration keyfiles. . Development files. Package: libfsobasics0 Source: libfsobasics Version: 0.11.0-1.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 239 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libnl-3-200 (>= 3.2.7), libnl-route-3-200 Multi-Arch: same Homepage: http://freesmartphone.org/ Priority: extra Section: libs Filename: pool/main/libf/libfsobasics/libfsobasics0_0.11.0-1.1_armhf.deb Size: 84798 SHA256: 79d9101805558415aef1ff591f8badd12626f1f092ed0a8cb7b0ab4b0b248fa9 SHA1: 12480e926267d5c98ed6dbbae4e1f29b501dbc93 MD5sum: 85b985702d2008d7ae6cf75d5a67bd17 Description: freesmartphone.org basics library This library contains classes useful for logging and using configuration keyfiles. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. Package: libfsoframework-dbg Source: libfsoframework Version: 0.11.0-1.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 184 Depends: libfsoframework0 (= 0.11.0-1.1) Homepage: http://freesmartphone.org/ Priority: extra Section: debug Filename: pool/main/libf/libfsoframework/libfsoframework-dbg_0.11.0-1.1_armhf.deb Size: 103386 SHA256: 493169f7f77dc7cb4b232b0257f151d39abb2117d000d05f76f4e54877c90990 SHA1: d11c77afe71a92b79a02ea1ca5cfea0b29b30908 MD5sum: 64559a9601c53a9f04d28ffe068d68e7 Description: debugging symbols for use with libfsoframework This library contains utility functions for freesmartphone.org API implementors. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libfsoframework-dev Source: libfsoframework Version: 0.11.0-1.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 114 Depends: libfsoframework0 (= 0.11.0-1.1), libasound2-dev, libfsobasics-dev (>= 0.11.0), libgee-dev (>= 0.5.0), libglib2.0-dev (>= 2.26.0) Homepage: http://freesmartphone.org/ Priority: extra Section: libdevel Filename: pool/main/libf/libfsoframework/libfsoframework-dev_0.11.0-1.1_armhf.deb Size: 8520 SHA256: c8410ff21d8d469184d4fdd01d3d681adf03890caa3ff90485d566e78606187c SHA1: dd0d9628b736b6e9a34600221c20b11fa75375e7 MD5sum: 61f690ad25cb5758355d086f105d6380 Description: Development files for libfsoframework This library contains utility functions for freesmartphone.org API implementors. . Development files. Package: libfsoframework0 Source: libfsoframework Version: 0.11.0-1.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 154 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfsobasics0 (>= 0.9.99+git20110804), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libnl-3-200 (>= 3.2.7), libnl-route-3-200 Multi-Arch: same Homepage: http://freesmartphone.org/ Priority: extra Section: libs Filename: pool/main/libf/libfsoframework/libfsoframework0_0.11.0-1.1_armhf.deb Size: 49922 SHA256: e16c82bd9017eebd9e474ef887431c1d8579fa8620e9c3f8b5ad37913cb23c0e SHA1: 67fb72c0daa4023fac9bd034b246fad3e6820db3 MD5sum: 52bee50be0e0c295ecef957e0a7f0ce2 Description: freesmartphone.org framework library This library contains utility functions for freesmartphone.org API implementors. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. Package: libfsoresource-dbg Source: libfsoresource Version: 0.11.0-1.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 62 Depends: libfsoresource0 (= 0.11.0-1.1) Homepage: http://wiki.freesmartphone.org/index.php/Implementations/libfsoresource Priority: extra Section: debug Filename: pool/main/libf/libfsoresource/libfsoresource-dbg_0.11.0-1.1_armhf.deb Size: 18794 SHA256: 87e9c41f034099bc0d9a3a4ef2dbb93f920f625efdde97814d978d29190ce6ae SHA1: a86b62b9470c27690f821e548e601ae39a8a8684 MD5sum: fdfc1cc352f26d99cb18b81c7fd08a48 Description: debugging symbols for use with libfsoresource This library contains classes useful for interfaceing with the FSO resource system. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libfsoresource-dev Source: libfsoresource Version: 0.11.0-1.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 55 Depends: libfsoresource0 (= 0.11.0-1.1), libfso-glib-dev (>= 2012.05.24.1), libfsobasics-dev (>= 0.11.0), libfsoframework-dev (>= 0.11.0) Homepage: http://wiki.freesmartphone.org/index.php/Implementations/libfsoresource Priority: extra Section: libdevel Filename: pool/main/libf/libfsoresource/libfsoresource-dev_0.11.0-1.1_armhf.deb Size: 2772 SHA256: 3f6ceffc9fcf738106671a6aa1dc131c14240edf9bbc1a0fd230db566b6f5c65 SHA1: ec36a450f7ceaec7cb92ba40a400b159fdef09c8 MD5sum: bb76450481615870d0bc7baaaa899015 Description: Development files for libfsoresource This C library contains classes useful for interfaceing with the FSO resource system. It is based on glib-2.0. Vala bindings are available. . This package offers files required for the development of new programs with this library and should not be required for using the phone. Package: libfsoresource0 Source: libfsoresource Version: 0.11.0-1.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 60 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfso-glib1 (>= 2012.02.16.1), libfsobasics0 (>= 0.9.99+git20110804), libfsoframework0 (>= 0.8.2+git20110805), libglib2.0-0 (>= 2.26.0) Multi-Arch: same Homepage: http://wiki.freesmartphone.org/index.php/Implementations/libfsoresource Priority: extra Section: libs Filename: pool/main/libf/libfsoresource/libfsoresource0_0.11.0-1.1_armhf.deb Size: 13062 SHA256: 18681370928cd918372ddf4584955df1f2b288bfe0300d818890fb440c85ae29 SHA1: 64fb05da3905c66ea6fbda095cb1c748cbe6369b MD5sum: 5d4370a8ade2a47c3bfe33d571e934fc Description: freesmartphone.org resource implementation library This C library contains classes useful for interfaceing with the FSO resource system. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. Package: libfsosystem-dbg Source: libfsosystem Version: 0.11.0-1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 75 Depends: libfsosystem0 (= 0.11.0-1) Homepage: http://freesmartphone.org Priority: extra Section: debug Filename: pool/main/libf/libfsosystem/libfsosystem-dbg_0.11.0-1_armhf.deb Size: 30812 SHA256: 8cc48525239f1e44d41d0dd07c8f3aed85b0094ec97c930ece597b34f2d7cc6c SHA1: 21c955d4bed78594582364c38df91707fb224a0d MD5sum: 747d3f2e71157bdcb10ee2c1b494026e Description: debugging symbols for use with libfsosystem libfsosystem is a glib-2.0-based library for low level system-related utilities. It provides a API for mounting filesystems, managing network interfaces and loading kernel modules. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libfsosystem-dev Source: libfsosystem Version: 0.11.0-1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 60 Depends: libfsosystem0 (= 0.11.0-1), libglib2.0-dev (>= 2.26.0) Homepage: http://freesmartphone.org Priority: extra Section: libdevel Filename: pool/main/libf/libfsosystem/libfsosystem-dev_0.11.0-1_armhf.deb Size: 3660 SHA256: 08baeb1bd714c1db86dc7875f17d88c3cd7a7cd8b8f1c02da6ad10accadab96e SHA1: d5c30c6b81bd4475524c08452bf30dc53b215d0a MD5sum: 8ae41831a636e986becfbcc09004fac6 Description: Development files for libfsosystem libfsosystem is a glib-2.0-based library for low level system-related utilities. It provides a API for mounting filesystems, managing network interfaces and loading kernel modules. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. . This package offers files required for the development of new programs with this library and should not be required for using the phone. Package: libfsosystem0 Source: libfsosystem Version: 0.11.0-1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 65 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.30.0) Multi-Arch: same Homepage: http://freesmartphone.org Priority: extra Section: libs Filename: pool/main/libf/libfsosystem/libfsosystem0_0.11.0-1_armhf.deb Size: 15472 SHA256: 15e3b410ada4f8ad20df3a93de0f76021d8ae533dcb310ae29a3a0f4373a8ad4 SHA1: 79bc7e7c14008f79a9966d3c453072d6d5dadfbc MD5sum: 1ce9d014c2df8f8be0b65a3822982a49 Description: freesmartphone.org system implementation library libfsosystem is a glib-2.0-based library for low level system-related utilities. It provides a API for mounting filesystems, managing network interfaces and loading kernel modules. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. Package: libfsotransport-dbg Source: libfsotransport Version: 0.11.1-2.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 179 Depends: libfsotransport3 (= 0.11.1-2.1) Homepage: http://freesmartphone.org/ Priority: extra Section: debug Filename: pool/main/libf/libfsotransport/libfsotransport-dbg_0.11.1-2.1_armhf.deb Size: 110842 SHA256: 5111ee708bee5cac9152bb7d57e689b808d1b612ae58bb18619e4fcebc67fa3f SHA1: 43d8767dcb930e6d0583686e218e59e2fd784461 MD5sum: 9aacc5a744e294797fe0bd5ab1039936 Description: debugging symbols for use with libfsotransport This library contains transport classes useful for serial programming. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libfsotransport-dev Source: libfsotransport Version: 0.11.1-2.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 109 Depends: libfsotransport3 (= 0.11.1-2.1), libfsobasics-dev (>= 0.11.0), libgee-dev (>= 0.5.0) Homepage: http://freesmartphone.org/ Priority: extra Section: libdevel Filename: pool/main/libf/libfsotransport/libfsotransport-dev_0.11.1-2.1_armhf.deb Size: 8598 SHA256: 3913b775c15b5d3409e864424cf90ec6446b330a137b1eef25527ccdb44c534e SHA1: 50ac4511617f8aa05b6ff7b9c5023699c5bacb65 MD5sum: eaf7dd0153a6da35deddba05e99707e3 Description: Development files for libfsotransport This library contains transport classes useful for serial programming. . Development files. Package: libfsotransport3 Source: libfsotransport Version: 0.11.1-2.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 128 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfsobasics0 (>= 0.9.99+git20110804), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0) Multi-Arch: same Homepage: http://freesmartphone.org/ Priority: extra Section: libs Filename: pool/main/libf/libfsotransport/libfsotransport3_0.11.1-2.1_armhf.deb Size: 42098 SHA256: d9824b37ed3c58e315828d644dab8c69de12fbff8d8d26b32944126314a8f226 SHA1: 67e279accb5b4c92b4e034156c5ac81a718870db MD5sum: 5e34f152524ab7f94ed1c3c541e38c6a Description: freesmartphone.org transport library This library contains transport classes useful for serial programming. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. Package: libfsplib-dev Source: fsplib Version: 0.11-2 Architecture: armhf Maintainer: أحمد المحمودي (Ahmed El-Mahmoudy) Installed-Size: 62 Depends: libfsplib0 (= 0.11-2) Homepage: http://fsp.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/f/fsplib/libfsplib-dev_0.11-2_armhf.deb Size: 15668 SHA256: cdb895f3d2743b66b25cb7254878ceeb1349570cddda13913907fc40bba0c031 SHA1: 2b00c6189514b1c125b69939fded98be1d162cca MD5sum: f48554456d2d996a37f0c9ee75f77de2 Description: FSP v2 protocol stack library - development files This is C library which support talking with FSP server using FSP v2 protocol and provides posix-like file manipulation interface. . For more information about FSP protocol see http://fsp.sourceforge.net/ For library and API info see http://fsp.sourceforge.net/fsplib.html Package: libfsplib0 Source: fsplib Version: 0.11-2 Architecture: armhf Maintainer: أحمد المحمودي (Ahmed El-Mahmoudy) Installed-Size: 50 Depends: libc6 (>= 2.4) Homepage: http://fsp.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/f/fsplib/libfsplib0_0.11-2_armhf.deb Size: 13660 SHA256: 3d48933c9399dd5f919708c1f41d7505d296a34c4203fd2ff59b526eebd25f0c SHA1: eb8f63a1630f3a481cdf160c5dfff9712f970e7d MD5sum: 17d9542ec8c3f549ade829f3d1bfb1f2 Description: FSP v2 protocol stack library - shared library This is C library which support talking with FSP server using FSP v2 protocol and provides posix-like file manipulation interface. . FSP is a protocol, a bit like FTP, for moving files around. It's designed for anonymous archives, and has protection against server and network overloading. It doesn't use connections, so it can survive things falling over. It's also designed to prevent network congestion, so it can be very nice for large transfers over slow links. . For more information about FSP protocol see http://fsp.sourceforge.net/ For library and API info see http://fsp.sourceforge.net/fsplib.html Package: libfssm-ruby Source: ruby-fssm Version: 0.2.9-2 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-fssm Size: 2668 SHA256: 3967ff395264d899e8d7a15feef55f577d8f3ce11d699652f7e8bef25d0333bc SHA1: 7e2d86624df63d90a54a10a77c25e33195229278 MD5sum: fab0d104465922496faa73d9c1d6d0b7 Description: Transitional package for ruby-fssm This is a transitional package to ease upgrades to the ruby-fssm package. It can safely be removed. Homepage: https://github.com/ttilley/fssm Section: ruby Priority: optional Filename: pool/main/r/ruby-fssm/libfssm-ruby_0.2.9-2_all.deb Package: libfssm-ruby1.8 Source: ruby-fssm Version: 0.2.9-2 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-fssm Size: 2664 SHA256: eb0760a93d9a75b98a7f03cc1da662fae649f7b7febb934160dccc1fcff4aee1 SHA1: 04ab55b7438ab2d15b9c4f775e687b2478a78993 MD5sum: fd76639f7cb800416e4aed950c3c672e Description: Transitional package for ruby-fssm This is a transitional package to ease upgrades to the ruby-fssm package. It can safely be removed. Homepage: https://github.com/ttilley/fssm Section: ruby Priority: optional Filename: pool/main/r/ruby-fssm/libfssm-ruby1.8_0.2.9-2_all.deb Package: libfssm-ruby1.9.1 Source: ruby-fssm Version: 0.2.9-2 Installed-Size: 27 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-fssm Size: 2668 SHA256: 40cff38f05664e43ca2a90f913acd813ffb4ecb2d900c32944be7e1c394f361d SHA1: db08f926a67182bb0f53b347b9e7995b8823e25e MD5sum: 6d48c49c1112191c8b2640e7e2f66542 Description: Transitional package for ruby-fssm This is a transitional package to ease upgrades to the ruby-fssm package. It can safely be removed. Homepage: https://github.com/ttilley/fssm Section: ruby Priority: optional Filename: pool/main/r/ruby-fssm/libfssm-ruby1.9.1_0.2.9-2_all.deb Package: libfstrcmp-dev Source: fstrcmp Version: 0.4.D001-1+deb7u1 Architecture: armhf Maintainer: Peter Miller Installed-Size: 75 Depends: libfstrcmp0 (= 0.4.D001-1+deb7u1) Homepage: http://fstrcmp.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/f/fstrcmp/libfstrcmp-dev_0.4.D001-1+deb7u1_armhf.deb Size: 10874 SHA256: 7cc8305b459139c6f28613e9b5eace1077314119c462dd92c105822bf02efe84 SHA1: b641c3c77ba426fb27184c62fc7f2961aa8d496c MD5sum: 4c8063e5214b319dda96337d476b62e9 Description: library of fuzzy string comparison functions - development files This package provides a library which may be used to make a variety fuzzy comparisons, on strings and arrays of bytes, including wide character strings and multi-byte character strings. Package: libfstrcmp0 Source: fstrcmp Version: 0.4.D001-1+deb7u1 Architecture: armhf Maintainer: Peter Miller Installed-Size: 45 Depends: libc6 (>= 2.13-28) Homepage: http://fstrcmp.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/f/fstrcmp/libfstrcmp0_0.4.D001-1+deb7u1_armhf.deb Size: 9152 SHA256: c3f040e2bffbd9850cc443947a035eebd79ec0ee8793c591fc37c6eeb8f10f40 SHA1: 68acc2fd2610943a7d9ee9f175e55fba146bfad4 MD5sum: fc363f893923f76950d92893c5c4e10e Description: library of fuzzy string comparison functions This package provides a library which may be used to make a variety fuzzy comparisons, on strings and arrays of bytes, including wide character strings and multi-byte character strings. Package: libfstrcmp0-dbg Source: fstrcmp Version: 0.4.D001-1+deb7u1 Architecture: armhf Maintainer: Peter Miller Installed-Size: 105 Depends: libfstrcmp0 (= 0.4.D001-1+deb7u1) Homepage: http://fstrcmp.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/f/fstrcmp/libfstrcmp0-dbg_0.4.D001-1+deb7u1_armhf.deb Size: 22084 SHA256: e46d7629a01074f5e7247ed9531fb9242a14ea9204820cd69c112393da47b7a7 SHA1: dd8b405b1ad42788e0cec6bf7aa8addb2a8ff795 MD5sum: 8d57771d0befdf2c0c4f2b6d6ee8df6f Description: library of fuzzy string comparison functions - debugging symbols This package provides a library which may be used to make a variety fuzzy comparisons, on strings and arrays of bytes, including wide character strings and multi-byte character strings. Package: libftdi-dev Source: libftdi Version: 0.20-1 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 1376 Depends: libftdi1 (= 0.20-1), libusb-dev (>= 0.1.11) Homepage: http://www.intra2net.com/en/developer/libftdi/ Priority: optional Section: libdevel Filename: pool/main/libf/libftdi/libftdi-dev_0.20-1_armhf.deb Size: 206454 SHA256: 4eb5cd07d74992288513f906172e34ca0765aac39c01725e3506c887f36f9aa1 SHA1: bf58c099035b482c45e0c1a320db90fa979d99a5 MD5sum: 2ea69b9a021857bc4f9f34707849ec1c Description: Development files for libftdi This package contains the header files and static library needed to compile applications that use libftdi. Package: libftdi1 Source: libftdi Version: 0.20-1 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 62 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libusb-0.1-4 (>= 2:0.1.12) Multi-Arch: same Homepage: http://www.intra2net.com/en/developer/libftdi/ Priority: optional Section: libs Filename: pool/main/libf/libftdi/libftdi1_0.20-1_armhf.deb Size: 19004 SHA256: 7af4111667f778ed1e8bd26685d2e86e3cbd4ac42003fe4deb705e4775a6fbbb SHA1: df6cbb905224cf3402e27b364ff10ac88c2b588c MD5sum: dd8c676d2a78ae00420863ba701123c8 Description: Library to control and program the FTDI USB controller This library could talk to FTDI's FT232 and FT245 type USB chips from userspace. It uses libusb to communicate with the chips. . Functionalities include the possibility to use the chips in standard mode, in bitbang mode, and to read or write the serial EEPROM. . This is the C version of the library. Package: libftdi1-dbg Source: libftdi Version: 0.20-1 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 90 Depends: libftdi1 (= 0.20-1) Multi-Arch: same Homepage: http://www.intra2net.com/en/developer/libftdi/ Priority: extra Section: debug Filename: pool/main/libf/libftdi/libftdi1-dbg_0.20-1_armhf.deb Size: 24360 SHA256: f4b953eace67320d2065f216f96cbf37c6bf7a6268017f0bc22188ef544a5c10 SHA1: 41750e164dd8a09912f8801a7b38129c6c7345ff MD5sum: 24dff6480577321607dc232921855866 Description: Debugging symbols for libftdi1 This package contains unstripped shared libraries. it is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and can be used by placing that directory in LD_LIBRARY_PATH. Package: libftdipp-dev Source: libftdi Version: 0.20-1 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 99 Depends: libftdipp1 (= 0.20-1), libftdi-dev (= 0.20-1), libboost-dev (>= 1.33) Homepage: http://www.intra2net.com/en/developer/libftdi/ Priority: optional Section: libdevel Filename: pool/main/libf/libftdi/libftdipp-dev_0.20-1_armhf.deb Size: 20558 SHA256: 5b733750da42f743ace33d925b3bea2fed6dbe5250c51ae322ee3bb0fec9e262 SHA1: d700a00df45d8d5443ed17d81f6c3692dc1ee95a MD5sum: a4efc60607c52e078c38e6f0b30d3425 Description: Development files for libftdipp This package contains the header files and static library needed to compile applications that use libftdipp. Package: libftdipp1 Source: libftdi Version: 0.20-1 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 68 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libftdi1 (>= 0.20), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libusb-0.1-4 (>= 2:0.1.12) Multi-Arch: same Homepage: http://www.intra2net.com/en/developer/libftdi/ Priority: optional Section: libs Filename: pool/main/libf/libftdi/libftdipp1_0.20-1_armhf.deb Size: 17514 SHA256: e0cc0cdc818893cee56b1718160ca093b93efc4d6adc8076749332ac4a018fb2 SHA1: 367926e2666e4aa4f5b84b9cd2919868a3ff532a MD5sum: 9b0185df14119b8541c8b2d3173838f4 Description: Library to control and program the FTDI USB controller This library could talk to FTDI's FT232 and FT245 type USB chips from userspace. It uses libusb to communicate with the chips. . Functionalities include the possibility to use the chips in standard mode, in bitbang mode, and to read or write the serial EEPROM. . This is the C++ version of the library. Package: libftdipp1-dbg Source: libftdi Version: 0.20-1 Architecture: armhf Maintainer: Aurelien Jarno Installed-Size: 197 Depends: libftdipp1 (= 0.20-1) Multi-Arch: same Homepage: http://www.intra2net.com/en/developer/libftdi/ Priority: extra Section: debug Filename: pool/main/libf/libftdi/libftdipp1-dbg_0.20-1_armhf.deb Size: 60428 SHA256: 9ad9b7a240b5f56bf142d4513e922811ba3af31fc39bbee686162ca09c114c23 SHA1: 4a327a07b066f464bc6bd4b050e90ac19c6ef673 MD5sum: 884790688ae94f3d5d04a13bad61b8de Description: Debugging symbols for libftdipp1 This package contains unstripped shared libraries. it is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and can be used by placing that directory in LD_LIBRARY_PATH. Package: libftgl-dev Source: ftgl Version: 2.1.3~rc5-4 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 2055 Depends: libftgl2 (= 2.1.3~rc5-4), libfreetype6-dev (>> 2.0.9), libgl1-mesa-dev | libgl-dev, libglu1-mesa-dev | libglu-dev Conflicts: ftgl-dev (<< 2.1.3~rc1) Replaces: ftgl-dev (<< 2.1.3~rc1) Priority: optional Section: libdevel Filename: pool/main/f/ftgl/libftgl-dev_2.1.3~rc5-4_armhf.deb Size: 936700 SHA256: 8e64675c362f096a65f0a8ddc93142faf8526e29eb398d8a8549ceddb460aa02 SHA1: fccbdeb08fa72189d3c1d83b8a69efe978486fea MD5sum: b9bc3d79d99896435ccd8f183add33e4 Description: development files for libftgl FTGL binds OpenGL and FreeType together in order to offer and easy to use and flexible text rendering library. It offers several rendering modes: as polygons, outlines, bitmaps and textures. . This package contains the header files and static libraries needed to compile applications or shared objects that use libftgl. Package: libftgl2 Source: ftgl Version: 2.1.3~rc5-4 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 202 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Priority: optional Section: libs Filename: pool/main/f/ftgl/libftgl2_2.1.3~rc5-4_armhf.deb Size: 98644 SHA256: e151cfc3ae7a82f259a933d8c94879919886a2cbf5be2a44e7d691a338a08f0b SHA1: 39cfaef0c6178b3c248dd2077b80ac1320dff817 MD5sum: 7f8b4e7f560817578b5a3650e572762c Description: library to render text in OpenGL using FreeType FTGL binds OpenGL and FreeType together in order to offer and easy to use and flexible text rendering library. It offers several rendering modes: as polygons, outlines, bitmaps and textures. . This package contains the shared library for libftgl. Package: libfuntools-dev Source: funtools Version: 1.4.4-3 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1099 Depends: libfuntools1 (= 1.4.4-3), libwcstools-dev Homepage: https://www.cfa.harvard.edu/~john/funtools/ Priority: extra Section: libdevel Filename: pool/main/f/funtools/libfuntools-dev_1.4.4-3_armhf.deb Size: 487552 SHA256: 7e4ce7fb7b0a05cc577ebb48cd284fb277560f973b92f96b646eddb7530693ba SHA1: 99382a3d33ebd90e70da7ce8643f30899aa180e9 MD5sum: 2cbf32edd815f1ef0a657b5ee6547655 Description: Minimal buy-in FITS library (development files) Funtools, is a "minimal buy-in" FITS library and utility package developed at the High Energy Astrophysics Division of SAO. The Funtools library provides simplified access to a wide array of file types: standard astronomical FITS images and binary tables, raw arrays and binary event lists, and even tables of ASCII column data. A sophisticated region filtering library (compatible with ds9) filters images and tables using boolean operations between geometric shapes, support world coordinates, etc. Funtools also supports advanced capabilities such as optimized data searching using index files. . This package contains the development files. Package: libfuntools1 Source: funtools Version: 1.4.4-3 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 672 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libwcstools0, zlib1g (>= 1:1.1.4) Homepage: https://www.cfa.harvard.edu/~john/funtools/ Priority: extra Section: libs Filename: pool/main/f/funtools/libfuntools1_1.4.4-3_armhf.deb Size: 272184 SHA256: 0f16deaddb302b70523cbd932086c717e1954d22380fb1a21ccbbfe88deb75a1 SHA1: dcb3d3d6495f43062a80f2c5cb25444ca55be1e2 MD5sum: f87dbfbac349ed1b10d924ea08e49874 Description: Minimal buy-in FITS library Funtools, is a "minimal buy-in" FITS library and utility package developed at the High Energy Astrophysics Division of SAO. The Funtools library provides simplified access to a wide array of file types: standard astronomical FITS images and binary tables, raw arrays and binary event lists, and even tables of ASCII column data. A sophisticated region filtering library (compatible with ds9) filters images and tables using boolean operations between geometric shapes, support world coordinates, etc. Funtools also supports advanced capabilities such as optimized data searching using index files. . This library contains the shared library. Package: libfuse-dev Source: fuse Version: 2.9.0-2+deb7u2 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 444 Depends: libfuse2 (= 2.9.0-2+deb7u2), libselinux-dev Suggests: fuse Homepage: http://fuse.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/f/fuse/libfuse-dev_2.9.0-2+deb7u2_armhf.deb Size: 165792 SHA256: 49d51e8d7a267dff65de26a8a5a97cc6536a47e58b35dd8bf7205dc59a917d22 SHA1: a84fd343843b3bf9d3cf393ad716ef55c3fe16ea MD5sum: 69a34347d7ae4e23d8b0863e873652e7 Description: Filesystem in Userspace (development) Filesystem in Userspace (FUSE) is a simple interface for userspace programs to export a virtual filesystem to the Linux kernel. It also aims to provide a secure method for non privileged users to create and mount their own filesystem implementations. . This package contains the development files. Package: libfuse-perl Version: 0.15.1-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 178 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libfuse2 (>= 2.8.1) Recommends: fuse Suggests: libunix-mknod-perl, liblchown-perl, libfilesys-statvfs-perl Homepage: http://search.cpan.org/dist/Fuse/Fuse.pm Priority: optional Section: perl Filename: pool/main/libf/libfuse-perl/libfuse-perl_0.15.1-2_armhf.deb Size: 55298 SHA256: 5f394ce6878bc01c5e21c56c8c186cd5a60e01b57789373e58b6a2f979f907e0 SHA1: 874e54ecf00f61969a22bbcbd9f2a7950f220eb9 MD5sum: 2096d428ff7daddbf4e5000661fda05a Description: Perl bindings for FUSE (Filesystems in USErland This module lets you implement filesystems in perl, through the FUSE interface . FUSE (Filesystem in USErspace) is a simple interface for userspace programs to export a virtual filesystem to the kernel. FUSE also aims to provide a secure method for non privileged users to create and mount their own filesystem implementations. Package: libfuse2 Source: fuse Version: 2.9.0-2+deb7u2 Architecture: armhf Maintainer: Daniel Baumann Installed-Size: 242 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Suggests: fuse Conflicts: fuse (<< 2.9.0-2+deb7u2) Multi-Arch: same Homepage: http://fuse.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/f/fuse/libfuse2_2.9.0-2+deb7u2_armhf.deb Size: 131932 SHA256: f091df4a31336c68364baf5079634e24e56c71dcb5c63de141a5aa08247b2ce5 SHA1: e89e8c71304e62fbca151f969cf86062dca0c901 MD5sum: e5317880a6fb3ceda6b07537cbf96326 Description: Filesystem in Userspace (library) Filesystem in Userspace (FUSE) is a simple interface for userspace programs to export a virtual filesystem to the Linux kernel. It also aims to provide a secure method for non privileged users to create and mount their own filesystem implementations. . This package contains the shared library. Package: libfusefs-ruby Source: ruby-fusefs Version: 0.7.0-3 Installed-Size: 29 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-fusefs Size: 4564 SHA256: ba9fd04b145fa5fb0a7d820776733155afcfff46a6db6046c9216dc398c21402 SHA1: 2896d3a226f1d582f603b3072c5e2f897b8d1483 MD5sum: 364a495be787590d97c1b6f202f36ccf Description: Transitional package for ruby-fusefs This is a transitional package to ease upgrades to the ruby-fusefs package. It can safely be removed. Homepage: http://github.com/duairc/fusefs Tag: devel::lang:ruby Section: oldlibs Priority: extra Filename: pool/main/r/ruby-fusefs/libfusefs-ruby_0.7.0-3_all.deb Package: libfusefs-ruby1.8 Source: ruby-fusefs Version: 0.7.0-3 Installed-Size: 29 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-fusefs Size: 4562 SHA256: 6a8b31ceab0a5e28a861d9426a1ee6e03c9d1a67d0f61691fea8e94ab58b5bfe SHA1: 5013f7380a2ac688275feb16c67b99e48e10d04b MD5sum: f6b78bdb637e170d90634b47239a3cfe Description: Transitional package for ruby-fusefs This is a transitional package to ease upgrades to the ruby-fusefs package. It can safely be removed. Homepage: http://github.com/duairc/fusefs Tag: devel::lang:ruby Section: oldlibs Priority: extra Filename: pool/main/r/ruby-fusefs/libfusefs-ruby1.8_0.7.0-3_all.deb Package: libfusioninventory-agent-task-deploy-perl Version: 2.0.2-1 Installed-Size: 123 Maintainer: Gonéri Le Bouder Architecture: all Depends: fusioninventory-agent (>= 2.2.0-1), libjson-perl, liburi-perl, libfile-copy-recursive-perl, libdigest-sha-perl, libpoe-component-client-ping-perl, perl Size: 18176 SHA256: 48904caa20a207824931cfc471e79d8cbd598ae4a3ba8d121df4cc74a7e57678 SHA1: 87e918fc25c3afc85fcae7ae0e17bd1bfe8431fe MD5sum: 1bf935bce06304b5b0b662d45ca18fee Description: Software deployment support for FusionInventory With this module, FusionInventory can accept software deployment request from an GLPI server with the FusionInventory plugin. This module uses SSL certificat to authentificat the server. If the P2P option is turned on, the agent will looks for peer in its network to speed up the file downloads. Homepage: http://search.cpan.org/dist/FusionInventory-Agent-Task-ESX/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfusioninventory-agent-task-deploy-perl/libfusioninventory-agent-task-deploy-perl_2.0.2-1_all.deb Package: libfusioninventory-agent-task-esx-perl Version: 2.1.0-1 Installed-Size: 107 Maintainer: Gonéri Le Bouder Architecture: all Depends: perl, fusioninventory-agent, libhttp-cookies-perl, libjson-perl, libwww-perl, libxml-treepp-perl Size: 15880 SHA256: 383c46249353ed4b9a69e2a9cc2009cd5168a55b66050c8b0dcdb70dd6741d79 SHA1: 212a55c7f5ea566296ce4e24a299f179bcfabfd3 MD5sum: 6ab1425555a096bf9d9a45d0b0f180bb Description: ESX and ESXi inventory Do remote inventory of your ESX, ESXi, vCenter server using the SOAP interface. You can import the generated .ocs files in: - GLPI with FusionInventory for GLPI - ocsinventory - Uranos This module can also be controlled from GLPI using the FusionInventory for GLPI plugin. Homepage: http://search.cpan.org/dist/FusionInventory-Agent-Task-ESX/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfusioninventory-agent-task-esx-perl/libfusioninventory-agent-task-esx-perl_2.1.0-1_all.deb Package: libfusioninventory-agent-task-netdiscovery-perl Version: 2.1-1 Installed-Size: 101 Maintainer: Gonéri Le Bouder Architecture: all Depends: fusioninventory-agent (>= 2.0.0), libxml-treepp-perl (>= 0.26), libnet-ip-perl, libnet-snmp-perl, libnet-nbname-perl Recommends: nmap Size: 19644 SHA256: 5d8c5f7b273a36a4d70b50dee672d6946d02c9c2c407462f7c2c5f07046e1de2 SHA1: 4698222d90f67fac564377945d9b37c9cfa21da8 MD5sum: 65e39137540978daa6ea89985607dd97 Description: Network device discovery for FusionInventory Agent This module scans your networks to quickly identifiy its hosts with SNMP, Nmap and NetBIOS request: - networking devices discovery within an IP range - identify unknown MAC addresses . The plugin depends on FusionInventory for GLPI on server side. Homepage: http://FusionInventory.org Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfusioninventory-agent-task-netdiscovery-perl/libfusioninventory-agent-task-netdiscovery-perl_2.1-1_all.deb Package: libfusioninventory-agent-task-ocsdeploy-perl Version: 1.0.8-1 Installed-Size: 62 Maintainer: Gonéri Le Bouder Architecture: all Depends: perl, fusioninventory-agent, libxml-simple-perl, libfile-copy-recursive-perl, libfile-path-perl Size: 13096 SHA256: 581ba6a4a63bee1b7531f9d70f58f33e36b3a30eb02d57a577f9bac71e69a1f5 SHA1: 4348e9078cb4285eeab44e2f0da0134c868443d7 MD5sum: f15285a3248c2e77efb43c761035f361 Description: OCS Inventory Software Deployment support for FusionInventory This module add OCS Inventory Software Deployement support in the FusionInventory Agent. It also supports Peer to Peer file exchange to reduce the network bandwidth usage. Homepage: http://FusionInventory.org Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfusioninventory-agent-task-ocsdeploy-perl/libfusioninventory-agent-task-ocsdeploy-perl_1.0.8-1_all.deb Package: libfusioninventory-agent-task-snmpquery-perl Version: 2.1-1 Installed-Size: 115 Maintainer: Gonéri Le Bouder Architecture: all Depends: fusioninventory-agent (>= 2.2.0), libxml-treepp-perl, libnet-ip-perl, libfusioninventory-agent-task-netdiscovery-perl (>= 2.0) Size: 25680 SHA256: b44deec74e98546d4c72161f9b3448163d53c8835879797e07735108fa47958b SHA1: 415127dc47fff14750b63a7000ce016d49b745c0 MD5sum: d48703f4eb4b3a1c9e47df326b314023 Description: SNMP devices scan support for FusionInventory Agent This module scans your networks to get information from devices with SNMP protocol: - networking devices discovery within an IP range - network switch, printer and router analyse - relation between computer / printer / switch port - identify unknown MAC addresses - report printer cartridge and counter status - support management of SNMP versions v1, v2, v3 . The plugin depends on FusionInventory for GLPI on server side. OCS Inventory can't use this plugin. Homepage: http://FusionInventory.org Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libf/libfusioninventory-agent-task-snmpquery-perl/libfusioninventory-agent-task-snmpquery-perl_2.1-1_all.deb Package: libfuzzy-dev Source: ssdeep Version: 2.7-2 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 42 Depends: libfuzzy2 (= 2.7-2) Breaks: ssdeep (<< 2.6) Replaces: ssdeep (<< 2.6) Homepage: http://ssdeep.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/s/ssdeep/libfuzzy-dev_2.7-2_armhf.deb Size: 7414 SHA256: 2c3de3edd17d1a50f6652594e4d458d51e83361babfc1026b7a4e6b3e45f250e SHA1: d6aa846c80b0682b81f54085eae7dde35c9c3968 MD5sum: 04f662d3c6706f5059fea1bcd7954108 Description: Recursive piecewise hashing tool (development headers) ssdeep is a tool for recursive computing and matching of Context Triggered Piecewise Hashing (aka Fuzzy Hashing). . Fuzzy hashing is a method for comparing similar but not identical files. This tool can be used to compare files like regular hashing does (like md5sum or sha1sum) but it will find similar files with little differences. . For example, it can be used to identify modified versions of known files even if data has been inserted, modified, or deleted in the new files. . This package contains the developments files. Package: libfuzzy2 Source: ssdeep Version: 2.7-2 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 45 Depends: libc6 (>= 2.13-28) Breaks: ssdeep (<< 2.6) Replaces: ssdeep (<< 2.6) Homepage: http://ssdeep.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/s/ssdeep/libfuzzy2_2.7-2_armhf.deb Size: 11812 SHA256: 8bbe1c9978a572250e8e42da1ed64e8b417864ec461d480d65df404ee56b28f2 SHA1: 088f61a38f1f406e159bfb62d9688962d7988f95 MD5sum: 5f81bed406a79fa906e561d38aae485d Description: Recursive piecewise hashing tool (library) ssdeep is a tool for recursive computing and matching of Context Triggered Piecewise Hashing (aka Fuzzy Hashing). . Fuzzy hashing is a method for comparing similar but not identical files. This tool can be used to compare files like regular hashing does (like md5sum or sha1sum) but it will find similar files with little differences. . For example, it can be used to identify modified versions of known files even if data has been inserted, modified, or deleted in the new files. . This package contains the library. Package: libfuzzy2-dbg Source: ssdeep Version: 2.7-2 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 124 Depends: libfuzzy-dev (= 2.7-2) Homepage: http://ssdeep.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/s/ssdeep/libfuzzy2-dbg_2.7-2_armhf.deb Size: 34186 SHA256: 1c6eb1e09004db05ce13c18bd944a65e9041b1305860cde0b581e51e38a235ec SHA1: 2673755ae93ef18a4a92ddd74142bb377640eb61 MD5sum: e527e9963d7f6b7489ff29cea877ac86 Description: Recursive piecewise hashing tool (debugging symbols) ssdeep is a tool for recursive computing and matching of Context Triggered Piecewise Hashing (aka Fuzzy Hashing). . Fuzzy hashing is a method for comparing similar but not identical files. This tool can be used to compare files like regular hashing does (like md5sum or sha1sum) but it will find similar files with little differences. . For example, it can be used to identify modified versions of known files even if data has been inserted, modified, or deleted in the new files. . This package contains the debugging symbols. Package: libg15-1 Source: libg15 Version: 1.2.7-2 Architecture: armhf Maintainer: Giacomo Catenazzi Installed-Size: 45 Depends: libc6 (>= 2.4), libusb-0.1-4 (>= 2:0.1.12) Homepage: http://www.g15tools.com/ Priority: optional Section: libs Filename: pool/main/libg/libg15/libg15-1_1.2.7-2_armhf.deb Size: 10506 SHA256: 8bd4fb80e84cd9e16b374afa7f5b70c3c2aad4bae4be7128bfc4ce624f6df5f2 SHA1: 508403726a8429a11c2a84ba4bdc5f512ca2791a MD5sum: bbd9f204d71340260953292ecbdcae1f Description: Library for interfacing with the Logitech G15 keyboards libg15 provides an API for low level control of the LCD display and the extra keys on some Logitech keyboards (G11, G15) . This package contains the shared library and the runtime data. Package: libg15-dev Source: libg15 Version: 1.2.7-2 Architecture: armhf Maintainer: Giacomo Catenazzi Installed-Size: 50 Depends: libg15-1 (= 1.2.7-2) Homepage: http://www.g15tools.com/ Priority: optional Section: libdevel Filename: pool/main/libg/libg15/libg15-dev_1.2.7-2_armhf.deb Size: 10882 SHA256: c4d0a6198d7e358965cdc38193307e364f5ba39606001ffea5620695401765fa SHA1: 0ef079befe6e5b8841078fe481080336f562b6cd MD5sum: 9ca262982e8fd166d96b5c2a61f043cc Description: Library for interfacing with the Logitech G15 keyboards libg15 provides an API for low level control of the LCD display and the extra keys on some Logitech keyboards (G11, G15). . This package contains the header files and the static library. Package: libg15daemon-client-dev Source: g15daemon Version: 1.9.5.3-8.2 Architecture: armhf Maintainer: Giacomo Catenazzi Installed-Size: 61 Depends: libg15daemon-client1 (= 1.9.5.3-8.2) Homepage: http://www.g15tools.com/ Priority: extra Section: libdevel Filename: pool/main/g/g15daemon/libg15daemon-client-dev_1.9.5.3-8.2_armhf.deb Size: 17310 SHA256: 5cb4bd819aa364d4c86e451c09737bcfa52b4cf25b861c9287b36bdc587df185 SHA1: d11becebb004ed2a7083d78c923b94a6bc72db44 MD5sum: e2552bc5b57a298414c07f4dc6fd9648 Description: Development packages for libg15daemon-client Includes the files needed for developing g15daemon clients Package: libg15daemon-client1 Source: g15daemon Version: 1.9.5.3-8.2 Architecture: armhf Maintainer: Giacomo Catenazzi Installed-Size: 60 Depends: libc6 (>= 2.4) Homepage: http://www.g15tools.com/ Priority: optional Section: libs Filename: pool/main/g/g15daemon/libg15daemon-client1_1.9.5.3-8.2_armhf.deb Size: 17034 SHA256: 1a3be7f8992f723308a101a1a99f169612739b766cfdf392cb7f087ef03aa7fb SHA1: 76f0a684bad27a6f0147d01741bbe09bb40ef738 MD5sum: bd57eb2e4a2a015602c48530e54a5f25 Description: Development packages for libg15daemon-client Includes the files needed for developing g15daemon clients Package: libg15render-dev Source: libg15render Version: 1.3.0~svn316-2.2 Architecture: armhf Maintainer: Giacomo Catenazzi Installed-Size: 75 Depends: libg15render1 (= 1.3.0~svn316-2.2) Replaces: libg15render1 (<< 1.3.0~svn316-2.2) Homepage: http://www.g15tools.com Priority: optional Section: libdevel Filename: pool/main/libg/libg15render/libg15render-dev_1.3.0~svn316-2.2_armhf.deb Size: 20944 SHA256: 9cde10ab1b153ae811a846cc5e858353d6b7fb6b3ee49799dbf9b5c3d752f831 SHA1: 9086fd47b0ad5ce9e3d748c9a96aa09a875b57af MD5sum: 366722ea618188d32edb5e96c156a0b3 Description: Library for interfacing with the Logitech G15 keyboards libg15 provides an API for low level control of the LCD display and the extra keys on some Logitech keyboards (G11, G15) . This package contains the header files and the static library of libg15render. Package: libg15render1 Source: libg15render Version: 1.3.0~svn316-2.2 Architecture: armhf Maintainer: Giacomo Catenazzi Installed-Size: 528 Depends: libc6 (>= 2.4), libfreetype6 (>= 2.2.1) Homepage: http://www.g15tools.com Priority: optional Section: libs Filename: pool/main/libg/libg15render/libg15render1_1.3.0~svn316-2.2_armhf.deb Size: 130706 SHA256: b0c1cd119edeea0a26ac7f017fa345d0cd36b2fc088823162609153f20d5dcd9 SHA1: 56d05c35fef2ac2c3745a39ee48e99b5cf9f15fe MD5sum: d09d73f8eb86ab41576f94bf277c737a Description: Library for interfacing with the Logitech G15 keyboards libg15 provides an API for low level control of the LCD display and the extra keys on some Logitech keyboards (G11, G15) . This package contains the shared library for graphics primitives and text services for the display of the G15 keyboard. Package: libg2-dev Source: g2 Version: 0.72-2.1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 338 Depends: libg20 (= 0.72-2.1), libc6-dev Homepage: http://g2.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/g/g2/libg2-dev_0.72-2.1_armhf.deb Size: 198426 SHA256: e6665f3a6c4c97e7f9db0097b5abe3a735572151d12d3a546910400eb6954703 SHA1: 2f22c0963a097d65d84c8b375ededdc918ef86c1 MD5sum: be802deb39deb469f47d0625e462b865 Description: g2 2D graphics library (development files) g2 is an easy to use, portable and powerful 2D graphics library. It provides a comprehensive set of functions for simultaneous generation of graphical output to X11 and graphic formats PNG, JPEG and PostScript. g2 is written in ANSI C and provides Fortran and Perl interfaces. . This package provides static library and header files for g2. Package: libg20 Source: g2 Version: 0.72-2.1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 115 Depends: libc6 (>= 2.13-28), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libx11-6 Homepage: http://g2.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/g/g2/libg20_0.72-2.1_armhf.deb Size: 42410 SHA256: 7dff356f435d47b8512fe068dd295eaddb0a8105b3ca2a661cde3a18a5263be9 SHA1: 472e4f39548a7dc7b02e7c8e0ba1b86b169f35db MD5sum: b523e9b19a632b51f38bd0e6617883c7 Description: g2 2D graphics library g2 is an easy to use, portable and powerful 2D graphics library. It provides a comprehensive set of functions for simultaneous generation of graphical output to X11 and graphic formats PNG, JPEG and PostScript. g2 is written in ANSI C and provides Fortran and Perl interfaces. Package: libg20-perl Source: g2 Version: 0.72-2.1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 177 Depends: libc6 (>= 2.13-28), libg20 (>= 0.72-2.1), perl (>= 5.14.2-9), perlapi-5.14.2 Homepage: http://g2.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/g/g2/libg20-perl_0.72-2.1_armhf.deb Size: 36062 SHA256: 33be3e9bb05859fff08ed3516787bf95521e2c5c364ad6ae2b0cdaa4221df574 SHA1: aa5c2300726e9f9328cc4ca0bb3fe8c21ee33c44 MD5sum: c8498c9cbbea93b49725b47ae5d8144e Description: g2 2D graphics library (Perl module) g2 is an easy to use, portable and powerful 2D graphics library. It provides a comprehensive set of functions for simultaneous generation of graphical output to X11 and graphic formats PNG, JPEG and PostScript. g2 is written in ANSI C and provides Fortran and Perl interfaces. . This package provides the g2 perl module. Package: libg3d-dbg Source: libg3d Version: 0.0.8-17 Architecture: armhf Maintainer: Sven Eckelmann Installed-Size: 561 Depends: libg3d0 (= 0.0.8-17) | libg3d-plugins (= 0.0.8-17) | libg3d-plugin-gdkpixbuf (= 0.0.8-17) Multi-Arch: same Homepage: http://automagically.de/g3dviewer/ Priority: extra Section: debug Filename: pool/main/libg/libg3d/libg3d-dbg_0.0.8-17_armhf.deb Size: 308100 SHA256: b7bf890088ce52b29905a9daeb2adf2e4674dfebd966193e02f98bdc72840f0c SHA1: 19fc2fa8618b621f67bd5727a96064d091b1ca73 MD5sum: 392c09e760823341c12ef40f3407941d Description: LibG3D debug symbols package LibG3D is a framework for loading 3d model files from files or memory. It can also load associated data like textures. . This package contains the debug files. Package: libg3d-dev Source: libg3d Version: 0.0.8-17 Architecture: armhf Maintainer: Sven Eckelmann Installed-Size: 129 Depends: libg3d0 (= 0.0.8-17), libglib2.0-dev Recommends: pkg-config Suggests: libgsf-1-dev | libgsf-gnome-1-dev Homepage: http://automagically.de/g3dviewer/ Priority: optional Section: libdevel Filename: pool/main/libg/libg3d/libg3d-dev_0.0.8-17_armhf.deb Size: 24840 SHA256: 16e75f4ca253b1aded73b86f35dd9a6f383a7796a9851768bb4f536ca3cf9bd4 SHA1: be2669184caa688a8959714de3be520d7660463b MD5sum: ebac9a700b97826e4d8d81c37855f4f8 Description: LibG3D development package LibG3D is a framework for loading 3d model files from files or memory. It can also load associated data like textures. . This package contains everything which is needed to link against libg3d0. Package: libg3d-doc Source: libg3d Version: 0.0.8-17 Installed-Size: 425 Maintainer: Sven Eckelmann Architecture: all Size: 46896 SHA256: 48f890d2979dc066e18ac4c949ef24a9bbe9ed7767b67f1b226eadf5ed9dd837 SHA1: ab9eb2cb650b3e8357593695138cc1665a69804f MD5sum: 16c1161c349478c96b63a6b1400d3689 Description: LibG3D API documentation in HTML format LibG3D is a framework for loading 3d model files from files or memory. It can also load associated data like textures. . This package contains the API documentation of the LibG3D library in HTML format. Homepage: http://automagically.de/g3dviewer/ Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libg3d/libg3d-doc_0.0.8-17_all.deb Package: libg3d-plugin-gdkpixbuf Source: libg3d Version: 0.0.8-17 Architecture: armhf Maintainer: Sven Eckelmann Installed-Size: 62 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libg3d0 (= 0.0.8-17), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0) Multi-Arch: same Homepage: http://automagically.de/g3dviewer/ Priority: optional Section: libs Filename: pool/main/libg/libg3d/libg3d-plugin-gdkpixbuf_0.0.8-17_armhf.deb Size: 12304 SHA256: 716d7a0f33046c2cc74aaf1a3374cf00f0c57d95145d9ba9adc6f50a2dbeb60a SHA1: f96b14f45ac80ee8ee08939abe84a9a42b00c753 MD5sum: 68e99dd2b554bc19c3a7a6f3cada9ede Description: plugin for the LibG3D library LibG3D is a framework for loading 3d model files from files or memory. It can also load associated data like textures. . This package contains a plugin for the LibG3D library so that LibG3D can load every image that libgdk-pixbuf2 is able to work with. Package: libg3d-plugins Source: libg3d Version: 0.0.8-17 Architecture: armhf Maintainer: Sven Eckelmann Installed-Size: 393 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libg3d0 (= 0.0.8-17), libglib2.0-0 (>= 2.24.0), libxml2 (>= 2.7.4) Multi-Arch: same Homepage: http://automagically.de/g3dviewer/ Priority: optional Section: libs Filename: pool/main/libg/libg3d/libg3d-plugins_0.0.8-17_armhf.deb Size: 125126 SHA256: 74c39531ab218dc059111b948bc57dd8fe5193094ff19fda71fccdb0b6b47f76 SHA1: fb3f7c64eaa6e6499d05178cb2b5b531efa8e384 MD5sum: 194ab02ac81b1065f725f3eb3a91c630 Description: plugins for the LibG3D library LibG3D is a framework for loading 3d model files from files or memory. It can also load associated data like textures. . This package contains plugins for the LibG3D library, so that files can be loaded. It can handle: * 3D Studio (.3ds, .prj) * LightWave (.lw, .lwb, .lwo) * Alias Wavefront (.obj) * Impulse TurboSilver / Imagine (.iob) * AutoCAD (.dxf) * Quake II Models (.md2) * Quake III Models (.md3) * Neutral File Format (.nff) * 3D Metafile (.3dmf, .3mf, .b3d) * Caligari TrueSpace Objects (.cob) * Quick3D Objects & Scenes (.q3o, q3s) * VRML 1.0 files (.wrl, .vrml) * AC3D objects (.ac, .acc) * LeoCAD Models (.lcd) * Racer car models (.ar, .dof) * Ultimate Stunts car models (.glb) * VDrift car models (.joe, .car) * COLLADA (.dae) * Keyhole Markup Language model container (.kmz) * ASCII Scene Exporter (.ase) * LDraw (.dat, .mpd) Package: libg3d0 Source: libg3d Version: 0.0.8-17 Architecture: armhf Maintainer: Sven Eckelmann Installed-Size: 95 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libgsf-1-114 (>= 1.14.8), zlib1g (>= 1:1.1.4) Recommends: libg3d-plugins Suggests: libg3d-doc, libg3d-plugin-gdkpixbuf Multi-Arch: same Homepage: http://automagically.de/g3dviewer/ Priority: optional Section: libs Filename: pool/main/libg/libg3d/libg3d0_0.0.8-17_armhf.deb Size: 34562 SHA256: 5d450c6310099731f604d5436fd76a8c19e8ea01da2388dcad3081a500789663 SHA1: 00582d80808f8afd6c6f17d12df916d63cc9c950 MD5sum: 1c3a4a87169c260bb43a888d57b99129 Description: library for loading and manipulating 3D model files LibG3D is a framework for loading 3d model files from files or memory. It can also load associated data like textures. . LibG3D cannot load anything without the importers provided by libg3d-plugins and libg3d-plugin-gdkpixbuf. See these packages for more information about supported formats. Package: libga-dev Source: galib Version: 2.4.7-3 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 1094 Depends: libga2 (= 2.4.7-3) Homepage: http://lancet.mit.edu/ga/ Priority: optional Section: libdevel Filename: pool/main/g/galib/libga-dev_2.4.7-3_armhf.deb Size: 279090 SHA256: c4c739c81e94e3511c825688ca0ae132ef9a01dca31241a5cfd3453dc9cb1926 SHA1: 923c14892603641f16e0165e4170eb63a82bfff9 MD5sum: 2cc7fd2e232ee906487d76d213492a7d Description: C++ Library of Genetic Algorithm Components GAlib contains a set of C++ genetic algorithm objects. The library includes tools for using genetic algorithms to do optimization in any C++ program using any representation and genetic operators. The documentation includes an extensive overview of how to implement a genetic algorithm as well as examples illustrating customizations to the GAlib classes. . This package contains the development files. Package: libga2 Source: galib Version: 2.4.7-3 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 320 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://lancet.mit.edu/ga/ Priority: optional Section: libs Filename: pool/main/g/galib/libga2_2.4.7-3_armhf.deb Size: 122440 SHA256: 8329934106459191db7d4082e4fc76bb8853f29cdd7d529d579f43bcb929f935 SHA1: ea54f06bd3d2ed466b400f447523e51a38eff839 MD5sum: 789f1c5bbab8c470a3c342d28f9e1e59 Description: C++ Library of Genetic Algorithm Components GAlib contains a set of C++ genetic algorithm objects. The library includes tools for using genetic algorithms to do optimization in any C++ program using any representation and genetic operators. The documentation includes an extensive overview of how to implement a genetic algorithm as well as examples illustrating customizations to the GAlib classes. Package: libgadap-dev Source: gadap Version: 2.0-1 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 212 Homepage: http://www.iges.org/grads/gadoc/supplibs.html Priority: optional Section: libdevel Filename: pool/main/g/gadap/libgadap-dev_2.0-1_armhf.deb Size: 47812 SHA256: 009b783ed45958771638297f0d0b11b0791fcb9c2fb9567a6897dd75d7b5ae74 SHA1: 9554446112267f1247b935d62b7b7dc2f764bd43 MD5sum: 6aee6a78cdb5258cf3cd694a502c8824 Description: Development and static libraries for GADAP Gadap provides in-situ access to OpeNDAP data for GrADS, the Grid Analysis and Display System. This package provides development headers and static libraries for GADAP. Package: libgadu-dev Source: libgadu Version: 1:1.11.2-1+deb7u2 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 292 Depends: libgadu3 (= 1:1.11.2-1+deb7u2) Homepage: http://toxygen.net/libgadu/ Priority: optional Section: libdevel Filename: pool/main/libg/libgadu/libgadu-dev_1.11.2-1+deb7u2_armhf.deb Size: 91642 SHA256: 9e509665aaeedfb2e8c6af3a82ec6ad1e00654823fca176f24a565a0ee8c6916 SHA1: 00611734ee91ff84ae8ef044ed715df2b9d7a4c6 MD5sum: 4ec2d3187b4fffec69d51abc9abfd165 Description: Gadu-Gadu protocol library - development files Gadu-Gadu is an instant messaging program, very popular in Poland. libgadu is a Gadu-Gadu protocol implementation library. . This package contains the development files. Package: libgadu-doc Source: libgadu Version: 1:1.11.2-1+deb7u2 Installed-Size: 4097 Maintainer: Marcin Owsiany Architecture: all Suggests: libgadu-dev Size: 552808 SHA256: a478a89b593e5735ac3b56151f146d7a4d7ae19dafca61715138d35aeecf2fac SHA1: 31bdffa8df462232ad85e25c7af000825efaf231 MD5sum: 9dc78035aab8b995b6e3f9d94e8fd663 Description: Gadu-Gadu protocol library - documentation Gadu-Gadu is an instant messaging program, very popular in Poland. libgadu is a Gadu-Gadu protocol implementation library. . This package contains the documentation and example programs. Homepage: http://toxygen.net/libgadu/ Section: doc Priority: optional Filename: pool/main/libg/libgadu/libgadu-doc_1.11.2-1+deb7u2_all.deb Package: libgadu3 Source: libgadu Version: 1:1.11.2-1+deb7u2 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 178 Depends: libc6 (>= 2.13-28), libgnutls26 (>= 2.12.17-0), zlib1g (>= 1:1.2.0) Homepage: http://toxygen.net/libgadu/ Priority: optional Section: libs Filename: pool/main/libg/libgadu/libgadu3_1.11.2-1+deb7u2_armhf.deb Size: 70186 SHA256: 3d3b3644ee16150bb58fbc42c26cf9a46e9405dd3a070edfbe1ad0a42f0beb80 SHA1: c094c5c1aff8f7d2191345ed83b1e0ebd6d336c8 MD5sum: f8391b1fdf5709f8d1f7e7797d7d8898 Description: Gadu-Gadu protocol library - runtime files Gadu-Gadu is an instant messaging program, very popular in Poland. libgadu is a Gadu-Gadu protocol implementation library. . This package contains the shared library. Package: libgadu3-dbg Source: libgadu Version: 1:1.11.2-1+deb7u2 Architecture: armhf Maintainer: Marcin Owsiany Installed-Size: 298 Depends: libgadu3 (= 1:1.11.2-1+deb7u2) Homepage: http://toxygen.net/libgadu/ Priority: extra Section: debug Filename: pool/main/libg/libgadu/libgadu3-dbg_1.11.2-1+deb7u2_armhf.deb Size: 112386 SHA256: 5c69637e0188827f22304b5bb9fbe98eba52f4c851ff136d747b2e2809caea07 SHA1: 60147427286fb4d11ac55d0b2a5dde166d61cbcd MD5sum: 4409710b8a87a890c4508c83ec04605a Description: Gadu-Gadu protocol library - debug symbols Gadu-Gadu is an instant messaging program, very popular in Poland. libgadu is a Gadu-Gadu protocol implementation library. . This package contains the debug symbols. Package: libgail-3-0 Source: gtk+3.0 Version: 3.4.2-7+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 104 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.32.0), libgtk-3-0 (= 3.4.2-7+deb7u1), libpango1.0-0 (>= 1.30.0), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxfixes3, libxi6 Multi-Arch: same Homepage: http://www.gtk.org/ Priority: optional Section: libs Filename: pool/main/g/gtk+3.0/libgail-3-0_3.4.2-7+deb7u1_armhf.deb Size: 59466 SHA256: 7b4464a939909d1dcd6f5e1f481b696ded2a93abf4017b29c4b3eaa11df28074 SHA1: f019438f45b2f125da140015a1dc495d71582b4f MD5sum: ec375e12094dd12138e26ba08da1ad32 Description: GNOME Accessibility Implementation Library -- shared libraries Gail implements ATK interfaces for GTK+ widgets which are dynamically loadable at runtime by a GTK+ application. Once loaded, those parts of an application that use standard GTK+ widgets will have a basic level of accessibility, without the need to modify the application at all. . This package contains the shared library. Package: libgail-3-0-dbg Source: gtk+3.0 Version: 3.4.2-7+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 125 Depends: libgail-3-0 (= 3.4.2-7+deb7u1) Recommends: libgtk-3-0-dbg Multi-Arch: same Homepage: http://www.gtk.org/ Priority: extra Section: debug Filename: pool/main/g/gtk+3.0/libgail-3-0-dbg_3.4.2-7+deb7u1_armhf.deb Size: 68260 SHA256: c7db01bc3ae039bef95b77011d0594cba34b9296b4d30ef537dca6cb8b28a2fa SHA1: 007fbcf92adf47620b86da7f87bf1d7e2224fdc3 MD5sum: 1d1f9a71148f2b9f2b44fe2e2181f3e2 Description: Gail libraries and debugging symbols Gail is the "GNOME Accessibility Implementation Library". . This package contains detached debugging symbols. . Most people will not need this package. Package: libgail-3-dev Source: gtk+3.0 Version: 3.4.2-7+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 85 Depends: libgail-3-0 (= 3.4.2-7+deb7u1), libgtk-3-dev (= 3.4.2-7+deb7u1), pkg-config (>= 0.26-1), libatk1.0-dev (>= 2.2.0) Suggests: libgail-3-doc Homepage: http://www.gtk.org/ Priority: optional Section: libdevel Filename: pool/main/g/gtk+3.0/libgail-3-dev_3.4.2-7+deb7u1_armhf.deb Size: 53438 SHA256: 453e65b48133efe0ed3cc0cf43f072cf70be1c6eb1890a0c1dcaad71a5d21785 SHA1: 5cdee64402011d64da9b4453cd620ca6ab39ff11 MD5sum: abb611509d123272bcb55de510ad5f76 Description: GNOME Accessibility Implementation Library -- development files Gail implements ATK interfaces for GTK+ widgets which are dynamically loadable at runtime by a GTK+ application. Once loaded, those parts of an application that use standard GTK+ widgets will have a basic level of accessibility, without the need to modify the application at all. . This package contains the development files for Gail. Package: libgail-3-doc Source: gtk+3.0 Version: 3.4.2-7+deb7u1 Installed-Size: 146 Maintainer: Debian GNOME Maintainers Architecture: all Suggests: devhelp Size: 60560 SHA256: 535955fe0ab44f7d3c6a668ee642e9d70313280003bcad4921731dc26f71ac2b SHA1: 152eff092a8e4b236c773c4b94a706e6bb341f1e MD5sum: 8b99969c318bb36d93e26611ecd6d32a Description: documentation files of the Gail library Gail implements ATK interfaces for GTK+ widgets which are dynamically loadable at runtime by a GTK+ application. Once loaded, those parts of an application that use standard GTK+ widgets will have a basic level of accessibility, without the need to modify the application at all. . This package contains the documentation files for Gail. Multi-Arch: foreign Homepage: http://www.gtk.org/ Tag: devel::doc, role::documentation, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/g/gtk+3.0/libgail-3-doc_3.4.2-7+deb7u1_all.deb Package: libgail-common Source: gtk+2.0 Version: 2.24.10-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 792 Depends: libatk1.0-0 (>= 1.18.0), libc6 (>= 2.13-28), libcairo2 (>= 1.6.4-6.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.27.3), libpango1.0-0 (>= 1.28.3), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxfixes3, libgail18 (= 2.24.10-2) Breaks: libgnome2-0 (<< 2.32.1-2) Multi-Arch: same Homepage: http://www.gtk.org/ Priority: optional Section: libs Filename: pool/main/g/gtk+2.0/libgail-common_2.24.10-2_armhf.deb Size: 550768 SHA256: 8e141679bf9fd3ad4fad577ddedb53b3f1793e9f6567500eb6f2d94459c78e63 SHA1: 20dc0f95cc2cdf4df3eb32eff438b3d8adb4fcb9 MD5sum: 8196c7d2570b7d08515b663012b85238 Description: GNOME Accessibility Implementation Library -- common modules Gail implements ATK interfaces for GTK+ widgets which are dynamically loadable at runtime by a GTK+ application. Once loaded, those parts of an application that use standard GTK+ widgets will have a basic level of accessibility, without the need to modify the application at all. . This package contains core shared libraries. Package: libgail-dbg Source: gtk+2.0 Version: 2.24.10-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 2014 Depends: libgail18 (= 2.24.10-2) Recommends: libgtk2.0-0-dbg Replaces: libgtk2.0-0-dbg (<< 2.14.5) Multi-Arch: same Homepage: http://www.gtk.org/ Priority: extra Section: debug Filename: pool/main/g/gtk+2.0/libgail-dbg_2.24.10-2_armhf.deb Size: 829410 SHA256: 4a5600a27142ed77e32a9aefb0e71e1e41738fe8fa30cc7d8ef46825099de946 SHA1: 45b8879f846387fd907aa53b17e21f9559f385e5 MD5sum: c875139646242eed69045e1af9c76b8a Description: Gail libraries and debugging symbols Gail is the "GNOME Accessibility Implementation Library". . This package contains detached debugging symbols. . Most people will not need this package. Package: libgail-dev Source: gtk+2.0 Version: 2.24.10-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 552 Depends: libgail18 (= 2.24.10-2), libgail-common (= 2.24.10-2), libgtk2.0-dev (= 2.24.10-2), pkg-config (>= 0.26-1), libatk1.0-dev (>= 1.13.0) Suggests: libgail-doc Replaces: libgtk2.0-dev (<< 2.14.5) Homepage: http://www.gtk.org/ Priority: optional Section: libdevel Filename: pool/main/g/gtk+2.0/libgail-dev_2.24.10-2_armhf.deb Size: 480264 SHA256: 7cf9d3d99bf16f76ca99b71903a4ddd16af04a95558328d311cfdbf3c5f635e2 SHA1: 44ba2dabb1972e690b788517518e77e7e8348005 MD5sum: 32c7067975a9f93501c8db5e78702493 Description: GNOME Accessibility Implementation Library -- development files Gail implements ATK interfaces for GTK+ widgets which are dynamically loadable at runtime by a GTK+ application. Once loaded, those parts of an application that use standard GTK+ widgets will have a basic level of accessibility, without the need to modify the application at all. . This package contains the development files for Gail. Package: libgail-doc Source: gtk+2.0 Version: 2.24.10-2 Installed-Size: 580 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libgtk2.0-doc (<< 2.14.5) Suggests: devhelp Size: 481436 SHA256: 63dcbc4c98f6490a79bc22acc04ee6b32dbcadc7f7c896f1befa6ed8086e8f6f SHA1: db6153fb6d4045cffb8c5a46fa755f1e6aade605 MD5sum: 3162cac982b303a5e1b3987e70e899fa Description: documentation files of the Gail library Gail implements ATK interfaces for GTK+ widgets which are dynamically loadable at runtime by a GTK+ application. Once loaded, those parts of an application that use standard GTK+ widgets will have a basic level of accessibility, without the need to modify the application at all. . This package contains the documentation files for Gail. Multi-Arch: foreign Homepage: http://www.gtk.org/ Tag: accessibility::screen-reader, devel::doc, made-of::html, role::documentation, suite::gnome, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/g/gtk+2.0/libgail-doc_2.24.10-2_all.deb Package: libgail18 Source: gtk+2.0 Version: 2.24.10-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 522 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.4-6.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.27.3), libpango1.0-0 (>= 1.28.3), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxfixes3, libgtk2.0-0 (= 2.24.10-2) Replaces: libgtk2.0-0 (<< 2.14.5) Multi-Arch: same Homepage: http://www.gtk.org/ Priority: optional Section: libs Filename: pool/main/g/gtk+2.0/libgail18_2.24.10-2_armhf.deb Size: 479338 SHA256: 09b1f16009bc63dafa2caebe817457f5796c3851c683b2c4a1148c18ba022a64 SHA1: 0ca1a7f8bd3e5d842e7d0c8b72c9f593c66c9c30 MD5sum: e257dcbe000fec7597c2a4ebeac385fc Description: GNOME Accessibility Implementation Library -- shared libraries Gail implements ATK interfaces for GTK+ widgets which are dynamically loadable at runtime by a GTK+ application. Once loaded, those parts of an application that use standard GTK+ widgets will have a basic level of accessibility, without the need to modify the application at all. . This package contains the shared library. Package: libgalax-ocaml-dev Source: galax Version: 1.1-10 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 7394 Depends: libpcre-ocaml-dev, libocamlnet-ocaml-dev, libpxp-ocaml-dev, libcamomile-ocaml-dev, libcamomile-ocaml-dev-q12e4, libocamlnet-ocaml-dev-kuut1, libpcre-ocaml-dev-werc3, libpxp-ocaml-dev-mv7p7, ocaml-nox-3.12.1 Suggests: ocaml-findlib Provides: libgalax-ocaml-dev-zfoj0 Homepage: http://galax.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/g/galax/libgalax-ocaml-dev_1.1-10_armhf.deb Size: 1890858 SHA256: ae893e706de6491b4c5b9649ffc2a8b2c336f1099e1a3e92005eb1e2660ff1df SHA1: e2923ca015a99da0e5253ecfaa82432c8ab74c7e MD5sum: c99f92a73b0a8b022fb983668c017b38 Description: XQuery implementation with static typing - OCaml libraries Galax is an implementation of XQuery, the W3C XML Query Language. . Galax implements XQuery 1.0 as specified by the W3C, as well as XPath 2.0, which is subset of XQuery 1.0. . Galax is a Schema-aware implementation of XQuery and supports static typing. Also thanks to that, Galax is a really fast implementation of the language. . Galax supports some advanced XQuery features, such as XML Schema validation, XML updates, XQuery optimization and projection, applications of XQuery to Web services. . This package contains the OCaml development libraries to use Galax, as a library, in your OCaml applications. Package: libgamin-dev Source: gamin Version: 0.1.10-4.1 Architecture: armhf Maintainer: Michael Banck Installed-Size: 141 Depends: libgamin0 (= 0.1.10-4.1) Conflicts: libfam-dev Replaces: libfam-dev Provides: libfam-dev Priority: optional Section: libdevel Filename: pool/main/g/gamin/libgamin-dev_0.1.10-4.1_armhf.deb Size: 55904 SHA256: 9457cec919a88271ae14e6acaa6c3893a32774975ae0998b6672cdb710a36439 SHA1: 853280495875f1afc35d3b17a187da24639f9ba7 MD5sum: 41203937ef3b8c70fa153f6cd0031aa0 Description: Development files for the gamin client library Gamin is a file and directory monitoring system defined to be a subset of the FAM (File Alteration Monitor) system. . This package contains the development files for the gamin client library. Package: libgamin0 Source: gamin Version: 0.1.10-4.1 Architecture: armhf Maintainer: Michael Banck Installed-Size: 105 Depends: libc6 (>= 2.13-28), gamin Conflicts: libfam0, libfam0c102 Replaces: libfam0, libfam0c102 Provides: libfam0, libfam0c102 Priority: optional Section: libs Filename: pool/main/g/gamin/libgamin0_0.1.10-4.1_armhf.deb Size: 40586 SHA256: 009c1de247a6b3be0e619d2e92cbabe1395c37c7e0d9d5835f1e2072142ca797 SHA1: 30652846eb8324e1ad9965fcbb884a102d1742bf MD5sum: d4a73d07a0e0a33017af3c082caa4c88 Description: Client library for the gamin file and directory monitoring system Gamin is a file and directory monitoring system defined to be a subset of the FAM (File Alteration Monitor) system. . This package contains the client library for the gamin file and directory monitoring system. Package: libgammu-dbg Source: gammu Version: 1.31.90-1 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 529 Depends: libgammu7 (= 1.31.90-1) Suggests: gammu Conflicts: libgammu2-dbg, libgammu3-dbg, libgammu4-dbg Breaks: gammu (>> 1.31.90-1), gammu (<< 1.31.90-1) Replaces: libgammu2-dbg, libgammu3-dbg, libgammu4-dbg Homepage: http://www.gammu.org Priority: extra Section: debug Filename: pool/main/g/gammu/libgammu-dbg_1.31.90-1_armhf.deb Size: 199022 SHA256: 68698e9c769e3fe74d9b5894946636a37ea431b88c293a05760fef97b0108a62 SHA1: c3beb902dd4bbde0f70ad4378d4296a696423fa2 MD5sum: 8432f0bbe9177b7e6ffabcb44e833cf2 Description: mobile phone management library (debugger symbols) Gammu is command line utility and library to work with mobile phones from many vendors. Support for different models differs, but basic functions should work with majority of them. Program can work with contacts, messages (SMS, EMS and MMS), calendar, todos, filesystem, integrated radio, camera, etc. It also supports daemon mode to send and receive SMSes. . Currently supported phones include: . * Many Nokia models. * Alcatel BE5 (501/701), BF5 (715), BH4 (535/735). * AT capable phones (Siemens, Nokia, Alcatel, IPAQ). * OBEX and IrMC capable phones (Sony-Ericsson, Motorola). * Symbian phones through gnapplet. . This package contains debugger symbols for both Gammu library and binary. Package: libgammu-dev Source: gammu Version: 1.31.90-1 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 559 Depends: libgammu7 (= 1.31.90-1), libgsmsd7 (= 1.31.90-1) Suggests: pkg-config, gammu-doc (>= 1.31.90-1) Conflicts: libgammu1-dev Replaces: libgammu1-dev Homepage: http://www.gammu.org Priority: optional Section: libdevel Filename: pool/main/g/gammu/libgammu-dev_1.31.90-1_armhf.deb Size: 284020 SHA256: 6eac2081b83c256b4675315c3777fd45c042b744e4b1d9ab92a117e791d69b8a SHA1: c8fe0d86b1bde32c37cb18966468f934dff35ad4 MD5sum: 4ccaff03223dfc5dc46a9b9a025c72bf Description: mobile phone management library (development files) Gammu is command line utility and library to work with mobile phones from many vendors. Support for different models differs, but basic functions should work with majority of them. Program can work with contacts, messages (SMS, EMS and MMS), calendar, todos, filesystem, integrated radio, camera, etc. It also supports daemon mode to send and receive SMSes. . Currently supported phones include: . * Many Nokia models. * Alcatel BE5 (501/701), BF5 (715), BH4 (535/735). * AT capable phones (Siemens, Nokia, Alcatel, IPAQ). * OBEX and IrMC capable phones (Sony-Ericsson, Motorola). * Symbian phones through gnapplet. . This package contains header files needed for compiling programs with Gammu and developer documentation. Package: libgammu-i18n Source: gammu Version: 1.31.90-1 Installed-Size: 1033 Maintainer: Michal Čihař Architecture: all Replaces: libgammu-common, libgammu1 Suggests: libgammu7 Conflicts: libgammu-common, libgammu1 Size: 354434 SHA256: bd6982209c90225988b45d37ef865294389994dcefc2e59b737b99e996e92e29 SHA1: b712e2ff623ea12bcf3a5333469001d7baee5c3c MD5sum: ac24dcd6fc4110ea9db08ebdad376c3c Description: mobile phone management library (i18n files) Gammu is command line utility and library to work with mobile phones from many vendors. Support for different models differs, but basic functions should work with majority of them. Program can work with contacts, messages (SMS, EMS and MMS), calendar, todos, filesystem, integrated radio, camera, etc. It also supports daemon mode to send and receive SMSes. . Currently supported phones include: . * Many Nokia models. * Alcatel BE5 (501/701), BF5 (715), BH4 (535/735). * AT capable phones (Siemens, Nokia, Alcatel, IPAQ). * OBEX and IrMC capable phones (Sony-Ericsson, Motorola). * Symbian phones through gnapplet. . This package contains i18n files for Gammu shared library and binary. Homepage: http://www.gammu.org Tag: role::app-data Section: localization Priority: optional Filename: pool/main/g/gammu/libgammu-i18n_1.31.90-1_all.deb Package: libgammu7 Source: gammu Version: 1.31.90-1 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 1801 Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libusb-1.0-0 (>= 2:1.0.8) Recommends: libgammu-i18n (>= 1.31.90-1), usb-modeswitch Suggests: gammu Homepage: http://www.gammu.org Priority: optional Section: libs Filename: pool/main/g/gammu/libgammu7_1.31.90-1_armhf.deb Size: 568840 SHA256: a6ad8b4e9e8468d86bac0ad96332d49c99687de172daa6ddd5ee04be5ac32dc2 SHA1: b7c26e0d80e4b68d0ff9f6e659cb7985514a5b98 MD5sum: a5c9c175abb8d1b4d94105ee23e31e01 Description: mobile phone management library Gammu is command line utility and library to work with mobile phones from many vendors. Support for different models differs, but basic functions should work with majority of them. Program can work with contacts, messages (SMS, EMS and MMS), calendar, todos, filesystem, integrated radio, camera, etc. It also supports daemon mode to send and receive SMSes. . Currently supported phones include: . * Many Nokia models. * Alcatel BE5 (501/701), BF5 (715), BH4 (535/735). * AT capable phones (Siemens, Nokia, Alcatel, IPAQ). * OBEX and IrMC capable phones (Sony-Ericsson, Motorola). * Symbian phones through gnapplet. . This package contains Gammu shared library. Package: libganglia1 Source: ganglia Version: 3.3.8-1+nmu1 Architecture: armhf Maintainer: Stuart Teasdale Installed-Size: 324 Depends: libapr1 (>= 1.2.7), libc6 (>= 2.13-28), libconfuse0 (>= 2.5), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libpython2.7 (>= 2.7) Homepage: http://www.ganglia.info/ Priority: optional Section: libs Filename: pool/main/g/ganglia/libganglia1_3.3.8-1+nmu1_armhf.deb Size: 86840 SHA256: 4c5e6b5396b8bbf317e8ff27389db4a18c08ea94fc2fe80c85de30b298a743b1 SHA1: 97b57b01c646e1ca65f3a9944e0eaf637af94f59 MD5sum: 78b33f323a55d8f9391f32b48a8e87a3 Description: cluster monitoring toolkit - shared libraries Ganglia is a scalable, real-time cluster monitoring environment that collects cluster statistics in an open and well-defined XML format. . This package contains shared libraries. Package: libganglia1-dev Source: ganglia Version: 3.3.8-1+nmu1 Architecture: armhf Maintainer: Stuart Teasdale Installed-Size: 143 Depends: libganglia1 (= 3.3.8-1+nmu1) Homepage: http://www.ganglia.info/ Priority: optional Section: libdevel Filename: pool/main/g/ganglia/libganglia1-dev_3.3.8-1+nmu1_armhf.deb Size: 41996 SHA256: 9c28681fc572c7dd75513b3de11a87eb716e2b5809bf9cca12390544e5684e18 SHA1: 76a49c7481f9071331fecf753485cfb542dad47e MD5sum: d815600822e1c87d32b1516ec76c2380 Description: cluster monitoring toolkit - development libraries Ganglia is a scalable, real-time cluster monitoring environment that collects cluster statistics in an open and well-defined XML format. . This package contains development libraries. Package: libganv-1-1 Source: ganv Version: 0~svn4468~dfsg0-1 Architecture: armhf Maintainer: Alessio Treglia Installed-Size: 403 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.1.1), libglib2.0-0 (>= 2.24.0), libglibmm-2.4-1c2a (>= 2.31.22), libgraph4, libgtk2.0-0 (>= 2.14.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libgvc5, libpango1.0-0 (>= 1.14.0), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6) Homepage: http://dev.drobilla.net/browser/trunk/ganv Priority: optional Section: libs Filename: pool/main/g/ganv/libganv-1-1_0~svn4468~dfsg0-1_armhf.deb Size: 113076 SHA256: e66cef3c9ae7772909076e5a27397049edcecf554b9031baff7dbf33779d4484 SHA1: 153e3d1eec466ca4118398e2cc9c4db28f54ff92 MD5sum: d92a7dcbd35725503be461b783e0b27f Description: canvas widget for graph-based interfaces Ganv is an interactive Gtk canvas widget for graph-based interfaces (patchers, modular synthesizers, finite state automata, interactive graphs, etc). . Ganv provides classes for "Modules" (boxes with "Ports"), Circles, and Edges (lines that connect either Ports or Circles). The user can rearrange items, or Ganv can automatically arrange items using GraphViz. Edges can be made by the user one at a time with the mouse, or in groups using the mouse and keyboard. . This package provides the shared library. Package: libganv-dev Source: ganv Version: 0~svn4468~dfsg0-1 Architecture: armhf Maintainer: Alessio Treglia Installed-Size: 241 Depends: libganv-1-1 (= 0~svn4468~dfsg0-1), libglib2.0-dev, libgraphviz-dev, libgtk2.0-dev, libgtkmm-2.4-dev, pkg-config Homepage: http://dev.drobilla.net/browser/trunk/ganv Priority: optional Section: libdevel Filename: pool/main/g/ganv/libganv-dev_0~svn4468~dfsg0-1_armhf.deb Size: 28744 SHA256: dcdf48e4c74dfb2f6ea6829c8db9d6e90f5064c47dc75900fa5c5bcc6bfdbaed SHA1: 47281b678b7c25b4b0c74aa9cd552d5bac4c0715 MD5sum: 77932a90ed73c4cea24e21ef574b8e07 Description: canvas widget for graph-based interfaces (development files) Ganv is an interactive Gtk canvas widget for graph-based interfaces (patchers, modular synthesizers, finite state automata, interactive graphs, etc). . This package provides the development files for the Ganv library. Package: libganymed-ssh2-java Source: ganymed-ssh2 Version: 250-2 Installed-Size: 3256 Maintainer: Debian Java Maintainers Architecture: all Size: 388570 SHA256: 5b6f4b1c66d4a87d84614d73469e7d1e2dbcc509bbcd9a93dcfe2deac6b75d42 SHA1: 17728a088655e8cf8032f722b6cbb58d31210044 MD5sum: 9b0d118340ca9808db28fd6cdf8d8ec9 Description: pure Java implementation of the SSH-2 protocol Ganymed SSH-2 for Java is a library which implements the SSH-2 protocol in pure Java. It allows one to connect to SSH servers from within Java programs. It supports SSH sessions (remote command execution and shell access), local and remote port forwarding, local stream forwarding, X11 forwarding, SCP and SFTP. There are no dependencies on any JCE provider, as all crypto functionality is included. Homepage: http://www.cleondris.ch/ssh2/ Section: java Priority: optional Filename: pool/main/g/ganymed-ssh2/libganymed-ssh2-java_250-2_all.deb Package: libgarcon-1-0 Source: garcon Version: 0.1.12-1 Architecture: armhf Maintainer: Debian Xfce Maintainers Installed-Size: 183 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libxfce4util4 (>= 4.3.99.2), libgarcon-common Homepage: http://www.xfce.org/ Priority: optional Section: libs Filename: pool/main/g/garcon/libgarcon-1-0_0.1.12-1_armhf.deb Size: 85516 SHA256: 9e7c7a3be1daa7db477e3026031b7ae72bc9547f9f94238c8c2d064a2612868d SHA1: f9a0c60391aac72f6154edf0cf3050aef32ef4eb MD5sum: 350a8aece73a79aba55b2677efd7bd33 Description: freedesktop.org compliant menu implementation for Xfce garcon is a menu implementation that is compliant with the Desktop Menu Specification of freedesktop.org . It replaces libxfce4menu and is not Xfce specific. Package: libgarcon-1-0-dbg Source: garcon Version: 0.1.12-1 Architecture: armhf Maintainer: Debian Xfce Maintainers Installed-Size: 202 Depends: libgarcon-1-0 (= 0.1.12-1) Homepage: http://www.xfce.org/ Priority: extra Section: debug Filename: pool/main/g/garcon/libgarcon-1-0-dbg_0.1.12-1_armhf.deb Size: 137050 SHA256: a4d6be9133bd1159b438add2d2d8913e2729f497d74d8432a25de890f0ca2596 SHA1: a3b024f6dd60546257df1a652439ef52a12d40cf MD5sum: 46529c47df26b6d589f76c0f22668be1 Description: debugging symbols for libgarcon This package contains debugging symbols and information for garcon. Package: libgarcon-1-0-dev Source: garcon Version: 0.1.12-1 Architecture: armhf Maintainer: Debian Xfce Maintainers Installed-Size: 653 Depends: libgarcon-1-0 (= 0.1.12-1) Suggests: devhelp Homepage: http://www.xfce.org/ Priority: optional Section: libdevel Filename: pool/main/g/garcon/libgarcon-1-0-dev_0.1.12-1_armhf.deb Size: 139136 SHA256: 2facb69982aac201f901c28abd44fb0a646221120944f25522612fbe2e97a857 SHA1: 3267743a47a35d4e0a5e886eca8f41f202d15364 MD5sum: 65929e70f1c8aee6dc73f9c351be7fd5 Description: Development files for garcon This package contains the headers and the static library for garcon. Package: libgarcon-common Source: garcon Version: 0.1.12-1 Installed-Size: 646 Maintainer: Debian Xfce Maintainers Architecture: all Replaces: libgarcon-1-0 (<< 0.1.2-1), xfdesktop4 (<< 4.7.0), xfdesktop4-data (<< 4.7.0) Size: 96940 SHA256: 16b131a6554673d632863c411e1abf63ef30fc790037b813e97b566001105679 SHA1: 6c5a0a6acc04672258ec6c53dd3ceb6c1a63ea99 MD5sum: 8868eb25176d4497206ec712ee986037 Description: common files for libgarcon menu implementation garcon is a menu implementation that is compliant with the Desktop Menu Specification of freedesktop.org . This package contains common files like desktop-directories and menu file. Homepage: http://www.xfce.org/ Tag: role::app-data Section: libs Priority: optional Filename: pool/main/g/garcon/libgarcon-common_0.1.12-1_all.deb Package: libgarmin-dev Source: libgarmin Version: 0~svn320-3 Architecture: armhf Maintainer: Gilles Filippini Installed-Size: 207 Depends: libgarmin0 (= 0~svn320-3) Conflicts: garmin-forerunner-tools (<< 0.10-1) Homepage: http://libgarmin.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libg/libgarmin/libgarmin-dev_0~svn320-3_armhf.deb Size: 78572 SHA256: 071577086f2ed20eeb5e80b4518aad6ab59161425fff5fa3ec22ffff2c4128df SHA1: a5d9894a24d21b022c7e90529ed7fe3207d58a14 MD5sum: 613bac7e0f5a721271c9b719fb630acb Description: Garmin image format library (development files) libgarmin is a library to parse Garmin IMG files as used by the Garmin GPS devices. . This package includes development support files. Package: libgarmin0 Source: libgarmin Version: 0~svn320-3 Architecture: armhf Maintainer: Gilles Filippini Installed-Size: 149 Depends: libc6 (>= 2.4) Homepage: http://libgarmin.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libg/libgarmin/libgarmin0_0~svn320-3_armhf.deb Size: 63078 SHA256: a4ded689843b570278fef0abfae35b41e82b38438ab640185af3224126bb8e5e SHA1: 27b0fe42b9e5add7428fe85b77f5838eea2def4c MD5sum: f958b56f227b6293e6d84f8bcfbe89cd Description: Garmin image format library (runtime version) libgarmin is a library to parse Garmin IMG files as used by the Garmin GPS devices. . This package contains the run-time shared library only. Package: libgauche-0.9-0 Source: gauche Version: 0.9.1-5.1 Architecture: armhf Maintainer: Debian Gauche Maintainers Installed-Size: 3307 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Breaks: gauche (<= 0.9-21), libgauche0 (<= 0.9.1-2) Replaces: gauche (<= 0.9-21), libgauche0 (<= 0.9.1-2) Homepage: http://practical-scheme.net/gauche/ Priority: optional Section: libs Filename: pool/main/g/gauche/libgauche-0.9-0_0.9.1-5.1_armhf.deb Size: 1296902 SHA256: 744e0cd6225f01ec50a64c771d8b68c063d1f810ce5f912e8c4235cc6b9f8708 SHA1: 36b9404f11ee0050b16ace3b946f0391b15d9ae4 MD5sum: 1b53fa275660e9a679b44090ba70410e Description: Gauche runtime shared library Gauche is a Scheme implementation developed to be a handy script interpreter. . This package contains Gauche runtime shared library. Package: libgavl-dev Source: gavl Version: 1.4.0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 225 Depends: libgavl1 (= 1.4.0-1), pkg-config Recommends: libgavl-doc Multi-Arch: same Homepage: http://gmerlin.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/g/gavl/libgavl-dev_1.4.0-1_armhf.deb Size: 40010 SHA256: aaf5ac1a9256f6f6cca120fcd798181869627a49c8c653f30623a94146f52952 SHA1: 9fbe07a9c4c7fbd32f15da2d476573c1c983293f MD5sum: 6ee44d978b857062c789483cdbf0649d Description: low level audio and video library - development files Gavl handles all the details of audio and video formats like colorspaces, samplerates, multichannel configurations etc. It provides standardized definitions for those formats as well as container structures for carrying audio samples or video images inside an application. . In addition, it handles the sometimes ugly task to convert between all these formats and provides some elementary operations (copying, scaling, alpha blending etc). . This package contains the files needed to compile and statically link against Gavl. Package: libgavl-doc Source: gavl Version: 1.4.0-1 Installed-Size: 1901 Maintainer: Debian Multimedia Maintainers Architecture: all Size: 257594 SHA256: ea30261e0f6ea948d2def1223270dac86bfd83b3e16aa163d90ac2c4703157cb SHA1: 3aaf8c0c8fd0c88e7d7f6f4850b43892bde204b4 MD5sum: 0d232d451e805a71511b77f424b87cdd Description: low level audio and video library - documentation files Gavl handles all the details of audio and video formats like colorspaces, samplerates, multichannel configurations etc. It provides standardized definitions for those formats as well as container structures for carrying audio samples or video images inside an application. . In addition, it handles the sometimes ugly task to convert between all these formats and provides some elementary operations (copying, scaling, alpha blending etc). . This package contains the documentation about Gavl. Homepage: http://gmerlin.sourceforge.net/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/g/gavl/libgavl-doc_1.4.0-1_all.deb Package: libgavl-ocaml Source: ocaml-gavl Version: 0.1.4-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 56 Depends: ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), libgavl1 (>= 1.1.0) Provides: libgavl-ocaml-zlqn3 Homepage: http://savonet.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-gavl/libgavl-ocaml_0.1.4-1_armhf.deb Size: 11138 SHA256: b980c107320aeb672102a2870d65a49a120e43cff2a08b7a23c30fcb07777d44 SHA1: 8583447fc18c998a7d6cd097ce3d6a1888380c8d MD5sum: 458340dbd4486c01cf1a9950a23a650b Description: OCaml interface to the gavl library This package provides an interface to the gavl library for OCaml programmers. . Gavl handles all the details of audio and video formats like colorspaces, samplerates, multichannel configurations etc. It provides standardized definitions for those formats as well as container structures for carrying audio samples or video images inside an application. . This package contains only the shared runtime stub libraries. Package: libgavl-ocaml-dev Source: ocaml-gavl Version: 0.1.4-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 186 Depends: libgavl-ocaml-zlqn3, ocaml-nox-3.12.1, libgavl-dev, libgavl-ocaml (= 0.1.4-1), ocaml-findlib Provides: libgavl-ocaml-dev-zlqn3 Homepage: http://savonet.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-gavl/libgavl-ocaml-dev_0.1.4-1_armhf.deb Size: 25374 SHA256: ed8b6e6230c13eac3f544bf8ee9ebf57d3974e46759404c95012e75d42f9754d SHA1: 943ae4f8d508bb67bfcf5cecca2d9e5930cb08db MD5sum: f033c1b2be8221310ebcb95e75dfa8b6 Description: OCaml interface to the gavl library This package provides an interface to the gavl library for OCaml programmers. . Gavl handles all the details of audio and video formats like colorspaces, samplerates, multichannel configurations etc. It provides standardized definitions for those formats as well as container structures for carrying audio samples or video images inside an application. . This package contains all the development stuff you need to develop OCaml programs which use ocaml-gavl. Package: libgavl1 Source: gavl Version: 1.4.0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 4716 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Multi-Arch: same Homepage: http://gmerlin.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/g/gavl/libgavl1_1.4.0-1_armhf.deb Size: 3491608 SHA256: a7ed1031202d0a482da4764d425afdf2d51db812d0d90af561fa4a4c1936f2f3 SHA1: c491e7ca60590b726e4f7ae5a394d950bce025c1 MD5sum: c8fbbc2165d9331a11b6c47189a63a35 Description: low level audio and video library - runtime files Gavl handles all the details of audio and video formats like colorspaces, samplerates, multichannel configurations etc. It provides standardized definitions for those formats as well as container structures for carrying audio samples or video images inside an application. . In addition, it handles the sometimes ugly task to convert between all these formats and provides some elementary operations (copying, scaling, alpha blending etc). . This package contains the files needed to run a program compiled using Gavl. Package: libgavl1-dbg Source: gavl Version: 1.4.0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 945 Depends: libgavl1 (= 1.4.0-1) Multi-Arch: same Homepage: http://gmerlin.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/g/gavl/libgavl1-dbg_1.4.0-1_armhf.deb Size: 789108 SHA256: c316f2dff04c9e3e2473d406acd4e4befb5dde51578d1bb1761317eef1fcc93f SHA1: 271773f29c2c14bb964579fede20f574f89a2e1a MD5sum: 153664235902a2e2c8503e65358ab9bb Description: debugging symbols for libgavl1 Gavl handles all the details of audio and video formats like colorspaces, samplerates, multichannel configurations etc. It provides standardized definitions for those formats as well as container structures for carrying audio samples or video images inside an application. . In addition, it handles the sometimes ugly task to convert between all these formats and provides some elementary operations (copying, scaling, alpha blending etc). . This package contains the debugging symbols for libgavl1 Package: libgbm-dev Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 89 Depends: libgbm1 (= 8.0.5-4+deb7u2+rpi1), libudev-dev Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/m/mesa/libgbm-dev_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 33882 SHA256: 57c3fbfcf8e6561ab8357ebe94068578a2c4430b6b777c57155b51d59b696769 SHA1: e4b78fc96f368491e2af98ef07c4308cdad92941 MD5sum: e8699c2521d858796f960c7d800f3c9f Description: generic buffer management API -- development files This package contains the GBM buffer management library. It provides a mechanism for allocating buffers for graphics rendering tied to Mesa. . GBM is intended to be used as a native platform for EGL on drm or openwfd. . This package provides the development environment for compiling programs against the GBM library. Package: libgbm1 Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 3446 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdrm-nouveau1a (>= 2.4.23), libdrm2 (>= 2.4.3), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libudev0 (>= 0.140) Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/m/mesa/libgbm1_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 714534 SHA256: 26e172c1cba0235fff53134d39f7e7c2c3f726850b7edbcae6b11c04ee052129 SHA1: 981da989ba9a534ca7d65d0cf757908d1b026577 MD5sum: 56fd6431389cb81a52802ff38785c153 Description: generic buffer management API -- runtime This package contains the GBM buffer management library. It provides a mechanism for allocating buffers for graphics rendering tied to Mesa. . GBM is intended to be used as a native platform for EGL on drm or openwfd. Package: libgbm1-dbg Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 15616 Pre-Depends: multiarch-support Depends: libgbm1 (= 8.0.5-4+deb7u2+rpi1) Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/m/mesa/libgbm1-dbg_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 2711968 SHA256: ba0f1831ea261e3eac95f39d128648d6ebb8c2ecc1777f006d878a83bb95e6ad SHA1: 021437923884a5b2497cd5a0cc1ac8a3f587d05c MD5sum: 760be8af1966994fc20d1c957fab9bce Description: generic buffer management API -- debugging symbols This package contains the GBM buffer management library. It provides a mechanism for allocating buffers for graphics rendering tied to Mesa. . GBM is intended to be used as a native platform for EGL on drm or openwfd. . This package contains the debugging symbols for the GBM library. Package: libgc-dev Source: libgc Version: 1:7.1-9.1+deb7u1 Architecture: armhf Maintainer: Christoph Egger Installed-Size: 535 Depends: libgc1c2 (= 1:7.1-9.1+deb7u1), libc-dev Homepage: http://www.hpl.hp.com/personal/Hans_Boehm/gc/ Priority: optional Section: libdevel Filename: pool/main/libg/libgc/libgc-dev_7.1-9.1+deb7u1_armhf.deb Size: 234884 SHA256: 0f085591efc32481f011a4f5e39b924ed193a7cc88d6ed16b40b0c1b6c75845f SHA1: eba6acdba8aff141192c6a008ab52aaa1894a4d5 MD5sum: d9bcab9f22873d5c7d1568ad8b0101e2 Description: conservative garbage collector for C (development) Boehm-Demers-Weiser's GC is a garbage collecting storage allocator that is intended to be used as a plug-in replacement for C's malloc or C++'s new(). . It allows you to allocate memory basically as you normally would without explicitly deallocating memory that is no longer useful. The collector automatically recycles memory when it determines that it can no longer be used. . This version of the collector is thread safe, has C++ support and uses the defaults for everything else. However, it does not work as a drop-in malloc(3) replacement. . This package is required to compile and link programs that use libgc1c2. Package: libgc1c2 Source: libgc Version: 1:7.1-9.1+deb7u1 Architecture: armhf Maintainer: Christoph Egger Installed-Size: 208 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Conflicts: libgc1 Replaces: libgc1 Homepage: http://www.hpl.hp.com/personal/Hans_Boehm/gc/ Priority: standard Section: libs Filename: pool/main/libg/libgc/libgc1c2_7.1-9.1+deb7u1_armhf.deb Size: 129272 SHA256: 49ccf7399f69887572d7870977875da3110bcbf9046cf052b6697fd994f14914 SHA1: 4aff11372a3454451549416742ca9894c2e1df4e MD5sum: 08dfee4dc719a927a4c5662a072f4972 Description: conservative garbage collector for C and C++ Boehm-Demers-Weiser's GC is a garbage collecting storage allocator that is intended to be used as a plug-in replacement for C's malloc or C++'s new(). . It allows you to allocate memory basically as you normally would without explicitly deallocating memory that is no longer useful. The collector automatically recycles memory when it determines that it can no longer be used. . This version of the collector is thread safe, has C++ support and uses the defaults for everything else. However, it does not work as a drop-in malloc(3) replacement. Package: libgcal-dev Source: libgcal Version: 0.9.6-3 Architecture: armhf Maintainer: Michael Banck Installed-Size: 159 Depends: libgcal0 (= 0.9.6-3), libxml2-dev, libcurl4-gnutls-dev Homepage: http://code.google.com/p/libgcal/ Priority: optional Section: libdevel Filename: pool/main/libg/libgcal/libgcal-dev_0.9.6-3_armhf.deb Size: 26678 SHA256: 012b42da34cc6d77d1f8536dbae9d165a1f573768423807f7a9c5c28b3bad65b SHA1: c16c067e533d1669c5f90e395532bc22320d4f0c MD5sum: 54691c2414dd94ba5313f72b9637c9d6 Description: Google calendar and contacts (development files) This is a library to access google calendar events and contacts. Its purpose is to provide easy access to available events/contacts and to enable common operations like add, delete or edit. . This package contains the static library and header files. Package: libgcal0 Source: libgcal Version: 0.9.6-3 Architecture: armhf Maintainer: Michael Banck Installed-Size: 244 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libxml2 (>= 2.7.4) Homepage: http://code.google.com/p/libgcal/ Priority: optional Section: libs Filename: pool/main/libg/libgcal/libgcal0_0.9.6-3_armhf.deb Size: 70614 SHA256: 4c8b8bb8b9e486132fa26da415bd3451eabcb3f6e04f5fe44234888270c3ca61 SHA1: 639f30a2267e273c5c7ede8921601e14983d33ea MD5sum: bd8af9fdc362a8772fe37df8f1cdd815 Description: Google calendar and contacts library This is a library to access google calendar events and contacts. Its purpose is to provide easy access to available events/contacts and to enable common operations like add, delete or edit. Package: libgcc1 Source: gcc-4.7 (4.7.2-5+rpi1) Version: 1:4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 198 Pre-Depends: multiarch-support Depends: gcc-4.7-base (= 4.7.2-5+rpi1), libc6 (>= 2.13-28) Breaks: gcc-4.1, gcc-4.3 (<< 4.3.6-1), gcc-4.4 (<< 4.4.6-4), gcc-4.5 (<< 4.5.3-2) Provides: libgcc1-armhf Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: required Section: libs Filename: pool/main/g/gcc-4.7/libgcc1_4.7.2-5+rpi1_armhf.deb Size: 54400 SHA256: f68812a139ce05802ed13e067e982110bbb2b40ecb31ffd9086566516628bc1a SHA1: b5dc64b16bedf13ef38017006990aaa5c197da00 MD5sum: 2f62340a14bf69e221b59f6f67972619 Description: GCC support library Shared version of the support library, a library of internal subroutines that GCC uses to overcome shortcomings of particular machines, or special needs for some languages. Package: libgcc1-dbg Source: gcc-4.7 (4.7.2-5+rpi1) Version: 1:4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 1273 Depends: gcc-4.7-base (= 4.7.2-5+rpi1), libgcc1 (= 1:4.7.2-5+rpi1) Provides: libgcc1-dbg-armhf Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: extra Section: debug Filename: pool/main/g/gcc-4.7/libgcc1-dbg_4.7.2-5+rpi1_armhf.deb Size: 162680 SHA256: f0f857fd0efadbb7c6807bd30a12320cdd75048ba8c9b3e71583cb1f16ec9b21 SHA1: f7c222b3d95193047e02fb932ef7a0811a6b0da2 MD5sum: 2db81a04c0579e5a1f387a8497c51eef Description: GCC support library (debug symbols) Debug symbols for the GCC support library. Package: libgccxml-dev Source: gccxml Version: 0.9.0+cvs20120420-4 Architecture: armhf Maintainer: Steve M. Robbins Installed-Size: 511 Homepage: http://www.gccxml.org/ Priority: optional Section: libdevel Filename: pool/main/g/gccxml/libgccxml-dev_0.9.0+cvs20120420-4_armhf.deb Size: 126310 SHA256: 7198d014f2416dc1cca09857e7a52ac8bc433d0c07f613f86e81b4cdadfbd1e5 SHA1: 8264119d38efe08f3610d979c5261b45f4102df3 MD5sum: 3a9005bc2176a64a3ca7536cfd45be91 Description: Libraries for building extension to gccxml output There is one open-source C++ parser, the C++ front-end to GCC, which is currently able to deal with the language in its entirety. The purpose of the GCC-XML extension is to generate an XML description of a C++ program from GCC's internal representation. Since XML is easy to parse, other development tools will be able to work with C++ programs without the burden of a complicated C++ parser. . These libraries are part of the GCC-XML tool. Package: libgcgi-dev Source: libgcgi Version: 0.9.5.dfsg-7 Architecture: armhf Maintainer: Jeremy T. Bouse Installed-Size: 97 Depends: libgcgi0 (= 0.9.5.dfsg-7) Homepage: http://www.catchen.org/gcgi/ Priority: optional Section: libdevel Filename: pool/main/libg/libgcgi/libgcgi-dev_0.9.5.dfsg-7_armhf.deb Size: 29550 SHA256: 1966c6b2743f30156b08754b957f240aaf8edafd9356ed1d4f7b85bbd5ccb1dd SHA1: eea2564c7137d627faa3a2fb2e6464c57cf2e9fb MD5sum: b11ba19178a88b3f7bc141150840770f Description: library for CGI programs in C (development files) GCGI is a library that implements NCSA's common gateway interface (CGI) as well as extensions to that interface as defined in RFC2388. . This package contains the header files and the static version of the library. Package: libgcgi0 Source: libgcgi Version: 0.9.5.dfsg-7 Architecture: armhf Maintainer: Jeremy T. Bouse Installed-Size: 255 Depends: libc6 (>= 2.4), libssl1.0.0 (>= 1.0.0) Homepage: http://www.catchen.org/gcgi/ Priority: optional Section: libs Filename: pool/main/libg/libgcgi/libgcgi0_0.9.5.dfsg-7_armhf.deb Size: 185324 SHA256: 3021cd572db75f506d1c4430808d34aa534cb836b6c02f70a284d46cf986e8d9 SHA1: 65a81d108aad6c7e2b8de0421d2168cb23f48241 MD5sum: fef4f730ccfc873a47768ef1579e7a41 Description: library for CGI programs in C GCGI is a library that implements NCSA's common gateway interface (CGI) as well as extensions to that interface as defined in RFC2388. Package: libgcj-bc Source: gcc-defaults (1.120) Version: 4.7.2-1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 37 Pre-Depends: multiarch-support Depends: libgcj-common (>= 1:4.6.3-8), libgcj13 (>= 4.7.2-1~) Multi-Arch: same Priority: optional Section: java Filename: pool/main/g/gcc-defaults/libgcj-bc_4.7.2-1_armhf.deb Size: 1186 SHA256: f40e75a330338853f5a2973f436df394f61aea2e3c4e5eb8cd2aa13758a7333d SHA1: 7c37b72ba3b33dfcbaf1f981e4be852afcc72608 MD5sum: 0982cee7184ee9a4b98339bf548ab6d6 Description: Link time only library for use with gcj A fake library that is used at link time only. It ensures that binaries built with the BC-ABI link against a constant SONAME. This way, BC-ABI binaries continue to work if the SONAME underlying libgcj.so changes. Package: libgcj-common Source: gcc-defaults (1.120) Version: 1:4.6.3-8 Installed-Size: 249 Maintainer: Debian GCC Maintainers Architecture: all Replaces: java-gcj-compat (<< 1.0.65-8), java-gcj-compat-dev (<< 1.0.80-2) Depends: python Conflicts: classpath (<= 0.04-4) Size: 138774 SHA256: c25f75a628b6c7105da0af004b55791df506058b4bbd5c77dbe36f8000e5b882 SHA1: b3eb8db2a30791172bedc24c63d16e3888cc2dfd MD5sum: 5a0741284ede9e8594174dcb746e56f2 Description: Java runtime library (common files) This package contains files shared by classpath and libgcj libraries. Tag: devel::lang:java, devel::library, role::shared-lib Section: java Priority: optional Filename: pool/main/g/gcc-defaults/libgcj-common_4.6.3-8_all.deb Package: libgcj-doc Source: gcj-4.7 Version: 4.7.2-3+rpi1 Architecture: all Maintainer: Debian GCC Maintainers Installed-Size: 429376 Depends: gcj-4.7-base (>= 4.7.2) Enhances: libgcj13-dev Provides: classpath-doc Homepage: http://gcc.gnu.org/ Priority: optional Section: doc Filename: pool/main/g/gcj-4.7/libgcj-doc_4.7.2-3+rpi1_all.deb Size: 43592334 SHA256: a12f6f0daead1663e2e39819cb9ada8da49b6f92753b92b0758d7ba10733b0cc SHA1: ddcc9c4634578f54d9ad9034aab4e1e7af7eefb1 MD5sum: b50a33f32f7217ba05ee02166353f650 Description: libgcj API documentation and example programs Autogenerated documentation describing the API of the libgcj library. Sources and precompiled example programs from the classpath library. Package: libgcj10 Source: gcj-4.4 Version: 4.4.7-1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 37183 Pre-Depends: multiarch-support Depends: gcj-4.4-base (>= 4.4.7-1), libgcj-common (>= 1:4.1.1-21), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libstdc++6 (>= 4.4), zlib1g (>= 1:1.1.4) Recommends: gcj-4.4-jre-lib (>= 4.4.6-11) Suggests: libgcj10-dbg, libgcj10-awt (= 4.4.7-1) Replaces: gij-4.4 (<< 4.4.0-1) Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gcj-4.4/libgcj10_4.4.7-1_armhf.deb Size: 12036322 SHA256: 43a522fd3a677f87269ff05aa814828988f57358cba207936934409f5eb68372 SHA1: 73044fe67a139cf3d847f1b07622173acff65dda MD5sum: 4704951bb09b4bd7db9a0ca3f39c5a05 Description: Java runtime library for use with gcj This is the runtime that goes along with the gcj front end to gcc. libgcj includes parts of the Java Class Libraries, plus glue to connect the libraries to the compiler and the underlying OS. . To show file names and line numbers in stack traces, the packages libgcj10-dbg and binutils are required. Package: libgcj10-awt Source: gcj-4.4 Version: 4.4.7-1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 233 Pre-Depends: multiarch-support Depends: gcj-4.4-base (>= 4.4.7-1), libgcj10 (= 4.4.7-1), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libxrandr2 (>= 4.3), libxrender1, libxtst6 Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gcj-4.4/libgcj10-awt_4.4.7-1_armhf.deb Size: 78996 SHA256: e2d514b2a2cef3a7226051c22ade05aa684bc3e42a330a0936cfebeb127bd0f1 SHA1: b323d096b32ea510db6fb4027828fc755ac78365 MD5sum: afc65432b89228d75e4c82730cd62fd7 Description: AWT peer runtime libraries for use with gcj These are runtime libraries holding the AWT peer implementations for libgcj (currently the GTK+ based peer library is required, the QT bases library is not built). Package: libgcj10-dbg Source: gcj-4.4 Version: 4.4.7-1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 47696 Pre-Depends: multiarch-support Depends: gcj-4.4-base (= 4.4.7-1), libgcj10 (= 4.4.7-1) Recommends: binutils, libc6-dbg | libc-dbg Homepage: http://gcc.gnu.org/ Priority: extra Section: debug Filename: pool/main/g/gcj-4.4/libgcj10-dbg_4.4.7-1_armhf.deb Size: 13802166 SHA256: dec192d160979e7348c6b6d40029fe834786c575af2e0bb48dab0f275af2904f SHA1: dbfcf58711a1819350cef70da21e68e81a0b98d7 MD5sum: 02aa0d127e32890fbfd9547a53d762a6 Description: Debugging symbols for libraries provided in libgcj10-dev The package provides debugging symbols for the libraries provided in libgcj10-dev. . binutils is required to show file names and line numbers in stack traces. Package: libgcj10-dev Source: gcj-4.4 Version: 4.4.7-1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 6669 Depends: gcj-4.4-base (= 4.4.7-1), gcj-4.4-jdk (= 4.4.7-1), gcj-4.4-jre-lib (>= 4.4.6-11), libgcj10-awt (= 4.4.7-1), libgcj-bc, zlib1g-dev Suggests: libgcj-doc Homepage: http://gcc.gnu.org/ Priority: optional Section: libdevel Filename: pool/main/g/gcj-4.4/libgcj10-dev_4.4.7-1_armhf.deb Size: 768982 SHA256: 32219832d2c4147eba4ac3e3931105e772c712b412974ba00e328ed74354fd8d SHA1: be0c9d8b26818c0234480c1b0a452ac801251e0c MD5sum: 4f1b3257a9d32e0677ce06a99a85f54a Description: Java development headers for use with gcj These are the development headers that go along with the gcj front end to gcc. libgcj includes parts of the Java Class Libraries, plus glue to connect the libraries to the compiler and the underlying OS. Package: libgcj12 Source: gcj-4.6 Version: 4.6.3-1+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 36920 Pre-Depends: multiarch-support Depends: gcj-4.6-base (>= 4.6.3-1+rpi1), libgcj-common (>= 1:4.1.1-21), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Recommends: gcj-4.6-jre-lib (>= 4.6.1-9) Suggests: libgcj12-dbg, libgcj12-awt (= 4.6.3-1+rpi1) Replaces: gij-4.4 (<< 4.4.0-1) Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gcj-4.6/libgcj12_4.6.3-1+rpi1_armhf.deb Size: 11556502 SHA256: 58ff9d75298111b57cd9bcdc02db1b543733c4976aca91a93f5670704aa07260 SHA1: ba72f5082335897e6df8654f7011cbd684f975d6 MD5sum: 1cf3c9d814db517de2bf8d76b427f00c Description: Java runtime library for use with gcj This is the runtime that goes along with the gcj front end to gcc. libgcj includes parts of the Java Class Libraries, plus glue to connect the libraries to the compiler and the underlying OS. . To show file names and line numbers in stack traces, the packages libgcj12-dbg and binutils are required. Package: libgcj12-awt Source: gcj-4.6 Version: 4.6.3-1+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 226 Pre-Depends: multiarch-support Depends: gcj-4.6-base (>= 4.6.3-1+rpi1), libgcj12 (= 4.6.3-1+rpi1), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libxrandr2 (>= 4.3), libxrender1, libxtst6 Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gcj-4.6/libgcj12-awt_4.6.3-1+rpi1_armhf.deb Size: 71596 SHA256: f25ad8eda2c48eba84d058400ae60bbf36bd6c7df0adf2619d252bbf8a4ed44d SHA1: 3716ce4ae7fedb17a77aee891c72c2435bc370a0 MD5sum: de87f5d845cab3dcf7700a532acf3e95 Description: AWT peer runtime libraries for use with gcj These are runtime libraries holding the AWT peer implementations for libgcj (currently the GTK+ based peer library is required, the QT bases library is not built). Package: libgcj12-dbg Source: gcj-4.6 Version: 4.6.3-1+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 90239 Pre-Depends: multiarch-support Depends: gcj-4.6-base (= 4.6.3-1+rpi1), libgcj12 (= 4.6.3-1+rpi1) Recommends: binutils, libc6-dbg | libc-dbg Homepage: http://gcc.gnu.org/ Priority: extra Section: debug Filename: pool/main/g/gcj-4.6/libgcj12-dbg_4.6.3-1+rpi1_armhf.deb Size: 23642948 SHA256: 463c34dcf6e95153df2bda781e3c00927a9fe045c8082fad3f9d22bd07adaa3a SHA1: e386f16a3817b018d3b75a6a1a4895a08a1f93cf MD5sum: 5f6b2a4aa99b867e1d4586b693f7188d Description: Debugging symbols for libraries provided in libgcj12-dev The package provides debugging symbols for the libraries provided in libgcj12-dev. . binutils is required to show file names and line numbers in stack traces. Package: libgcj12-dev Source: gcj-4.6 Version: 4.6.3-1+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 6669 Depends: gcj-4.6-base (= 4.6.3-1+rpi1), gcj-4.6-jdk (= 4.6.3-1+rpi1), gcj-4.6-jre-lib (>= 4.6.1-9), libgcj12-awt (= 4.6.3-1+rpi1), libgcj-bc, zlib1g-dev Suggests: libgcj-doc Homepage: http://gcc.gnu.org/ Priority: optional Section: libdevel Filename: pool/main/g/gcj-4.6/libgcj12-dev_4.6.3-1+rpi1_armhf.deb Size: 766520 SHA256: aa557157fb733c1fab9be2cd69b7aea3f2ab53b494db4c5b25683bf4ea2685ef SHA1: e6c9abdb809b96637c161445037c6e6be597e9dd MD5sum: 9dadb8f1b3cc865d2945de22ff41de54 Description: Java development headers for use with gcj These are the development headers that go along with the gcj front end to gcc. libgcj includes parts of the Java Class Libraries, plus glue to connect the libraries to the compiler and the underlying OS. Package: libgcj13 Source: gcj-4.7 Version: 4.7.2-3+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 36681 Pre-Depends: multiarch-support Depends: gcj-4.7-base (>= 4.7.2-3+rpi1), libgcj-common (>= 1:4.1.1-21), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libstdc++6 (>= 4.7), zlib1g (>= 1:1.1.4) Recommends: gcj-4.7-jre-lib (>= 4.7.2) Suggests: libgcj13-dbg, libgcj13-awt (= 4.7.2-3+rpi1) Replaces: gij-4.4 (<< 4.4.0-1) Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gcj-4.7/libgcj13_4.7.2-3+rpi1_armhf.deb Size: 11454396 SHA256: a4d166eb7bf04eb101375c6e1726077fcbc853ad85ae05dcfc629e2867984d50 SHA1: d684a36100e184dd54384d058f35bc3e5a36977f MD5sum: b2fc7cc95d3ebacdd9ed95dc7b58d7b3 Description: Java runtime library for use with gcj This is the runtime that goes along with the gcj front end to gcc. libgcj includes parts of the Java Class Libraries, plus glue to connect the libraries to the compiler and the underlying OS. . To show file names and line numbers in stack traces, the packages libgcj13-dbg and binutils are required. Package: libgcj13-awt Source: gcj-4.7 Version: 4.7.2-3+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 225 Pre-Depends: multiarch-support Depends: gcj-4.7-base (>= 4.7.2-3+rpi1), libgcj13 (= 4.7.2-3+rpi1), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libxrandr2 (>= 4.3), libxrender1, libxtst6 Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gcj-4.7/libgcj13-awt_4.7.2-3+rpi1_armhf.deb Size: 71530 SHA256: 441ed41ffb7ba3e90ced6aed383c0a744595de3f46cd2a0b2cc68a7926a36072 SHA1: 60df9c522acdde99850f6a569f5ea2c0552ed081 MD5sum: 9392b6ef7741e7c3e6addf4bb1814456 Description: AWT peer runtime libraries for use with gcj These are runtime libraries holding the AWT peer implementations for libgcj (currently the GTK+ based peer library is required, the QT bases library is not built). Package: libgcj13-dbg Source: gcj-4.7 Version: 4.7.2-3+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 96538 Pre-Depends: multiarch-support Depends: gcj-4.7-base (= 4.7.2-3+rpi1), libgcj13 (= 4.7.2-3+rpi1) Recommends: binutils, libc6-dbg | libc-dbg Homepage: http://gcc.gnu.org/ Priority: extra Section: debug Filename: pool/main/g/gcj-4.7/libgcj13-dbg_4.7.2-3+rpi1_armhf.deb Size: 26581328 SHA256: 4752c8da07efe12655ec508a7d12d72e86badef77a2485ead8799254fd85fa60 SHA1: 405a1df1d0773c83618b9d3498bbebd79da706a1 MD5sum: 9bb78c2828f5a972e1a2160f5a6ccf25 Description: Debugging symbols for libraries provided in libgcj13-dev The package provides debugging symbols for the libraries provided in libgcj13-dev. . binutils is required to show file names and line numbers in stack traces. Package: libgcj13-dev Source: gcj-4.7 Version: 4.7.2-3+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 6669 Depends: gcj-4.7-base (= 4.7.2-3+rpi1), gcj-4.7-jdk (= 4.7.2-3+rpi1), gcj-4.7-jre-lib (>= 4.7.2), libgcj13-awt (= 4.7.2-3+rpi1), libgcj-bc, zlib1g-dev Suggests: libgcj-doc Homepage: http://gcc.gnu.org/ Priority: optional Section: libdevel Filename: pool/main/g/gcj-4.7/libgcj13-dev_4.7.2-3+rpi1_armhf.deb Size: 766454 SHA256: 3d1ac9538c96c8239e5657d27d9b5aad072a52e76f75f6a7f010f7090fc62127 SHA1: cab1af489b3742be3ef5f8d5e5615fc0f178a211 MD5sum: 8628315032e5bd259ea5917ae207c34d Description: Java development headers for use with gcj These are the development headers that go along with the gcj front end to gcc. libgcj includes parts of the Java Class Libraries, plus glue to connect the libraries to the compiler and the underlying OS. Package: libgck-1-0 Source: gcr Version: 3.4.1-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 344 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.32.0), libp11-kit0 (>= 0.11) Breaks: gnome-keyring (<< 3.4), seahorse (<< 3.4) Homepage: https://live.gnome.org/GnomeKeyring Priority: optional Section: libs Filename: pool/main/g/gcr/libgck-1-0_3.4.1-3_armhf.deb Size: 195134 SHA256: f2da3b4b364ecb6ceeb4aff2a6f47e083035a47bf86f8b3f4717ba08bda85b7e SHA1: c0698ef3558db10d25be966e26132dc1021905ad MD5sum: b52068eaaae70d2c82d10636eb013194 Description: Glib wrapper library for PKCS#11 - runtime GCK is a wrapper based on GLib implementing the PKCS#11 (Cryptoki) interface. . This package contains the shared libraries needed to run programs built against the GCK library. Package: libgck-1-dev Source: gcr Version: 3.4.1-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 604 Depends: gir1.2-gck-1 (= 3.4.1-3), libgck-1-0 (= 3.4.1-3), libglib2.0-dev (>= 2.30.0), libp11-kit-dev Suggests: libgck-1-doc Homepage: https://live.gnome.org/GnomeKeyring Priority: optional Section: libdevel Filename: pool/main/g/gcr/libgck-1-dev_3.4.1-3_armhf.deb Size: 163124 SHA256: 3316e9226db66bb459f719e40173879379635b8d9924a1d59690f5dbd211b9a9 SHA1: 7a2d4767fdd64bb0d94b17dccc010d14815224b1 MD5sum: e9355f34825255ef1969bf9542f339e4 Description: GLib wrapper library for PKCS#11 - development GCK is a wrapper based on GLib implementing the PKCS#11 (Cryptoki) interface. . This package contains the static libraries, header files and documentation needed to build applications using the GCK library. Package: libgck-1-doc Source: gcr Version: 3.4.1-3 Installed-Size: 1094 Maintainer: Josselin Mouette Architecture: all Replaces: libgck-dev (<< 3.2.2-1) Suggests: devhelp Breaks: libgck-dev (<< 3.2.2-1) Size: 189862 SHA256: 7cd08956a75a13a65370b166535e2720d740ad99d27abd9c54d994997a6882b2 SHA1: f8675ae31c7a36692f993036c34dc6d9ceb602e2 MD5sum: 1098f2152f05749e29d26ab2c954f0b6 Description: GLib wrapper library for PKCS#11 - documentation GCK is a wrapper based on GLib implementing the PKCS#11 (Cryptoki) interface. . This package contains the API documentation for the GCK library. Homepage: https://live.gnome.org/GnomeKeyring Section: doc Priority: optional Filename: pool/main/g/gcr/libgck-1-doc_3.4.1-3_all.deb Package: libgconf-2-4 Source: gconf Version: 3.2.5-1+build1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 540 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.31.0), gconf2-common (= 3.2.5-1+build1) Recommends: gconf-service Conflicts: libbonobo2-0 (<< 2.24) Breaks: gconf2 (<< 3.2.3-2), libgconf2-4 (<< 3.2.3-2) Multi-Arch: same Homepage: http://projects.gnome.org/gconf/ Priority: optional Section: libs Filename: pool/main/g/gconf/libgconf-2-4_3.2.5-1+build1_armhf.deb Size: 422246 SHA256: 4a35dd2e92514272d030b1a8e68dba7af68b380a648ff81cc627e2c071b0066b SHA1: 0939f3089cf934f357e8c6cbe47c7c2750d8be65 MD5sum: 8be11fcfd5a354529de61320d390738a Description: GNOME configuration database system (shared libraries) GConf is a configuration database system for storing application preferences. It supports default or mandatory settings set by the administrator, and changes to the database are instantly applied to all running applications. It is written for the GNOME desktop but doesn't require it. . This package contains the shared libraries. Package: libgconf-bridge-dev Source: libgconf-bridge Version: 0.1-2.2 Architecture: armhf Maintainer: Ross Burton Installed-Size: 99 Depends: libgconf-bridge0 (= 0.1-2.2), libgconf2-dev, libgtk2.0-dev Priority: optional Section: libdevel Filename: pool/main/libg/libgconf-bridge/libgconf-bridge-dev_0.1-2.2_armhf.deb Size: 12476 SHA256: e5eaff14ae2ea88ea2a39791097834a165488830d37b849ae8baf7a33012c96a SHA1: d9cf70829a93aee0189e04e35466e53a7ce528c5 MD5sum: ead4b0499cb4439c39aedc451c7e4712 Description: Bind GObject properties to GConf keys (development files) libgconf-bridge is a utility library for binding GObject properties to GConf keys. It is intended to save the application programmer from having to implement property synchronisation manually. . This package contains the development files. . Homepage: http://projects.o-hand.com/libgconf-bridge Package: libgconf-bridge0 Source: libgconf-bridge Version: 0.1-2.2 Architecture: armhf Maintainer: Ross Burton Installed-Size: 49 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Priority: optional Section: libs Filename: pool/main/libg/libgconf-bridge/libgconf-bridge0_0.1-2.2_armhf.deb Size: 11040 SHA256: 877ed3f6163a1a40f36e97a7d6aef4ec4b6373dc9fbfd01882f53768dc29cfa1 SHA1: 56dbe21a7faed5f21dd991e0bf9542b6882b5ce5 MD5sum: 607fb18e7c8d0e903c437126e687f818 Description: Bind GObject properties to GConf keys libgconf-bridge is a utility library for binding GObject properties to GConf keys. It is intended to save the application programmer from having to implement property synchronisation manually. . Homepage: http://projects.o-hand.com/libgconf-bridge Package: libgconf2-4 Source: gconf Version: 3.2.5-1+build1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 369 Depends: libgconf-2-4 (= 3.2.5-1+build1), gconf-service Breaks: gconf2 (<< 3.2.3-2) Multi-Arch: same Homepage: http://projects.gnome.org/gconf/ Priority: optional Section: oldlibs Filename: pool/main/g/gconf/libgconf2-4_3.2.5-1+build1_armhf.deb Size: 351922 SHA256: 8572fae4b223a4f9a3ca4c44f26198da8c3c490e35bd3db58a7a5d7eb6c80e63 SHA1: 7e2992643d9d006951992dd4e3ce21f5e7505120 MD5sum: e1e1275697c25e40021db84e8d5be3c6 Description: GNOME configuration database system (dummy package) GConf is a configuration database system for storing application preferences. It supports default or mandatory settings set by the administrator, and changes to the database are instantly applied to all running applications. It is written for the GNOME desktop but doesn't require it. . This package is here to ensure smooth upgrades. It can be removed when you see fit. Package: libgconf2-dev Source: gconf Version: 3.2.5-1+build1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 818 Depends: libgconf-2-4 (= 3.2.5-1+build1), gir1.2-gconf-2.0 (= 3.2.5-1+build1), libglib2.0-dev (>= 2.31.0), libdbus-1-dev (>= 1.0.0), gconf2 (= 3.2.5-1+build1) Recommends: libgconf2-doc Replaces: gir-repository-dev (<< 0.6.5-7) Homepage: http://projects.gnome.org/gconf/ Priority: optional Section: libdevel Filename: pool/main/g/gconf/libgconf2-dev_3.2.5-1+build1_armhf.deb Size: 456736 SHA256: 5cb52515cb176e456c57296738d382d4b90c6ba23bd9b20e4343d10bcc36a4f6 SHA1: fc2fda5c61cae8d6f063cfa7d3a77ec971aac795 MD5sum: a89c74bfcae5ffef2e927dec7ceb26c8 Description: GNOME configuration database system (development) GConf is a configuration database system for storing application preferences. It supports default or mandatory settings set by the administrator, and changes to the database are instantly applied to all running applications. It is written for the GNOME desktop but doesn't require it. . This package contains the static libraries and header files needed to build applications using GConf. Package: libgconf2-doc Source: gconf Version: 3.2.5-1+build1 Installed-Size: 1221 Maintainer: Josselin Mouette Architecture: all Conflicts: libgconf2-dev (<< 3.2.3-2) Size: 410430 SHA256: 30f14c340225425fc0a7ab340eeb14f296d04c93d2b004c466ead11582202b71 SHA1: 4f315aeef7b8c94acf51a1114f47843e82ca4fa2 MD5sum: 20b1d749d987dd63cacccb07d5b332b9 Description: GNOME configuration database system (API reference) GConf is a configuration database system for storing application preferences. It supports default or mandatory settings set by the administrator, and changes to the database are instantly applied to all running applications. It is written for the GNOME desktop but doesn't require it. . This package contains the API reference for the GConf library. Multi-Arch: foreign Homepage: http://projects.gnome.org/gconf/ Section: doc Priority: optional Filename: pool/main/g/gconf/libgconf2-doc_3.2.5-1+build1_all.deb Package: libgconf2.0-cil Source: gnome-sharp2 Version: 2.24.2-3 Installed-Size: 195 Maintainer: Debian CLI Libraries Team Architecture: all Replaces: libgconf2.24-cil, libgnome2.0-cil (<< 2.16.0-6) Depends: cli-common (>= 0.5.1), libdbus-glib-1-2 (>= 0.100.2), libgconf-2-4 (>= 2.31.1), gconf-service, libglib2.0-cil (>= 2.12.10-1ubuntu1), libmono-corlib4.0-cil (>= 2.10.1) Suggests: monodoc-gtk2.0-manual Conflicts: libgconf2.24-cil Size: 132370 SHA256: 64fb82ec03aace142a9ea6c9c169d9037775f43be0d1e787c91449794f4962ff SHA1: 001816fe713fe998bca39c00fea618428df938fd MD5sum: fd404b8d25e6d21b3741e8ac62c4d9ff Description: CLI binding for GConf 2.24 This package provides the gconf-sharp and gconf-sharp-peditors assemblies that allow CLI (.NET) programs to use the GConf configuration storage system 2.20. . GNOME# 2.24 is a CLI (.NET) language binding for the GNOME 2.24 platform libraries. . This package contains the gconf-sharp assembly itself. Homepage: http://www.mono-project.com/GtkSharp Tag: devel::ecma-cli, devel::library, implemented-in::c-sharp, role::devel-lib, role::shared-lib, suite::gnome, uitoolkit::gtk Section: cli-mono Priority: optional Filename: pool/main/g/gnome-sharp2/libgconf2.0-cil_2.24.2-3_all.deb Package: libgconf2.0-cil-dev Source: gnome-sharp2 Version: 2.24.2-3 Installed-Size: 169 Maintainer: Debian CLI Libraries Team Architecture: all Replaces: libgconf2.0-cil (<< 2.24.1-5), libgconf2.24-cil Depends: libgconf2.0-cil (= 2.24.2-3), mono-runtime (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-xml4.0-cil (>= 1.0) Size: 127598 SHA256: 6a7e1620ccf49e9183df2bf15d32f2dbfc21e36d863c8e6e19d5499722873e86 SHA1: aff2e3e964a07c6cf48e6eb48455c589f8f7ce85 MD5sum: 30193ac868a0e2ea98e79c426fedf3ec Description: CLI binding for GConf 2.24 This package provides the gconf-sharp and gconf-sharp-peditors assemblies that allow CLI (.NET) programs to use the GConf configuration storage system 2.20. . GNOME# 2.24 is a CLI (.NET) language binding for the GNOME 2.24 platform libraries. . This package contains development files for the gconf-sharp library, and should be used for compilation Homepage: http://www.mono-project.com/GtkSharp Tag: devel::ecma-cli, devel::library, role::devel-lib Section: cli-mono Priority: optional Filename: pool/main/g/gnome-sharp2/libgconf2.0-cil-dev_2.24.2-3_all.deb Package: libgconfmm-2.6-1c2 Source: gconfmm2.6 Version: 2.28.0-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 98 Depends: gconf-service, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.3.0) Conflicts: libgconfmm-2.6-1 Replaces: libgconfmm-2.6-1 Homepage: http://www.gtkmm.org/ Priority: optional Section: libs Filename: pool/main/g/gconfmm2.6/libgconfmm-2.6-1c2_2.28.0-1_armhf.deb Size: 31038 SHA256: 5450a70df7a50e10e886d4727030b754a53ce5699f56e4417eff5bfef801e436 SHA1: 6b562986e1031a3e71015836429e27e397ee410d MD5sum: 7e02c67b57dad143b27300b22ae53b64 Description: C++ wrappers for GConf (shared library) GConf is a system for storing application preferences. gconfmm is the C++ wrapper for GConf. . This package contains shared libraries. Package: libgconfmm-2.6-dev Source: gconfmm2.6 Version: 2.28.0-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 223 Depends: libgconfmm-2.6-1c2 (= 2.28.0-1), libgconf2-dev (>= 2.4.0), libglibmm-2.4-dev (>= 2.6.4), libgtkmm-2.4-dev (>= 2.4.0) Suggests: libgconfmm-2.6-doc Homepage: http://www.gtkmm.org/ Priority: optional Section: libdevel Filename: pool/main/g/gconfmm2.6/libgconfmm-2.6-dev_2.28.0-1_armhf.deb Size: 46360 SHA256: a90d77da6228fe4ad4f672820417bde34ddc6eda967b9d35bf1e6d3bd5aef437 SHA1: e99ea88387aeff6545ba2964babf8de7c0ad06f7 MD5sum: d86619adf3f0112c6384705982c68bb4 Description: C++ wrappers for GConf (development files) GConf is a system for storing application preferences. gconfmm is the C++ wrapper for GConf. . This package contains development files and examples. Package: libgconfmm-2.6-doc Source: gconfmm2.6 Version: 2.28.0-1 Installed-Size: 1136 Maintainer: Deng Xiyue Architecture: all Replaces: libgconfmm-2.6-dev (<< 2.20.0-1) Depends: doc-base, lynx | www-browser Suggests: libgtkmm-2.4-doc Conflicts: libgconfmm-2.6-dev (<< 2.20.0-1) Size: 128198 SHA256: 1075080f9ddca85e63f771683ffc498ee3812d5599eb9d94f209af11c44128f7 SHA1: 02951dcbfd4db2f2f3407ee417681093c7b4fb64 MD5sum: 31604942d6e5d32e00afa0880a82671c Description: C++ wrappers for GConf (documentation) GConf is a system for storing application preferences. gconfmm is the C++ wrapper for GConf. . This package contains development reference documentation and examples. Homepage: http://www.gtkmm.org/ Tag: devel::doc, devel::examples, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gconfmm2.6/libgconfmm-2.6-doc_2.28.0-1_all.deb Package: libgcr-3-1 Source: gcr Version: 3.4.1-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 996 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgck-1-0 (>= 3.3.90), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.32.0), libgtk-3-0 (>= 3.1.4), libp11-kit0 (>= 0.6), libpango1.0-0 (>= 1.18.0), libgcr-3-common Homepage: https://live.gnome.org/GnomeKeyring Priority: optional Section: libs Filename: pool/main/g/gcr/libgcr-3-1_3.4.1-3_armhf.deb Size: 466496 SHA256: 91d4eb3dc4bbe18319b092038bef6b985cafdd2dad2d2b4e82fe2cb17e0c9173 SHA1: ab2557108521e2d2575dd97baa25384bc7c4cf3f MD5sum: 63cd34ff1ce32e27639cefca774ec5e2 Description: Library for Crypto UI related task - runtime GCR is a library for crypto UI and related tasks. . This package contains the shared libraries needed to run programs built against the GCR library. Package: libgcr-3-common Source: gcr Version: 3.4.1-3 Installed-Size: 160 Maintainer: Josselin Mouette Architecture: all Replaces: libgcr-3-0 (<< 3.2.2-1) Breaks: libgcr-3-0 (<< 3.2.2-1) Size: 116708 SHA256: f8f4689d1461e1a8533b3adeb57753b51a1b69cde06102fb7292bebfffc8d230 SHA1: fd20bfc5ed82511b4cea407f868a5d7300776922 MD5sum: 7de6a9cb8fdb7a9a071b2e84c3dc8ddf Description: Library for Crypto UI related task - common files GCR is a library for crypto UI and related tasks. . This package contains data files uses by the GCR library. Homepage: https://live.gnome.org/GnomeKeyring Section: libs Priority: optional Filename: pool/main/g/gcr/libgcr-3-common_3.4.1-3_all.deb Package: libgcr-3-dev Source: gcr Version: 3.4.1-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 711 Depends: gir1.2-gcr-3 (= 3.4.1-3), libgcr-3-1 (= 3.4.1-3), libglib2.0-dev (>= 2.30.0), libgck-1-dev (= 3.4.1-3), libgtk-3-dev (>= 3.0.0), libtasn1-3-dev (>= 0.3.4), libtasn1-3-bin, libp11-kit-dev Suggests: libgcr-3-doc Breaks: libgcr-dev (<< 3.0.0-2~) Replaces: libgcr-dev (<< 3.0.0-2~) Homepage: https://live.gnome.org/GnomeKeyring Priority: optional Section: libdevel Filename: pool/main/g/gcr/libgcr-3-dev_3.4.1-3_armhf.deb Size: 174642 SHA256: 9fb5a5e86b51fdceeb2d51bf147355093d3b21efe3fa34dd213085fdf0a5c794 SHA1: a9bdb346d010df6ab56938f6d420411a79e7fae9 MD5sum: 7feec7ede8c3104b444df01eb3779217 Description: Library for Crypto UI related task - development GCR is a library for crypto UI and related tasks. . This package contains the static libraries, header files and documentation needed to build applications using the GCR library. Package: libgcr-3-doc Source: gcr Version: 3.4.1-3 Installed-Size: 1177 Maintainer: Josselin Mouette Architecture: all Replaces: libgcr-3-dev (<< 3.2.2-1) Suggests: devhelp Breaks: libgcr-3-dev (<< 3.2.2-1) Size: 283976 SHA256: 00b8c44832d6cd68f2c72b9c57fe9d83b2d002d321bcdde4f06e0a462bb6d7e7 SHA1: 2385a851257fca7f260a8d4a715244cf5fa4dedf MD5sum: cbb622d86069bb70b60b6d4357a07be2 Description: Library for Crypto UI related task - documentation GCR is a library for crypto UI and related tasks. . This package contains the API documentation for the GCR library. Homepage: https://live.gnome.org/GnomeKeyring Section: doc Priority: optional Filename: pool/main/g/gcr/libgcr-3-doc_3.4.1-3_all.deb Package: libgcr410 Version: 2.4.0-9.2 Architecture: armhf Maintainer: Peter De Schrijver (p2) Installed-Size: 86 Depends: libc6 (>= 2.4), debconf (>= 0.5) | debconf-2.0, pcscd (>> 1.2.0) Provides: pcsc-ifd-handler Priority: optional Section: misc Filename: pool/main/libg/libgcr410/libgcr410_2.4.0-9.2_armhf.deb Size: 21484 SHA256: 66cd2168977576c7d6b80ab0b7efd64bd2d08c2985890c95121ec01f452bcc04 SHA1: c0da32945bc5b36d4a7186c70b2da8396980924c MD5sum: 7febdf0341d341cefad2d4e3be504b22 Description: PC/SC driver for GemPlus GCR410 serial SmartCard interface The libgcr410 package contains a PC/SC driver for the GemPlus GCR410 serial SmartCard interface. Note that this is a different driver then libgempc. If you have a GemPC 410 reader, this driver is not for you. Package: libgcroots-dev Source: sigscheme Version: 0.8.5-2.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 238 Depends: libgcroots0 (= 0.8.5-2.1) Homepage: http://code.google.com/p/sigscheme/ Priority: optional Section: libdevel Filename: pool/main/s/sigscheme/libgcroots-dev_0.8.5-2.1_armhf.deb Size: 199540 SHA256: adf5d2692ff7433523c29c162b6895bbe40c2f703c35f61af5017f6fd878fa73 SHA1: b327bd94a58ed1e1bea0788b73c7b2f95acdb979 MD5sum: 8517fb6896a3f88b7a0f2a86f4e53116 Description: Development GC library for a Scheme interpreter named 'sigscheme' SigScheme is a Scheme interpreter, which targets embedded programs. SigScheme is used as extension engine for uim, universal input method system. It conforms to R5RS, some of SRFI-s. Package: libgcroots0 Source: sigscheme Version: 0.8.5-2.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 226 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Homepage: http://code.google.com/p/sigscheme/ Priority: optional Section: libs Filename: pool/main/s/sigscheme/libgcroots0_0.8.5-2.1_armhf.deb Size: 198652 SHA256: 9696ce23ad3db151b77b725a7dafe5d664ef8e8574919b2d23c3a3ba49156adb SHA1: 7b48b43deac8817895fcb672d51d4c311ca2e740 MD5sum: fc125f716803042b57bc3d88651f9653 Description: GC shared library for a Scheme interpreter named 'sigscheme' SigScheme is a Scheme interpreter, which targets embedded programs. SigScheme is used as extension engine for uim, universal input method system. It conforms to R5RS, some of SRFI-s. Package: libgcrypt11 Version: 1.5.0-5+deb7u6 Architecture: armhf Maintainer: Debian GnuTLS Maintainers Installed-Size: 531 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgpg-error0 (>= 1.10) Suggests: rng-tools Breaks: gnupg2 (<< 2.0.17-2ubuntu2), gpgsm (<< 2.0.17-2ubuntu2), libgnutls26 (<< 2.12.7-3) Multi-Arch: same Homepage: http://directory.fsf.org/project/libgcrypt/ Priority: standard Section: libs Filename: pool/main/libg/libgcrypt11/libgcrypt11_1.5.0-5+deb7u6_armhf.deb Size: 301514 SHA256: a2bbe59ed549f9abc697dde53e1581428185b5781360267b3b384b5f938e160e SHA1: b56dc92232ea0700838f034fecbe74bf002d655b MD5sum: 17b0b6fed3a111c29cfeb9b4ce5e8165 Description: LGPL Crypto library - runtime library libgcrypt contains cryptographic functions. Many important free ciphers, hash algorithms and public key signing algorithms have been implemented: Arcfour, Blowfish, CAST5, DES, AES, Twofish, Serpent, rfc2268 (rc2), SEED, Camellia, CRC, MD4, MD5, RIPE-MD160, SHA-1, SHA-256, SHA-512, Tiger, Whirlpool, DSA, DSA2, ElGamal, RSA, ECC. Package: libgcrypt11-dbg Source: libgcrypt11 Version: 1.5.0-5+deb7u6 Architecture: armhf Maintainer: Debian GnuTLS Maintainers Installed-Size: 2183 Depends: libgcrypt11 (= 1.5.0-5+deb7u6) Homepage: http://directory.fsf.org/project/libgcrypt/ Priority: extra Section: debug Filename: pool/main/libg/libgcrypt11/libgcrypt11-dbg_1.5.0-5+deb7u6_armhf.deb Size: 804234 SHA256: 9898f7017857d61da776caffa621da0d0add3b49ef83cf4755280e0658a55615 SHA1: a334e06e20d1ba851f34279ea039d497b45775ed MD5sum: 4a25f873856ec896f5cfc5a629f59c1d Description: LGPL Crypto library - debugger files libgcrypt contains cryptographic functions. Many important free ciphers, hash algorithms and public key signing algorithms have been implemented: Arcfour, Blowfish, CAST5, DES, AES, Twofish, Serpent, rfc2268 (rc2), SEED, Camellia, CRC, MD4, MD5, RIPE-MD160, SHA-1, SHA-256, SHA-512, Tiger, Whirlpool, DSA, DSA2, ElGamal, RSA, ECC. . This package contains symbol tables for debugging. Package: libgcrypt11-dev Source: libgcrypt11 Version: 1.5.0-5+deb7u6 Architecture: armhf Maintainer: Debian GnuTLS Maintainers Installed-Size: 876 Depends: libgcrypt11 (= 1.5.0-5+deb7u6), libc6-dev | libc-dev, libgpg-error-dev Suggests: libgcrypt11-doc Conflicts: libgcrypt-dev Provides: libgcrypt-dev Homepage: http://directory.fsf.org/project/libgcrypt/ Priority: optional Section: libdevel Filename: pool/main/libg/libgcrypt11/libgcrypt11-dev_1.5.0-5+deb7u6_armhf.deb Size: 395062 SHA256: 1b2e512d2d12b27097833af6b6facf949f232efc3da0e0a5eab88b6f88ca2170 SHA1: a8c6ba9a66a2a9c806eda11da7b25dcfe56f126a MD5sum: ea6856f21b71b9c2d0f2f7f4c9d1fbdd Description: LGPL Crypto library - development files libgcrypt contains cryptographic functions. Many important free ciphers, hash algorithms and public key signing algorithms have been implemented: Arcfour, Blowfish, CAST5, DES, AES, Twofish, Serpent, rfc2268 (rc2), SEED, Camellia, CRC, MD4, MD5, RIPE-MD160, SHA-1, SHA-256, SHA-512, Tiger, Whirlpool, DSA, DSA2, ElGamal, RSA, ECC. . This package contains header files and libraries for static linking. Package: libgcrypt11-doc Source: libgcrypt11 Version: 1.5.0-5+deb7u6 Installed-Size: 1170 Maintainer: Debian GnuTLS Maintainers Architecture: all Replaces: libgcrypt-doc, libgcrypt7-doc Depends: dpkg (>= 1.15.4) | install-info Suggests: libgcrypt11-dev Conflicts: libgcrypt-doc, libgcrypt7-doc Size: 685182 SHA256: 71540cdd2aa2635bf7136265f71f65cb3cb912c263e0eb40458149639a2880df SHA1: 1406ddf4f4f6cffeae3e21dcbee4bcac023e9a2d MD5sum: e64bb80828cc4bad1277f369d47aac4f Description: LGPL Crypto library - documentation libgcrypt contains cryptographic functions. Many important free ciphers, hash algorithms and public key signing algorithms have been implemented: Arcfour, Blowfish, CAST5, DES, AES, Twofish, Serpent, rfc2268 (rc2), SEED, Camellia, CRC, MD4, MD5, RIPE-MD160, SHA-1, SHA-256, SHA-512, Tiger, Whirlpool, DSA, DSA2, ElGamal, RSA, ECC. . This package contains developer documentation. Homepage: http://directory.fsf.org/project/libgcrypt/ Section: doc Priority: optional Filename: pool/main/libg/libgcrypt11/libgcrypt11-doc_1.5.0-5+deb7u6_all.deb Package: libgctp-dev Source: libgctp Version: 1.0-1 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 282 Depends: libgctp0d (= 1.0-1) Recommends: pkg-config Homepage: http://gcmd.nasa.gov/records/USGS-GCTP.html Priority: optional Section: libdevel Filename: pool/main/libg/libgctp/libgctp-dev_1.0-1_armhf.deb Size: 62398 SHA256: e5710ba553f70fb649a67fca935d741c4d75598ff6ea0e2e66e977494ab05987 SHA1: 69e4585131dd114e5cf641d3893b93b8cfd0a8df MD5sum: b2e25e7bcb58273d8ec6efda517a9d1a Description: General Cartographic Transformation Package - development files This package contains the static library, pkg-config files and include files needed to build with the General Cartographic Transformation Package library. Package: libgctp0d Source: libgctp Version: 1.0-1 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 209 Depends: libc6 (>= 2.4) Homepage: http://gcmd.nasa.gov/records/USGS-GCTP.html Priority: optional Section: libs Filename: pool/main/libg/libgctp/libgctp0d_1.0-1_armhf.deb Size: 55726 SHA256: 2e659efb56cfa54fe5f093426025dfb3ce2d3a74163e0048e58dd9fedbaaa50a SHA1: 90f753c0aa01b9b3b18a02cff86d03a54af9fd9f MD5sum: f3c83816df6e9541706c469e3f2b91e4 Description: General Cartographic Transformation Package Library The General Cartographic Transformation Package (GCTP) is a system of software routines designed to permit the transformation of coordinate pairs from one map projection to another. The GCTP is the standard computer software used by the National Mapping Division for map projection computations. Package: libgcu-dbg Source: gnome-chemistry-utils Version: 0.12.12-1 Architecture: armhf Maintainer: Debichem Team Installed-Size: 25487 Depends: gchempaint (= 0.12.12-1), gcrystal (= 0.12.12-1), gcu-bin (= 0.12.12-1), gcu-plugin (= 0.12.12-1), libgcu0 (= 0.12.12-1) Homepage: http://www.nongnu.org/gchemutils/ Priority: extra Section: debug Filename: pool/main/g/gnome-chemistry-utils/libgcu-dbg_0.12.12-1_armhf.deb Size: 8893772 SHA256: 7a8d9a40d0f4e88e76c7fb54812077a86db4d5b204df7add848637969c470de3 SHA1: 860854cb3f814fb05d11be93f58ff1cff4eaf5e0 MD5sum: c67c676759743163101114b596cb6a6b Description: GNOME chemistry utils (debugging symbols) The GNOME Chemistry Utils provide C++ classes and Gtk+-2 widgets related to chemistry. They will be used in future versions of both gcrystal and gchempaint. . This package provides the debugging symbols for the library and the utilities provided by the gcu-bin and gcrystal packages. Install it to get a meaningful backtrace in case of a crash. Package: libgcu0 Source: gnome-chemistry-utils Version: 0.12.12-1 Architecture: armhf Maintainer: Debichem Team Installed-Size: 3492 Depends: bodr (>= 5), chemical-mime-data, dconf-gsettings-backend | gsettings-backend, gconf2 (>= 2.28.1-2), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.24.0), libglu1-mesa | libglu1, libgoffice-0.8-8 (>= 0.8.8), libgsf-1-114 (>= 1.14.9), libgtk2.0-0 (>= 2.18.0), libgtkglext1, libice6 (>= 1:1.0.0), libopenbabel4, libpango1.0-0 (>= 1.14.0), libsm6, libstdc++6 (>= 4.6), libx11-6, libxml2 (>= 2.7.4), libxmu6, libxt6 Suggests: gchempaint, gcrystal, gcu-bin Replaces: gchempaint (<< 0.10) Homepage: http://www.nongnu.org/gchemutils/ Priority: optional Section: libs Filename: pool/main/g/gnome-chemistry-utils/libgcu0_0.12.12-1_armhf.deb Size: 1085988 SHA256: 11c376f5d303e417cf9f7440aea06b1c60dfcfb3b240e3fa0339ecbb122f6273 SHA1: afd5d5159e8c5695ca9ed7ca9bd8d2b9fd6c38d1 MD5sum: d6d277294886f55b01c349fc01052cf4 Description: GNOME chemistry utils (library) The GNOME Chemistry Utils provide C++ classes and Gtk+-2 widgets related to chemistry. They will be used in future versions of both gcrystal and gchempaint. . This package provides the shared library. Package: libgd-barcode-perl Version: 1.15-5 Installed-Size: 11588 Maintainer: Debian Perl Group Architecture: all Depends: perl, libgd-gd2-noxpm-perl | libgd-gd2-perl Size: 930708 SHA256: 8ea8244ae253b4356b98eb960d4c896ba74fcfcabe46e9af20715c4ab4006f4e SHA1: d1b0642a85a4999c29e8717fd5b3c104b36d04be MD5sum: 53275732487f947dcaf959123e63ffa1 Description: Perl module to create barcode images (GD::Barcode) GD::Barcode creates barcode images. It supports the following barcode formats: COOP2of5, Code39, EAN13 (JAN13), EAN8 (JAN8), IATA2of5, ITF (Interleaved2of5), Industrial2of5, Matrix2of5, NW7, QRcode, UPC-A and UPC-E. Homepage: http://search.cpan.org/dist/GD-Barcode/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgd-barcode-perl/libgd-barcode-perl_1.15-5_all.deb Package: libgd-gd2-noxpm-ocaml Source: gd4o Version: 1.0~alpha5-5 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 65 Depends: ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4) Provides: libgd-gd2-noxpm-ocaml-bxe84 Homepage: http://matt.gushee.net/software/gd4o/ Priority: optional Section: ocaml Filename: pool/main/g/gd4o/libgd-gd2-noxpm-ocaml_1.0~alpha5-5_armhf.deb Size: 15962 SHA256: 254c3ad19fb37e0440cbf8ee49376a65f090723508cc9bfd0213f284fa6a236a SHA1: 74109dde13b213f1f55ddcd6914a0429ca90c910 MD5sum: b9b985e7d4d1f2a3150049100025f410 Description: OCaml interface to the GD library -- runtime files This package provides an interface to the GD library for OCaml programmers. . GD is a graphics library. It allows your code to quickly draw images complete with lines, arcs, text, multiple colours, cut and paste from other images, flood fills, and write out the result as a PNG file. This is particularly useful in World Wide Web applications, where PNG is one of the formats accepted for inline images by most browsers. . This is the full development version of the library, built without XPM (X pixmap) or fontconfig support. . This package contains only the shared runtime stub libraries. Package: libgd-gd2-noxpm-ocaml-dev Source: gd4o Version: 1.0~alpha5-5 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 230 Depends: libgd-gd2-noxpm-ocaml-bxe84, ocaml-nox-3.12.1, libgd2-noxpm-dev, libgd-gd2-noxpm-ocaml (= 1.0~alpha5-5), ocaml-findlib Provides: libgd-gd2-noxpm-ocaml-dev-bxe84 Homepage: http://matt.gushee.net/software/gd4o/ Priority: optional Section: ocaml Filename: pool/main/g/gd4o/libgd-gd2-noxpm-ocaml-dev_1.0~alpha5-5_armhf.deb Size: 44832 SHA256: 9813a110390bb1e1feb25df1eea6ae7f482d29e5fbf0545f3ac635a7c92ed1b7 SHA1: 8e7e34fbffff9fd1ac7980c3e2a6f3f2df197ffe MD5sum: 3a06b4bc43189227aa17f01b6e49597b Description: OCaml interface to the GD library -- developpement files This package provides an interface to the GD library for OCaml programmers. . GD is a graphics library. It allows your code to quickly draw images complete with lines, arcs, text, multiple colours, cut and paste from other images, flood fills, and write out the result as a PNG file. This is particularly useful in World Wide Web applications, where PNG is one of the formats accepted for inline images by most browsers. . This is the full development version of the library, built without XPM (X pixmap) or fontconfig support. . This package contains all the development stuff you need to develop OCaml programs which use this module. Package: libgd-gd2-noxpm-perl Version: 1:2.46-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 418 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4), perl (>= 5.14.2-9), perlapi-5.14.2 Conflicts: libgd-gd1-noxpm-perl, libgd-gd1-perl, libgd-gd2-xpm-perl, libgd-noxpm-perl, libgd-perl Homepage: http://search.cpan.org/dist/GD/ Priority: extra Section: perl Filename: pool/main/libg/libgd-gd2-noxpm-perl/libgd-gd2-noxpm-perl_2.46-2_armhf.deb Size: 187408 SHA256: 0b8a36c9825ef4bc029f3ec1aadaaae8d397c8d63ce76a001751c23ac56bdf9d SHA1: 47fb85086f52a0d1104830df1d49b18eac3a220d MD5sum: 5fb3bb9df301c80f842d894b9d48fbff Description: Perl module wrapper for libgd - gd2 variant without XPM support This is a autoloadable interface module for libgd, a popular library for creating and manipulating PNG files. With this library you can create PNG images on the fly or modify existing files. Features include: . * Lines, polygons, rectangles and arcs, both filled and unfilled * Flood fills * The use of arbitrary images as brushes and as tiled fill patterns * Line styling (dashed lines and the like) * Horizontal and vertical text rendering * Support for transparency and interlacing * Support for TrueType font rendering, via libfreetype. * Support for spline curves, via GD::Polyline * Support for symbolic font names, such as "helvetica:italic" * Support for symbolic color names, such as "green", via GD::Simple * Produces output in png, gif, jpeg and xbm format * Produces output in svg format via GD::SVG. . Included with the example code is the perl script qd.pl with QuickDraw routines for generating PICT2 files (used on Apple Macintosh). . This package is linked against libgd2, without Xpm (X pixmap) support. Package: libgd-gd2-perl Version: 1:2.46-3 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 523 Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgd2-xpm (>= 2.0.36~rc1~dfsg), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libx11-6, libxpm4, zlib1g (>= 1:1.1.4), perl (>= 5.14.2-9), perlapi-5.14.2 Conflicts: libgd-gd1-noxpm-perl, libgd-gd1-perl, libgd-gd2-noxpm-perl, libgd-noxpm-perl, libgd-perl Homepage: http://search.cpan.org/dist/GD/ Priority: extra Section: perl Filename: pool/main/libg/libgd-gd2-perl/libgd-gd2-perl_2.46-3_armhf.deb Size: 200140 SHA256: 559756cef950e136b5755565075eba880d071fee652dcd2c758b8cab549585be SHA1: 3ea7e5cdedd7904a3086581a5e83b84382188d1c MD5sum: 4413da75e6361815791e2317b369e90d Description: Perl module wrapper for libgd - gd2 variant This is a autoloadable interface module for libgd, a popular library for creating and manipulating PNG files. With this library you can create PNG images on the fly or modify existing files. Features include: . * Lines, polygons, rectangles and arcs, both filled and unfilled * Flood fills * The use of arbitrary images as brushes and as tiled fill patterns * Line styling (dashed lines and the like) * Horizontal and vertical text rendering * Support for transparency and interlacing * Support for TrueType font rendering, via libfreetype. * Support for spline curves, via GD::Polyline * Support for symbolic font names, such as "helvetica:italic" * Support for symbolic color names, such as "green", via GD::Simple * Produces output in png, gif, jpeg and xbm format * Produces output in svg format via GD::SVG. . Included with the example code is the perl script qd.pl with QuickDraw routines for generating PICT2 files (used on Apple Macintosh). . This package is linked against libgd2, with Xpm (X pixmap) support. Package: libgd-graph-perl Version: 1.44-6 Installed-Size: 852 Maintainer: Debian Perl Group Architecture: all Depends: libgd-text-perl (>= 0.80), perl Suggests: ttf-dustin Size: 392712 SHA256: aff95b82e8cc0da108055b65d00d0f354888a4ba25f0949bf837882441949bfe SHA1: 8ce11c6e7269c0412ad999df4f1f11baeac384b4 MD5sum: f5e0a33a8da3ec62b8bd1e178a845c7d Description: Graph Plotting Module for Perl 5 GD::Graph is a perl5 module to create charts using the GD module. The following classes for graphs with axes are defined: . * GD::Graph::lines - Create a line chart. * GD::Graph::bars - Create a bar chart. * GD::Graph::points - Create an chart, displaying the data as points. * GD::Graph::linespoints - Combination of lines and points. * GD::Graph::area - Create a graph, representing the data as areas under a line. * GD::Graph::mixed - Create a mixed type graph, any combination of the above. At the moment this is fairly limited. Some of the options that can be used with some of the individual graph types won't work very well. Multiple bar graphs in a mixed graph won't display very nicely. * GD::Graph::pie - Create a pie chart. Homepage: http://search.cpan.org/dist/GDGraph/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::editing, works-with::image, works-with::image:raster Section: perl Priority: extra Filename: pool/main/libg/libgd-graph-perl/libgd-graph-perl_1.44-6_all.deb Package: libgd-graph3d-perl Version: 0.63-8 Installed-Size: 152 Maintainer: Debian Perl Group Architecture: all Depends: libgd-graph-perl (>= 1.30), perl Size: 28540 SHA256: aa593dd726c74880d51788651ebf0583848fe26e5bcdbc92b8615f3019bdcaa4 SHA1: b6b451424b13163e35b9513cc00f204d1655ecc1 MD5sum: 7aba7829c5276e430883b6c9cba6d668 Description: Create 3D Graphs with GD and GD::Graph This is the GD::Graph3d extensions module. It provides 3D graphs for the GD::Graph module by Martien Verbruggen, which in turn generates graph using Lincoln Stein's GD.pm. Homepage: http://search.cpan.org/dist/GD-Graph3d/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::editing, works-with::3dmodel, works-with::image, works-with::image:raster Section: perl Priority: extra Filename: pool/main/libg/libgd-graph3d-perl/libgd-graph3d-perl_0.63-8_all.deb Package: libgd-ruby Source: ruby-gd Version: 0.8.0-3 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gd Size: 5818 SHA256: a6cc2de7812b383b9e5238cbe10d45d5403f89345b6dcdb1079ea51439b9fc79 SHA1: 6c4c9fad88d4b0c3e8758e69fd4de5d54179d87b MD5sum: fe8eeeb3c090601d673565e2b2ee950d Description: Transitional package for ruby-gd This is a transitional package to ease upgrades to the ruby-gd package. It can safely be removed. Homepage: http://tam.0xfa.com/ruby-gd Section: ruby Priority: optional Filename: pool/main/r/ruby-gd/libgd-ruby_0.8.0-3_all.deb Package: libgd-ruby1.8 Source: ruby-gd Version: 0.8.0-3 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gd Size: 5828 SHA256: a2a9c3b1c3da2ed668d205666d820a0da96173c8828782599d69341539563cfc SHA1: 4f5bfb6660e7320c9b0b5a13fac7036ab9aa22b5 MD5sum: 3ec95ad6b9157f8c0a52b9ed0ea0f8b1 Description: Transitional package for ruby-gd This is a transitional package to ease upgrades to the ruby-gd package. It can safely be removed. Homepage: http://tam.0xfa.com/ruby-gd Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::shared-lib, use::editing, works-with::image, works-with::image:raster Section: ruby Priority: optional Filename: pool/main/r/ruby-gd/libgd-ruby1.8_0.8.0-3_all.deb Package: libgd-securityimage-perl Version: 1.71-1 Installed-Size: 168 Maintainer: Debian Perl Group Architecture: all Depends: perl, libgd-gd2-perl Size: 56366 SHA256: c7491bb1f07066448da6003dbcb00fe1368bd9da480dc6796bdfe266b4b4a073 SHA1: 8a652df9195d39622197738a0a43dca33887514a MD5sum: 56ae0e5d2fc5a39f26d6dbf5aea17474 Description: security image (captcha) generator The (so called) "Security Images" are so popular. Most internet software use these in their registration screens to block robot programs (which may register tons of fake member accounts). Security images are basicaly, graphical CAPTCHAs (Completely Automated Public Turing Test to Tell Computers and Humans Apart). This module gives you a basic interface to create such an image. The final output is the actual graphic data, the mime type of the graphic and the created random string. The module also has some "styles" that are used to create the background (or foreground) of the image. . If you are an Authen::Captcha user, see GD::SecurityImage::AC for migration from Authen::Captcha to GD::SecurityImage. . GD::SecurityImage is just an image generator. Not a captcha handler. The validation of the generated graphic is left to your programming taste. Homepage: http://search.cpan.org/dist/GD-SecurityImage/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgd-securityimage-perl/libgd-securityimage-perl_1.71-1_all.deb Package: libgd-svg-perl Version: 0.33-1 Installed-Size: 184 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16), libgd-gd2-perl, libsvg-perl Size: 64838 SHA256: 5372fa8d7a7a7b00ae590cbfe04306ef3ed307831f73176d7eb83e1ff3fb7701 SHA1: 14d7f433a028ca920fccd0a5b2999c7a2606274a MD5sum: f408b2409348b5590f47041ea73e08e8 Description: Seamlessly enable SVG output from scripts written using GD GD::SVG painlessly enables scripts that utilize GD to export scalable vector graphics (SVG). It accomplishes this task by wrapping SVG.pm with GD-styled method calls. To enable this functionality, one need only change the "use GD" call to "use GD::SVG" (and initial "new" method calls). Homepage: http://search.cpan.org/dist/GD-SVG/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgd-svg-perl/libgd-svg-perl_0.33-1_all.deb Package: libgd-text-perl Version: 0.86-8 Installed-Size: 144 Maintainer: Debian Perl Group Architecture: all Depends: libgd-gd2-perl, perl Suggests: ttf-dustin Size: 42404 SHA256: 47f4c8cb58bdbed16afad637d78f4a942a2e6b45ec22bde905af31248a0d1510 SHA1: 1de3ace30c3516d2d54322e96d8f4cb8c4436f70 MD5sum: d802d623b71a9b95ba540851165dae3a Description: Text utilities for use with GD This module provides a font-independent way of dealing with text in GD, for use with the GD::Text::* modules and GD::Graph. Homepage: http://search.cpan.org/dist/GDTextUtil/ Tag: devel::lang:perl, devel::library, implemented-in::perl, use::editing, works-with::image, works-with::image:raster, works-with::text Section: perl Priority: extra Filename: pool/main/libg/libgd-text-perl/libgd-text-perl_0.86-8_all.deb Package: libgd-tools Source: libgd2 Version: 2.0.36~rc1~dfsg-6.1+deb7u11 Architecture: armhf Maintainer: GD team Installed-Size: 397 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgd2-xpm (>= 2.0.36~rc1~dfsg), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4), perl Suggests: libgd2-noxpm-dev | libgd2-xpm-dev Homepage: http://www.libgd.org/ Priority: optional Section: graphics Filename: pool/main/libg/libgd2/libgd-tools_2.0.36~rc1~dfsg-6.1+deb7u11_armhf.deb Size: 168060 SHA256: 07eae17c7b4da9bf8eb77b8793445b41c470cb004efd5aa489b24a32402843e4 SHA1: 69fe8fe3f6e8966d687f5bf002a86b0cdedefd7d MD5sum: 1886ab12c9a636a969d0838a6b1f92ef Description: GD command line tools and example code GD is a graphics library. It allows your code to quickly draw images complete with lines, arcs, text, multiple colours, cut and paste from other images, flood fills, and write out the result as a PNG file. This is particularly useful in World Wide Web applications, where PNG is one of the formats accepted for inline images by most browsers. . This is some simple command line tools and example code that use the GD graphics library. Package: libgd2-noxpm Source: libgd2 Version: 2.0.36~rc1~dfsg-6.1+deb7u11 Architecture: armhf Maintainer: GD team Installed-Size: 568 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4) Suggests: libgd-tools Conflicts: libgd2, libgd2-xpm Provides: libgd2 Multi-Arch: same Homepage: http://www.libgd.org/ Priority: optional Section: libs Filename: pool/main/libg/libgd2/libgd2-noxpm_2.0.36~rc1~dfsg-6.1+deb7u11_armhf.deb Size: 220204 SHA256: 4c28eca842d4c7284455df4d7fb57922c1dc3afedb01c80930b5f2d7c24e17e4 SHA1: 5530c0f31c6b892d46cca3dc67d926fdafbac72d MD5sum: 9ba8f59d2868f9c638636c8dfd3ca3b0 Description: GD Graphics Library version 2 (without XPM support) GD is a graphics library. It allows your code to quickly draw images complete with lines, arcs, text, multiple colours, cut and paste from other images, flood fills, and write out the result as a PNG file. This is particularly useful in World Wide Web applications, where PNG is one of the formats accepted for inline images by most browsers. . This is the runtime package of the library, built without XPM (X pixmap) or fontconfig support. Package: libgd2-noxpm-dev Source: libgd2 Version: 2.0.36~rc1~dfsg-6.1+deb7u11 Architecture: armhf Maintainer: GD team Installed-Size: 769 Depends: libgd2-noxpm (= 2.0.36~rc1~dfsg-6.1+deb7u11), libfreetype6-dev, libjpeg-dev, libpng12-0-dev, zlib1g-dev Conflicts: libgd-dev, libgd-noxpm-dev, libgd-xpm-dev, libgd2-xpm-dev Provides: libgd-dev Multi-Arch: same Homepage: http://www.libgd.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libgd2/libgd2-noxpm-dev_2.0.36~rc1~dfsg-6.1+deb7u11_armhf.deb Size: 359782 SHA256: f6d2c3e7d9ae8456d8f82d4e639fb7a7ca40668036f5c69c1304d96e4cbb0bfc SHA1: 89cb07a8fbeb12dced128ee6fa7734c734a63b4b MD5sum: b172c764ff72a5813de21a993c36de0b Description: GD Graphics Library version 2 (development version) GD is a graphics library. It allows your code to quickly draw images complete with lines, arcs, text, multiple colours, cut and paste from other images, flood fills, and write out the result as a PNG file. This is particularly useful in World Wide Web applications, where PNG is one of the formats accepted for inline images by most browsers. . This is the full development version of the library, built without XPM (X pixmap) or fontconfig support. Package: libgd2-xpm Source: libgd2 Version: 2.0.36~rc1~dfsg-6.1+deb7u11 Architecture: armhf Maintainer: GD team Installed-Size: 577 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libx11-6, libxpm4, zlib1g (>= 1:1.1.4) Suggests: libgd-tools Conflicts: libgd2, libgd2-noxpm Provides: libgd2 Multi-Arch: same Homepage: http://www.libgd.org/ Priority: optional Section: libs Filename: pool/main/libg/libgd2/libgd2-xpm_2.0.36~rc1~dfsg-6.1+deb7u11_armhf.deb Size: 222230 SHA256: 3ed58e0243fbb4273a36abc5f05470306708f1bdc2f0d32430fb5a5977aa0fec SHA1: e025bf09c2d60f1b64abd938ad6dafab43e12cfe MD5sum: 5d68ee998bad924eb45b52b1d710cd57 Description: GD Graphics Library version 2 GD is a graphics library. It allows your code to quickly draw images complete with lines, arcs, text, multiple colours, cut and paste from other images, flood fills, and write out the result as a PNG file. This is particularly useful in World Wide Web applications, where PNG is one of the formats accepted for inline images by most browsers. . This is the runtime package of the library, built with XPM (X pixmap) and fontconfig support. Package: libgd2-xpm-dev Source: libgd2 Version: 2.0.36~rc1~dfsg-6.1+deb7u11 Architecture: armhf Maintainer: GD team Installed-Size: 774 Depends: libgd2-xpm (= 2.0.36~rc1~dfsg-6.1+deb7u11), libfontconfig1-dev, libfreetype6-dev, libjpeg-dev, libpng12-0-dev, libx11-dev, libxpm-dev, zlib1g-dev Conflicts: libgd-dev, libgd-noxpm-dev, libgd-xpm-dev, libgd2-noxpm-dev Provides: libgd-dev Multi-Arch: same Homepage: http://www.libgd.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libgd2/libgd2-xpm-dev_2.0.36~rc1~dfsg-6.1+deb7u11_armhf.deb Size: 361820 SHA256: dd185a6d94d1644345c4eb6fb0dc05f1cbab96ea138264e93d5edbd09913967a SHA1: 43c36d4cf6349f8053be140e9b14e51c1c463486 MD5sum: a78e67d79f99f4c3705814903a6d2f4f Description: GD Graphics Library version 2 (development version) GD is a graphics library. It allows your code to quickly draw images complete with lines, arcs, text, multiple colours, cut and paste from other images, flood fills, and write out the result as a PNG file. This is particularly useful in World Wide Web applications, where PNG is one of the formats accepted for inline images by most browsers. . This is the full development version of the library, built with XPM (X pixmap) and fontconfig support. Package: libgda-4.0-4 Source: libgda4 Version: 4.2.8-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1505 Depends: libgda-4.0-common (>= 4.2.8-2), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libsoup2.4-1 (>= 2.4.1), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25) Suggests: libgda-4.0-bin, libgda-4.0-mysql, libgda-4.0-postgres Conflicts: libgda4-4, libgda4-sqlite Replaces: libgda4-4, libgda4-sqlite Homepage: http://www.gnome-db.org/ Priority: optional Section: libs Filename: pool/main/libg/libgda4/libgda-4.0-4_4.2.8-2_armhf.deb Size: 647772 SHA256: 0b92b9b1ec0c2cad469618be6f70c75582b2f3aeb02f884c8e8f028a40baf8b6 SHA1: df23337d6b8eaf442fd6936677f36478190f1f23 MD5sum: aba9285d875ddcedadcc857fae9a593c Description: data abstraction library based on GLib libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. Package: libgda-4.0-4-dbg Source: libgda4 Version: 4.2.8-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 4101 Depends: libgda-4.0-4 (= 4.2.8-2) Homepage: http://www.gnome-db.org/ Priority: extra Section: debug Filename: pool/main/libg/libgda4/libgda-4.0-4-dbg_4.2.8-2_armhf.deb Size: 1634358 SHA256: bf62c0be7354abd03c4297e45001f6640fa186d2271cb14462fe57981a01e864 SHA1: 6ddc7b119ef77547583a672c23d52711ac187714 MD5sum: 28ae114e2a930a0d7755b3fe4f76fcb8 Description: data abstraction library based on GLib -- debug files libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains dettached symbols for debug. Most people don't need this package. Package: libgda-4.0-bin Source: libgda4 Version: 4.2.8-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 198 Depends: libc6 (>= 2.13-28), libgda-4.0-4 (>= 4.2.8), libglib2.0-0 (>= 2.31.8), libreadline6 (>= 6.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.7.4) Conflicts: libgda4-bin Replaces: libgda4-bin Homepage: http://www.gnome-db.org/ Priority: optional Section: database Filename: pool/main/libg/libgda4/libgda-4.0-bin_4.2.8-2_armhf.deb Size: 75632 SHA256: 788a1cd54f0fa99459f481c66648da372971b92bd7bf7cb07f3625e03cb75035 SHA1: 3298b2ef4fe1a03358aead3b73715c5f2f3e6c8a MD5sum: 822bf7992745b72100b6ed86f1042479 Description: data abstraction library based on GLib -- binary files libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains binary files for libgda. Package: libgda-4.0-common Source: libgda4 Version: 4.2.8-2 Installed-Size: 6072 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libgda4-common Depends: libjs-jquery Recommends: libgda-4.0-4 Conflicts: libgda4-common Size: 1248844 SHA256: 33d0c76046f589e08a6f860d287b240d73a9de1c4bb940a2ee6b3e13111a4e80 SHA1: 9b9b008d077204b2a637e3fdd47264123269464a MD5sum: c83f869c34fdf8f9c0d6da1366d79a95 Description: data abstraction library based on GLib -- common files libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains common files for libgda. Homepage: http://www.gnome-db.org/ Tag: role::app-data Section: database Priority: optional Filename: pool/main/libg/libgda4/libgda-4.0-common_4.2.8-2_all.deb Package: libgda-4.0-dev Source: libgda4 Version: 4.2.8-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 3372 Depends: libgda-4.0-4 (= 4.2.8-2), gir1.2-gda-4.0 (= 4.2.8-2), libglib2.0-dev (>= 2.2.0), libxslt1-dev Suggests: libgda-4.0-doc Breaks: gir1.2-gda-4.0 (<< 4.2.8-2), libgda4-dev, libgda4-sqlite Replaces: gir1.2-gda-4.0 (<< 4.2.8-2), libgda4-dev, libgda4-sqlite Homepage: http://www.gnome-db.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libgda4/libgda-4.0-dev_4.2.8-2_armhf.deb Size: 794098 SHA256: b45ae0bfb5a0652ef0d96ba5f0bdd820fd5ac6f1259ae2b9139d42efc4159062 SHA1: 6ecf8747ffe0d6485c61ffcb9f212bc5e91571bf MD5sum: c8e95925e5cbf1e5cae7d1580eafe188 Description: data abstraction library based on GLib -- development files libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains the header files and libraries needed for development. Package: libgda-4.0-doc Source: libgda4 Version: 4.2.8-2 Installed-Size: 8612 Maintainer: Debian GNOME Maintainers Architecture: all Depends: lynx | www-browser Size: 3399176 SHA256: ee1cfc1bbd5b7a1b54d242641d45930e110b2915e69fd6d6564cd519efe5cb1c SHA1: 5e4cbe3dc56f87076cf5246cb951e37eefbbf8ad MD5sum: 328bc6a13514936dde34a3a6ebf2a788 Description: data abstraction library based on GLib -- documentation files libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains document files about libgda API. Homepage: http://www.gnome-db.org/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libgda4/libgda-4.0-doc_4.2.8-2_all.deb Package: libgda-4.0-mysql Source: libgda4 Version: 4.2.8-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 214 Depends: libc6 (>= 2.13-28), libgda-4.0-4 (>= 4.2.8), libglib2.0-0 (>= 2.31.8), libmysqlclient16 (>= 5.1.50-1), libxml2 (>= 2.6.27) Conflicts: libgda4-mysql Replaces: libgda4-mysql Homepage: http://www.gnome-db.org/ Priority: optional Section: database Filename: pool/main/libg/libgda4/libgda-4.0-mysql_4.2.8-2_armhf.deb Size: 69450 SHA256: 8f3d14897cf5dcf8afeb4bca330bf9dec2bc6f6b6f91742c7ad0feb1c5795d07 SHA1: ac4462efc34081a3a3d1c971138838e969753221 MD5sum: cfafa7563c6311fec6e9780400cf5eb5 Description: MySQL provider for libgda database abstraction library libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains the provider needed to access MySQL databases through libgda. Package: libgda-4.0-postgres Source: libgda4 Version: 4.2.8-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 246 Depends: libc6 (>= 2.13-28), libgda-4.0-4 (>= 4.2.8), libglib2.0-0 (>= 2.31.8), libpq5, libxml2 (>= 2.6.27) Conflicts: libgda4-postgres Replaces: libgda4-postgres Homepage: http://www.gnome-db.org/ Priority: optional Section: database Filename: pool/main/libg/libgda4/libgda-4.0-postgres_4.2.8-2_armhf.deb Size: 70242 SHA256: 5fcf8dcba11294790b37c8488bd105f47a7245ba7a3e6fb7924a29ab29b2f330 SHA1: ad74c58c1e4c7872b8ba15e9bc2f93a90bba0679 MD5sum: 9661d97ca23a2ffee70df4aeb9b79028 Description: PostgreSQL provider for libgda database abstraction library libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains the provider needed to access PostgreSQL databases through libgda. Package: libgda-5.0-4 Source: libgda5 Version: 5.0.3-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1615 Depends: libgda-5.0-common (>= 5.0.3-2), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libsoup2.4-1 (>= 2.4.1), libsqlite3-0 (>= 3.5.9), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25) Suggests: libgda-5.0-bin, libgda-5.0-mysql, libgda-5.0-postgres Homepage: http://www.gnome-db.org/ Priority: optional Section: libs Filename: pool/main/libg/libgda5/libgda-5.0-4_5.0.3-2_armhf.deb Size: 534754 SHA256: 2d12205b2827416a70b30fec907a479380813879f104a0b3931554eb7dd88d3b SHA1: 33b8d1864f91b85ec5ef1bcc7e91002458abf0eb MD5sum: 7eb482777d47e05f916e575cb675bb7f Description: data abstraction library based on GLib libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. Package: libgda-5.0-4-dbg Source: libgda5 Version: 5.0.3-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 4241 Depends: libgda-5.0-4 (= 5.0.3-2) Homepage: http://www.gnome-db.org/ Priority: extra Section: debug Filename: pool/main/libg/libgda5/libgda-5.0-4-dbg_5.0.3-2_armhf.deb Size: 1129622 SHA256: 75b9e60825a4a0d9b8f12099dd328643efbd0b01db19e892517d00729a2ea2e1 SHA1: dd3a066011fe3a5d06722a9551e354b8f5dc8d6e MD5sum: d8b2e235ba61d6b4029685d0d662108f Description: data abstraction library based on GLib -- debug files libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains dettached symbols for debug. Most people don't need this package. Package: libgda-5.0-bin Source: libgda5 Version: 5.0.3-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 223 Depends: libc6 (>= 2.13-28), libgda-5.0-4 (>= 5.0.2), libglib2.0-0 (>= 2.31.8), libreadline6 (>= 6.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.7.4) Homepage: http://www.gnome-db.org/ Priority: optional Section: database Filename: pool/main/libg/libgda5/libgda-5.0-bin_5.0.3-2_armhf.deb Size: 68356 SHA256: d0c4c15046d46c268b3f4017ca87a90090ce11d911246a1155dd1482b7d720b4 SHA1: 2098eebc5a265d140a7bfa33f7a97bfa549eb733 MD5sum: a82a9a138c4bd8006bf329ec12a79d8b Description: data abstraction library based on GLib -- binary files libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains binary files for libgda. Package: libgda-5.0-common Source: libgda5 Version: 5.0.3-2 Installed-Size: 6104 Maintainer: Debian GNOME Maintainers Architecture: all Depends: python (>= 2.6.6-7~), libjs-jquery Recommends: libgda-5.0-4 Size: 699196 SHA256: aaec50443d48db0762293ce98a1f9b1e89cdb5bcd31591fed6abc68706691461 SHA1: b67592304389907897fed65b5c6fac311e10f29f MD5sum: d62cb0e82a88c54f5fa59f6b75845b9d Description: data abstraction library based on GLib -- common files libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains common files for libgda. Homepage: http://www.gnome-db.org/ Section: database Priority: optional Filename: pool/main/libg/libgda5/libgda-5.0-common_5.0.3-2_all.deb Package: libgda-5.0-dev Source: libgda5 Version: 5.0.3-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1702 Depends: libgda-5.0-4 (= 5.0.3-2), gir1.2-gda-5.0 (= 5.0.3-2), libglib2.0-dev (>= 2.2.0), libxslt1-dev Suggests: libgda-5.0-doc Homepage: http://www.gnome-db.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libgda5/libgda-5.0-dev_5.0.3-2_armhf.deb Size: 158980 SHA256: c6199e0818ef41c1d872a98f95db1119ed7006de74bfbb8134d47f292116ca39 SHA1: 8f1c50544eb28f2cd17303b8eb054659f4c7042a MD5sum: f0f994d2acf759eb304f7b1b5a22659f Description: data abstraction library based on GLib -- development files libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains the header files and libraries needed for development. Package: libgda-5.0-doc Source: libgda5 Version: 5.0.3-2 Installed-Size: 7936 Maintainer: Debian GNOME Maintainers Architecture: all Suggests: devhelp Size: 2931720 SHA256: eb76f1defcf8b47b5833d50e06a526386928a161e3b17416f7da8e8a09db0d19 SHA1: 041157d5da3b61356b6cfa2a819d03657369c45f MD5sum: 3ced8d21fca54d68a70972b5094cd8eb Description: data abstraction library based on GLib -- documentation files libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains document files about libgda API. Homepage: http://www.gnome-db.org/ Section: doc Priority: optional Filename: pool/main/libg/libgda5/libgda-5.0-doc_5.0.3-2_all.deb Package: libgda-5.0-mysql Source: libgda5 Version: 5.0.3-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 218 Depends: libc6 (>= 2.13-28), libgda-5.0-4 (>= 5.0.2), libglib2.0-0 (>= 2.31.8), libmysqlclient18 (>= 5.5.24+dfsg-1), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Homepage: http://www.gnome-db.org/ Priority: optional Section: database Filename: pool/main/libg/libgda5/libgda-5.0-mysql_5.0.3-2_armhf.deb Size: 52238 SHA256: 0bf46fec53fa21049b76297c64554919a929ce983425a223174ec22cb03cd2e6 SHA1: ff05f8a5fbfe9eb1e1bd0eb555387ac7e60085db MD5sum: 28b007e36e2f12a00bcaa65d5599d44d Description: MySQL provider for libgda database abstraction library libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains the provider needed to access MySQL databases through libgda. Package: libgda-5.0-postgres Source: libgda5 Version: 5.0.3-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 246 Depends: libc6 (>= 2.13-28), libgda-5.0-4 (>= 5.0.2), libglib2.0-0 (>= 2.31.8), libpq5, libxml2 (>= 2.6.27) Homepage: http://www.gnome-db.org/ Priority: optional Section: database Filename: pool/main/libg/libgda5/libgda-5.0-postgres_5.0.3-2_armhf.deb Size: 53568 SHA256: abfdbe815679d9ed7febd1a1695c9a7f3d5a95cb141558f64ef62e3d1092eb15 SHA1: 096fadc66f945aa9cad870355ccb714b5455a573 MD5sum: 3ef0a1a5131aae0607d8b7e25905c64f Description: PostgreSQL provider for libgda database abstraction library libgda is a (relatively small) database abstraction/access library integrated on the GLib object model. It can be used as a metadata extractor, to get information about all database objects in a common way, and as an ODBC-like wrapper to access data in different engines through an easier API. . This package contains the provider needed to access PostgreSQL databases through libgda. Package: libgdal-dev Source: gdal Version: 1.9.0-3.1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 16240 Depends: libgdal1 (= 1.9.0-3.1), libc6-dev, libnetcdf-dev, libjasper-dev | libjasper-1.701-dev, libpng-dev, libjpeg-dev, libgif-dev, libhdf4-alt-dev, libpq-dev, libxerces-c2-dev, unixodbc-dev, libsqlite3-dev, libgeos-dev, libmysqlclient-dev, libhdf5-serial-dev, libltdl3-dev, libcurl4-gnutls-dev | libcurl4-dev, libspatialite-dev, libdap-dev Suggests: libgdal-doc Conflicts: libgdal1-dev (<< 1.9.0-1~) Replaces: libgdal1-dev (<< 1.9.0-1~) Homepage: http://www.gdal.org/ Priority: extra Section: libdevel Filename: pool/main/g/gdal/libgdal-dev_1.9.0-3.1_armhf.deb Size: 5407388 SHA256: 41ad418c04500dd5f391e1ab270d832bcdae26a4e0929c1523559ce8ba14b808 SHA1: 6a6d5236aa409bbd051bd571af0ba9aa757e923a MD5sum: 60b515ed7563b61ea1fbd337a6deb8ec Description: Geospatial Data Abstraction Library - Development files GDAL is a translator library for raster geospatial data formats. As a library, it presents a single abstract data model to the calling application for all supported formats. The related OGR library (which lives within the GDAL source tree) provides a similar capability for simple features vector data. . GDAL supports many popular data formats, including commonly used ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in GIS and remote sensing software packages (ERDAS Imagine, ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote sensing and scientific data distribution formats such as HDF, EOS FAST, NOAA L1B, NetCDF, FITS. . OGR library supports popular vector formats like ESRI Shapefile, TIGER data, S57, MapInfo File, DGN, GML and more. . This package contains the files needed to develop a software that will use the GDAL/OGR (headers, static objects, configuration script). Package: libgdal-doc Source: gdal Version: 1.9.0-3.1 Installed-Size: 17037 Maintainer: Debian GIS Project Architecture: all Suggests: libgdal1 Size: 2879106 SHA256: b970f2cdd8f50f1a97565acb86383a5a8a231f969517965fcbcf822649c17a71 SHA1: c420090d1f17bb06869131c90519604d8d6163ba MD5sum: 4b587bb0a7de7a518943cb948ded6c5b Description: Documentation for the Geospatial Data Abstraction Library GDAL is a translator library for raster geospatial data formats. As a library, it presents a single abstract data model to the calling application for all supported formats. The related OGR library (which lives within the GDAL source tree) provides a similar capability for simple features vector data. . GDAL supports 40+ popular data formats, including commonly used ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in GIS and remote sensing software packages (ERDAS Imagine, ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote sensing and scientific data distribution formats such as HDF, EOS FAST, NOAA L1B, NetCDF, FITS. . OGR library supports popular vector formats like ESRI Shapefile, TIGER data, S57, MapInfo File, DGN, GML and more. . This package contains documentation for GDAL/OGR API. Homepage: http://www.gdal.org/#index_userdocs Tag: devel::doc, field::geography, role::documentation, works-with::image, works-with::image:raster Section: doc Priority: extra Filename: pool/main/g/gdal/libgdal-doc_1.9.0-3.1_all.deb Package: libgdal-perl Source: gdal Version: 1.9.0-3.1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 1158 Depends: libarmadillo3, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libdap11, libdapclient3, libdapserver7, libepsilon0, libexpat1 (>= 2.0.1), libfreexl1 (>= 0.0.2~beta20110817), libgcc1 (>= 1:4.4.0), libgdal1 (>= 1.9.0), libgeos-c1 (>= 3.3.3), libgif4 (>= 4.1.4), libhdf4-0-alt, libhdf5-7, libjasper1, libjpeg8 (>= 8c), libkml0, liblzma5 (>= 5.1.1alpha+20110809), libmysqlclient18 (>= 5.5.24+dfsg-1), libnetcdfc7, libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libogdi3.2, libpng12-0 (>= 1.2.13-4), libpoppler19 (>= 0.18.4), libpq5, libproj0, libspatialite3 (>= 2.4.0~rc2), libsqlite3-0 (>= 3.5.9), liburiparser1 (>= 0.6.0), libxerces-c28, odbcinst1debian2 (>= 2.2.11-3), zlib1g (>= 1:1.1.4), perl (>= 5.14.2-14+rpi1), perlapi-5.14.2 Homepage: http://map.hut.fi/doc/Geo-GDAL/html/ Priority: extra Section: perl Filename: pool/main/g/gdal/libgdal-perl_1.9.0-3.1_armhf.deb Size: 417738 SHA256: ce0f873b46d0aa034c7a213a21b17172647c258e3d329f4160ffaf879e48d745 SHA1: 48e4e194f3d72e3b1a7ac4d0c3b1cecf632a1d68 MD5sum: 7fea38b6aff5648ce4c64257b7953b1b Description: Perl bindings to the Geospatial Data Abstraction Library GDAL is a translator library for raster geospatial data formats. As a library, it presents a single abstract data model to the calling application for all supported formats. The related OGR library (which lives within the GDAL source tree) provides a similar capability for simple features vector data. . GDAL supports 40+ popular data formats, including commonly used ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in GIS and remote sensing software packages (ERDAS Imagine, ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote sensing and scientific data distribution formats such as HDF, EOS FAST, NOAA L1B, NetCDF, FITS. . OGR library supports popular vector formats like ESRI Shapefile, TIGER data, S57, MapInfo File, DGN, GML and more. . This package contains Perl bindings for GDAL/OGR library. Package: libgdal-ruby Source: gdal Version: 1.9.0-3.1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 131 Depends: libgdal-ruby1.8 Homepage: http://www.gdal.org/ Priority: extra Section: ruby Filename: pool/main/g/gdal/libgdal-ruby_1.9.0-3.1_armhf.deb Size: 103254 SHA256: c476503d7b90d6d0e2433a1c2f77127c4878dd7623b93a760c9385088efcf1b6 SHA1: 7d62b190e36343540d7cbeec877c934b2711654f MD5sum: bbfc3cdfa3fcd598efbda3187b2e9a44 Description: Ruby bindings to the Geospatial Data Abstraction Library This is a dummy package which depends on current ruby version to support GDAL/OGR library binding upgrades. Package: libgdal-ruby1.8 Source: gdal Version: 1.9.0-3.1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 598 Depends: libarmadillo3, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libdap11, libdapclient3, libdapserver7, libepsilon0, libexpat1 (>= 2.0.1), libfreexl1 (>= 0.0.2~beta20110817), libgcc1 (>= 1:4.4.0), libgdal1 (>= 1.9.0), libgeos-c1 (>= 3.3.3), libgif4 (>= 4.1.4), libhdf4-0-alt, libhdf5-7, libjasper1, libjpeg8 (>= 8c), libkml0, liblzma5 (>= 5.1.1alpha+20110809), libmysqlclient18 (>= 5.5.24+dfsg-1), libnetcdfc7, libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libogdi3.2, libpng12-0 (>= 1.2.13-4), libpoppler19 (>= 0.18.4), libpq5, libproj0, libruby1.8 (>= 1.8.7.357-1), libspatialite3 (>= 2.4.0~rc2), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), liburiparser1 (>= 0.6.0), libxerces-c28, odbcinst1debian2 (>= 2.2.11-3), zlib1g (>= 1:1.1.4) Homepage: http://www.gdal.org/ Priority: extra Section: ruby Filename: pool/main/g/gdal/libgdal-ruby1.8_1.9.0-3.1_armhf.deb Size: 269566 SHA256: 6a3d390b1f0303b01ebdbe2f5b959a3251491d426d1f611a6094e8cae5c30c3d SHA1: 483391d07697f169ae76d8226ded19abbf84d2d9 MD5sum: a34b11412b49b0d6ecfaa38db1d8068e Description: Ruby 1.8 bindings to the Geospatial Data Abstraction Library GDAL is a translator library for raster geospatial data formats. As a library, it presents a single abstract data model to the calling application for all supported formats. The related OGR library (which lives within the GDAL source tree) provides a similar capability for simple features vector data. . GDAL supports 40+ popular data formats, including commonly used ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in GIS and remote sensing software packages (ERDAS Imagine, ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote sensing and scientific data distribution formats such as HDF, EOS FAST, NOAA L1B, NetCDF, FITS. . OGR library supports popular vector formats like ESRI Shapefile, TIGER data, S57, MapInfo File, DGN, GML and more. . This package contains ruby 1.8 bindings for GDAL/OGR library. Package: libgdal1 Source: gdal Version: 1.9.0-3.1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 12650 Depends: libarmadillo3, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libdap11, libdapclient3, libdapserver7, libepsilon0, libexpat1 (>= 2.0.1), libfreexl1 (>= 0.0.2~beta20110817), libgcc1 (>= 1:4.4.0), libgeos-c1 (>= 3.3.3), libgif4 (>= 4.1.4), libhdf4-0-alt, libhdf5-7, libjasper1, libjpeg8 (>= 8c), libkml0, liblzma5 (>= 5.1.1alpha+20120614), libmysqlclient18 (>= 5.5.24+dfsg-1), libnetcdfc7, libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libogdi3.2, libpng12-0 (>= 1.2.13-4), libpoppler19 (>= 0.18.4), libpq5, libproj0, libspatialite3 (>= 2.4.0~rc2), libsqlite3-0 (>= 3.6.0), libstdc++6 (>= 4.6), liburiparser1 (>= 0.6.0), libxerces-c28, odbcinst1debian2 (>= 2.2.11-3), zlib1g (>= 1:1.2.0) Recommends: proj-bin Homepage: http://www.gdal.org/ Priority: extra Section: libs Filename: pool/main/g/gdal/libgdal1_1.9.0-3.1_armhf.deb Size: 4504062 SHA256: aabd735944f6cefe1038c4e78beb16e470f66727881640ef4ffd0d5dc9fff8ed SHA1: 4e0133f3f931267079e6a96ff8f5006bb790fabc MD5sum: ab525430674a50619e63a5d1c1034b33 Description: Geospatial Data Abstraction Library GDAL is a translator library for raster geospatial data formats. As a library, it presents a single abstract data model to the calling application for all supported formats. The related OGR library (which lives within the GDAL source tree) provides a similar capability for simple features vector data. . GDAL supports many popular data formats, including commonly used ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in GIS and remote sensing software packages (ERDAS Imagine, ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote sensing and scientific data distribution formats such as HDF, EOS FAST, NOAA L1B, NetCDF, FITS. . OGR library supports popular vector formats like ESRI Shapefile, TIGER data, S57, MapInfo File, DGN, GML and more. . This package contains the shared library. Package: libgdal1-1.9.0-grass Source: libgdal-grass Version: 1.9.0-1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 114 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgdal1 (>= 1.9.0), libstdc++6 (>= 4.3.0), grass642 Recommends: gdal-bin Homepage: http://www.gdal.org/ Priority: extra Section: libs Filename: pool/main/libg/libgdal-grass/libgdal1-1.9.0-grass_1.9.0-1_armhf.deb Size: 28734 SHA256: 35de9e3942335bbc7a6a445ef510b448cf8303a381288cb98fbd30718be4a235 SHA1: ec6526adcb4dd8709901195dbfe717f6736ecc83 MD5sum: 2118acf25cdb2cf507bb1b2404155dae Description: GRASS extension for the GDAL library GDAL is a translator library for raster geospatial data formats. As a library, it presents a single abstract data model to the calling application for all supported formats. This extension provides access to GRASS data via GDAL. Package: libgdal1-dev Source: gdal Version: 1.9.0-3.1 Installed-Size: 131 Maintainer: Debian GIS Project Architecture: all Depends: libgdal-dev Size: 103676 SHA256: 0d09e2d7692f652080e115050af2f46c180bdf9a37919b5049c22d985a7a5044 SHA1: 2288b3028724409f4883c310688551c8bc598269 MD5sum: 267384a6a82f0e8307339e9bfda2c935 Description: Geospatial Data Abstraction Library - Development files GDAL is a translator library for raster geospatial data formats. As a library, it presents a single abstract data model to the calling application for all supported formats. The related OGR library (which lives within the GDAL source tree) provides a similar capability for simple features vector data. . GDAL supports many popular data formats, including commonly used ones (GeoTIFF, JPEG, PNG and more) as well as the ones used in GIS and remote sensing software packages (ERDAS Imagine, ESRI Arc/Info, ENVI, PCI Geomatics). Also supported many remote sensing and scientific data distribution formats such as HDF, EOS FAST, NOAA L1B, NetCDF, FITS. . OGR library supports popular vector formats like ESRI Shapefile, TIGER data, S57, MapInfo File, DGN, GML and more. . This is a transitional dummy package that depends on libgdal-dev and is used to allow versioned build dependencies to work in any build environment. Homepage: http://www.gdal.org/ Tag: devel::library, role::devel-lib, works-with::image Section: libdevel Priority: extra Filename: pool/main/g/gdal/libgdal1-dev_1.9.0-3.1_all.deb Package: libgdata-cil-dev Source: gdata-sharp Version: 2.1.0.0-1 Installed-Size: 74 Maintainer: Debian CLI Libraries Team Architecture: all Depends: libgdata2.1-cil (= 2.1.0.0-1) Size: 40858 SHA256: 98b111466e76dd50d4ae083b21439fa547d74c46d24e4e1ff7210db7926ffcc6 SHA1: 6ee6385bede0d9fa1bb4503e2005cce33afd78f9 MD5sum: 4c735f8e93e299ee3f3321209da27a83 Description: Google GData CLI client library GData (Google data) is a set of Application Programming Interfaces (APIs) for the Common Language Infrastructure (CLI) which provide a simple protocol for reading and writing data on the web. . Each of the following Google services provides a Google data API: * Base * Blogger * Calendar * Contacts * Document List * Google Apps Provisioning * Notebook * Picasa Web Albums * Spreadsheets * YouTube . The GData CLI Client Library provides a library and source code that make it easy to access data through Google Data APIs. . This package contains development files for the GData library, and should be used for compilation Homepage: http://code.google.com/p/google-gdata/ Tag: devel::ecma-cli, devel::library, role::devel-lib Section: cli-mono Priority: optional Filename: pool/main/g/gdata-sharp/libgdata-cil-dev_2.1.0.0-1_all.deb Package: libgdata-common Source: libgdata Version: 0.12.0-1 Installed-Size: 1143 Maintainer: Debian GNOME Maintainers Architecture: all Size: 264106 SHA256: ebe007f996f64588d6d30849a49155259a20177a3e094e383043dd2b5fc8098e SHA1: 6dad5ead7e2fb089abc3a5ef39c50c7a2af53b4a MD5sum: 13067d03ac05ca8ab3b3ed4e6441beee Description: Library for accessing GData webservices - common data files libgdata is a GLib-based library for accessing online service APIs using the GData protocol — most notably, Google's services. It provides APIs to access the common Google services, and has full asynchronous support. . This package contains the common data files for libgdata. Homepage: http://live.gnome.org/libgdata Tag: role::data Section: libs Priority: optional Filename: pool/main/libg/libgdata/libgdata-common_0.12.0-1_all.deb Package: libgdata-dev Source: libgdata Version: 0.12.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 2761 Depends: libgdata13 (= 0.12.0-1), gir1.2-gdata-0.0 (= 0.12.0-1), libglib2.0-dev (>= 2.30.0), libxml2-dev, libsoup2.4-dev (>= 2.37.91) Suggests: libgdata-doc Homepage: http://live.gnome.org/libgdata Priority: optional Section: libdevel Filename: pool/main/libg/libgdata/libgdata-dev_0.12.0-1_armhf.deb Size: 606930 SHA256: 9219b9c326b32441067852ff1ca8437c8842bf7c7283144072278291000be3b6 SHA1: 8aa7760653d6e5591001e8cb51c445dceaa6f382 MD5sum: 5c225212191c4e1ae5bca828f79c8b96 Description: Library for accessing GData webservices - development files libgdata is a GLib-based library for accessing online service APIs using the GData protocol — most notably, Google's services. It provides APIs to access the common Google services, and has full asynchronous support. . This package contains the headers and development libraries needed to build applications using the libgdata library Package: libgdata-doc Source: libgdata Version: 0.12.0-1 Installed-Size: 5472 Maintainer: Debian GNOME Maintainers Architecture: all Recommends: libgdata-dev Suggests: devhelp Size: 668880 SHA256: 76d8a8b6281c8298dcaac27898cb0c35b4c2d11e400d7a86b9bf842ab46c7c32 SHA1: 1afde1a7af8955ea167f8c651319e990ff475532 MD5sum: c5998bbf379269866b204f4ddcb5fd88 Description: Library for accessing GData webservices - documentation libgdata is a GLib-based library for accessing online service APIs using the GData protocol — most notably, Google's services. It provides APIs to access the common Google services, and has full asynchronous support. . This package contains the HTML documentation for the libgdata library Homepage: http://live.gnome.org/libgdata Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libgdata/libgdata-doc_0.12.0-1_all.deb Package: libgdata13 Source: libgdata Version: 0.12.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 829 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libgnome-keyring0 (>= 2.20.3), liboauth0 (>= 0.9.1), libsoup-gnome2.4-1 (>= 2.37.91), libsoup2.4-1 (>= 2.37.91), libxml2 (>= 2.7.4), libgdata-common Homepage: http://live.gnome.org/libgdata Priority: optional Section: libs Filename: pool/main/libg/libgdata/libgdata13_0.12.0-1_armhf.deb Size: 379068 SHA256: 8ae3d6419391b4fd0ff8aefaf172a69961bccfd987e13c7e14fb938d9a35642a SHA1: c1a4a7f36246a881c3813e58a7eebf6c445c7ec5 MD5sum: 2ef7a2459db9bf0ab12e6a877aa2267c Description: Library for accessing GData webservices - shared libraries libgdata is a GLib-based library for accessing online service APIs using the GData protocol — most notably, Google's services. It provides APIs to access the common Google services, and has full asynchronous support. . This package contains the shared libraries. Package: libgdata2.1-cil Source: gdata-sharp Version: 2.1.0.0-1 Installed-Size: 754 Maintainer: Debian CLI Libraries Team Architecture: all Depends: cli-common (>= 0.5.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), libnewtonsoft-json4.5-cil (>= 4.5r5) Size: 278476 SHA256: 6e280aa08266b6753f024233c0942ac1dde55de9e37339523ab665ebe8365019 SHA1: 6119c66fa31d21bddd9a911e78b7c80a614bd260 MD5sum: aad1b27531ba1bba771921a5c62015b9 Description: Google GData CLI client library GData (Google data) is a set of Application Programming Interfaces (APIs) for the Common Language Infrastructure (CLI) which provide a simple protocol for reading and writing data on the web. . Each of the following Google services provides a Google data API: * Base * Blogger * Calendar * Contacts * Document List * Google Apps Provisioning * Notebook * Picasa Web Albums * Spreadsheets * YouTube . The GData CLI Client Library provides a library and source code that make it easy to access data through Google Data APIs. . This package contains the GData assemblies Homepage: http://code.google.com/p/google-gdata/ Section: cli-mono Priority: optional Filename: pool/main/g/gdata-sharp/libgdata2.1-cil_2.1.0.0-1_all.deb Package: libgdb-dev Source: gdb Version: 7.4.1+dfsg-0.1 Architecture: armhf Maintainer: Hector Oron Installed-Size: 7951 Depends: binutils-dev, libexpat1-dev, python-dev, zlib1g-dev, libncurses5-dev, libreadline-dev, gdb Homepage: http://www.gnu.org/s/gdb/ Priority: extra Section: libdevel Filename: pool/main/g/gdb/libgdb-dev_7.4.1+dfsg-0.1_armhf.deb Size: 2460434 SHA256: 22bb048cdae3da813729e89066ade22bcc6ef6215cdd1971f6cc54619d47ece3 SHA1: 8331465f520dea90ccab0bbb9bbdfcff596bea07 MD5sum: 5d2d960c8bb687ca3da0a037ead8a2ed Description: The GNU Debugger (libgdb.a) GDB is a source-level debugger, capable of breaking programs at any specific line, displaying variable values, and determining where errors occurred. Currently, gdb supports C, C++, D, Objective-C, Fortran, Java, OpenCL C, Pascal, assembly, Modula-2, and Ada. A must-have for any serious programmer. . This package contains libgdb.a, the bulk of GDB as a linkable library. It is provided for an IDE which links to libgdb.a. Please do not use libgdb.a for new projects. Package: libgdbm-dev Source: gdbm Version: 1.8.3-11 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 121 Depends: libc6-dev | libc-dev, libgdbm3 (= 1.8.3-11) Conflicts: libgdbm1-dev, libgdbmg1-dev Replaces: libgdbmg1-dev Homepage: http://directory.fsf.org/project/gdbm/ Priority: optional Section: libdevel Filename: pool/main/g/gdbm/libgdbm-dev_1.8.3-11_armhf.deb Size: 35974 SHA256: 402d6b763b024f056b45ad5f92506bbbe6415648da7ce79386902e52c9bee3cb SHA1: c59f2ffdccce3efdebf4e7fbf258fe30783ca32e MD5sum: 6bb07b163a86b6da6f1e2c00420dd92e Description: GNU dbm database routines (development files) GNU dbm ('gdbm') is a library of database functions that use extendible hashing and works similarly to the standard UNIX 'dbm' functions. This package includes development support files. Package: libgdbm-gst Source: gnu-smalltalk Version: 3.2.4-2 Architecture: armhf Maintainer: Debian GNU Smalltalk maintainers Installed-Size: 132 Depends: gnu-smalltalk (= 3.2.4-2), libc6 (>= 2.13-28), libgdbm3 (>= 1.8.3), gnu-smalltalk-common (>= 3.2.4) Homepage: http://smalltalk.gnu.org Priority: extra Section: interpreters Filename: pool/main/g/gnu-smalltalk/libgdbm-gst_3.2.4-2_armhf.deb Size: 80116 SHA256: 4181de060797ac32e634f15ddbef1ba030384ec6e0ecbf827ddb5d3ab59f670a SHA1: c4db0ecccfc8a3e8b92435185503aead97ee39b8 MD5sum: ad5ac5467461b37ed94c95e43268d24c Description: GDBM bindings for GNU Smalltalk This package contains the GDBM bindings for GNU Smalltalk. . For more information on GNU Smalltalk see the gnu-smalltalk package. Package: libgdbm3 Source: gdbm Version: 1.8.3-11 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 106 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), dpkg (>= 1.15.4) | install-info Multi-Arch: same Homepage: http://directory.fsf.org/project/gdbm/ Priority: important Section: libs Filename: pool/main/g/gdbm/libgdbm3_1.8.3-11_armhf.deb Size: 44890 SHA256: 27e23af89b806326af81c1923a1db1bb0f6925b6975be469285252515099f29b SHA1: 971efa6a7eddf8e79e404b1d4acba38f4928eb68 MD5sum: f367faae19afcf361272a0a3152f94e1 Description: GNU dbm database routines (runtime version) GNU dbm ('gdbm') is a library of database functions that use extendible hashing and works similarly to the standard UNIX 'dbm' functions. . The basic use of 'gdbm' is to store key/data pairs in a data file, thus providing a persistent version of the 'dictionary' Abstract Data Type ('hash' to perl programmers). Package: libgdbussyncevo0 Source: syncevolution Version: 1.2.99.1-1.1 Architecture: armhf Maintainer: Tino Keitel Installed-Size: 66 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.26.0), libstdc++6 (>= 4.4.0), syncevolution-common (= 1.2.99.1-1.1), dbus Breaks: syncevolution-libs (<< 1.2.99.1) Replaces: syncevolution-libs (<< 1.2.99.1) Homepage: http://www.syncevolution.org Priority: optional Section: utils Filename: pool/main/s/syncevolution/libgdbussyncevo0_1.2.99.1-1.1_armhf.deb Size: 18518 SHA256: 268bd088192e03c54a527ffbd07cef0ff030772003c14118fcfd056d3f4e760f SHA1: c02a9fa71fd1c536491a9766ed4ddc9fb61a8e58 MD5sum: 94b4873e121ef88a0bec02132b52ef40 Description: Sync personal information data using SyncML and CalDAV/CardDAV (shared library) SyncEvolution synchronizes contact, calendar and task items via SyncML and CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server to sync PIM data in Evolution, but a plain file storage is also supported. Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and incremental synchronization of items are supported. SyncEvolution can act as a SyncML/DAV client and SyncML server. . This package provides a shared library. Package: libgdchart-gd2-noxpm Source: libgdchart-gd2 Version: 0.11.5-7 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 106 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4) Conflicts: libgdchart Provides: libgdchart Homepage: http://www.fred.net/brv/chart/ Priority: optional Section: libs Filename: pool/main/libg/libgdchart-gd2/libgdchart-gd2-noxpm_0.11.5-7_armhf.deb Size: 45590 SHA256: b98c9954bf3a11b2705c0ce08f4b45597820fac2e9bc947994cb24a62df6e474 SHA1: ffea94d8827edb95beb60e8eaa463d678e3b61f7 MD5sum: 4dc1e2b3b04353dbef68c470bacfb49d Description: Generate graphs using the GD library A library for generating nice 2d and 3d graphs with the use of GD. . This is the runtime package of the library, built against GD 2.x library and without XPM (X pixmap) support. Package: libgdchart-gd2-noxpm-dev Source: libgdchart-gd2 Version: 0.11.5-7 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 145 Depends: libfreetype6-dev, libgd2-noxpm-dev | libgd-dev, libjpeg-dev, libpng12-0-dev, zlib1g-dev, libgdchart-gd2-noxpm (= 0.11.5-7) Conflicts: libgdchart-gd2-dev Provides: libgdchart-gd2-dev Homepage: http://www.fred.net/brv/chart/ Priority: optional Section: libdevel Filename: pool/main/libg/libgdchart-gd2/libgdchart-gd2-noxpm-dev_0.11.5-7_armhf.deb Size: 54488 SHA256: 72a2a25f895380b920c3796540cb353ed58ff6955a58e83267acb6d6915bccac SHA1: 23bf765a9318568dcfcb0a59890a0c3c5b18c4b4 MD5sum: 440c11c1b46bc4fc30979c868d5989a7 Description: Generate graphs using the GD library (development version) A library for generating nice 2d and 3d graphs with the use of GD. . This is the full development version of the library, built against GD 2.x library and without XPM (X pixmap) support. Package: libgdchart-gd2-xpm Source: libgdchart-gd2 Version: 0.11.5-7 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 106 Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libx11-6, libxpm4, zlib1g (>= 1:1.1.4) Conflicts: libgdchart Provides: libgdchart Homepage: http://www.fred.net/brv/chart/ Priority: optional Section: libs Filename: pool/main/libg/libgdchart-gd2/libgdchart-gd2-xpm_0.11.5-7_armhf.deb Size: 45616 SHA256: 8f85ea4f3ff50e31eafde4eded672ff71236b6241cba35b012e3ab34da70b07d SHA1: 5b13be5a45192e23b39541381838734cd4f46f7e MD5sum: b52ae56c839637b68af35b0348fd2989 Description: Generate graphs using the GD library A library for generating nice 2d and 3d graphs with the use of GD. . This is the runtime package of the library, built against GD 2.x library and with XPM (X pixmap) support. Package: libgdchart-gd2-xpm-dev Source: libgdchart-gd2 Version: 0.11.5-7 Architecture: armhf Maintainer: Jonas Smedegaard Installed-Size: 145 Depends: libfreetype6-dev, libgd2-noxpm-dev | libgd-dev, libjpeg-dev, libpng12-0-dev, libx11-dev, libxpm-dev, zlib1g-dev, libgdchart-gd2-xpm (= 0.11.5-7) Conflicts: libgdchart-gd2-dev Provides: libgdchart-gd2-dev Homepage: http://www.fred.net/brv/chart/ Priority: optional Section: libdevel Filename: pool/main/libg/libgdchart-gd2/libgdchart-gd2-xpm-dev_0.11.5-7_armhf.deb Size: 54500 SHA256: ab41ff4ee5474ff089d767280bca5f448c9a58bd351dd9d41d353875a332ede4 SHA1: 47c8f98146280142705f8c2d177a7c73ea2ed694 MD5sum: a38de5df87c5793bb54d9f39bc241de6 Description: Generate graphs using the GD library (development version) A library for generating nice 2d and 3d graphs with the use of GD. . This is the full development version of the library, built against GD 2.x library and with XPM (X pixmap) support. Package: libgdcm-cil Source: gdcm Version: 2.2.0-14.1+rpi1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 900 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdcm2.2, libstdc++6 (>= 4.4.0), cli-common (>= 0.5.1), libmono-corlib4.0-cil (>= 2.10.1) Homepage: http://gdcm.sourceforge.net/ Priority: optional Section: cli-mono Filename: pool/main/g/gdcm/libgdcm-cil_2.2.0-14.1+rpi1_armhf.deb Size: 266860 SHA256: fc4cb2d3dfb5fb7e861d3d261cf796d81415a0e139e7722eb7631e432c729671 SHA1: 0e8eef19b293570f0d598174859f223ce59ac50a MD5sum: 0bc7f71eb10d369681b155a614b4ab56 Description: Grassroots DICOM CLI bindings Grassroots DiCoM is a C++ library for DICOM medical files. It is automatically wrapped to python/C#/Java (using swig). It supports RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated. . CLI bindings to the GDCM DICOM library. It allows developers to use GDCM from C# environment. Package: libgdcm-java Source: gdcm Version: 2.2.0-14.1+rpi1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 872 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdcm2.2, libstdc++6 (>= 4.4.0) Suggests: java-virtual-machine Homepage: http://gdcm.sourceforge.net/ Priority: optional Section: java Filename: pool/main/g/gdcm/libgdcm-java_2.2.0-14.1+rpi1_armhf.deb Size: 424850 SHA256: db4d3a0bc383afcc50f7738723012f1480d618cc11919def772db9b94ac73120 SHA1: 3265d7cdb21febaafa85a1242d6f80cd245df3fa MD5sum: dd449fcb9ee53dc9297dbbd2b73f2d7c Description: Grassroots DICOM Java bindings Grassroots DiCoM is a C++ library for DICOM medical files. It is automatically wrapped to python/C#/Java (using swig). It supports RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated. . Java bindings to the GDCM DICOM library. It allows developers to use GDCM from Java environment. Package: libgdcm-tools Source: gdcm Version: 2.2.0-14.1+rpi1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 699 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdcm2.2, libpoppler19 (>= 0.18.4), libstdc++6 (>= 4.4.0) Recommends: pvrg-jpeg Suggests: dcmtk, dicom3tools Homepage: http://gdcm.sourceforge.net/ Priority: optional Section: utils Filename: pool/main/g/gdcm/libgdcm-tools_2.2.0-14.1+rpi1_armhf.deb Size: 297586 SHA256: 0794de1dc94046f7e2c0ba03c2ec4f5a13d3bc50d40d2619a28226ef32c757e3 SHA1: 4cf4dea9c53f4a080668757dbfa6198f24ca4151 MD5sum: 7f6d67fe143948041227d4d928fde23a Description: Grassroots DICOM tools and utilities Grassroots DiCoM is a C++ library for DICOM medical files. It is automatically wrapped to python/C#/Java (using swig). It supports RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated. . Install this package for the gdcmanon, gdcmconv, gdcmdiff, gdcmdump, gdcmgendir, gdcmimg, gdcminfo, gdcmpdf, gdcmraw, gdcmscanner, gdcmscu, gdcmtar programs. Package: libgdcm2-dev Source: gdcm Version: 2.2.0-14.1+rpi1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 2138 Depends: libgdcm2.2 (= 2.2.0-14.1+rpi1) Homepage: http://gdcm.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/g/gdcm/libgdcm2-dev_2.2.0-14.1+rpi1_armhf.deb Size: 372728 SHA256: 807dfeeb600de7eb4a21f8d91b3a5b04f9a0213e2d341d9760c01892a1fe22fa SHA1: 6f298132454549a1f3be281fbe3570d0225005d1 MD5sum: f5f2d3e6374e62b1df26b7f7008c487f Description: Grassroots DICOM development libraries and headers Grassroots DiCoM is a C++ library for DICOM medical files. It is automatically wrapped to python/C#/Java (using swig). It supports RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated. . Headers and static libraries for libgdcm. These are not needed to use GDCM, but are needed to build plugins or programs that link against libgdcm. Package: libgdcm2.2 Source: gdcm Version: 2.2.0-14.1+rpi1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 8129 Depends: libc6 (>= 2.13-28), libcharls1, libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libopenjpeg2, libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libuuid1 (>= 2.16), zlib1g (>= 1:1.1.4) Homepage: http://gdcm.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/g/gdcm/libgdcm2.2_2.2.0-14.1+rpi1_armhf.deb Size: 2222668 SHA256: 1d78e90dfa7bfa2292e26eda4019fe4a248a701bf36caee241ace90bb2314c50 SHA1: 08af60b82053963e79d6ae14616b0b66df977fa1 MD5sum: 89c9186193e7957c7822782016457f6c Description: Grassroots DICOM runtime libraries Grassroots DiCoM is a C++ library for DICOM medical files. It is automatically wrapped to python/C#/Java (using swig). It supports RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated. It also comes with DICOM Part 3,6 & 7 of the standard as XML files. . This package contains the libraries needed to run GDCM applications. Package: libgdcm2.2-dbg Source: gdcm Version: 2.2.0-14.1+rpi1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 22508 Depends: libgdcm2.2 (= 2.2.0-14.1+rpi1) Breaks: libgdcm2.0-dbg Replaces: libgdcm2.0-dbg Homepage: http://gdcm.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/g/gdcm/libgdcm2.2-dbg_2.2.0-14.1+rpi1_armhf.deb Size: 20771406 SHA256: 9afc7b267d34934e95ee2a6e314a5a67c2c805c9756c0daca04865912f9858be SHA1: 1b2f3802dddca4c8bab29853a8f64348e79e94a1 MD5sum: 8e9b4e7dd04fd5d780af01d23a89b35b Description: Grassroots DICOM runtime libraries, debug version Grassroots DiCoM is a C++ library for DICOM medical files. It is automatically wrapped to python/C#/Java (using swig). It supports RAW,JPEG (lossy/lossless),J2K,JPEG-LS, RLE and deflated. . Debug symbols for libgdcm that will allow useful tracebacks and debugging on end user machines. Package: libgdf-dev Source: libgdf Version: 0.1.2-2 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 129 Depends: libgdf0 (= 0.1.2-2) Homepage: http://sourceforge.net/projects/libgdf Priority: extra Section: libdevel Filename: pool/main/libg/libgdf/libgdf-dev_0.1.2-2_armhf.deb Size: 20004 SHA256: 2785ad3f49d66fee59ea3481b6355c54cf5a6733a2b7379dbd8224b4ca5cca27 SHA1: 0d12d22027c8f3a48128d80b4d2135b58b2e1ca3 MD5sum: 7211920e20851586099a6951cade85f6 Description: IO library for the GDF -- development library GDF (General Dataformat for Biosignals) is intended to provide a generic storage for biosignals, such as EEG, ECG, MEG etc. . This package provides the header files and static library. Package: libgdf0 Source: libgdf Version: 0.1.2-2 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 697 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://sourceforge.net/projects/libgdf Priority: extra Section: libs Filename: pool/main/libg/libgdf/libgdf0_0.1.2-2_armhf.deb Size: 179334 SHA256: 29fafb45854be79c815775c4ca380ac09bdc3f4e24b249b52f20f7b491cc1537 SHA1: b773f86fca75bd7346d33f46dcb86a81097f99f8 MD5sum: 3a6ed8208b70d8dd9c747b2332182abd Description: IO library for the GDF (general dataformat for biosignals) GDF (General Dataformat for Biosignals) is intended to provide a generic storage for biosignals, such as EEG, ECG, MEG etc. . This package contains the shared library. Package: libgdf0-dbg Source: libgdf Version: 0.1.2-2 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 2023 Depends: libgdf0 (= 0.1.2-2) Homepage: http://sourceforge.net/projects/libgdf Priority: extra Section: debug Filename: pool/main/libg/libgdf/libgdf0-dbg_0.1.2-2_armhf.deb Size: 542692 SHA256: 40c8f06faa55895ccc054fcdb0e76cd83ee82d95f0bd0e011f963452cd5173ad SHA1: dd48cf855d67d1c466f32b4223b8db98f8c020d5 MD5sum: 78a96c71b3f1a942b15f94de4abfb3d8 Description: IO library for the GDF -- debug symbols GDF (General Dataformat for Biosignals) is intended to provide a generic storage for biosignals, such as EEG, ECG, MEG etc. . This package provides debug symbols. Package: libgdict-1.0-6 Source: gnome-dictionary Version: 3.4.0-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 195 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libgdict-common Breaks: gnome-dictionary (<< 3.0.0), gtranslator (<< 2.90.0) Homepage: http://live.gnome.org/GnomeUtils Priority: optional Section: libs Filename: pool/main/g/gnome-dictionary/libgdict-1.0-6_3.4.0-2_armhf.deb Size: 73492 SHA256: 2b38e02ec9ff7fc02318b2151b52e39a0284465e9ab07728f24962c60ef31a51 SHA1: 8910a88ca5dfb4e78e1391289e55f2f113500f96 MD5sum: 907d58a6d34167c15d91f48f72b68c66 Description: GNOME Dictionary base library - runtime This package contains the library used by the GNOME dictionary utility, and other applications providing similar functionality. Package: libgdict-1.0-dev Source: gnome-dictionary Version: 3.4.0-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 607 Depends: libgdict-1.0-6 (= 3.4.0-2), libgtk-3-dev (>= 3.0.0), libglib2.0-dev (>= 2.28.0) Homepage: http://live.gnome.org/GnomeUtils Priority: optional Section: libdevel Filename: pool/main/g/gnome-dictionary/libgdict-1.0-dev_3.4.0-2_armhf.deb Size: 76530 SHA256: 35a8531d468deda819bba9ca09c136e6f102e984c1cfec3c8646f709a8df6f93 SHA1: 1387a43e8a3797a5f5f1e80eed66e4d0b78e3299 MD5sum: bd85ba6e432b01fa22f7253f1f5bdc03 Description: GNOME Dictionary base library - development files This package contains the development files for a library used by the GNOME dictionary utility, and other applications providing similar functionality. Package: libgdict-common Source: gnome-dictionary Version: 3.4.0-2 Installed-Size: 2142 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: gnome-utils-common (<< 3.3) Breaks: gnome-utils-common (<< 3.3) Size: 331726 SHA256: 785ddfc22d90b582eb8ab78b6409b316635476f6ae218bb84f83bd28ec47ad41 SHA1: 3eaf6a370d482b6b86e2ee71157e99fd6116e134 MD5sum: 9472ff7c030e6d428592b54b3bfeca25 Description: GNOME Dictionary base library - common files This package contains the translation files and dictionary source definitions used by the GNOME dictionary utility, and other applications providing similar functionality. Homepage: http://live.gnome.org/GnomeUtils Section: libs Priority: optional Filename: pool/main/g/gnome-dictionary/libgdict-common_3.4.0-2_all.deb Package: libgdiplus Version: 2.10-3 Architecture: armhf Maintainer: Debian Mono Group Installed-Size: 354 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.4), libexif12, libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgif4 (>= 4.1.4), libglib2.0-0 (>= 2.31.8), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libtiff4 (>> 3.9.5-3~), libx11-6, libxrender1 Homepage: http://www.mono-project.com/Libgdiplus Priority: optional Section: libs Filename: pool/main/libg/libgdiplus/libgdiplus_2.10-3_armhf.deb Size: 139600 SHA256: 74b9b2fab72639e906b86673b53b4f4ff1351135e643027f82f20ef444329b9c SHA1: dd0b72d6a0849af57e2b457d4dee78ff0cfe3f55 MD5sum: 910cd0fd10d8d84eccf7c71e58dda356 Description: interface library for System.Drawing of Mono This package contains a GDI+ API compatible implementation needed by the System.Drawing library of Mono. Package: libgdk-pixbuf2-ruby Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-gdk-pixbuf2 Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libgdk-pixbuf2-ruby_1.1.3-2_all.deb Size: 10126 SHA256: f6172f6bdcfa8bb8168ee3dbebb057fdce71d4ff54095622e7129c2069311914 SHA1: 9df12935a4e130625e7184fc084c8db4c37d576b MD5sum: 85c7fc3faedef3bb26f7e7fed7abc566 Description: Transitional package for ruby-gdk-pixbuf2 This is a transitional package to ease upgrades to the ruby-gdk-pixbuf2 package. It can safely be removed. Package: libgdk-pixbuf2-ruby1.8 Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-gdk-pixbuf2 Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libgdk-pixbuf2-ruby1.8_1.1.3-2_all.deb Size: 10126 SHA256: 050afa1f7c85b4c9b00ca3af07fe7fdeadaf4ea2523f9e89ecded825c47b4769 SHA1: 5b2adc06a85675fbfcb7f625ee6058a026ed7b41 MD5sum: 3cfef2cff2dc4dcf30ddd1db2028c415 Description: Transitional package for ruby-gdk-pixbuf2 This is a transitional package to ease upgrades to the ruby-gdk-pixbuf2 package. It can safely be removed. Package: libgdk-pixbuf2-ruby1.8-dbg Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-gdk-pixbuf2-dbg Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libgdk-pixbuf2-ruby1.8-dbg_1.1.3-2_all.deb Size: 10134 SHA256: 0e0f69ce2a70d3bbfbec8649c4d2bb9041340a8049953662a0ac66a19ed6f521 SHA1: 9efeb3634e42977bb71d85b56d13d2d0354c2908 MD5sum: db540a11201d523815684a0e921ea66d Description: Transitional package for ruby-gdk-pixbuf2-dbg This is a transitional package to ease upgrades to the ruby-gdk-pixbuf2-dbg package. It can safely be removed. Package: libgdk-pixbuf2.0-0 Source: gdk-pixbuf Version: 2.26.1-1+deb7u8 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 443 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.18), libjasper1, libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libtiff4 (>> 3.9.5-3~), libx11-6, libgdk-pixbuf2.0-common (= 2.26.1-1+deb7u8) Breaks: libgtk2.0-0 (<< 2.21.3), libgtk2.0-bin (<< 2.21.3), librsvg2-2 (<< 2.26.3-2), libwmf0.2-7 (<< 0.2.8.4-7) Replaces: libgtk2.0-0 (<< 2.21.3), libgtk2.0-bin (<< 2.21.3) Multi-Arch: same Homepage: http://www.gtk.org/ Priority: optional Section: libs Filename: pool/main/g/gdk-pixbuf/libgdk-pixbuf2.0-0_2.26.1-1+deb7u8_armhf.deb Size: 142742 SHA256: 285bbeeaee5b54efed72d366caf2703352d58a3d659e54c7e61dd446a0359c91 SHA1: 9659af9b3483a36d78371a650382f00e38499ce6 MD5sum: 00f0fe0dc1a34f56d214bf5331dfc449 Description: GDK Pixbuf library The GDK Pixbuf library provides: - Image loading and saving facilities. - Fast scaling and compositing of pixbufs. - Simple animation loading (ie. animated GIFs) Package: libgdk-pixbuf2.0-common Source: gdk-pixbuf Version: 2.26.1-1+deb7u8 Installed-Size: 3017 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libgdk-pixbuf2.0-0 (<< 2.24.0-2) Breaks: libgdk-pixbuf2.0-0 (<< 2.24.0-2) Size: 287570 SHA256: eb3cf14733642a26a4541bc9a0e95e939a0e45507ee81b9e5905c766f590ea64 SHA1: f0643474d34c407447d37385648c6017e9ea9582 MD5sum: 6078cca6e1f5e5907c9759db89f4a21c Description: GDK Pixbuf library - data files This package contains the common files and translations for the GDK Pixbuf library. Homepage: http://www.gtk.org/ Multi-Arch: foreign Section: libs Priority: optional Filename: pool/main/g/gdk-pixbuf/libgdk-pixbuf2.0-common_2.26.1-1+deb7u8_all.deb Package: libgdk-pixbuf2.0-dev Source: gdk-pixbuf Version: 2.26.1-1+deb7u8 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 250 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (= 2.26.1-1+deb7u8), libglib2.0-0 (>= 2.31.0), libpng12-0 (>= 1.2.13-4), gir1.2-gdkpixbuf-2.0 (= 2.26.1-1+deb7u8), libglib2.0-dev, libx11-dev, libpng12-dev Breaks: libgtk2.0-bin (<< 2.21.3), libgtk2.0-dev (<< 2.21.3) Replaces: gir-repository-dev, libgtk2.0-bin (<< 2.21.3), libgtk2.0-dev (<< 2.21.3) Homepage: http://www.gtk.org/ Priority: optional Section: libdevel Filename: pool/main/g/gdk-pixbuf/libgdk-pixbuf2.0-dev_2.26.1-1+deb7u8_armhf.deb Size: 47678 SHA256: 4d72955a4f6c7de5aa9bfa2182b17df7a17245665c198e684161411cf91f970a SHA1: 4af9974d65dd9c8ec38b2c877d5ec6d2c4f70b79 MD5sum: 7357b8b826aad600ddb33367eee7fa47 Description: GDK Pixbuf library (development files) The GDK Pixbuf library provides: - Image loading and saving facilities. - Fast scaling and compositing of pixbufs. - Simple animation loading (ie. animated GIFs) . This package contains the header files which are needed for using GDK Pixbuf. Package: libgdk-pixbuf2.0-doc Source: gdk-pixbuf Version: 2.26.1-1+deb7u8 Installed-Size: 733 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libgtk2.0-doc (<< 2.21.3) Breaks: libgtk2.0-doc (<< 2.21.3) Size: 163516 SHA256: 4a24e7c26f79b45168f8e813992a23d587c336ecad83591458b87b92ec0f48d0 SHA1: d7d1c146da8da85386edd6193756085d960c3aa6 MD5sum: e83066b80a09c6e0b7c876c778e1a219 Description: GDK Pixbuf library (documentation) The GDK Pixbuf library provides: - Image loading and saving facilities. - Fast scaling and compositing of pixbufs. - Simple animation loading (ie. animated GIFs) . This package contains the HTML documentation for the GDK Pixbuf library in /usr/share/doc/libgtk2.0-doc/ . Homepage: http://www.gtk.org/ Section: doc Priority: optional Filename: pool/main/g/gdk-pixbuf/libgdk-pixbuf2.0-doc_2.26.1-1+deb7u8_all.deb Package: libgdkcutter-pixbuf-dev Source: cutter-testing-framework Version: 1.1.7-1.2 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 125 Depends: libgdkcutter-pixbuf0 (= 1.1.7-1.2) Homepage: http://cutter.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/c/cutter-testing-framework/libgdkcutter-pixbuf-dev_1.1.7-1.2_armhf.deb Size: 67588 SHA256: b6daa9052e9455b987b79cf7b84efb379b0ad5febe86a92a34fb13cc9e15203a SHA1: ba331a0a12d8f5023d7273564a745bf0871e7891 MD5sum: b89bf24777895a14034cb81425251821 Description: Cutter Gdk-pixbuf support (Development files) Cutter is a xUnit family Unit Testing Framework for C and C++. . This package provides library and header files to write tests for Cutter with gdk-pixbuf support. Package: libgdkcutter-pixbuf0 Source: cutter-testing-framework Version: 1.1.7-1.2 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 109 Depends: libc6 (>= 2.13-28), libcutter0, libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0) Homepage: http://cutter.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/c/cutter-testing-framework/libgdkcutter-pixbuf0_1.1.7-1.2_armhf.deb Size: 69872 SHA256: c8abaab1172e657bfc3a041d501e70abf34f967aa59888dd0d9d3a58e4d8d6c1 SHA1: c986a8b859712465f4cc1f224ae4944bf6ee392e MD5sum: 4c66c2e17b58b121de8327a1ac2284e9 Description: Cutter Gdk-pixbuf support (Shared library) Cutter is a xUnit family Unit Testing Framework for C and C++. . This package provides shared library to write tests for Cutter with gdk-pixbuf support. Package: libgdl-3-2 Source: gdl Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 220 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), libgdl-3-common (= 3.4.2-1) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/g/gdl/libgdl-3-2_3.4.2-1_armhf.deb Size: 97716 SHA256: 4a888a5720b72f62e10172cc6ee0b31fe8eddc7ab57fe7a907bc813056ede041 SHA1: c97c6a05b19c3fa3c68ca827b3f643e8a2f5346d MD5sum: b7427930f96b784f6b728435d333001b Description: GNOME DevTool libraries Provides a support library for development tools from the GNOME environment. Including symbol browser, dock and editor components. . This package contains the shared libraries. Package: libgdl-3-common Source: gdl Version: 3.4.2-1 Installed-Size: 1305 Maintainer: Debian GNOME Maintainers Architecture: all Size: 199350 SHA256: 47a32ad1d5c6f79ee5417159f0684d945721dc4d394f9cc3ee7adf7cbe5fea79 SHA1: 346d052f06d6727afac4bcfcff8bf7101eb37ff1 MD5sum: 8f1dfb841d2bef67ce222125d8d9634e Description: GNOME DevTool libraries - common files Provides a support library for development tools from the GNOME environment. Including symbol browser, dock and editor components. . This package contains the common files. Multi-Arch: foreign Section: gnome Priority: optional Filename: pool/main/g/gdl/libgdl-3-common_3.4.2-1_all.deb Package: libgdl-3-dbg Source: gdl Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 573 Depends: libgdl-3-2 (= 3.4.2-1) Priority: extra Section: debug Filename: pool/main/g/gdl/libgdl-3-dbg_3.4.2-1_armhf.deb Size: 224474 SHA256: 543b453efc2130e2061a807083a703c0cd597fd568c0467ae04aa095419e2266 SHA1: 2415805c591e4c8841bf3f4dbf95399acc5e8c96 MD5sum: 355c77531a74bef2dade72d9c85b5c70 Description: GNOME DevTool libraries - debug files Provides a support library for development tools from the GNOME environment. Including symbol browser, dock and editor components. . This package contains the debugging symbols. Package: libgdl-3-dev Source: gdl Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 222 Depends: libgdl-3-2 (= 3.4.2-1), gir1.2-gdl-3 (= 3.4.2-1), libgtk-3-dev, libxml2-dev (>= 2.2.8) Suggests: libgdl-3-doc Priority: optional Section: libdevel Filename: pool/main/g/gdl/libgdl-3-dev_3.4.2-1_armhf.deb Size: 48086 SHA256: 229fbcf9bb450252e41e9f490bdda9238c72f44a24001804714d717a7cf3f2da SHA1: eed896b14ab2b01b64ef29905a039db79e6c860d MD5sum: 1e2fca7b02ab00160c859f918b663b13 Description: GNOME DevTool libraries - development files Provides a support library for development tools from the GNOME environment. Including symbol browser, dock and editor components. . This package contains the development files. Package: libgdl-3-doc Source: gdl Version: 3.4.2-1 Installed-Size: 319 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libgdl-3-common (<< 3.3.91-1) Suggests: devhelp Breaks: libgdl-3-common (<< 3.3.91-1) Size: 60540 SHA256: ecf50c8aacf4c46b5adf155efd3f12ed6938ef190a1e5a7f4def3f64c537155d SHA1: 24d28baedc80e3583df02beae39dd8438435d289 MD5sum: 553b28a774e3772fa22098c4030d2d06 Description: GNOME DevTool libraries - documentation Provides a support library for development tools from the GNOME environment. Including symbol browser, dock and editor components. . This package contains the API documentation. Multi-Arch: foreign Section: doc Priority: optional Filename: pool/main/g/gdl/libgdl-3-doc_3.4.2-1_all.deb Package: libgdome2-0 Source: gdome2 Version: 0.8.1+debian-4.1 Architecture: armhf Maintainer: Jan Christoph Nordholz Installed-Size: 276 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libxml2 (>= 2.7.4) Homepage: http://gdome2.cs.unibo.it/ Priority: optional Section: libs Filename: pool/main/g/gdome2/libgdome2-0_0.8.1+debian-4.1_armhf.deb Size: 94558 SHA256: 2fed4a6721a2e4f9a9f77b4f6a4dda33d9ffcf74834fe4a9402884a62681de6b SHA1: 64b9e87957b6f20f7c838c809aba7c9e7a80dd4c MD5sum: 2d89aabed09e8dc167b6a7a942d91a9f Description: DOM level2 library for accessing XML files gdome2 is a fast, light and complete DOM level2 implementation based on libxml2. Although it has been written for the GNOME project, it can be used stand-alone. . DOM (Document Object Model) is a standard interface for manipulating XML documents. A DOM implementation (also called a host implementation) is what makes a parsed XML or HTML document available for processing using a DOM interface. . libgdome2 currently supports the "Core", "XML", "Events" and "MutationEvents" modules from the DOM2 Recommendation. . This package contains the shared library. Package: libgdome2-cpp-smart-dev Source: gmetadom Version: 0.2.6-6 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 351 Depends: libgdome2-cpp-smart0c2a (= 0.2.6-6), libgdome2-dev Homepage: http://gmetadom.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/g/gmetadom/libgdome2-cpp-smart-dev_0.2.6-6_armhf.deb Size: 54982 SHA256: ea5cf7ab63eea2e9900f071c882e6edfbf9f4ab3f0b4d40954a907f48f4fb872 SHA1: 994af7f5e3ebb7a639fefbd16220492ea1c3e1be MD5sum: 903583eb4312725f20398cce9883d0bc Description: C++ bindings for GDome2 DOM implementation C++ bindings for GDome2 that is a fast, light and complete DOM level2 implementation based on libxml2. . This particular binding is one of the bindings from the GMetaDOM bindings collection. . This package includes the development files. Package: libgdome2-cpp-smart0c2a Source: gmetadom Version: 0.2.6-6 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 141 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdome2-0, libglib2.0-0 (>= 2.12.0), libstdc++6 (>= 4.4.0), libxml2 (>= 2.6.27) Conflicts: libgdome2-cpp-smart0, libgdome2-cpp-smart0c2 Replaces: libgdome2-cpp-smart0, libgdome2-cpp-smart0c2 Homepage: http://gmetadom.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/g/gmetadom/libgdome2-cpp-smart0c2a_0.2.6-6_armhf.deb Size: 36848 SHA256: 0c1f54a0f3853f8158a1baf80dbbae46e0557683134d350df98479381fbd7996 SHA1: 36095e3c9d2524768554b58011d69419e325eb51 MD5sum: 51c6d1427bbe2febacd27635651679c7 Description: C++ bindings for GDome2 DOM implementation C++ bindings for GDome2 that is a fast, light and complete DOM level2 implementation based on libxml2. . This particular binding is one of the bindings from the GMetaDOM bindings collection. . This package includes the shared library. Package: libgdome2-dev Source: gdome2 Version: 0.8.1+debian-4.1 Architecture: armhf Maintainer: Jan Christoph Nordholz Installed-Size: 2286 Depends: libgdome2-0 (= 0.8.1+debian-4.1), libc6-dev, libxml2-dev, libglib2.0-dev Homepage: http://gdome2.cs.unibo.it/ Priority: optional Section: libdevel Filename: pool/main/g/gdome2/libgdome2-dev_0.8.1+debian-4.1_armhf.deb Size: 271210 SHA256: a42096803a6de74ecb0019c70a700719a072f4f248bdc6b0c47ad5e92f0df76e SHA1: ef48d90c3a9d1b70e198a93f5d2e62722f7e3e5f MD5sum: 149fe21b2521fba6013490dc5a4026a6 Description: Development files for libgdome2 gdome2 is a fast, light and complete DOM level2 implementation based on libxml2. Although it has been written for the GNOME project, it can be used stand-alone. . DOM (Document Object Model) is a standard interface for manipulating XML documents. A DOM implementation (also called a host implementation) is what makes a parsed XML or HTML document available for processing using a DOM interface. . libgdome2 currently supports the "Core", "XML", "Events" and "MutationEvents" modules from the DOM2 Recommendation. . This package contains the header files and static libraries for developing with libgdome2-0. Package: libgdome2-ocaml Source: gmetadom Version: 0.2.6-6 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 117 Depends: ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), libgdome2-0, libglib2.0-0 (>= 2.16.0), libxml2 (>= 2.6.27) Provides: libgdome2-ocaml-aiby7 Homepage: http://gmetadom.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/g/gmetadom/libgdome2-ocaml_0.2.6-6_armhf.deb Size: 28270 SHA256: 4ec2e023de66926eab42c08040640aaeda11995992f731e5ce9c0662d6941b1e SHA1: 7b593db20351312634844b928fd826c1226d4d63 MD5sum: 3b5a1cbe26165da3eb86eee0feac47ed Description: OCaml bindings for GDome2 DOM implementation OCaml bindings for GDome2 that is a fast, light and complete DOM level2 implementation based on libxml2. . This particular binding is one of the bindings from the GMetaDOM binding collection. . This package contains only the shared runtime stub libraries. Package: libgdome2-ocaml-dev Source: gmetadom Version: 0.2.6-6 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 2553 Depends: libgdome2-ocaml-aiby7, ocaml-nox-3.12.1, libgdome2-dev, libgdome2-ocaml (= 0.2.6-6) Provides: libgdome2-ocaml-dev-aiby7 Homepage: http://gmetadom.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/g/gmetadom/libgdome2-ocaml-dev_0.2.6-6_armhf.deb Size: 275020 SHA256: 0aad725966cc5674d21adb1dd7d3d02751d2ba66fd36233419438a7e4911855d SHA1: e7e6d5513b6324abee251ae90496dae15a3e8f18 MD5sum: 113db85dad61a331ff2c9cd0cab03e5b Description: OCaml bindings for GDome2 DOM implementation OCaml bindings for GDome2 that is a fast, light and complete DOM level2 implementation based on libxml2. . This particular binding is one of the bindings from the GMetaDOM binding collection. . This package contains the development part of the GDome2 OCaml bindings. Package: libgdraw4 Source: fontforge Version: 0.0.20120101+git-2+deb7u1 Architecture: armhf Maintainer: Debian Fonts Task Force Installed-Size: 697 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libfontconfig1 (>= 2.9.0), libfontforge1, libfreetype6 (>= 2.2.1), libgif4 (>= 4.1.4), libglib2.0-0 (>= 2.12.0), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libpython2.7 (>= 2.7), libsm6, libspiro0, libtiff4 (>> 3.9.5-3~), libuninameslist0, libx11-6, libxft2 (>> 2.1.1), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Conflicts: fontforge (<= 0.0.20090224) Replaces: fontforge (<= 0.0.20090224) Homepage: http://fontforge.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/f/fontforge/libgdraw4_0.0.20120101+git-2+deb7u1_armhf.deb Size: 336132 SHA256: 41b3e8a9c972cfd9e0920921f79f60022ea1b3d73c42a3ded0e1c942a324c050 SHA1: fb70d006a128b6aa4c69bd35527057395bd5202e MD5sum: 6336a083dad562ad0c4d327dd3df7a12 Description: font editor - runtime graphics and widget library Besides being a font editor, FontForge is also a font format converter, and can convert among PostScript (ASCII & binary Type 1, some Type 3s, some Type 0s), TrueType, and OpenType (Type2), CID-keyed, SVG, CFF and multiple-master fonts. . This package contains the graphics and widget runtime library. Package: libgdu-dev Source: gnome-disk-utility Version: 3.0.2-3 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 154 Depends: libgdu0 (= 3.0.2-3), libglib2.0-dev (>= 2.16) Homepage: http://git.gnome.org/cgit/gnome-disk-utility/ Priority: optional Section: libdevel Filename: pool/main/g/gnome-disk-utility/libgdu-dev_3.0.2-3_armhf.deb Size: 16824 SHA256: b134741c3e0aa09ec92b85ca2625ff28b67c04f2a53295533c0439808207dda7 SHA1: 642cb1060f81549371683485f3388282529c8291 MD5sum: ec883607d29654d30cbdb24697d14a8f Description: GObject based Disk Utility Library - development files The gnome-disk-utility library provides an user-space interface to the udisks objects and properties (such as drives, volumes, SMART data), and operations (such as mounting, unmounting, creating and checking partitions). . This package contains files that are needed to build applications. Package: libgdu-gtk-dev Source: gnome-disk-utility Version: 3.0.2-3 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 144 Depends: libgdu-gtk0 (= 3.0.2-3), libgdu-dev, libgtk2.0-dev Homepage: http://git.gnome.org/cgit/gnome-disk-utility/ Priority: optional Section: libdevel Filename: pool/main/g/gnome-disk-utility/libgdu-gtk-dev_3.0.2-3_armhf.deb Size: 15248 SHA256: bf22733fb6050c144856beeb64c87f5f56200dc41fcf3d067655c5ff92643da8 SHA1: 9613755a60d05fb8ee959cabe8b33412d31910a1 MD5sum: 97c71338065a847bc8cad88aea0c7cb4 Description: GTK+ standard dialog library for libgdu - development files The gdu-gtk library provides standard GTK+ dialogs for handling media operations, like entering secrets for encrypted drives or confirmation dialogs for erasing a partition. . This package contains files that are needed to build applications. Package: libgdu-gtk0 Source: gnome-disk-utility Version: 3.0.2-3 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 283 Depends: libatasmart4 (>= 0.13), libatk1.0-0 (>= 1.12.4), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libavahi-ui-gtk3-0 (>= 0.6.30), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.22.0), libgnome-keyring0 (>= 2.22.2), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.18.0) Breaks: gnome-disk-utility (<< 3.0.0) Homepage: http://git.gnome.org/cgit/gnome-disk-utility/ Priority: optional Section: libs Filename: pool/main/g/gnome-disk-utility/libgdu-gtk0_3.0.2-3_armhf.deb Size: 80656 SHA256: b9b1258ca8838208cdd60f3d8dd79bc0f6a008018583529bd3555ac8a18e28f6 SHA1: b902607a27a482d5683b0bb56690554ea37d68c1 MD5sum: 538ab876dff11a869fb57985d8cbc91c Description: GTK+ standard dialog library for libgdu The gdu-gtk library provides GTK+ standard dialogs for handling media operations, like entering secrets for encrypted drives or confirmation dialogs for erasing a partition. . This package contains shared libraries to be used by applications. Package: libgdu0 Source: gnome-disk-utility Version: 3.0.2-3 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 240 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), libdbus-glib-1-2 (>= 0.78), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libgnome-keyring0 (>= 2.22.2), udisks (>= 1.0.0), udisks (<< 1.1.0) Homepage: http://git.gnome.org/cgit/gnome-disk-utility/ Priority: optional Section: libs Filename: pool/main/g/gnome-disk-utility/libgdu0_3.0.2-3_armhf.deb Size: 64442 SHA256: 2001991541c5e282d0dde5c4e85929d622a26da9aec4e5a8e754554c058e391a SHA1: 30cfe684df1394e310328b7fdc52d6a4357d66f3 MD5sum: 6fe92ae5bca9d3f129b5afb858324a41 Description: GObject based Disk Utility Library The gnome-disk-utility library provides an user-space interface to the udisks objects and properties (such as drives, volumes, SMART data), and operations (such as mounting, unmounting, creating and checking partitions). . This package contains shared libraries to be used by applications. Package: libgearman-client-perl Version: 1.11-1 Installed-Size: 148 Maintainer: Debian Perl Group Architecture: all Depends: perl, libstring-crc32-perl Breaks: gearman-server (<< 1.11) Size: 34048 SHA256: 831be9d37ec57be7340519fb8e1449a3f73ed62bec44a184f0a60aae767f04f3 SHA1: 6702056e130fb6df8cea8f37569d7fd1c3b02160 MD5sum: b5bd6c26c727c988d290585c851875e4 Description: client for the Gearman distributed job system Gearman is a system to farm out work to other machines, dispatching function calls to machines that are better suited to do work, to do work in parallel, to load balance lots of function calls, or to call functions between languages. . This package contains a client class for the Gearman distributed job system, providing a framework for sending jobs to one or more Gearman servers. For more information, see . Homepage: http://search.cpan.org/dist/Gearman/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgearman-client-perl/libgearman-client-perl_1.11-1_all.deb Package: libgearman-dbg Source: gearmand Version: 0.33-2 Architecture: armhf Maintainer: Stig Sandbeck Mathisen Installed-Size: 1294 Depends: libgearman6 (= 0.33-2) Homepage: http://launchpad.net/gearmand Priority: extra Section: debug Filename: pool/main/g/gearmand/libgearman-dbg_0.33-2_armhf.deb Size: 1123710 SHA256: e7f6ea25266067351cc809de2cca5faf3b17af221fa6971801671092350c7146 SHA1: 99996f025c626c591d1dcd08778784adf345d216 MD5sum: 8ab8e091c7eda99a27b990bd08322334 Description: Debug symbols for the Gearman Client Library Gearman is a system to farm out work to other machines, dispatching function calls to machines that are better suited to do work, to do work in parallel, to load balance lots of function calls, or to call functions between languages. . This package contains the debug symbols for the libgearman client library. Package: libgearman-dev Source: gearmand Version: 0.33-2 Architecture: armhf Maintainer: Stig Sandbeck Mathisen Installed-Size: 380 Depends: libgearman6 (= 0.33-2), libevent-dev Conflicts: libgearman-server-dev Replaces: libgearman-server-dev Provides: libgearman-server-dev Homepage: http://launchpad.net/gearmand Priority: extra Section: libdevel Filename: pool/main/g/gearmand/libgearman-dev_0.33-2_armhf.deb Size: 206878 SHA256: e88393fee167c544c529b9e2f4e68b7da5a38c49c650e0b5ed64d8bdb1e10919 SHA1: 0149c693b051489f10341895aae780b41485863d MD5sum: df2300b64092217b5748284a42cb38ac Description: Development files for the Gearman Library Gearman is a system to farm out work to other machines, dispatching function calls to machines that are better suited to do work, to do work in parallel, to load balance lots of function calls, or to call functions between languages. . This package contains the development headers for the libgearman library. Package: libgearman-doc Source: gearmand Version: 0.33-2 Installed-Size: 2348 Maintainer: Stig Sandbeck Mathisen Architecture: all Size: 2325430 SHA256: 3cc88661e3e91cb75acf70aeec9be50f3878337aaeb3c31c85a83de2e3006250 SHA1: 23de0b7dab5732f6860dfa44b6ef19b0aa45c1c7 MD5sum: c64ade6dc16e466aeb06d86b00de2131 Description: API Documentation for the Gearman Library Gearman is a system to farm out work to other machines, dispatching function calls to machines that are better suited to do work, to do work in parallel, to load balance lots of function calls, or to call functions between languages. . This package contains the API docs for the libgearman library. Homepage: http://launchpad.net/gearmand Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/g/gearmand/libgearman-doc_0.33-2_all.deb Package: libgearman6 Source: gearmand Version: 0.33-2 Architecture: armhf Maintainer: Stig Sandbeck Mathisen Installed-Size: 146 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libuuid1 (>= 2.16) Conflicts: libgearman-server0 Replaces: libgearman-server0 Provides: libgearman-server0 Multi-Arch: same Homepage: http://launchpad.net/gearmand Priority: optional Section: misc Filename: pool/main/g/gearmand/libgearman6_0.33-2_armhf.deb Size: 55654 SHA256: d77ea294d76ae6891d948f84fe225d5586798573c52b5c9ced6285412292a2d1 SHA1: 2e7c4b99636f10b05102b40b07264cc71abc032a MD5sum: d314dc7cb9b17271fa8cf71c7e1408ac Description: Library providing Gearman client and worker functions Gearman is a system to farm out work to other machines, dispatching function calls to machines that are better suited to do work, to do work in parallel, to load balance lots of function calls, or to call functions between languages. . This package contains the C reimplementation of the client library. Package: libgecode-dev Source: gecode Version: 3.7.3-1 Architecture: armhf Maintainer: Kari Pahula Installed-Size: 3615 Depends: libgecode32 (= 3.7.3-1), libgecodegist32 (= 3.7.3-1), libgecodeflatzinc32 (= 3.7.3-1), libqt4-dev, libboost-dev Suggests: libgecode-doc Homepage: http://www.gecode.org/ Priority: optional Section: libdevel Filename: pool/main/g/gecode/libgecode-dev_3.7.3-1_armhf.deb Size: 530368 SHA256: abf397f7b2788412694d89438897e7aeed04e5d6e63eb3d9145f29f9dc83e379 SHA1: af484ac5b27486f967db32ea08cf7d438cc88d4c MD5sum: 6ffcb9baf9d112d67bc19fedd1cfd0b4 Description: generic constraint development environment Gecode is an attempt to construct an open, free, portable, accessible, and efficient environment for developing constraint-based systems and applications. . Gecode is radically open for programming: it can be easily interfaced to other systems. It supports the programming of new propagators (as implementation of constraints), branching strategies, and search engines. New variable domains can be programmed at the same level of efficiency as finite domain and integer set variables that come predefined with Gecode. Package: libgecode-doc Source: gecode Version: 3.7.3-1 Installed-Size: 91070 Maintainer: Kari Pahula Architecture: all Suggests: libgecode-dev Size: 11748480 SHA256: 86225d4ff3a77ffe82b822c55f12d1d523ca5f5d8215dfce232a5d884556f1ae SHA1: ee9d25ef6d4c05b2d7aa2660fa643cdbfc0750e5 MD5sum: 82bd20bf869e179ee04748d028743fd3 Description: generic constraint development environment Gecode is an attempt to construct an open, free, portable, accessible, and efficient environment for developing constraint-based systems and applications. . Gecode is radically open for programming: it can be easily interfaced to other systems. It supports the programming of new propagators (as implementation of constraints), branching strategies, and search engines. New variable domains can be programmed at the same level of efficiency as finite domain and integer set variables that come predefined with Gecode. . This package has the API documentation and examples. Homepage: http://www.gecode.org/ Tag: devel::examples, role::documentation, use::searching Section: doc Priority: optional Filename: pool/main/g/gecode/libgecode-doc_3.7.3-1_all.deb Package: libgecode32 Source: gecode Version: 3.7.3-1 Architecture: armhf Maintainer: Kari Pahula Installed-Size: 10017 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: libgecode-dev Homepage: http://www.gecode.org/ Priority: optional Section: libs Filename: pool/main/g/gecode/libgecode32_3.7.3-1_armhf.deb Size: 2654054 SHA256: 796e2d8e3622212b7d89d626de3a815eb0045f0cdf6781f2a2a4b6792227900a SHA1: 73f3999f234bf4a57b1828009072b4378d01bb6f MD5sum: a88f208446c17af95a10c43d77273890 Description: generic constraint development environment Gecode is an attempt to construct an open, free, portable, accessible, and efficient environment for developing constraint-based systems and applications. . Gecode is radically open for programming: it can be easily interfaced to other systems. It supports the programming of new propagators (as implementation of constraints), branching strategies, and search engines. New variable domains can be programmed at the same level of efficiency as finite domain and integer set variables that come predefined with Gecode. Package: libgecodeflatzinc32 Source: gecode Version: 3.7.3-1 Architecture: armhf Maintainer: Kari Pahula Installed-Size: 370 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgecode32, libgecodegist32, libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Suggests: libgecode-dev Homepage: http://www.gecode.org/flatzinc.html Priority: optional Section: libs Filename: pool/main/g/gecode/libgecodeflatzinc32_3.7.3-1_armhf.deb Size: 172458 SHA256: a8d6c47f380f9db8b834586392dd65f62f02ed4101e1b9da405454ea0293244f SHA1: de9f4b431b8ffee986eb304195d97ed0e7049e1d MD5sum: 0b4ec83dfb1fb2c5296c360d3809ac60 Description: Gecode support for FlatZinc modelling language Gecode is an attempt to construct an open, free, portable, accessible, and efficient environment for developing constraint-based systems and applications. . FlatZinc is a low-level modelling language for constraint problems. It is designed to be easily interfaceable to constraint solvers (like Gecode). For more information on FlatZinc, please refer to the MiniZinc pages of the G12 project . Package: libgecodegist32 Source: gecode Version: 3.7.3-1 Architecture: armhf Maintainer: Kari Pahula Installed-Size: 331 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgecode32, libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Suggests: libgecode-dev Homepage: http://www.gecode.org/ Priority: optional Section: libs Filename: pool/main/g/gecode/libgecodegist32_3.7.3-1_armhf.deb Size: 154792 SHA256: 300155c6040f14b025aa920ae4433869ed203f565ce3042c41578cb5907872a1 SHA1: b9fffde3a73bc7f889251aa21f93b4d85ae7dcbe MD5sum: f7631b6f5adf09f4c48a3f030b6f19e8 Description: Gecode Interactive Search Tool library Gecode is an attempt to construct an open, free, portable, accessible, and efficient environment for developing constraint-based systems and applications. . This package contains libgecodegist, a graphical debugging tool, and libgecodedriver, a set of helper classes for writing standalone programs. They have been separated from the main Gecode library package to avoid pulling in Qt as a dependency. Package: libgeda-common Source: geda-gaf Version: 1:1.6.2-4.3 Installed-Size: 360 Maintainer: Debian Electronics Team Architecture: all Replaces: geda-symbols (<< 1:1.2.0) Conflicts: libgeda20, libgeda29, libgeda33 Breaks: geda-symbols (<< 1:1.2.0) Size: 157806 SHA256: f2cdf1c7f437538bf79e16497a826024e74513c67b39e3c7e395f2c43daf13eb SHA1: 3f31c3be9aa52dd0eeccaf32308f8846f06d17b3 MD5sum: a57b95c55be3a898b4969aa1ccc7c2f7 Description: GPL EDA -- Electronics design software (data files) The gEDA project has produced and continues working on a full GPL'd suite and toolkit of Electronic Design Automation tools. These tools are used for electrical circuit design, schematic capture, simulation, prototyping, and production. Currently, the gEDA project offers a mature suite of free software applications for electronics design, including schematic capture, attribute management, bill of materials (BOM) generation, netlisting into over 20 netlist formats, analog and digital simulation, and printed circuit board (PCB) layout. . This package contains the shared scripts and data-files required by the libgeda library. It also contains MIME-type registrations and icons for the file formats supported by the gEDA suite. Multi-Arch: foreign Homepage: http://geda.seul.org/ Tag: field::electronics, role::app-data Section: electronics Priority: optional Filename: pool/main/g/geda-gaf/libgeda-common_1.6.2-4.3_all.deb Package: libgeda-dev Source: geda-gaf Version: 1:1.6.2-4.3 Architecture: armhf Maintainer: Debian Electronics Team Installed-Size: 97 Depends: libgeda-common, libgeda38 (= 1:1.6.2-4.3), libgtk2.0-dev, guile-1.8-dev, libgd2-xpm-dev | libgd2-dev Homepage: http://geda.seul.org/ Priority: optional Section: libdevel Filename: pool/main/g/geda-gaf/libgeda-dev_1.6.2-4.3_armhf.deb Size: 16388 SHA256: 6e3654141fccc1a2f3c597e35d34d8f14f0637eeed229f2023b2ad557283b5b1 SHA1: e100281a6685703e3a949de5643e05b00a70c240 MD5sum: 0a679cc51ed141e077bd8b15782aa6f0 Description: GPL EDA -- Electronics design software (development files) The gEDA project has produced and continues working on a full GPL'd suite and toolkit of Electronic Design Automation tools. These tools are used for electrical circuit design, schematic capture, simulation, prototyping, and production. Currently, the gEDA project offers a mature suite of free software applications for electronics design, including schematic capture, attribute management, bill of materials (BOM) generation, netlisting into over 20 netlist formats, analog and digital simulation, and printed circuit board (PCB) layout. . This package contains the header files and static libraries which are needed for developing applications linked to the libgeda library. Package: libgeda38 Source: geda-gaf Version: 1:1.6.2-4.3 Architecture: armhf Maintainer: Debian Electronics Team Installed-Size: 381 Pre-Depends: multiarch-support Depends: libgeda-common (>= 1:1.6.2-4.3), guile-1.8-libs, libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgmp10, libltdl7 (>= 2.4.2), libstroke0 (>= 0.5.1), libgeda-common (<< 1:1.6.2-4.3.1~) Multi-Arch: same Homepage: http://geda.seul.org/ Priority: optional Section: electronics Filename: pool/main/g/geda-gaf/libgeda38_1.6.2-4.3_armhf.deb Size: 142610 SHA256: bc7d7a7ccd6ec5bcadb7f678dbaaec8fe1f2fb43a2ff1f7d11eb64da97c74af9 SHA1: 1263f96cf75a4aad99e66d98bd9586a114d90d37 MD5sum: 2287d2d3fc15ba2899e392bebad9332d Description: GPL EDA -- Electronics design software (library files) The gEDA project has produced and continues working on a full GPL'd suite and toolkit of Electronic Design Automation tools. These tools are used for electrical circuit design, schematic capture, simulation, prototyping, and production. Currently, the gEDA project offers a mature suite of free software applications for electronics design, including schematic capture, attribute management, bill of materials (BOM) generation, netlisting into over 20 netlist formats, analog and digital simulation, and printed circuit board (PCB) layout. . This package contains shared libraries for gEDA. Package: libgedcom-perl Version: 1.16-1 Installed-Size: 317 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdate-manip-perl, libroman-perl Suggests: libparse-recdescent-perl Size: 114762 SHA256: 79e2b5378f8283bd41de4e4ceecf1a5cd5e7bec761b6735bc9dbcf52d9df6308 SHA1: b79e9591f5d60b2c971d5b235de9845e22078529 MD5sum: 04a81694eb5b0e9aab3a7ab28449a1a7 Description: interface to genealogy GEDCOM files The Gedcom modules provide an interface to read, verify and manipulate Gedcom files. The Gedcom format is the standard format for storing genealogical data such a family trees, a person's birth, marriage, children and death and background research. Homepage: http://search.cpan.org/dist/Gedcom/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgedcom-perl/libgedcom-perl_1.16-1_all.deb Package: libgee-dev Source: libgee Version: 0.6.4-2 Architecture: armhf Maintainer: Maintainers of Vala packages Installed-Size: 326 Depends: libgee2 (= 0.6.4-2), gir1.2-gee-1.0 (= 0.6.4-2), libglib2.0-dev (>= 2.12.0) Homepage: http://live.gnome.org/Libgee Priority: optional Section: libdevel Filename: pool/main/libg/libgee/libgee-dev_0.6.4-2_armhf.deb Size: 32962 SHA256: 2a21f35135cd6d2e98afc12ff142668881012ea6aa40efca72ff8eaa564a547c SHA1: f28c2f97a89ef12aca3135a5ccecdc186f0e01a5 MD5sum: 581a6d194495fdf10bd88732e35affa1 Description: GObject based collection library (development files) libgee is a collection library providing GObject-based interfaces and classes for commonly used data structures. . libgee provides the following interfaces: * Iterable * Collection * List * Set * Iterator * Map . The ArrayList, HashSet, and HashMap classes provide a reasonable sample implementation of the List, Set, and Map interfaces. ReadOnlyCollection, ReadOnlyList, ReadOnlySet, and ReadOnlyMap are read-only wrapper classes that prevent modification of the underlying collection. . libgee is written in Vala and can be used like any GObject-based C library. It's planned to provide bindings for further languages. . This package contains the headers and development libraries. Package: libgee2 Source: libgee Version: 0.6.4-2 Architecture: armhf Maintainer: Maintainers of Vala packages Installed-Size: 308 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.30.0) Multi-Arch: same Homepage: http://live.gnome.org/Libgee Priority: optional Section: libs Filename: pool/main/libg/libgee/libgee2_0.6.4-2_armhf.deb Size: 104506 SHA256: 3fbb16b6f546de05e6fedbae2a1d9b9d8e0078a192802d2cd3933cca9de0d569 SHA1: a57da908b210bd70d3add6dab77d67bcb63f3169 MD5sum: b7f70cbfe246bed84eca61a0721b1399 Description: GObject based collection library libgee is a collection library providing GObject-based interfaces and classes for commonly used data structures. . libgee provides the following interfaces: * Iterable * Collection * List * Set * Iterator * Map . The ArrayList, HashSet, and HashMap classes provide a reasonable sample implementation of the List, Set, and Map interfaces. ReadOnlyCollection, ReadOnlyList, ReadOnlySet, and ReadOnlyMap are read-only wrapper classes that prevent modification of the underlying collection. . libgee is written in Vala and can be used like any GObject-based C library. It's planned to provide bindings for further languages. . This package contains the shared libraries. Package: libgee2-dbg Source: libgee Version: 0.6.4-2 Architecture: armhf Maintainer: Maintainers of Vala packages Installed-Size: 533 Depends: libgee2 (= 0.6.4-2) Homepage: http://live.gnome.org/Libgee Priority: extra Section: debug Filename: pool/main/libg/libgee/libgee2-dbg_0.6.4-2_armhf.deb Size: 399164 SHA256: 1315d684f46e28c18692f22378f14d11f09cdacf5471341cb82a1d5fb72c8796 SHA1: d49e2d3a1a319e82c271996c9c678b4b955c753b MD5sum: 6e3728f1bbabe02285fc96b4fd8bfe9e Description: GObject based collection library - library symbols libgee is a collection library providing GObject-based interfaces and classes for commonly used data structures. . This package contains the library symbols. Package: libgef-java Version: 0.12.3-2 Installed-Size: 712 Maintainer: Debian QA Group Architecture: all Depends: java-gcj-compat | java1-runtime | java2-runtime, liblog4j1.2-java, libcommons-logging-java Size: 632552 SHA256: 81bda47e237e32e74f66c907be27adee662474a463a0ea3f54b9fd87f0dbd431 SHA1: 8321933d3403d27bd218970fcf1a578db9f15aaa MD5sum: 8ba69d8c811cb63db09e3b7bf65273ae Description: Graph Editing Framework written entirely in Java This lib is needed by ArgoUML but can be used within different applications. . The goal of the GEF project is to build a graph editing library that can be used to construct many, high-quality graph editing appications. Some of GEF's features are: * A simple, concrete design that makes the framework easy to understand and extend. * Node-Port-Edge graph model that is powerful enough for the vast majority of connected graph applications. * Model-View-Controller design based on the Swing Java UI library makes GEF able to act as a UI to existing data structures, and also minimizing learning time for developers familiar with Swing. * High-quality user interactions for moving, resizeing, reshaping, etc. GEF also supports several novel interactions such as the broom alignment tool and secltion-action-buttons. * Generic properties sheet based on JavaBeans introspection. * XML-based file formats based on the PGML standard (soon to support SVG). Tag: devel::lang:java, devel::library, role::shared-lib Section: java Priority: optional Filename: pool/main/libg/libgef-java/libgef-java_0.12.3-2_all.deb Package: libgegl-0.2-0 Source: gegl Version: 0.2.0-2+nmu1 Architecture: armhf Maintainer: Matteo F. Vescovi Installed-Size: 2029 Pre-Depends: multiarch-support Depends: libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.4), libavformat53 (>= 6:0.8.3-1~), libbabl-0.1-0, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libilmbase6 (>= 1.0.1), libjasper1, libjpeg8 (>= 8c), libopenexr6 (>= 1.6.1), libopenraw1 (>= 0.0.9), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), librsvg2-2 (>= 2.14.4), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), libumfpack5.4.0 (>= 1:3.4.0), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://gegl.org/ Priority: optional Section: libs Filename: pool/main/g/gegl/libgegl-0.2-0_0.2.0-2+nmu1_armhf.deb Size: 673890 SHA256: 6d388f0413256c24f97fdd9d73b4b46862c341f3a7a92929bb1c4db791c5bbb0 SHA1: 2c75694c3f333bab781f156dd604cbbe02d7e096 MD5sum: 1304c5c4178f1acd9a319c2d906745e8 Description: Generic Graphics Library GEGL (Generic Graphics Library) is a graph based image processing framework. . GEGLs original design was made to scratch GIMPs itches for a new compositing and processing core. This core is being designed to have minimal dependencies and a simple well defined API. Package: libgegl-0.2-0-dbg Source: gegl Version: 0.2.0-2+nmu1 Architecture: armhf Maintainer: Matteo F. Vescovi Installed-Size: 4311 Depends: libgegl-0.2-0 (= 0.2.0-2+nmu1) Breaks: libgegl-0.0-dbg, libgegl-0.1-0-dbg Replaces: libgegl-0.0-dbg, libgegl-0.1-0-dbg Homepage: http://gegl.org/ Priority: extra Section: debug Filename: pool/main/g/gegl/libgegl-0.2-0-dbg_0.2.0-2+nmu1_armhf.deb Size: 1569468 SHA256: 9f0578d75613ed5afe83ae95c4280866780b7b38af7b19f9ea21dec119aed417 SHA1: ec259656d7b4e801d884e981f27966936c5da980 MD5sum: 2cfc11145e9c49fbab68db4ffd7c0788 Description: Generic Graphics Library (debugging symbols) GEGL (Generic Graphics Library) is a graph based image processing framework. . GEGLs original design was made to scratch GIMPs itches for a new compositing and processing core. This core is being designed to have minimal dependencies and a simple well defined API. . This package contains the debugging symbols. Package: libgegl-dev Source: gegl Version: 0.2.0-2+nmu1 Architecture: armhf Maintainer: Matteo F. Vescovi Installed-Size: 536 Depends: libbabl-dev (>= 0.1.10), libgegl-0.2-0 (= 0.2.0-2+nmu1), libglib2.0-dev (>= 2.16.1) Breaks: libgegl-0.0-dev Replaces: libgegl-0.0-dev Provides: libgegl-0.0-dev Multi-Arch: same Homepage: http://gegl.org/ Priority: optional Section: libdevel Filename: pool/main/g/gegl/libgegl-dev_0.2.0-2+nmu1_armhf.deb Size: 213924 SHA256: f29dd82be52a256d9d554e0508250f050d7dc2dd4c84b3478087e9d2351e7fc3 SHA1: 7425e2d0b1b024362662d237d8f04ea9d68caa0b MD5sum: eb193a2e6c62c23a033f6f71141fdb59 Description: Generic Graphics Library (development files) GEGL (Generic Graphics Library) is a graph based image processing framework. . GEGLs original design was made to scratch GIMPs itches for a new compositing and processing core. This core is being designed to have minimal dependencies and a simple well defined API. . This package contains the development files. Package: libgegl-doc Source: gegl Version: 0.2.0-2+nmu1 Installed-Size: 2858 Maintainer: Matteo F. Vescovi Architecture: all Replaces: libgegl-0.0-doc Breaks: libgegl-0.0-doc Size: 1520978 SHA256: 6906868c945c7b6782c47adf0bdb39bf992932a1be4637f038c35f123df83698 SHA1: 26114732cabeb35c02d4b6f91cd401eebdc51bcd MD5sum: 6c8f35de9c7aec837f7376fb52a77fed Description: Generic Graphics Library (documentation) GEGL (Generic Graphics Library) is a graph based image processing framework. . GEGLs original design was made to scratch GIMPs itches for a new compositing and processing core. This core is being designed to have minimal dependencies and a simple well defined API. . This package contains the documentation. Multi-Arch: foreign Homepage: http://gegl.org/ Section: doc Priority: optional Filename: pool/main/g/gegl/libgegl-doc_0.2.0-2+nmu1_all.deb Package: libgeier-dev Source: libgeier Version: 0.13-1 Architecture: armhf Maintainer: Marvin Stark Installed-Size: 144 Depends: libgeier0 (= 0.13-1), libxml2-dev, libxslt1-dev, libxmlsec1-dev Homepage: http://www.taxbird.de Priority: optional Section: libdevel Filename: pool/main/libg/libgeier/libgeier-dev_0.13-1_armhf.deb Size: 29434 SHA256: 005f880a141fa658ba2fe47ec83e68ecadac4ec6d8327ba4d3214fa7700d5a30 SHA1: 4a141f0e9f9c94fa9bf4654d0ae8c482b6576382 MD5sum: dc56411533322bf4ab7550d6332420a0 Description: Development files for the libgeier library GEIER is the first free library to send gathered tax declarations data to the German inland revenue office. This package contains the shared library. . GEIER is a part of the Taxbird project. . This package provides development files for libgeier. Package: libgeier0 Source: libgeier Version: 0.13-1 Architecture: armhf Maintainer: Marvin Stark Installed-Size: 812 Depends: libc6 (>= 2.13-28), libnspr4-0d (>= 1.8.0.10), libnss3-1d (>= 3.12.0~1.9b1), libxml2 (>= 2.7.4), libxmlsec1 (>= 1.2.14), libxmlsec1-nss (>= 1.2.14), libxslt1.1 (>= 1.1.25), zlib1g (>= 1:1.2.0) Homepage: http://www.taxbird.de Priority: optional Section: libs Filename: pool/main/libg/libgeier/libgeier0_0.13-1_armhf.deb Size: 93278 SHA256: f1cc3a6c525fbfd2c197409ae5105424363905db514ad61492de57f88fe70e8a SHA1: 76b7d58244ed1828d4e90e64606fc9a6cc813650 MD5sum: 7608a94ade448dd4df068453a6505b9f Description: Elster client library (German tax declarations) GEIER is the first free library to send gathered tax declarations data to the German inland revenue office. This package contains the shared library. . GEIER is part of the Taxbird project. Package: libgemanx-core0 Source: gemanx-gtk2 Version: 0.1.0.3-2 Architecture: armhf Maintainer: Debian Chinese Team Installed-Size: 226 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libmagic1, libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0), libxft2 (>> 2.1.1), zlib1g (>= 1:1.1.4) Homepage: http://code.google.com/p/gemanx Priority: optional Section: libs Filename: pool/main/g/gemanx-gtk2/libgemanx-core0_0.1.0.3-2_armhf.deb Size: 116132 SHA256: 7f21d77146a6e14bd2d7fe4555ce5760a745b37f3c340053497521e9f1eb8cd2 SHA1: d18a5d99a44572ba7a27e288b24cb9fd5931e3ae MD5sum: 540967b4697f5935866da07cd286cadd Description: core library of gemanx PCMan X is a newly developed GPL'd version of PCMan, a full-featured famous BBS client. It aimed to be an easy-to-use yet full-featured telnet client facilitating BBS browsing with the ability to process double-byte characters. Some handy functions like tabbed-browsing, auto-login and a built-in ANSI editor enabling colored text editing are also provided. . gemanx-gtk2 is a fork of PCMan X, including many patches to make it works better with BBS in mainland China. . This package contains the core function of gemanx in dynamic linked library format. Package: libgempc410 Source: ifd-gempc Version: 1.0.7-1 Architecture: armhf Maintainer: Ludovic Rousseau Installed-Size: 106 Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, pcscd Provides: pcsc-ifd-handler Homepage: http://ludovic.rousseau.free.fr/softwares/ifd-GemPC/ Priority: extra Section: libs Filename: pool/main/i/ifd-gempc/libgempc410_1.0.7-1_armhf.deb Size: 45242 SHA256: ff73ad83c1f5036123e34229fe72610597ba07f5a95cdd10a2f6a6f799b17999 SHA1: 72475ce43fc77b0656ec692da5965db4e9943605 MD5sum: 92f27776e90f8b23951385bf998d34bd Description: PC/SC driver for the GemPC 410, 412, 413 and 415 smart card readers This library provides a PC/SC IFD handler implementation for the Gemplus GemPC410, GCR410P, GemPC412, GemPC413 and GemPC415 serial smart card readers. . This package is needed to communicate with the GemPC41x smartcard readers through the PC/SC Lite resource manager (pcscd). Package: libgempc430 Source: ifd-gempc Version: 1.0.7-1 Architecture: armhf Maintainer: Ludovic Rousseau Installed-Size: 99 Depends: libc6 (>= 2.13-28), libusb-0.1-4 (>= 2:0.1.12), pcscd Provides: pcsc-ifd-handler Homepage: http://ludovic.rousseau.free.fr/softwares/ifd-GemPC/ Priority: extra Section: libs Filename: pool/main/i/ifd-gempc/libgempc430_1.0.7-1_armhf.deb Size: 39570 SHA256: 3675e036bb75bc7a16d97eb6eda4ac18cd004ecd7f3e46c783d2a81a9870be16 SHA1: 85db7a49b2d5b46644334e9728a26f659505dc5f MD5sum: 097906cd101861b1016ef61888dfac44 Description: PC/SC driver for the GemPC 430, 432, 435 smart card readers This library provides a PC/SC IFD handler implementation for the Gemplus GemPC430, GemPC432 and GemPC435 USB smart card readers. . This package is needed to communicate with the GemPC43x smartcard readers through the PC/SC Lite resource manager (pcscd). Package: libgenders-perl Source: genders Version: 1.18-1 Architecture: armhf Maintainer: Brian Pellin Installed-Size: 191 Depends: libc6 (>= 2.13-28), libgenders0, perl (>= 5.14.2-9), perlapi-5.14.2 Homepage: https://computing.llnl.gov/linux/genders.html Priority: optional Section: perl Filename: pool/main/g/genders/libgenders-perl_1.18-1_armhf.deb Size: 52954 SHA256: e114a270399e79256ba5d2bbae309088ff87c3640e091def16fe29f183663cd1 SHA1: c872e833df623e00210ef8afcd38dbba7d70f5e8 MD5sum: 66992a9a6fa943944fd3321312d69772 Description: perl interface to the LLNL genders cluster management utilities A Perl API for querying the genders file, for LLNL genders cluster configuration management. It is used by system administration scripts that need to manipulate lists of nodes or attributes and for performance or other reasons cannot do so via repeated calls to the Nodeattr utility. Package: libgenders0 Source: genders Version: 1.18-1 Architecture: armhf Maintainer: Brian Pellin Installed-Size: 101 Depends: libc6 (>= 2.13-28) Homepage: https://computing.llnl.gov/linux/genders.html Priority: optional Section: libs Filename: pool/main/g/genders/libgenders0_1.18-1_armhf.deb Size: 45528 SHA256: 3feabc738c8ea3daef48d52cd4e89249f6abe6f589eea7c2504db169c1f03b6e SHA1: 8af9317af9bb0132bca6d9268cadb2641af1dda1 MD5sum: f3bbd42c4925a1cdcf981e2640d2277f Description: C library for parsing and querying a genders database Genders is a static cluster configuration database used for cluster configuration management. It is used by a variety of tools and scripts for management of large clusters. The genders database is typically replicated on every node of the cluster. It describes the layout and configuration of the cluster so that tools and scripts can sense the variations of cluster nodes. By abstracting this information into a plain text file, it becomes possible to change the configuration of a cluster by modifying only one file. Package: libgenders0-dev Source: genders Version: 1.18-1 Architecture: armhf Maintainer: Brian Pellin Installed-Size: 177 Depends: libgenders0 (= 1.18-1) Conflicts: libgenders-dev Provides: libgenders-dev Homepage: https://computing.llnl.gov/linux/genders.html Priority: optional Section: libdevel Filename: pool/main/g/genders/libgenders0-dev_1.18-1_armhf.deb Size: 81706 SHA256: 1f3b0cea0b1071f103060dd8d5ccab67dc139578239798253d2e3a2cebbb0fc0 SHA1: 88e055b19816a3c108be83e6d77e034aa623f961 MD5sum: 8a8ebfbec01b16dd04cdccf8412d1e1f Description: development files for parsing and querying a genders database Genders is a static cluster configuration database used for cluster configuration management. It is used by a variety of tools and scripts for management of large clusters. The genders database is typically replicated on every node of the cluster. It describes the layout and configuration of the cluster so that tools and scripts can sense the variations of cluster nodes. By abstracting this information into a plain text file, it becomes possible to change the configuration of a cluster by modifying only one file. Package: libgenome-1.3-0 Source: libgenome Version: 1.3.1-3 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 355 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://asap.ahabs.wisc.edu/software/software-development-libraries/libgenome.html Priority: optional Section: libs Filename: pool/main/libg/libgenome/libgenome-1.3-0_1.3.1-3_armhf.deb Size: 134462 SHA256: e892a073f29f00b52a4318939ef259e3ec1be97f39ccbafdcef0b4b6e162caae SHA1: 07307e2a1325da94defefbe9307be0b5bf83e828 MD5sum: 96cb61a141fa248c71ea66bd187cbc61 Description: toolkit for developing bioinformatic related software libGenome is a freely available toolkit for developing bioinformatic related software in C++. It is intended to take the hassle out of performing common tasks on genetic sequence and annotation data. . Among other things, libGenome can help you: . * Read and write Multi-FastA format files * Read and write GenBank flat file database entries * Append, chop, truncate, reverse, complement, translate, and otherwise mangle sequence data * Access annotation in GenBank flat files . This package contains the dynamic library. Package: libgenome-1.3-0-dev Source: libgenome Version: 1.3.1-3 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 1046 Depends: libgenome-1.3-0 (= 1.3.1-3) Conflicts: libgenome-1.3-dev Provides: libgenome-1.3-dev Homepage: http://asap.ahabs.wisc.edu/software/software-development-libraries/libgenome.html Priority: optional Section: libdevel Filename: pool/main/libg/libgenome/libgenome-1.3-0-dev_1.3.1-3_armhf.deb Size: 264870 SHA256: 7e557855cb456bb4117ac819788e3f8b20e6d7c8a6b3c217aea61b9602aa939a SHA1: 0eb82a5a6839cfd75f675cd6c80d20a1fb58a41d MD5sum: 7a0f41ab62b7dcc60b4a75c02052bb5e Description: toolkit for developing bioinformatic related software libGenome is a freely available toolkit for developing bioinformatic related software in C++. It is intended to take the hassle out of performing common tasks on genetic sequence and annotation data. . Among other things, libGenome can help you: . * Read and write Multi-FastA format files * Read and write GenBank flat file database entries * Append, chop, truncate, reverse, complement, translate, and otherwise mangle sequence data * Access annotation in GenBank flat files . This is the development package containing the statically linked library and the header files. Package: libgenrunner-dev Source: generatorrunner Version: 0.6.16-1 Architecture: armhf Maintainer: Didier Raboud Installed-Size: 88 Depends: libgenrunner0.6 (= 0.6.16-1), libapiextractor-dev (>= 0.10.10) Homepage: http://www.pyside.org/home-binding/binding-generator/ Priority: extra Section: libdevel Filename: pool/main/g/generatorrunner/libgenrunner-dev_0.6.16-1_armhf.deb Size: 26602 SHA256: 1c676245b8f00fd44e203987c3d177e2edb9e3140238446a8b6d2462b1e2c537 SHA1: a1ac9e0d31007c33fdde1fc4827ee4d73c82b7b9 MD5sum: a49cf978416d1c77498c6b6392a69992 Description: generatorrunner dedicated library headers Generatorrunner is a plugin-based application to run apiextractor-based generators. . This package contains its dedicated library headers Package: libgenrunner0.6 Source: generatorrunner Version: 0.6.16-1 Architecture: armhf Maintainer: Didier Raboud Installed-Size: 115 Pre-Depends: multiarch-support Depends: libapiextractor0.10, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0), libstdc++6 (>= 4.4.0) Recommends: generatorrunner (= 0.6.16-1) Multi-Arch: same Homepage: http://www.pyside.org/home-binding/binding-generator/ Priority: optional Section: libs Filename: pool/main/g/generatorrunner/libgenrunner0.6_0.6.16-1_armhf.deb Size: 46472 SHA256: dec55f76cb0d91a1459d71ed0d84a2ef99763cf9f06e0a2701a4d9afa0288dfa SHA1: 0179ab47d82ebcf579054bfc7dd76ebdd94b0703 MD5sum: e2b04eb2274bd28c7031e17d886b4f22 Description: generatorrunner dedicated library Generatorrunner is a plugin-based application to run apiextractor-based generators. . This package contains its dedicated library. Package: libgensec-dev Source: samba4 Version: 4.0.0~beta2+dfsg1-3.2 Architecture: armhf Maintainer: Samba Debian Maintainers Installed-Size: 75 Depends: libgensec0 (= 4.0.0~beta2+dfsg1-3.2), pkg-config Replaces: samba4-dev (<< 4.0.0~alpha17~) Homepage: http://www.samba.org/ Priority: optional Section: libdevel Filename: pool/main/s/samba4/libgensec-dev_4.0.0~beta2+dfsg1-3.2_armhf.deb Size: 17600 SHA256: c38858ab1d142e2597a22f1bb9ec31bc46a22ee7e1b231ff17d4fffc38024b02 SHA1: 49881f843d3bc53e48a97542d61cccfb425d7204 MD5sum: c312317366de6f115ef131a3713a58af Description: Generic Security Library Samba is an implementation of the SMB/CIFS protocol for Unix systems, providing support for cross-platform file sharing with Microsoft Windows, OS X, and other Unix systems. Samba can also function as a domain controller or member server in both NT4-style and Active Directory domains. . These packages contain snapshot versions of Samba 4, the next-generation version of Samba. . This library provides a generalized API for several security protocols. . This package contains the files required for development. Package: libgensec0 Source: samba4 Version: 4.0.0~beta2+dfsg1-3.2 Architecture: armhf Maintainer: Samba Debian Maintainers Installed-Size: 666 Pre-Depends: multiarch-support Depends: samba-common (>= 2:3.4.0~pre2-1), libasn1-8-heimdal (>= 1.4.0+git20110226), libbsd0 (>= 0.0), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgssapi3-heimdal (>= 1.4.0+git20110226), libhdb9-heimdal (>= 1.4.0+git20110226), libkrb5-26-heimdal (>= 1.4.0+git20110226), libldb1 (>= 0.9.21), libndr-standard0, libndr0, libsamba-credentials0, libsamba-hostconfig0, libsamba-util0, libsamdb0, libtalloc2 (>= 2.0.4~git20101213), libtdb1 (>= 1.2.7+git20101214), libtevent0 (>= 0.9.13) Replaces: winbind4 (<< 4.0.0~alpha17~git20110724.dfsg1-1) Multi-Arch: same Homepage: http://www.samba.org/ Priority: optional Section: net Filename: pool/main/s/samba4/libgensec0_4.0.0~beta2+dfsg1-3.2_armhf.deb Size: 261946 SHA256: 64ea1c0afb1736c9ab1f826a3bf948a2091445e2f52f58ffb19a38d55bae5bb2 SHA1: 4a6cdc17fbb1c82e9e76cd4ba0756c79cd9ce72c MD5sum: c67a94febe2b1a885833050976bd716f Description: Generic Security Library Samba is an implementation of the SMB/CIFS protocol for Unix systems, providing support for cross-platform file sharing with Microsoft Windows, OS X, and other Unix systems. Samba can also function as a domain controller or member server in both NT4-style and Active Directory domains. . These packages contain snapshot versions of Samba 4, the next-generation version of Samba. . This library provides a generalized API for several security protocols. Package: libgentlyweb-utils-java Source: gentlyweb-utils Version: 1.5-1 Installed-Size: 136 Maintainer: Debian Java Maintainers Architecture: all Suggests: libgentlyweb-utils-java-doc Size: 58472 SHA256: e44f1d7e4cbf3946bfb908bd428cd657496771ec7ff634040e3cc8dd90f5b89d SHA1: a7bf19dad3a66a0b77f84c370ec8c02fca070d2b MD5sum: 15b555fa8315d03ce5522a7de6a7f8df Description: java utility library used by josql Simple java utility library used mainly by JoSQL (libjosql-java) for I/O. Homepage: http://josql.sourceforge.net/ Section: java Priority: optional Filename: pool/main/g/gentlyweb-utils/libgentlyweb-utils-java_1.5-1_all.deb Package: libgentlyweb-utils-java-doc Source: gentlyweb-utils Version: 1.5-1 Installed-Size: 1164 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc Suggests: libgentlyweb-utils-java Size: 80770 SHA256: ac72c9c74d19b1e6de70a19c4b2e2ba1af5a1d2bbaea1ce13f551bbd01ce7bb3 SHA1: 315f4f53aa0f900479e0426617cd067ec0f170ec MD5sum: e0d8a6ac75813273574b9c7851b84aa2 Description: Documentation - java utility library used by josql Simple java utility library used mainly by JoSQL (libjosql-java) for I/O. . This package contains the API documentation of libgentlyweb-utils-java. Homepage: http://josql.sourceforge.net/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/g/gentlyweb-utils/libgentlyweb-utils-java-doc_1.5-1_all.deb Package: libgeo-coder-googlev3-perl Version: 0.11-1 Installed-Size: 58 Maintainer: Debian Perl Group Architecture: all Depends: perl, libjson-xs-perl, liburi-perl, libwww-perl Size: 9642 SHA256: 0d447628aa01a1e6fe07409c659eff040fad6f1d338e8e7a009973bc0850aeb7 SHA1: 35f006a91520c6b46589c0ecc055f52c07628d01 MD5sum: fc01a7d3392eece9fc9c09931e40d5e5 Description: Perl module providing access to Google Maps v3 Geocoding API Geo::Coder::GoogleV3 is a Perl module that provides access to Google's Google Map API v3. Note that v3 does not require an apikey and the data structure returned is different than previous versions of the API. . Check http://code.google.com/intl/en/apis/maps/documentation/geocoding/ for more information about Google's Geocoding API and especially usage limits. Homepage: http://search.cpan.org/dist/Geo-Coder-Googlev3/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgeo-coder-googlev3-perl/libgeo-coder-googlev3-perl_0.11-1_all.deb Package: libgeo-coordinates-itm-perl Version: 0.02-1 Installed-Size: 56 Maintainer: Debian Perl Group Architecture: all Depends: perl, libtest-number-delta-perl Size: 6872 SHA256: 8249a3ea4cd802bb7187ac4ec404b675b4593c0928673bb3c4fd3087662f50ff SHA1: 4c6ab01eb13f4bee970907d7b8d823ed435f77e6 MD5sum: e210457193f25d52e0870da50aa210f3 Description: conversion module between lat/lon and Irish Transverse Mercator Geo::Coordinates::ITM converts back and forth between Irish Transverse Mercator grid and WGS84. . Nothing is exported by default. The exportable functions are ll_to_grid and grid_to_ll. Homepage: http://search.cpan.org/dist/Geo-Coordinates-ITM/ Section: perl Priority: optional Filename: pool/main/libg/libgeo-coordinates-itm-perl/libgeo-coordinates-itm-perl_0.02-1_all.deb Package: libgeo-coordinates-utm-perl Version: 0.09-1 Installed-Size: 96 Maintainer: Dominic Hargreaves Architecture: all Depends: perl, perl-modules Size: 21558 SHA256: e4c48485c36afcf5ea0b686bb482cd684b13e0db0945a3d8e081891f7a2fc8a4 SHA1: 5bf3b7023be29b4bafbfaf2941538160a4657c63 MD5sum: 8d7c87fb892801588a5b948a7078dedb Description: Perl extension for Latitiude Longitude conversions This module will translate latitude longitude coordinates to Universal Transverse Mercator(UTM) coordinates and vice versa. Homepage: http://search.cpan.org/dist/Geo-Coordinates-UTM/ Tag: devel::lang:perl, devel::library, field::geography, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgeo-coordinates-utm-perl/libgeo-coordinates-utm-perl_0.09-1_all.deb Package: libgeo-distance-perl Version: 0.19-1 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl, libmath-complex-perl Recommends: libgeo-distance-xs-perl Size: 21274 SHA256: b6d394f9ad7c4e3185551e83a2402cd5c85c6b2b7965c3c5e66f1f4e4402df2d SHA1: e80da4c2d176af4104874214ec9726f02f359460 MD5sum: ae93715492eb3844aba4d18257b99321 Description: calculate distances and closest locations Geo::Distance is a Perl library aiming to provide as many tools to make it as simple as possible to calculate distances between geographic points, and anything that can be derived from that. Currently there is support for finding the closest locations within a specified distance, to find the closest number of points to a specified point, and to do basic point-to-point distance calculations. . NB! The alternative GIS::Distance module is being worked on as a replacement for this module. In the near future Geo::Distance will become a lightweight wrapper around GIS::Distance so that legacy code benefits from fixes to GIS::Distance through the old Geo::Distance API. For any new development it is recommended to try use GIS::Distance. Homepage: http://search.cpan.org/dist/Geo-Distance/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgeo-distance-perl/libgeo-distance-perl_0.19-1_all.deb Package: libgeo-distance-xs-perl Version: 0.11-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 102 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libgeo-distance-perl Homepage: http://search.cpan.org/dist/Geo-Distance-XS/ Priority: optional Section: perl Filename: pool/main/libg/libgeo-distance-xs-perl/libgeo-distance-xs-perl_0.11-1_armhf.deb Size: 20622 SHA256: 02170eebed7c12faf74fe13e8bd28b413c5fcc9382e1d3a4943f8df55b32021a SHA1: 68301d3b593b4da302eca568440afd4cae7920ae MD5sum: cbffd3307d91906dcaf9c75367eee07a Description: calculate distances and closest locations (XS version) Geo::Distance is a Perl library aiming to provide as many tools to make it as simple as possible to calculate distances between geographic points, and anything that can be derived from that. Currently there is support for finding the closest locations within a specified distance, to find the closest number of points to a specified point, and to do basic point-to-point distance calculations. . NB! The alternative GIS::Distance module is being worked on as a replacement for this module. In the near future Geo::Distance will become a lightweight wrapper around GIS::Distance so that legacy code benefits from fixes to GIS::Distance through the old Geo::Distance API. For any new development it is recommended to try use GIS::Distance. . This package provides the faster XS implementation. Package: libgeo-google-mapobject-perl Version: 0.06-1 Installed-Size: 112 Maintainer: Debian Perl Group Architecture: all Depends: perl, libhtml-parser-perl Recommends: libhtml-template-pluggable-perl Size: 27030 SHA256: d8e89dff8167bc4e4e2177b9f7ff6f9951d244952f30572da7417eaa910ab8a6 SHA1: 8c66d3da20a13b8dbf88486f7e59fd372105213d MD5sum: c1cc2afdb1b79774783bec2873187db8 Description: module managing the server side of the Google Maps API Geo::Google::MapObject is intended to provide a server side solution to working with the Google Maps API. In particular an object of this class encapsulates a "map" object that provides support for the static maps API, the javascript maps API, AJAX calls and non-javascript fallback data; but without making many assumptions about the surrounding framework. It is assumed that a template framework with support for a "dot" notation is being used, for example HTML::Template::Pluggable. An important commitment of the module is support for graceful and consistent fallback to a functional non-javascript web page. . The javascript and static Google map APIs do not behave in quite the same way when zoom and center are not specified. Specifically it works quite well with the static maps (http://code.google.com/apis/maps/documentation/staticmaps/#ImplicitPositioning) but not so well with the javascript API. To compensate for this the module gives a choice between: specifying the center and zoom levels; allowing the APIs and client side code to do whatever they think best; using a built in algorithm to calculate a sensible zoom and center; and finally supplying ones own algorithm to calculate a sensible zoom and center. Homepage: http://search.cpan.org/dist/Geo-Google-MapObject/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgeo-google-mapobject-perl/libgeo-google-mapobject-perl_0.06-1_all.deb Package: libgeo-googleearth-pluggable-perl Version: 0.14-1 Installed-Size: 173 Maintainer: Debian Perl Group Architecture: all Depends: perl, libarchive-zip-perl, libio-stringy-perl, libmethod-autoload-perl, libpackage-new-perl, libxml-libxml-lazybuilder-perl Size: 66604 SHA256: 3d931ec264747aedaee60fcf2d6a21e5ca1fc860daa498425ae917f5df590fa6 SHA1: 35e0239250fc3d5ce4b059cd2c36a7b2a388a7d7 MD5sum: e81df23ab926e829984f4a042316a1e6 Description: module to generate GoogleEarth Documents Geo::GoogleEarth::Pluggable is a Perl object oriented interface that allows for the creation of XML documents that can be used with Google Earth. . Supported output formats include KML and KMZ, optionally including HTTP headers appropriate for a web application. However, if you need to read KML files, please see the Geo::KML package's from method. Homepage: http://search.cpan.org/dist/Geo-GoogleEarth-Pluggable/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgeo-googleearth-pluggable-perl/libgeo-googleearth-pluggable-perl_0.14-1_all.deb Package: libgeo-helmerttransform-perl Version: 1.14-1 Installed-Size: 68 Maintainer: Dominic Hargreaves Architecture: all Depends: perl Size: 9368 SHA256: c09d610d1a64c3ef77017f6dc70f404d01e12e4676c408048bdbf7cbf8bab83c SHA1: 43ebf06ffe381832309fcb8abb1427bbeb71ef02 MD5sum: c00a4c05860d62f292b0e45eae9b4137 Description: Transformations between coordinates in different datums It is usual to describe geographical points in terms of their polar coordinates (latitude, longitude and altitude) referenced to a "datum ellipsoid", which is used to approximate the Earth's geoid. The latitude, longitude and altitude of a given physical point vary depending on which datum ellipsoid is in use. Unfortunately, a number of ellipsoids are in everyday use, and so it is often necessary to transform geographical coordinates between different datum ellipsoids. . Two different datum ellipsoids may differ in the locations of their centers, or in their shape; and there may be an angle between their equatorial planes or the meridians relative to which longitude is measured. The Helmert Transform, which this module implements, is a linear transformation of coordinates between pairs of datum ellipsoids in the limit of small angles of deviation between them. Homepage: http://search.cpan.org/dist/Geo-HelmertTransform/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgeo-helmerttransform-perl/libgeo-helmerttransform-perl_1.14-1_all.deb Package: libgeo-ip-perl Version: 1.40-2+rpi1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 332 Depends: perl (>= 5.14.2-12+rpi1), perlapi-5.14.2, libc6 (>= 2.13-28), libgeoip1 (>= 1.4.8+dfsg) Homepage: http://search.cpan.org/dist/Geo-IP/ Priority: optional Section: perl Filename: pool/main/libg/libgeo-ip-perl/libgeo-ip-perl_1.40-2+rpi1_armhf.deb Size: 88446 SHA256: 138a4d6fd59b65429fa14fe5b36dd1f5a95560f8968f6166122b3976fa4918e9 SHA1: d228af47b37d058bc834fc134c5bd709a0f5b30b MD5sum: ec80a7f3995e45fbf99cdddba0a586ed Description: Perl interface to GeoIP library Geo::IP is a Perl external module which provides an interface to GeoIP library. . GeoIP is a C library that enables the user to find the country that any IP address or hostname originates from. It uses a file based database that simply contains IP blocks as keys, and countries as values. This database is managed by the GeoIP library package. Package: libgeo-ipfree-perl Version: 1.121660-1 Installed-Size: 892 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 502692 SHA256: 2dac9c38e9eb3595e637192f033cb92356c8523d86e2de25fc36d00e0f64f190 SHA1: 870dcf37bd83b1d7edeba297a6b037bdfd168b42 MD5sum: 810c2c8f6b75185a4fd5427abc7b1745 Description: module to look up the country of an IPv4 address Geo::IPfree is a Perl module that determines the originating country of an arbitrary IPv4 address. It uses a local file-based database to provide basic geolocation services. Homepage: http://search.cpan.org/dist/Geo-IPfree/ Tag: devel::lang:perl, devel::library, field::geography, implemented-in::perl, protocol::dns, protocol::ip, role::devel-lib, use::converting Section: perl Priority: optional Filename: pool/main/libg/libgeo-ipfree-perl/libgeo-ipfree-perl_1.121660-1_all.deb Package: libgeo-metar-perl Version: 1.15-1 Installed-Size: 128 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 26352 SHA256: 3cde5ca4d53cbf4f79dcc8d08be0cf3c46903819714d348365013ab99576f32c SHA1: 33c9c278e868158e974b04e6bba4f1b88048d68f MD5sum: 8e45c76039c27c74b353c71d12fa2d3e Description: accessing Aviation Weather Information with Perl Geo::METAR is a Perl module to access and parse aviation weather information with Perl. . Note: This version differs slightly from the version in CPAN. See the file README.Debian for specific changes Homepage: http://search.cpan.org/dist/Geo-METAR/ Tag: devel::lang:perl, devel::library, field::aviation, field::geography, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgeo-metar-perl/libgeo-metar-perl_1.15-1_all.deb Package: libgeo-osm-tiles-perl Version: 0.04-2 Installed-Size: 77 Maintainer: Debian Perl Group Architecture: all Depends: perl, libwww-perl, libyaml-perl Size: 16562 SHA256: 36c0efc8b4addb5e22d723681b48d4c25aa93c92ceb1d6c57c72393f3d371d53 SHA1: f95d4d8811f4ea4c2d19cc206d62c245fef2f668 MD5sum: 54db44b87c078684593de9e481222de9 Description: module for calculating tile numbers for OpenStreetMap Geo::OSM::Tiles provides functions for calculating the path to a map tile at OpenStreetMap out of geographic coordinates. The path of a tile at OSM has the form $zoom/$tilex/$tiley.png. The numbering scheme is documented in the OSM wiki at http://wiki.openstreetmap.org/wiki/Slippy_map_tilenames . . The package also contains the downloadosmtiles script that allows one to conveniently download OSM map tiles from a given OSM permalink URL. Homepage: http://search.cpan.org/dist/Geo-OSM-Tiles/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgeo-osm-tiles-perl/libgeo-osm-tiles-perl_0.04-2_all.deb Package: libgeo-point-perl Version: 0.93-1 Installed-Size: 142 Maintainer: Debian Perl Group Architecture: all Depends: perl, libgeo-distance-perl, libgeo-proj4-perl, libmath-polygon-perl, libmath-complex-perl Size: 52844 SHA256: 8a3043b95bc615120daf641bbb6ddb124995d7e74849230a90b7bdad79983d87 SHA1: 292df9b2aeed4b27894d1fc91df7beadebeca97d MD5sum: 06de79facaaccc49ef017ec3f3887cd0 Description: module to simplify handling geographic points One location on the globe, in any coordinate system. Geo::Point tries to hide the maths and the coordinate system in which the point is represented. . One of the most confusing things when handling geometrical data, is that sometimes latlong, sometimes xy are used: horizontal and vertical organization reversed. This package tries to hide this from your program by providing abstract accessors latlong(), longlat(), xy(), and yx(). . This package provides the following modules: * Geo::Line - a sequence of connected points * Geo::Point - a point on the globe * Geo::Proj - simplified wrapper for Geo::Proj4 * Geo::Shape - base class for 2-dimensional points on the earth surface * Geo::Space - A collection of various items * Geo::Surface - A surface description Homepage: http://search.cpan.org/dist/Geo-point/ Section: perl Priority: optional Filename: pool/main/libg/libgeo-point-perl/libgeo-point-perl_0.93-1_all.deb Package: libgeo-postcode-perl Version: 0.17+dfsg1-1 Installed-Size: 112 Maintainer: Dominic Hargreaves Architecture: all Depends: perl, libdbi-perl Size: 24804 SHA256: 553bab7a5daea809b721af1f851e653e54f7d7e7e494f348cb9af5d4ecc61960 SHA1: fcb20c0a9691f643bd626df1b12ca1572c26cd3a MD5sum: b921708a2e656221baf2ff0dc86c5abd Description: UK Postcode validation and location Geo::Postcode will accept full or partial UK postcodes, validate them against the official spec, separate them into their significant parts, translate them into map references or co-ordinates and calculate distances between them. . The last two features require a postcode database to be supplied separately. . It does not check whether the supplied postcode exists: only whether it is well-formed according to British Standard 7666, which you can find here: . http://www.govtalk.gov.uk/gdsc/html/frames/PostCode.htm . Geo::Postcode will also work with partial codes, ie areas, districts and sectors. They won't validate, but you can test them for legitimacy with a call to valid_fragment, and you can still turn them into grid references. . To work with US zipcodes, you need Geo::Postalcode instead. Homepage: http://search.cpan.org/dist/Geo-Postcode/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgeo-postcode-perl/libgeo-postcode-perl_0.17+dfsg1-1_all.deb Package: libgeo-proj4-perl Version: 1.03-1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 125 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libproj0 Homepage: http://search.cpan.org/dist/Geo-Proj4/ Priority: optional Section: perl Filename: pool/main/libg/libgeo-proj4-perl/libgeo-proj4-perl_1.03-1_armhf.deb Size: 27164 SHA256: 3d8cefb7ed4ba24a33fb4af9f6ea64fd44c17415da81a47e89deaa4c7b06aa3e SHA1: 8572d9eac8b44b5b1722068550caeeb4f6c21a8e MD5sum: 6bc34b576a679fd8c6a854ccd03a48dc Description: PROJ.4 library for cartographic projections Geo::Proj4 is a wrapper library around PROJ.4, a cartographic projection library. Package: libgeoclue-dev Source: geoclue Version: 0.12.0-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 670 Depends: libgeoclue0 (= 0.12.0-4), pkg-config, libdbus-glib-1-dev, libxml2-dev Homepage: http://www.freedesktop.org/wiki/Software/GeoClue Priority: optional Section: libdevel Filename: pool/main/g/geoclue/libgeoclue-dev_0.12.0-4_armhf.deb Size: 104616 SHA256: 517e96670fdc4ad4ece5526743cf13d07a0d464a352114905f6d2b00633663f8 SHA1: efc5a6af6af8da55f28baf43b65619347c6328ce MD5sum: a2b4cfb85c2d89e640a1ba28b32d05fa Description: C API for GeoClue (development files) libgeoclue is a convenience wrapper for the GeoClue service, and the recommended way to use GeoClue in the C language. Package: libgeoclue0 Source: geoclue Version: 0.12.0-4 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 99 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libglib2.0-0 (>= 2.16.0), libxml2 (>= 2.7.4) Suggests: geoclue Homepage: http://www.freedesktop.org/wiki/Software/GeoClue Priority: optional Section: libs Filename: pool/main/g/geoclue/libgeoclue0_0.12.0-4_armhf.deb Size: 30058 SHA256: 549a787cde19a441d0a17d89cbb73b752ce96be6f24435c109d962b04c5a544e SHA1: 781bbd39daf337ab3ba16c6c0b0ec265dcb5a929 MD5sum: c2ea0fa34894f9a54e7be21d36759686 Description: C API for GeoClue libgeoclue is a convenience wrapper for the GeoClue service, and the recommended way to use GeoClue in the C language. Package: libgeocode-glib-dbg Source: geocode-glib Version: 0.99.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 70 Depends: libgeocode-glib0 (= 0.99.0-1) Priority: extra Section: debug Filename: pool/main/g/geocode-glib/libgeocode-glib-dbg_0.99.0-1_armhf.deb Size: 16810 SHA256: 57c4637029e0e2a6e915193e3f804398d2f24e769ad181b81af1d4601fe526cb SHA1: b57b993093f7165e7a91b000ffcd439f69283dd3 MD5sum: 15b0012b905ff7ad6d419cd5d2fe6399 Description: debug symbols for geocode-glib library Geocode-glib allows you to do geocoding (going from a place name, to a longitude/latitude pair) and reverse geocoding (finding a place name from coordinates) using Yahoo! Place Finder API. . This library should be used in place of Geoclue's D-Bus API for geocoding and reverse geocoding. . This package contains debugging symbols for geocode-glib. Package: libgeocode-glib-dev Source: geocode-glib Version: 0.99.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 72 Depends: libgeocode-glib0 (= 0.99.0-1), gir1.2-geocodeglib-1.0 (= 0.99.0-1), libglib2.0-dev Suggests: libgeocode-glib-doc Priority: optional Section: libdevel Filename: pool/main/g/geocode-glib/libgeocode-glib-dev_0.99.0-1_armhf.deb Size: 10426 SHA256: 7c00af2713613e2477b5784da6099575a3415fa92bc2e049f7749be597f403dc SHA1: 518193683b80924a6b72e2dc209d429f28e89dc0 MD5sum: d8bb31f7a1d3fead72bb332d8cf64dc0 Description: developement files for geocode-glib library Geocode-glib allows you to do geocoding (going from a place name, to a longitude/latitude pair) and reverse geocoding (finding a place name from coordinates) using Yahoo! Place Finder API. . This library should be used in place of Geoclue's D-Bus API for geocoding and reverse geocoding. . This package contains the development files for geocode-glib. Package: libgeocode-glib-doc Source: geocode-glib Version: 0.99.0-1 Installed-Size: 176 Maintainer: Debian GNOME Maintainers Architecture: all Size: 18372 SHA256: 03454500407c4414f20feb5835896b99a79e60a27c317d40f3946a38bdc90dfc SHA1: aa28430326de253f75453e628dcf30f0aabbf908 MD5sum: 58e5ddd798e4fdce6d0dde83ab0e9684 Description: gtk-doc documentation for geocode-glib library Geocode-glib allows you to do geocoding (going from a place name, to a longitude/latitude pair) and reverse geocoding (finding a place name from coordinates) using Yahoo! Place Finder API. . This library should be used in place of Geoclue's D-Bus API for geocoding and reverse geocoding. . This package contains the documentation for geocode-glib. Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/g/geocode-glib/libgeocode-glib-doc_0.99.0-1_all.deb Package: libgeocode-glib0 Source: geocode-glib Version: 0.99.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 52 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0), libjson-glib-1.0-0 (>= 0.13.2), libsoup2.4-1 (>= 2.4.0) Priority: optional Section: libs Filename: pool/main/g/geocode-glib/libgeocode-glib0_0.99.0-1_armhf.deb Size: 13034 SHA256: 74c7992cd643c752e8cce29aed22288f4268b4dd76876a63966452731c7a94af SHA1: 3787775235b132658b3e3acb38bd8eef1471500e MD5sum: 7dfa4aba815af1d9d0882c521f814946 Description: geocoding and reverse geocoding GLib library using Yahoo! Place Finder Geocode-glib allows you to do geocoding (going from a place name, to a longitude/latitude pair) and reverse geocoding (finding a place name from coordinates) using Yahoo! Place Finder API. . This library should be used in place of Geoclue's D-Bus API for geocoding and reverse geocoding. Package: libgeographiclib-dev Source: geographiclib Version: 1.21-1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 1057 Depends: libgeographiclib9 (= 1.21-1) Homepage: http://geographiclib.sf.net/ Priority: optional Section: libdevel Filename: pool/main/g/geographiclib/libgeographiclib-dev_1.21-1_armhf.deb Size: 317352 SHA256: bb139205c4e152774c65e960e42f5eb6c501f04833be68571cc459c688249373 SHA1: 74e7bfb7a1ce8573b0c831015a8bd49b6cdf5257 MD5sum: 975a6371cb31bad2283d03a44b678f64 Description: A C++ library to solve some geodesic problems -- development files GeographicLib is a small set of C++ classes for converting between geographic, UTM, UPS, MGRS, geocentric, and local cartesian coordinates, for geoid calculations, and for computing geodesic. It is a suitable replacement for the core functionality provided by NGA Geotrans. . This package contains the files used to develop applications that use the GeographicLib library. Package: libgeographiclib9 Source: geographiclib Version: 1.21-1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 344 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: geographiclib-tools Homepage: http://geographiclib.sf.net/ Priority: optional Section: libs Filename: pool/main/g/geographiclib/libgeographiclib9_1.21-1_armhf.deb Size: 167780 SHA256: 9503ee2f728e1269cf9d616abe867c1fc41ea751c88b0322fd51534f86599847 SHA1: d94e832d29a72c69996c8ace6ab434d2709fb5c7 MD5sum: ab3a9fc067da9303d8086f5010a9e59d Description: A C++ library to solve some geodesic problems -- library GeographicLib is a small set of C++ classes for converting between geographic, UTM, UPS, MGRS, geocentric, and local cartesian coordinates, for geoid calculations, and for computing geodesic. It is a suitable replacement for the core functionality provided by NGA Geotrans. . This package contains the GeographicLib shared library used at run-time by applications. Package: libgeography-countries-perl Version: 2009041301-1 Installed-Size: 88 Maintainer: TANIGUCHI Takaki Architecture: all Depends: perl Size: 18728 SHA256: 50d70ef82f75f548c5e9fca1e56fb1a8634e611f6c8592d453bc2059b1192f4a SHA1: ed4dadfca895b4ce10ad44ac56a9d9ce4bfe3d39 MD5sum: 7d99e7e81b2224db36530c054206c56b Description: 2-letter, 3-letter, and numerical codes for countries. Geography::Countries maps country names, and their 2-letter, 3-letter and numerical codes, as defined by the ISO-3166 maintenance agency [1], and defined by the UNSD. Homepage: http://search.cpan.org/dist/Geography-Countries/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgeography-countries-perl/libgeography-countries-perl_2009041301-1_all.deb Package: libgeography-nationalgrid-perl Version: 1.6-10 Installed-Size: 140 Maintainer: Dominic Hargreaves Architecture: all Depends: perl Size: 31640 SHA256: 9923012d8e09015f48cbd6287041f0ecd4a43ad25e40d22c9a82023d3049dd37 SHA1: bdac44c3317c3d2e34dd170fd5dbb55a70055382 MD5sum: f0c8cb81e5db33a95a53189afd4cec24 Description: Class for a point and to transform coordinate systems You ask for an object for the correct country, described using the ISO 2-letter country code. You will need to supply information to the constructor. You may then call methods on that object to do whatever operations you need. Conceptually each object represents a point on the ground, although you some grid systems may take that point to be a corner of a defined area. E.g. a 6-figure OS National Grid reference may be thought of as the point at the south-west of a 100m by 100m square. . This package also includes the extra module Geography::NationalGrid::TW. Homepage: http://search.cpan.org/dist/Geography-NationalGrid/ Tag: devel::lang:perl, devel::library, field::geography, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgeography-nationalgrid-perl/libgeography-nationalgrid-perl_1.6-10_all.deb Package: libgeoip-dev Source: geoip Version: 1.4.8+dfsg-3 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 500 Depends: libgeoip1 (= 1.4.8+dfsg-3), geoip-bin (= 1.4.8+dfsg-3) Homepage: http://www.maxmind.com/ Priority: optional Section: libdevel Filename: pool/main/g/geoip/libgeoip-dev_1.4.8+dfsg-3_armhf.deb Size: 199350 SHA256: 0318c4c6a3a15255f74ae79dd9308f8500c44df6a18e52e840e8cda63861c65c SHA1: f294e8937c62a43b27c57141a2b2fa5f5609f532 MD5sum: 2760097dd37da5d0831e79065b9da792 Description: Development files for the GeoIP library GeoIP is a C library that enables the user to find the country that any IP address or hostname originates from. It uses a file based database. . This database simply contains IP blocks as keys, and countries as values and it should be more complete and accurate than using reverse DNS lookups. . This package contains the development files. Package: libgeoip1 Source: geoip Version: 1.4.8+dfsg-3 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 308 Depends: libc6 (>= 2.8), zlib1g (>= 1:1.1.4) Recommends: geoip-database Suggests: geoip-bin Homepage: http://www.maxmind.com/ Priority: optional Section: libs Filename: pool/main/g/geoip/libgeoip1_1.4.8+dfsg-3_armhf.deb Size: 133068 SHA256: 3dc345b6215893a8cfadd75239f3e01becc6d8efcdb5c93cc7e78c41ed28a2df SHA1: 43e195cedbf6b317626a17af199467a1b396736f MD5sum: 23e920fcf7242d86acf86699a3a26e5f Description: non-DNS IP-to-country resolver library GeoIP is a C library that enables the user to find the country that any IP address or hostname originates from. It uses a file based database. . This database simply contains IP blocks as keys, and countries as values and it should be more complete and accurate than using reverse DNS lookups. . This package contains the shared library. Package: libgeometry-primitive-perl Version: 0.22-1 Installed-Size: 152 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.10.1), libmoosex-storage-perl, libmoosex-clone-perl, libcheck-isa-perl, libmoose-perl (>= 0.92) Size: 37134 SHA256: 588e057e7691f01a45d983c7197545f6ef5299c498c7e6965bcc6cde45b2f63a SHA1: ed0f3597d3ab286f8149b89cbd131037f5531fb1 MD5sum: 2cc01101b0d199118f167071b3520b14 Description: module to represent geometric entities Geometry::Primitive is a device- and library-agnostic system for representing geometric entities such as points, lines, and shapes. It provides some simple objects and many convenience methods you would expect from a simple geometry library. Homepage: http://search.cpan.org/dist/Geometry-Primitive/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgeometry-primitive-perl/libgeometry-primitive-perl_0.22-1_all.deb Package: libgeomview-1.9.4 Source: geomview Version: 1.9.4-3 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1035 Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxt6, zlib1g (>= 1:1.2.0) Homepage: http://www.geomview.org/ Priority: optional Section: libs Filename: pool/main/g/geomview/libgeomview-1.9.4_1.9.4-3_armhf.deb Size: 528372 SHA256: 2d688f26d33839bd435b59bcd5aa0eda0a935adc6db73e048edfe2df8324cfed SHA1: 2f3595402dc9374b409d84a10f9439bd5d24bbd9 MD5sum: 90cb04bacaba68071d9a3c0917aee475 Description: geomview library runtime Geomview is interactive geometry software which is particularly appropriate for mathematics research and education. . OOGL is the Object Oriented Graphics Library, upon which Geomview is built. This package provides the run-time OOGL library for geomview and its modules. Package: libgeomview-dev Source: geomview Version: 1.9.4-3 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 2157 Homepage: http://www.geomview.org/ Priority: optional Section: math Filename: pool/main/g/geomview/libgeomview-dev_1.9.4-3_armhf.deb Size: 779962 SHA256: f20b3af0baf9ae55220701a1dfb1d11fb93253c21ee730b5d9a7cba806b04726 SHA1: 8b54a9b1c0ca872fd6b6d03264f5075653be9e47 MD5sum: 9cb14a94e2995274625a420f498c7840 Description: geomview library development package Geomview is interactive geometry software which is particularly appropriate for mathematics research and education. . OOGL is the Object Oriented Graphics Library, upon which Geomview is built. This package provides the development package for OOGL, required to build Geomview modules. Package: libgeos++-dev Source: geos Version: 3.3.3-1.1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 5519 Depends: libgeos-3.3.3 (= 3.3.3-1.1), libgeos-dev Suggests: libgdal-doc Breaks: libgeos-dev (<< 3.3.1-1~) Homepage: http://trac.osgeo.org/geos/ Priority: optional Section: libdevel Filename: pool/main/g/geos/libgeos++-dev_3.3.3-1.1_armhf.deb Size: 1337192 SHA256: d84327a1128c4cb15db1ba4f14d5df574670655c219f23361e39f1f4c83d5c64 SHA1: 6b24d9e4a4a2cf7333ae9f47db6553585b9e934d MD5sum: c6f4283ac8dd795e52123d079519259e Description: Geometry engine for GIS - C++ development files GEOS provides a spatial object model and fundamental geometric functions. It implements the geometry model defined in the OpenGIS Consortium Simple Features Specification for SQL. . This package contains the headers and libraries needed to develop programs using GEOS in C++. Note that third-parties programs should use the stable C API instead of the unstable C++ one. Package: libgeos-3.3.3 Source: geos Version: 3.3.3-1.1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 1385 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://trac.osgeo.org/geos/ Priority: optional Section: libs Filename: pool/main/g/geos/libgeos-3.3.3_3.3.3-1.1_armhf.deb Size: 575670 SHA256: 72579749aede2061e775cf14731c4f1cec30965fc436399b666e0c6822d92b67 SHA1: b94a86432e582757c0eb33cc96e51b5748293cf3 MD5sum: 260a3332a8531537a3f19911fbad1b9d Description: Geometry engine for Geographic Information Systems - C++ Library GEOS provides a spatial object model and fundamental geometric functions. It implements the geometry model defined in the OpenGIS Consortium Simple Features Specification for SQL. Functions provided include: . * spatial predicates (based on the DE-9IM model), * overlay functions (intersection, difference, union, symmetric difference), * buffer, * convex hull, * area and distance functions, and * topological validity checking . This package contains the C++ library. A stable C library is provided by the libgeos-c* package and should be preferred by third-parties programs. Package: libgeos-c1 Source: geos Version: 3.3.3-1.1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 275 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgeos-3.3.3 (>= 3.3.3), libstdc++6 (>= 4.4.0) Homepage: http://trac.osgeo.org/geos/ Priority: optional Section: libs Filename: pool/main/g/geos/libgeos-c1_3.3.3-1.1_armhf.deb Size: 165160 SHA256: 85b26d96e960f8843f46b69002a345806eaa123aecd47e70c44b787b4dac8738 SHA1: 4bfc78381e5b688feb3d186959f1477d009b1b6c MD5sum: 6b65be03e1dde7c88a01f2609818a0a3 Description: Geometry engine for Geographic Information Systems - C Library GEOS provides a spatial object model and fundamental geometric functions. It implements the geometry model defined in the OpenGIS Consortium Simple Features Specification for SQL. Functions provided include: . * spatial predicates (based on the DE-9IM model), * overlay functions (intersection, difference, union, symmetric difference), * buffer, * convex hull, * area and distance functions, and * topological validity checking . This package contains the C library. A C++ library is provided by the libgeos-* package. Package: libgeos-dbg Source: geos Version: 3.3.3-1.1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 14871 Depends: libgeos-3.3.3 (= 3.3.3-1.1), libgeos-c1 (= 3.3.3-1.1) Homepage: http://trac.osgeo.org/geos/ Priority: extra Section: debug Filename: pool/main/g/geos/libgeos-dbg_3.3.3-1.1_armhf.deb Size: 5522598 SHA256: ec685a1ef2294e3ba6a34f0ebbc084d2acf75c01b7f5ccaf7ef28317f4afe195 SHA1: 383a62ba518c23a6a142bf5d7b5980ff6f66f40a MD5sum: f4ad75e7205daeee7f483f3ec210452a Description: Debugging symbols for the GEOS library GEOS provides a spatial object model and fundamental geometric functions. It implements the geometry model defined in the OpenGIS Consortium Simple Features Specification for SQL. . This package contains the debugging symbols for C/C++ GEOS library. Package: libgeos-dev Source: geos Version: 3.3.3-1.1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 435 Depends: libgeos-c1 (= 3.3.3-1.1) Suggests: libgdal-doc Homepage: http://trac.osgeo.org/geos/ Priority: optional Section: libdevel Filename: pool/main/g/geos/libgeos-dev_3.3.3-1.1_armhf.deb Size: 189450 SHA256: a116441bef314e6673c37ff4045e1f5e1eaa072d93f2dab86991487e2f0c569e SHA1: 62b074ff641d10384c73adc13f9037b2f5482872 MD5sum: 6f4deaa8f3c3180737f1fb8b94aaa695 Description: Geometry engine for GIS - Development files GEOS provides a spatial object model and fundamental geometric functions. It implements the geometry model defined in the OpenGIS Consortium Simple Features Specification for SQL. . This package contains the headers and libraries needed to develop programs using GEOS. Package: libgeos-doc Source: geos Version: 3.3.3-1.1 Installed-Size: 14559 Maintainer: Debian GIS Project Architecture: all Suggests: libgeos-dev (= 3.3.3-1.1) Size: 1913122 SHA256: 51bf0c21439332d3228fa60d38e8a1d21c28330bef7f1a92f85f63cce269ca43 SHA1: 568c24fd0b7b9b884a137477cfddcc067d35708b MD5sum: 2756f6a0d53cf4ba762385dc5eef70c8 Description: Documentation for the GEOS GIS geometry engine library GEOS provides a spatial object model and fundamental geometric functions. It implements the geometry model defined in the OpenGIS Consortium Simple Features Specification for SQL. . This package contains the documentation for the GEOS API. Homepage: http://download.osgeo.org/geos/doxygen/ Tag: devel::doc, devel::library, field::geography, role::documentation Section: doc Priority: optional Filename: pool/main/g/geos/libgeos-doc_3.3.3-1.1_all.deb Package: libgeos-ruby1.8 Source: geos Version: 3.3.3-1.1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 794 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgeos-3.3.3 (>= 3.3.3), libgeos-c1 (>= 3.3.3), libruby1.8 (>= 1.8.7.357-1), libstdc++6 (>= 4.4.0) Homepage: http://trac.osgeo.org/geos/ Priority: optional Section: ruby Filename: pool/main/g/geos/libgeos-ruby1.8_3.3.3-1.1_armhf.deb Size: 320712 SHA256: 01eda51d3af8c1f7f181228df365413fbceabcc8b58255ca4cf8846a1bd5cf53 SHA1: 99955683458dee6b0075b829b7f3aa9c61d6c892 MD5sum: 2cb3564077fb57ba0ae35d98c1a49991 Description: GEOS bindings for Ruby GEOS provides a spatial object model and fundamental geometric functions. It implements the geometry model defined in the OpenGIS Consortium Simple Features Specification for SQL. . This package contains the Ruby bindings for GEOS. Package: libgeotiff-alt-dev Source: libgeotiff-dfsg Version: 1.3.0+dfsg-3+rpi1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 187 Depends: libgeotiff2 (= 1.3.0+dfsg-3+rpi1) Suggests: libgeotiff-epsg Conflicts: libgeotiff-dev Homepage: http://geotiff.osgeo.org/ Priority: extra Section: libdevel Filename: pool/main/libg/libgeotiff-dfsg/libgeotiff-alt-dev_1.3.0+dfsg-3+rpi1_armhf.deb Size: 53046 SHA256: c41c672341238a84548d1c73257f07bb742f5f7d831825caeb78e0813ded33df SHA1: 8899ba3c86099eca20d25d519fe1cdc75cba31a0 MD5sum: fe13096cf4c825d8240fb0a60a4512ab Description: the GeoTIFF library -- development files This C library supports TIFF 6.0 based interchange format for georeferenced raster imagery. The GeoTIFF standard has been developed for reading, and writing geographic meta-information tags on top of TIFF raster. . This is an alternative development package that does not depend on libtiff5-dev and does not provide a static library. Package: libgeotiff-dev Source: libgeotiff-dfsg Version: 1.3.0+dfsg-3+rpi1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 356 Depends: libgeotiff2 (= 1.3.0+dfsg-3+rpi1), libtiff5-dev Suggests: libgeotiff-epsg Homepage: http://geotiff.osgeo.org/ Priority: extra Section: libdevel Filename: pool/main/libg/libgeotiff-dfsg/libgeotiff-dev_1.3.0+dfsg-3+rpi1_armhf.deb Size: 111908 SHA256: fad54518478d6be5487e5f273865ec8d8875183dc6f079fa12aedb9ec7cbb9fa SHA1: 4601a9a52ac790dbf446aea39eb583aad93215be MD5sum: 584ce88e203c5d54c1e424cd27b6c0cb Description: the GeoTIFF library -- development files This C library supports TIFF 6.0 based interchange format for georeferenced raster imagery. The GeoTIFF standard has been developed for reading, and writing geographic meta-information tags on top of TIFF raster. . This package contains stuff to be used to develop programs based on the GeoTIFF library. Package: libgeotiff2 Source: libgeotiff-dfsg Version: 1.3.0+dfsg-3+rpi1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 184 Depends: libc6 (>= 2.13-28), libproj0, libtiff5 (>> 4.0.0-1~) Suggests: geotiff-bin, gdal-bin, libgeotiff-epsg Homepage: http://geotiff.osgeo.org/ Priority: extra Section: libs Filename: pool/main/libg/libgeotiff-dfsg/libgeotiff2_1.3.0+dfsg-3+rpi1_armhf.deb Size: 69130 SHA256: 1fe79f90f1daeb5207792b9be6b458e275e4d620bac5c517bcdc338451d5dfed SHA1: 75f12cf1fdeb19973308f35965b677b0364b1585 MD5sum: 964fada55be05b7cb5e0ad4ce8175806 Description: the GeoTIFF library -- run-time files This C library supports TIFF 6.0 based interchange format for georeferenced raster imagery. The GeoTIFF standard has been developed for reading, and writing geographic meta-information tags on top of TIFF raster. . This package contains the shared library only. Package: libgeotranz3-dev Source: geotranz Version: 3.1-2.1 Architecture: armhf Maintainer: Roberto Lumbreras Installed-Size: 1520 Depends: libgeotranz3.1 (= 3.1-2.1) Suggests: geotranz-doc Conflicts: libgeotranz-dev Provides: libgeotranz-dev Homepage: http://earth-info.nga.mil/GandG/geotrans/ Priority: optional Section: libdevel Filename: pool/main/g/geotranz/libgeotranz3-dev_3.1-2.1_armhf.deb Size: 278844 SHA256: f3ab983e7ccd13ed1c255c967a06af1c07251d048059a1590f524b1de48b9601 SHA1: 7b0bc88d5b6bf811e088404fd85b868b945f0f0c MD5sum: c5583845afdb189846543f8af77afdf7 Description: GEOgraphic coordinates TRANslator (development files) GEOTRANZ (Geographic Translator) is an application program which allows you to easily convert geographic coordinates among a wide variety of coordinate systems, map projections, and datums. Currently, twenty-five different coordinate systems, map projections, grids, and coding schemes, and over two hundred different datums, are supported. . GEOTRANZ is the Debian name of GEOTRANS, a product of the National Geospatial-Intelligence Agency (NGA) and U.S. Army Engineering Research and Development Center. . This package contains the static library and include files for linking applications with the geotranz library. Package: libgeotranz3.1 Source: geotranz Version: 3.1-2.1 Architecture: armhf Maintainer: Roberto Lumbreras Installed-Size: 673 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Breaks: libgeotranz3 (<< 3.1) Replaces: libgeotranz3 (<< 3.1) Homepage: http://earth-info.nga.mil/GandG/geotrans/ Priority: optional Section: libs Filename: pool/main/g/geotranz/libgeotranz3.1_3.1-2.1_armhf.deb Size: 229466 SHA256: 2f241c96d9d1febec9e9b13ea396891fff273fa8f93d58d89e842d39bb17ebb3 SHA1: 0ee0108def6996e837d87e9608dcca7ec841ef5e MD5sum: bf42af9824dda549ea8161d7552f2428 Description: GEOgraphic coordinates TRANslator (runtime library) GEOTRANZ (Geographic Translator) is an application program which allows you to easily convert geographic coordinates among a wide variety of coordinate systems, map projections, and datums. Currently, twenty-five different coordinate systems, map projections, grids, and coding schemes, and over two hundred different datums, are supported. . GEOTRANZ is the Debian name of GEOTRANS, a product of the National Geospatial-Intelligence Agency (NGA) and U.S. Army Engineering Research and Development Center. . This package contains the runtime library used by GEOTRANZ to do all conversions. Package: libgeronimo-activation-1.1-spec-java Source: geronimo-activation-1.1-spec Version: 1.0.2-2 Installed-Size: 112 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jre-headless | java5-runtime-headless Size: 29120 SHA256: 3ebe4a0c5315211b97f5f5d1716c41deefc74430f5ea840d80392ffffbcd4214 SHA1: 5f71eb22355faf9ec300bb99d02e655aca7e0f8b MD5sum: 98de574cb2ff961d4adb00b77d22fdd7 Description: Java Activation Framework implementation from Apache Geronimo Apache Geronimo is an open source application server developed by the Apache Software Foundation and distributed under the Apache license. Geronimo is currently compatible with the Java Enterprise Edition (Java EE) 5.0 specification. . The JavaBeans Activation Framework (JAF) extension to Java allows developers to determine the type of an arbitrary piece of data, to encapsulate access to it, to discover the operations available on it, and to instantiate the appropriate bean to perform said operation(s). For example, if a browser obtained a PNG image, JAF would help the browser to identify that stream of data as a PNG image, and the browser can instantiate an object to display that image. . Another DFSG free JAF implementation is available from the Glassfish project. Section: java Priority: optional Filename: pool/main/g/geronimo-activation-1.1-spec/libgeronimo-activation-1.1-spec-java_1.0.2-2_all.deb Package: libgeronimo-commonj-spec-java Source: geronimo-commonj-spec Version: 1.1.1-1 Installed-Size: 400 Maintainer: Debian Java Maintainers Architecture: all Size: 22618 SHA256: 294e1fe9105246f1951ce69e1d57857a38f1047ee8eea0469c2b5a4c89afd348 SHA1: ccd068a4381075a9fdf596b9b3f63b36b36f8155 MD5sum: f7b2ce31ac92219282627caf72a0df6a Description: Java API for concurrent programming of EJBs and Servlets The CommonJ API enables concurrent programming of EJBs and Servlets within a J2EE application. This API is also known as Timer and Work Manager API. . The CommonJ API contains the following components: - Timer API: The Timer API allows applications to schedule and receive timer notification callbacks for a specific listener defined within an application. Timers allow you to schedule and perform work at specific times or intervals. - Work Manager API: The Work Manager API allows an application to prioritize work within an EJB or Servlet. Applications can programmatically execute multiple work items within a container. Section: java Priority: optional Filename: pool/main/g/geronimo-commonj-spec/libgeronimo-commonj-spec-java_1.1.1-1_all.deb Package: libgeronimo-ejb-3.0-spec-java Source: geronimo-ejb-3.0-spec Version: 1.0.1-1 Installed-Size: 36 Maintainer: Chris Grzegorczyk Original-Maintainer: Thierry Carrez Architecture: all Depends: default-jre-headless | java5-runtime-headless Size: 21660 SHA256: 4bb8b40fd6e1405ab8d6260121aa1273b35b4a48094b14fb83e6082dcf7cbefc SHA1: 4a0a5850399a297e06eb950d5f8649b14e8a7e3c MD5sum: 93474fc4fcf7291e071e184a07a340aa Description: Geronimo API implementation of the EJB 3.0 spec The goal of the Geronimo project is to produce a server runtime framework that pulls together the best Open Source alternatives to create runtimes that meet the needs of developers and system administrators. Its most popular distribution is a fully certified Java EE 5 application server runtime. . Geronimo API implementation of the EJB 3.0 spec (javax.ejb classes) Homepage: http://geronimo.apache.org Section: java Priority: optional Filename: pool/main/g/geronimo-ejb-3.0-spec/libgeronimo-ejb-3.0-spec-java_1.0.1-1_all.deb Package: libgeronimo-interceptor-3.0-spec-java Source: geronimo-interceptor-3.0-spec Version: 1.0.1-1 Installed-Size: 44 Maintainer: Chris Grzegorczyk Original-Maintainer: Thierry Carrez Architecture: all Depends: default-jre-headless | java5-runtime-headless Size: 5028 SHA256: e737d9c4c3432e74cf0bd84632a6d8dc9ce2c5809f08eef413e4d1fbd78d430d SHA1: 810c527fd268243f722c0e8a337d9031bfda836f MD5sum: 53d33bf1c18ea5000531db58c84c435b Description: Geronimo API implementation of the Interceptor 3.0 spec The goal of the Geronimo project is to produce a server runtime framework that pulls together the best Open Source alternatives to create runtimes that meet the needs of developers and system administrators. Geronimo's most popular distribution is a fully certified Java EE 5 application server runtime. . Geronimo API implementation of the Interceptor 3.0 spec (javax.interceptor classes) Section: java Priority: optional Filename: pool/main/g/geronimo-interceptor-3.0-spec/libgeronimo-interceptor-3.0-spec-java_1.0.1-1_all.deb Package: libgeronimo-j2ee-connector-1.5-spec-java Source: geronimo-j2ee-connector-1.5-spec Version: 2.0.0-1 Installed-Size: 68 Maintainer: Chris Grzegorczyk Original-Maintainer: Thierry Carrez Architecture: all Depends: default-jre-headless | java5-runtime-headless Size: 25008 SHA256: 23254fdb8f245279c682682de0bbb1a9933d1909ca73b2cc692e531175cd95e4 SHA1: 72f18272ad5a369797b6ea35db941b835c155d9c MD5sum: abdde74faac4696ce481639a4fbeea68 Description: Geronimo API implementation of the J2EE connector 1.5 spec The goal of the Geronimo project is to produce a server runtime framework that pulls together the best Open Source alternatives to create runtimes that meet the needs of developers and system administrators. Its most popular distribution is a fully certified Java EE 5 application server runtime. . This package provides the Geronimo API implementation of the J2EE connector 1.5 spec (javax.resource classes). Homepage: http://geronimo.apache.org Section: java Priority: optional Filename: pool/main/g/geronimo-j2ee-connector-1.5-spec/libgeronimo-j2ee-connector-1.5-spec-java_2.0.0-1_all.deb Package: libgeronimo-jacc-1.1-spec-java Source: geronimo-jacc-1.1-spec Version: 1.0.1-1.1 Installed-Size: 104 Maintainer: Chris Grzegorczyk Original-Maintainer: Thierry Carrez Architecture: all Depends: default-jre-headless | java5-runtime-headless Size: 28272 SHA256: 62bd6db96fc8e5b4fd71157698db159a9af4627acac4eca8400655f02b12805b SHA1: 66c4ae9d208b2781a908550758484f369d2f5186 MD5sum: 893860b82b741d5464cb60b606d90611 Description: Geronimo API implementation of the JACC 1.1 spec The goal of the Geronimo project is to produce a server runtime framework that pulls together the best Open Source alternatives to create runtimes that meet the needs of developers and system administrators. Our most popular distribution is a fully certified Java EE 5 application server runtime. . This package offers the Geronimo API implementation of the JACC 1.1 spec (javax.security.jacc classes). Homepage: http://geronimo.apache.org Section: java Priority: optional Filename: pool/main/g/geronimo-jacc-1.1-spec/libgeronimo-jacc-1.1-spec-java_1.0.1-1.1_all.deb Package: libgeronimo-javamail-1.4-provider-java Source: geronimo-javamail-1.4-provider Version: 1.8.3-1 Installed-Size: 235 Maintainer: Debian Java Maintainers Architecture: all Size: 215688 SHA256: a7d12ef7c0b23d89a2f60e8240a6094f70ed5d30bf7f45e711529b27d1a8adfd SHA1: 216a47bf5b20b042de004590600b32e2a8dc7a12 MD5sum: a39a7e3dfcbf2595e4550218e65cb03b Description: Geronimo implementation of the JavaMail 1.4 provider This package provides Geronimo implementation of the JavaMail 1.4 provider which allows for the sending of email. It can be used as a replacement for the standard JavaMail API provided by Oracle. Section: java Priority: optional Filename: pool/main/g/geronimo-javamail-1.4-provider/libgeronimo-javamail-1.4-provider-java_1.8.3-1_all.deb Package: libgeronimo-javamail-1.4-spec-java Source: geronimo-javamail-1.4-spec Version: 1.7.1-2 Installed-Size: 209 Maintainer: Debian Java Maintainers Architecture: all Depends: libgeronimo-osgi-support-java Size: 195682 SHA256: 93a47fd7480a8f82e2f8d3690082c333356d4e64370c70c8bac4b13018aa1523 SHA1: fdacb5c5d3ca8884a922c0812ce0ae24a78d073a MD5sum: 38088e5a729ad5b3a96bf13431b76ce0 Description: Geronimo API implementation of the JavaMail 1.4 spec This package provides the Geronimo API implementation of the JavaMail 1.4 spec (javax.mail classes). Geronimo JavaMail can be used as a replacement for the JavaMail API provided by Oracle. Section: java Priority: optional Filename: pool/main/g/geronimo-javamail-1.4-spec/libgeronimo-javamail-1.4-spec-java_1.7.1-2_all.deb Package: libgeronimo-jms-1.1-spec-java Source: geronimo-jms-1.1-spec Version: 1.1-1.2 Installed-Size: 93 Maintainer: Chris Grzegorczyk Original-Maintainer: Thierry Carrez Architecture: all Depends: default-jre-headless | java5-runtime-headless Size: 22414 SHA256: a6848469afc4fafff033b6e20c69514b2d138bead59ab12b41fdb08508994cf6 SHA1: 056aa845f10ff11daf492d2918f9aed3e83d0236 MD5sum: b7bcdfecf4271805eabaee862666d3b7 Description: Geronimo API implementation of the JMS 1.1 spec The goal of the Geronimo project is to produce a server runtime framework that pulls together the best Open Source alternatives to create runtimes that meet the needs of developers and system administrators. Geronimo's most popular distribution is a fully certified Java EE 5 application server runtime. . Geronimo API implementation of the JMS 1.1 spec (javax.jms classes) Section: java Priority: optional Filename: pool/main/g/geronimo-jms-1.1-spec/libgeronimo-jms-1.1-spec-java_1.1-1.2_all.deb Package: libgeronimo-jpa-2.0-spec-java Source: geronimo-jpa-2.0-spec Version: 1.1-2 Installed-Size: 184 Maintainer: Debian Java Maintainers Architecture: all Depends: aspectj, libgeronimo-osgi-support-java Suggests: libgeronimo-jpa-2.0-spec-java-doc (= 1.1-2) Size: 81030 SHA256: 04f0378a5ce8d43dc907d35470a315fe1b7f7a812aa6d171aee03d6cc19ba4bb SHA1: 227fc67dab68991b7b3ccd7eac075c4cc9104f16 MD5sum: 294ff2803365242724caa64ed05907de Description: Geronimo JSR-317 Java Persistence (JPA) 2.0 Spec API The Java Persistence API is the Java API for the management of persistence and object/relational mapping for Java EE and Java SE environments. . The goal of this specification is to provide an object/relational mapping facility for the Java application developer using a Java domain model to manage a relational database. . Persistence in this context covers three areas: - The API itself, defined in the javax.persistence package. - The Java Persistence Query Language (JPQL). - Object/relational metadata. . The Java Persistence 2.0 specification addresses improvements in the areas of domain modeling, object/relational mapping, EntityManager and Query interfaces, and the Java Persistence query language. It adds an API for criteria queries, a metamodel API, and support for validation. . This package contains only API of JSR-317 spec. Apache OpenJPA and EclipseLink are implementations of this spec. Section: java Priority: optional Filename: pool/main/g/geronimo-jpa-2.0-spec/libgeronimo-jpa-2.0-spec-java_1.1-2_all.deb Package: libgeronimo-jpa-2.0-spec-java-doc Source: geronimo-jpa-2.0-spec Version: 1.1-2 Installed-Size: 3384 Maintainer: Debian Java Maintainers Architecture: all Suggests: libgeronimo-jpa-2.0-spec-java (= 1.1-2) Size: 152390 SHA256: 4c7c2fab7e0584416501945951a3717a241e04270612641f7e209b23eb245172 SHA1: 8f09d2299f8d0046b8189e16e0b01f42a70db975 MD5sum: 25197183c37c20e918884c8a7060514a Description: Documentation for libgeronimo-jpa-2.0-spec-java Documentation for the Java Persistence API that is the Java API for the management of persistence and object/relational mapping for Java EE and Java SE environments. . The goal of this specification is to provide an object/relational mapping facility for the Java application developer using a Java domain model to manage a relational database. . Persistence in this context covers three areas: - The API itself, defined in the javax.persistence package. - The Java Persistence Query Language (JPQL). - Object/relational metadata. . The Java Persistence 2.0 specification addresses improvements in the areas of domain modeling, object/relational mapping, EntityManager and Query interfaces, and the Java Persistence query language. It adds an API for criteria queries, a metamodel API, and support for validation. . This package contains only API of JSR-317 spec. Apache OpenJPA and EclipseLink are implementations of this spec. Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/geronimo-jpa-2.0-spec/libgeronimo-jpa-2.0-spec-java-doc_1.1-2_all.deb Package: libgeronimo-jpa-3.0-spec-java Source: geronimo-jpa-3.0-spec Version: 1.1.1-1 Installed-Size: 56 Maintainer: Chris Grzegorczyk Original-Maintainer: Thierry Carrez Architecture: all Depends: default-jre-headless | java5-runtime-headless Size: 38310 SHA256: 2fde9eb18d01286486f590930a361291b25a0aee828395ff4d78daf987e54b9b SHA1: 6904d5339cbaddb9883592f4c375a0a6be5cdab2 MD5sum: f36a701f9f81d8c93c0696334b418b67 Description: Geronimo API implementation of the JPA 3.0 spec The goal of the Geronimo project is to produce a server runtime framework that pulls together the best Open Source alternatives to create runtimes that meet the needs of developers and system administrators. Its most popular distribution is a fully certified Java EE 5 application server runtime. . This package provides the Geronimo API implementation of the JPA 3.0 spec (javax.persistence classes). Homepage: http://geronimo.apache.org Section: java Priority: optional Filename: pool/main/g/geronimo-jpa-3.0-spec/libgeronimo-jpa-3.0-spec-java_1.1.1-1_all.deb Package: libgeronimo-jta-1.1-spec-java Source: geronimo-jta-1.1-spec Version: 1.1.1-2 Installed-Size: 77 Maintainer: Debian Java Maintainers Architecture: all Replaces: libgeronimo-jta-1.0.1b-spec-java Provides: libgeronimo-jta-1.0.1b-spec-java Suggests: libgeronimo-jta-java-doc Conflicts: libgeronimo-jta-1.0.1b-spec-java Size: 11942 SHA256: a0218bd487216bfc67ceea1355c954644a2e609bc4712328abbb1d905f4095ac SHA1: b47c80ceab445d0c2b334d2235f2d122f8261293 MD5sum: ecb69f8284abd1a109dd01e810ac2d5f Description: Geronimo API implementation of the JTA 1.1 spec The goal of the Geronimo project is to produce a server runtime framework that pulls together the best Open Source alternatives to create runtimes that meet the needs of developers and system administrators. Its most popular distribution is a fully certified Java EE 5 application server runtime. . Geronimo API implementation of the JTA 1.0.1B spec (javax.transaction classes) Homepage: http://geronimo.apache.org Section: java Priority: optional Filename: pool/main/g/geronimo-jta-1.1-spec/libgeronimo-jta-1.1-spec-java_1.1.1-2_all.deb Package: libgeronimo-jta-1.1-spec-java-doc Source: geronimo-jta-1.1-spec Version: 1.1.1-2 Installed-Size: 653 Maintainer: Debian Java Maintainers Architecture: all Depends: default-jdk-doc Suggests: libgeronimo-jta-java Size: 41244 SHA256: ee6f3edceb12d3010d709c49ffe84796b86c9084518d8aa23aa72cda90c433e7 SHA1: 03a58af4dd355ba779a630ddc469bb9dd3cadb51 MD5sum: 053d609d568ed59c1474b5abdf00b00c Description: Documentation for the JTA 1.1 spec The goal of the Geronimo project is to produce a server runtime framework that pulls together the best Open Source alternatives to create runtimes that meet the needs of developers and system administrators. Its most popular distribution is a fully certified Java EE 5 application server runtime. . This package contains the API documentation of libgeronimo-jta-java. Homepage: http://geronimo.apache.org Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/geronimo-jta-1.1-spec/libgeronimo-jta-1.1-spec-java-doc_1.1.1-2_all.deb Package: libgeronimo-osgi-support-java Source: geronimo-osgi-support Version: 1.0-2 Installed-Size: 48 Maintainer: Debian Java Maintainers Architecture: all Depends: libaspectj-java, libosgi-core-java, libosgi-compendium-java Suggests: libgeronimo-osgi-support-java-doc (= 1.0-2) Size: 17872 SHA256: 2ead3fdcce71dc808df9a02deedb36268ede4a84cae4f8ce305eaa71820bd88b SHA1: ea3a9f78902201c8efadfc81675ecfd987f813fa MD5sum: 064e6570f99ffebbce70a4d70c5756e4 Description: Java libraries providing OSGi lookup support for Geronimo projects These Java libraries allow the use of OSGi framework with Geronimo existing projects. They allow lookup and registration of components. . - geronimo-osgi-locator.jar: Allow performing class and components lookups with OSGi support. . - geronimo-osgi-registry.jar: Facilitate the use of Geronimo specs providers (components typically plugged in to the JRE through META-INF/services resources) like geronimo-validation-1.0-spec (Geronimo JSR-303 Bean Validation Spec API). . The service created by this library will maintain a registry of factory class that can be used by the spec bundles to locate factory classes that reside in other bundles. Homepage: http://geronimo.apache.org/ Section: java Priority: optional Filename: pool/main/g/geronimo-osgi-support/libgeronimo-osgi-support-java_1.0-2_all.deb Package: libgeronimo-osgi-support-java-doc Source: geronimo-osgi-support Version: 1.0-2 Installed-Size: 282 Maintainer: Debian Java Maintainers Architecture: all Suggests: libgeronimo-osgi-support-java (= 1.0-2) Size: 20048 SHA256: 3e23c1f82dbf714face9c76bc14500f458b69181c2f25579b8a13383d0c11bc0 SHA1: 54697698a8191b5f4168b1684b1fb91a7d78f8b8 MD5sum: 70b12645b9f71fabd67213268ec51133 Description: Documentation for libgeronimo-osgi-support-java Documentation for Java libraries that allow the use of OSGi framework with Geronimo existing projects. They allow lookup and registration of components. . - geronimo-osgi-locator.jar: Allow performing class and components lookups with OSGi support. . - geronimo-osgi-registry.jar: Facilitate the use of Geronimo specs providers (components typically plugged in to the JRE through META-INF/services resources) like geronimo-validation-1.0-spec (Geronimo JSR-303 Bean Validation Spec API). . The service created by this library will maintain a registry of factory class that can be used by the spec bundles to locate factory classes that reside in other bundles. Homepage: http://geronimo.apache.org/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/geronimo-osgi-support/libgeronimo-osgi-support-java-doc_1.0-2_all.deb Package: libgeronimo-stax-1.2-spec-java Source: geronimo-stax-1.2-spec Version: 1.1-1 Installed-Size: 29 Maintainer: Debian Java Maintainers Architecture: all Size: 24766 SHA256: 1107e9bd3f088a9bfe2dd9699e015e7adf6ba0b0f9dcba79c29ed5148288eade SHA1: f98357db2e8e5d6b1e4e03f7a118bafb06289dbd MD5sum: 607fcb5040494874d7bbeff56526b317 Description: Geronimo API implementation of the StAX 1.2 spec Geronimo API implementation of the StAX 1.2 spec (javax.xml classes) Section: java Priority: optional Filename: pool/main/g/geronimo-stax-1.2-spec/libgeronimo-stax-1.2-spec-java_1.1-1_all.deb Package: libgeronimo-validation-1.0-spec-java Source: geronimo-validation-1.0-spec Version: 1.1-2 Installed-Size: 124 Maintainer: Debian Java Maintainers Architecture: all Depends: libgeronimo-osgi-support-java Suggests: libgeronimo-validation-1.0-spec-java-doc (= 1.1-2) Size: 35606 SHA256: 3986e4af3ed8d3d16b280e59bd1474745800c3b43f2b72b9fd171baedab527b7 SHA1: bf4119594d4f4ecef67d6b88193d9d1c34ba80d8 MD5sum: fe2559fab7be6dd421f12ca6c174b9b8 Description: Geronimo JSR-303 Bean Validation Spec API Apache Geronimo implementation of the JSR-303 Bean Validation Spec API. . JSR 303 defines a metadata model and API for JavaBean validation. The default metadata source is annotations, with the ability to override and extend the meta-data through the use of XML validation descriptors. The API is not tied to a specific application tier or programming model. . It is specifically not tied to either the web tier or the persistence tier, and is available for both server-side application programming, as well as rich client Swing application developer. . This package contains only API of JSR-303 spec. Hibernate Validator is the reference implementation of this spec. Section: java Priority: optional Filename: pool/main/g/geronimo-validation-1.0-spec/libgeronimo-validation-1.0-spec-java_1.1-2_all.deb Package: libgeronimo-validation-1.0-spec-java-doc Source: geronimo-validation-1.0-spec Version: 1.1-2 Installed-Size: 1200 Maintainer: Debian Java Maintainers Architecture: all Suggests: libgeronimo-validation-1.0-spec-java (= 1.1-2) Size: 51488 SHA256: 9bb27b5fc6a2d7c00104995cedc1105ce9cd2bf63c27d44c7caf765433cb30c5 SHA1: adaa5a42a611307ca120604a5c834d1b3113f3ea MD5sum: 10591d55ad825b11bd949456ca94a4df Description: Documentation for libgeronimo-validation-1.0-spec-java Documentation for the Apache Geronimo implementation of the JSR-303 Bean Validation Spec API. . JSR 303 defines a metadata model and API for JavaBean validation. The default metadata source is annotations, with the ability to override and extend the meta-data through the use of XML validation descriptors. The API is not tied to a specific application tier or programming model. . It is specifically not tied to either the web tier or the persistence tier, and is available for both server-side application programming, as well as rich client Swing application developer. . This package contains only API of JSR-303 spec. Hibernate Validator is the reference implementation of this spec. Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/geronimo-validation-1.0-spec/libgeronimo-validation-1.0-spec-java-doc_1.1-2_all.deb Package: libges-0.10-0 Source: gstreamer0.10-editing-services Version: 0.10.1-2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 219 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.26.0), libgstreamer-plugins-base0.10-0 (>= 0.10.32), libgstreamer0.10-0 (>= 0.10.32), gstreamer0.10-plugins-base (>= 0.10.32), gstreamer0.10-x (>= 0.10.32), gstreamer0.10-plugins-good (>= 0.10.27), gstreamer0.10-gnonlin (>= 0.10.17) Homepage: http://gstreamer.freedesktop.org Priority: optional Section: libs Filename: pool/main/g/gstreamer0.10-editing-services/libges-0.10-0_0.10.1-2_armhf.deb Size: 91630 SHA256: ebe4b1b0535efef9beb1534e903e871356a4eab1cf49e27bf62a66804258ce6d SHA1: 1e068dadc998ea5f6102425032687760d37f006b MD5sum: 0b3f16ab55b063af248c74f04cd78155 Description: GStreamer editing services (shared library) The GStreamer multimedia framework and the accompanying GNonLin set of plugins for non-linear editing offer all the building blocks for: * Decoding and encoding to a wide variety of formats, through all the available GStreamer plugins. * Easily choosing segments of streams and arranging them through time through the GNonLin set of plugins. But all those building blocks only offer stream-level access, which results in developers who want to write non-linear editors to write a consequent amount of code to get to the level of non-linear editing notions which are closer and more meaningful for the end-user (and therefore the application). . The GStreamer Editing Services (GES) aims to fill the gap between GStreamer/GNonLin and the application developer by offering a series of classes to simplify the creation of many kind of editing-related applications. . This package contains the shared library for the GStreamer editing services. Package: libges-0.10-dev Source: gstreamer0.10-editing-services Version: 0.10.1-2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 401 Depends: libges-0.10-0 (= 0.10.1-2), libgstreamer-plugins-base0.10-dev (>= 0.10.32), libgstreamer0.10-dev (>= 0.10.32), libglib2.0-dev (>= 2.22) Homepage: http://gstreamer.freedesktop.org Priority: optional Section: libdevel Filename: pool/main/g/gstreamer0.10-editing-services/libges-0.10-dev_0.10.1-2_armhf.deb Size: 68626 SHA256: 984b0a673c4297f0e036c91e7d088125133bbacdab3a4efe574228abb7d59cfc SHA1: 57b506051a4ad910c6f854fc262bd24410d63c1e MD5sum: 87b654d439e348d734647717215cd450 Description: GStreamer editing services (development files) The GStreamer multimedia framework and the accompanying GNonLin set of plugins for non-linear editing offer all the building blocks for: * Decoding and encoding to a wide variety of formats, through all the available GStreamer plugins. * Easily choosing segments of streams and arranging them through time through the GNonLin set of plugins. But all those building blocks only offer stream-level access, which results in developers who want to write non-linear editors to write a consequent amount of code to get to the level of non-linear editing notions which are closer and more meaningful for the end-user (and therefore the application). . The GStreamer Editing Services (GES) aims to fill the gap between GStreamer/GNonLin and the application developer by offering a series of classes to simplify the creation of many kind of editing-related applications. . This package contains development files for the GStreamer editing services. Package: libges-0.10-doc Source: gstreamer0.10-editing-services Version: 0.10.1-2 Installed-Size: 980 Maintainer: Maintainers of GStreamer packages Architecture: all Depends: libglib2.0-doc, gstreamer0.10-doc, gstreamer0.10-plugins-base-doc Size: 136028 SHA256: bd2290a64afa5bca3ab090054f7a2b821ff94383ed865ed53e95c556e0245a16 SHA1: 8d4c1de68ce04859f25d371b83ec42a314a4dd84 MD5sum: 6a330d06ff092fe00a7ffac4332d72cd Description: GStreamer editing services (documentation) The GStreamer multimedia framework and the accompanying GNonLin set of plugins for non-linear editing offer all the building blocks for: * Decoding and encoding to a wide variety of formats, through all the available GStreamer plugins. * Easily choosing segments of streams and arranging them through time through the GNonLin set of plugins. But all those building blocks only offer stream-level access, which results in developers who want to write non-linear editors to write a consequent amount of code to get to the level of non-linear editing notions which are closer and more meaningful for the end-user (and therefore the application). . The GStreamer Editing Services (GES) aims to fill the gap between GStreamer/GNonLin and the application developer by offering a series of classes to simplify the creation of many kind of editing-related applications. . This package contains the documentation for the GStreamer editing services. Homepage: http://gstreamer.freedesktop.org Tag: devel::doc, role::documentation, works-with::audio, works-with::video Section: doc Priority: optional Filename: pool/main/g/gstreamer0.10-editing-services/libges-0.10-doc_0.10.1-2_all.deb Package: libgetargs-long-perl Version: 1.1003-2 Installed-Size: 104 Maintainer: Colin Watson Architecture: all Depends: perl (>= 5.6.1), liblog-agent-perl Size: 26152 SHA256: 2f92c93579b5f822571c81b6f6be7d69801aa259544e37a23f42da4b7f3c197a SHA1: 092328981f404b1cdbccf56bcb3244b522170373 MD5sum: 9a07a93849f27c654b9fc08669088ea7 Description: Perl module to parse long function arguments The Getargs::Long module allows usage of named parameters in function calls, along with optional argument type-checking. It provides an easy way to get at the parameters within the routine, and yields concise descriptions for the common cases of all-mandatory and all-optional parameter lists. . The validation of arguments can be done by a structure-driven routine getargs() which is fine for infrequently called routines (but should be slower), or via a dedicated routine created and compiled on the fly the first time it is needed, by using the cgetargs() family (expected to be faster). . The Log::Agent module is used to report errors, which leaves to the application the choice of the final logging method: to a file, to STDERR, or to syslog. . Note that this module is still in the alpha stage of development, and the interface to it may change: indeed, it changed between 0.1.2 and 0.1.3. Tag: devel::lang:perl, devel::library, implemented-in::perl, interface::commandline Section: perl Priority: optional Filename: pool/main/libg/libgetargs-long-perl/libgetargs-long-perl_1.1003-2_all.deb Package: libgetdata++2 Source: libgetdata Version: 0.7.3-6 Architecture: armhf Maintainer: Michael Milligan Installed-Size: 122 Depends: libgetdata4 (= 0.7.3-6), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Breaks: libgetdata (<< 0.7.3-1) Replaces: libgetdata (<< 0.7.3-1) Homepage: http://getdata.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libg/libgetdata/libgetdata++2_0.7.3-6_armhf.deb Size: 63466 SHA256: f013e63894c14a9b91d1eb6d9293e3dc4196e1ac576fcc45abbb09b7996a5f7e SHA1: e826a356bd924d7e8d8cb3597234a2c485d5bd33 MD5sum: c6473aa6f22fd9a67f3ed00d13e60fc0 Description: library to read/write dirfile data - C++ bindings The GetData Project is the reference implementation of the Dirfile Standards, a filesystem-based, column-oriented database format for time-ordered binary data. The Dirfile database format is designed to provide a fast, simple format for storing and reading data. Package: libgetdata-dev Source: libgetdata Version: 0.7.3-6 Architecture: armhf Maintainer: Michael Milligan Installed-Size: 1282 Depends: libgetdata4 (= 0.7.3-6), libgetdata++2 (= 0.7.3-6), libfgetdata2 (= 0.7.3-6), libf95getdata2 (= 0.7.3-6) Homepage: http://getdata.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libg/libgetdata/libgetdata-dev_0.7.3-6_armhf.deb Size: 448246 SHA256: 9671fc765471a8675e4f5a1c654e3d621f6f127e3f2e6258976e47eadb73eeb6 SHA1: 8523e5af45a078944a5834b69de8f2fa65959aba MD5sum: 47dd47f163d5bbdbc6fbbd9233a9dfad Description: library to read/write dirfile data - devel files (C, C++, F77, F95) The GetData Project is the reference implementation of the Dirfile Standards, a filesystem-based, column-oriented database format for time-ordered binary data. The Dirfile database format is designed to provide a fast, simple format for storing and reading data. Package: libgetdata-tools Source: libgetdata Version: 0.7.3-6 Architecture: armhf Maintainer: Michael Milligan Installed-Size: 128 Depends: libgetdata4 (= 0.7.3-6), libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4) Breaks: libgetdata-util (<< 0.7.3-3) Replaces: libgetdata-util (<< 0.7.3-3) Homepage: http://getdata.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libg/libgetdata/libgetdata-tools_0.7.3-6_armhf.deb Size: 75744 SHA256: 845f624483225408ae44f2842820c7b8ed48e1046aa95df15fffaab080fb7a23 SHA1: fa2c2cee4795890ee5a5a2429d30006c0198b829 MD5sum: cca627dedbfd1d94272ff1a1ebe21488 Description: library to read/write dirfile data - extra tools The GetData Project is the reference implementation of the Dirfile Standards, a filesystem-based, column-oriented database format for time-ordered binary data. The Dirfile database format is designed to provide a fast, simple format for storing and reading data. Package: libgetdata4 Source: libgetdata Version: 0.7.3-6 Architecture: armhf Maintainer: Michael Milligan Installed-Size: 305 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4) Breaks: libgetdata (<< 0.7.3-1) Replaces: libgetdata (<< 0.7.3-1) Homepage: http://getdata.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libg/libgetdata/libgetdata4_0.7.3-6_armhf.deb Size: 150660 SHA256: 18b25a9e086805156bf77778a3645b44749b504f74c1b3ecb641080ff4d060eb SHA1: 7df801d45787a8ef2661001994f0023bb285a4a6 MD5sum: 719760929e6cfc0d26c9fece70ccb3f9 Description: library to read/write dirfile data The GetData Project is the reference implementation of the Dirfile Standards, a filesystem-based, column-oriented database format for time-ordered binary data. The Dirfile database format is designed to provide a fast, simple format for storing and reading data. Package: libgetfem++-dbg Source: getfem++ Version: 4.1.1+dfsg1-12~deb7u1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 75380 Depends: libgetfem4++ (= 4.1.1+dfsg1-12~deb7u1) Homepage: http://home.gna.org/getfem/ Priority: extra Section: debug Filename: pool/main/g/getfem++/libgetfem++-dbg_4.1.1+dfsg1-12~deb7u1_armhf.deb Size: 73154546 SHA256: 7a4c0a88e934c555c8dfe5dac3e32704445aa2897dafb54524fbaeb13fe0bd6d SHA1: 1f9b075ba5641222b7ea9e2119be09fa25af7e81 MD5sum: eed5b98a9d733f5dc74308a5f33b9400 Description: Debugging symbols for the GETFEM++ generic finite element library GETFEM++ is a library allowing the computation of any elementary matrix (even for mixed finite element methods) on the largest class of methods and elements, and for arbitrary dimension. . This package contains debugging files used to investigate problems with binaries included in the GETFEM++ packages. Package: libgetfem++-dev Source: getfem++ Version: 4.1.1+dfsg1-12~deb7u1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 12785 Depends: libgetfem4++ (= 4.1.1+dfsg1-12~deb7u1), libboost-dev, libgmm++-dev, libsuperlu3-dev, libmuparser-dev Homepage: http://home.gna.org/getfem/ Priority: extra Section: libdevel Filename: pool/main/g/getfem++/libgetfem++-dev_4.1.1+dfsg1-12~deb7u1_armhf.deb Size: 3728316 SHA256: 2e795fdadfedba3d94c28884e33a7fc480233ddc85498f5198170749017558d1 SHA1: 593023a0abdf8fbf5a2ab2cc5bf6cc3b5c379c16 MD5sum: d96a5d18d246db9d4b2cc79640d314e0 Description: Development files for the GETFEM++ generic finite element library GETFEM++ is a library allowing the computation of any elementary matrix (even for mixed finite element methods) on the largest class of methods and elements, and for arbitrary dimension. . This package contains development files for building software that uses the GETFEM++ library. Package: libgetfem4++ Source: getfem++ Version: 4.1.1+dfsg1-12~deb7u1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 3905 Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmumps-seq-4.10.0, libmuparser2 (>= 2.1.0), libqhull5 (>= 2003.1), libstdc++6 (>= 4.6), libsuperlu3 (>= 3.0+20070106) Homepage: http://home.gna.org/getfem/ Priority: extra Section: libs Filename: pool/main/g/getfem++/libgetfem4++_4.1.1+dfsg1-12~deb7u1_armhf.deb Size: 1772160 SHA256: 355868d4f138367ff8e98c637fa1ec74cc55989ddd41a5326538be2edd19abe5 SHA1: 1b6530baaa89af0fe24e18e0151381bf7eff3790 MD5sum: b7fb1442cedf9c7d69b0504fe94f150e Description: GETFEM++ generic finite element library GETFEM++ is a library allowing the computation of any elementary matrix (even for mixed finite element methods) on the largest class of methods and elements, and for arbitrary dimension. Package: libgetopt++-dev Source: libgetopt++ Version: 0.0.2-p22-3 Architecture: armhf Maintainer: Robert Collins Installed-Size: 97 Depends: libgetopt++1 (= 0.0.2-p22-3) Priority: optional Section: libdevel Filename: pool/main/libg/libgetopt++/libgetopt++-dev_0.0.2-p22-3_armhf.deb Size: 23560 SHA256: be2858ca407358711727e4c472eaa1cabb5050ebc7021d9f27493291392f8b8d SHA1: c078e8ab0f06ae694146802a9b271f026d0dceff MD5sum: 6eb4747fe3b8a5fd005ee3c3598cdb2a Description: development files for libgetopt++ This package provides the development files for libgetopt++, a C++ library to parse options that a program gets specified from the command line. Install it if you want to compile a program that makes use of this library. Package: libgetopt++1 Source: libgetopt++ Version: 0.0.2-p22-3 Architecture: armhf Maintainer: Robert Collins Installed-Size: 63 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Priority: optional Section: libs Filename: pool/main/libg/libgetopt++/libgetopt++1_0.0.2-p22-3_armhf.deb Size: 18742 SHA256: 7eb1b90edbafce494fd6a0928670231be781abc8f3714ce93213a4a9af81bd02 SHA1: 2b6be99f51bc2d06162c926e948b221e2bbc6328 MD5sum: c879c11bc62dcef29020fd0be2ec2faa Description: C++ library for command line parsing libgetopt++ is a C++ library to parse options that a program gets specified from the command line. It has the following features: . * Minimal footprint in main.cc, and no header or source changes outside the user of an option when the option is altered or a new option added. . * Multiple option sets can co-exist safely. The default option set is a singleton, but additional static sets can be created and used. . * Easy to use: adding a new option is simply a case of adding a static variable for the option, in the scope that the option needs to be visible. . * There are multiple concrete Option classes provided: Bool, String, StringCollector. . * Extensible: simply create a new subclass of Option to implement a new Option type, and use it in your program. Package: libgetopt-argvfile-perl Version: 1.11-1 Installed-Size: 120 Maintainer: Debian Perl Group Architecture: all Replaces: libgetopt-argfile-perl Provides: libgetopt-argfile-perl Depends: perl (>= 5.6.0-16) Conflicts: libgetopt-argfile-perl Size: 31542 SHA256: 3a72e060c6ae5e7f3497dceaf1c749086bec56d602cac51fbb796ce47dece54a SHA1: 2dcddc33fa355214b43d37790fdc3396ef1dde2c MD5sum: a23a501ff883b0a628a6b7fada52246c Description: Perl module for reading script options and parameters from files This module simply interpolates option file hints in @ARGV by the contents of the pointed files. This enables option reading from files instead of or additional to the usual reading from the command line. . Alternatively, you can process any array instead of @ARGV which is used by default and mentioned mostly in this manual. . The interpolated @ARGV could be subsequently processed by the usual option handling, e.g. by a Getopt::xxx module. Getopt::ArgvFile does not perform any option handling itself, it only prepares the array @ARGV. Homepage: http://search.cpan.org/dist/Getopt-ArgvFile/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgetopt-argvfile-perl/libgetopt-argvfile-perl_1.11-1_all.deb Package: libgetopt-declare-perl Version: 1.14-1 Installed-Size: 204 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 64536 SHA256: 2e0523421dd79593a6c6772ea0408967758f85156fec35439c0699bbb2512e38 SHA1: e685b0e45d7a17bb3392b810eeebcd631bec76f2 MD5sum: c315f30694d88032dc80a73a54aeacce Description: module for parsing command line arguments Getopt::Declare provides facilities to parse command line options. It builds and runs a parser. The parser object can be saved and later run on different input. Key features are: . * Automatic generation of error, usage and version information * Matching of parameter components may be constrained by regular expressions * Execution of embedded actions * Declarative specification of inter-parameter relationships * Selective or global case-insensitive matching of parameters Homepage: http://search.cpan.org/dist/Getopt-Declare/ Tag: devel::lang:perl, devel::library, implemented-in::perl, interface::commandline Section: perl Priority: optional Filename: pool/main/libg/libgetopt-declare-perl/libgetopt-declare-perl_1.14-1_all.deb Package: libgetopt-euclid-perl Version: 0.3.5-1 Installed-Size: 147 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 47536 SHA256: 627c3565678c3ebb2a53d230ed72981e65aa77740819116d1eacd790830ec663 SHA1: d740b27dde9e2b95d13b64c69b4a03dbb219c2b1 MD5sum: 59cc2f25007c3b922b94a252b0a2ecc5 Description: command line interface dynamically built from the documentation 'Euclid' stands for Executable Uniform Command-Line Interface Descriptions. Getopt::Euclid uses your program's own documentation to create a command-line argument parser. This ensures that your program's documented interface and its actual interface always agree. Homepage: http://search.cpan.org/dist/Getopt-Euclid/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgetopt-euclid-perl/libgetopt-euclid-perl_0.3.5-1_all.deb Package: libgetopt-java Version: 1.0.13-4 Installed-Size: 28 Maintainer: Debian Java Maintainers Architecture: all Suggests: default-jdk-doc Size: 23106 SHA256: 787cac0104e847f02aca08deee2898182572edfe68f4406471ab77e5d1675daa SHA1: 1eb8e9e57a0f9d8d48486e5db51084fd13580ef0 MD5sum: b4b8abbdb2b8a098f3db1b676e3e14e3 Description: GNU getopt - Java port The GNU Java getopt classes support short and long argument parsing in a manner 100% compatible with the version of GNU getopt in glibc 2.0.6 with a mostly compatible programmer's interface as well. Note that this is a port, not a new implementation. Homepage: http://www.urbanophile.com/arenn/hacking/download.html Tag: devel::lang:java, devel::library, implemented-in::java, interface::commandline, role::app-data, role::devel-lib, role::shared-lib, suite::gnu Section: java Priority: optional Filename: pool/main/libg/libgetopt-java/libgetopt-java_1.0.13-4_all.deb Package: libgetopt-java-doc Source: libgetopt-java Version: 1.0.13-4 Installed-Size: 169 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc Size: 27980 SHA256: 135e631c4a339b640f17e36f34a4e89919d877181fb83973bb93eb8c10b1ca6a SHA1: 454829f16bc800fb96872823023e33f20160e6d2 MD5sum: a89f47c64bf6b56bc9c3b74912d88ced Description: GNU getopt - Java port (doc) The GNU Java getopt classes support short and long argument parsing in a manner 100% compatible with the version of GNU getopt in glibc 2.0.6 with a mostly compatible programmer's interface as well. Note that this is a port, not a new implementation. . This package contains the Java Programming API docs. Homepage: http://www.urbanophile.com/arenn/hacking/download.html Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libgetopt-java/libgetopt-java-doc_1.0.13-4_all.deb Package: libgetopt-long-descriptive-perl Version: 0.091-1 Installed-Size: 88 Maintainer: Debian Perl Group Architecture: all Depends: perl, libio-stringy-perl, libparams-validate-perl (>= 0.97), libsub-exporter-perl Size: 25898 SHA256: 13d73801f0fbdc03bc63dd544e60b5769d32640a915a376393aa79d43e86412a SHA1: 4a1dd55700930153195d618164774770b06baad6 MD5sum: e0b353aede28891cae92ac215069b0c9 Description: module that handles command-line arguments with usage text Getopt::Long::Descriptive is a convenience wrapper for Getopt::Long, which allows one to easily define options in the same familiar way, while also supporting custom descriptions for program usage output. Homepage: http://search.cpan.org/dist/Getopt-Long-Descriptive/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgetopt-long-descriptive-perl/libgetopt-long-descriptive-perl_0.091-1_all.deb Package: libgetopt-lucid-perl Version: 1.01-1 Installed-Size: 121 Maintainer: Debian Perl Group Architecture: all Depends: perl, libexception-class-perl Size: 34334 SHA256: 52c5f984c6413e11f7941e597255ba6aca1553bffa4f9fb3eba2044ff648cdc4 SHA1: 8e75744d7563d55f3a10e5b39caa326c52878798 MD5sum: a40044aac6b04130d6ec438580ef550f Description: module for parsing command line arguments Getopt::Lucid is a Perl module for parsing command line arguments, similar in nature to Getopt::Long (in Perl core). The goal of this module is to provide good code readability and clarity of intent, relying on plain-English option specification as opposed to the more symbolic approach of Getopt::Long. Homepage: http://search.cpan.org/dist/Getopt-Lucid/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgetopt-lucid-perl/libgetopt-lucid-perl_1.01-1_all.deb Package: libgetopt-mixed-perl Version: 1.008-10 Installed-Size: 88 Maintainer: Ezra Pagel Architecture: all Depends: perl (>= 5.6.0-16) Size: 19332 SHA256: 478cbc3725e362c6e1f82421aba9ff3a448667dcae12d593b20717af04d51637 SHA1: 79fe1a65af38b932bd16d410b1aeedd2a08f78f5 MD5sum: 54f5bf0d91db5990f3dbde3278a5ea77 Description: Perl module for processing options in GNU-style (= long and short) This module is intended to be the "Getopt-to-end-all-Getop's". It combines flexibility and simplicity. It supports both short options (introduced by `-') and long options (introduced by `--'). Short options which do not take an argument can be grouped together. Short options which do take an argument must be the last option in their group, because everything following the option will be considered to be its argument. Tag: devel::lang:perl, devel::library, implemented-in::perl, interface::commandline Section: perl Priority: optional Filename: pool/main/libg/libgetopt-mixed-perl/libgetopt-mixed-perl_1.008-10_all.deb Package: libgetopt-ocaml-dev Source: ocaml-getopt Version: 0.0.20040811-10 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 100 Depends: ocaml-nox-3.12.1 Provides: libgetopt-ocaml-dev-fmk40 Homepage: http://alain.frisch.fr/soft.html#Getopt Priority: optional Section: ocaml Filename: pool/main/o/ocaml-getopt/libgetopt-ocaml-dev_0.0.20040811-10_armhf.deb Size: 12588 SHA256: bf130575bf1afc9e995399c103165261cd8a275aee1b103a309c2a2400d3faca SHA1: c888d82db123cde688feb049591ef8888e95ca58 MD5sum: 449ccab718fe8c3b9f0e427d162c066d Description: command line parsing library for OCaml This package provides the Getopt module that is an alternative to the Arg module in the standard distribution. Getopt supports the general command line syntax of GNU getopt and getopt_long, but is close to the spirit of the Arg module: the programmer gives to the general parsing function a list of possible options, together with the behavior of these options. Package: libgetopt-simple-perl Version: 1.52-2 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 13756 SHA256: 00c8ec84d09d1f770d23777700d4592b5ffe8044b7ba78945b8601688efeb38e SHA1: a75f62c083cd6f564a8d579d0f99878eedb5e7d7 MD5sum: a142f30e4e4b07308f7e02a44b8db30f Description: provide a simple wrapper around Getopt::Long The Getopt::Simple module provides a simple way of specifying: * Command line switches * Type information for switch values * Default values for the switches * Help text per switch Homepage: http://search.cpan.org/dist/Getopt-Simple/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgetopt-simple-perl/libgetopt-simple-perl_1.52-2_all.deb Package: libgetopt-tabular-perl Version: 0.3-1 Installed-Size: 144 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 43610 SHA256: 3a6f524bf2608f1d372a968d2ac90bf4638c461444299b189fc1de1ef3527c01 SHA1: 048930812509b3af0d811af41bd74fd8002a5a6f MD5sum: c3f3a145a05ee554c3cf93975c01944d Description: table-driven argument parsing for Perl 5 Getopt::Tabular is a Perl 5 module for table-driven argument parsing, vaguely inspired by John Ousterhout's Tk_ParseArgv. . Some nice features of Getopt::Tabular are: * Command-line arguments are carefully type-checked, both by pattern and number -- e.g. if an option requires two integers, GetOptions makes sure that exactly two integers follow it! * The valid command-line arguments are specified in a data structure separate from the call to GetOptions; this makes it easier to have very long lists of options, and to parse options from multiple sources (e.g. the command line, an environment variable, and a configuration file). * Getopt::Tabular can intelligently generate help text based on your option descriptions. * The type system is extensible, and if you can define your desired argument type using a single Perl regular expression then it's particularly easy to extend. * Options can be abbreviated and come in any order. * A "spoof" mode in which arguments are parsed without side-effects. Homepage: http://search.cpan.org/dist/Getopt-Tabular/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgetopt-tabular-perl/libgetopt-tabular-perl_0.3-1_all.deb Package: libgetopt-usaginator-perl Version: 0.0012-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl, libpackage-pkg-perl Size: 6870 SHA256: 2e2208c9f9f95adfb054640d2d1d7dcbe4d80921075a71e2af00885f44d01926 SHA1: 19ba697c4054f63e44720897eb37a6b9e3545de6 MD5sum: 177fa53d8645924798177c4a2e237b6f Description: syntactic sugar for creating a command line usage function Getopt::Usaginator is a tool for creating a usage subroutine for commandline applications. You decide the text of the usage function in the use declaration and it generates a usage method. It does not do any option parsing, but is best paired with Getopt::Long or any of the other myriad of option parsers Homepage: http://search.cpan.org/dist/Getopt-Usaginator/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgetopt-usaginator-perl/libgetopt-usaginator-perl_0.0012-1_all.deb Package: libgettext-activerecord-ruby Source: ruby-gettext-activerecord Version: 2.1.0-5 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gettext-activerecord Size: 4338 SHA256: 80e1e1994fe1dae0b69c3fc0e801ce2475d6a9e7b58ecc2d88f18927e7ed2b7e SHA1: d1551da6c79a756a5488900d4e81b75fc2dfa48c MD5sum: 65d96ebbc4225e6d9e43e0b7baa55425 Description: Transitional package for ruby-gettext-activerecord This is a transitional package to ease upgrades to the ruby-gettext-activerecord package. It can safely be removed. Homepage: http://www.yotabanana.com/hiki/ruby-gettext.html Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gettext-activerecord/libgettext-activerecord-ruby_2.1.0-5_all.deb Package: libgettext-activerecord-ruby-common Source: ruby-gettext-activerecord Version: 2.1.0-5 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gettext-activerecord Size: 4344 SHA256: 628cf2880cd25b5074b0fa91dc49e1052f140a3f212747787811abddf57b5646 SHA1: a37d20f55ea0afe9f7e8edcc1c46a8c2283c464c MD5sum: 11e7ba406d671912839c80e67657ab25 Description: Transitional package for ruby-gettext-activerecord This is a transitional package to ease upgrades to the ruby-gettext-activerecord package. It can safely be removed. Homepage: http://www.yotabanana.com/hiki/ruby-gettext.html Section: ruby Priority: optional Filename: pool/main/r/ruby-gettext-activerecord/libgettext-activerecord-ruby-common_2.1.0-5_all.deb Package: libgettext-activerecord-ruby1.8 Source: ruby-gettext-activerecord Version: 2.1.0-5 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gettext-activerecord Size: 4344 SHA256: 81c4fb3529bc4365f41c2eea0dbb12c0968afce7fa20b72daad88e9fe0c8b3ef SHA1: f4db6807992525f6bf9effbd366b311bbbf43374 MD5sum: a4644a10cc538da25754266750d00a02 Description: Transitional package for ruby-gettext-activerecord This is a transitional package to ease upgrades to the ruby-gettext-activerecord package. It can safely be removed. Homepage: http://www.yotabanana.com/hiki/ruby-gettext.html Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gettext-activerecord/libgettext-activerecord-ruby1.8_2.1.0-5_all.deb Package: libgettext-activerecord-ruby1.9.1 Source: ruby-gettext-activerecord Version: 2.1.0-5 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gettext-activerecord Size: 4346 SHA256: d6b3cd1e5f5591e716e248ce683b1f1afb1ae59239dbec8b537c61967860d7e5 SHA1: 6a5b52f0555f398adf251e83c79ce1c7a515bc70 MD5sum: c4ee25e3f154165805937c1afe30fcba Description: Transitional package for ruby-gettext-activerecord This is a transitional package to ease upgrades to the ruby-gettext-activerecord package. It can safely be removed. Homepage: http://www.yotabanana.com/hiki/ruby-gettext.html Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gettext-activerecord/libgettext-activerecord-ruby1.9.1_2.1.0-5_all.deb Package: libgettext-ant-tasks-java Source: gettext-ant-tasks Version: 0.9.7~svn203-2 Installed-Size: 52 Maintainer: David Paleino Architecture: all Recommends: ant Size: 16210 SHA256: eb5df4ee17957f07fbff2fad26279d3b604f2b8c4ef323f15d2d0ff46c2436c5 SHA1: 7ba70e2f147d2bdd8cd7f6ad34ad56cd408b607f MD5sum: 16edfe2043e37e721b7411fcc2ecf0f4 Description: Java classes for internationalization (i18n) - Ant tasks Lightweight library combining the power of the unix-style gettext tools with the widely used Java ResourceBundles. This makes it possible to use the original text instead of arbitrary property keys, which is less cumbersome and makes programs easier to read. . This package contains tasks to be used with the Ant build system. Homepage: http://code.google.com/p/gettext-commons/ Section: java Priority: optional Filename: pool/main/g/gettext-ant-tasks/libgettext-ant-tasks-java_0.9.7~svn203-2_all.deb Package: libgettext-commons-java Version: 0.9.6-2 Installed-Size: 108 Maintainer: Debian Java Maintainers Architecture: all Size: 19278 SHA256: f3345183941f2f2c86731af9641de433954e213dd4e1905c7647e165c6481e2f SHA1: 948486204b1bf696dcbc8bfe69dfcdb4f595f663 MD5sum: 627b174183f76b9e9dc7a332402eeffb Description: Java classes for internationalization (i18n) Lightweight library combining the power of the unix-style gettext tools with the widely used Java ResourceBundles. This makes it possible to use the original text instead of arbitrary property keys, which is less cumbersome and makes programs easier to read. Homepage: http://xnap-commons.sourceforge.net/gettext-commons/ Tag: devel::i18n, implemented-in::java, role::shared-lib Section: java Priority: optional Filename: pool/main/libg/libgettext-commons-java/libgettext-commons-java_0.9.6-2_all.deb Package: libgettext-ocaml Source: ocaml-gettext Version: 0.3.4-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 66 Depends: ocaml-base-nox-3.12.1, libc6 (>= 2.13-28) Provides: libgettext-ocaml-s6wy4 Homepage: http://forge.ocamlcore.org/projects/ocaml-gettext/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-gettext/libgettext-ocaml_0.3.4-1_armhf.deb Size: 10308 SHA256: 0915b3211c99b354271bebb3f5635a24c6ddc3dbccf6d308bd0160ef0511924a SHA1: 1e8e7d180c09c3264c3eec1aa06995e2dcb099a2 MD5sum: 71bdd24ae2371145e3db1011bf009e21 Description: OCaml internationalization shared library This library provides utilities and libraries to enable localization in OCaml programs. It is based on the same principle of GNU gettext. . The package provides two libraries to do effectively translation : - gettext-camomile : pure OCaml implementation of gettext - gettext-stub : implementation using the gettext library. . This package contains shared library. Package: libgettext-ocaml-dev Source: ocaml-gettext Version: 0.3.4-1 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 2789 Depends: libcamomile-ocaml-dev, libfileutils-ocaml-dev, libgettext-ocaml (= 0.3.4-1), camlp4-3.12.1, libcamomile-ocaml-dev-q12e4, libfileutils-ocaml-dev-2zro0, libgettext-ocaml-s6wy4, ocaml-nox-3.12.1 Suggests: ocaml-findlib Provides: libgettext-ocaml-dev-s6wy4 Homepage: http://forge.ocamlcore.org/projects/ocaml-gettext/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-gettext/libgettext-ocaml-dev_0.3.4-1_armhf.deb Size: 458232 SHA256: e37cd8ede2ac3555fd0edecefda4680e8b692dc8649c14917fb4ddcffd083ee7 SHA1: b2f1188ef9d54c0d41fc30bfc2d9202bffadab31 MD5sum: 2b87bbc581da677df12ea9f2b5c86b8e Description: OCaml internationalization library This library provides utilities and libraries to enable localization in OCaml programs. It is based on the same principle of GNU gettext. . The package provides two libraries to do effectively translation : - gettext-camomile : pure OCaml implementation of gettext - gettext-stub : implementation using the gettext library. . This package contains header, OCaml library and devel tools. Package: libgettext-rails-ruby Source: ruby-gettext-rails Version: 2.1.0-3 Installed-Size: 30 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gettext-rails Size: 4240 SHA256: 896be5010417cd2c51affa52dc03e19bced207859723fa5c5491e3a60c8c567f SHA1: 302df0edac1b2be4ca9529d8336cdea23edecea7 MD5sum: 701a4ee653ef10df00c31d85dc603403 Description: Transitional package for ruby-gettext-rails This is a transitional package to ease upgrades to the ruby-gettext-rails package. It can safely be removed. Homepage: http://gettext.rubyforge.org/ Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gettext-rails/libgettext-rails-ruby_2.1.0-3_all.deb Package: libgettext-rails-ruby-doc Source: ruby-gettext-rails Version: 2.1.0-3 Installed-Size: 30 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gettext-rails Size: 4248 SHA256: c8e7b1f3fc49e76cc53dba678551273d87c72f39786c5c133122e5d618771519 SHA1: 471a223cd44ae00e8f98a0f3397cd9fe1c2a4f67 MD5sum: ced16fa860f6d6a45b1021e0306db47b Description: Transitional package for ruby-gettext-rails This is a transitional package to ease upgrades to the ruby-gettext-rails package. It can safely be removed. Homepage: http://gettext.rubyforge.org/ Tag: devel::doc, devel::i18n, devel::lang:ruby, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/r/ruby-gettext-rails/libgettext-rails-ruby-doc_2.1.0-3_all.deb Package: libgettext-rails-ruby1.8 Source: ruby-gettext-rails Version: 2.1.0-3 Installed-Size: 30 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gettext-rails Size: 4244 SHA256: 8671ec332c90abd4138689bdc0f87d09e5baf1a3847542235311a589ef997183 SHA1: 38d17458c5f1898f7eaf57a15764f3961609cc4e MD5sum: bf88fa7f8b5c417cdd69c978782a6c47 Description: Transitional package for ruby-gettext-rails This is a transitional package to ease upgrades to the ruby-gettext-rails package. It can safely be removed. Homepage: http://gettext.rubyforge.org/ Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gettext-rails/libgettext-rails-ruby1.8_2.1.0-3_all.deb Package: libgettext-ruby Source: ruby-gettext Version: 2.2.1-3 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gettext Size: 5920 SHA256: 44e03fc309ac61950455b2c77120959d3bbbd194ddd44c5f609e851c8ed0ff04 SHA1: ae833afa0a28baa605a5f0170d224a2bac45f5ef MD5sum: 4efc104a754449501b58afb2e0cb77da Description: Transitional package for ruby-gettext This is a transitional package to ease upgrades to the ruby-gettext package. It can safely be removed. Homepage: http://ruby-gettext.github.com/ Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gettext/libgettext-ruby_2.2.1-3_all.deb Package: libgettext-ruby-util Source: libgettext-ruby Version: 2.1.0-2.1 Installed-Size: 36 Maintainer: Tatsuki Sugiura Architecture: all Depends: libgettext-ruby1.8 (>= 2.0.0) Size: 6982 SHA256: a872a52c88785955884ff7324caaf752baf0fa3717b591a8a2f5a006ada42737 SHA1: 9e65f0ed0517e13465f9b34f1c73cc96a8588ab9 MD5sum: 058a4edea7b146e2f11c697f00cbab0d Description: Gettext utilities for ruby (dummy package) Ruby GetText Package is Native Language Support Library and Tools which modeled after GNU gettext package. . Now libgettext-ruby-util is dummy package for transition. Use libgettext-ruby1.8. Homepage: http://rubyforge.org/projects/gettext Tag: devel::lang:ruby, devel::library, implemented-in::ruby, interface::commandline, role::program, scope::utility Section: interpreters Priority: optional Filename: pool/main/libg/libgettext-ruby/libgettext-ruby-util_2.1.0-2.1_all.deb Package: libgettext-ruby1.8 Source: ruby-gettext Version: 2.2.1-3 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gettext Size: 5928 SHA256: 1bde8ca034a0ee8fe8d85aa2e044d1b7e1749391a8b1652ce004c784cecbddce SHA1: 7dbbc882852ee5e1c5c56054a6ff5242454a6e37 MD5sum: 99a7912ba7a9104de09420e323cd09e4 Description: Transitional package for ruby-gettext This is a transitional package to ease upgrades to the ruby-gettext package. It can safely be removed. Homepage: http://ruby-gettext.github.com/ Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gettext/libgettext-ruby1.8_2.2.1-3_all.deb Package: libgettext-ruby1.9.1 Source: ruby-gettext Version: 2.2.1-3 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gettext Size: 5926 SHA256: c7e76c8b6705163d91984a398fc4f90f54ca00e636d13f392269684a827cc434 SHA1: f121718b3b4d4060a9baf36eb570d1e43afd31a9 MD5sum: db98abbcd786b94b5e0c66b2891df6c3 Description: Transitional package for ruby-gettext This is a transitional package to ease upgrades to the ruby-gettext package. It can safely be removed. Homepage: http://ruby-gettext.github.com/ Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gettext/libgettext-ruby1.9.1_2.2.1-3_all.deb Package: libgettextpo0 Source: gettext Version: 0.18.1.1-9 Architecture: armhf Maintainer: Santiago Vila Installed-Size: 308 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libunistring0 Breaks: gettext (<< 0.18.1.1-6) Replaces: gettext (<< 0.18.1.1-6) Multi-Arch: same Homepage: http://www.gnu.org/software/gettext/ Priority: optional Section: libs Filename: pool/main/g/gettext/libgettextpo0_0.18.1.1-9_armhf.deb Size: 130446 SHA256: ead25fb6b31ebcf5c02ebda8db04acf8facfa53c9007ca11c3172180d53d6439 SHA1: 81211e9f44d4e6111a34c44ced87a004f0f573a4 MD5sum: 97908a2ef658faccd611266eb1c20e92 Description: GNU Internationalization library This package contains the libgettextpo shared library for interfacing programmatically with GNU gettext .po files. Package: libgexiv2-1 Source: gexiv2 Version: 0.4.1-3 Architecture: armhf Maintainer: Debian Shotwell Maintainers Installed-Size: 152 Depends: libc6 (>= 2.13-28), libexiv2-12, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0) Breaks: shotwell (<< 0.12) Homepage: http://redmine.yorba.org/projects/gexiv2/wiki Priority: optional Section: libs Filename: pool/main/g/gexiv2/libgexiv2-1_0.4.1-3_armhf.deb Size: 49358 SHA256: 40130632bb45db4129b722176b1ce38ebb66c92e3bab5f7ac22c244bdd8b2504 SHA1: e342add58b05782e39483b41c582f3f485bb4110 MD5sum: 48d6c9ac0ad7ae3b541dcea96f8ca614 Description: GObject-based wrapper around the Exiv2 library gexiv2 is a GObject-based wrapper around the Exiv2 library. It makes the basic features of Exiv2 available to GNOME applications. . This package contains the shared library. Package: libgexiv2-1-dbg Source: gexiv2 Version: 0.4.1-3 Architecture: armhf Maintainer: Debian Shotwell Maintainers Installed-Size: 364 Depends: libgexiv2-1 (= 0.4.1-3) Homepage: http://redmine.yorba.org/projects/gexiv2/wiki Priority: extra Section: debug Filename: pool/main/g/gexiv2/libgexiv2-1-dbg_0.4.1-3_armhf.deb Size: 298592 SHA256: 2a7b0ecacc5995559f92347f417fceeb12befa51457ebec8053fba698e87a30c SHA1: edad4aff7cc71fba9bcd29ce696220cb21ce8620 MD5sum: 3ea77fba5599db3404eeb83e8f231ff2 Description: GObject-based wrapper around the Exiv2 library (debugging symbols) gexiv2 is a GObject-based wrapper around the Exiv2 library. It makes the basic features of Exiv2 available to GNOME applications. . This package contains debugging symbols. Package: libgexiv2-dev Source: gexiv2 Version: 0.4.1-3 Architecture: armhf Maintainer: Debian Shotwell Maintainers Installed-Size: 277 Depends: libgexiv2-1 (= 0.4.1-3), libexiv2-dev Homepage: http://redmine.yorba.org/projects/gexiv2/wiki Priority: optional Section: libdevel Filename: pool/main/g/gexiv2/libgexiv2-dev_0.4.1-3_armhf.deb Size: 69038 SHA256: c90671f19e9aa44c0243d3debfc93803c671476ea0b51f66be5b30c8ec19826e SHA1: 5719017b2db16395ee12b1be75e0c3f75b76c565 MD5sum: 423772390d503d9ec34cd56bbe714e5e Description: GObject-based wrapper around the Exiv2 library (development files) gexiv2 is a GObject-based wrapper around the Exiv2 library. It makes the basic features of Exiv2 available to GNOME applications. . This package contains the static library and header files. Package: libgfarm-dev Source: gfarm Version: 2.4.1-1.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 839 Depends: libgfarm1 (= 2.4.1-1.1) Conflicts: libgfarm-dev Homepage: http://datafarm.apgrid.org/ Priority: extra Section: libdevel Filename: pool/main/g/gfarm/libgfarm-dev_2.4.1-1.1_armhf.deb Size: 324702 SHA256: 3ebcc452fe525f2370797e0ea3bedbc4cdb4f29787bbb49d3c3ae44e9757e29d SHA1: 00fdddb28f4e7d8a3117342ad5f894b833252f5d MD5sum: 60a9a4ccdaccc14aede598b589d84fe3 Description: Gfarm file system development files The Gfarm file system is designed to turn commodity PCs into nodes of a distributed storage network, implementing the Grid Datafarm architecture for global petascale data-intensive computing. It solves performance and reliability problems in NFS and AFS by means of multiple file replicas, and not only prevents performance degradation due to access concentration, but also supports fault tolerance and disaster recovery. . This package provides the static library, header files, and man pages required for Gfarm development. Package: libgfarm1 Source: gfarm Version: 2.4.1-1.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 373 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0) Homepage: http://datafarm.apgrid.org/ Priority: extra Section: libs Filename: pool/main/g/gfarm/libgfarm1_2.4.1-1.1_armhf.deb Size: 150362 SHA256: 05e423ba2d95713c4b9a102d71175d342ef3506a244c598bc9710392f4eeba5b SHA1: eef161a859f81e1d069f8195ebe36eb1129319f5 MD5sum: 87c5836c93631ac51c3b7b60bf28db98 Description: Gfarm file system runtime library The Gfarm file system is designed to turn commodity PCs into nodes of a distributed storage network, implementing the Grid Datafarm architecture for global petascale data-intensive computing. It solves performance and reliability problems in NFS and AFS by means of multiple file replicas, and not only prevents performance degradation due to access concentration, but also supports fault tolerance and disaster recovery. . This package provides the shared library required for accessing files via Gfarm. Package: libgfcui-doc Source: gfcui Version: 2.3.1-8.1 Installed-Size: 34632 Maintainer: Goedson Teixeira Paixao Architecture: all Size: 3757798 SHA256: ae89048cf0bb531b2279b917b3958f50cc942f4a131146ca4a30a8fb292b32dd SHA1: 0781c5347725861f467eae4b29f4ab8b07a95553 MD5sum: 34bd6b611d0a507af829baef32044b75 Description: GTK+ Foundation Classes UI - API reference documentation GTK+ Foundation Classes (GFC) is a set of integrated C++ foundation classes for developing GTK+ applications. . GFC-UI is a collection of C++ modules that form the user interface library of GFC. This is the library you will primarily use to build your applications interface. . GFC-UI includes C++ wrappers for the ATK, GDK, GTK+, GdkPixbuf and Pango libraries. . This package provides the GFC-UI library API reference. Homepage: http://www.sourceforge.net/projects/gfc/ Tag: devel::doc, devel::lang:c++, devel::library, made-of::html, role::documentation, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/g/gfcui/libgfcui-doc_2.3.1-8.1_all.deb Package: libgflags-dev Source: gflags Version: 2.0-1 Architecture: armhf Maintainer: Koichi Akabe Installed-Size: 431 Depends: libgflags2 (= 2.0-1) Homepage: https://code.google.com/p/gflags/ Priority: optional Section: libdevel Filename: pool/main/g/gflags/libgflags-dev_2.0-1_armhf.deb Size: 139448 SHA256: 312e95f457375ca373df2921507b579e524a7b6d1ec36868729d603846748027 SHA1: a230770df14f3805292e61ab14b0b4b1102f0fa1 MD5sum: 2a20f55dc5ac9cf0e5b72b1ce0a4efc6 Description: commandline flags module for C++ (development files) gflag is a library that implements commandline flags processing. As such it's a replacement for getopt(). It has increased flexibility, including built-in support for C++ types like string, and the ability to define flags in the source file in which they're used. . This package contains development libraries and header files. Package: libgflags-doc Source: gflags Version: 2.0-1 Installed-Size: 33 Maintainer: Koichi Akabe Architecture: all Size: 18762 SHA256: e4291be8cb9b322fe24321714a40d32545f5520390199bd7b29556db345c7b77 SHA1: 4b5c50e0820fe4848f68392eabe7d19bed1d2d14 MD5sum: 81c1655dd9a292b9df775165f99ae4c5 Description: documentation of gflags gflag is a library that implements commandline flags processing. As such it's a replacement for getopt(). It has increased flexibility, including built-in support for C++ types like string, and the ability to define flags in the source file in which they're used. . This package contains documentation files. Homepage: https://code.google.com/p/gflags/ Section: doc Priority: optional Filename: pool/main/g/gflags/libgflags-doc_2.0-1_all.deb Package: libgflags2 Source: gflags Version: 2.0-1 Architecture: armhf Maintainer: Koichi Akabe Installed-Size: 258 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: https://code.google.com/p/gflags/ Priority: optional Section: libs Filename: pool/main/g/gflags/libgflags2_2.0-1_armhf.deb Size: 105464 SHA256: e4e9270785817e672fde08310423877f5199599c1950d774aff65cbaea24426d SHA1: 2b85eb2f6395a92ae2817c020fa3e21c60894561 MD5sum: 10cf57110132a94e9a1f13114e310ba2 Description: commandline flags module for C++ (shared library) gflag is a library that implements commandline flags processing. As such it's a replacement for getopt(). It has increased flexibility, including built-in support for C++ types like string, and the ability to define flags in the source file in which they're used. . This package contains shared libraries. Package: libgfortran3 Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 697 Pre-Depends: multiarch-support Depends: gcc-4.7-base (= 4.7.2-5+rpi1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Breaks: gcc-4.1, gcc-4.3 (<< 4.3.6-1), gcc-4.4 (<< 4.4.6-4), gcc-4.5 (<< 4.5.3-2) Provides: libgfortran3-armhf Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gcc-4.7/libgfortran3_4.7.2-5+rpi1_armhf.deb Size: 236520 SHA256: 1477194f2eea52b3a0a6bee4ad10ae0c638ca966410140bd7680f90581f50aa1 SHA1: 41530cadb35149aba6c690f4578d32644f894908 MD5sum: 67f68a7a9abb345c3c5dc4d8b85f1b50 Description: Runtime library for GNU Fortran applications Library needed for GNU Fortran applications linked against the shared library. Package: libgfortran3-dbg Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 2654 Depends: gcc-4.7-base (= 4.7.2-5+rpi1), libgfortran3 (= 4.7.2-5+rpi1) Provides: libgfortran3-dbg-armhf Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: extra Section: debug Filename: pool/main/g/gcc-4.7/libgfortran3-dbg_4.7.2-5+rpi1_armhf.deb Size: 615364 SHA256: 5e644cb9a1b00b038dfeb248ef292a1027df2f93e2f462d7725b43fe70506b3b SHA1: 6de8a2b598b5dca3c6f13fd132304d3832bf9c8a MD5sum: 95a1c124a31288ccf94b279a28e3cead Description: Runtime library for GNU Fortran applications (debug symbols) Library needed for GNU Fortran applications linked against the shared library. Package: libgfs-1.3-2 Source: gerris Version: 20110329-dfsg.2-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1301 Depends: libc6 (>= 2.13-28) Conflicts: libgfs-1.3-1 (>= 20090512-dfsg.1-1), libgfs-mpi-1.3-2 Replaces: libgfs-1.3-1 (>= 20090512-dfsg.1-1), libgfs-mpi-1.3-2 Homepage: http://gfs.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/g/gerris/libgfs-1.3-2_20110329-dfsg.2-1_armhf.deb Size: 639414 SHA256: a4612f367f7b9d06ae5ab2883e94ad36f4357a5d336e1de005765120b0e377b3 SHA1: 58183aea21ea8fc067cf4b75c4b452a900dcdae7 MD5sum: 986957fbed39f82d52c9efdf9fa0ede3 Description: Gerris Flow Solver -- shared libraries Gerris is a system for the solution of the partial differential equations describing fluid flow. . This package contains the shared libraries for libgfs. Package: libgfs-dbg Source: gerris Version: 20110329-dfsg.2-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 3830 Depends: libgfs-1.3-2 (= 20110329-dfsg.2-1) | libgfs-mpi-1.3-2 (= 20110329-dfsg.2-1) Homepage: http://gfs.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/g/gerris/libgfs-dbg_20110329-dfsg.2-1_armhf.deb Size: 1596638 SHA256: bd3cea3ba46a9c33ad5351dbf613b2f92d2dbc4bdb5c753da5b8e333d1004706 SHA1: 7ae5c45df367c23e9c4d69444c016ac7f58d1153 MD5sum: f75d8b4b12fa44532fdb0a7df72f2f8c Description: Gerris Flow Solver -- libraries with debugging symbols Gerris is a system for the solution of the partial differential equations describing fluid flow. . This package contains the debugging symbols for libgfs. Package: libgfs-dev Source: gerris Version: 20110329-dfsg.2-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 2020 Depends: libgfs-1.3-2 (= 20110329-dfsg.2-1) Conflicts: libgfs-mpi-dev Replaces: libgfs-mpi-dev Homepage: http://gfs.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/g/gerris/libgfs-dev_20110329-dfsg.2-1_armhf.deb Size: 798142 SHA256: 5f63fdbcfef3fdf5f9398df4239e07e9970fcea379fb7b6ec43e9a7c33ad11c8 SHA1: 0119b25e174a17f2bec499a3ff38b7667f79ef77 MD5sum: 53e665064028ddfe16b02f802fef715d Description: Gerris Flow Solver -- development libraries and headers Gerris is a system for the solution of the partial differential equations describing fluid flow. . This package contains the headers and development libraries needed to build applications using libgfs. Package: libgfs-mpi-1.3-2 Source: gerris Version: 20110329-dfsg.2-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1327 Depends: libc6 (>= 2.13-28), libopenmpi1.3 Conflicts: libgfs-1.3-2 Replaces: libgfs-1.3-2 Homepage: http://gfs.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/g/gerris/libgfs-mpi-1.3-2_20110329-dfsg.2-1_armhf.deb Size: 658566 SHA256: 377ef211ab3cb1cfc6e7318a5591acff7eb387687705d822a3ae888e41018ab0 SHA1: 40defe1d3fb8cd50b551ef037cb15b9ee1c9425d MD5sum: d39fe822159b427728e06bd3f037e945 Description: Gerris Flow Solver -- shared libraries Gerris is a system for the solution of the partial differential equations describing fluid flow. . This package contains the shared libraries for libgfs. . This package has MPI support built in. Package: libgfs-mpi-dev Source: gerris Version: 20110329-dfsg.2-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 2067 Depends: libgfs-mpi-1.3-2 (= 20110329-dfsg.2-1) Conflicts: libgfs-dev Replaces: libgfs-dev Homepage: http://gfs.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/g/gerris/libgfs-mpi-dev_20110329-dfsg.2-1_armhf.deb Size: 820502 SHA256: 650c62953478ac40b687dd228718dda992834e642f97631ab26f744af7821626 SHA1: 49f05c7bb1a7e88764f16025e3c221e5cbc99a15 MD5sum: fed3faf6256f398da869891abdd3d061 Description: Gerris Flow Solver -- development libraries and headers Gerris is a system for the solution of the partial differential equations describing fluid flow. . This package contains the headers and development libraries needed to build applications using libgfs. . This package has MPI support built in. Package: libgfshare-bin Source: libgfshare Version: 1.0.5-2 Architecture: armhf Maintainer: Simon McVittie Installed-Size: 70 Depends: libc6 (>= 2.13-28), libgfshare1 (>= 1.0.2) Homepage: http://www.digital-scurf.org/software/libgfshare Priority: extra Section: utils Filename: pool/main/libg/libgfshare/libgfshare-bin_1.0.5-2_armhf.deb Size: 17224 SHA256: 47b625c7832892f980304defaee642f7332a148caf45f4fbf1f3545a23e4b85b SHA1: db5e7bbce33b12cd47386867f473880116d2c7cd MD5sum: 6866b5c3aefcf117e667ceb7097fa4f9 Description: utilities for multi-way secret-sharing This package contains the gfsplit and gfcombine binaries. . gfsplit can be used to split a secret file (like a GPG secret key) into multiple parts, so that at least some number of those parts are needed to recover the original file, but any smaller combination of parts is useless to an attacker. gfcombine is used to combine the parts created by gfsplit. . For instance, you might split a GPG key using a 3-of-5 share and put one share on each of three computers, and two shares on a USB memory stick; then you can use the GPG key on any of those three computers using the memory stick, but if the memory stick is lost, you can recover the key by bringing the three computers together. Package: libgfshare-dbg Source: libgfshare Version: 1.0.5-2 Architecture: armhf Maintainer: Simon McVittie Installed-Size: 70 Depends: libgfshare1 (= 1.0.5-2), libgfshare-bin (= 1.0.5-2) Homepage: http://www.digital-scurf.org/software/libgfshare Priority: extra Section: debug Filename: pool/main/libg/libgfshare/libgfshare-dbg_1.0.5-2_armhf.deb Size: 15744 SHA256: f57a9c3f4741597cb73f12a938031c92c8d35c8dd1d256c700b4271f244cb529 SHA1: 1b2b234cbcd8950b5d1b6a428e09e01220c4b9e1 MD5sum: 51d8984b2dcab87cfce6ffa669d761ba Description: library for multi-way secret-sharing (debug symbols) This library implements Shamir's method for secret sharing, which can be used to split a secret (like a GPG secret key) into multiple parts, so that at least some number of those parts are needed to recover the original file, but any smaller combination of parts is useless to an attacker. . This package contains support for debugging programs that use libgfshare. Package: libgfshare-dev Source: libgfshare Version: 1.0.5-2 Architecture: armhf Maintainer: Simon McVittie Installed-Size: 294 Depends: libgfshare1 (= 1.0.5-2) Suggests: libgfshare-dbg, libgfshare-bin Replaces: libgfshare1 (<< 1.0.2-1) Homepage: http://www.digital-scurf.org/software/libgfshare Priority: extra Section: libdevel Filename: pool/main/libg/libgfshare/libgfshare-dev_1.0.5-2_armhf.deb Size: 210570 SHA256: 469a0ea01aa556fb6fda1cb1a8d2519043ece12f3c305365bf55f06e54aeafad SHA1: 108546b40a2501cb141ebd1d52abbf3a46f57f5d MD5sum: d85bedb85ed6335cc67a231cbeb6d2bb Description: library for multi-way secret-sharing (headers) This library implements Shamir's method for secret sharing, which can be used to split a secret (like a GPG secret key) into multiple parts, so that at least some number of those parts are needed to recover the original file, but any smaller combination of parts is useless to an attacker. . This package contains header files and static libraries, needed to compile programs that use libgfshare. Package: libgfshare1 Source: libgfshare Version: 1.0.5-2 Architecture: armhf Maintainer: Simon McVittie Installed-Size: 46 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Suggests: libgfshare-bin Multi-Arch: same Homepage: http://www.digital-scurf.org/software/libgfshare Priority: extra Section: libs Filename: pool/main/libg/libgfshare/libgfshare1_1.0.5-2_armhf.deb Size: 8400 SHA256: 3f36f17d4faecb61c6aadfba91794e2fef8115a954a6fc55c227666968419462 SHA1: 021f5808ea23e74fabc63613a5f4d6746fe4fb66 MD5sum: 6f2a25a60ac148be953d0577d096bb76 Description: library for multi-way secret-sharing This library implements Shamir's method for secret sharing, which can be used to split a secret (like a GPG secret key) into multiple parts, so that at least some number of those parts are needed to recover the original file, but any smaller combination of parts is useless to an attacker. . For instance, you might split a GPG key using a 3-of-5 share and put one share on each of three computers, and two shares on a USB memory stick; then you can use the GPG key on any of those three computers using the memory stick, but if the memory stick is lost, you can recover the key by bringing the three computers together. Package: libggadget-1.0-0b Source: google-gadgets Version: 0.11.2-6 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 4094 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libltdl7 (>= 2.4.2), libsm6, libstdc++6 (>= 4.6), libx11-6, zlib1g (>= 1:1.1.4) Conflicts: libggadget-1.0-0, libggadget-1.0-0-api, libggadget-1.0-0a Replaces: libggadget-1.0-0-api Provides: libggadget-1.0-0-api Multi-Arch: same Homepage: http://code.google.com/p/google-gadgets-for-linux/ Priority: extra Section: libs Filename: pool/main/g/google-gadgets/libggadget-1.0-0b_0.11.2-6_armhf.deb Size: 1204486 SHA256: 5bcdded27c83f6361c212aa21533f7a9cf82614b0656e6ca398764620e17995f SHA1: 6670a7fb621537eed38b4de038d4f78da4b0cdbf MD5sum: 69ce1e255777564e7c6a497d417502fd Description: Google Gadgets main library Google Gadgets for Linux provides a platform for running desktop gadgets under Linux, catering to the unique needs of Linux users. It is compatible with the gadgets written for Google Desktop for Windows as well as the Universal Gadgets on iGoogle. Following Linux norms, this project is open-sourced, under the Apache License. . This package contains the main Google Gadgets library, it is required by both the GTK+ and Qt versions of Google Gadgets. Package: libggadget-1.0-dev Source: google-gadgets Version: 0.11.2-6 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 14829 Depends: libggadget-1.0-0b (= 0.11.2-6) Homepage: http://code.google.com/p/google-gadgets-for-linux/ Priority: extra Section: libdevel Filename: pool/main/g/google-gadgets/libggadget-1.0-dev_0.11.2-6_armhf.deb Size: 2656100 SHA256: a115239f39e8749c2296c6ae69580128b401c33f8f0407c00c9bf5af09a2fa8e SHA1: 33bacf6613f57810104ea8b3899dc8efe9f3a2d4 MD5sum: 74b84ef74ce763b6bd7d84245290de61 Description: Google Gadgets main development files Google Gadgets for Linux provides a platform for running desktop gadgets under Linux, catering to the unique needs of Linux users. It is compatible with the gadgets written for Google Desktop for Windows as well as the Universal Gadgets on iGoogle. Following Linux norms, this project is open-sourced, under the Apache License. . This package contains the development files associated with libggadget, it is needed to write programs that utilise libggadget. Package: libggadget-gtk-1.0-0b Source: google-gadgets Version: 0.11.2-6 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 391 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libggadget-1.0-0b (>= 0.11.2), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.14.4), libsm6, libstartup-notification0 (>= 0.4), libstdc++6 (>= 4.3.0), libx11-6 Conflicts: libggadget-gtk-1.0-0, libggadget-gtk-1.0-0-api, libggadget-gtk-1.0-0a Replaces: libggadget-gtk-1.0-0-api Provides: libggadget-gtk-1.0-0-api Multi-Arch: same Homepage: http://code.google.com/p/google-gadgets-for-linux/ Priority: extra Section: libs Filename: pool/main/g/google-gadgets/libggadget-gtk-1.0-0b_0.11.2-6_armhf.deb Size: 141144 SHA256: f46d77b50a6f3c6e4695f999754da5cd64474d2d30c69e73cdc186c0c8c92930 SHA1: 764af303e5a4fcdb0f7c312dfd3141eb1812e381 MD5sum: e05ed4c8012e9940ec4437f38a8a01dc Description: Google Gadgets GTK+ library Google Gadgets for Linux provides a platform for running desktop gadgets under Linux, catering to the unique needs of Linux users. It is compatible with the gadgets written for Google Desktop for Windows as well as the Universal Gadgets on iGoogle. Following Linux norms, this project is open-sourced, under the Apache License. . This package contains the GTK+ Google Gadgets library, it is required to run the GTK+ version of Google Gadgets. Package: libggadget-gtk-1.0-dev Source: google-gadgets Version: 0.11.2-6 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 829 Depends: libggadget-gtk-1.0-0b (= 0.11.2-6), libgtk2.0-dev Homepage: http://code.google.com/p/google-gadgets-for-linux/ Priority: extra Section: libdevel Filename: pool/main/g/google-gadgets/libggadget-gtk-1.0-dev_0.11.2-6_armhf.deb Size: 208674 SHA256: ca109af67eedccf7f650ccdcd078d4a0e3f638a6993d666b794bfc80015a4782 SHA1: 5ced27983a07a295826cf74ad749251d35ffdabf MD5sum: b295e0d9d11315b88af68ccce2f718dc Description: Google Gadgets GTK+ development files Google Gadgets for Linux provides a platform for running desktop gadgets under Linux, catering to the unique needs of Linux users. It is compatible with the gadgets written for Google Desktop for Windows as well as the Universal Gadgets on iGoogle. Following Linux norms, this project is open-sourced, under the Apache License. . This package contains the development files associated with libggadget-gtk, it is needed to write GTK+ programs that utilise libggadget. Package: libggadget-qt-1.0-0b Source: google-gadgets Version: 0.11.2-6 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 254 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libggadget-1.0-0b (>= 0.11.2), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6) Conflicts: libggadget-qt-1.0-0, libggadget-qt-1.0-0-api, libggadget-qt-1.0-0a Replaces: libggadget-qt-1.0-0-api Provides: libggadget-qt-1.0-0-api Multi-Arch: same Homepage: http://code.google.com/p/google-gadgets-for-linux/ Priority: extra Section: libs Filename: pool/main/g/google-gadgets/libggadget-qt-1.0-0b_0.11.2-6_armhf.deb Size: 88482 SHA256: 9623d490b0c28b0e82d51fa50300608ab0e8c9cf5576a889c82b93a7f1fd34b6 SHA1: 25e75fa3406168637bcbae6aa6354a4c6b4d8a53 MD5sum: 3ca1043401211783e2d47dbad65cca71 Description: Google Gadgets Qt library Google Gadgets for Linux provides a platform for running desktop gadgets under Linux, catering to the unique needs of Linux users. It is compatible with the gadgets written for Google Desktop for Windows as well as the Universal Gadgets on iGoogle. Following Linux norms, this project is open-sourced, under the Apache License. . This package contains the Qt Google Gadgets library, it is required to run the Qt version of Google Gadgets. Package: libggadget-qt-1.0-dev Source: google-gadgets Version: 0.11.2-6 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 450 Depends: libggadget-qt-1.0-0b (= 0.11.2-6), libqt4-dev Homepage: http://code.google.com/p/google-gadgets-for-linux/ Priority: extra Section: libdevel Filename: pool/main/g/google-gadgets/libggadget-qt-1.0-dev_0.11.2-6_armhf.deb Size: 120636 SHA256: 4669315a239dbe63141115a3e8f6b5987f199b8161cf4a5c88fc972e6a285f4f SHA1: 5cd73f9f4c69c1acd56dcdac16b031bf52530e0f MD5sum: a4d1980d808550d0d8b3a541447f1e39 Description: Google Gadgets Qt development files Google Gadgets for Linux provides a platform for running desktop gadgets under Linux, catering to the unique needs of Linux users. It is compatible with the gadgets written for Google Desktop for Windows as well as the Universal Gadgets on iGoogle. Following Linux norms, this project is open-sourced, under the Apache License. . This package contains the development files associated with libggadget-qt, it is needed to write Qt programs that utilise libggadget. Package: libggi-samples Source: libggi Version: 1:2.2.2-5.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 279 Depends: libc6 (>= 2.13-28), libggi2 (>= 1:2.2.2), libgii1 Recommends: libggi-target-x | libggi-target Suggests: ggi-doc Homepage: http://www.ggi-project.org/ Priority: optional Section: libs Filename: pool/main/libg/libggi/libggi-samples_2.2.2-5.1_armhf.deb Size: 203080 SHA256: f58900c05bafa6d09c4b725e33ac2f2f96342257b91e301d79bfb609f98d2aa3 SHA1: c7d0d077e81280f6809ed41eef67a82042bf8235 MD5sum: 0a8723244634b027a1f26273e24a5120 Description: General Graphics Interface sample programs "General Graphics Interface" - a fast, portable graphics environment. . This package contains some sample programs demonstrating LibGGI's capabilities. Examples are (more inside): . "ggiteleserver" is a remote display server for the tele target. "ggi-cube3d" runs up to 6 GGI programs on a moveable cube's surfaces. "ggi-monitest" creates useful test patterns. . Install libggi-target packages to get visible output from ggi programs. Install ggi-doc to help you making the most out of ggi. Package: libggi-target-aa Source: libggi Version: 1:2.2.2-5.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 155 Depends: libaa1 (>= 1.4p5), libc6 (>= 2.13-28), libggi2 (>= 1:2.2.2), libgii1 Enhances: libggi2 Provides: libggi-target Homepage: http://www.ggi-project.org/ Priority: optional Section: libs Filename: pool/main/libg/libggi/libggi-target-aa_2.2.2-5.1_armhf.deb Size: 107680 SHA256: 1ec604a3268e3b30791a42f01eca03b5eb84eb9bbe52c3280e4497df4a8704cf SHA1: 2a6e20ac688444aca8f82275769c503877a9cac4 MD5sum: 7759484690acf5189fdbc6da0cef582f Description: General Graphics Interface ASCII Art display target "General Graphics Interface" - a fast, portable graphics environment. . This package contains the driver for the "aa" target, enabling libGGI (and therefore any program using libGGI) to display its output as ASCII art. Package: libggi-target-emu Source: libggi Version: 1:2.2.2-5.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 194 Depends: libc6 (>= 2.13-28), libggi2 (>= 1:2.2.2), libgii1 Enhances: libggi2 Replaces: libggi1 (<< 981012) Homepage: http://www.ggi-project.org/ Priority: optional Section: libs Filename: pool/main/libg/libggi/libggi-target-emu_2.2.2-5.1_armhf.deb Size: 122888 SHA256: bb013b170f20e2e431f5f45c09c3adfc3ff1564739b08a63e1663e26538586f9 SHA1: 81411832cccc5ff472189c3db8751e8199c69d81 MD5sum: 157f53c9166401c8f8a21807038e8ef7 Description: General Graphics Interface colour emulation targets "General Graphics Interface" - a fast, portable graphics environment. . This package contains drivers for two colour-emulation pseudo-targets, enabling libGGI (and therefore any program using libGGI) to display its output in a different colour mode than the underlying physical target supports. Two targets are included: . "palemu" emulates a palette-based display on a true-colour target "trueemu" emulates a true-colour display using a palette-based target Package: libggi-target-monotext Source: libggi Version: 1:2.2.2-5.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 164 Depends: libc6 (>= 2.13-28), libggi2 (>= 1:2.2.2), libgii1 Recommends: libggi-target-terminfo Enhances: libggi2 Homepage: http://www.ggi-project.org/ Priority: optional Section: libs Filename: pool/main/libg/libggi/libggi-target-monotext_2.2.2-5.1_armhf.deb Size: 113282 SHA256: 3941ff936547b81a726c7011c9ab61868474b7f08ea5a4c7d9dc31bb7ccc5b47 SHA1: 65126690cae757a64de1fbde38ccdff68c4a98d9 MD5sum: 5cb2d902c7326c1f4c9ddbdaa6555a82 Description: General Graphics Interface plain text target "General Graphics Interface" - a fast, portable graphics environment. . This package contains the driver for the "monotext" target, enabling libGGI (and therefore any program using libGGI) to display its output as ASCII art. This driver does not use the "aalib" ASCII art library; "monotext" is an independent implementation of the same idea. . The monotext target requires a text-capable target, such as the "terminfo" target, to display its output. Package: libggi-target-terminfo Source: libggi Version: 1:2.2.2-5.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 153 Depends: libc6 (>= 2.13-28), libggi2 (>= 1:2.2.2), libgii1, libncurses5 (>= 5.5-5~), libtinfo5 Suggests: libggi-target-monotext Enhances: libggi2 Provides: libggi-target Homepage: http://www.ggi-project.org/ Priority: optional Section: libs Filename: pool/main/libg/libggi/libggi-target-terminfo_2.2.2-5.1_armhf.deb Size: 110328 SHA256: d0e2616dcfa8441cdf1655d899d9aa6bed70faeb7d948bb0730bdd57d15883c0 SHA1: b7662865751ae6bbc0b43fa1521f1ad7d22d495e MD5sum: 2a506b3d942f2155cc489d065e46852b Description: General Graphics Interface TermInfo display target "General Graphics Interface" - a fast, portable graphics environment. . This package contains the driver for the "terminfo" target, enabling libGGI (and therefore any program using libGGI) to display its output in text mode. This target does not support graphics; it only makes text modes available. (However, you can display graphics on a text-mode target using the "monotext" target.) Output is displayed in a terminal-independent fashion using the "ncurses" library. Package: libggi-target-x Source: libggi Version: 1:2.2.2-5.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 261 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libggi2 (>= 1:2.2.2), libgii1, libx11-6, libxext6, libxxf86dga1, libxxf86vm1, libgii1-target-x (>= 1:1.0.1-2) Enhances: libggi2 Conflicts: libggi-targets-x Replaces: libggi-targets-x Provides: libggi-target Homepage: http://www.ggi-project.org/ Priority: optional Section: libs Filename: pool/main/libg/libggi/libggi-target-x_2.2.2-5.1_armhf.deb Size: 158488 SHA256: eb6491e786d479a6002d490bfe471a4dca56167925603fa04a5b7d3a70de8996 SHA1: ce815d8b61c2b38c922dd8934dc325708f6fd172 MD5sum: 3dd190e329791e1f3c437541eedd91db Description: General Graphics Interface X display target "General Graphics Interface" - a fast, portable graphics environment. . This package contains two drivers enabling libGGI (and therefore any program using libGGI) to display its output on an X server: . "x" the NEW unified x display draws its raster output into an X window "dga" uses the XFree86 Direct Graphics Access X extension to speed up drawing -- this only works on local displays, and is not available on some architectures . "xlib" does no longer exist! Package: libggi2 Source: libggi Version: 1:2.2.2-5.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 665 Depends: libaa1 (>= 1.4p5), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgii1, libncurses5 (>= 5.5-5~), libtinfo5, libx11-6, libxext6, libxxf86dga1, libxxf86vm1 Recommends: libggi-target-x | libggi-target Suggests: libggi-target-emu, libggi-target-monotext, libggimisc2 Conflicts: libggi-target-aa (<< 2.0.2), libggi-target-emu (<< 2.0.2), libggi-target-fbdev (<< 2.0.2), libggi-target-glide (<< 2.0.2), libggi-target-kgi (<< 2.0.2), libggi-target-lcd823 (<< 2.0.2), libggi-target-monotext (<< 2.0.2), libggi-target-svgalib (<< 2.0.2), libggi-target-terminfo (<< 2.0.2), libggi-target-vcsa (<< 2.0.2), libggi-target-x (<< 2.0.2), libggi1 Replaces: libggi-target-fbdev (<< 1:2.0.1+), libggi1 Homepage: http://www.ggi-project.org/ Priority: optional Section: libs Filename: pool/main/libg/libggi/libggi2_2.2.2-5.1_armhf.deb Size: 331446 SHA256: 2b372c941af16f483301b7a6edf85c6c1a068b49e1772c97d2cd55ac936f0ae1 SHA1: 4aca3ef23a1d2a62aba7249895cb83b4fc3384b9 MD5sum: 76d6252e04865e220705ebd9405a5628 Description: General Graphics Interface runtime libraries "General Graphics Interface" - a fast, portable graphics environment. . This package contains the shared libraries for libGGI, the lowest-level drawing library provided by GGI. . Since none of the targets included here are, alone, capable of real, physical graphics output, you'll probably need to install at least one of the libggi-target packages to make any kind of sensible use of libGGI. . Included are libGGI drivers for these targets: . "memory" draws into hidden in-memory framebuffers "ipc" draws into attached shared memory framebuffers "multi" draws output onto multiple displays simultaneously "tile" combines several displays into a single logical display "sub" draws output into a sub-window on another target "file" draws into a specially formatted file "tele" draws on a remote teleserver Package: libggi2-dev Source: libggi Version: 1:2.2.2-5.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 1327 Depends: libggi2 (= 1:2.2.2-5.1), libgii1-dev (>= 1:1.0.1-2), libaa1-dev, libslang2-dev, libncurses5-dev, libxxf86dga-dev, libxxf86vm-dev, libxext-dev, libx11-dev Recommends: libc6-dev, libggimisc2-dev Conflicts: libggi-dev, libggi2 (<< 1:2) Replaces: libggi2 (<< 1:2) Provides: libggi-dev Homepage: http://www.ggi-project.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libggi/libggi2-dev_2.2.2-5.1_armhf.deb Size: 496452 SHA256: 44a8c61b042e2092fd2ca1726512f2408741fa1ea85f4850a3baf1fba553b9c3 SHA1: e7aa2941bf41d193b5488c12d27eb81e1297fdb0 MD5sum: 01c79554bc2c7b76391b604c6eca3c4b Description: General Graphics Interface development package "General Graphics Interface" - a fast, portable graphics environment. . This package provides the files necessary to compile programs which use libGGI. Package: libggigcp1 Source: libggigcp Version: 1.0.2+dfsg1-2.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 123 Depends: libc6 (>= 2.13-28), libggi2 (>= 1:2.2.2), libgii1 Enhances: libggi2 Conflicts: libggigcp0 Homepage: http://www.ggi-project.org/ Priority: optional Section: graphics Filename: pool/main/libg/libggigcp/libggigcp1_1.0.2+dfsg1-2.1_armhf.deb Size: 36310 SHA256: 163855fc3add2952e62a556b784ec1db7051f5c310f1f012bc3c282de6aa8beb SHA1: 2a505dceccacff3807463eb49a2b76e0aee22e9f MD5sum: e379ffdcaf6b854c8568308834f8b6f0 Description: GGI Color and Palette Manager extension "General Graphics Interface" - a fast, portable graphics environment. . LibggiGCP is a generic color and palette management extension for GGI display targets. It does conversion between different color spaces such as RGBA, YUV, HSV and CMYK as well as generic color-blending, which can also be used for translucency effects. . This package includes the shared library and modules files necessary to run applications that use libggigcp. Package: libggigcp1-dev Source: libggigcp Version: 1.0.2+dfsg1-2.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 147 Depends: libggigcp1 (= 1.0.2+dfsg1-2.1), libggi2-dev (>= 1:2.2.1-3) Enhances: libggi2-dev Conflicts: libggigcp0-dev Provides: libggigcp0-dev Homepage: http://www.ggi-project.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libggigcp/libggigcp1-dev_1.0.2+dfsg1-2.1_armhf.deb Size: 41810 SHA256: 5f1db30b9bbb1b6b5584a3d494d9f23e5055651e2a9c66d4976294ba8de6d134 SHA1: 5b3adbdde5504655791ccd7b12784ae0784e394a MD5sum: d5017eebe5ba24299e2fa3b6313ba620 Description: GGI Color and Palette Manager extension development package "General Graphics Interface" - a fast, portable graphics environment. . LibggiGCP is a generic color and palette management extension for GGI display targets. It does conversion between different color spaces such as RGBA, YUV, HSV and CMYK as well as generic color-blending, which can also be used for translucency effects. . This package includes the development files necessary to compile programs that use libggigcp. Package: libggimisc2 Source: libggimisc Version: 2.2.2-2.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 101 Depends: libc6 (>= 2.13-28), libggi2 (>= 1:2.2.2), libgii1 Conflicts: libggi2 (<< 1:2) Replaces: libggi2 (<< 1:2) Homepage: http://www.ggi-project.org/ Priority: optional Section: libs Filename: pool/main/libg/libggimisc/libggimisc2_2.2.2-2.1_armhf.deb Size: 33518 SHA256: 53a4f813fc0437dc069263a5b1436844c12b41038853383bd97250838b463455 SHA1: 97f7e3e22c1d785f7429a997cb3d8a88eac4cea8 MD5sum: 9c00ef135d6bd976af920b40f2acdb94 Description: General Graphics Interface Misc runtime libraries "General Graphics Interface" - a fast, portable graphics environment. . This package contains the shared libraries for LibGIIMisc, the GGI project's General Graphics Interface Misc extension library containing display target extensions that don't deserve their own extension library. . Extensions are included for the following targets: . "fbdev" "svgalib" (on supported archs) "x" - this is temporarily disabled and not available with this release! Package: libggimisc2-dev Source: libggimisc Version: 2.2.2-2.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 92 Depends: libggimisc2 (= 2.2.2-2.1), libggi2-dev (>= 1:2.2.1-4) Recommends: libc6-dev Conflicts: libggi2-dev (<< 1:2) Replaces: libggi2-dev (<< 1:2) Homepage: http://www.ggi-project.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libggimisc/libggimisc2-dev_2.2.2-2.1_armhf.deb Size: 24462 SHA256: 103b226c5e2d8b07c317deca10840f2edd48cd1af8aeced3e62a445dab5c4405 SHA1: 3a783077db6908dc82606ddc110ec9b602680051 MD5sum: 2ab338f01e392d7de90217e441fecadc Description: General Graphics Interface Misc development package "General Graphics Interface" - a fast, portable graphics environment. . This package contains the development environment for LibGIIMisc, the GGI project's General Graphics Interface Misc extension library containing display target extensions that don't deserve their own extension library. Package: libggiwmh0 Source: libggiwmh Version: 0.3.2-2.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 71 Depends: libc6 (>= 2.13-28), libggi2 (>= 1:2.2.2), libgii1, libx11-6 Recommends: libggiwmh0-target-x Enhances: libggi2 Homepage: http://www.ggi-project.org/ Priority: optional Section: misc Filename: pool/main/libg/libggiwmh/libggiwmh0_0.3.2-2.1_armhf.deb Size: 24124 SHA256: 97f603bfadd508cdb7ebb513458d361375211425ae7033f80cb6366ac86e6b5e SHA1: 771df12773cab7e0b7b7412553f2c9a59b0401a5 MD5sum: 745151670dcc1ca15ab526bd0a2e7f9a Description: GGI Window Manager Hints extension "General Graphics Interface" - a fast, portable graphics environment. . LibggiWMH is an extension module for GGI display targets that are window system based. It provides portable access to things like setting the title bar, resizing, moving and iconifying windows that enclose the libggi controlled display surface. . This package includes the generic parts of libggiwmh. To be useful on any display target, an additional implementation module must be installed for it. . Modules are available in packages: libggiwmh0-target-x - implementation for X window based targets Package: libggiwmh0-dev Source: libggiwmh Version: 0.3.2-2.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 118 Depends: libggiwmh0 (= 0.3.2-2.1), libggi2-dev (>= 1:2.2.1-4), libc6 (>= 2.13-28) Enhances: libggi2-dev Homepage: http://www.ggi-project.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libggiwmh/libggiwmh0-dev_0.3.2-2.1_armhf.deb Size: 28630 SHA256: a3a00e090dd0891b98b3125884f959d5c497c439e737e04be7d15ae70e758e00 SHA1: 4bcf087245d8d2e4233e327306ea308bf9cc084d MD5sum: 76cc78d3019aba52030fa91e69bb5d8a Description: GGI Window Manager Hints extension development package "General Graphics Interface" - a fast, portable graphics environment. . LibggiWMH is an extension module for GGI display targets that are window system based. It provides portable access to things like setting the title bar, resizing, moving and iconifying windows that enclose the libggi controlled display surface. . This package includes the development files necessary to compile programs that use libggiwmh. Package: libggiwmh0-target-x Source: libggiwmh Version: 0.3.2-2.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 59 Depends: libc6 (>= 2.13-28), libggiwmh0 (>= 0.3.2), libgii1, libx11-6, libggi-target-x (>= 1:2.2.1-4) Enhances: libggiwmh0 Homepage: http://www.ggi-project.org/ Priority: optional Section: x11 Filename: pool/main/libg/libggiwmh/libggiwmh0-target-x_0.3.2-2.1_armhf.deb Size: 17348 SHA256: 83318fbf78a2335fe91900aab19fe9dd7eabb524a92a4aa48d1df77e04a8fe0b SHA1: beae033358dd76259a630c9343534455964542be MD5sum: b20025fd0be660f0a87dea9895069333 Description: GGI Window Manager Hints extension X display target "General Graphics Interface" - a fast, portable graphics environment. . LibggiWMH is an extension module for GGI display targets that are window system based. It provides portable access to things like setting the title bar, resizing, moving and iconifying windows that enclose the libggi controlled display surface. . Modules that are included in this package: X - implementation for X window based targets Package: libggz-dev Source: libggz Version: 0.0.14.1-1.1 Architecture: armhf Maintainer: Debian GGZ Maintainers Installed-Size: 231 Depends: libggz2 (= 0.0.14.1-1.1), libgnutls-dev Homepage: http://www.ggzgamingzone.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libggz/libggz-dev_0.0.14.1-1.1_armhf.deb Size: 91752 SHA256: 0c0ae4a78476fd547c135ddf30094e87b277ceb20c2f42b507f4394b3195c4e9 SHA1: 4ff1f4dfdadb6af21ba1069f95939f8fca639b40 MD5sum: 6baf93ae5e278f3635976c6e58ebd834 Description: GGZ Gaming Zone: common utilities library - development files The libggz library handles network, configuration file and data structure management functions as well as several convenience wrappers around glibc functions. . This package provides the header files and static libraries needed for development with libggz in the C programming language. . This package is part of the GGZ Gaming Zone, a multiuser networked gaming environment. Package: libggz2 Source: libggz Version: 0.0.14.1-1.1 Architecture: armhf Maintainer: Debian GGZ Maintainers Installed-Size: 129 Depends: libc6 (>= 2.4), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0) Homepage: http://www.ggzgamingzone.org/ Priority: optional Section: libs Filename: pool/main/libg/libggz/libggz2_0.0.14.1-1.1_armhf.deb Size: 71866 SHA256: c15ba77198f1b238ee7003a603e7b73d33a78ec4c254488eef1ebc36017a7aee SHA1: cc931230fccb4001dcdbe147edca8f4c92978dae MD5sum: 3f21663f7e7ed0dcb3310f24bf3c817e Description: GGZ Gaming Zone: common utilities library The libggz library handles network, configuration file and data structure management functions as well as several convenience wrappers around glibc functions. . This package provides the run-time library of base functions common to several GGZ clients and servers. . This package is part of the GGZ Gaming Zone, a multiuser networked gaming environment. Package: libggzcore-dev Source: ggz-client-libs Version: 0.0.14.1-1.1 Architecture: armhf Maintainer: Debian GGZ Maintainers Installed-Size: 302 Depends: libggzcore9 (= 0.0.14.1-1.1), libggz-dev (>= 0.0.14.1), libexpat1-dev (>= 1.95.6) Homepage: http://www.ggzgamingzone.org/ Priority: optional Section: libdevel Filename: pool/main/g/ggz-client-libs/libggzcore-dev_0.0.14.1-1.1_armhf.deb Size: 124406 SHA256: be4df011411fec28c33331d617e170e897989dcc0b00b758fc66957c62d1539f SHA1: 8ac4141e987ffd50cb163a357b03c4c3e568761a MD5sum: 6b42b093bc925fb94cae432395a6eb7d Description: GGZ Gaming Zone: core client frontend library - development files The ggzcore library is an event/state-based library that allows programs to connect to a GGZ server, handle game rooms, chat and player properties, and control participation in games. . This package provides the header files and static libraries needed for development with ggzmod in the C programming language. . This package is part of the GGZ Gaming Zone, a multiuser networked gaming environment. Package: libggzcore9 Source: ggz-client-libs Version: 0.0.14.1-1.1 Architecture: armhf Maintainer: Debian GGZ Maintainers Installed-Size: 640 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libggz2 (>= 0.0.14.1) Recommends: ggzcore-bin Homepage: http://www.ggzgamingzone.org/ Priority: optional Section: libs Filename: pool/main/g/ggz-client-libs/libggzcore9_0.0.14.1-1.1_armhf.deb Size: 117780 SHA256: 6e70ff59c060f7a00cad43334e6ba576263af1d9f3df40ae725086effd5c0d49 SHA1: a9643507130a3ca60f0b16c3063838954988f790 MD5sum: 898217bec7997a14a59934c6fa44f893 Description: GGZ Gaming Zone: core client frontend library The ggzcore library is an event/state-based library that allows programs to connect to a GGZ server, handle game rooms, chat and player properties, and control participation in games. . This package provides the run-time library used by most of the GGZ core clients. . This package is part of the GGZ Gaming Zone, a multiuser networked gaming environment. Package: libggzmod-dev Source: ggz-client-libs Version: 0.0.14.1-1.1 Architecture: armhf Maintainer: Debian GGZ Maintainers Installed-Size: 126 Depends: libggzmod4 (= 0.0.14.1-1.1), libggzcore-dev (= 0.0.14.1-1.1), libggz-dev (>= 0.0.14.1) Homepage: http://www.ggzgamingzone.org/ Priority: optional Section: libdevel Filename: pool/main/g/ggz-client-libs/libggzmod-dev_0.0.14.1-1.1_armhf.deb Size: 63436 SHA256: bf366c161e9dd4d89e59327186a7ba67aca208eaa6fe3fb395baed6da6af5678 SHA1: 6fc0784b7d0825ba1a086485795a1f539dcc91bc MD5sum: 7c32016b9ec1347ad935fd9e949638ce Description: GGZ Gaming Zone: game frontend library - development files The ggzmod library allows games to communicate with a GGZ core client and thereby establishing a connection to the GGZ server and its associated game server. . This package provides the header files and static libraries needed for development with ggzmod in the C programming language. . This package is part of the GGZ Gaming Zone, a multiuser networked gaming environment. Package: libggzmod4 Source: ggz-client-libs Version: 0.0.14.1-1.1 Architecture: armhf Maintainer: Debian GGZ Maintainers Installed-Size: 92 Depends: libc6 (>= 2.13-28), libggz2 (>= 0.0.14.1), libggzcore9 (>= 0.0.14.1) Homepage: http://www.ggzgamingzone.org/ Priority: optional Section: libs Filename: pool/main/g/ggz-client-libs/libggzmod4_0.0.14.1-1.1_armhf.deb Size: 55290 SHA256: cf93786be7e0fedd8bf1bd2b5ab0c46036ae611f9c25ad634100f6d213f1f54e SHA1: 79037d9299929924dd2555e913bb880a9f70fc8a MD5sum: 11d6313a94fcba5a55534fb796f400b6 Description: GGZ Gaming Zone: game frontend library The ggzmod library allows games to communicate with a GGZ core client and thereby establishing a connection to the GGZ server and its associated game server. . This package provides the run-time library used by most of the GGZ game clients. . This package is part of the GGZ Gaming Zone, a multiuser networked gaming environment. Package: libghc-acid-state-doc Source: haskell-acid-state Version: 0.6.3-1 Installed-Size: 602 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-network-doc, libghc-safecopy-doc, libghc-acid-state-dev Size: 80218 SHA256: a89c79f876dde8fa40bab3423a20e98f27cc88b01130d772baf5cec46794f580 SHA1: 123d018fa385dcc1ac3a078422d64403632ad602 MD5sum: 815c9659bc80f7ddb66924dc2f052fe9 Description: Haskell database library with ACID guarantees - documentation AcidState is a Haskell library to store serializable Haskell structures, guaranteeing that transactions support the ACID semantic. That is, they must be atomic (a transaction must be completely saved or completely rolled back), consistent (after each transaction the state of the database must be valid), isolated (two parallel transaction must not interfere with each other) and durable (a successful transaction must be reliably stored to a persistent memory). . This library supports both storing data on the local disk and via a network server. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. It obsoletes the package haskell-happstack-state. Homepage: http://hackage.haskell.org/package/acid-state Section: doc Priority: extra Filename: pool/main/h/haskell-acid-state/libghc-acid-state-doc_0.6.3-1_all.deb Package: libghc-active-dev Source: haskell-active Version: 0.1.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 595 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-newtype-dev-0.2-0c5fe, libghc-semigroupoids-dev-1.3.1.2-c6021, libghc-semigroups-dev-0.8.3.2-01002, libghc-vector-space-dev-0.8.1-23447, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-active-doc, libghc-active-prof Provides: libghc-active-dev-0.1.0.1-62ba3 Homepage: http://hackage.haskell.org/package/active Priority: extra Section: haskell Filename: pool/main/h/haskell-active/libghc-active-dev_0.1.0.1-2_armhf.deb Size: 118666 SHA256: 0ba146d137443ebfc8d594f06b2dc6424675ee84bd45dd989b7f4de9970e622c SHA1: cdcf0987e2cfa8f51d061969b7ecb64558cec03d MD5sum: 00892886bb9c15dbd2f2d98700615e41 Description: Abstractions for animation Inspired by the work of Kevin Matlage and Andy Gill (Every Animation Should Have a Beginning, a Middle, and an End, Trends in Functional Programming, 2010), this library defines a simple abstraction for working with time-varying values. In a sense, this is sort of like a stripped-down version of functional reactive programming (FRP), without the reactivity. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-active-doc Source: haskell-active Version: 0.1.0.1-2 Installed-Size: 309 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-newtype-doc, libghc-semigroupoids-doc, libghc-semigroups-doc, libghc-vector-space-doc, libghc-active-dev Size: 56080 SHA256: 88b0bccc2dff1fba059437f75d52607699243c68cd21cfec9030983b1375f746 SHA1: ce0e624ffd4cfbde4b3053af17541197bd9774f3 MD5sum: e2263b1ef77f222c617acdbc9153e700 Description: Abstractions for animation; documentation Inspired by the work of Kevin Matlage and Andy Gill (Every Animation Should Have a Beginning, a Middle, and an End, Trends in Functional Programming, 2010), this library defines a simple abstraction for working with time-varying values. In a sense, this is sort of like a stripped-down version of functional reactive programming (FRP), without the reactivity. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/active Section: doc Priority: extra Filename: pool/main/h/haskell-active/libghc-active-doc_0.1.0.1-2_all.deb Package: libghc-active-prof Source: haskell-active Version: 0.1.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 523 Depends: libghc-active-dev (= 0.1.0.1-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-newtype-prof-0.2-0c5fe, libghc-semigroupoids-prof-1.3.1.2-c6021, libghc-semigroups-prof-0.8.3.2-01002, libghc-vector-space-prof-0.8.1-23447 Provides: libghc-active-prof-0.1.0.1-62ba3 Homepage: http://hackage.haskell.org/package/active Priority: extra Section: haskell Filename: pool/main/h/haskell-active/libghc-active-prof_0.1.0.1-2_armhf.deb Size: 108332 SHA256: fe13732d8b52ccf8b6e0b52c88dc511ab808826e548b51d18eba908aa33e9b64 SHA1: df2723a4344a2786dbcee016ab4efce1879f42a3 MD5sum: 09c8beeb243ea105842dfa7f759bb993 Description: Abstractions for animation; profiling libraries Inspired by the work of Kevin Matlage and Andy Gill (Every Animation Should Have a Beginning, a Middle, and an End, Trends in Functional Programming, 2010), this library defines a simple abstraction for working with time-varying values. In a sense, this is sort of like a stripped-down version of functional reactive programming (FRP), without the reactivity. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-adjunctions-dev Source: haskell-adjunctions Version: 2.4.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 645 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-comonad-dev-1.1.1.5-6e6e5, libghc-comonad-transformers-dev-2.1.1.1-b7523, libghc-containers-dev-0.4.2.1-7c545, libghc-contravariant-dev-0.2.0.2-d421c, libghc-distributive-dev-0.2.2-34503, libghc-keys-dev-2.1.3.2-5ecb6, libghc-mtl-dev-2.1.1-87121, libghc-representable-functors-dev-2.4.0.2-0ad71, libghc-semigroupoids-dev-1.3.1.2-c6021, libghc-transformers-dev-0.3.0.0-e8222, libghc-void-dev-0.5.5.1-172a3, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-adjunctions-doc, libghc-adjunctions-prof Provides: libghc-adjunctions-dev-2.4.0.2-c39a0 Homepage: http://hackage.haskell.org/package/adjunctions Priority: extra Section: haskell Filename: pool/main/h/haskell-adjunctions/libghc-adjunctions-dev_2.4.0.2-1_armhf.deb Size: 103838 SHA256: 94af2209b8bbf70d0aedd8874eb166c669f413d0b292ac8290489b7b0e4d008e SHA1: 247c93c48bdfcfd1cbf0e2b69a9a996d03a24d1a MD5sum: c4c9271c043b1f824bfc83317293a320 Description: Adjunctions In mathematics, adjoint functors are pairs of functors which stand in a particular relationship with one another, called an adjunction. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-adjunctions-doc Source: haskell-adjunctions Version: 2.4.0.2-1 Installed-Size: 259 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-comonad-doc, libghc-comonad-transformers-doc, libghc-contravariant-doc, libghc-distributive-doc, libghc-mtl-doc, libghc-representable-functors-doc, libghc-semigroupoids-doc, libghc-transformers-doc, libghc-void-doc, libghc-adjunctions-dev Size: 44780 SHA256: adeec8213ae8cbdc72c96d7b4b4294ba4130ec1f44a08102fbb8c700dee0ee10 SHA1: 1ef2102116998ec169960bec0fa9c14eee70d45e MD5sum: a9990df032a4754c3a3d9fd6ec0b235d Description: Adjunctions; documentation In mathematics, adjoint functors are pairs of functors which stand in a particular relationship with one another, called an adjunction. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/adjunctions Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-adjunctions/libghc-adjunctions-doc_2.4.0.2-1_all.deb Package: libghc-adjunctions-prof Source: haskell-adjunctions Version: 2.4.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 639 Depends: libghc-adjunctions-dev (= 2.4.0.2-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-comonad-prof-1.1.1.5-6e6e5, libghc-comonad-transformers-prof-2.1.1.1-b7523, libghc-containers-prof-0.4.2.1-7c545, libghc-contravariant-prof-0.2.0.2-d421c, libghc-distributive-prof-0.2.2-34503, libghc-keys-prof-2.1.3.2-5ecb6, libghc-mtl-prof-2.1.1-87121, libghc-representable-functors-prof-2.4.0.2-0ad71, libghc-semigroupoids-prof-1.3.1.2-c6021, libghc-transformers-prof-0.3.0.0-e8222, libghc-void-prof-0.5.5.1-172a3 Provides: libghc-adjunctions-prof-2.4.0.2-c39a0 Homepage: http://hackage.haskell.org/package/adjunctions Priority: extra Section: haskell Filename: pool/main/h/haskell-adjunctions/libghc-adjunctions-prof_2.4.0.2-1_armhf.deb Size: 116592 SHA256: cf4b1a12ccfa90452999d46c6cd3f220e755fb1b36999a2817f4aab06310c713 SHA1: e52e2c883dfdba59cd74d7ee91ef04172f1f2f63 MD5sum: 7b2b59c7e173381fd6985f3b0665b7a9 Description: Adjunctions; profiling data In mathematics, adjoint functors are pairs of functors which stand in a particular relationship with one another, called an adjunction. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-aeson-dev Source: haskell-aeson Version: 0.6.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4073 Depends: libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-dlist-dev-0.5-2bfbb, libghc-ghc-prim-dev-0.2.0.0-bd29c, libghc-hashable-dev-1.1.2.3-ea6fe, libghc-mtl-dev-2.1.1-87121, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-syb-dev-0.3.6.1-ad588, libghc-template-haskell-dev-2.7.0.0-e9ca7, libghc-text-dev-0.11.2.0-05a46, libghc-time-dev-1.4-ec63b, libghc-unordered-containers-dev-0.2.1.0-eabe0, libghc-vector-dev-0.9.1-8e782, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-aeson-doc, libghc-aeson-prof Provides: libghc-aeson-dev-0.6.0.2-0ddd3 Homepage: http://hackage.haskell.org/package/aeson Priority: extra Section: haskell Filename: pool/main/h/haskell-aeson/libghc-aeson-dev_0.6.0.2-1_armhf.deb Size: 918634 SHA256: c44164baeab0414805bcf3b3dbd7aca7008b50488126d632c9a8acd67ddb0f52 SHA1: a78843aaa37ef44a94524b8694db02e46d8c1f4c MD5sum: 6dd5ec603d2f307624a4a1d5104a161d Description: Fast JSON parsing and encoding library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a JSON parsing and encoding library optimized for ease of use and high performance. Package: libghc-aeson-doc Source: haskell-aeson Version: 0.6.0.2-1 Installed-Size: 901 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-attoparsec-doc, libghc-hashable-doc, libghc-text-doc, libghc-unordered-containers-doc, libghc-vector-doc, libghc-aeson-dev Size: 104468 SHA256: 06ba4e8d9e96a27c799b2ca3385915170a77bbd05a9cd1fb80b04c9116109631 SHA1: e33369a060938650f6f7af590be266edd254e901 MD5sum: a0299a682d603ab864faf1ed8685ecaf Description: Fast JSON parsing and encoding library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a JSON parsing and encoding library optimized for ease of use and high performance. Homepage: http://hackage.haskell.org/package/aeson Tag: devel::doc, devel::lang:ecmascript, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-aeson/libghc-aeson-doc_0.6.0.2-1_all.deb Package: libghc-aeson-prof Source: haskell-aeson Version: 0.6.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3364 Depends: libghc-aeson-dev (= 0.6.0.2-1), libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-deepseq-prof-1.3.0.0-6c19e, libghc-dlist-prof-0.5-2bfbb, libghc-ghc-prim-prof-0.2.0.0-bd29c, libghc-hashable-prof-1.1.2.3-ea6fe, libghc-mtl-prof-2.1.1-87121, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-syb-prof-0.3.6.1-ad588, libghc-template-haskell-prof-2.7.0.0-e9ca7, libghc-text-prof-0.11.2.0-05a46, libghc-time-prof-1.4-ec63b, libghc-unordered-containers-prof-0.2.1.0-eabe0, libghc-vector-prof-0.9.1-8e782 Provides: libghc-aeson-prof-0.6.0.2-0ddd3 Homepage: http://hackage.haskell.org/package/aeson Priority: extra Section: haskell Filename: pool/main/h/haskell-aeson/libghc-aeson-prof_0.6.0.2-1_armhf.deb Size: 746116 SHA256: 3f09d5b19b58c4f7d9ff6d3ad486de7776061316ce4970b9cfb4a9285e22285a SHA1: eea8a6753c5a4307904c403357da7359b6dfe186 MD5sum: 89c215627a6e47f7ccf8dc0f19b8ff6b Description: Fast JSON parsing and encoding library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is a JSON parsing and encoding library optimized for ease of use and high performance. Package: libghc-agda-dev Source: agda Version: 2.3.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 81965 Depends: libghc-quickcheck-dev-2.4.2-170f1, libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-hashable-dev-1.1.2.3-ea6fe, libghc-hashtables-dev-1.0.1.4-9c3fe, libghc-haskeline-dev-0.6.4.7-3f753, libghc-haskell-src-exts-dev-1.11.1-8957f, libghc-mtl-dev-2.1.1-87121, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-pretty-dev-1.1.1.0-7e118, libghc-process-dev-1.1.0.1-75c65, libghc-syb-dev-0.3.6.1-ad588, libghc-xhtml-dev-3000.2.1-4e39f, libghc-zlib-dev-0.5.3.3-9ed15 Suggests: libghc-agda-doc Provides: libghc-agda-dev-2.3.0.1-4fcc0 Homepage: http://wiki.portal.chalmers.se/agda/ Priority: extra Section: haskell Filename: pool/main/a/agda/libghc-agda-dev_2.3.0.1-2_armhf.deb Size: 17612924 SHA256: ed68daf66d8823270d8d46c961eef3a68449ce349201b39570e488ff06a4e441 SHA1: 759817787acaeab8e7a8b99d658ed30419e82fb0 MD5sum: 2d568426b8c44b21f92fe20653d46d69 Description: dependently typed functional programming language - development libraries Agda is a dependently typed functional programming language: It has inductive families, which are like Haskell's GADTs, but they can be indexed by values and not just types. It also has parameterised modules, mixfix operators, Unicode characters, and an interactive Emacs interface (the type checker can assist in the development of your code). . Agda is also a proof assistant: It is an interactive system for writing and checking proofs. Agda is based on intuitionistic type theory, a foundational system for constructive mathematics developed by the Swedish logician Per Martin-Löf. It has many similarities with other proof assistants based on dependent types, such as Coq, Epigram and NuPRL. . This package contains the normal library files. Package: libghc-agda-doc Source: agda Version: 2.3.0.1-2 Installed-Size: 20173 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-agda-doc Provides: haskell-agda-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-quickcheck2-doc, libghc-src-exts-doc, libghc-syb-doc, libghc-transformers-doc, libghc-agda-dev Conflicts: haskell-agda-doc (<< 2.2.6-5) Size: 1750812 SHA256: bd71052fb2044e76e6c09d66860aae50be757a1594ca9f1c996e1cd733fafd7d SHA1: 4429ce3acb4236b8efb0c17c20acf78f2d0b4fd6 MD5sum: ddd150b0527d70358144bdbf1db5a281 Description: dependently typed functional programming language - documentation Agda is a dependently typed functional programming language: It has inductive families, which are like Haskell's GADTs, but they can be indexed by values and not just types. It also has parameterised modules, mixfix operators, Unicode characters, and an interactive Emacs interface (the type checker can assist in the development of your code). . Agda is also a proof assistant: It is an interactive system for writing and checking proofs. Agda is based on intuitionistic type theory, a foundational system for constructive mathematics developed by the Swedish logician Per Martin-Löf. It has many similarities with other proof assistants based on dependent types, such as Coq, Epigram and NuPRL. . This package contains the documentation files. Homepage: http://wiki.portal.chalmers.se/agda/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/a/agda/libghc-agda-doc_2.3.0.1-2_all.deb Package: libghc-algebra-dev Source: haskell-algebra Version: 2.1.1.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 18735 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-categories-dev-1.0.3-c0be5, libghc-containers-dev-0.4.2.1-7c545, libghc-distributive-dev-0.2.2-34503, libghc-keys-dev-2.1.3.2-5ecb6, libghc-mtl-dev-2.1.1-87121, libghc-representable-functors-dev-2.4.0.2-0ad71, libghc-representable-tries-dev-2.4.0.2-09808, libghc-semigroupoids-dev-1.3.1.2-c6021, libghc-semigroups-dev-0.8.3.2-01002, libghc-tagged-dev-0.4.2.1-27a41, libghc-transformers-dev-0.3.0.0-e8222, libghc-void-dev-0.5.5.1-172a3, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-algebra-doc, libghc-algebra-prof Provides: libghc-algebra-dev-2.1.1.2-31a9f Homepage: http://hackage.haskell.org/package/algebra Priority: extra Section: haskell Filename: pool/main/h/haskell-algebra/libghc-algebra-dev_2.1.1.2-1_armhf.deb Size: 3507056 SHA256: 31d3f4bd2d8d17a29005b548a8b8706e7bde6f9b3470ff06538b37a639a909c5 SHA1: b0c3b4d639b22f73e0f633baaad8b7ac25f2b40b MD5sum: 90b5cadc903c5d7a27626cdfaca91a97 Description: Constructive abstract algebra This package provides algebraic structures, such as groups, fields, rings, modules. It also provides bands, also known as idempotent semigroups (band is a semigroup where every element is equal to its own square), coalgebras, semirings (rigs), idempotent semirings, also known as dioids. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-algebra-doc Source: haskell-algebra Version: 2.1.1.2-1 Installed-Size: 3425 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-categories-doc, libghc-distributive-doc, libghc-keys-doc, libghc-mtl-doc, libghc-representable-functors-doc, libghc-representable-tries-doc, libghc-semigroupoids-doc, libghc-semigroups-doc, libghc-algebra-dev Size: 257754 SHA256: 8ba5e1f74f467404256177c1df829fa98f3a1df8d9e0486c6b0a5a64113cbbfc SHA1: a6df0b493939c5b539edb07cf97bef9ce68ff8c5 MD5sum: b48d93d22562891b5a9ef104ed4dfc98 Description: Constructive abstract algebra; documentation This package provides algebraic structures, such as groups, fields, rings, modules. It also provides bands, also known as idempotent semigroups (band is a semigroup where every element is equal to its own square), coalgebras, semirings (rigs), idempotent semirings, also known as dioids. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/algebra Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-algebra/libghc-algebra-doc_2.1.1.2-1_all.deb Package: libghc-algebra-prof Source: haskell-algebra Version: 2.1.1.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 18378 Depends: libghc-algebra-dev (= 2.1.1.2-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-categories-prof-1.0.3-c0be5, libghc-containers-prof-0.4.2.1-7c545, libghc-distributive-prof-0.2.2-34503, libghc-keys-prof-2.1.3.2-5ecb6, libghc-mtl-prof-2.1.1-87121, libghc-representable-functors-prof-2.4.0.2-0ad71, libghc-representable-tries-prof-2.4.0.2-09808, libghc-semigroupoids-prof-1.3.1.2-c6021, libghc-semigroups-prof-0.8.3.2-01002, libghc-tagged-prof-0.4.2.1-27a41, libghc-transformers-prof-0.3.0.0-e8222, libghc-void-prof-0.5.5.1-172a3 Provides: libghc-algebra-prof-2.1.1.2-31a9f Homepage: http://hackage.haskell.org/package/algebra Priority: extra Section: haskell Filename: pool/main/h/haskell-algebra/libghc-algebra-prof_2.1.1.2-1_armhf.deb Size: 3571348 SHA256: 7611df559e791964e222d6bfd93345415493545b9a7e8e7f568dddcf8fcc6bf6 SHA1: 826a689f7fc6eb00d2cd0aa8e36b798ccb3b0c38 MD5sum: a4299a2dc18d14ba345350ec27159b1d Description: Constructive abstract algebra; profiling data This package provides algebraic structures, such as groups, fields, rings, modules. It also provides bands, also known as idempotent semigroups (band is a semigroup where every element is equal to its own square), coalgebras, semirings (rigs), idempotent semirings, also known as dioids. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-alut-dev Source: haskell-alut Version: 2.1.0.2-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 430 Depends: libghc-openal-dev-1.3.1.3-f4718, libghc-opengl-dev-2.2.3.1-ea376, libghc-base-dev-4.5.0.0-d93df, libalut0 (>= 1.0.1), libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libgmp10, libopenal1, libalut-dev Suggests: libghc-alut-doc, libghc-alut-prof Provides: libghc-alut-dev-2.1.0.2-b1075 Homepage: http://connect.creativelabs.com/openal/default.aspx Priority: extra Section: haskell Filename: pool/main/h/haskell-alut/libghc-alut-dev_2.1.0.2-4_armhf.deb Size: 89022 SHA256: ea197e6e68251b1dffcaa6d22de837c1f877f02cc84f2708a16c7f190807012d SHA1: 9076e9140c9c14478b21652b0d0492717ea98a35 MD5sum: e2a5282c14c40178407255f64e9fe838 Description: Haskell ALUT binding for GHC This package provides a library for the Haskell programming language. . This library provides a Haskell binding for the OpenAL Utility Toolkit, which makes managing of OpenAL contexts, loading sounds in various formats and creating waveforms very easy. For more information about the C library on which this binding is based, please see: . Package: libghc-alut-doc Source: haskell-alut Version: 2.1.0.2-4 Installed-Size: 349 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-openal-doc, libghc-opengl-doc, libghc-alut-dev Size: 68846 SHA256: b395605ab8ba8a535a7a6b3438c57651f3dccd05331ab4e490b089c8ad446015 SHA1: ba2e504e1aadb8ff1b41190b7006b2ee8cc8b4af MD5sum: a19a32a9d3b986f76dc9ef0b7cfe8fed Description: Haskell ALUT binding for GHC; documentation This package provides the documentation for a library for the Haskell programming language. . This library provides a Haskell binding for the OpenAL Utility Toolkit, which makes managing of OpenAL contexts, loading sounds in various formats and creating waveforms very easy. For more information about the C library on which this binding is based, please see: . Homepage: http://connect.creativelabs.com/openal/default.aspx Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-alut/libghc-alut-doc_2.1.0.2-4_all.deb Package: libghc-alut-prof Source: haskell-alut Version: 2.1.0.2-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 388 Depends: libghc-alut-dev (= 2.1.0.2-4), libghc-openal-prof-1.3.1.3-f4718, libghc-opengl-prof-2.2.3.1-ea376, libghc-base-prof-4.5.0.0-d93df Provides: libghc-alut-prof-2.1.0.2-b1075 Homepage: http://connect.creativelabs.com/openal/default.aspx Priority: extra Section: haskell Filename: pool/main/h/haskell-alut/libghc-alut-prof_2.1.0.2-4_armhf.deb Size: 82402 SHA256: 13b77b29d154cc2eff82039cce4e163921a5a335095c35dc66ffa48634322e6c SHA1: b1779be36086f2e7c38b1ac4ef1d231906f8af6a MD5sum: 2077b8dc3a1184a57276cf2dcd3a9ab5 Description: Haskell ALUT binding for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. . This library provides a Haskell binding for the OpenAL Utility Toolkit, which makes managing of OpenAL contexts, loading sounds in various formats and creating waveforms very easy. For more information about the C library on which this binding is based, please see: . Package: libghc-ami-dev Source: haskell-ami Version: 0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 549 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-mtl-dev-2.1.1-87121, libghc-network-dev-2.3.0.13-9c99a, libghc-puremd5-dev-2.1.0.3-2aefa, libghc-stm-dev-2.3-2735f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-ami-doc, libghc-ami-prof Provides: libghc-ami-dev-0.1-daa3f Homepage: http://hackage.haskell.org/package/AMI Priority: extra Section: haskell Filename: pool/main/h/haskell-ami/libghc-ami-dev_0.1-1_armhf.deb Size: 122560 SHA256: 95bd66fb9edf1de52ea65756c9f14a6920e32c7462f1f5005b2a4f8cdc1f663d SHA1: 234b5e7c0d177f509e102c89ea8549df12fa2251 MD5sum: 16d18cc1c1cad15d6e7348b3067ca93d Description: low-level bindings for Asterisk Manager Interface (AMI) The AMI package provides simple low-level bindings for the Asterisk Manager Interface. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ami-doc Source: haskell-ami Version: 0.1-1 Installed-Size: 181 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-ami-dev Size: 36360 SHA256: 3ed5beda72397a8bc47ac2e3585b7ff329a1c3dee033eb178738255622384b63 SHA1: 21ff816f603d2b80e8e4b25aa21a98cb99438a1a MD5sum: ad39f2c94ed8967be53190aa02e3d60e Description: low-level bindings for Asterisk Manager Interface (AMI); documentation The AMI package provides simple low-level bindings for the Asterisk Manager Interface. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/AMI Section: doc Priority: extra Filename: pool/main/h/haskell-ami/libghc-ami-doc_0.1-1_all.deb Package: libghc-ami-prof Source: haskell-ami Version: 0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 468 Depends: libghc-ami-dev (= 0.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-mtl-prof-2.1.1-87121, libghc-network-prof-2.3.0.13-9c99a, libghc-puremd5-prof-2.1.0.3-2aefa, libghc-stm-prof-2.3-2735f Provides: libghc-ami-prof-0.1-daa3f Homepage: http://hackage.haskell.org/package/AMI Priority: extra Section: haskell Filename: pool/main/h/haskell-ami/libghc-ami-prof_0.1-1_armhf.deb Size: 103948 SHA256: 70ddedcdf343daca38b33a545b8ea9afd50b2175006d3d10a53e343e78c8a721 SHA1: a91e39ef6473bd559378396394b3e74f980fe6e5 MD5sum: 5fbe9adae477f3dd2bcd1cd001acdb5f Description: low-level bindings for Asterisk Manager Interface (AMI); profiling libraries The AMI package provides simple low-level bindings for the Asterisk Manager Interface. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ansi-terminal-dev Source: haskell-ansi-terminal Version: 0.5.5-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 652 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df, libghc-unix-dev-2.5.1.0-77272 Suggests: libghc-ansi-terminal-doc, libghc-ansi-terminal-prof Provides: libghc-ansi-terminal-dev-0.5.5-3c75c Homepage: http://batterseapower.github.com/ansi-terminal Priority: extra Section: haskell Filename: pool/main/h/haskell-ansi-terminal/libghc-ansi-terminal-dev_0.5.5-3_armhf.deb Size: 103052 SHA256: 85b8702b7855aa80999d13e0295fc4f26aad1099fbf812adece61fdc25108ada SHA1: c3df5537364bf13c9a3d65fcaac499240bbad47a MD5sum: e2b1778a2e426b8f2f22564c35cd07f5 Description: Simple ANSI terminal support, with Windows compatibility ANSI terminal support for Haskell: allows cursor movement, screen clearing, color output showing or hiding the cursor, and changing the title. Compatible with Windows and those Unixes with ANSI terminals, but only GHC is supported as a compiler. . This package contains the normal library files. Package: libghc-ansi-terminal-doc Source: haskell-ansi-terminal Version: 0.5.5-3 Installed-Size: 216 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-ansi-terminal-dev Size: 41318 SHA256: 739461c197104bdd656830b511c75225f31d9b1301089b27334f1cd394368890 SHA1: bb1bf746773620ebf736d23371c0bc263aeea25b MD5sum: 9497dbd0b997f08dd4d0fa50aab9218e Description: Simple ANSI terminal support, with Windows compatibility; documentation ANSI terminal support for Haskell: allows cursor movement, screen clearing, color output showing or hiding the cursor, and changing the title. Compatible with Windows and those Unixes with ANSI terminals, but only GHC is supported as a compiler. . This package contains the documentation files. Homepage: http://batterseapower.github.com/ansi-terminal Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-ansi-terminal/libghc-ansi-terminal-doc_0.5.5-3_all.deb Package: libghc-ansi-terminal-prof Source: haskell-ansi-terminal Version: 0.5.5-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 598 Depends: libghc-ansi-terminal-dev (= 0.5.5-3), libghc-base-prof-4.5.0.0-d93df, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-ansi-terminal-prof-0.5.5-3c75c Homepage: http://batterseapower.github.com/ansi-terminal Priority: extra Section: haskell Filename: pool/main/h/haskell-ansi-terminal/libghc-ansi-terminal-prof_0.5.5-3_armhf.deb Size: 100930 SHA256: 5a8c94da46aba0f9ac3efca219dd14a769e070f73ae08dea8a61378bba5180c7 SHA1: 538806099451c5b79ac50c7eca91fa2ab2d28266 MD5sum: e973ac037b4f5c8661e0c132af2b3584 Description: Simple ANSI terminal support, with Windows compatibility; profiling libraries ANSI terminal support for Haskell: allows cursor movement, screen clearing, color output showing or hiding the cursor, and changing the title. Compatible with Windows and those Unixes with ANSI terminals, but only GHC is supported as a compiler. . This package contains the libraries compiled with profiling enabled. Package: libghc-ansi-wl-pprint-dev Source: haskell-ansi-wl-pprint Version: 0.6.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 475 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-ansi-terminal-dev-0.5.5-3c75c, libghc-base-dev-4.5.0.0-d93df Suggests: libghc-ansi-wl-pprint-doc, libghc-ansi-wl-pprint-prof Provides: libghc-ansi-wl-pprint-dev-0.6.4-e1463 Homepage: http://github.com/batterseapower/ansi-wl-pprint Priority: extra Section: haskell Filename: pool/main/h/haskell-ansi-wl-pprint/libghc-ansi-wl-pprint-dev_0.6.4-1_armhf.deb Size: 84478 SHA256: f52113262cdae30163d8b0aa452e7240116ecf9bb1d30d2bcf61f827b04d2566 SHA1: 724b5533bccf6cd241ba9c218d018fa8636258f1 MD5sum: 768c52797965e4089ba1e30157442319 Description: Wadler/Leijen Pretty Printer for colored ANSI terminal output This is a pretty printing library based on Wadler's paper "A Prettier Printer". It has been enhanced with support for ANSI terminal colored output using the ansi-terminal package. . This package contains the normal library files. Package: libghc-ansi-wl-pprint-doc Source: haskell-ansi-wl-pprint Version: 0.6.4-1 Installed-Size: 478 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-ansi-terminal-doc, libghc-ansi-wl-pprint-dev Size: 69178 SHA256: ee7ac9b471ebbcf0a454698a37fe5199e41dc0be1545a64fe0ac2c27c7faa08a SHA1: 87fcc80a65ef7951d1a2bdcc71f5a04734afebec MD5sum: f0b09c213dcb7c14ac707f95d286e1a8 Description: Wadler/Leijen Pretty Printer for colored ANSI terminal output; documentation This is a pretty printing library based on Wadler's paper "A Prettier Printer". It has been enhanced with support for ANSI terminal colored output using the ansi-terminal package. . This package contains the documentation files. Homepage: http://github.com/batterseapower/ansi-wl-pprint Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-ansi-wl-pprint/libghc-ansi-wl-pprint-doc_0.6.4-1_all.deb Package: libghc-ansi-wl-pprint-prof Source: haskell-ansi-wl-pprint Version: 0.6.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 414 Depends: libghc-ansi-wl-pprint-dev (= 0.6.4-1), libghc-ansi-terminal-prof-0.5.5-3c75c, libghc-base-prof-4.5.0.0-d93df Provides: libghc-ansi-wl-pprint-prof-0.6.4-e1463 Homepage: http://github.com/batterseapower/ansi-wl-pprint Priority: extra Section: haskell Filename: pool/main/h/haskell-ansi-wl-pprint/libghc-ansi-wl-pprint-prof_0.6.4-1_armhf.deb Size: 77132 SHA256: e9934c70d36fe3ccaf041098cbbf6290a1aa9b06c062340b112c2e9dc7bddc64 SHA1: 91f2a639afbbe3dfbf356b6e586f1a1d9b776acf MD5sum: df89cce3aec8fe15577977930653bdb9 Description: Wadler/Leijen Pretty Printer for colored ANSI terminal output; profiling lib This is a pretty printing library based on Wadler's paper "A Prettier Printer". It has been enhanced with support for ANSI terminal colored output using the ansi-terminal package. . This package contains the libraries compiled with profiling enabled. Package: libghc-arrows-dev Source: haskell-arrows Version: 0.4.4.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2788 Depends: libghc-stream-dev-0.4.6-b51d2, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-arrows-doc, libghc-arrows-prof Provides: libghc-arrows-dev-0.4.4.0-8e269 Homepage: http://www.haskell.org/arrows/ Priority: extra Section: haskell Filename: pool/main/h/haskell-arrows/libghc-arrows-dev_0.4.4.0-3_armhf.deb Size: 458052 SHA256: db503738cf04fd8042398ff1a209d7912fb1d3f9f9607f65938182c19b9bebe2 SHA1: b5bce117abbb6512dbe11e1b458ee2dc5f28c870 MD5sum: bad88434ca867fb7f5ced84a16e95523 Description: Haskell arrow classes and transformers for GHC This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides several classes that extend the Arrow class, and some transformers that implement or lift these classes. Package: libghc-arrows-doc Source: haskell-arrows Version: 0.4.4.0-3 Installed-Size: 695 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-stream-doc, libghc-arrows-dev Size: 80156 SHA256: ad32c1dcc5be9fb6d0e5eac17363c8c839cf2a75d78ed69d0480440e1d4b4316 SHA1: 9d4dddbeb997d3d7dfa0ee152513a39a821838ed MD5sum: e223103674b0e051f8683c720c5fc838 Description: Haskell arrow classes and transformers for GHC; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides several classes that extend the Arrow class, and some transformers that implement or lift these classes. Homepage: http://www.haskell.org/arrows/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-arrows/libghc-arrows-doc_0.4.4.0-3_all.deb Package: libghc-arrows-prof Source: haskell-arrows Version: 0.4.4.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2782 Depends: libghc-arrows-dev (= 0.4.4.0-3), libghc-stream-prof-0.4.6-b51d2, libghc-base-prof-4.5.0.0-d93df Provides: libghc-arrows-prof-0.4.4.0-8e269 Homepage: http://www.haskell.org/arrows/ Priority: extra Section: haskell Filename: pool/main/h/haskell-arrows/libghc-arrows-prof_0.4.4.0-3_armhf.deb Size: 500916 SHA256: 4cc73441877b4366f27f1a3d376c3d1660bda2f72fb5f029fc82a007bee89560 SHA1: bf60fdaa340652dfa2127e9f82b3aeefbb4dea50 MD5sum: aa331388f1899855f5b79d13395b0115 Description: Haskell arrow classes and transformers for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides several classes that extend the Arrow class, and some transformers that implement or lift these classes. Package: libghc-asn1-data-dev Source: haskell-asn1-data Version: 0.6.1.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2625 Depends: libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-attoparsec-enumerator-dev-0.3-cc5c3, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-enumerator-dev-0.4.19-c0ca3, libghc-mtl-dev-2.1.1-87121, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-asn1-data-doc, libghc-asn1-data-prof Provides: libghc-asn1-data-dev-0.6.1.3-62907 Homepage: http://hackage.haskell.org/package/asn1-data Priority: extra Section: haskell Filename: pool/main/h/haskell-asn1-data/libghc-asn1-data-dev_0.6.1.3-2_armhf.deb Size: 570402 SHA256: c96f6b446224c5f5b616261b0a226e4b290ea72b2a0ef210f435e49109fdef9e SHA1: 1fe428cc54a0dfb9618dd9874c7538a2c17bccca MD5sum: 091d2a58504b62f2e9b10c9601af0466 Description: ASN1 data reader/writer in RAW, BER, DER and CER forms This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . ASN1 data reader and writer in raw form with supports for high level forms of ASN1 (BER, CER and DER). . All interfaces use the enumerator interface. Package: libghc-asn1-data-doc Source: haskell-asn1-data Version: 0.6.1.3-2 Installed-Size: 714 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-enumerator-doc, libghc-asn1-data-dev Size: 77990 SHA256: be3bc8f66acb7b44bfc65a03c46d447c1df92db53eda758cbd9970461d11a6d0 SHA1: 69b86f31927b9d612699b765bc3dbdb5dc5ad60b MD5sum: 4e93205bd8563955fd7371c1006987fa Description: ASN1 data reader/writer in RAW, BER, DER, CER forms; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . ASN1 data reader and writer in raw form with supports for high level forms of ASN1 (BER, CER and DER). . All interfaces use the enumerator interface. Homepage: http://hackage.haskell.org/package/asn1-data Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-asn1-data/libghc-asn1-data-doc_0.6.1.3-2_all.deb Package: libghc-asn1-data-prof Source: haskell-asn1-data Version: 0.6.1.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2408 Depends: libghc-asn1-data-dev (= 0.6.1.3-2), libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-attoparsec-enumerator-prof-0.3-cc5c3, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-enumerator-prof-0.4.19-c0ca3, libghc-mtl-prof-2.1.1-87121, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-asn1-data-prof-0.6.1.3-62907 Homepage: http://hackage.haskell.org/package/asn1-data Priority: extra Section: haskell Filename: pool/main/h/haskell-asn1-data/libghc-asn1-data-prof_0.6.1.3-2_armhf.deb Size: 523998 SHA256: 5636d2783b763bf73c39affa95cd1f13df1d7eef905647aa74ce5d5f0519192d SHA1: 80227d48d7b978a15b51889093cf9d8c9a6bb278 MD5sum: 5878954a64baaeafd9a04a0128bed0c4 Description: ASN1 data reader/writer in RAW, BER, DER, CER forms; profiling libs This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . ASN1 data reader and writer in raw form with supports for high level forms of ASN1 (BER, CER and DER). . All interfaces use the enumerator interface. Package: libghc-attempt-dev Source: haskell-attempt Version: 0.4.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 180 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-failure-dev-0.2.0.1-49a74, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-attempt-doc, libghc-attempt-prof Provides: libghc-attempt-dev-0.4.0-906a4 Homepage: http://hackage.haskell.org/package/attempt Priority: extra Section: haskell Filename: pool/main/h/haskell-attempt/libghc-attempt-dev_0.4.0-1_armhf.deb Size: 30928 SHA256: cbf10b11312d6864fa901cd72559924b7833b572cca3ae173ae2ae5e54fcaaef SHA1: 3934479410ae4da217bcdc517b91019c84ebec40 MD5sum: 463decfbeef4373435c48f1408b12c33 Description: Concrete data type for handling extensible exceptions as failures Defines a data type, Attempt, which has a Success and Failure constructor. Failure contains an extensible exception. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-attempt-doc Source: haskell-attempt Version: 0.4.0-1 Installed-Size: 161 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-failure-doc, libghc-attempt-dev Size: 35666 SHA256: 4fda6da123061d353ba93bce60ab902aa4e9b998adf36b9a75cc7a2aff347189 SHA1: 10e4a557aa988dada39bd66bbc35f315b3e36016 MD5sum: 1be23809546867396b6363f4357a6bb5 Description: Concrete data type for handling extensible exceptions as failures; docs Defines a data type, Attempt, which has a Success and Failure constructor. Failure contains an extensible exception. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/attempt Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-attempt/libghc-attempt-doc_0.4.0-1_all.deb Package: libghc-attempt-prof Source: haskell-attempt Version: 0.4.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 152 Depends: libghc-attempt-dev (= 0.4.0-1), libghc-base-prof-4.5.0.0-d93df, libghc-failure-prof-0.2.0.1-49a74 Provides: libghc-attempt-prof-0.4.0-906a4 Homepage: http://hackage.haskell.org/package/attempt Priority: extra Section: haskell Filename: pool/main/h/haskell-attempt/libghc-attempt-prof_0.4.0-1_armhf.deb Size: 28766 SHA256: a0bac93bf249f3ccbebb03006d930d73c64719a20353c5f0dc092bae109815c6 SHA1: 270dd172ec55efa8e176273e7b2234258d587619 MD5sum: f825da50756c9d3b5a8782e7d603b280 Description: Concrete data type for handling extensible exceptions as failures; prof Defines a data type, Attempt, which has a Success and Failure constructor. Failure contains an extensible exception. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-attoparsec-conduit-dev Source: haskell-attoparsec-conduit Version: 0.4.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 164 Depends: libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-conduit-dev-0.4.2-2f3e1, libghc-text-dev-0.11.2.0-05a46, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-attoparsec-conduit-doc, libghc-attoparsec-conduit-prof Provides: libghc-attoparsec-conduit-dev-0.4.0.1-32468 Homepage: http://hackage.haskell.org/package/haskell-attoparsec-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-attoparsec-conduit/libghc-attoparsec-conduit-dev_0.4.0.1-1_armhf.deb Size: 28034 SHA256: 75a9b25284512cba07d4a0b419b3dda90e3bee639c3ca1d7528c9632e8a6498a SHA1: 65a3844c3b126333ae3f18a6591a041162583770 MD5sum: 4c5f3613cae40d295ad16f542ec782fa Description: turn attoparsec parsers into sinks Turn attoparsec parsers into sinks. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-attoparsec-conduit-doc Source: haskell-attoparsec-conduit Version: 0.4.0.1-1 Installed-Size: 69 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-attoparsec-doc, libghc-conduit-doc, libghc-attoparsec-conduit-dev Size: 31006 SHA256: 3744510a7a75f49cda4b0e4681e819470cb4e756df12beb792c060d6320f2e4e SHA1: d28e2012396048815d2c5fcaf7d5859424618a27 MD5sum: 09b775c41ac055ed76fa79554c6ed96c Description: turn attoparsec parsers into sinks; documentation Turn attoparsec parsers into sinks. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-attoparsec-conduit Section: doc Priority: extra Filename: pool/main/h/haskell-attoparsec-conduit/libghc-attoparsec-conduit-doc_0.4.0.1-1_all.deb Package: libghc-attoparsec-conduit-prof Source: haskell-attoparsec-conduit Version: 0.4.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 138 Depends: libghc-attoparsec-conduit-dev (= 0.4.0.1-1), libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-conduit-prof-0.4.2-2f3e1, libghc-text-prof-0.11.2.0-05a46, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-attoparsec-conduit-prof-0.4.0.1-32468 Homepage: http://hackage.haskell.org/package/haskell-attoparsec-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-attoparsec-conduit/libghc-attoparsec-conduit-prof_0.4.0.1-1_armhf.deb Size: 25164 SHA256: 070270b9b11092f88fbf299267b2b860bf3d34cfedfe9fbb03eb0e5db0172579 SHA1: fc9e68ece26b3124e0f8fe370fdc0888aeb07bf5 MD5sum: d8ed6f79e0b37fcf205d105951082d58 Description: turn attoparsec parsers into sinks; profiling libraries Turn attoparsec parsers into sinks. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-attoparsec-dev Source: haskell-attoparsec Version: 0.10.1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3378 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-attoparsec-doc, libghc-attoparsec-prof Provides: libghc-attoparsec-dev-0.10.1.1-d26e0 Homepage: http://bitbucket.org/bos/attoparsec Priority: optional Section: haskell Filename: pool/main/h/haskell-attoparsec/libghc-attoparsec-dev_0.10.1.1-2_armhf.deb Size: 716692 SHA256: 0da06cb03b3cce4c71dfd74a48aac293d2709f975328e4d73f935ab9b65e09e8 SHA1: 2621161fee445879e693e3356cd66a348290c09e MD5sum: d88dca864c2b55d7d4e3aba354b819c0 Description: Fast combinator parsing for bytestrings A fast parser combinator library, aimed particularly at dealing efficiently with network protocols and complicated text/binary file formats. . This package contains the libraries compiled for GHC. Package: libghc-attoparsec-doc Source: haskell-attoparsec Version: 0.10.1.1-2 Installed-Size: 1016 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-attoparsec-dev Size: 125830 SHA256: b45a078047ce36dc052265608abd3fb676e8c1283018a4c4f3608f15fdb677bc SHA1: 24653357c2566d277fe26732923d499643016e67 MD5sum: 637fd717d5259d8e27df58f19b069378 Description: Fast combinator parsing for bytestrings; documentation A fast parser combinator library, aimed particularly at dealing efficiently with network protocols and complicated text/binary file formats. . This package contains the library documentation. Homepage: http://bitbucket.org/bos/attoparsec Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: optional Filename: pool/main/h/haskell-attoparsec/libghc-attoparsec-doc_0.10.1.1-2_all.deb Package: libghc-attoparsec-enumerator-dev Source: haskell-attoparsec-enumerator Version: 0.3-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 165 Depends: libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-enumerator-dev-0.4.19-c0ca3, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-attoparsec-enumerator-doc, libghc-attoparsec-enumerator-prof Provides: libghc-attoparsec-enumerator-dev-0.3-cc5c3 Homepage: http://hackage.haskell.org/package/attoparsec-enumerator Priority: extra Section: haskell Filename: pool/main/h/haskell-attoparsec-enumerator/libghc-attoparsec-enumerator-dev_0.3-3_armhf.deb Size: 28450 SHA256: ba8240b624e6ade6ba03cfffaa8079333126851616ba025ce3dc2b747420c2f2 SHA1: 50dcf88f1c304294a6a6402aaee2e3a64bb8958d MD5sum: 548dbb7acb4dc761c4faea0ac3e1b1b9 Description: convert an Attoparsec parser into an iteratee This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It allows an Attoparsec parser to receive input incrementally from an enumerator. This could be used for parsing large files or implementing binary network protocols. Package: libghc-attoparsec-enumerator-doc Source: haskell-attoparsec-enumerator Version: 0.3-3 Installed-Size: 115 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-attoparsec-doc, libghc-enumerator-doc, libghc-text-doc, libghc-attoparsec-enumerator-dev Size: 30472 SHA256: 2c06323412165309b2011a42f001d5067254c31fe06557ba5ad2356ab73d1424 SHA1: fc8ddaa38e95283b452557fd1cdc39065adf8f80 MD5sum: e7d2a1268612bda2e8b389f339fc93fa Description: convert an Attoparsec parser into an iteratee; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The library allows an Attoparsec parser to receive input incrementally from an enumerator. This could be used for parsing large files or implementing binary network protocols. Homepage: http://hackage.haskell.org/package/attoparsec-enumerator Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-attoparsec-enumerator/libghc-attoparsec-enumerator-doc_0.3-3_all.deb Package: libghc-attoparsec-enumerator-prof Source: haskell-attoparsec-enumerator Version: 0.3-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 135 Depends: libghc-attoparsec-enumerator-dev (= 0.3-3), libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-enumerator-prof-0.4.19-c0ca3, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-attoparsec-enumerator-prof-0.3-cc5c3 Homepage: http://hackage.haskell.org/package/attoparsec-enumerator Priority: extra Section: haskell Filename: pool/main/h/haskell-attoparsec-enumerator/libghc-attoparsec-enumerator-prof_0.3-3_armhf.deb Size: 24536 SHA256: 756096d629a8d89d8f03019de00ca44ba9847a1d5db98d8d50b43e9eee7a4627 SHA1: d67a6b763a2c77d8e12a4b17cbe6de6f83dfb0c1 MD5sum: cd6da960f428b3a40c2cf699ad707a7f Description: convert an Attoparsec parser into an iteratee; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . The library allows an Attoparsec parser to receive input incrementally from an enumerator. This could be used for parsing large files or implementing binary network protocols. Package: libghc-attoparsec-prof Source: haskell-attoparsec Version: 0.10.1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3036 Depends: libghc-attoparsec-dev (= 0.10.1.1-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-deepseq-prof-1.3.0.0-6c19e, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-attoparsec-prof-0.10.1.1-d26e0 Homepage: http://bitbucket.org/bos/attoparsec Priority: optional Section: haskell Filename: pool/main/h/haskell-attoparsec/libghc-attoparsec-prof_0.10.1.1-2_armhf.deb Size: 649288 SHA256: eb26167092bea7c094762e66a218b9b757c1d88dce0a1ab0464b93b31a216561 SHA1: e1117f6d09f34c03bfd55d3ded1c5278217dbbef MD5sum: 2de23ede689605f4ad1b230059873aa9 Description: Fast combinator parsing for bytestrings; profiling library A fast parser combinator library, aimed particularly at dealing efficiently with network protocols and complicated text/binary file formats. . This package contains the profiling libraries compiled for GHC. Package: libghc-attoparsec-text-dev Source: haskell-attoparsec-text Version: 0.8.5.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1385 Depends: libghc-array-dev-0.3.0.2-86a19, libghc-attoparsec-dev-0.10.0.3-f5985, libghc-base-dev-4.3.1.0-97817, libghc-containers-dev-0.4.0.0-ad7e4, libghc-text-dev-0.11.1.5-6b5e3, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-attoparsec-text-doc, libghc-attoparsec-text-prof Provides: libghc-attoparsec-text-dev-0.8.5.1.1-e11cb Homepage: http://hackage.haskell.org/package/attoparsec-text Priority: extra Section: haskell Filename: pool/main/h/haskell-attoparsec-text/libghc-attoparsec-text-dev_0.8.5.1-2_armhf.deb Size: 261648 SHA256: ed86eeb1d8b45d2bdc69f956aab46927022eceea6aa385e92a328e22e92d70e6 SHA1: b18d116408984909a386c0d786268d4792704e6a MD5sum: 921990d516212542a37c3671f2556866 Description: Fast combinator parsing for texts This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a fast parser combinator library, aimed particularly at dealing efficiently with network protocols and complicated text/binary file formats. . This library is basically a translation of the original attoparsec library to use text instead of bytestrings. Package: libghc-attoparsec-text-doc Source: haskell-attoparsec-text Version: 0.8.5.1-2 Installed-Size: 417 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-16 Recommends: ghc-doc, libghc-attoparsec-doc, libghc-text-doc, libghc-attoparsec-text-dev Size: 66310 SHA256: 6ff65984ed3bc8dccaa1a2ed7aa9b7c1222acb15e579d31f63bc81d18754cd19 SHA1: 6d78a162dcee71705dd6c76c03f6ee560fe6c8a0 MD5sum: dc370350634303e931abe12a3592f13b Description: Fast combinator parsing for texts; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a fast parser combinator library, aimed particularly at dealing efficiently with network protocols and complicated text/binary file formats. . This library is basically a translation of the original attoparsec library to use text instead of bytestrings. Homepage: http://hackage.haskell.org/package/attoparsec-text Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-attoparsec-text/libghc-attoparsec-text-doc_0.8.5.1-2_all.deb Package: libghc-attoparsec-text-prof Source: haskell-attoparsec-text Version: 0.8.5.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1120 Depends: libghc-attoparsec-text-dev (= 0.8.5.1-2), libghc-array-prof-0.3.0.2-86a19, libghc-attoparsec-prof-0.10.0.3-f5985, libghc-base-prof-4.3.1.0-97817, libghc-containers-prof-0.4.0.0-ad7e4, libghc-text-prof-0.11.1.5-6b5e3 Provides: libghc-attoparsec-text-prof-0.8.5.1.1-e11cb Homepage: http://hackage.haskell.org/package/attoparsec-text Priority: extra Section: haskell Filename: pool/main/h/haskell-attoparsec-text/libghc-attoparsec-text-prof_0.8.5.1-2_armhf.deb Size: 221406 SHA256: a6e3a422300e3902234bcc639302dfbd8b72a0b1857e9bbf15179c60338c5f28 SHA1: 33fc619f38cbe15a88f9d03556bd28c0f116e975 MD5sum: f4ea4e920a3b024b832d7370581071b5 Description: Fast combinator parsing for texts; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is a fast parser combinator library, aimed particularly at dealing efficiently with network protocols and complicated text/binary file formats. . This library is basically a translation of the original attoparsec library to use text instead of bytestrings. Package: libghc-augeas-dev Source: haskell-augeas Version: 0.6.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 385 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-directory-dev-1.1.0.2-57272, libghc-unix-dev-2.5.1.0-77272, libaugeas0 (>= 0.6.0), libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libaugeas-dev Suggests: libghc-augeas-doc, libghc-augeas-prof Provides: libghc-augeas-dev-0.6.1-809f5 Homepage: http://hackage.haskell.org/package/augeas Priority: extra Section: haskell Filename: pool/main/h/haskell-augeas/libghc-augeas-dev_0.6.1-1_armhf.deb Size: 71598 SHA256: b5f4f1f70a252d5167f064fb5e07605fa0f1c08604dc1d45cc06daca5214addb SHA1: 79a89b13eaa4b58530a3bd09e19ec262c669ee35 MD5sum: 02e7bfe302a487594cab44d0e0e22332 Description: Haskell bindings for the augeas library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package contains Haskell bindings for the functions and data structures defined in the augeas C library. Package: libghc-augeas-doc Source: haskell-augeas Version: 0.6.1-1 Installed-Size: 358 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-augeas-dev Size: 59948 SHA256: a9b4d4c71aedc7520c83c07b179d841f910f2561899c97f93b56f19bf3ae296c SHA1: f1c081a04eb02a4eefdc7bcaf5b6913498e3a90e MD5sum: 8e1e3b50c0eafc9de30d1ec26e1541c1 Description: Haskell bindings for the augeas library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package contains Haskell bindings for the functions and data structures defined in the augeas C library. Homepage: http://hackage.haskell.org/package/augeas Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-augeas/libghc-augeas-doc_0.6.1-1_all.deb Package: libghc-augeas-prof Source: haskell-augeas Version: 0.6.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 323 Depends: libghc-augeas-dev (= 0.6.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-directory-prof-1.1.0.2-57272, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-augeas-prof-0.6.1-809f5 Homepage: http://hackage.haskell.org/package/augeas Priority: extra Section: haskell Filename: pool/main/h/haskell-augeas/libghc-augeas-prof_0.6.1-1_armhf.deb Size: 63386 SHA256: b8535e5c03930da980dedf96c454e16d273f8c6fee6fcf547d1a5e48d3e1ece5 SHA1: 2a67ccdc07abe18395049353fd6261828c1ec83f MD5sum: ab42ab83b672e455192af7b7bfaa673f Description: Haskell bindings for the augeas library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package contains Haskell bindings for the functions and data structures defined in the augeas C library. Package: libghc-authenticate-doc Source: haskell-authenticate Version: 1.2.1.1-2 Installed-Size: 290 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-conduit-doc, libghc-http-conduit-doc, libghc-monad-control-doc, libghc-text-doc, libghc-transformers-doc, libghc-authenticate-dev Size: 49050 SHA256: bfea31a359f024a4a625a348bb09ae802b35a032cbadac0d20e486fa1b2f2bf6 SHA1: 731eedbc58de554ddd22a3d546b0c26f856cd325 MD5sum: 4c9ada1e719d3245610660af0bcacda6 Description: authentication methods for Haskell web applications; documentation Focus is on third-party authentication methods, such as OpenID, rpxnow and Facebook. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/authenticate Tag: devel::doc, devel::lang:haskell, role::documentation, security::authentication Section: doc Priority: extra Filename: pool/main/h/haskell-authenticate/libghc-authenticate-doc_1.2.1.1-2_all.deb Package: libghc-base-unicode-symbols-dev Source: haskell-base-unicode-symbols Version: 0.2.2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 198 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-base-unicode-symbols-doc, libghc-base-unicode-symbols-prof Provides: libghc-base-unicode-symbols-dev-0.2.2.3-05b93 Homepage: http://hackage.haskell.org/package/base-unicode-symbols Priority: extra Section: haskell Filename: pool/main/h/haskell-base-unicode-symbols/libghc-base-unicode-symbols-dev_0.2.2.3-1_armhf.deb Size: 19602 SHA256: d197d2910e41268cb2115c54991ba6280205561911abcccf1656960009576b5f SHA1: a7c3c6f74b19426834a0a14136432c8ec90e444c MD5sum: 27d42d52110453c8ef5a64fc80471c29 Description: Unicode alternatives for common functions and operators This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It defines new symbols for a number of functions and operators in the base package. . All symbols are documented with their actual definition and information regarding their Unicode code point. They should be completely interchangeable with their definitions. . For further Unicode goodness you can enable the UnicodeSyntax language extension [1]. This extension enables Unicode characters to be used to stand for certain ASCII character sequences, i.e. → instead of ->, ∀ instead of forall and many others. Package: libghc-base-unicode-symbols-doc Source: haskell-base-unicode-symbols Version: 0.2.2.3-1 Installed-Size: 291 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-base-unicode-symbols-dev Size: 44600 SHA256: 5239eaae54c8adafbc4b4140db22141649c5de195fbf76961d05e849765cdfe4 SHA1: 4818f4b6c31c399d3fcde2a602bad453906010b1 MD5sum: 7d2bb6ca566c41f123377aa82c81ac71 Description: Unicode alternatives for common functions and operators; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . All symbols are documented with their actual definition and information regarding their Unicode code point. They should be completely interchangeable with their definitions. . For further Unicode goodness you can enable the UnicodeSyntax language extension [1]. This extension enables Unicode characters to be used to stand for certain ASCII character sequences, i.e. → instead of ->, ∀ instead of forall and many others. Homepage: http://hackage.haskell.org/package/base-unicode-symbols Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-base-unicode-symbols/libghc-base-unicode-symbols-doc_0.2.2.3-1_all.deb Package: libghc-base-unicode-symbols-prof Source: haskell-base-unicode-symbols Version: 0.2.2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 186 Depends: libghc-base-unicode-symbols-dev (= 0.2.2.3-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-base-unicode-symbols-prof-0.2.2.3-05b93 Homepage: http://hackage.haskell.org/package/base-unicode-symbols Priority: extra Section: haskell Filename: pool/main/h/haskell-base-unicode-symbols/libghc-base-unicode-symbols-prof_0.2.2.3-1_armhf.deb Size: 20336 SHA256: c0332764a102cf87459af40dc0b15a32da6edfb66dc73c31d5bd38ff4e5e5db2 SHA1: 2736566f0ea2ba536bc1389b1f22e75dd5ff9d1f MD5sum: fda937963b2c578dcfe488b843fc5170 Description: Unicode alternatives for common functions and operators; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . All symbols are documented with their actual definition and information regarding their Unicode code point. They should be completely interchangeable with their definitions. . For further Unicode goodness you can enable the UnicodeSyntax language extension [1]. This extension enables Unicode characters to be used to stand for certain ASCII character sequences, i.e. → instead of ->, ∀ instead of forall and many others. Package: libghc-base16-bytestring-dev Source: haskell-base16-bytestring Version: 0.1.1.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 135 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-ghc-prim-dev-0.2.0.0-bd29c Suggests: libghc-base16-bytestring-doc, libghc-base16-bytestring-prof Provides: libghc-base16-bytestring-dev-0.1.1.4-fe252 Homepage: http://github.com/bos/base16-bytestring Priority: extra Section: haskell Filename: pool/main/h/haskell-base16-bytestring/libghc-base16-bytestring-dev_0.1.1.4-2_armhf.deb Size: 19178 SHA256: 1a0446cf95c859291d5558146b16baadf3f05e641368248c695f4f2d3db47f2f SHA1: 8b883ee49f9b3c6c90fe4e5b19c5cae6f994892b MD5sum: 56cfd3a1f2a16c6fbf40216873c1d2be Description: Fast base16 (hex) encoding and decoding for ByteStrings This package provides a Haskell library for working with base16-encoded data quickly and efficiently, using the ByteString type. . This package contains the normal library files. Package: libghc-base16-bytestring-doc Source: haskell-base16-bytestring Version: 0.1.1.4-2 Installed-Size: 139 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-base16-bytestring-dev Size: 31558 SHA256: f2a353cb7a07260d557631af7ed319aee28381071f7a686bb202171354325b81 SHA1: 3c095b9de287a4c48f20c61a4b0bd909d7e9ee4f MD5sum: 173d2a3b72cb872e754f4bd3b75acac8 Description: Fast base16 (hex) encoding and decoding for ByteStrings; documentation This package provides a Haskell library for working with base16-encoded data quickly and efficiently, using the ByteString type. . This package contains the documentation files. Homepage: http://github.com/bos/base16-bytestring Section: doc Priority: extra Filename: pool/main/h/haskell-base16-bytestring/libghc-base16-bytestring-doc_0.1.1.4-2_all.deb Package: libghc-base16-bytestring-prof Source: haskell-base16-bytestring Version: 0.1.1.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 148 Depends: libghc-base16-bytestring-dev (= 0.1.1.4-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-ghc-prim-prof-0.2.0.0-bd29c Provides: libghc-base16-bytestring-prof-0.1.1.4-fe252 Homepage: http://github.com/bos/base16-bytestring Priority: extra Section: haskell Filename: pool/main/h/haskell-base16-bytestring/libghc-base16-bytestring-prof_0.1.1.4-2_armhf.deb Size: 29784 SHA256: 944097079334e9707e573237421b2d6bdb1394f07393e146d6a4070a94c91c9a SHA1: 9ad0f9af129cdadc46e28eb07d16f2fba8fcf60d MD5sum: a27dfd86d2d939d3bcef117b7a5869d4 Description: Fast base16 (hex) encoding and decoding for ByteStrings; profiling libraries This package provides a Haskell library for working with base16-encoded data quickly and efficiently, using the ByteString type. . This package contains the libraries compiled with profiling enabled. Package: libghc-base64-bytestring-dev Source: haskell-base64-bytestring Version: 0.1.1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 237 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-base64-bytestring-doc, libghc-base64-bytestring-prof Provides: libghc-base64-bytestring-dev-0.1.1.1-8e4fe Homepage: http://hackage.haskell.org/package/base64-bytestring Priority: extra Section: haskell Filename: pool/main/h/haskell-base64-bytestring/libghc-base64-bytestring-dev_0.1.1.1-2_armhf.deb Size: 45090 SHA256: d659b849ebc294fa73e32f4df85dc7c8961a4366b3868f39bde3441c4f32a28b SHA1: 4031f5da86b0779ee6572b45cfcb329c3ba3ce86 MD5sum: d9c941fee625b359026d649bfb3ffccb Description: fast base64 encoding/deconding for ByteStrings This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-base64-bytestring-doc Source: haskell-base64-bytestring Version: 0.1.1.1-2 Installed-Size: 196 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-base64-bytestring-dev Size: 37534 SHA256: 9e0fdd7488d4cd4c2f1f600473b703738f7566f891ca512fb0b48458dcaf3cde SHA1: 1e384d5f562b8f2aa74a8a09e9e69e9b24eefce8 MD5sum: e54f6a141a099f3992a1fbe37b5b4415 Description: fast base64 encoding/deconding for ByteStrings; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/base64-bytestring Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-base64-bytestring/libghc-base64-bytestring-doc_0.1.1.1-2_all.deb Package: libghc-base64-bytestring-prof Source: haskell-base64-bytestring Version: 0.1.1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 359 Depends: libghc-base64-bytestring-dev (= 0.1.1.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-base64-bytestring-prof-0.1.1.1-8e4fe Homepage: http://hackage.haskell.org/package/base64-bytestring Priority: extra Section: haskell Filename: pool/main/h/haskell-base64-bytestring/libghc-base64-bytestring-prof_0.1.1.1-2_armhf.deb Size: 76038 SHA256: 8887c59f15efa75765893bd6c37bbc8a026819a4b809bf49cd76f6995d2bf958 SHA1: 49b0931b9f62445092f9f288ba7668823325b40d MD5sum: 2d8070ffbd4c0439222ca3ce6b7d4018 Description: fast base64 encoding/deconding for ByteStrings; profiling libs This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-bifunctors-dev Source: haskell-bifunctors Version: 0.1.3.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 507 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-semigroupoids-dev-1.3.1.2-c6021, libghc-semigroups-dev-0.8.3.2-01002, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-bifunctors-doc, libghc-bifunctors-prof Provides: libghc-bifunctors-dev-0.1.3.3-19b58 Homepage: http://hackage.haskell.org/package/bifunctors Priority: extra Section: haskell Filename: pool/main/h/haskell-bifunctors/libghc-bifunctors-dev_0.1.3.3-1_armhf.deb Size: 90772 SHA256: de48e23c2f949a1b53fd64e0ffd59e3a37e9d5612cf42282f0b1a9c529a6819a SHA1: 63c71f6f5c88f8c1a992e02ce46637a7d0c72b0f MD5sum: 352f2c56764723aab37f6136dc476d15 Description: Haskell 98 bifunctors A binary functor is a functor in two arguments. This package provides bifoldables and bitraversables. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-bifunctors-doc Source: haskell-bifunctors Version: 0.1.3.3-1 Installed-Size: 262 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-semigroupoids-doc, libghc-semigroups-doc, libghc-bifunctors-dev Size: 40756 SHA256: 5bf46c04a6ff23d1f0aab0de3ff3a8df23ad4614763444d7b0f4713dd7f39f72 SHA1: b6cbfa73d2e443745554b55b32c9b8ef112bc193 MD5sum: 2ba0974e35ff537dfd8769c7b2fcb1de Description: Haskell 98 bifunctors; documentation A binary functor is a functor in two arguments. This package provides bifoldables and bitraversables. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/bifunctors Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-bifunctors/libghc-bifunctors-doc_0.1.3.3-1_all.deb Package: libghc-bifunctors-prof Source: haskell-bifunctors Version: 0.1.3.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 489 Depends: libghc-bifunctors-dev (= 0.1.3.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-semigroupoids-prof-1.3.1.2-c6021, libghc-semigroups-prof-0.8.3.2-01002 Provides: libghc-bifunctors-prof-0.1.3.3-19b58 Homepage: http://hackage.haskell.org/package/bifunctors Priority: extra Section: haskell Filename: pool/main/h/haskell-bifunctors/libghc-bifunctors-prof_0.1.3.3-1_armhf.deb Size: 98574 SHA256: 5708f52463e2459387fbf4f88990b6845d1573160fe043312859f4231b6fe250 SHA1: 4720aab193d036b309af3d47b2f846ca7d720ef5 MD5sum: 35d02ee951bbeb6711a6c3f81afd5e04 Description: Haskell 98 bifunctors; profiling data A binary functor is a functor in two arguments. This package provides bifoldables and bitraversables. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-binary-communicator-dev Source: haskell-binary-communicator Version: 1.0.2.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 124 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-binary-communicator-doc, libghc-binary-communicator-prof Provides: libghc-binary-communicator-dev-1.0.2.1-e4501 Homepage: http://hackage.haskell.org/package/binary-communicator Priority: extra Section: haskell Filename: pool/main/h/haskell-binary-communicator/libghc-binary-communicator-dev_1.0.2.1-3_armhf.deb Size: 18126 SHA256: 8970ae56596271346adb8dc1649d9edbaf8ddda57efd788bf455173d331f878a SHA1: 5058a4ae2aab1ada5f38adc62d1f276a51bc8dea MD5sum: 0b0b86385a1de16e4d6ab707c23d1b12 Description: sending values in a MonadIO This package provides a datatype that makes it easier to send and receive values in any MonadIO. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-binary-communicator-doc Source: haskell-binary-communicator Version: 1.0.2.1-3 Installed-Size: 123 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-binary-communicator-dev Size: 30616 SHA256: d5602a0cec97261e346e10d5b93e54f0d0253a9277752771fb3852273b885303 SHA1: 4970d5e13608c32be86dbbeaa040851ae1ea8db0 MD5sum: 9a4e5530b8106e873ee46e659bffeff3 Description: sending values in a MonadIO; documentation This package provides a datatype that makes it easier to send and receive values in any MonadIO. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/binary-communicator Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-binary-communicator/libghc-binary-communicator-doc_1.0.2.1-3_all.deb Package: libghc-binary-communicator-prof Source: haskell-binary-communicator Version: 1.0.2.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 102 Depends: libghc-binary-communicator-dev (= 1.0.2.1-3), libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-mtl-prof-2.1.1-87121 Provides: libghc-binary-communicator-prof-1.0.2.1-e4501 Homepage: http://hackage.haskell.org/package/binary-communicator Priority: extra Section: haskell Filename: pool/main/h/haskell-binary-communicator/libghc-binary-communicator-prof_1.0.2.1-3_armhf.deb Size: 18816 SHA256: 2d487a3e937430b5615bb773ec2f5e13ce369872dbaaf802ae422e9211800038 SHA1: 1c80f1bb1340debf7ba9cc9cb8ab6e4f11f29a06 MD5sum: f1b250d494752c5cdc29dd2817d28e0b Description: sending values in a MonadIO; profiling libraries This package provides a datatype that makes it easier to send and receive values in any MonadIO. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-binary-dev Source: haskell-binary Version: 0.5.0.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1725 Depends: libghc-array-dev-0.3.0.2-86a19, libghc-base-dev-4.3.1.0-97817, libghc-bytestring-dev-0.9.1.10-978b1, libghc-containers-dev-0.4.0.0-ad7e4, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-binary-doc, libghc-binary-prof Provides: libghc-binary-dev-0.5.0.2-bdfd7 Homepage: http://code.haskell.org/binary/ Priority: extra Section: haskell Filename: pool/main/h/haskell-binary/libghc-binary-dev_0.5.0.2-2_armhf.deb Size: 341036 SHA256: 58c291841801b41a0302094883a49b113c9a20efe4fd0568fb26a77c6728b0c6 SHA1: 9a2b08849090804de79a245cbf9f3e5d46d787e1 MD5sum: 318438e48f10b62ea87342c2926f4d16 Description: Haskell library for binary serialisation using lazy ByteStrings Binary serialisation of Haskell values to and from lazy ByteStrings. The Binary library provides methods for encoding Haskell values as streams of bytes directly in memory. The resulting ByteString can then be written to disk, sent over the network, or futher processed (for example, compressed with gzip). . The 'Binary' package is notable in that it provides both pure, and high performance serialisation. . Values are always encoded in network order (big endian) form, and encoded data should be portable across machine endianness, word size, or compiler version. For example, data encoded using the Binary class could be written from GHC, and read back in Hugs. Package: libghc-binary-doc Source: haskell-binary Version: 0.5.0.2-2 Installed-Size: 692 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-16 Recommends: ghc-doc Suggests: libghc-binary-dev Size: 96040 SHA256: c136c9b3392f7520e40706464bf137083406ca092805578f21a29e80d848e17b SHA1: 46bf94938d3efb6b7e3d5789e2234d0c352a6664 MD5sum: f3943e25cd8d042b6ac892c23521341f Description: Haskell library for binary serialisation; documentation This package provides documentation for Data.Binary Haskell module. . Binary serialisation of Haskell values to and from lazy ByteStrings. The Binary library provides methods for encoding Haskell values as streams of bytes directly in memory. The resulting ByteString can then be written to disk, sent over the network, or futher processed (for example, compressed with gzip). Homepage: http://code.haskell.org/binary/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-binary/libghc-binary-doc_0.5.0.2-2_all.deb Package: libghc-binary-prof Source: haskell-binary Version: 0.5.0.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1449 Depends: libghc-binary-dev (= 0.5.0.2-2), libghc-array-prof-0.3.0.2-86a19, libghc-base-prof-4.3.1.0-97817, libghc-bytestring-prof-0.9.1.10-978b1, libghc-containers-prof-0.4.0.0-ad7e4 Provides: libghc-binary-prof-0.5.0.2-bdfd7 Homepage: http://code.haskell.org/binary/ Priority: extra Section: haskell Filename: pool/main/h/haskell-binary/libghc-binary-prof_0.5.0.2-2_armhf.deb Size: 297718 SHA256: dcd7aa0c340a0500949f85855e3f2023a32d31fd3b2d4742b131ed11b0216767 SHA1: cee8fb5c02feef8f81ada235121a4b0ee8f61cd3 MD5sum: 0dfff007e952da4e68bfc1f996a3a086 Description: Haskell library for binary serialisation; profiling library This package provides a library for the Haskell programming language, compiled for profiling. . Binary serialisation of Haskell values to and from lazy ByteStrings. The Binary library provides methods for encoding Haskell values as streams of bytes directly in memory. The resulting ByteString can then be written to disk, sent over the network, or futher processed (for example, compressed with gzip). Package: libghc-binary-shared-dev Source: haskell-binary-shared Version: 0.8.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 899 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-binary-shared-doc, libghc-binary-shared-prof Provides: libghc-binary-shared-dev-0.8.1-6e2ff Homepage: http://hackage.haskell.org/package/binary-shared Priority: extra Section: haskell Filename: pool/main/h/haskell-binary-shared/libghc-binary-shared-dev_0.8.1-1_armhf.deb Size: 163284 SHA256: e4ea1866e9d07fa7885e5217022f5ec750ffcfb72cb1b38d49d7fc72d8def7f6 SHA1: 14f83eda06727ae44e47489e3a064e660524ea18 MD5sum: 4ca800048439a5d2b6af1576452ce4d4 Description: sharing-enhanced binary encoding This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library is similar to the haskell binary library, but add support to sharing identical element occuring in the data to be (de-)serialized. Package: libghc-binary-shared-doc Source: haskell-binary-shared Version: 0.8.1-1 Installed-Size: 139 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-binary-shared-dev Size: 31012 SHA256: 4fe51b455f5e15724f1575c9808c371a63b5f599a82c59f8307836d7cdd497f4 SHA1: 33c643a6ccd8eea2a6599afc18790cdf6c401617 MD5sum: ac51e579687881c94ec9c0cab1fdb7e9 Description: sharing-enhanced binary encoding; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library is similar to the haskell binary library, but add support to sharing identical element occuring in the data to be (de-)serialized. Homepage: http://hackage.haskell.org/package/binary-shared Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-binary-shared/libghc-binary-shared-doc_0.8.1-1_all.deb Package: libghc-binary-shared-prof Source: haskell-binary-shared Version: 0.8.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 837 Depends: libghc-binary-shared-dev (= 0.8.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-mtl-prof-2.1.1-87121 Provides: libghc-binary-shared-prof-0.8.1-6e2ff Homepage: http://hackage.haskell.org/package/binary-shared Priority: extra Section: haskell Filename: pool/main/h/haskell-binary-shared/libghc-binary-shared-prof_0.8.1-1_armhf.deb Size: 155844 SHA256: 9bc9c993f496f01928a7062970d522aaf1d0cb0626d51afe9e04683651a7c186 SHA1: d3ab7046938a3f6493b591aedd33fa29b995e986 MD5sum: 4e28fe7a162846d206f9fbd527324f2e Description: sharing-enhanced binary encoding; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library is similar to the haskell binary library, but add support to sharing identical element occuring in the data to be (de-)serialized. Package: libghc-bindings-dsl-dev Source: haskell-bindings-dsl Version: 1.0.15-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 122 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Provides: libghc-bindings-dsl-dev-1.0.15-6ac67 Homepage: http://hackage.haskell.org/package/bindings-dsl Priority: extra Section: haskell Filename: pool/main/h/haskell-bindings-dsl/libghc-bindings-dsl-dev_1.0.15-1_armhf.deb Size: 10550 SHA256: f47fe31e331aa6dd4748263f2af870bc23eed04266cf236c02438568fec6cc53 SHA1: f0d55f24126c52bf786f68bdb9c52a97be0cbc25 MD5sum: 0dea4c1e8b754b0f7eeb2dd6f2814017 Description: FFI domain specific language, on top of hsc2hs This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This is a set of macros to be used when writing Haskell FFI. They were designed to be able to fully describe C interfaces, so that hsc2hs can extract from them all Haskell code needed to mimic such interfaces. All Haskell names used are automatically derived from C names, structures are mapped to Haskell instances of Storable, and there are also macros you can use with C code to help write bindings to inline functions or macro functions. . This package contains no Haskell code, only C header files designed for hsc2hs. Package: libghc-bindings-gpgme-dev Source: haskell-bindings-gpgme Version: 0.1.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3264 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bindings-dsl-dev-1.0.15-6ac67, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libgpgme11 (>= 1.1.2) Suggests: libghc-bindings-gpgme-doc, libghc-bindings-gpgme-prof Provides: libghc-bindings-gpgme-dev-0.1.4-7a053 Homepage: http://hackage.haskell.org/package/haskell-bindings-gpgme Priority: extra Section: haskell Filename: pool/main/h/haskell-bindings-gpgme/libghc-bindings-gpgme-dev_0.1.4-1_armhf.deb Size: 512250 SHA256: e44ef54bd1c7f3b8121149ae5bda018972054a61d6aebf040f95f35df3db0dfb SHA1: 057e456e0f594a6d491f3f4ba669c6122e50b58c MD5sum: e91ae30700e8754cb39493e4632d09a1 Description: Haskell bindings to GPGME These are Haskell bindings to libgpgme. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-bindings-gpgme-doc Source: haskell-bindings-gpgme Version: 0.1.4-1 Installed-Size: 1442 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-bindings-gpgme-dev Size: 113398 SHA256: a640a25f9c148f544bb43d8a7294468d483ad4dac8328ad0f18804f1adaab162 SHA1: 35f001cb2a379632a66393374346ad4c7e99246c MD5sum: 0d1cf98e02e43f97f119dd846a3fd369 Description: Haskell bindings to GPGME; documentation These are Haskell bindings to libgpgme. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-bindings-gpgme Section: doc Priority: extra Filename: pool/main/h/haskell-bindings-gpgme/libghc-bindings-gpgme-doc_0.1.4-1_all.deb Package: libghc-bindings-gpgme-prof Source: haskell-bindings-gpgme Version: 0.1.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2759 Depends: libghc-bindings-gpgme-dev (= 0.1.4-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-bindings-gpgme-prof-0.1.4-7a053 Homepage: http://hackage.haskell.org/package/haskell-bindings-gpgme Priority: extra Section: haskell Filename: pool/main/h/haskell-bindings-gpgme/libghc-bindings-gpgme-prof_0.1.4-1_armhf.deb Size: 448324 SHA256: f65086431df8f8d0094a023270f7d3e7141e9db5ab9f4aea244c7ab484165c45 SHA1: 5e0d92d08f7cadee1bc93d6486a3fcbaedfa51d1 MD5sum: b163bea5b5d66b0689d706ca0aa78d04 Description: Haskell bindings to GPGME; profiling libraries These are Haskell bindings to libgpgme. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-bindings-libzip-dev Source: haskell-bindings-libzip Version: 0.10-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 577 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bindings-dsl-dev-1.0.15-6ac67, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libzip2 (>= 0.10), zlib1g (>= 1:1.1.4), libzip-dev Suggests: libghc-bindings-libzip-doc, libghc-bindings-libzip-prof Provides: libghc-bindings-libzip-dev-0.10-e8461 Homepage: http://hackage.haskell.org/package/bindings-libzip Priority: extra Section: haskell Filename: pool/main/h/haskell-bindings-libzip/libghc-bindings-libzip-dev_0.10-2_armhf.deb Size: 95416 SHA256: 8ff42ac16bf7bdc7d25cfdae53aeb325c85c8a260a6b5fd4bb854850a26566d9 SHA1: 449c50288cb2b136b41eb07ec757e7285a64b5d2 MD5sum: 2c0d6cb6158a19d8a1346a7a6961f68d Description: low-level Haskell bindings to libzip This package provides low-level bindings to libzip library. For a higher-level interface please use the libghc-libzip-dev package. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-bindings-libzip-doc Source: haskell-bindings-libzip Version: 0.10-2 Installed-Size: 436 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-bindings-libzip-dev Size: 50504 SHA256: 4efa9e211ee6351639eb48358cb943bfe49b3fb33bf83d8ae69986c092db0023 SHA1: 1b304bd403c8f4b4ad43927a28cd38a0b43bb03e MD5sum: a5795a72d1af8f20969f83e581e99eb4 Description: low-level Haskell bindings to libzip; documentation This package provides low-level bindings to libzip library. For a higher-level interface please use the libghc-libzip-dev package. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/bindings-libzip Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-bindings-libzip/libghc-bindings-libzip-doc_0.10-2_all.deb Package: libghc-bindings-libzip-prof Source: haskell-bindings-libzip Version: 0.10-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 475 Depends: libghc-bindings-libzip-dev (= 0.10-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-bindings-libzip-prof-0.10-e8461 Homepage: http://hackage.haskell.org/package/bindings-libzip Priority: extra Section: haskell Filename: pool/main/h/haskell-bindings-libzip/libghc-bindings-libzip-prof_0.10-2_armhf.deb Size: 82722 SHA256: 5baeeee1a2c2b32bb9fd0d101ff8971f0e19a8c74e367e92bf1619d751ce72a1 SHA1: 465c27f68ed840d98f16f3a2c741e3255495f46f MD5sum: 95ef6aa8af4f928a7d3868fdd1b3bec5 Description: low-level Haskell bindings to libzip; profiling libraries This package provides low-level bindings to libzip library. For a higher-level interface please use the libghc-libzip-dev package. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-bitarray-dev Source: haskell-bitarray Version: 0.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 461 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-bitarray-doc, libghc-bitarray-prof Provides: libghc-bitarray-dev-0.0.1-4de25 Homepage: http://hackage.haskell.org/package/bitarray Priority: extra Section: haskell Filename: pool/main/h/haskell-bitarray/libghc-bitarray-dev_0.0.1-2_armhf.deb Size: 96200 SHA256: 01e44501623589d15717792d724919a6647081e3bf2770b70870deaaa7b7ba00 SHA1: 1d08bc4472e536debbbb1d8bb8d10e4391c2df70 MD5sum: 87b3d713fb18033a45812061b3eeec9d Description: Mutable and immutable bit arrays. This package provides mutable and immutable bit arrays implemented as packed arrays of 64 bit words. It provides a pure interface as well as monadic interfaces for the IO and ST monad. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-bitarray-doc Source: haskell-bitarray Version: 0.0.1-2 Installed-Size: 170 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-bitarray-dev Size: 35546 SHA256: 4d6eddde745aedc15a5d7aafd2e11b57ddecea1b9a860c4630c491d5667c1d3c SHA1: 163ccd673d92b1c1b64341d22dd556703b705d83 MD5sum: fd461fc714e855db82b84df573aa7940 Description: Mutable and immutable bit arrays; documentation This package provides mutable and immutable bit arrays implemented as packed arrays of 64 bit words. It provides a pure interface as well as monadic interfaces for the IO and ST monad. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/bitarray Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-bitarray/libghc-bitarray-doc_0.0.1-2_all.deb Package: libghc-bitarray-prof Source: haskell-bitarray Version: 0.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 387 Depends: libghc-bitarray-dev (= 0.0.1-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df Provides: libghc-bitarray-prof-0.0.1-4de25 Homepage: http://hackage.haskell.org/package/bitarray Priority: extra Section: haskell Filename: pool/main/h/haskell-bitarray/libghc-bitarray-prof_0.0.1-2_armhf.deb Size: 86748 SHA256: 9621ae604f2300748ee69750f555be3c9baf54694c0745df7b61beab5d7a2ee1 SHA1: 7c12e42454c1619ca5f832b4f1d64e38b89a20d1 MD5sum: 6de0aa6b4bc88781b1823db21211c016 Description: Mutable and immutable bit arrays; profiling data This package provides mutable and immutable bit arrays implemented as packed arrays of 64 bit words. It provides a pure interface as well as monadic interfaces for the IO and ST monad. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-blaze-builder-conduit-dev Source: haskell-blaze-builder-conduit Version: 0.4.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 129 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-conduit-dev-0.4.2-2f3e1, libghc-containers-dev-0.4.2.1-7c545, libghc-text-dev-0.11.2.0-05a46, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-blaze-builder-conduit-doc, libghc-blaze-builder-conduit-prof Provides: libghc-blaze-builder-conduit-dev-0.4.0.2-11a67 Homepage: http://hackage.haskell.org/package/haskell-blaze-builder-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-blaze-builder-conduit/libghc-blaze-builder-conduit-dev_0.4.0.2-1_armhf.deb Size: 19100 SHA256: 4b66ea46ac238ff684acfef1ba6332c2ff7197dabb48a43e9f98bdb7e2603117 SHA1: 52f0f72296aa996aeb250739a9b922e3bd7cdb02 MD5sum: fc3800ba296a0ca76537939b6aafdfac Description: convert streams of builders to streams of bytestrings Convert streams of builders to streams of bytestrings. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-blaze-builder-conduit-doc Source: haskell-blaze-builder-conduit Version: 0.4.0.2-1 Installed-Size: 96 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-builder-doc, libghc-conduit-doc, libghc-blaze-builder-conduit-dev Size: 34180 SHA256: 8f83592484376e81a223af8e64fd39846ce911d774ffbb2ef0a18c83bb6c9256 SHA1: c9ff68fd22220b0ef1f385feeb18cfddd82f0692 MD5sum: 8aa0a4ce027215c05d276d4d6000e2aa Description: convert streams of builders to streams of bytestrings; documentation Convert streams of builders to streams of bytestrings. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-blaze-builder-conduit Section: doc Priority: extra Filename: pool/main/h/haskell-blaze-builder-conduit/libghc-blaze-builder-conduit-doc_0.4.0.2-1_all.deb Package: libghc-blaze-builder-conduit-prof Source: haskell-blaze-builder-conduit Version: 0.4.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 109 Depends: libghc-blaze-builder-conduit-dev (= 0.4.0.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-conduit-prof-0.4.2-2f3e1, libghc-containers-prof-0.4.2.1-7c545, libghc-text-prof-0.11.2.0-05a46, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-blaze-builder-conduit-prof-0.4.0.2-11a67 Homepage: http://hackage.haskell.org/package/haskell-blaze-builder-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-blaze-builder-conduit/libghc-blaze-builder-conduit-prof_0.4.0.2-1_armhf.deb Size: 20676 SHA256: 75650682ea0e30f64935bca66949abf07c75e8533a3b4308bc87ba09a002b4c9 SHA1: 5b66f13456f39ec4ff65e928523ac69ec02a52e2 MD5sum: 3df319414f5ade4a209a8004ebc335b8 Description: convert streams of builders to streams of bytestrings; profiling libraries Convert streams of builders to streams of bytestrings. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-blaze-builder-dev Source: haskell-blaze-builder Version: 0.3.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1072 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-blaze-builder-doc, libghc-blaze-builder-prof Provides: libghc-blaze-builder-dev-0.3.1.0-32f2b Homepage: http://hackage.haskell.org/package/blaze-builder Priority: extra Section: haskell Filename: pool/main/h/haskell-blaze-builder/libghc-blaze-builder-dev_0.3.1.0-1_armhf.deb Size: 207782 SHA256: f3f3c6c884bca18830bfb86ab19bc9f69d5dba33f54d5932585954790831eed0 SHA1: e121e8b7d61d66bde631b163ce323373b63ec637 MD5sum: cc0ad3a6beda3ed36bef9dc0a73cd4c2 Description: abstraction of buffered output of byte streams This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides an abstraction of buffered output of byte streams and several convenience functions to exploit it. For example, it allows one to efficiently serialize Haskell values to lazy bytestrings with a large average chunk size. The large average chunk size allows one to make good use of cache prefetching in later processing steps (e.g. compression) and reduces the sytem call overhead when writing the resulting lazy bytestring to a file or sending it over the network. Package: libghc-blaze-builder-doc Source: haskell-blaze-builder Version: 0.3.1.0-1 Installed-Size: 942 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-blaze-builder-dev Size: 121064 SHA256: 4ccd5791c8c8b43d729f49debc01173653e7e21073e5e3f214839830739cf438 SHA1: 948bafd3d16be95ff4a4b1d8a83bcadc1268584a MD5sum: c34ad3d22dad4538f257092ba2a4c9f4 Description: abstraction of buffered output of byte streams; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides an abstraction of buffered output of byte streams and several convenience functions to exploit it. For example, it allows one to efficiently serialize Haskell values to lazy bytestrings with a large average chunk size. The large average chunk size allows one to make good use of cache prefetching in later processing steps (e.g. compression) and reduces the sytem call overhead when writing the resulting lazy bytestring to a file or sending it over the network. Homepage: http://hackage.haskell.org/package/blaze-builder Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-blaze-builder/libghc-blaze-builder-doc_0.3.1.0-1_all.deb Package: libghc-blaze-builder-enumerator-dev Source: haskell-blaze-builder-enumerator Version: 0.2.0.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 128 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-enumerator-dev-0.4.19-c0ca3, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-blaze-builder-enumerator-doc, libghc-blaze-builder-enumerator-prof Provides: libghc-blaze-builder-enumerator-dev-0.2.0.4-0fbfd Homepage: http://hackage.haskell.org/package/blaze-builder-enumerator Priority: extra Section: haskell Filename: pool/main/h/haskell-blaze-builder-enumerator/libghc-blaze-builder-enumerator-dev_0.2.0.4-1_armhf.deb Size: 20362 SHA256: 4433ec1eb2419b2f4bc2f5ffe7fa9c6a98383dc495d2264200fe9c23445f538f SHA1: 2f2f9faaf9fe0c42adcd65c674319291ed97ae06 MD5sum: 7864de5ee3fb569aafa547a198b88be2 Description: enumeratees for incremental conversion of builders to bytestrings This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It integrates the builders from the blaze-builder package with the enumerator package. It provides infrastructure and enumeratees for incrementally executing builders and pass the filled chunks to a bytestring iteratee. Package: libghc-blaze-builder-enumerator-doc Source: haskell-blaze-builder-enumerator Version: 0.2.0.4-1 Installed-Size: 140 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-builder-doc, libghc-enumerator-doc, libghc-transformers-doc, libghc-blaze-builder-enumerator-dev Size: 35008 SHA256: eab201a1de6d3fb72ad534ca49edd2b7b825b0d19d104b750c02e4f6cd184a80 SHA1: 418e19fc6a9befc0c846344e564e569dba2a6ee9 MD5sum: 01508933b4cb8790234889fd98a9d415 Description: enumeratees for conversion of builders to bytestrings; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It integrates the builders from the blaze-builder package with the enumerator package. It provides infrastructure and enumeratees for incrementally executing builders and pass the filled chunks to a bytestring iteratee. Homepage: http://hackage.haskell.org/package/blaze-builder-enumerator Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-blaze-builder-enumerator/libghc-blaze-builder-enumerator-doc_0.2.0.4-1_all.deb Package: libghc-blaze-builder-enumerator-prof Source: haskell-blaze-builder-enumerator Version: 0.2.0.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 111 Depends: libghc-blaze-builder-enumerator-dev (= 0.2.0.4-1), libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-enumerator-prof-0.4.19-c0ca3, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-blaze-builder-enumerator-prof-0.2.0.4-0fbfd Homepage: http://hackage.haskell.org/package/blaze-builder-enumerator Priority: extra Section: haskell Filename: pool/main/h/haskell-blaze-builder-enumerator/libghc-blaze-builder-enumerator-prof_0.2.0.4-1_armhf.deb Size: 19838 SHA256: 39d2900099399cba23dc5b84b3b8987ca17d3cda83e42bd8f911df92f66b08eb SHA1: db928d58626de9bcc6bf556d30b8f6fb55802c05 MD5sum: 4f38c41a4e4703b99df9c52bf9eae034 Description: enumeratees for conversion of builders to bytestrings; profiling libs This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It integrates the builders from the blaze-builder package with the enumerator package. It provides infrastructure and enumeratees for incrementally executing builders and pass the filled chunks to a bytestring iteratee. Package: libghc-blaze-builder-prof Source: haskell-blaze-builder Version: 0.3.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1002 Depends: libghc-blaze-builder-dev (= 0.3.1.0-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-blaze-builder-prof-0.3.1.0-32f2b Homepage: http://hackage.haskell.org/package/blaze-builder Priority: extra Section: haskell Filename: pool/main/h/haskell-blaze-builder/libghc-blaze-builder-prof_0.3.1.0-1_armhf.deb Size: 207732 SHA256: 8d1dcb83712ece504c20dc5eadeaff3883c00aa4751330fe26f4ade4d92d9c2a SHA1: 0dc0bd6e4a0ccb06108880dc7302a42c8eb4fd93 MD5sum: cf17d1b26bdbc95bc60c2919a37d94ac Description: abstraction of buffered output of byte streams; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides an abstraction of buffered output of byte streams and several convenience functions to exploit it. For example, it allows one to efficiently serialize Haskell values to lazy bytestrings with a large average chunk size. The large average chunk size allows one to make good use of cache prefetching in later processing steps (e.g. compression) and reduces the sytem call overhead when writing the resulting lazy bytestring to a file or sending it over the network. Package: libghc-blaze-html-dev Source: haskell-blaze-html Version: 0.4.3.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 9539 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-blaze-html-doc, libghc-blaze-html-prof Provides: libghc-blaze-html-dev-0.4.3.1-0edb5 Homepage: http://hackage.haskell.org/package/blaze-html Priority: extra Section: haskell Filename: pool/main/h/haskell-blaze-html/libghc-blaze-html-dev_0.4.3.1-3_armhf.deb Size: 1460078 SHA256: 4c355d7ad4f4feb257e0a9b00efedfe9600fa0639ba3f033e7fa83b36549b455 SHA1: a91f1e882fbc2430708d0ff9e162c9f4ac45f36c MD5sum: 5b1732a5f67f90fdbc3134ad8d8df326 Description: HTML combinator library for Haskell - GHC libraries With this Haskell library you can easily produce HTML code without having to explicitly write tags and attributes, but just combining the operators exposed by this library. It can outputs HTML 4 Strict, Transitional and Frameset, as well as HTML 5 code. . This package contains the libraries compiled for GHC. Package: libghc-blaze-html-doc Source: haskell-blaze-html Version: 0.4.3.1-3 Installed-Size: 5754 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-builder-doc, libghc-text-doc, libghc-blaze-html-dev Size: 402090 SHA256: 8c91990713948b29b5010bdd4bc1b36570b197448259f72a22324cf05a5b489c SHA1: 07d448a44cf71360b0e278fa45738b05e8c3b412 MD5sum: 90a891960a59e21dfc03829f11c910ea Description: HTML combinator library for Haskell - documentation With this Haskell library you can easily produce HTML code without having to explicitly write tags and attributes, but just combining the operators exposed by this library. It can outputs HTML 4 Strict, Transitional and Frameset, as well as HTML 5 code. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/blaze-html Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::html Section: doc Priority: extra Filename: pool/main/h/haskell-blaze-html/libghc-blaze-html-doc_0.4.3.1-3_all.deb Package: libghc-blaze-html-prof Source: haskell-blaze-html Version: 0.4.3.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 8933 Depends: libghc-blaze-html-dev (= 0.4.3.1-3), libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-blaze-html-prof-0.4.3.1-0edb5 Homepage: http://hackage.haskell.org/package/blaze-html Priority: extra Section: haskell Filename: pool/main/h/haskell-blaze-html/libghc-blaze-html-prof_0.4.3.1-3_armhf.deb Size: 1472600 SHA256: eba381edb89bc8a1d632158170c9c672dcdf4a46c8fdfabba375a091b36f1e0e SHA1: 54de98a4c743fd5afe851f8285c68f6542ab5964 MD5sum: c4df18517c3f67ea7b8f75e0d00d7fee Description: HTML combinator library for Haskell - GHC profiling libraries With this Haskell library you can easily produce HTML code without having to explicitly write tags and attributes, but just combining the operators exposed by this library. It can outputs HTML 4 Strict, Transitional and Frameset, as well as HTML 5 code. . This package contains the profiling libraries compiled for GHC. Package: libghc-blaze-markup-dev Source: haskell-blaze-markup Version: 0.5.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1241 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-blaze-markup-doc, libghc-blaze-markup-prof Provides: libghc-blaze-markup-dev-0.5.1.0-c0d1d Homepage: http://hackage.haskell.org/package/blaze-markup Priority: extra Section: haskell Filename: pool/main/h/haskell-blaze-markup/libghc-blaze-markup-dev_0.5.1.0-1_armhf.deb Size: 239058 SHA256: 24d0f84a3ded7b0def8a77839e02a80b34b1002de3e30221b5adc6e9b8e5638a SHA1: 4894bdd1a1a52651a75b32cd500a1fc227053c9a MD5sum: a3560e560e3bb4f27cce0191601224cd Description: blazingly-fast markup combinator library Core modules of a blazingly fast markup combinator library for the Haskell programming language. The Text.Blaze module is a good starting point, as well as this tutorial: http://jaspervdj.be/blaze/tutorial.html. . This package contains the libraries compiled for GHC. Package: libghc-blaze-markup-doc Source: haskell-blaze-markup Version: 0.5.1.0-1 Installed-Size: 425 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-builder-doc, libghc-text-doc, libghc-blaze-markup-dev Size: 59536 SHA256: 16ec1be56bde98aeee7a9adadce7c44917a05034f21c14416b98ed13aa88a0f8 SHA1: 7f6d112763a8a2a0efe232eea911a274c1e6d07b MD5sum: 1c68ba3df673bfb879ddba8b1be42fd7 Description: blazingly-fast markup combinator library; documentation Core modules of a blazingly fast markup combinator library for the Haskell programming language. The Text.Blaze module is a good starting point, as well as this tutorial: http://jaspervdj.be/blaze/tutorial.html. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/blaze-markup Section: doc Priority: extra Filename: pool/main/h/haskell-blaze-markup/libghc-blaze-markup-doc_0.5.1.0-1_all.deb Package: libghc-blaze-markup-prof Source: haskell-blaze-markup Version: 0.5.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1230 Depends: libghc-blaze-markup-dev (= 0.5.1.0-1), libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-blaze-markup-prof-0.5.1.0-c0d1d Homepage: http://hackage.haskell.org/package/blaze-markup Priority: extra Section: haskell Filename: pool/main/h/haskell-blaze-markup/libghc-blaze-markup-prof_0.5.1.0-1_armhf.deb Size: 242480 SHA256: 7603cc1b3d43b0a8ab20e70a980e01d14ccd5b2a261c92520f992e4621447733 SHA1: 5ba061d5461e3920df723d519ffe005ac910c3d1 MD5sum: 368c2392a180b2b6f78db7893dbc698d Description: blazingly-fast markup combinator library; profiling libraries Core modules of a blazingly fast markup combinator library for the Haskell programming language. The Text.Blaze module is a good starting point, as well as this tutorial: http://jaspervdj.be/blaze/tutorial.html. . This package contains the profiling libraries compiled for GHC. Package: libghc-blaze-textual-dev Source: haskell-blaze-textual Version: 0.2.0.6-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 480 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-ghc-prim-dev-0.2.0.0-bd29c, libghc-integer-gmp-dev-0.4.0.0-ec87c, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-text-dev-0.11.2.0-05a46, libghc-time-dev-1.4-ec63b, libghc-vector-dev-0.9.1-8e782, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-blaze-textual-doc, libghc-blaze-textual-prof Provides: libghc-blaze-textual-dev-0.2.0.6-2a0f1 Homepage: http://hackage.haskell.org/package/blaze-textual Priority: extra Section: haskell Filename: pool/main/h/haskell-blaze-textual/libghc-blaze-textual-dev_0.2.0.6-2_armhf.deb Size: 101386 SHA256: bba7bb4c18c827f115a39ce144e7e5f6a0cff35ad10b7256850104468f4ec756 SHA1: 7c1c247927e4a6d82bdf5b638993a5b4a908cd87 MD5sum: 286f7b017f7a06d749e94aa885e04df8 Description: Fast rendering of common datatypes This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a library for efficiently rendering Haskell datatypes to bytestrings. Package: libghc-blaze-textual-doc Source: haskell-blaze-textual Version: 0.2.0.6-2 Installed-Size: 169 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-textual-dev Size: 34684 SHA256: ccb475ca4f881e37ad49e6c7887c9c820009f63cf1a16aa2d86a2764dac7374f SHA1: c3aa1700225e601b81c5be439df6199d0a9f57bb MD5sum: d44a84ff1c179a1d0f59c2fec1ef581c Description: Fast rendering of common datatypes; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a library for efficiently rendering Haskell datatypes to bytestrings. Homepage: http://hackage.haskell.org/package/blaze-textual Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-blaze-textual/libghc-blaze-textual-doc_0.2.0.6-2_all.deb Package: libghc-blaze-textual-prof Source: haskell-blaze-textual Version: 0.2.0.6-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 446 Depends: libghc-blaze-textual-dev (= 0.2.0.6-2), libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-ghc-prim-prof-0.2.0.0-bd29c, libghc-integer-gmp-prof-0.4.0.0-ec87c, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-text-prof-0.11.2.0-05a46, libghc-time-prof-1.4-ec63b, libghc-vector-prof-0.9.1-8e782 Provides: libghc-blaze-textual-prof-0.2.0.6-2a0f1 Homepage: http://hackage.haskell.org/package/blaze-textual Priority: extra Section: haskell Filename: pool/main/h/haskell-blaze-textual/libghc-blaze-textual-prof_0.2.0.6-2_armhf.deb Size: 93366 SHA256: 5ee04c149e448f1da12819dedd37680f4bed10588b5f23a9de5c5810fce09f43 SHA1: 0d03e44bfd389c4c053ac44a617188c627a237a6 MD5sum: bb191f8cfc3d025810c38d19daefba9e Description: Fast rendering of common datatypes; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is a library for efficiently rendering Haskell datatypes to bytestrings. Package: libghc-bloomfilter-dev Source: haskell-bloomfilter Version: 1.2.6.8-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1005 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-deepseq-dev-1.3.0.0-6c19e, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-bloomfilter-doc, libghc-bloomfilter-prof Provides: libghc-bloomfilter-dev-1.2.6.8-3db53 Homepage: http://hackage.haskell.org/package/bloomfilter Priority: extra Section: haskell Filename: pool/main/h/haskell-bloomfilter/libghc-bloomfilter-dev_1.2.6.8-1_armhf.deb Size: 195740 SHA256: 375902be2236ec0ad93aaf1373f00eefd33ac8239651d3e88e4dc7b40dbc2e14 SHA1: 6a57d195797652c7c912b0c800312f260077a9ca MD5sum: b2eee0bd62797c6d386ed9a995e5543f Description: Haskell bloom filter library - GHC libraries The Haskell library provides pure and impure bloom filter implementations. . This package contains the libraries compiled for GHC. Package: libghc-bloomfilter-doc Source: haskell-bloomfilter Version: 1.2.6.8-1 Installed-Size: 378 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-bloomfilter-dev Size: 60058 SHA256: e376a15019e48cba49017a487e1de47a2b9a1ba6324ac17e742527d331d81a62 SHA1: 77fe4c9ede2792b12670b96af037ea76601295f6 MD5sum: b319d4f42792efe13740908203dd984d Description: Haskell bloom filter library - documentation This Haskell library provides pure and impure bloom filter implementations. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/bloomfilter Section: doc Priority: extra Filename: pool/main/h/haskell-bloomfilter/libghc-bloomfilter-doc_1.2.6.8-1_all.deb Package: libghc-bloomfilter-prof Source: haskell-bloomfilter Version: 1.2.6.8-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 971 Depends: libghc-bloomfilter-dev (= 1.2.6.8-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-deepseq-prof-1.3.0.0-6c19e Provides: libghc-bloomfilter-prof-1.2.6.8-3db53 Homepage: http://hackage.haskell.org/package/bloomfilter Priority: extra Section: haskell Filename: pool/main/h/haskell-bloomfilter/libghc-bloomfilter-prof_1.2.6.8-1_armhf.deb Size: 208506 SHA256: 3e40201051e126e291cad6948c891fc8d1be527d5018af3d8ebaa156710c920c SHA1: e1d33611e1edb38cbcaed27e51585fbd7f9c8cd6 MD5sum: 6e7923248abfd8b4338636b02657c454 Description: Haskell bloom filter library - GHC profiling libraries This Haskell library provides pure and impure bloom filter implementations. . This package contains the profiling libraries compiled for GHC. Package: libghc-boolean-dev Source: haskell-boolean Version: 0.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 187 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-boolean-doc, libghc-boolean-prof Provides: libghc-boolean-dev-0.0.1-b1d16 Homepage: http://hackage.haskell.org/package/MemoTrie Priority: extra Section: haskell Filename: pool/main/h/haskell-boolean/libghc-boolean-dev_0.0.1-2_armhf.deb Size: 29454 SHA256: c8551d05b9204422242c69e4b0183d9065406e7a900f385595ac97300bfa9170 SHA1: c1e3fbee13ffdbf49e91ba469e4739af261d23a9 MD5sum: 41984997644166e298db2050c04782d6 Description: generalized booleans This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains some classes for generalized boolean operations. Package: libghc-boolean-doc Source: haskell-boolean Version: 0.0.1-2 Installed-Size: 139 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-boolean-dev Size: 32320 SHA256: 03be04f47a5a34ff07f6af9c0c1dabb8620f0ee1e3d0467828903dadccc8bca7 SHA1: d06ad2df7228778f85fce69bd426604f4f6f1c11 MD5sum: c1c6d7fae7cff0ffc1c3f0a5f24b94d6 Description: generalized booleans; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains some classes for generalized boolean operations. Homepage: http://hackage.haskell.org/package/MemoTrie Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-boolean/libghc-boolean-doc_0.0.1-2_all.deb Package: libghc-boolean-prof Source: haskell-boolean Version: 0.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 168 Depends: libghc-boolean-dev (= 0.0.1-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-boolean-prof-0.0.1-b1d16 Homepage: http://hackage.haskell.org/package/MemoTrie Priority: extra Section: haskell Filename: pool/main/h/haskell-boolean/libghc-boolean-prof_0.0.1-2_armhf.deb Size: 29994 SHA256: 7aba4b273a1950ad755096b291bff93f83e4a7c0bc67cb1608122b9a7e26756b SHA1: 0332c6608034f082ee9327fae188fa10ee693601 MD5sum: cdc593160545f55e81fb4226283d4e27 Description: generalized booleans; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It contains some classes for generalized boolean operations. Package: libghc-boomerang-doc Source: haskell-boomerang Version: 1.3.1-1 Installed-Size: 556 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-boomerang-dev Size: 74620 SHA256: 35bdb396a5b1799c0d7e836c5ab2e54854429544b2358b560d898f65f17c379f SHA1: 405b2df97a09061ca88e2f73c6aeca849f5ec5b0 MD5sum: d4ea3e3d7aa2222c182724e2ee2a09a8 Description: invertible parsing and printing; documentation Specify a single unified grammar which can be used for parsing and pretty-printing. . Boomerang is a DSL for creating parsers and pretty-printers using a single specification. Instead of writing a parser, and then writing a separate pretty-printer, both are created at once. This saves time, and ensures that the parser and pretty-printer are inverses and stay in-sync with each other. . Boomerang is a generalized derivative of the Zwaluw library created by Sjoerd Visscher and Martijn van Steenbergen. . This package contains the documentation files. Homepage: http://hackage.haskell.org/package/boomerang Section: doc Priority: extra Filename: pool/main/h/haskell-boomerang/libghc-boomerang-doc_1.3.1-1_all.deb Package: libghc-brainfuck-dev Source: haskell-brainfuck Version: 0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 408 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-mtl-dev-2.1.1-87121, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-brainfuck-doc, libghc-brainfuck-prof Provides: libghc-brainfuck-dev-0.1-12dc2 Homepage: http://hackage.haskell.org/package/brainfuck Priority: extra Section: haskell Filename: pool/main/h/haskell-brainfuck/libghc-brainfuck-dev_0.1-2_armhf.deb Size: 81558 SHA256: 1156550ecae019eac43b6fe8c758a08a24162d6abcc893c602f5c06daf76cc11 SHA1: 75600f361bdc51d5bbd0bafe16560d9d1bb28b70 MD5sum: d08feabf322e9717018e1ba85dfb5d64 Description: Brainfuck interpreter library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is an interpreter for the Brainfuck language, written in the pure, lazy, functional language Haskell. Package: libghc-brainfuck-doc Source: haskell-brainfuck Version: 0.1-2 Installed-Size: 205 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-brainfuck-dev Size: 37562 SHA256: 91946df425fcaef56f99db1c01606d1286ab137ee520a985acd6617eec363c02 SHA1: be24e07ff5beefdb6fffc9f31a1ed4e774f5f626 MD5sum: b798de969f6ff84df554a80cce9f878e Description: Brainfuck interpreter library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is an interpreter for the Brainfuck language, written in the pure, lazy, functional language Haskell. Homepage: http://hackage.haskell.org/package/brainfuck Tag: devel::doc, devel::interpreter, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-brainfuck/libghc-brainfuck-doc_0.1-2_all.deb Package: libghc-brainfuck-prof Source: haskell-brainfuck Version: 0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 510 Depends: libghc-brainfuck-dev (= 0.1-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-mtl-prof-2.1.1-87121, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-brainfuck-prof-0.1-12dc2 Homepage: http://hackage.haskell.org/package/brainfuck Priority: extra Section: haskell Filename: pool/main/h/haskell-brainfuck/libghc-brainfuck-prof_0.1-2_armhf.deb Size: 106946 SHA256: 09cb1d268967f1155c49203ac07aa6a801dfc184884fa8d57b3700e449d66df0 SHA1: 3e89eeddd8fea0cb20ef7fc90c755413218814c3 MD5sum: 4ec7386d68620441b8f470da727b3098 Description: Brainfuck interpreter library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is an interpreter for the Brainfuck language, written in the pure, lazy, functional language Haskell. Package: libghc-byteorder-dev Source: haskell-byteorder Version: 1.0.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 198 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-byteorder-doc, libghc-byteorder-prof Provides: libghc-byteorder-dev-1.0.3-f3599 Homepage: http://hackage.haskell.org/package/haskell-byteorder Priority: extra Section: haskell Filename: pool/main/h/haskell-byteorder/libghc-byteorder-dev_1.0.3-2_armhf.deb Size: 35334 SHA256: 1124a959dae0428e2412eb6d6632a4f30eb479989aa106449bcbfb7dff6a3c5a SHA1: 599a2774d44f816ab154420b0d72dd3af420ed30 MD5sum: adfcf9da023b0a09f5aedbb384c18ae7 Description: exposes the native endianness or byte ordering of the system This is for working with the native byte-ordering of the system. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-byteorder-doc Source: haskell-byteorder Version: 1.0.3-2 Installed-Size: 103 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-byteorder-dev Size: 28750 SHA256: 8ecc342f7bb5bcb03c039358832405e315c77d6f88746a425abb3e64bc70ce2d SHA1: 77ab8101b65c0b8724e19f6c689e67a0dd3c401b MD5sum: 48aa318ed345028f04361707e9498873 Description: exposes the native endianness or byte ordering of the system; documentation This is for working with the native byte-ordering of the system. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-byteorder Section: doc Priority: extra Filename: pool/main/h/haskell-byteorder/libghc-byteorder-doc_1.0.3-2_all.deb Package: libghc-byteorder-prof Source: haskell-byteorder Version: 1.0.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 158 Depends: libghc-byteorder-dev (= 1.0.3-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-byteorder-prof-1.0.3-f3599 Homepage: http://hackage.haskell.org/package/haskell-byteorder Priority: extra Section: haskell Filename: pool/main/h/haskell-byteorder/libghc-byteorder-prof_1.0.3-2_armhf.deb Size: 29762 SHA256: 205b1a3bb1b77149fd44d1ff75070d73424e5c142b1cb0f520f2e9645ca8e83d SHA1: 66154dd283c3804974f3691dd2044122957d5222 MD5sum: fee2af205b8d31cda6418622a2596360 Description: exposes the native endianness of the system; profiling libraries This is for working with the native byte-ordering of the system. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-bytestring-lexing-dev Source: haskell-bytestring-lexing Version: 0.4.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1669 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-bytestring-lexing-doc, libghc-bytestring-lexing-prof Provides: libghc-bytestring-lexing-dev-0.4.0-441d2 Homepage: http://hackage.haskell.org/package/bytestring-lexing Priority: extra Section: haskell Filename: pool/main/h/haskell-bytestring-lexing/libghc-bytestring-lexing-dev_0.4.0-1_armhf.deb Size: 323258 SHA256: 7618e651e714977e790a0ac31fc612012605c3d48389b6e7919166c854554861 SHA1: 079a8a817e69b0e074231999b98417f41d4a49db MD5sum: fc610cbe2d114344d0f260a91ac494f0 Description: parse and produce literals efficiently from strict or lazy bytestrings This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Parse and produce literals efficiently from strict or lazy bytestrings. Package: libghc-bytestring-lexing-doc Source: haskell-bytestring-lexing Version: 0.4.0-1 Installed-Size: 425 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-bytestring-lexing-dev Size: 59960 SHA256: a6e7ac8841fe0d06ba223b7e49709bda27f9c2643bd416ccbf2601a4fcab13ce SHA1: 2e803b024fd46e76a1c4fa9fdfe9a910dfae4c56 MD5sum: 40c0b099e11d81faf1f3b3d33cc4ad2e Description: parse/produce literals from strict/lazy bytestrings; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Parse and produce literals efficiently from strict or lazy bytestrings. Homepage: http://hackage.haskell.org/package/bytestring-lexing Section: doc Priority: extra Filename: pool/main/h/haskell-bytestring-lexing/libghc-bytestring-lexing-doc_0.4.0-1_all.deb Package: libghc-bytestring-lexing-prof Source: haskell-bytestring-lexing Version: 0.4.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1408 Depends: libghc-bytestring-lexing-dev (= 0.4.0-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-bytestring-lexing-prof-0.4.0-441d2 Homepage: http://hackage.haskell.org/package/bytestring-lexing Priority: extra Section: haskell Filename: pool/main/h/haskell-bytestring-lexing/libghc-bytestring-lexing-prof_0.4.0-1_armhf.deb Size: 267876 SHA256: e3cfd6a3eefbf7418f867304a192a0de9c7328fce5f2b1ea53b16d464a7584b2 SHA1: 2260f10ccb3fc1b051b58d801e8c63dbe1eb3bed MD5sum: 86de70b8b7deea95e8fcd0344a28f21c Description: parse/produce literals from strict/lazy bytestrings; profiling libs This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Parse and produce literals efficiently from strict or lazy bytestrings. Package: libghc-bytestring-mmap-dev Source: haskell-bytestring-mmap Version: 0.2.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 159 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-unix-dev-2.5.1.0-77272 Suggests: libghc-bytestring-mmap-doc, libghc-bytestring-mmap-prof Provides: libghc-bytestring-mmap-dev-0.2.2-c8a23 Homepage: http://code.haskell.org/~dons/code/bytestring-mmap/ Priority: extra Section: haskell Filename: pool/main/h/haskell-bytestring-mmap/libghc-bytestring-mmap-dev_0.2.2-2_armhf.deb Size: 23436 SHA256: 13031f16bdda14fb5b09a31083a7f21a440b4817df6cf2e72abd8f60314ee6c4 SHA1: 7bc01e96603ee8b21bd9284bde92ee4abac14668 MD5sum: 94d1352864db737d76afdf3e5b1acfff Description: mmap support for strict ByteStrings This library provides a wrapper to mmap(2), allowing files or devices to be lazily loaded into memory as strict or lazy ByteStrings, using the virtual memory subsystem to do on-demand loading. . This package contains the normal library files. Package: libghc-bytestring-mmap-doc Source: haskell-bytestring-mmap Version: 0.2.2-2 Installed-Size: 153 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-bytestring-mmap-dev Size: 35728 SHA256: 8e2ffd7a20a23f8922b6112f2036ada96213ce2933e99c37209ce8bdd796ac02 SHA1: f9d0b4f2631db420656ac5bc4270f4232048365c MD5sum: 6429238b818d2f24abebe1fd826b76f2 Description: mmap support for strict ByteStrings; documentation This library provides a wrapper to mmap(2), allowing files or devices to be lazily loaded into memory as strict or lazy ByteStrings, using the virtual memory subsystem to do on-demand loading. . This package contains the documentation files. Homepage: http://code.haskell.org/~dons/code/bytestring-mmap/ Section: doc Priority: extra Filename: pool/main/h/haskell-bytestring-mmap/libghc-bytestring-mmap-doc_0.2.2-2_all.deb Package: libghc-bytestring-mmap-prof Source: haskell-bytestring-mmap Version: 0.2.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 132 Depends: libghc-bytestring-mmap-dev (= 0.2.2-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-bytestring-mmap-prof-0.2.2-c8a23 Homepage: http://code.haskell.org/~dons/code/bytestring-mmap/ Priority: extra Section: haskell Filename: pool/main/h/haskell-bytestring-mmap/libghc-bytestring-mmap-prof_0.2.2-2_armhf.deb Size: 22338 SHA256: 3866a2bf5157c48884f5d1b2ef5dfed0634ff837118ce975d40dc219dbec8933 SHA1: 6f6030210d694d598463d855c66545f2de7f11cd MD5sum: ff62fa2c4099bb4213d94e18e63eb74b Description: mmap support for strict ByteStrings; profiling libraries This library provides a wrapper to mmap(2), allowing files or devices to be lazily loaded into memory as strict or lazy ByteStrings, using the virtual memory subsystem to do on-demand loading. . This package contains the libraries compiled with profiling enabled. Package: libghc-bytestring-nums-dev Source: haskell-bytestring-nums Version: 0.3.5-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1415 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-bytestring-nums-doc, libghc-bytestring-nums-prof Provides: libghc-bytestring-nums-dev-0.3.5-f328e Homepage: http://github.com/solidsnack/bytestring-nums Priority: optional Section: haskell Filename: pool/main/h/haskell-bytestring-nums/libghc-bytestring-nums-dev_0.3.5-2_armhf.deb Size: 254088 SHA256: 17ef70b158b2f74145bcfff65aa68abfc4e476df7feeac5710ad9a7d05d4abdc SHA1: 009445bac6e8b4bb6974111dd5e28f794350a74e MD5sum: c874f95ae2a199b0307fd68df1ad80cf Description: Parse numeric literals from ByteStrings. A time and space-efficient implementation of byte vectors using packed Word8 arrays, suitable for high performance use, both in terms of large data quantities, or high speed requirements. Byte vectors are encoded as strict Word8 arrays of bytes, and lazy lists of strict chunks, held in a ForeignPtr, and can be passed between C and Haskell with little effort. . Parse numeric literals from ByteStrings. . This package contains the libraries compiled for GHC. Package: libghc-bytestring-nums-doc Source: haskell-bytestring-nums Version: 0.3.5-2 Installed-Size: 205 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-bytestring-nums-dev Size: 35534 SHA256: c3e8fe0b7a158713cc449ca9c3b400e9c4191ce2be3a5d51b2144fa514ddb01b SHA1: 50c3124f9f631b5bfefaf280fec77494eac6348d MD5sum: e622bc81e06a166fef9aff4da04dc2dd Description: Parse numeric literals from ByteStrings.; documentation A time and space-efficient implementation of byte vectors using packed Word8 arrays, suitable for high performance use, both in terms of large data quantities, or high speed requirements. Byte vectors are encoded as strict Word8 arrays of bytes, and lazy lists of strict chunks, held in a ForeignPtr, and can be passed between C and Haskell with little effort. . Parse numeric literals from ByteStrings. . This package contains the library documentation. Homepage: http://github.com/solidsnack/bytestring-nums Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: optional Filename: pool/main/h/haskell-bytestring-nums/libghc-bytestring-nums-doc_0.3.5-2_all.deb Package: libghc-bytestring-nums-prof Source: haskell-bytestring-nums Version: 0.3.5-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1152 Depends: libghc-bytestring-nums-dev (= 0.3.5-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545 Provides: libghc-bytestring-nums-prof-0.3.5-f328e Homepage: http://github.com/solidsnack/bytestring-nums Priority: optional Section: haskell Filename: pool/main/h/haskell-bytestring-nums/libghc-bytestring-nums-prof_0.3.5-2_armhf.deb Size: 212252 SHA256: aa817dddb708c6499557f990fb028b7cd23cbfdcdf8f78b08cd216447a499276 SHA1: 179525f057bd0b1da5e816afe111d3aa32171d3a MD5sum: 4bb242eeb7272d211a4769003a9ab0bb Description: Parse numeric literals from ByteStrings.; profiling library A time and space-efficient implementation of byte vectors using packed Word8 arrays, suitable for high performance use, both in terms of large data quantities, or high speed requirements. Byte vectors are encoded as strict Word8 arrays of bytes, and lazy lists of strict chunks, held in a ForeignPtr, and can be passed between C and Haskell with little effort. . Parse numeric literals from ByteStrings. . This package contains the profiling libraries compiled for GHC. Package: libghc-bytestring-show-dev Source: haskell-bytestring-show Version: 0.3.5.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2303 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-integer-gmp-dev-0.4.0.0-ec87c, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-bytestring-show-doc, libghc-bytestring-show-prof Provides: libghc-bytestring-show-dev-0.3.5.1-98742 Homepage: http://code.haskell.org/~dolio/ Priority: optional Section: haskell Filename: pool/main/h/haskell-bytestring-show/libghc-bytestring-show-dev_0.3.5.1-1_armhf.deb Size: 411278 SHA256: 10082e79e3821cdc04a93811c582452fde23689d68eb811efc6d7b8969a58ddf SHA1: 619a9239958a86dd737b4f4bb851c6e074d0e194 MD5sum: 08644b444edfd3270ddf90f2377f7c01 Description: Haskell library for value converter to byte strings Efficient conversion of values into readable byte strings. . This package contains the libraries compiled for GHC. Package: libghc-bytestring-show-doc Source: haskell-bytestring-show Version: 0.3.5.1-1 Installed-Size: 292 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-bytestring-show-dev Size: 45358 SHA256: 4eb04f172a4e603503c09003ee94aac60b001543b791e4237dfb59ebff7ba64f SHA1: c40e7ad99b9cba070cc2dea465d7164dfb624793 MD5sum: 6bdfec1e3a8cf1fa5a677ca0c8c14bbd Description: Haskell library for value converter to byte strings; documentation Efficient conversion of values into readable byte strings. . This package contains the library documentation. Homepage: http://code.haskell.org/~dolio/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: optional Filename: pool/main/h/haskell-bytestring-show/libghc-bytestring-show-doc_0.3.5.1-1_all.deb Package: libghc-bytestring-show-prof Source: haskell-bytestring-show Version: 0.3.5.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2106 Depends: libghc-bytestring-show-dev (= 0.3.5.1-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-integer-gmp-prof-0.4.0.0-ec87c Provides: libghc-bytestring-show-prof-0.3.5.1-98742 Homepage: http://code.haskell.org/~dolio/ Priority: optional Section: haskell Filename: pool/main/h/haskell-bytestring-show/libghc-bytestring-show-prof_0.3.5.1-1_armhf.deb Size: 371028 SHA256: 92e0e2fd1ec0e8fccdaff7f70e44ff393adc3cfcc5b8d4a8624000a78b039163 SHA1: dd7794369bd0bb65b1266862e574a427cf4e5622 MD5sum: c4beed7a13387426417e65c1467a432c Description: Haskell library for value converter to byte strings; profiling library Efficient conversion of values into readable byte strings. . This package contains the profiling libraries compiled for GHC. Package: libghc-bzlib-dev Source: haskell-bzlib Version: 0.5.0.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 368 Depends: libbz2-dev, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libbz2-1.0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-bzlib-doc, libghc-bzlib-prof Provides: libghc-bzlib-dev-0.5.0.3-3a51f Homepage: http://hackage.haskell.org/package/bzlib Priority: extra Section: haskell Filename: pool/main/h/haskell-bzlib/libghc-bzlib-dev_0.5.0.3-2_armhf.deb Size: 72876 SHA256: 382ba6cd82b2b75d1a52f7f08e51ee497c3df6abd8f1a8874e1be7290a3cccb3 SHA1: f7cc695d28bfa2bac349338035369fd04fb63b59 MD5sum: 7e3e7548ad1997141aca360746076cfa Description: Haskell bindings to the bzip2 library . This package provides a pure interface for compressing and decompressing streams of data represented as lazy ByteStrings. It uses the bz2 C library so it has high performance. . It provides a convenient high level API suitable for most tasks and for the few cases where more control is needed it provides access to the full bzip2 feature set. . This package contains the libraries compiled for GHC. Package: libghc-bzlib-doc Source: haskell-bzlib Version: 0.5.0.3-2 Installed-Size: 334 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-bzlib-dev Size: 54726 SHA256: a4e25bcf28fdca31380078a3ac70aabc8113c5b09ba4d7eaf15459bdb6bfd0ca SHA1: bc66d1fe79c043b67542950286e558ef4f72a6c6 MD5sum: a402ca6fe0264645ee9057ce0f86782e Description: Documentation for the Haskell bindings to the bzip2 library . This package provides a pure interface for compressing and decompressing streams of data represented as lazy ByteStrings. It uses the bz2 C library so it has high performance. . It provides a convenient high level API suitable for most tasks and for the few cases where more control is needed it provides access to the full bzip2 feature set. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/bzlib Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::zip Section: doc Priority: extra Filename: pool/main/h/haskell-bzlib/libghc-bzlib-doc_0.5.0.3-2_all.deb Package: libghc-bzlib-prof Source: haskell-bzlib Version: 0.5.0.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 311 Depends: libghc-bzlib-dev (= 0.5.0.3-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-bzlib-prof-0.5.0.3-3a51f Homepage: http://hackage.haskell.org/package/bzlib Priority: extra Section: haskell Filename: pool/main/h/haskell-bzlib/libghc-bzlib-prof_0.5.0.3-2_armhf.deb Size: 63484 SHA256: ee300e4fea3c638b129edb8976a5879feb40975d0e7320e6c3ba9650313ec3cf SHA1: 3fa03a25de0685c1006d80629ff03950557ddac2 MD5sum: 16b0df8f3926de74cb44c1436df100d2 Description: Profiling libraries for the Haskell bindings to the bzip2 library . This package provides a pure interface for compressing and decompressing streams of data represented as lazy ByteStrings. It uses the bz2 C library so it has high performance. . It provides a convenient high level API suitable for most tasks and for the few cases where more control is needed it provides access to the full bzip2 feature set. . This package contains the profiling libraries compiled for GHC. Package: libghc-cabal-file-th-doc Source: haskell-cabal-file-th Version: 0.2.2-1 Installed-Size: 136 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cabal-file-th-dev Size: 33490 SHA256: 61810c49ff62a8b49487a9e429975d32f59d6f7c457aae1e6ec68f983c525585 SHA1: 053c19b38e36b616e1b4670303cbf242cccc61ee MD5sum: 294b66f5e63595f6747bc7aa36345015 Description: TH expressions for reading fields from a project's cabal file; documentation Template Haskell expressions for reading fields from a project's cabal file. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-cabal-file-th Section: doc Priority: extra Filename: pool/main/h/haskell-cabal-file-th/libghc-cabal-file-th-doc_0.2.2-1_all.deb Package: libghc-cairo-dev Source: haskell-cairo Version: 0.12.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4146 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4), libcairo2-dev Suggests: libghc-cairo-doc, libghc-cairo-prof Provides: libghc-cairo-dev-0.12.3-e5398 Homepage: http://hackage.haskell.org/package/cairo Priority: extra Section: haskell Filename: pool/main/h/haskell-cairo/libghc-cairo-dev_0.12.3-1_armhf.deb Size: 706750 SHA256: 68b89a5ae19be82ea3f1b19270c627ad3aa8c9d128922bdec268a6d950543edb SHA1: e7810215b9014f331a309b812f7ab1d5217dfd40 MD5sum: fcc843f72daeb68534266a23f11c95c9 Description: Binding to the Cairo library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Cairo is a library to render high quality vector graphics. There exist various backends that allows rendering to Gtk windows, PDF, PS, PNG and SVG documents, amongst others. Package: libghc-cairo-doc Source: haskell-cairo Version: 0.12.3-1 Installed-Size: 1623 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-transformers-doc, libghc-cairo-dev Size: 170634 SHA256: ec6c1e3f07ebc21b0d333e1b99e19e67a4c513f77fbcf1c732f36cce33df2b99 SHA1: b414c8a33f30e22b17257d1506b264271157549d MD5sum: 4f3b4f33418d0c58f212c8d917661417 Description: Binding to the Cairo library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Cairo is a library to render high quality vector graphics. There exist various backends that allows rendering to Gtk windows, PDF, PS, PNG and SVG documents, amongst others. Homepage: http://hackage.haskell.org/package/cairo Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-cairo/libghc-cairo-doc_0.12.3-1_all.deb Package: libghc-cairo-prof Source: haskell-cairo Version: 0.12.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3680 Depends: libghc-cairo-dev (= 0.12.3-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-mtl-prof-2.1.1-87121 Provides: libghc-cairo-prof-0.12.3-e5398 Homepage: http://hackage.haskell.org/package/cairo Priority: extra Section: haskell Filename: pool/main/h/haskell-cairo/libghc-cairo-prof_0.12.3-1_armhf.deb Size: 657482 SHA256: 16af119ecc36ed562114630ea90062d2de04b8a9928c9b1eb626364f32396683 SHA1: 233f9ee85d9a5efcc9e995f22f2c141b1568ff23 MD5sum: 2ce68579c16734f0babb600a19b079e6 Description: Binding to the Cairo library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Cairo is a library to render high quality vector graphics. There exist various backends that allows rendering to Gtk windows, PDF, PS, PNG and SVG documents, amongst others. Package: libghc-case-insensitive-dev Source: haskell-case-insensitive Version: 0.4.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 266 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-hashable-dev-1.1.2.3-ea6fe, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-case-insensitive-doc, libghc-case-insensitive-prof Provides: libghc-case-insensitive-dev-0.4.0.1-fae0c Homepage: http://hackage.haskell.org/package/case-insensitive Priority: extra Section: haskell Filename: pool/main/h/haskell-case-insensitive/libghc-case-insensitive-dev_0.4.0.1-2_armhf.deb Size: 53880 SHA256: dd08e347e7972989afd7eaff474e280d20ab4be85f7867d24aa4898524aed468 SHA1: 1d0c78659b9f94f696f2023d0a8a83f7ed21967f MD5sum: 705680503f949da7c4ba6e4e50ca0376 Description: case-insensitive string comparison This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The module Data.CaseInsensitive provides the CI type constructor which can be parameterised by a string-like type like: String, ByteString, Text, etc.. Comparisons of values of the resulting type will be insensitive to cases. Package: libghc-case-insensitive-doc Source: haskell-case-insensitive Version: 0.4.0.1-2 Installed-Size: 131 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hashable-doc, libghc-text-doc, libghc-case-insensitive-dev Size: 33082 SHA256: 86cb1d3059c7b8fa0c473a70776eea1e9722ad835b59044ffb233653f8b7fe97 SHA1: a30fd70ba4254502da1e612b90b5605995809a3b MD5sum: 8df8d79aaa06931a29fee621c5ead5dd Description: case-insensitive string comparison; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The module Data.CaseInsensitive provides the CI type constructor which can be parameterised by a string-like type like: String, ByteString, Text, etc.. Comparisons of values of the resulting type will be insensitive to cases. Homepage: http://hackage.haskell.org/package/case-insensitive Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-case-insensitive/libghc-case-insensitive-doc_0.4.0.1-2_all.deb Package: libghc-case-insensitive-prof Source: haskell-case-insensitive Version: 0.4.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 226 Depends: libghc-case-insensitive-dev (= 0.4.0.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-hashable-prof-1.1.2.3-ea6fe, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-case-insensitive-prof-0.4.0.1-fae0c Homepage: http://hackage.haskell.org/package/case-insensitive Priority: extra Section: haskell Filename: pool/main/h/haskell-case-insensitive/libghc-case-insensitive-prof_0.4.0.1-2_armhf.deb Size: 46408 SHA256: e9dbf6debc4a964e73aedfdb67f6c8920640b5a1dc3fc1a232b1f14656ce7b05 SHA1: 06e281e6bbc03224bbf6b7658da604df9147b67b MD5sum: 5a63ca14ad83914d682f63a0f7810958 Description: case-insensitive string comparison; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . The module Data.CaseInsensitive provides the CI type constructor which can be parameterised by a string-like type like: String, ByteString, Text, etc.. Comparisons of values of the resulting type will be insensitive to cases. Package: libghc-categories-dev Source: haskell-categories Version: 1.0.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 783 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-void-dev-0.5.5.1-172a3, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-categories-doc, libghc-categories-prof Provides: libghc-categories-dev-1.0.3-c0be5 Homepage: http://hackage.haskell.org/package/categories Priority: extra Section: haskell Filename: pool/main/h/haskell-categories/libghc-categories-dev_1.0.3-1_armhf.deb Size: 139428 SHA256: 9d96978466aef8e545e5ddcecdd93cee773f3f81b622cdecc99bee2f442a49aa SHA1: abdf4dc20bfbab9d0531d069d29de863d6cbf1db MD5sum: ac05ab5f1029f4d382283273f6bf81ca Description: categories from category-extras categories from category-extras. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-categories-doc Source: haskell-categories Version: 1.0.3-1 Installed-Size: 360 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-categories-dev Size: 52828 SHA256: d6a6370e40a82d88fab9c94ab226877ca8ccd465ed0c5655a6cd0f015d7c554e SHA1: 376b41097ac90561270b3179cdffbd0f96f66138 MD5sum: 9bc309adf27ba9d6564cae8acbe004ef Description: categories from category-extras; documentation categories from category-extras. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/categories Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-categories/libghc-categories-doc_1.0.3-1_all.deb Package: libghc-categories-prof Source: haskell-categories Version: 1.0.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 743 Depends: libghc-categories-dev (= 1.0.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-void-prof-0.5.5.1-172a3 Provides: libghc-categories-prof-1.0.3-c0be5 Homepage: http://hackage.haskell.org/package/categories Priority: extra Section: haskell Filename: pool/main/h/haskell-categories/libghc-categories-prof_1.0.3-1_armhf.deb Size: 141748 SHA256: c493a2746473c164784f0cfa51803696823bd76ef1daae7c4d0c5385fb2e9cd7 SHA1: 8f5b5860dc824c400b27d4a5c5b9d607db69c3db MD5sum: d133a0ff0e979e95044334ec434ed760 Description: categories from category-extras; profiling data categories from category-extras. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-cautious-file-dev Source: haskell-cautious-file Version: 1.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 163 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-cautious-file-doc, libghc-cautious-file-prof Provides: libghc-cautious-file-dev-1.0.1-fc6be Homepage: http://hackage.haskell.org/package/cautious-file Priority: extra Section: haskell Filename: pool/main/h/haskell-cautious-file/libghc-cautious-file-dev_1.0.1-1_armhf.deb Size: 29302 SHA256: 171e1e578eab98e4c3b5a042de13d2eea691a628487fb5ce25727ac236f51e57 SHA1: b53a4136a15559c8aa4402324a55378c8452137d MD5sum: 73404057799911a343ad5a9697bd289e Description: Haskell library to write a file cautiously - GHC libraries This Haskell library is able to write on a file using some techniques to reduce the chances of problems such as data loss due to crashes or power failures. . This package contains the libraries compiled for GHC. Package: libghc-cautious-file-doc Source: haskell-cautious-file Version: 1.0.1-1 Installed-Size: 134 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cautious-file-dev Size: 32228 SHA256: 58f2cf1788a266af110ab02f5a8c85a3b3028faf1015bb3a2921d2477508d5b9 SHA1: 43e39cb290fc4569be9da585167930635727c5d0 MD5sum: 387e2a1504b4a3670934d9ae6c3666d5 Description: Haskell library to write a file cautiously - documentation This Haskell library is able to write on a file using some techniques to reduce the chances of problems such as data loss due to crashes or power failures. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/cautious-file Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-cautious-file/libghc-cautious-file-doc_1.0.1-1_all.deb Package: libghc-cautious-file-prof Source: haskell-cautious-file Version: 1.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 135 Depends: libghc-cautious-file-dev (= 1.0.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-cautious-file-prof-1.0.1-fc6be Homepage: http://hackage.haskell.org/package/cautious-file Priority: extra Section: haskell Filename: pool/main/h/haskell-cautious-file/libghc-cautious-file-prof_1.0.1-1_armhf.deb Size: 25390 SHA256: e27358b9d52f0ae2cd21ddf2507e776ac5f5b61e03ffac7cb4ce44051216ca60 SHA1: 87b878ed19fa53c0d26c3012f5157973aa2a7b7f MD5sum: 723de40b995d60a76991ce3c5b0db59b Description: Haskell library to write a file cautiously - GHC profiling libraries This Haskell library is able to write on a file using some techniques to reduce the chances of problems such as data loss due to crashes or power failures. . This package contains the profiling libraries compiled for GHC. Package: libghc-cereal-conduit-dev Source: haskell-cereal-conduit Version: 0.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 166 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-cereal-dev-0.3.5.1-9f6b7, libghc-conduit-dev-0.4.2-2f3e1, libghc-void-dev-0.5.5.1-172a3, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-cereal-conduit-doc, libghc-cereal-conduit-prof Provides: libghc-cereal-conduit-dev-0.5-5b9c6 Homepage: http://hackage.haskell.org/package/cereal-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-cereal-conduit/libghc-cereal-conduit-dev_0.5-1_armhf.deb Size: 27284 SHA256: 77a86409c81d49af26c6c4ddd651aaac74e59a43551bc548a0cb1cc4e8c3dbb5 SHA1: e5d8c88bbf665e12092e075f9324190249cded87 MD5sum: fb1c73d4d6484638223aa1c641cc59fc Description: turn Data.Serialize Gets and Puts into Sources and Sinks Data.Conduit.Cereal provides a conduit interface to cereal. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-cereal-conduit-doc Source: haskell-cereal-conduit Version: 0.5-1 Installed-Size: 95 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cereal-doc, libghc-conduit-doc, libghc-void-doc, libghc-cereal-conduit-dev Size: 32972 SHA256: 8ce003f24272d5cc73045ef92020c266593d2aa2e05f58b5191f2acad2e0ae8f SHA1: fb3f3fba8492ded59ba8bc9ebca7aa14b4f9a14a MD5sum: fe14edc3ebe8d61ebc7a9a9d288494da Description: turn Data.Serialize Gets and Puts into Sources and Sinks; documentation Data.Conduit.Cereal provides a conduit interface to cereal. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/cereal-conduit Section: doc Priority: extra Filename: pool/main/h/haskell-cereal-conduit/libghc-cereal-conduit-doc_0.5-1_all.deb Package: libghc-cereal-conduit-prof Source: haskell-cereal-conduit Version: 0.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 146 Depends: libghc-cereal-conduit-dev (= 0.5-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-cereal-prof-0.3.5.1-9f6b7, libghc-conduit-prof-0.4.2-2f3e1, libghc-void-prof-0.5.5.1-172a3 Provides: libghc-cereal-conduit-prof-0.5-5b9c6 Homepage: http://hackage.haskell.org/package/cereal-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-cereal-conduit/libghc-cereal-conduit-prof_0.5-1_armhf.deb Size: 28868 SHA256: da0388f44878f88880e367e989db9c14e5a737d834202fc67ec9ed4d74d8bcf9 SHA1: 6f5e123263a4a2a6436094cd53753557cd153b4c MD5sum: 5d3ed1256183e11ffff1b92bd66ccdfd Description: turn Data.Serialize Gets and Puts into Sources and Sinks; profiling libraries Data.Conduit.Cereal provides a conduit interface to cereal. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-cereal-dev Source: haskell-cereal Version: 0.3.5.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1923 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-ghc-prim-dev-0.2.0.0-bd29c, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-cereal-doc, libghc-cereal-prof Provides: libghc-cereal-dev-0.3.5.2-b01d7 Homepage: http://hackage.haskell.org/package/cereal Priority: optional Section: haskell Filename: pool/main/h/haskell-cereal/libghc-cereal-dev_0.3.5.2-1_armhf.deb Size: 389544 SHA256: 555be877e878977b2b6fd55852de121d9d1def407e324821b98b36b90a772b75 SHA1: 4d2e6854629262c18a7d5320024b1d4ddbd05ca0 MD5sum: 9c1d3ead2ecc683e5efaf4a813b0f389 Description: binary serialization library A binary serialization library, similar to binary, that introduces an isolate primitive for parser isolation, and replaces the asynchronous errors with a user-handleable Either type. Similar to binary in performance, but uses a strict ByteString instead of a lazy ByteString, thus restricting it to operating on finite inputs. . This package contains the libraries compiled for GHC. Package: libghc-cereal-doc Source: haskell-cereal Version: 0.3.5.2-1 Installed-Size: 630 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cereal-dev Size: 79958 SHA256: e45d8937e61a4660d8a4bd1d6df55e66bb3ce1615e617407155cf77ddffac54f SHA1: 8e079d7f22dd92b213212cb68b430dd22312482d MD5sum: e56fac4f33b0c871aa86396f5ca9fed2 Description: binary serialization library; documentation A binary serialization library, similar to binary, that introduces an isolate primitive for parser isolation, and replaces the asynchronous errors with a user-handleable Either type. Similar to binary in performance, but uses a strict ByteString instead of a lazy ByteString, thus restricting it to operating on finite inputs. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/cereal Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: optional Filename: pool/main/h/haskell-cereal/libghc-cereal-doc_0.3.5.2-1_all.deb Package: libghc-cereal-prof Source: haskell-cereal Version: 0.3.5.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3033 Depends: libghc-cereal-dev (= 0.3.5.2-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-ghc-prim-prof-0.2.0.0-bd29c Provides: libghc-cereal-prof-0.3.5.2-b01d7 Homepage: http://hackage.haskell.org/package/cereal Priority: optional Section: haskell Filename: pool/main/h/haskell-cereal/libghc-cereal-prof_0.3.5.2-1_armhf.deb Size: 597324 SHA256: f65a13bbab0c1bc68db600ab7eb25518884c502c94b5acc99e68bfe369869c35 SHA1: 493b1d8220b6b31b825f276161f0ab95514e066b MD5sum: 18586fa51aedd719798f3f1c2161214e Description: binary serialization library; profiling library A binary serialization library, similar to binary, that introduces an isolate primitive for parser isolation, and replaces the asynchronous errors with a user-handleable Either type. Similar to binary in performance, but uses a strict ByteString instead of a lazy ByteString, thus restricting it to operating on finite inputs. . This package contains the profiling libraries compiled for GHC. Package: libghc-certificate-dev Source: haskell-certificate Version: 1.2.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1614 Depends: libghc-asn1-data-dev-0.6.1.3-62907, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-crypto-pubkey-types-dev-0.1.1-d7c2e, libghc-directory-dev-1.1.0.2-57272, libghc-mtl-dev-2.1.1-87121, libghc-pem-dev-0.1.1-84ae4, libghc-process-dev-1.1.0.1-75c65, libghc-time-dev-1.4-ec63b, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-certificate-doc, libghc-certificate-prof Provides: libghc-certificate-dev-1.2.3-8e905 Homepage: http://hackage.haskell.org/package/certificate Priority: extra Section: haskell Filename: pool/main/h/haskell-certificate/libghc-certificate-dev_1.2.3-2_armhf.deb Size: 338604 SHA256: 629195d94b05febdf8d538b7e596bf6b86f32527b278edf18c7fcfbc156a82f8 SHA1: 0a07cb4e9cc0a1e48da01e1f91930462e229d0c3 MD5sum: cee5248ffac39a812994b4dcc9bddc81 Description: certificate and key Reader/Writer This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a certificate and key Reader/Writer. At the moment only X.509 certificate and unencrypted private keys are supported, but will include OpenPGP certificates and PKCS #8 private keys. Package: libghc-certificate-doc Source: haskell-certificate Version: 1.2.3-2 Installed-Size: 441 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-asn1-data-doc, libghc-crypto-pubkey-types-doc, libghc-certificate-dev Size: 60194 SHA256: 14a342e9c4a48ec2678707292a0b869a597db3e589aefcd0e959d0ee39a58348 SHA1: 96f631200d895d107784dc7edfa4acc09b2acd4f MD5sum: 68c939db772b6f15700bbba5461b345f Description: certificate and key Reader/Writer; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a certificate and key Reader/Writer. At the moment only X.509 certificate and unencrypted private keys are supported, but will include OpenPGP certificates and PKCS #8 private keys. Homepage: http://hackage.haskell.org/package/certificate Tag: devel::doc, devel::lang:haskell, role::documentation, security::cryptography Section: doc Priority: extra Filename: pool/main/h/haskell-certificate/libghc-certificate-doc_1.2.3-2_all.deb Package: libghc-certificate-prof Source: haskell-certificate Version: 1.2.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1418 Depends: libghc-certificate-dev (= 1.2.3-2), libghc-asn1-data-prof-0.6.1.3-62907, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-crypto-pubkey-types-prof-0.1.1-d7c2e, libghc-directory-prof-1.1.0.2-57272, libghc-mtl-prof-2.1.1-87121, libghc-pem-prof-0.1.1-84ae4, libghc-process-prof-1.1.0.1-75c65, libghc-time-prof-1.4-ec63b Provides: libghc-certificate-prof-1.2.3-8e905 Homepage: http://hackage.haskell.org/package/certificate Priority: extra Section: haskell Filename: pool/main/h/haskell-certificate/libghc-certificate-prof_1.2.3-2_armhf.deb Size: 301606 SHA256: 158e5093eeacb58811e0f0dc258de323bca05838cfe0a1f2681e661e4fe53dd7 SHA1: 321df317e603dece9b9e0d7e0911c8a4dd51e66d MD5sum: 224d03acb92f2db9f492126730dbac52 Description: certificate and key Reader/Writer; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is a certificate and key Reader/Writer. At the moment only X.509 certificate and unencrypted private keys are supported, but will include OpenPGP certificates and PKCS #8 private keys. Package: libghc-cgi-dev Source: haskell-cgi Version: 3001.1.8.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2276 Depends: libghc-monadcatchio-mtl-dev-0.3.0.4-ab5f1, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libghc-mtl-dev-2.1.1-87121, libghc-network-dev-2.3.0.13-9c99a, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-parsec-dev-3.1.2-aa52f, libghc-xhtml-dev-3000.2.1-4e39f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-cgi-doc, libghc-cgi-prof Provides: libghc-cgi-dev-3001.1.8.2-aed06 Homepage: http://hackage.haskell.org/package/cgi Priority: extra Section: haskell Filename: pool/main/h/haskell-cgi/libghc-cgi-dev_3001.1.8.2-2_armhf.deb Size: 492918 SHA256: d427c82fbf829eff55b99117f31f7f8502aafeb24f0eb1e0df6272c26292ba79 SHA1: f7527d986064d3267a4a7c0bfe415e3ce919c22f MD5sum: b4da10afa27f47960a7f025d28e3496f Description: Haskell CGI library for GHC This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library is for writing CGI programs. Package: libghc-cgi-doc Source: haskell-cgi Version: 3001.1.8.2-2 Installed-Size: 729 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monadcatchio-mtl-doc, libghc-mtl-doc, libghc-network-doc, libghc-parsec3-doc, libghc-xhtml-doc, libghc-cgi-dev Size: 97558 SHA256: 7b496c69b3ba0fdda09b63de540195fb8cb54c648cc93de55d2139190074bb5c SHA1: 3335076314570597d76f2dbe8cc9d4a38ac2c938 MD5sum: 459420e5649b2d1ed6a5f59802d8518a Description: Haskell CGI library for GHC; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library is for writing CGI programs. Homepage: http://hackage.haskell.org/package/cgi Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-cgi/libghc-cgi-doc_3001.1.8.2-2_all.deb Package: libghc-cgi-prof Source: haskell-cgi Version: 3001.1.8.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2112 Depends: libghc-cgi-dev (= 3001.1.8.2-2), libghc-monadcatchio-mtl-prof-0.3.0.4-ab5f1, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-extensible-exceptions-prof-0.1.1.4-d27a1, libghc-mtl-prof-2.1.1-87121, libghc-network-prof-2.3.0.13-9c99a, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-parsec-prof-3.1.2-aa52f, libghc-xhtml-prof-3000.2.1-4e39f Provides: libghc-cgi-prof-3001.1.8.2-aed06 Homepage: http://hackage.haskell.org/package/cgi Priority: extra Section: haskell Filename: pool/main/h/haskell-cgi/libghc-cgi-prof_3001.1.8.2-2_armhf.deb Size: 465014 SHA256: 3aecfe9fc24cd8c3482a340954dbfcc1cff8bae44cd755f92505d6bf4b25469a SHA1: 02433f695e55b45b49dfaff4d0ad3636a7674e97 MD5sum: 7d6d92d4a4bdd7875c7985cdb31acebd Description: Haskell CGI library for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library is for writing CGI programs. Package: libghc-chart-doc Source: haskell-chart Version: 0.15-1 Installed-Size: 2073 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cairo-doc, libghc-colour-doc, libghc-data-accessor-doc, libghc-mtl-doc, libghc-chart-dev Size: 197952 SHA256: 68aa76669aae9c9e176f5f2348cc2d195fd2b70ff61ccedf606a704db8d5b54c SHA1: 6fb5e3be13a52697f64e16f0d3401a49b6603f61 MD5sum: 1203bafc02f3332afb3bd26b9ab4efae Description: library for generating 2D Charts and Plots; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a library for generating 2D Charts and Plots, based upon the cairo graphics library. Homepage: http://hackage.haskell.org/package/chart Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-chart/libghc-chart-doc_0.15-1_all.deb Package: libghc-chell-doc Source: haskell-chell Version: 0.3-1 Installed-Size: 467 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-transformers-doc, libghc-chell-dev Size: 64086 SHA256: d657d983067371f043deb3567e6534ce3301347879f73ed21f6986a17fe60bca SHA1: 1f88ee8c87b6adf2fd9b5d111a876828eeb1760c MD5sum: b9048a8cdb53ae2eab06d21d9c0a7be7 Description: Haskell library for simple and intuitive automated testing; documentation Chell is a simple and intuitive library for automated testing. It natively supports assertion-based testing, and can use companion libraries to support more complex testing strategies. . This package provides documentation for a library written in the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: https://john-millikin.com/software/chell/ Section: doc Priority: optional Filename: pool/main/h/haskell-chell/libghc-chell-doc_0.3-1_all.deb Package: libghc-citeproc-hs-data Source: haskell-citeproc-hs Version: 0.3.4-1 Installed-Size: 440 Maintainer: Debian Haskell Group Architecture: all Size: 46792 SHA256: 3d98a57edda41fdfcf344749e221e355b01cdb7c09c1923d19e4392d99bcc497 SHA1: a40bd4d8d96e088e71d30ed1346b41343bf89064 MD5sum: 83806820bc200d78e13e28c5215e2125 Description: Haskell support for Citation Style Language - data files citeproc-hs is a Haskell implementation of the Citation Style Language (CSL). . citeproc-hs adds to pandoc, the famous Haskell text processing tool, a Bibtex like citation and bibliographic formatting and generation facility. . CSL is an XML language for specifying citation and bibliographic formatting, similar in principle to BibTeX .bst files or the binary style files in commercial products like Endnote or Reference Manager. . This package contains the data files needed to use the library. Homepage: http://hackage.haskell.org/package/citeproc-hs Section: haskell Priority: extra Filename: pool/main/h/haskell-citeproc-hs/libghc-citeproc-hs-data_0.3.4-1_all.deb Package: libghc-citeproc-hs-dev Source: haskell-citeproc-hs Version: 0.3.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 13878 Depends: libghc-http-dev-4000.2.3-55fae, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-hs-bibutils-dev-4.12-03055, libghc-json-dev-0.5-ad6d2, libghc-mtl-dev-2.1.1-87121, libghc-network-dev-2.3.0.13-9c99a, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-pandoc-types-dev-1.9.1-79c40, libghc-parsec-dev-3.1.2-aa52f, libghc-syb-dev-0.3.6.1-ad588, libghc-time-dev-1.4-ec63b, libghc-utf8-string-dev-0.3.7-c7280, libghc-xml-dev-1.3.12-ef89d, libbibutils2 (>= 4.12), libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-citeproc-hs-data (= 0.3.4-1) Suggests: libghc-citeproc-hs-doc, libghc-citeproc-hs-prof Provides: libghc-citeproc-hs-dev-0.3.4-84dfd Homepage: http://hackage.haskell.org/package/citeproc-hs Priority: extra Section: haskell Filename: pool/main/h/haskell-citeproc-hs/libghc-citeproc-hs-dev_0.3.4-1_armhf.deb Size: 2739218 SHA256: 7abad0dcc2cec336876180e9e7f9f2bd8f972567da0352ffac9c101480f913c3 SHA1: 6c280eeb6fa31c5e9a1ec14f48e342d1bd8cb23e MD5sum: d5ab70ad79ff4008bf4792cca05c7185 Description: Haskell support for Citation Style Language - GHC libraries citeproc-hs is a Haskell implementation of the Citation Style Language (CSL). . citeproc-hs adds to pandoc, the famous Haskell text processing tool, a Bibtex like citation and bibliographic formatting and generation facility. . CSL is an XML language for specifying citation and bibliographic formatting, similar in principle to BibTeX .bst files or the binary style files in commercial products like Endnote or Reference Manager. . This package contains the libraries compiled for GHC. Package: libghc-citeproc-hs-doc Source: haskell-citeproc-hs Version: 0.3.4-1 Installed-Size: 2394 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-json-doc, libghc-mtl-doc, libghc-pandoc-types-doc, libghc-xml-doc, libghc-citeproc-hs-dev Size: 223828 SHA256: da670c835e57f5ce2bc0b501e77b3c9447fbe6b73d1600297ca87b2259f3886e SHA1: 74decace922a04377107c7cac51b1e485e243ce4 MD5sum: bb7b2f09cc0a2f70e97c04779d0415d4 Description: Haskell support for Citation Style Language - documentation citeproc-hs is a Haskell implementation of the Citation Style Language (CSL). . citeproc-hs adds to pandoc, the famous Haskell text processing tool, a Bibtex like citation and bibliographic formatting and generation facility. . CSL is an XML language for specifying citation and bibliographic formatting, similar in principle to BibTeX .bst files or the binary style files in commercial products like Endnote or Reference Manager. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/citeproc-hs Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-citeproc-hs/libghc-citeproc-hs-doc_0.3.4-1_all.deb Package: libghc-citeproc-hs-prof Source: haskell-citeproc-hs Version: 0.3.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 14575 Depends: libghc-citeproc-hs-dev (= 0.3.4-1), libghc-http-prof-4000.2.3-55fae, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-hs-bibutils-prof-4.12-03055, libghc-json-prof-0.5-ad6d2, libghc-mtl-prof-2.1.1-87121, libghc-network-prof-2.3.0.13-9c99a, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-pandoc-types-prof-1.9.1-79c40, libghc-parsec-prof-3.1.2-aa52f, libghc-syb-prof-0.3.6.1-ad588, libghc-time-prof-1.4-ec63b, libghc-utf8-string-prof-0.3.7-c7280, libghc-xml-prof-1.3.12-ef89d Provides: libghc-citeproc-hs-prof-0.3.4-84dfd Homepage: http://hackage.haskell.org/package/citeproc-hs Priority: extra Section: haskell Filename: pool/main/h/haskell-citeproc-hs/libghc-citeproc-hs-prof_0.3.4-1_armhf.deb Size: 2914682 SHA256: 36fe499300b68a2d3526d885207cee3bdd66045c3cf7a47b266142228a48f845 SHA1: c34129347a3f1c554cfb98d761b96d82fb390d9b MD5sum: a6267d6cd6b272f5c5d2d66db5c2805c Description: Haskell support for Citation Style Language - GHC profiling libraries citeproc-hs is a Haskell implementation of the Citation Style Language (CSL). . citeproc-hs adds to pandoc, the famous Haskell text processing tool, a Bibtex like citation and bibliographic formatting and generation facility. . CSL is an XML language for specifying citation and bibliographic formatting, similar in principle to BibTeX .bst files or the binary style files in commercial products like Endnote or Reference Manager. . This package contains the profiling libraries compiled for GHC. Package: libghc-clientsession-doc Source: haskell-clientsession Version: 0.7.5-3 Installed-Size: 112 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-crypto-api-doc, libghc-cryptocipher-doc, libghc-skein-doc, libghc-clientsession-dev Size: 38864 SHA256: ca830890d1c5fddbb6bdbd9d46959e99fcd9fa0d9fe643f5d2ef95ad53a52da6 SHA1: cb816cd76e5cb66694a9bcc5a5dd9c7384c0b917 MD5sum: 3076a14ea755fbb9ff13c47f84223f05 Description: library for web session data in cookies; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Achieves security through AES encryption and MD5 hashing. Uses base64 encoding to avoid any issues with characters. Homepage: http://hackage.haskell.org/package/clientsession Tag: devel::doc, devel::lang:haskell, role::documentation, security::cryptography Section: doc Priority: extra Filename: pool/main/h/haskell-clientsession/libghc-clientsession-doc_0.7.5-3_all.deb Package: libghc-clock-dev Source: haskell-clock Version: 0.2.0.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 257 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-clock-doc, libghc-clock-prof Provides: libghc-clock-dev-0.2.0.0-49498 Homepage: http://hackage.haskell.org/package/clock Priority: extra Section: haskell Filename: pool/main/h/haskell-clock/libghc-clock-dev_0.2.0.0-2_armhf.deb Size: 45760 SHA256: 1522bab6dd8f5932890706ee9b86bde5f3d040e34d0b6290fbb32041a2dae147 SHA1: 11556f060b4a3f7c9f6242e92bfbd1a241bed97a MD5sum: a91f483e83a659b437b0e6305029e18d Description: High-resolution clock and timer This library provides convenient access to high-resolution clock and timer functions. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-clock-doc Source: haskell-clock Version: 0.2.0.0-2 Installed-Size: 81 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-clock-dev Size: 32406 SHA256: 1be4386a759c4e15b7f69475a74cf335e217db12c3f71ade61aa6edc2091e5b4 SHA1: 6b3ddf346355eb9368145e680ec0dba81dce3890 MD5sum: d580b6c01d0fce14e0663eeee298901b Description: High-resolution clock and timer; documentation This library provides convenient access to high-resolution clock and timer functions. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/clock Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-clock/libghc-clock-doc_0.2.0.0-2_all.deb Package: libghc-clock-prof Source: haskell-clock Version: 0.2.0.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 199 Depends: libghc-clock-dev (= 0.2.0.0-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-clock-prof-0.2.0.0-49498 Homepage: http://hackage.haskell.org/package/clock Priority: extra Section: haskell Filename: pool/main/h/haskell-clock/libghc-clock-prof_0.2.0.0-2_armhf.deb Size: 35646 SHA256: abd4f6f15c7421c30125e130b20c9d591db6f6e23eed896783615dea8374a487 SHA1: 72ab9bf438bbdec82bd3d92f5220a73871a023be MD5sum: 853d483e27335e94cd2d73541a93be94 Description: High-resolution clock and timer; profiling libraries This library provides convenient access to high-resolution clock and timer functions. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-clocked-dev Source: haskell-clocked Version: 0.4.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 149 Depends: libghc-monadcatchio-transformers-dev-0.3.0.0-03d9d, libghc-base-dev-4.5.0.0-d93df, libghc-clock-dev-0.2.0.0-49498, libghc-containers-dev-0.4.2.1-7c545, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libqtcore4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1) Suggests: libghc-clocked-doc, libghc-clocked-prof Provides: libghc-clocked-dev-0.4.1.1-dd30d Homepage: http://hackage.haskell.org/package/clocked Priority: extra Section: haskell Filename: pool/main/h/haskell-clocked/libghc-clocked-dev_0.4.1.1-1_armhf.deb Size: 30014 SHA256: 79b489c11f8234d8adb157fe321e9370d68b1656305bdfe2488b2bb40405c481 SHA1: 49c8174e0bc8b91f4be051d68eb8fc9f73660b24 MD5sum: 742a7ace7e5a99f62465038177bee2a2 Description: timer functionality to clock IO commands This is a library that allows you to clock IO-operations. You can use it to clock the rendering of frames, the calculation of steps in a physics engine, the triggering of musical events in a real-time system, etc. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-clocked-doc Source: haskell-clocked Version: 0.4.1.1-1 Installed-Size: 117 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monadcatchio-transformers-doc, libghc-clocked-dev Size: 30492 SHA256: 83f3b1ae5c7ea988f3e6fb77a3410bf410110dcdb50c23dd7d4eb742816db3d3 SHA1: eff62d15b1138eaa845698eeff22caba80be5261 MD5sum: 18e493d3c3761ab3144bd80967fcbb10 Description: timer functionality to clock IO commands; documentation This is a library that allows you to clock IO-operations. You can use it to clock the rendering of frames, the calculation of steps in a physics engine, the triggering of musical events in a real-time system, etc. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/clocked Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-clocked/libghc-clocked-doc_0.4.1.1-1_all.deb Package: libghc-clocked-prof Source: haskell-clocked Version: 0.4.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 117 Depends: libghc-clocked-dev (= 0.4.1.1-1), libghc-monadcatchio-transformers-prof-0.3.0.0-03d9d, libghc-base-prof-4.5.0.0-d93df, libghc-clock-prof-0.2.0.0-49498, libghc-containers-prof-0.4.2.1-7c545, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-clocked-prof-0.4.1.1-dd30d Homepage: http://hackage.haskell.org/package/clocked Priority: extra Section: haskell Filename: pool/main/h/haskell-clocked/libghc-clocked-prof_0.4.1.1-1_armhf.deb Size: 24954 SHA256: 01afe446e22f9ff7fc6251e0b92f05c0ba608bff67345bcbdc2c802c4e7c0f6b SHA1: a64b5a5012de765fef4551dc1a3b160266b1b4df MD5sum: 3af879f965cf3f42f0b879b2fcaeae3b Description: timer functionality to clock IO commands; profiling libraries This is a library that allows you to clock IO-operations. You can use it to clock the rendering of frames, the calculation of steps in a physics engine, the triggering of musical events in a real-time system, etc. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-cmdargs-dev Source: haskell-cmdargs Version: 0.9.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4514 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-filepath-dev-1.3.0.0-163d9, libghc-process-dev-1.1.0.1-75c65, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-cmdargs-doc, libghc-cmdargs-prof Provides: libghc-cmdargs-dev-0.9.5-bc5b3 Homepage: http://hackage.haskell.org/package/cmdargs Priority: extra Section: haskell Filename: pool/main/h/haskell-cmdargs/libghc-cmdargs-dev_0.9.5-1_armhf.deb Size: 980162 SHA256: 46d68aea13c1d00cbeeb32ad402320ad2ce08744e94af075271deabf87c8ba96 SHA1: 4c30f7373c623a02522d2147fe17bd5dde92911f MD5sum: f933a3248d327b6ed9205c34cf6d8354 Description: command-line argument processing This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides an easy way to define command-line parsers. Most users will want to use the System.Console.CmdArgs.Implicit module, whose documentation contains an example. . System.Console.CmdArgs.Explicit provides a way to write command-line parsers for both single mode programs (most programs) and multiple mode programs (e.g. darcs or cabal). Parsers are defined by constructing a data structure. . System.Console.CmdArgs.Implicit provides a way to concisely define command-line parsers, up to three times shorter than getopt. These parsers are translated into the Explicit data type. . System.Console.CmdArgs.GetOpt provides a wrapper allowing compatiblity with existing getopt parsers, mapping to the Explicit data type. Package: libghc-cmdargs-doc Source: haskell-cmdargs Version: 0.9.5-1 Installed-Size: 1367 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cmdargs-dev Size: 160200 SHA256: 6bc4e9c01de4c43a3e7f18ef1045deb7613ec047076420fa5c49f03c4c05ae6b SHA1: 89ef91d504cfc82d68f994a8a9a4d26fbce5658b MD5sum: 060b622c786661a1e47ab522d0a45eff Description: command-line argument processing; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides an easy way to define command-line parsers. Most users will want to use the System.Console.CmdArgs.Implicit module, whose documentation contains an example. . System.Console.CmdArgs.Explicit provides a way to write command-line parsers for both single mode programs (most programs) and multiple mode programs (e.g. darcs or cabal). Parsers are defined by constructing a data structure. . System.Console.CmdArgs.Implicit provides a way to concisely define command-line parsers, up to three times shorter than getopt. These parsers are translated into the Explicit data type. . System.Console.CmdArgs.GetOpt provides a wrapper allowing compatiblity with existing getopt parsers, mapping to the Explicit data type. Homepage: http://hackage.haskell.org/package/cmdargs Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-cmdargs/libghc-cmdargs-doc_0.9.5-1_all.deb Package: libghc-cmdargs-prof Source: haskell-cmdargs Version: 0.9.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4232 Depends: libghc-cmdargs-dev (= 0.9.5-1), libghc-base-prof-4.5.0.0-d93df, libghc-filepath-prof-1.3.0.0-163d9, libghc-process-prof-1.1.0.1-75c65, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-cmdargs-prof-0.9.5-bc5b3 Homepage: http://hackage.haskell.org/package/cmdargs Priority: extra Section: haskell Filename: pool/main/h/haskell-cmdargs/libghc-cmdargs-prof_0.9.5-1_armhf.deb Size: 926442 SHA256: 8aa6bc3c5128db82efd9b29b5638e547e3f35f58880f2f6a2d5f5c59f84d76c8 SHA1: 41fe0034947506ec7abeb11a11b480fdb2eba4c6 MD5sum: 7b95032d6ed6a71a038af6bd31974838 Description: command-line argument processing; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It provides an easy way to define command-line parsers. Most users will want to use the System.Console.CmdArgs.Implicit module, whose documentation contains an example. . System.Console.CmdArgs.Explicit provides a way to write command-line parsers for both single mode programs (most programs) and multiple mode programs (e.g. darcs or cabal). Parsers are defined by constructing a data structure. . System.Console.CmdArgs.Implicit provides a way to concisely define command-line parsers, up to three times shorter than getopt. These parsers are translated into the Explicit data type. . System.Console.CmdArgs.GetOpt provides a wrapper allowing compatiblity with existing getopt parsers, mapping to the Explicit data type. Package: libghc-colour-dev Source: haskell-colour Version: 2.3.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2184 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-colour-doc, libghc-colour-prof Provides: libghc-colour-dev-2.3.3-4eaa6 Homepage: http://hackage.haskell.org/package/colour Priority: extra Section: haskell Filename: pool/main/h/haskell-colour/libghc-colour-dev_2.3.3-1_armhf.deb Size: 448824 SHA256: e417dae23159da19eb7c770520a1d75cbedcbe563e39eff6a529680c7539bdab SHA1: 978e2aa8a2ae517f068751d36101b6a48f2fc551 MD5sum: cca1eadba559f9c1ea1b045eca6178fd Description: A model for human colour/color perception This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides a data type for colours and transparency. Colours can be blended and composed. Various colour spaces are supported. A module of colour names (Data.Colour.Names) is provided. Package: libghc-colour-doc Source: haskell-colour Version: 2.3.3-1 Installed-Size: 908 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-colour-dev Size: 102262 SHA256: d35b17dd01b97537579456216b3306a6892b6468a9be22e8e9cc1e3c88e0dc03 SHA1: 8e89560be96ef552af9bf2f3d36b7cb802ce0b73 MD5sum: cadae7c24ba3bde4edd77cb5939c15cc Description: A model for human colour/color perception; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides a data type for colours and transparency. Colours can be blended and composed. Various colour spaces are supported. A module of colour names (Data.Colour.Names) is provided. Homepage: http://hackage.haskell.org/package/colour Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-colour/libghc-colour-doc_2.3.3-1_all.deb Package: libghc-colour-prof Source: haskell-colour Version: 2.3.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1943 Depends: libghc-colour-dev (= 2.3.3-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-colour-prof-2.3.3-4eaa6 Homepage: http://hackage.haskell.org/package/colour Priority: extra Section: haskell Filename: pool/main/h/haskell-colour/libghc-colour-prof_2.3.3-1_armhf.deb Size: 410376 SHA256: 90edfe536446f48197842dbbeecdd5f6efa7b84ec0c44ac922be304670eb3627 SHA1: aca64bb0a429a58c79fbb614ed7622a0e37beb92 MD5sum: d4d558d59193781c966e7437996bfc52 Description: A model for human colour/color perception; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package provides a data type for colours and transparency. Colours can be blended and composed. Various colour spaces are supported. A module of colour names (Data.Colour.Names) is provided. Package: libghc-comonad-dev Source: haskell-comonad Version: 1.1.1.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 280 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-semigroups-dev-0.8.3.2-01002, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-comonad-doc, libghc-comonad-prof Provides: libghc-comonad-dev-1.1.1.5-6e6e5 Homepage: http://hackage.haskell.org/package/comonad Priority: extra Section: haskell Filename: pool/main/h/haskell-comonad/libghc-comonad-dev_1.1.1.5-1_armhf.deb Size: 49694 SHA256: 8f14415a24c12550b100e61dfd9e40027df16767256e087d5ec9e5cf977ebd7d SHA1: 458bdeefcf100b17c8aaa730efc48ca5ac1c78e2 MD5sum: c620db48a80ee85e5f5b134b634bd5c0 Description: Haskell 98 comonads Haskell 98 comonads . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-comonad-doc Source: haskell-comonad Version: 1.1.1.5-1 Installed-Size: 171 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-semigroups-doc, libghc-transformers-doc, libghc-comonad-dev Size: 36994 SHA256: d4bd78f9dea6adc0fa150fc9453e568e61cc6d00e09f32e104d04ca759479e29 SHA1: 9d1a6c7215b77adf7f90eb0d342ad7427db96f1e MD5sum: bfa1508e42f7e97f46c4168f9a4a8946 Description: Haskell 98 comonads; documentation Haskell 98 comonads . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/comonad Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-comonad/libghc-comonad-doc_1.1.1.5-1_all.deb Package: libghc-comonad-prof Source: haskell-comonad Version: 1.1.1.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 257 Depends: libghc-comonad-dev (= 1.1.1.5-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-semigroups-prof-0.8.3.2-01002, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-comonad-prof-1.1.1.5-6e6e5 Homepage: http://hackage.haskell.org/package/comonad Priority: extra Section: haskell Filename: pool/main/h/haskell-comonad/libghc-comonad-prof_1.1.1.5-1_armhf.deb Size: 50694 SHA256: b0c9bb42c29011dc988ca58c87279d5ca00ed33a4b881af6a48d8de27b549be0 SHA1: a69ca0b7fa8a24cf2c101cd289970c632464b2b7 MD5sum: 429cebae4723eacd4d2ce4a058076f62 Description: Haskell 98 comonads; profiling data Haskell 98 comonads . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-comonad-transformers-dev Source: haskell-comonad-transformers Version: 2.1.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 794 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-comonad-dev-1.1.1.5-6e6e5, libghc-containers-dev-0.4.2.1-7c545, libghc-contravariant-dev-0.2.0.2-d421c, libghc-distributive-dev-0.2.2-34503, libghc-semigroupoids-dev-1.3.1.2-c6021, libghc-semigroups-dev-0.8.3.2-01002, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-comonad-transformers-doc, libghc-comonad-transformers-prof Provides: libghc-comonad-transformers-dev-2.1.1.1-b7523 Homepage: http://hackage.haskell.org/package/comonad-transformers Priority: extra Section: haskell Filename: pool/main/h/haskell-comonad-transformers/libghc-comonad-transformers-dev_2.1.1.1-1_armhf.deb Size: 141896 SHA256: 3a765f2ef206841895cabaf109b053967e67cf17b934e38d932eaa6f251c90ce SHA1: a88c2e55371f78ac2d8b0a1b9e9556b3a37dd8b4 MD5sum: fade50300605297a19cdb70dcd43a868 Description: Haskell 98 Comonad transformers A comonad also known as a cotriple is the categorical dual of a monad. This package provides transformers of comonads. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-comonad-transformers-doc Source: haskell-comonad-transformers Version: 2.1.1.1-1 Installed-Size: 281 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-comonad-doc, libghc-contravariant-doc, libghc-distributive-doc, libghc-semigroupoids-doc, libghc-semigroups-doc, libghc-transformers-doc, libghc-comonad-transformers-dev Size: 44194 SHA256: bb4ef6b909981ad3785709b40966d3bf3cdc614ae4ee7d7b988b65f3ee7bc983 SHA1: 1a2182d767ac35571059b3432c58e7024e40a1fc MD5sum: c740f6703e2a0ee961a5875adc9eeaa9 Description: Haskell 98 Comonad transformers; documentation A comonad also known as a cotriple is the categorical dual of a monad. This package provides transformers of comonads. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/comonad-transformers Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-comonad-transformers/libghc-comonad-transformers-doc_2.1.1.1-1_all.deb Package: libghc-comonad-transformers-prof Source: haskell-comonad-transformers Version: 2.1.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 769 Depends: libghc-comonad-transformers-dev (= 2.1.1.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-comonad-prof-1.1.1.5-6e6e5, libghc-containers-prof-0.4.2.1-7c545, libghc-contravariant-prof-0.2.0.2-d421c, libghc-distributive-prof-0.2.2-34503, libghc-semigroupoids-prof-1.3.1.2-c6021, libghc-semigroups-prof-0.8.3.2-01002, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-comonad-transformers-prof-2.1.1.1-b7523 Homepage: http://hackage.haskell.org/package/comonad-transformers Priority: extra Section: haskell Filename: pool/main/h/haskell-comonad-transformers/libghc-comonad-transformers-prof_2.1.1.1-1_armhf.deb Size: 147932 SHA256: f9497d9cc49e9b7afdd2f875229cddda217511ed59861db6d0f55539681a3ed5 SHA1: 4eede951aaca3d12d32104d4b8bc1c12e6de314d MD5sum: 4b2ca6f18e6e2139c194eec082e39633 Description: Haskell 98 Comonad transformers; profiling data A comonad also known as a cotriple is the categorical dual of a monad. This package provides transformers of comonads. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-comonads-fd-dev Source: haskell-comonads-fd Version: 2.1.1.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 296 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-comonad-dev-1.1.1.5-6e6e5, libghc-comonad-transformers-dev-2.1.1.1-b7523, libghc-mtl-dev-2.1.1-87121, libghc-semigroups-dev-0.8.3.2-01002, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-comonads-fd-doc, libghc-comonads-fd-prof Provides: libghc-comonads-fd-dev-2.1.1.2-a184c Homepage: http://hackage.haskell.org/package/comonads-fd Priority: extra Section: haskell Filename: pool/main/h/haskell-comonads-fd/libghc-comonads-fd-dev_2.1.1.2-1_armhf.deb Size: 44558 SHA256: 732d30c374a3cd7057d624239607f7e27e60b5899819bc8528cf92d05c7876f3 SHA1: b7fdf10d85bbed2090f5f9632ef40a4c9fe02c90 MD5sum: 5c4c45d7708ab3bd30e885e120867062 Description: Comonad transformers using functional dependencies This package provides transformers of comonads using functional dependencies. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-comonads-fd-doc Source: haskell-comonads-fd Version: 2.1.1.2-1 Installed-Size: 217 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-comonad-doc, libghc-comonad-transformers-doc, libghc-distributive-doc, libghc-semigroupoids-doc, libghc-semigroups-doc, libghc-transformers-doc, libghc-comonads-fd-dev Size: 38030 SHA256: 8a0e29e134808ebb6f39621c598bd8a7e68a5bd3f6838fd656250be59391e435 SHA1: 99c20b36028b381311a3f2f04caa0847cf73161b MD5sum: cd792755ae7ad02fed3703066937d288 Description: Comonad transformers using functional dependencies; documentation This package provides transformers of comonads using functional dependencies. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/comonads-fd Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-comonads-fd/libghc-comonads-fd-doc_2.1.1.2-1_all.deb Package: libghc-comonads-fd-prof Source: haskell-comonads-fd Version: 2.1.1.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 289 Depends: libghc-comonads-fd-dev (= 2.1.1.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-comonad-prof-1.1.1.5-6e6e5, libghc-comonad-transformers-prof-2.1.1.1-b7523, libghc-mtl-prof-2.1.1-87121, libghc-semigroups-prof-0.8.3.2-01002, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-comonads-fd-prof-2.1.1.2-a184c Homepage: http://hackage.haskell.org/package/comonads-fd Priority: extra Section: haskell Filename: pool/main/h/haskell-comonads-fd/libghc-comonads-fd-prof_2.1.1.2-1_armhf.deb Size: 49110 SHA256: 14a0ef91dfbae4acc968cdd4ae05c526e2bda263bb26a226e7f0d390c574fce7 SHA1: ea0fb342dff3f3ada1451efb5815bc3f2333cda4 MD5sum: 5acaf31910feebfb8f36d6fbb8ac073e Description: Comonad transformers using functional dependencies; prof data This package provides transformers of comonads using functional dependencies. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-conduit-dev Source: haskell-conduit Version: 0.4.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1310 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-lifted-base-dev-0.1.1-863d2, libghc-monad-control-dev-0.3.1.3-371c1, libghc-resourcet-dev-0.3.2.1-e4216, libghc-text-dev-0.11.2.0-05a46, libghc-transformers-dev-0.3.0.0-e8222, libghc-transformers-base-dev-0.4.1-9d46f, libghc-void-dev-0.5.5.1-172a3, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-conduit-doc, libghc-conduit-prof Provides: libghc-conduit-dev-0.4.2-2f3e1 Homepage: http://hackage.haskell.org/package/haskell-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-conduit/libghc-conduit-dev_0.4.2-2_armhf.deb Size: 296468 SHA256: 40df74bf96bc4e8bd42a004d6a6338667f0870f1bc38a52709e01d69b7ddf51a SHA1: 48cdadaccc908e2df051e6c65ab0c61d72adc4ff MD5sum: bafb993f2acee377558edf326a5a61bd Description: streaming data processing library Conduits are an approach to the streaming data problem. It is meant as an alternative to enumerators/iterators, hoping to address the same issues with different trade-offs based on real-world experience with enumerators. For more information, see http://www.yesodweb.com/book/conduit. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-conduit-doc Source: haskell-conduit Version: 0.4.2-2 Installed-Size: 757 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monad-control-doc, libghc-resourcet-doc, libghc-text-doc, libghc-transformers-base-doc, libghc-transformers-doc, libghc-void-doc, libghc-conduit-dev Size: 96510 SHA256: 7b3bf14b7148e7e8552107d6a705b8356c510d34a953f105f4f03e83843042e7 SHA1: 3ff79b638ed0c533c44eb8a4f70b6d47ef05c0e5 MD5sum: 1643164cea1804df1e0d27e326dabad0 Description: streaming data processing library; documentation Conduits are an approach to the streaming data problem. It is meant as an alternative to enumerators/iterators, hoping to address the same issues with different trade-offs based on real-world experience with enumerators. For more information, see http://www.yesodweb.com/book/conduit. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-conduit Section: doc Priority: extra Filename: pool/main/h/haskell-conduit/libghc-conduit-doc_0.4.2-2_all.deb Package: libghc-conduit-prof Source: haskell-conduit Version: 0.4.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1294 Depends: libghc-conduit-dev (= 0.4.2-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-lifted-base-prof-0.1.1-863d2, libghc-monad-control-prof-0.3.1.3-371c1, libghc-resourcet-prof-0.3.2.1-e4216, libghc-text-prof-0.11.2.0-05a46, libghc-transformers-prof-0.3.0.0-e8222, libghc-transformers-base-prof-0.4.1-9d46f, libghc-void-prof-0.5.5.1-172a3 Provides: libghc-conduit-prof-0.4.2-2f3e1 Homepage: http://hackage.haskell.org/package/haskell-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-conduit/libghc-conduit-prof_0.4.2-2_armhf.deb Size: 302534 SHA256: f7d74687d6bb7a2f7d4ea965e7fa6be48f9419d79b0e09491b7cf72af39be7bc SHA1: ce6b0eba2ff647c9e30dc998bfcd23b687b931b6 MD5sum: 6277569a1e3947efe879324938e5e436 Description: streaming data processing library; profiling libraries Conduits are an approach to the streaming data problem. It is meant as an alternative to enumerators/iterators, hoping to address the same issues with different trade-offs based on real-world experience with enumerators. For more information, see http://www.yesodweb.com/book/conduit. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-configfile-dev Source: haskell-configfile Version: 1.0.6-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1347 Depends: libghc-missingh-dev-1.1.0.3-694df, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-mtl-dev-2.1.1-87121, libghc-parsec-dev-3.1.2-aa52f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-configfile-doc, libghc-configfile-prof Provides: libghc-configfile-dev-1.0.6-978b0 Homepage: http://software.complete.org/configfile Priority: extra Section: haskell Filename: pool/main/h/haskell-configfile/libghc-configfile-dev_1.0.6-4_armhf.deb Size: 300790 SHA256: e53ea33a35ec32ae382ec87bac2cae1d6a864985fd07d5157c281a75e8adfaaa SHA1: a728f55da3b5cd229e247b021e12f17a6190ce7d MD5sum: 8ac056c5c43e6ff267b57238f99a7ece Description: Parser and writer for sectioned config files in Haskell This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The ConfigFile module works with configuration files in a standard format that is easy for the user to edit, easy for the programmer to work with, yet remains powerful and flexible. It is inspired by, and compatible with, Python's ConfigParser module. It uses files that resemble Windows .INI-style files, but with numerous improvements. . ConfigFile provides simple calls to both read and write config files. It's possible to make a config file parsable by this module, the Unix shell, and make. Package: libghc-configfile-doc Source: haskell-configfile Version: 1.0.6-4 Installed-Size: 379 Maintainer: Debian Haskell Group Architecture: all Replaces: configfile-doc (<< 1.0.6-2) Depends: haddock-interface-19 Recommends: ghc-doc, libghc-missingh-doc, libghc-mtl-doc, libghc-parsec3-doc, libghc-configfile-dev Breaks: configfile-doc (<< 1.0.6-2) Size: 76716 SHA256: cdca864011db435bae6e1681286931a95d9deaeedd7d5e49ccab3faa0fd1debf SHA1: b65d9a52b56310f0cb247b2f23e12e68d5df9ee0 MD5sum: 8f41b5fd1af24c9282d5a50a2f3d93c5 Description: Parser and writer for sectioned config files in Haskell; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The ConfigFile module works with configuration files in a standard format that is easy for the user to edit, easy for the programmer to work with, yet remains powerful and flexible. It is inspired by, and compatible with, Python's ConfigParser module. It uses files that resemble Windows .INI-style files, but with numerous improvements. . ConfigFile provides simple calls to both read and write config files. It's possible to make a config file parsable by this module, the Unix shell, and make. Homepage: http://software.complete.org/configfile Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-configfile/libghc-configfile-doc_1.0.6-4_all.deb Package: libghc-configfile-prof Source: haskell-configfile Version: 1.0.6-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1142 Depends: libghc-configfile-dev (= 1.0.6-4), libghc-missingh-prof-1.1.0.3-694df, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-mtl-prof-2.1.1-87121, libghc-parsec-prof-3.1.2-aa52f Provides: libghc-configfile-prof-1.0.6-978b0 Homepage: http://software.complete.org/configfile Priority: extra Section: haskell Filename: pool/main/h/haskell-configfile/libghc-configfile-prof_1.0.6-4_armhf.deb Size: 255914 SHA256: 00168cee48f6fe16b362384f4fcf32f9f9eb00f630c87ad962d20b9bf096ce7f SHA1: 8bb7d78980e5a4d1f89a52da5152aa52ec276169 MD5sum: 332c71a3ba9c5344c65c9d28dce44ad5 Description: Parser and writer for sectioned config files in Haskell; profiling libraries This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The ConfigFile module works with configuration files in a standard format that is easy for the user to edit, easy for the programmer to work with, yet remains powerful and flexible. It is inspired by, and compatible with, Python's ConfigParser module. It uses files that resemble Windows .INI-style files, but with numerous improvements. . ConfigFile provides simple calls to both read and write config files. It's possible to make a config file parsable by this module, the Unix shell, and make. . This package contains configfile compiled for profiling. Package: libghc-configurator-dev Source: haskell-configurator Version: 0.2.0.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1925 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-directory-dev-1.1.0.2-57272, libghc-hashable-dev-1.1.2.3-ea6fe, libghc-text-dev-0.11.2.0-05a46, libghc-unix-compat-dev-0.3.0.1-4cc6c, libghc-unordered-containers-dev-0.2.1.0-eabe0 Suggests: libghc-configurator-doc, libghc-configurator-prof Provides: libghc-configurator-dev-0.2.0.0-9023d Homepage: http://github.com/bos/configurator Priority: extra Section: haskell Filename: pool/main/h/haskell-configurator/libghc-configurator-dev_0.2.0.0-1_armhf.deb Size: 412780 SHA256: 30b1dad29912212a7a421ccf2e5a13d2680d367f70ae0f78b55e38b08b511c16 SHA1: 704df7474fa23780290366646ee13402c0e8e274 MD5sum: a18f6d1aa7eed9b082fe4ad0f4e33a6a Description: dynamic config file reloading A configuration management library for programs and daemons. . Features include: . * Automatic, dynamic reloading in response to modifications to configuration files. . * A simple, but flexible, configuration language, supporting several of the most commonly needed types of data, along with interpolation of strings from the configuration or the system environment (e.g. $(HOME)). . * Subscription-based notification of changes to configuration properties. . * An import directive allows the configuration of a complex application to be split across several smaller files, or common configuration data to be shared across several applications. . This package contains the normal library files. Package: libghc-configurator-doc Source: haskell-configurator Version: 0.2.0.0-1 Installed-Size: 387 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hashable-doc, libghc-text-doc, libghc-unordered-containers-doc, libghc-configurator-dev Size: 64310 SHA256: be4b13f7365b3cda011a3c2410113db61b539696dd7aabe28daad467d64a01e0 SHA1: 30e0bdaa3125b825d7fff60e2816b85b1b0d55ed MD5sum: b0ddd7bd4654a44e78e22da4094eff73 Description: dynamic config file reloading; documentation A configuration management library for programs and daemons. . Features include: . * Automatic, dynamic reloading in response to modifications to configuration files. . * A simple, but flexible, configuration language, supporting several of the most commonly needed types of data, along with interpolation of strings from the configuration or the system environment (e.g. $(HOME)). . * Subscription-based notification of changes to configuration properties. . * An import directive allows the configuration of a complex application to be split across several smaller files, or common configuration data to be shared across several applications. . This package contains the documentation files. Homepage: http://github.com/bos/configurator Section: doc Priority: extra Filename: pool/main/h/haskell-configurator/libghc-configurator-doc_0.2.0.0-1_all.deb Package: libghc-configurator-prof Source: haskell-configurator Version: 0.2.0.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1732 Depends: libghc-configurator-dev (= 0.2.0.0-1), libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-directory-prof-1.1.0.2-57272, libghc-hashable-prof-1.1.2.3-ea6fe, libghc-text-prof-0.11.2.0-05a46, libghc-unix-compat-prof-0.3.0.1-4cc6c, libghc-unordered-containers-prof-0.2.1.0-eabe0 Provides: libghc-configurator-prof-0.2.0.0-9023d Homepage: http://github.com/bos/configurator Priority: extra Section: haskell Filename: pool/main/h/haskell-configurator/libghc-configurator-prof_0.2.0.0-1_armhf.deb Size: 371146 SHA256: 8a04e808767fa641fb8bbd05e9e61fdc6224fc754d5bed980fa973f37ed841bd SHA1: 47cd0aa63b593341bc5b397d5b9de88c7bcf3a52 MD5sum: 46935a65675e4515d3362e59c852717e Description: dynamic config file reloading; profiling libraries A configuration management library for programs and daemons. . Features include: . * Automatic, dynamic reloading in response to modifications to configuration files. . * A simple, but flexible, configuration language, supporting several of the most commonly needed types of data, along with interpolation of strings from the configuration or the system environment (e.g. $(HOME)). . * Subscription-based notification of changes to configuration properties. . * An import directive allows the configuration of a complex application to be split across several smaller files, or common configuration data to be shared across several applications. . This package contains the libraries compiled with profiling enabled. Package: libghc-contravariant-dev Source: haskell-contravariant Version: 0.2.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 165 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-contravariant-doc, libghc-contravariant-prof Provides: libghc-contravariant-dev-0.2.0.2-d421c Homepage: http://hackage.haskell.org/package/contravariant Priority: extra Section: haskell Filename: pool/main/h/haskell-contravariant/libghc-contravariant-dev_0.2.0.2-1_armhf.deb Size: 18080 SHA256: 36c6e0614d11ab23d8226418dd41c2af7b98c5dd09db0a5eb80589d62528da42 SHA1: 9b9e0c3e8d675b83b4a918d442f539e8d83548bb MD5sum: c87e15dc19b663ecc73b36924faa3f44 Description: Haskell 98 contravariant functors Haskell 98 contravariant functors. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-contravariant-doc Source: haskell-contravariant Version: 0.2.0.2-1 Installed-Size: 152 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-transformers-doc, libghc-contravariant-dev Size: 33232 SHA256: 7917d97b59c74464931c3ccec7ca7664e2079b4eaa5a3ce85ca11b37d7e8d353 SHA1: 9313e63def133de98756f0e7a98314b8c1015c02 MD5sum: 10910ea33f74d71f0461d03f8b5aaea4 Description: Haskell 98 contravariant functors; documentation Haskell 98 contravariant functors. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/contravariant Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-contravariant/libghc-contravariant-doc_0.2.0.2-1_all.deb Package: libghc-contravariant-prof Source: haskell-contravariant Version: 0.2.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 144 Depends: libghc-contravariant-dev (= 0.2.0.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-contravariant-prof-0.2.0.2-d421c Homepage: http://hackage.haskell.org/package/contravariant Priority: extra Section: haskell Filename: pool/main/h/haskell-contravariant/libghc-contravariant-prof_0.2.0.2-1_armhf.deb Size: 20692 SHA256: 163e072484861e218214eb41bc0d3473767dd889839d06b096881a78a5c47fdc SHA1: 65ecea915f39c9eac0f2542a49d3424db35cc341 MD5sum: 6ed6e2fc2bcd96a9fe6c32dc40b1bf32 Description: Haskell 98 contravariant functors; profiling data Haskell 98 contravariant functors. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-control-monad-attempt-dev Source: haskell-control-monad-attempt Version: 0.3.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 204 Depends: libghc-attempt-dev-0.3.1.1-7dc4c, libghc-base-dev-4.3.1.0-97817, libghc-transformers-dev-0.2.2.0-d386f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-control-monad-attempt-doc, libghc-control-monad-attempt-prof Provides: libghc-control-monad-attempt-dev-0.3.0-dd3f8 Homepage: http://hackage.haskell.org/package/control-monad-attempt Priority: extra Section: haskell Filename: pool/main/h/haskell-control-monad-attempt/libghc-control-monad-attempt-dev_0.3.0-1_armhf.deb Size: 29250 SHA256: 95d8f00e20e141d22b9a74f112beebd180e266be6b18b8a3cb6350a9190f3d58 SHA1: ce784351abc41bf25661db875153ad2182678c74 MD5sum: dacc07625451f19dd3c5b3a6b2b7a721 Description: monad transformer for attempt This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides a monad transformer for the attempt monad, which allows the reporting of errors using extensible exceptions. Package: libghc-control-monad-attempt-doc Source: haskell-control-monad-attempt Version: 0.3.0-1 Installed-Size: 168 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-16 Recommends: ghc-doc, libghc-attempt-doc, libghc-transformers-doc, libghc-control-monad-attempt-dev Size: 30960 SHA256: 4b3847308c7127e677a1c8d597d4aa52bd2cbd117d4550d65daa8405fac08047 SHA1: c7b72473fe1d356325595420ba17d3763e9e1065 MD5sum: 9ff83afb7daf661697bf706ac1e69bbb Description: monad transformer for attempt; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides a monad transformer for the attempt monad, which allows the reporting of errors using extensible exceptions. Homepage: http://hackage.haskell.org/package/control-monad-attempt Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-control-monad-attempt/libghc-control-monad-attempt-doc_0.3.0-1_all.deb Package: libghc-control-monad-attempt-prof Source: haskell-control-monad-attempt Version: 0.3.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 169 Depends: libghc-control-monad-attempt-dev (= 0.3.0-1), libghc-attempt-prof-0.3.1.1-7dc4c, libghc-base-prof-4.3.1.0-97817, libghc-transformers-prof-0.2.2.0-d386f Provides: libghc-control-monad-attempt-prof-0.3.0-dd3f8 Homepage: http://hackage.haskell.org/package/control-monad-attempt Priority: extra Section: haskell Filename: pool/main/h/haskell-control-monad-attempt/libghc-control-monad-attempt-prof_0.3.0-1_armhf.deb Size: 26104 SHA256: 7f7f7c53c555af87a67b25c35536becf22b094065dd41c9544381d3341ce7a83 SHA1: ddca7e0cd0eb8958d6f1c9693ffca25552cbd9ab MD5sum: 7db335d244266a070eea88bf16fcadf6 Description: monad transformer for attempt; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It provides a monad transformer for the attempt monad, which allows the reporting of errors using extensible exceptions. Package: libghc-convertible-dev Source: haskell-convertible Version: 1.0.11.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 5359 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-mtl-dev-2.1.1-87121, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-text-dev-0.11.2.0-05a46, libghc-time-dev-1.4-ec63b, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-convertible-doc, libghc-convertible-prof Provides: libghc-convertible-dev-1.0.11.0-a8d67 Homepage: http://hackage.haskell.org/cgi-bin/hackage-scripts/package/convertible Priority: extra Section: haskell Filename: pool/main/h/haskell-convertible/libghc-convertible-dev_1.0.11.0-3_armhf.deb Size: 710284 SHA256: 0cca1160c9459be1b3fb8dd9a551f348cb0e70e18e803fa95285c0856ddd2235 SHA1: ca4f52ba0ec3eb250f0fa09cde94c5f8fac504e0 MD5sum: d7c9f63f760d7bc8cb355713a99369c4 Description: Typeclasses and instances for converting between types, GHC package convertible provides a typeclass with a single function that is designed to help convert between different types: numeric values, dates and times, and the like. The conversions perform bounds checking and return a pure Either value. This means that you need not remember which specific function performs the conversion you desire. . Also included in the package are optional instances that provide conversion for various numeric and time types, as well as utilities for writing your own instances. . Finally, there is a function that will raise an exception on bounds-checking violation, or return a bare value otherwise, implemented in terms of the safer function described above. . Convertible is also used by HDBC 2.0 for handling marshalling of data to/from databases. . Convertible is backed by an extensive test suite and passes tests on GHC and Hugs. Package: libghc-convertible-doc Source: haskell-convertible Version: 1.0.11.0-3 Installed-Size: 949 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-convertible-doc (<< 1.0.9-2) Provides: haskell-convertible-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-text-doc, libghc-convertible-dev Conflicts: haskell-convertible-doc (<< 1.0.9-2) Size: 73818 SHA256: 7f3476e376f2b9329068fbd5889e102332d1939e646c80201fe566709b617ed8 SHA1: 958d676f67f9da18f0236fb5d0654960a0e518c6 MD5sum: 2042aecbb633cc47aa04466d31ced007 Description: Typeclasses and instances for converting between types, documentation convertible provides a typeclass with a single function that is designed to help convert between different types: numeric values, dates and times, and the like. The conversions perform bounds checking and return a pure Either value. This means that you need not remember which specific function performs the conversion you desire. . Also included in the package are optional instances that provide conversion for various numeric and time types, as well as utilities for writing your own instances. . Finally, there is a function that will raise an exception on bounds-checking violation, or return a bare value otherwise, implemented in terms of the safer function described above. . Convertible is also used by HDBC 2.0 for handling marshalling of data to/from databases. . Convertible is backed by an extensive test suite and passes tests on GHC and Hugs. . This package provides documentation on the Convertible API. Homepage: http://hackage.haskell.org/cgi-bin/hackage-scripts/package/convertible Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-convertible/libghc-convertible-doc_1.0.11.0-3_all.deb Package: libghc-convertible-prof Source: haskell-convertible Version: 1.0.11.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4501 Depends: libghc-convertible-dev (= 1.0.11.0-3), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-mtl-prof-2.1.1-87121, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-text-prof-0.11.2.0-05a46, libghc-time-prof-1.4-ec63b Provides: libghc-convertible-prof-1.0.11.0-a8d67 Homepage: http://hackage.haskell.org/cgi-bin/hackage-scripts/package/convertible Priority: extra Section: haskell Filename: pool/main/h/haskell-convertible/libghc-convertible-prof_1.0.11.0-3_armhf.deb Size: 638612 SHA256: 8f6a69fd030e23972e4f82128fb8173d2730052a8567b8d4ba905085572cfab7 SHA1: 08963938b100e53c3bbc7fa4f037970bed830d9f MD5sum: ee3ee6b5b4b1cf1b6723861b8b8843c7 Description: Typeclasses and instances for converting between types, GHC profiling package convertible provides a typeclass with a single function that is designed to help convert between different types: numeric values, dates and times, and the like. The conversions perform bounds checking and return a pure Either value. This means that you need not remember which specific function performs the conversion you desire. . Also included in the package are optional instances that provide conversion for various numeric and time types, as well as utilities for writing your own instances. . Finally, there is a function that will raise an exception on bounds-checking violation, or return a bare value otherwise, implemented in terms of the safer function described above. . Convertible is also used by HDBC 2.0 for handling marshalling of data to/from databases. . Convertible is backed by an extensive test suite and passes tests on GHC and Hugs. Package: libghc-convertible-text-doc Source: haskell-convertible-text Version: 0.3.0.10-1 Installed-Size: 1264 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-16 Recommends: ghc-doc, libghc-attempt-doc, libghc-text-doc, libghc-convertible-text-dev Size: 73482 SHA256: 2ec99df30259d059c198144df5f1682803f962b6c2fbdfd15b0ca246cf44063a SHA1: a04a73b99a43e15d3f2e59bbd0862cb11618152d MD5sum: 992a5bc8d4fa705835a32c78083cb699 Description: typeclasses and instances for converting between types; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This is a port of John Goerzen's convertible package, intended to distinguish between conversions which are guaranteed to succeed and those which might fail. It uses the attempt package, which in turn uses the failure package, so that this package is fully compatible with the failure framework. . This package currently contains all of the typeclasses and instances for convertible. However, as these features are ported to the convertible package, this package will be left with only the instances for converting to and from text types (String, ByteString (lazy and strict) and Text (lazy and strict). . Be aware that conversions to and from bytestrings assume UTF-8 encoding. If a different encoding is desired, you can use a newtype wrapper and declare an instance of ConvertAttempt or ConvertSuccess on it. . The main module to import is Data.Convertible.Text. Homepage: http://hackage.haskell.org/package/convertible-text Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-convertible-text/libghc-convertible-text-doc_0.3.0.10-1_all.deb Package: libghc-cookie-dev Source: haskell-cookie Version: 0.4.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 358 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-data-default-dev-0.4.0-329c5, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-text-dev-0.11.2.0-05a46, libghc-time-dev-1.4-ec63b, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-cookie-doc, libghc-cookie-prof Provides: libghc-cookie-dev-0.4.0-5cff5 Homepage: http://hackage.haskell.org/package/cookie Priority: extra Section: haskell Filename: pool/main/h/haskell-cookie/libghc-cookie-dev_0.4.0-1_armhf.deb Size: 77240 SHA256: fbb849c9ddaf71cf044e02386a6ebf172dd06230d5f4f391ef9bd5c2aa8f94fa SHA1: 916447bc07d2d72581bbd7623e3ecffd01b5e36d MD5sum: 509ba528f8b77f6c6db9da8cd659bb7f Description: HTTP cookie-parsing and rendering library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains Web.Cookie. Package: libghc-cookie-doc Source: haskell-cookie Version: 0.4.0-1 Installed-Size: 149 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-builder-doc, libghc-data-default-doc, libghc-text-doc, libghc-cookie-dev Size: 33120 SHA256: f1fb886ac1d4bcb113f62f0e533d108f0ae6db4f25be584e2199edf0117f4d9f SHA1: 7199564271968e7ac415e0155a3357ad6deb65b7 MD5sum: d9534878b33068d4738afe8843fceaf9 Description: HTTP cookie-parsing and rendering library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains Web.Cookie. Homepage: http://hackage.haskell.org/package/cookie Tag: devel::doc, devel::lang:haskell, devel::web, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-cookie/libghc-cookie-doc_0.4.0-1_all.deb Package: libghc-cookie-prof Source: haskell-cookie Version: 0.4.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 307 Depends: libghc-cookie-dev (= 0.4.0-1), libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-data-default-prof-0.4.0-329c5, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-text-prof-0.11.2.0-05a46, libghc-time-prof-1.4-ec63b Provides: libghc-cookie-prof-0.4.0-5cff5 Homepage: http://hackage.haskell.org/package/cookie Priority: extra Section: haskell Filename: pool/main/h/haskell-cookie/libghc-cookie-prof_0.4.0-1_armhf.deb Size: 66750 SHA256: 3432a9275c355a9f985dfe2661c8d10b71caa2597392fb3a43ea519fc4ed5027 SHA1: 41e1e95d9cec2b0d307766c61090e060a89db2ed MD5sum: 988182272cd6ba0a6f843ce156d25a2f Description: HTTP cookie-parsing and rendering library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It contains Web.Cookie. Package: libghc-cpphs-dev Source: cpphs Version: 1.13.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1482 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-directory-dev-1.1.0.2-57272, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-cpphs-doc, libghc-cpphs-prof Provides: libghc-cpphs-dev-1.13.3-58bde Homepage: http://hackage.haskell.org/package/cpphs Priority: extra Section: haskell Filename: pool/main/c/cpphs/libghc-cpphs-dev_1.13.3-2_armhf.deb Size: 341312 SHA256: 8af576c0d1e016f1a63d473c158227de1f1225a49d6ec409b10aabf5f660224b SHA1: 2fd9da758ddbdc394fa2bf688196ab0f167b2494 MD5sum: 86e97c57104ba75d87d692c39d0a0375 Description: cpphs-library for haskell This package provides some of the features of cpphs as a haskell library. Package: libghc-cpphs-doc Source: cpphs Version: 1.13.3-2 Installed-Size: 564 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-cpphs-doc (<< 1.11-2) Provides: haskell-cpphs-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cpphs-dev Conflicts: haskell-cpphs-doc (<< 1.11-2) Size: 72254 SHA256: 32212128cdf8e7521be0d88b36435d3441a2b5a73272d2fa255e46968bc23c68 SHA1: 4ed9d2d07054bd3a54f73f3ce5d93275ddb8457c MD5sum: 9d49b18e369e92fde2d2cbcb50bccfab Description: cpphs-library for haskell; documentation This package provides some of the features of cpphs as a haskell library. . This package contains the API documentation for this library Homepage: http://hackage.haskell.org/package/cpphs Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/c/cpphs/libghc-cpphs-doc_1.13.3-2_all.deb Package: libghc-cpphs-prof Source: cpphs Version: 1.13.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1383 Depends: libghc-cpphs-dev (= 1.13.3-2), libghc-base-prof-4.5.0.0-d93df, libghc-directory-prof-1.1.0.2-57272, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba Provides: libghc-cpphs-prof-1.13.3-58bde Homepage: http://hackage.haskell.org/package/cpphs Priority: extra Section: haskell Filename: pool/main/c/cpphs/libghc-cpphs-prof_1.13.3-2_armhf.deb Size: 320568 SHA256: 0b52f05aca7adf4d64aa80f28c923fb92cb1bd0d9774495bd1481b097bb246fc SHA1: 4b4995a78d07e20a773a25e870ca14a39367d4c9 MD5sum: 461ebffea02b91d25595aa8f88bd7639 Description: cpphs-library for haskell; profiling libraries This package provides some of the features of cpphs as a haskell library. Package: libghc-cprng-aes-doc Source: haskell-cprng-aes Version: 0.2.3-3 Installed-Size: 125 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-crypto-api-doc, libghc-random-doc, libghc-cprng-aes-dev Size: 31988 SHA256: 10a7d6c2dc703e82a44e1cc4c93a18f8e411c03ee2a97c9c7c912818c9c6ed7f SHA1: afc89f2136e760b822cfc5fe6d3427ae0e948409 MD5sum: 04a0612b5e949acb983cc8a5867baf73 Description: pseudo-random number generator using AES in counter mode; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains a simple crypto pseudo-random-number-generator with really good randomness property. . Using ent, a randomness property maker on one 1Mb sample: Entropy = 7.999837 bits per byte. Optimum compression would reduce the size of this 1048576 byte file by 0 percent. Chi square distribution for 1048576 samples is 237.02 Arithmetic mean value of data bytes is 127.3422 (127.5 = random) Monte Carlo value for Pi is 3.143589568 (error 0.06 percent). . Compared to urandom with the same sampling: Entropy = 7.999831 bits per byte. Optimum compression would reduce the size of this 1048576 byte file by 0 percent. Chi square distribution for 1048576 samples is 246.63 Arithmetic mean value of data bytes is 127.6347 (127.5 = random). Monte Carlo value for Pi is 3.132465868 (error 0.29 percent). Homepage: http://hackage.haskell.org/package/cprng-aes Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-cprng-aes/libghc-cprng-aes-doc_0.2.3-3_all.deb Package: libghc-cpu-dev Source: haskell-cpu Version: 0.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 184 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-cpu-doc, libghc-cpu-prof Provides: libghc-cpu-dev-0.1.1-38f81 Homepage: http://hackage.haskell.org/package/cpu Priority: extra Section: haskell Filename: pool/main/h/haskell-cpu/libghc-cpu-dev_0.1.1-1_armhf.deb Size: 30802 SHA256: f453cde20043a2b4a55a8f72d0bd4dca33a84c5ece424335e10495548d451824 SHA1: 0959a1a69edfbd0a0a302b7ed1914d174f00c88b MD5sum: a547501b1d2d5d98791ec97081555ce4 Description: low-level routines to get basic properties of the platform This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains low-level routines to get basic properties of the platform, such as endianness and architecture. Package: libghc-cpu-doc Source: haskell-cpu Version: 0.1.1-1 Installed-Size: 145 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cpu-dev Size: 32730 SHA256: 3faf1bf89bf4bacdc4dcbbdefe7b94dd00ce4cbb2f132eff50f7e316bfb61978 SHA1: 0ca6f86c8a48d9c4926d5cf5ebd50fd5048c0767 MD5sum: 1f5882a4395361c6e164687eaefa788b Description: low-level routines to get basic properties of the platform; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains low-level routines to get basic properties of the platform, such as endianness and architecture. Homepage: http://hackage.haskell.org/package/cpu Section: doc Priority: extra Filename: pool/main/h/haskell-cpu/libghc-cpu-doc_0.1.1-1_all.deb Package: libghc-cpu-prof Source: haskell-cpu Version: 0.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 154 Depends: libghc-cpu-dev (= 0.1.1-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-cpu-prof-0.1.1-38f81 Homepage: http://hackage.haskell.org/package/cpu Priority: extra Section: haskell Filename: pool/main/h/haskell-cpu/libghc-cpu-prof_0.1.1-1_armhf.deb Size: 28262 SHA256: 9160a0fad7d85be6344359dbe436f5fd325034fccb23ff3604ba22cb5ada3c13 SHA1: 6fb6281c4215d90e1e07bb54a072ce3956eafe24 MD5sum: 48498242bd3dcbcacd900f5f9122c36b Description: low-level routines to get basic properties of the platform; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It contains low-level routines to get basic properties of the platform, such as endianness and architecture. Package: libghc-criterion-dev Source: haskell-criterion Version: 0.6.0.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4371 Depends: libghc-aeson-dev-0.6.0.2-0ddd3, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-hastache-dev-0.3.3-db034, libghc-mtl-dev-2.1.1-87121, libghc-mwc-random-dev-0.11.0.0-da9cd, libghc-parsec-dev-3.1.2-aa52f, libghc-statistics-dev-0.10.1.0-1e316, libghc-time-dev-1.4-ec63b, libghc-transformers-dev-0.3.0.0-e8222, libghc-vector-dev-0.9.1-8e782, libghc-vector-algorithms-dev-0.5.4-31462, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-criterion-doc, libghc-criterion-prof Provides: libghc-criterion-dev-0.6.0.1-93c62 Homepage: http://hackage.haskell.org/package/criterion Priority: extra Section: haskell Filename: pool/main/h/haskell-criterion/libghc-criterion-dev_0.6.0.1-3_armhf.deb Size: 937472 SHA256: adeafda5fb34780b98275c81e6aa7fb22e81197f956186b4b5b9813b1e370495 SHA1: 27ef04adf9f25becd8ff3657087623c1cef17cf2 MD5sum: 0f20358bdecaf106bd0b7fa361b316b5 Description: Robust, reliable performance measurement and analysis This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides a powerful but simple way to measure the performance of Haskell code. It provides both a framework for executing and analysing benchmarks and a set of driver functions that makes it easy to build and run benchmarks, and to analyse their results. . The fastest way to get started is to read the documentation and examples in the Criterion.Main module. Package: libghc-criterion-doc Source: haskell-criterion Version: 0.6.0.1-3 Installed-Size: 795 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hastache-doc, libghc-mtl-doc, libghc-statistics-doc, libghc-transformers-doc, libghc-vector-doc, libghc-criterion-dev Size: 162766 SHA256: 251003c0cb786be56aa635dd210e84425a5508c242f75ba65a22e960591fe4bb SHA1: 9ef3fca46de018eb4f31036b98f793ff795d4c0a MD5sum: c3a485a52934db6b02af148e1be4f2a9 Description: Robust, reliable performance measurement and analysis; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides a powerful but simple way to measure the performance of Haskell code. It provides both a framework for executing and analysing benchmarks and a set of driver functions that makes it easy to build and run benchmarks, and to analyse their results. . The fastest way to get started is to read the documentation and examples in the Criterion.Main module. Homepage: http://hackage.haskell.org/package/criterion Tag: devel::doc, devel::lang:haskell, devel::testing-qa, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-criterion/libghc-criterion-doc_0.6.0.1-3_all.deb Package: libghc-criterion-prof Source: haskell-criterion Version: 0.6.0.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3644 Depends: libghc-criterion-dev (= 0.6.0.1-3), libghc-aeson-prof-0.6.0.2-0ddd3, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-deepseq-prof-1.3.0.0-6c19e, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-hastache-prof-0.3.3-db034, libghc-mtl-prof-2.1.1-87121, libghc-mwc-random-prof-0.11.0.0-da9cd, libghc-parsec-prof-3.1.2-aa52f, libghc-statistics-prof-0.10.1.0-1e316, libghc-time-prof-1.4-ec63b, libghc-transformers-prof-0.3.0.0-e8222, libghc-vector-prof-0.9.1-8e782, libghc-vector-algorithms-prof-0.5.4-31462 Provides: libghc-criterion-prof-0.6.0.1-93c62 Homepage: http://hackage.haskell.org/package/criterion Priority: extra Section: haskell Filename: pool/main/h/haskell-criterion/libghc-criterion-prof_0.6.0.1-3_armhf.deb Size: 791394 SHA256: 1bc54b8f39dc909f027a280f3fe7a52ae2b3ad11539cff06db3a56d7014a119b SHA1: fa68e220ff8d3c910993816a0b1ebd83d5b83ee2 MD5sum: bd87657b82aece31abe2473549117840 Description: Robust, reliable performance measurement and analysis; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides a powerful but simple way to measure the performance of Haskell code. It provides both a framework for executing and analysing benchmarks and a set of driver functions that makes it easy to build and run benchmarks, and to analyse their results. . The fastest way to get started is to read the documentation and examples in the Criterion.Main module. Package: libghc-crypto-api-dev Source: haskell-crypto-api (0.10.2-1) Version: 0.10.2-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 9004 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-cereal-dev-0.3.5.2-b01d7, libghc-entropy-dev-0.2.1-177e4, libghc-largeword-dev-1.0.1-e5759, libghc-tagged-dev-0.4.2.1-27a41, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-crypto-api-doc, libghc-crypto-api-prof Provides: libghc-crypto-api-dev-0.10.2-7a3b7 Homepage: http://hackage.haskell.org/package/crypto-api Priority: extra Section: haskell Filename: pool/main/h/haskell-crypto-api/libghc-crypto-api-dev_0.10.2-1+b1_armhf.deb Size: 1830192 SHA256: 3f882380ff21a47fd8880e1422dac79763f511a319edc2dc7077a48285d86868 SHA1: ad344744c8b5bd732de4eca2a4034e95f12ec9ab MD5sum: ea4d673730cdd89b628770df9426bbed Description: generic interface for cryptographic operations This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . A generic interface for cryptographic operations, platform independent quality RNG, property tests and known-answer tests (KATs) for common algorithms, and a basic benchmark infrastructure. Maintainers of hash and cipher implementations are encouraged to add instances for the classes defined in Crypto.Classes. Crypto users are similarly encouraged to use the interfaces defined in the Classes module. Any concepts or functions of general use to more than one cryptographic algorithm (ex: padding) is within scope of this package. Package: libghc-crypto-api-doc Source: haskell-crypto-api Version: 0.10.2-1 Installed-Size: 1839 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cereal-doc, libghc-tagged-doc, libghc-crypto-api-dev Size: 124834 SHA256: 5f78dcfdd586ab524c70d249d2c176e576eee2dc287c1c46c7ae952eed091dc0 SHA1: 110152fc19eb6bb69ee55bce97b6e80417c717d0 MD5sum: 1a691fc8e10077ac29bbbabc44a47a75 Description: generic interface for cryptographic operations; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . A generic interface for cryptographic operations, platform independent quality RNG, property tests and known-answer tests (KATs) for common algorithms, and a basic benchmark infrastructure. Maintainers of hash and cipher implementations are encouraged to add instances for the classes defined in Crypto.Classes. Crypto users are similarly encouraged to use the interfaces defined in the Classes module. Any concepts or functions of general use to more than one cryptographic algorithm (ex: padding) is within scope of this package. Homepage: http://hackage.haskell.org/package/crypto-api Tag: devel::doc, devel::lang:haskell, role::documentation, security::cryptography Section: doc Priority: extra Filename: pool/main/h/haskell-crypto-api/libghc-crypto-api-doc_0.10.2-1_all.deb Package: libghc-crypto-api-prof Source: haskell-crypto-api (0.10.2-1) Version: 0.10.2-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 7003 Depends: libghc-crypto-api-dev (= 0.10.2-1+b1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-cereal-prof-0.3.5.2-b01d7, libghc-entropy-prof-0.2.1-177e4, libghc-largeword-prof-1.0.1-e5759, libghc-tagged-prof-0.4.2.1-27a41 Provides: libghc-crypto-api-prof-0.10.2-7a3b7 Homepage: http://hackage.haskell.org/package/crypto-api Priority: extra Section: haskell Filename: pool/main/h/haskell-crypto-api/libghc-crypto-api-prof_0.10.2-1+b1_armhf.deb Size: 1521786 SHA256: 0c3bc04416d037550147930819e7c15b6045472e42caee1cc37576054bc71f0c SHA1: 7d8abdd916eb7267fc42f963f84ca53ef905159b MD5sum: 0b8c397ca963c3ded1046dd271380656 Description: generic interface for cryptographic operations; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . A generic interface for cryptographic operations, platform independent quality RNG, property tests and known-answer tests (KATs) for common algorithms, and a basic benchmark infrastructure. Maintainers of hash and cipher implementations are encouraged to add instances for the classes defined in Crypto.Classes. Crypto users are similarly encouraged to use the interfaces defined in the Classes module. Any concepts or functions of general use to more than one cryptographic algorithm (ex: padding) is within scope of this package. Package: libghc-crypto-conduit-dev Source: haskell-crypto-conduit Version: 0.3.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 380 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-cereal-dev-0.3.5.1-9f6b7, libghc-conduit-dev-0.4.2-2f3e1, libghc-crypto-api-dev-0.10.2-67d28, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-crypto-conduit-doc, libghc-crypto-conduit-prof Provides: libghc-crypto-conduit-dev-0.3.2-cb774 Homepage: http://hackage.haskell.org/package/haskell-crypto-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-crypto-conduit/libghc-crypto-conduit-dev_0.3.2-1_armhf.deb Size: 79542 SHA256: adc168dac01a74c467003d31c3f4eecd4122904fee8210631847881fe129c9c7 SHA1: 0f358ae1310c2b3805be4da074734501d4d0fafd MD5sum: 4747b7a20a2c1db8682fe6ed2d7f18d4 Description: conduit interface for cryptographic operations This package contains everything that you need to use a cryptographic package that supports the crypto-api package using conduits from the conduit package. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-crypto-conduit-doc Source: haskell-crypto-conduit Version: 0.3.2-1 Installed-Size: 186 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-conduit-doc, libghc-crypto-api-doc, libghc-transformers-doc, libghc-crypto-conduit-dev Size: 40966 SHA256: b622b986acf01d605819f98d232f91cbb3c16fed755946256a28ebe97788cdb8 SHA1: 4bf340d233cc9387f2b6713a60acc57788a1b4ff MD5sum: 60d912d57f012e470637cec77c45560f Description: conduit interface for cryptographic operations; documentation This package contains everything that you need to use a cryptographic package that supports the crypto-api package using conduits from the conduit package. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-crypto-conduit Section: doc Priority: extra Filename: pool/main/h/haskell-crypto-conduit/libghc-crypto-conduit-doc_0.3.2-1_all.deb Package: libghc-crypto-conduit-prof Source: haskell-crypto-conduit Version: 0.3.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 352 Depends: libghc-crypto-conduit-dev (= 0.3.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-cereal-prof-0.3.5.1-9f6b7, libghc-conduit-prof-0.4.2-2f3e1, libghc-crypto-api-prof-0.10.2-67d28, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-crypto-conduit-prof-0.3.2-cb774 Homepage: http://hackage.haskell.org/package/haskell-crypto-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-crypto-conduit/libghc-crypto-conduit-prof_0.3.2-1_armhf.deb Size: 75110 SHA256: 38580b4dc1ef1c0c2d2b77b45bf5a0f9f4345bc593b84cb88ff0312ce19a81d3 SHA1: d1373246cf2bd678f9217124d31f2d012419587a MD5sum: be6c9ce0a098f9ab2f5402be946ecb9d Description: conduit interface for cryptographic operations; profiling libraries This package contains everything that you need to use a cryptographic package that supports the crypto-api package using conduits from the conduit package. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-crypto-dev Source: haskell-crypto Version: 4.2.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4011 Depends: libghc-hunit-dev-1.2.4.2-6a847, libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-pretty-dev-1.1.1.0-7e118, libghc-random-dev-1.0.1.1-344e2, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-crypto-doc, libghc-crypto-prof Provides: libghc-crypto-dev-4.2.4-7b77a Homepage: http://hackage.haskell.org/package/Crypto Priority: extra Section: haskell Filename: pool/main/h/haskell-crypto/libghc-crypto-dev_4.2.4-1_armhf.deb Size: 799490 SHA256: d16cf298adf2fec9e9c6d0ad2c20880bc4e165d4f524ffc69b49d98400bc8940 SHA1: aca5d6f3fb9356e2e693f6fc7f35b3a9a76a6f15 MD5sum: 614939625db163d51f0688095e2bb338 Description: cryptographical algorithms for Haskell This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package contains the following cryptographical algorithms: * DES * Blowfish * AES * TEA * SHA1 * MD5 * RSA * BubbleBabble * Hexdump * Support for Word128, Word192 and Word256 and Beyond * PKCS5 * Padding * Various Encryption Modes e.g. Cipher Block Chaining Package: libghc-crypto-doc Source: haskell-crypto Version: 4.2.4-1 Installed-Size: 1143 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-crypto-dev Size: 120240 SHA256: 4a199d5873258e16412c7d953b92ddb46b11d15015b6f824816d91068b2997cf SHA1: 5e125afc6ff38591de7ebc9c0a104df76cf111fd MD5sum: 325122aefcf96846015010f453f851e5 Description: cryptographical algorithms for Haskell; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package contains the following cryptographical algorithms: * DES * Blowfish * AES * TEA * SHA1 * MD5 * RSA * BubbleBabble * Hexdump * Support for Word128, Word192 and Word256 and Beyond * PKCS5 * Padding * Various Encryption Modes e.g. Cipher Block Chaining Homepage: http://hackage.haskell.org/package/Crypto Tag: devel::doc, devel::lang:haskell, role::documentation, security::cryptography Section: doc Priority: extra Filename: pool/main/h/haskell-crypto/libghc-crypto-doc_4.2.4-1_all.deb Package: libghc-crypto-prof Source: haskell-crypto Version: 4.2.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3247 Depends: libghc-crypto-dev (= 4.2.4-1), libghc-hunit-prof-1.2.4.2-6a847, libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-pretty-prof-1.1.1.0-7e118, libghc-random-prof-1.0.1.1-344e2 Provides: libghc-crypto-prof-4.2.4-7b77a Homepage: http://hackage.haskell.org/package/Crypto Priority: extra Section: haskell Filename: pool/main/h/haskell-crypto/libghc-crypto-prof_4.2.4-1_armhf.deb Size: 657410 SHA256: 136db3d0d4720beb28f88f63a3e2960a4c4c379a3f5fa181da8196e14fb6ac53 SHA1: 9acb3a5488de98331133c1ac815309aed3fb8cd1 MD5sum: 84d76c537cf141e351b7c1e297e63b20 Description: cryptographical algorithms for Haskell; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package contains the following cryptographical algorithms: * DES * Blowfish * AES * TEA * SHA1 * MD5 * RSA * BubbleBabble * Hexdump * Support for Word128, Word192 and Word256 and Beyond * PKCS5 * Padding * Various Encryption Modes e.g. Cipher Block Chaining Package: libghc-crypto-pubkey-types-dev Source: haskell-crypto-pubkey-types (0.1.1-1) Version: 0.1.1-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1062 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-crypto-api-dev-0.10.2-7a3b7, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-crypto-pubkey-types-doc, libghc-crypto-pubkey-types-prof Provides: libghc-crypto-pubkey-types-dev-0.1.1-d7c2e Homepage: http://hackage.haskell.org/package/crypto-pubkey-types Priority: extra Section: haskell Filename: pool/main/h/haskell-crypto-pubkey-types/libghc-crypto-pubkey-types-dev_0.1.1-1+b1_armhf.deb Size: 178566 SHA256: 510666236efe58113ccfd551200b13c1a1f13571109b347c4d383e664bdcc16c SHA1: 7308740af7cd84386bc37c8224d6956d5e232a3e MD5sum: 21a0571ac9a1b321311315ec8654f93e Description: generic public-key algorithm types This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It defines generic public-key algorithm types (DH, DSA, RSA). Package: libghc-crypto-pubkey-types-doc Source: haskell-crypto-pubkey-types Version: 0.1.1-1 Installed-Size: 148 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-crypto-pubkey-types-dev Size: 32978 SHA256: ffc545677a51264110b5bf1bebb2e3e6bd4fb37e9b2f67e440a867bc93c6b047 SHA1: dce9fdde4c15d7107fa27a7b7da9360fd103609a MD5sum: a985b90fe977b77b287c5d215e385bfb Description: generic public-key algorithm types; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It defines generic public-key algorithm types (DH, DSA, RSA). Homepage: http://hackage.haskell.org/package/crypto-pubkey-types Section: doc Priority: extra Filename: pool/main/h/haskell-crypto-pubkey-types/libghc-crypto-pubkey-types-doc_0.1.1-1_all.deb Package: libghc-crypto-pubkey-types-prof Source: haskell-crypto-pubkey-types (0.1.1-1) Version: 0.1.1-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 972 Depends: libghc-crypto-pubkey-types-dev (= 0.1.1-1+b1), libghc-base-prof-4.5.0.0-d93df, libghc-crypto-api-prof-0.10.2-7a3b7 Provides: libghc-crypto-pubkey-types-prof-0.1.1-d7c2e Homepage: http://hackage.haskell.org/package/crypto-pubkey-types Priority: extra Section: haskell Filename: pool/main/h/haskell-crypto-pubkey-types/libghc-crypto-pubkey-types-prof_0.1.1-1+b1_armhf.deb Size: 171134 SHA256: 918fdc97749cd6802af633123f34720cb6f3f09583eddea37eabd7f5bff2bddd SHA1: 3453843366974b2048176f498e1c2a83913afa19 MD5sum: d678f464dfd51a85d5aea9f028968104 Description: generic public-key algorithm types; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It defines generic public-key algorithm types (DH, DSA, RSA). Package: libghc-cryptocipher-dev Source: haskell-cryptocipher Version: 0.3.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2195 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-cereal-dev-0.3.5.1-9f6b7, libghc-cpu-dev-0.1.1-38f81, libghc-crypto-api-dev-0.10.2-67d28, libghc-crypto-pubkey-types-dev-0.1.1-d7c2e, libghc-ghc-prim-dev-0.2.0.0-bd29c, libghc-primitive-dev-0.4.1-342b9, libghc-tagged-dev-0.4.2.1-27a41, libghc-vector-dev-0.9.1-8e782, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-cryptocipher-doc, libghc-cryptocipher-prof Provides: libghc-cryptocipher-dev-0.3.5-25b90 Homepage: http://hackage.haskell.org/package/cryptocipher Priority: extra Section: haskell Filename: pool/main/h/haskell-cryptocipher/libghc-cryptocipher-dev_0.3.5-1_armhf.deb Size: 514988 SHA256: 2ef92459cf2066812456581513ed8e871c5d809587f83d488e369e9850711a4e SHA1: 7352f1486e3f4e9ffdc40b6866f848bac6199281 MD5sum: 8608a29eceb3903b33a28fd10fcdb49f Description: symmetrical Block, Stream and PubKey ciphers This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides symmetrical Block, Stream and PubKey ciphers. Package: libghc-cryptocipher-doc Source: haskell-cryptocipher Version: 0.3.5-1 Installed-Size: 833 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cereal-doc, libghc-crypto-api-doc, libghc-vector-doc, libghc-cryptocipher-dev Size: 98080 SHA256: 49b801af0fb65b1ec95f9e8022527fd0121f3ffea7bc3b6366e046dfeac2dbe3 SHA1: 35473084be6247860209dca870d594d17d280b24 MD5sum: 2294d8d08f296c20f5b932a10a07e4a0 Description: symmetrical Block, Stream and PubKey ciphers; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides symmetrical Block, Stream and PubKey ciphers. Homepage: http://hackage.haskell.org/package/cryptocipher Tag: devel::doc, devel::lang:haskell, role::documentation, security::cryptography Section: doc Priority: extra Filename: pool/main/h/haskell-cryptocipher/libghc-cryptocipher-doc_0.3.5-1_all.deb Package: libghc-cryptocipher-prof Source: haskell-cryptocipher Version: 0.3.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1891 Depends: libghc-cryptocipher-dev (= 0.3.5-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-cereal-prof-0.3.5.1-9f6b7, libghc-cpu-prof-0.1.1-38f81, libghc-crypto-api-prof-0.10.2-67d28, libghc-crypto-pubkey-types-prof-0.1.1-d7c2e, libghc-ghc-prim-prof-0.2.0.0-bd29c, libghc-primitive-prof-0.4.1-342b9, libghc-tagged-prof-0.4.2.1-27a41, libghc-vector-prof-0.9.1-8e782 Provides: libghc-cryptocipher-prof-0.3.5-25b90 Homepage: http://hackage.haskell.org/package/cryptocipher Priority: extra Section: haskell Filename: pool/main/h/haskell-cryptocipher/libghc-cryptocipher-prof_0.3.5-1_armhf.deb Size: 446094 SHA256: 02965e509372b1bf048bb15f2e2e819214d95498defe777e00b46fdb4640702e SHA1: 5d92d0b712f6d745b0bdbbaeb79f5acbd22faf58 MD5sum: 9d51a8fb5cc1ac1eaeb34182baae2d2d Description: symmetrical Block, Stream and PubKey ciphers; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It provides symmetrical Block, Stream and PubKey ciphers. Package: libghc-cryptohash-dev Source: haskell-cryptohash (0.7.5-1) Version: 0.7.5-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1884 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-cereal-dev-0.3.5.2-b01d7, libghc-crypto-api-dev-0.10.2-7a3b7, libghc-tagged-dev-0.4.2.1-27a41, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-cryptohash-doc, libghc-cryptohash-prof Provides: libghc-cryptohash-dev-0.7.5-a9aca Homepage: http://hackage.haskell.org/package/cryptohash Priority: extra Section: haskell Filename: pool/main/h/haskell-cryptohash/libghc-cryptohash-dev_0.7.5-1+b1_armhf.deb Size: 410396 SHA256: 675520e4a2ae2d11aea38aaa19da838db00752a392403975a3ee07009a7ad177 SHA1: bc6b940a2483d79777c0965b49ff8bd07e5efa6c MD5sum: c1b4106d0e364aae1ecb19afeb0216c3 Description: collection of crypto hashes, fast, pure and practical This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . A collection of crypto hashes, with a practical incremental and one-pass, pure APIs, with performance close to the fastest implementations available in others languages. . The implementations are made in C with a haskell FFI wrapper that hide the C implementation. Package: libghc-cryptohash-doc Source: haskell-cryptohash Version: 0.7.5-1 Installed-Size: 541 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cereal-doc, libghc-crypto-api-doc, libghc-cryptohash-dev Size: 47494 SHA256: 03338c8eb16cbbe4fcb3cec0cf616ddd55571a0170adea74d9dc16927ea9db98 SHA1: a603550301621092af9e02512a32e3d8b6825f13 MD5sum: f709916d4d9835f37e4becf6c92ce8ac Description: collection of crypto hashes, fast, pure and practical; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . A collection of crypto hashes, with a practical incremental and one-pass, pure APIs, with performance close to the fastest implementations available in others languages. . The implementations are made in C with a haskell FFI wrapper that hide the C implementation. Homepage: http://hackage.haskell.org/package/cryptohash Tag: devel::doc, devel::lang:haskell, role::documentation, security::cryptography Section: doc Priority: extra Filename: pool/main/h/haskell-cryptohash/libghc-cryptohash-doc_0.7.5-1_all.deb Package: libghc-cryptohash-prof Source: haskell-cryptohash (0.7.5-1) Version: 0.7.5-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1536 Depends: libghc-cryptohash-dev (= 0.7.5-1+b1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-cereal-prof-0.3.5.2-b01d7, libghc-crypto-api-prof-0.10.2-7a3b7, libghc-tagged-prof-0.4.2.1-27a41 Provides: libghc-cryptohash-prof-0.7.5-a9aca Homepage: http://hackage.haskell.org/package/cryptohash Priority: extra Section: haskell Filename: pool/main/h/haskell-cryptohash/libghc-cryptohash-prof_0.7.5-1+b1_armhf.deb Size: 357010 SHA256: 6025731c83f6d101d181ef94afa4a8ede69e7a3a1e940d61b1f909826db91a41 SHA1: 587751e33a8e7f78691a2b8f032de1a993942594 MD5sum: d001e4ee4f2a38cc8539a9119b801236 Description: collection of crypto hashes, fast, pure and practical; profiling libs This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . A collection of crypto hashes, with a practical incremental and one-pass, pure APIs, with performance close to the fastest implementations available in others languages. . The implementations are made in C with a haskell FFI wrapper that hide the C implementation. Package: libghc-css-text-dev Source: haskell-css-text Version: 0.1.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 330 Depends: libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-base-dev-4.5.0.0-d93df, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-css-text-doc, libghc-css-text-prof Provides: libghc-css-text-dev-0.1.1-ab348 Homepage: http://hackage.haskell.org/package/css-text Priority: extra Section: haskell Filename: pool/main/h/haskell-css-text/libghc-css-text-dev_0.1.1-3_armhf.deb Size: 71648 SHA256: 1df9bb1e6298e1ecc91c33aef761df0531b7921f2761b5d8e7e3f07004875c67 SHA1: 384a37e99c1c9dacfb213c4d2a4bbfb26156d307 MD5sum: 7b38aa73c4de520e75dc6367631e162c Description: CSS parser and renderer This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a CSS parser and renderer. Package: libghc-css-text-doc Source: haskell-css-text Version: 0.1.1-3 Installed-Size: 122 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-attoparsec-doc, libghc-text-doc, libghc-css-text-dev Size: 29242 SHA256: c255b0f8c8b9a897c989a9e96600c26061aa9bfb027d7dafd3e50e2f157a2278 SHA1: 53d992d3cd681f7e16e2c0a5f80a76b3c77f3811 MD5sum: 9dc6309ef95b28c07567de48bd0d78e7 Description: CSS parser and renderer; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a CSS parser and renderer. Homepage: http://hackage.haskell.org/package/css-text Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-css-text/libghc-css-text-doc_0.1.1-3_all.deb Package: libghc-css-text-prof Source: haskell-css-text Version: 0.1.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 287 Depends: libghc-css-text-dev (= 0.1.1-3), libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-base-prof-4.5.0.0-d93df, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-css-text-prof-0.1.1-ab348 Homepage: http://hackage.haskell.org/package/css-text Priority: extra Section: haskell Filename: pool/main/h/haskell-css-text/libghc-css-text-prof_0.1.1-3_armhf.deb Size: 63176 SHA256: 6f6648aec49129ce3335931eeb4d6f0ad9ad2be170cec611e62d66d064357c97 SHA1: 961973fe17cf9316a221f103586753894770c846 MD5sum: 69cdea17e7d29f24d7ad4bdc5976f002 Description: CSS parser and renderer; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is a CSS parser and renderer. Package: libghc-csv-conduit-dev Source: haskell-csv-conduit Version: 0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 599 Depends: libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-attoparsec-conduit-dev-0.4.0.1-32468, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-conduit-dev-0.4.2-2f3e1, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-monad-control-dev-0.3.1.3-371c1, libghc-safe-dev-0.3.3-3fec0, libghc-text-dev-0.11.2.0-05a46, libghc-transformers-dev-0.3.0.0-e8222, libghc-unix-compat-dev-0.3.0.1-4cc6c, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-csv-conduit-doc, libghc-csv-conduit-prof Provides: libghc-csv-conduit-dev-0.2-5e931 Homepage: http://hackage.haskell.org/package/csv-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-csv-conduit/libghc-csv-conduit-dev_0.2-1_armhf.deb Size: 144640 SHA256: b390a811497a6ab2b1fd6380f8f8921dc5c8f19b9a34328a841c60477c65002a SHA1: d8afbf3a5b8aaad15a2f85b81bfafcae5e870feb MD5sum: a87ebbacd6360ecf99f709b0bac6bd43 Description: fast, conduit-based CSV parser library csv-conduit is a library that provides . * Full flexibility in quote characters, separators, input/output * Constant space operation * Robust parsing, correctness and error resiliency * Convenient interface that supports a variety of use cases * Fast operation . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-csv-conduit-doc Source: haskell-csv-conduit Version: 0.2-1 Installed-Size: 196 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-attoparsec-doc, libghc-conduit-doc, libghc-monad-control-doc, libghc-resourcet-doc, libghc-csv-conduit-dev Size: 43380 SHA256: 60d6a3254273ee2024149f2ab9b0890e610351ba49bbe4c6be65174a501d9f53 SHA1: 0c43f49d700fda1ea19f35715057d44e47110cf1 MD5sum: c78f4a81f9566bea23d78ce1272f0065 Description: fast, conduit-based CSV parser library; documentation csv-conduit is a library that provides . * Full flexibility in quote characters, separators, input/output * Constant space operation * Robust parsing, correctness and error resiliency * Convenient interface that supports a variety of use cases * Fast operation . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/csv-conduit Section: doc Priority: extra Filename: pool/main/h/haskell-csv-conduit/libghc-csv-conduit-doc_0.2-1_all.deb Package: libghc-csv-conduit-prof Source: haskell-csv-conduit Version: 0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 544 Depends: libghc-csv-conduit-dev (= 0.2-1), libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-attoparsec-conduit-prof-0.4.0.1-32468, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-conduit-prof-0.4.2-2f3e1, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-monad-control-prof-0.3.1.3-371c1, libghc-safe-prof-0.3.3-3fec0, libghc-text-prof-0.11.2.0-05a46, libghc-transformers-prof-0.3.0.0-e8222, libghc-unix-compat-prof-0.3.0.1-4cc6c Provides: libghc-csv-conduit-prof-0.2-5e931 Homepage: http://hackage.haskell.org/package/csv-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-csv-conduit/libghc-csv-conduit-prof_0.2-1_armhf.deb Size: 131578 SHA256: 5ffae8195ec5572740821da787e1b073bb1d519f5216dc7a13c0b9faa76919cf SHA1: dd2ea3df46726358fcce07431e7fab5610164b8a MD5sum: 247af002b5ff4fc9a7df935856a7e752 Description: fast, conduit-based CSV parser library; profiling libraries csv-conduit is a library that provides . * Full flexibility in quote characters, separators, input/output * Constant space operation * Robust parsing, correctness and error resiliency * Convenient interface that supports a variety of use cases * Fast operation . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-csv-dev Source: haskell-csv Version: 0.1.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 163 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-filepath-dev-1.3.0.0-163d9, libghc-parsec-dev-3.1.2-aa52f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-csv-doc, libghc-csv-prof Provides: libghc-csv-dev-0.1.2-51e9a Homepage: http://hackage.haskell.org/package/csv Priority: extra Section: haskell Filename: pool/main/h/haskell-csv/libghc-csv-dev_0.1.2-2_armhf.deb Size: 29478 SHA256: 837929268530a6d2c5cff1557fef0619ddcb87ea9e86fee7a033364e64eb5aba SHA1: fd0eb545ebe16c8b0c072c1de118dee4e43ef63c MD5sum: 34668e5e8d08f3430c861f299e982f71 Description: CSV loader and dumper This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library parses and dumps documents that are formatted according to RFC 4180, "The common Format and MIME Type for Comma-Separated Values (CSV) Files". This format is used, among many other things, as a lingua franca for spreadsheets, and for certain web services. Package: libghc-csv-doc Source: haskell-csv Version: 0.1.2-2 Installed-Size: 115 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-parsec3-doc, libghc-csv-dev Size: 31074 SHA256: 5126d5459b1dbf2f6902796fc92a7384a793e9d3995fb82763626ba6b5736bab SHA1: 28a9d5ed16972ad50fdfb4fb42970e4efea230e4 MD5sum: 93f8aa7f3110b18d6957a2dc8f33b207 Description: CSV loader and dumper; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library parses and dumps documents that are formatted according to RFC 4180, "The common Format and MIME Type for Comma-Separated Values (CSV) Files". This format is used, among many other things, as a lingua franca for spreadsheets, and for certain web services. Homepage: http://hackage.haskell.org/package/csv Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-csv/libghc-csv-doc_0.1.2-2_all.deb Package: libghc-csv-enumerator-dev Source: haskell-csv-enumerator Version: 0.10.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 627 Depends: libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-attoparsec-enumerator-dev-0.3-cc5c3, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-enumerator-dev-0.4.19-c0ca3, libghc-safe-dev-0.3.3-3fec0, libghc-transformers-dev-0.3.0.0-e8222, libghc-unix-compat-dev-0.3.0.1-4cc6c, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-csv-enumerator-doc, libghc-csv-enumerator-prof Provides: libghc-csv-enumerator-dev-0.10.1.1-b14dc Homepage: http://hackage.haskell.org/package/csv-enumerator Priority: extra Section: haskell Filename: pool/main/h/haskell-csv-enumerator/libghc-csv-enumerator-dev_0.10.1.1-1_armhf.deb Size: 145422 SHA256: 34b2357a7465cd465a0eeb502062b459054e331d8d5ff827fa379d82cc0e370f SHA1: 46f11a8b151ae1f967f5c4a21438f9801d02041f MD5sum: 66c534d75ad14441c42c54e186e74c69 Description: fast, enumerator-based CSV parser library csv-enumerator is a library that provides . * Full flexibility in quote characters, separators, input/output * Constant space operation * Robust parsing, correctness and error resiliency * Convenient interface that supports a variety of use cases * Fast operation . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-csv-enumerator-doc Source: haskell-csv-enumerator Version: 0.10.1.1-1 Installed-Size: 309 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-attoparsec-doc, libghc-enumerator-doc, libghc-csv-enumerator-dev Size: 49120 SHA256: e6b0e4c696dd8eb565e955ccc4d28ce49ca2dc9b6bb0ebe09038a64d548ad06a SHA1: 77c53c16e07071e1746275136ffd2e5425a3a038 MD5sum: 5b26e5f8bd248f92b5597ae6cc26790c Description: fast, enumerator-based CSV parser library; documentation csv-enumerator is a library that provides . * Full flexibility in quote characters, separators, input/output * Constant space operation * Robust parsing, correctness and error resiliency * Convenient interface that supports a variety of use cases * Fast operation . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/csv-enumerator Section: doc Priority: extra Filename: pool/main/h/haskell-csv-enumerator/libghc-csv-enumerator-doc_0.10.1.1-1_all.deb Package: libghc-csv-enumerator-prof Source: haskell-csv-enumerator Version: 0.10.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 567 Depends: libghc-csv-enumerator-dev (= 0.10.1.1-1), libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-attoparsec-enumerator-prof-0.3-cc5c3, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-enumerator-prof-0.4.19-c0ca3, libghc-safe-prof-0.3.3-3fec0, libghc-transformers-prof-0.3.0.0-e8222, libghc-unix-compat-prof-0.3.0.1-4cc6c Provides: libghc-csv-enumerator-prof-0.10.1.1-b14dc Homepage: http://hackage.haskell.org/package/csv-enumerator Priority: extra Section: haskell Filename: pool/main/h/haskell-csv-enumerator/libghc-csv-enumerator-prof_0.10.1.1-1_armhf.deb Size: 131368 SHA256: 702b7d2fa3515a84d4ec238a96cbfbd892c06bf992eb02cffcd505b7fdc04ae3 SHA1: c325e1fcec3485074c63627b055f4ca1f9f5c21b MD5sum: 3e064f632b1d4daa69ff8f6c2c698340 Description: fast, enumerator-based CSV parser library; profiling libraries csv-enumerator is a library that provides . * Full flexibility in quote characters, separators, input/output * Constant space operation * Robust parsing, correctness and error resiliency * Convenient interface that supports a variety of use cases * Fast operation . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-csv-prof Source: haskell-csv Version: 0.1.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 136 Depends: libghc-csv-dev (= 0.1.2-2), libghc-base-prof-4.5.0.0-d93df, libghc-filepath-prof-1.3.0.0-163d9, libghc-parsec-prof-3.1.2-aa52f Provides: libghc-csv-prof-0.1.2-51e9a Homepage: http://hackage.haskell.org/package/csv Priority: extra Section: haskell Filename: pool/main/h/haskell-csv/libghc-csv-prof_0.1.2-2_armhf.deb Size: 26972 SHA256: 6b2abc188b94370b57e5abe908888fed2fa1ffd61fd923891d9f0a78ba815320 SHA1: 2fe37e87fb465742e978ee520d82e9675a5fd65a MD5sum: bfad77442ee46d8e559dab1ffa4d0c30 Description: CSV loader and dumper; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library parses and dumps documents that are formatted according to RFC 4180, "The common Format and MIME Type for Comma-Separated Values (CSV) Files". This format is used, among many other things, as a lingua franca for spreadsheets, and for certain web services. Package: libghc-curl-dev Source: haskell-curl Version: 1.3.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2454 Depends: libcurl4-gnutls-dev, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-curl-doc, libghc-curl-prof Provides: libghc-curl-dev-1.3.7-08aac Homepage: http://hackage.haskell.org/package/curl Priority: extra Section: haskell Filename: pool/main/h/haskell-curl/libghc-curl-dev_1.3.7-1_armhf.deb Size: 481156 SHA256: b5187194c21f46b9862ef879f75517c8e39835c1a5aa312eddf4ba1bfc7f1fcc SHA1: f1ff1f145b31e2ed90d4864cfed2f1b42f8e62a0 MD5sum: 9c526e5c289d6867a5322487b4f06eea Description: GHC libraries for the libcurl Haskell bindings . libcurl is a client-side URL transfer library, supporting FTP, FTPS, HTTP, HTTPS, SCP, SFTP, TFTP, TELNET, DICT, LDAP, LDAPS and FILE. libcurl supports SSL certificates, HTTP POST, HTTP PUT, FTP uploading, HTTP form based upload, proxies, cookies, user+password authentication (Basic, Digest, NTLM, Negotiate, Kerberos4), file transfer resume, http proxy tunneling and more! . This package contains the libraries compiled for GHC. Package: libghc-curl-doc Source: haskell-curl Version: 1.3.7-1 Installed-Size: 907 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: doc-base, ghc-doc, libghc-curl-dev Size: 113696 SHA256: b703fd36ac83382b0f753e52dce4486922a11d5e099f797133697330490c23d5 SHA1: d456ad4501f91d0818915841d11469cd1220f87d MD5sum: 6110ba2bc7e2b0047eebebf77fae2b20 Description: Documentation for the libcurl Haskell bindings . libcurl is a client-side URL transfer library, supporting FTP, FTPS, HTTP, HTTPS, SCP, SFTP, TFTP, TELNET, DICT, LDAP, LDAPS and FILE. libcurl supports SSL certificates, HTTP POST, HTTP PUT, FTP uploading, HTTP form based upload, proxies, cookies, user+password authentication (Basic, Digest, NTLM, Negotiate, Kerberos4), file transfer resume, http proxy tunneling and more! . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/curl Tag: devel::doc, devel::lang:haskell, protocol::ftp, protocol::http, protocol::ldap, protocol::sftp, protocol::telnet, protocol::tftp, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-curl/libghc-curl-doc_1.3.7-1_all.deb Package: libghc-curl-prof Source: haskell-curl Version: 1.3.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2251 Depends: libghc-curl-dev (= 1.3.7-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545 Provides: libghc-curl-prof-1.3.7-08aac Homepage: http://hackage.haskell.org/package/curl Priority: extra Section: haskell Filename: pool/main/h/haskell-curl/libghc-curl-prof_1.3.7-1_armhf.deb Size: 452830 SHA256: 82af5292e9efe1c473bb53324282f1d868fbd4637ea23a7d1c418b63ca4cf2aa SHA1: 16202a74f7f0eded6dc25e34600bca927d68d2f8 MD5sum: 93ada167d1ee0771b60c7e945c02a953 Description: Profiling libraries for the libcurl Haskell bindings . libcurl is a client-side URL transfer library, supporting FTP, FTPS, HTTP, HTTPS, SCP, SFTP, TFTP, TELNET, DICT, LDAP, LDAPS and FILE. libcurl supports SSL certificates, HTTP POST, HTTP PUT, FTP uploading, HTTP form based upload, proxies, cookies, user+password authentication (Basic, Digest, NTLM, Negotiate, Kerberos4), file transfer resume, http proxy tunneling and more! . This package contains the profiling libraries compiled for GHC. Package: libghc-darcs-dev Source: darcs Version: 2.8.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 36594 Depends: libghc-http-dev-4000.2.3-55fae, libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libghc-filepath-dev-1.3.0.0-163d9, libghc-hashed-storage-dev-0.5.9-37679, libghc-haskeline-dev-0.6.4.7-3f753, libghc-html-dev-1.0.1.2-9b657, libghc-mmap-dev-0.5.7-96941, libghc-mtl-dev-2.1.1-87121, libghc-network-dev-2.3.0.13-9c99a, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-parsec-dev-3.1.2-aa52f, libghc-process-dev-1.1.0.1-75c65, libghc-random-dev-1.0.1.1-344e2, libghc-regex-compat-dev-0.95.1-e5a39, libghc-tar-dev-0.3.2.0-5d15e, libghc-terminfo-dev-0.3.2.3-8ee22, libghc-text-dev-0.11.2.0-05a46, libghc-unix-dev-2.5.1.0-77272, libghc-vector-dev-0.9.1-8e782, libghc-zlib-dev-0.5.3.3-9ed15, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libncurses5 (>= 5.5-5~), libtinfo5, zlib1g (>= 1:1.1.4) Suggests: libghc-darcs-doc, libghc-darcs-prof Provides: libghc-darcs-dev-2.8.1-c2229 Homepage: http://darcs.net/ Priority: optional Section: haskell Filename: pool/main/d/darcs/libghc-darcs-dev_2.8.1-1_armhf.deb Size: 8617260 SHA256: ef045ab83b42e1948646b4484a99ec0aafa003925244ac3f1edbb61ff2d9a8fc SHA1: e0e013e820ae3dd694c7fc688c3f765c160e7598 MD5sum: a064a8e6ef81f6bfeece18429320c7d7 Description: distributed, interactive, smart revision control system; library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides an interface to the Darcs distributed revision control system. Package: libghc-darcs-doc Source: darcs Version: 2.8.1-1 Installed-Size: 11780 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hashed-storage-doc, libghc-html-doc, libghc-mtl-doc, libghc-parsec3-doc, libghc-regex-compat-doc, libghc-transformers-doc, libghc-darcs-dev Size: 1228310 SHA256: 9c0b8a064bbd5fa879052112a3b1fdc1d935ef37d680cb1b7b0014daf425a3a7 SHA1: 9a3ad4194b8c46ce3685648d5a30d285483532ab MD5sum: f74c933ce8cd73e38c47247a6768fc63 Description: distributed, interactive, smart revision control system; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides an interface to the Darcs distributed revision control system. Homepage: http://darcs.net/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: optional Filename: pool/main/d/darcs/libghc-darcs-doc_2.8.1-1_all.deb Package: libghc-darcs-prof Source: darcs Version: 2.8.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 37796 Depends: libghc-darcs-dev (= 2.8.1-1), libghc-http-prof-4000.2.3-55fae, libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-extensible-exceptions-prof-0.1.1.4-d27a1, libghc-filepath-prof-1.3.0.0-163d9, libghc-hashed-storage-prof-0.5.9-37679, libghc-haskeline-prof-0.6.4.7-3f753, libghc-html-prof-1.0.1.2-9b657, libghc-mmap-prof-0.5.7-96941, libghc-mtl-prof-2.1.1-87121, libghc-network-prof-2.3.0.13-9c99a, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-parsec-prof-3.1.2-aa52f, libghc-process-prof-1.1.0.1-75c65, libghc-random-prof-1.0.1.1-344e2, libghc-regex-compat-prof-0.95.1-e5a39, libghc-tar-prof-0.3.2.0-5d15e, libghc-terminfo-prof-0.3.2.3-8ee22, libghc-text-prof-0.11.2.0-05a46, libghc-unix-prof-2.5.1.0-77272, libghc-vector-prof-0.9.1-8e782, libghc-zlib-prof-0.5.3.3-9ed15 Provides: libghc-darcs-prof-2.8.1-c2229 Homepage: http://darcs.net/ Priority: optional Section: haskell Filename: pool/main/d/darcs/libghc-darcs-prof_2.8.1-1_armhf.deb Size: 8949640 SHA256: 05a53ae23c28f5e7cac3ca662bf5c5d338c2bba543f1c8b60a2d5790fe118acd SHA1: d45dbb487cc845c3a2c63d997629af96248312c0 MD5sum: 58ce12a3b71900cf64bd71612bbb656c Description: distributed, interactive, smart revision control system; profiling libraries This package provides a library for the Haskell programming language compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides an interface to the Darcs distributed revision control system. Package: libghc-data-accessor-dev Source: haskell-data-accessor Version: 0.2.2.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 516 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-data-accessor-doc, libghc-data-accessor-prof Provides: libghc-data-accessor-dev-0.2.2.2-275fe Homepage: http://hackage.haskell.org/package/data-accessor Priority: extra Section: haskell Filename: pool/main/h/haskell-data-accessor/libghc-data-accessor-dev_0.2.2.2-1_armhf.deb Size: 103658 SHA256: 79dea6b49e7b57f1dce089346d9a3c5e046364b7f4054afed5ef5878bfe8a631 SHA1: a49a68747e98c0b3f51c748acb96af62e57277e4 MD5sum: 047c2bfc4d8915a0ded6cd1b1b92c9c3 Description: Utilities for accessing and manipulating fields of records This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . With this library you can define record field accessors which allow setting, getting and modifying values easily. You can combine accessors of a record and sub-records to make the access look like the fields of the sub-record belong to the main record. Package: libghc-data-accessor-doc Source: haskell-data-accessor Version: 0.2.2.2-1 Installed-Size: 339 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-transformers-doc, libghc-data-accessor-dev Size: 55264 SHA256: 6ad19015ead289f396e98dee6bc7665f9bad4eeff27003484682476d65d41bde SHA1: cdee6ca10f9fc495605f051a1721c3af5aff7c53 MD5sum: 54555feb18bf3da42a7e891000d6bbca Description: Utilities for accessing and manipulating fields of records; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . With this library you can define record field accessors which allow setting, getting and modifying values easily. You can combine accessors of a record and sub-records to make the access look like the fields of the sub-record belong to the main record. Homepage: http://hackage.haskell.org/package/data-accessor Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-data-accessor/libghc-data-accessor-doc_0.2.2.2-1_all.deb Package: libghc-data-accessor-mtl-dev Source: haskell-data-accessor-mtl Version: 0.2.0.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 133 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-data-accessor-dev-0.2.2.2-275fe, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-data-accessor-mtl-doc, libghc-data-accessor-mtl-prof Provides: libghc-data-accessor-mtl-dev-0.2.0.3-f7f67 Homepage: http://hackage.haskell.org/package/data-accessor-mtl Priority: extra Section: haskell Filename: pool/main/h/haskell-data-accessor-mtl/libghc-data-accessor-mtl-dev_0.2.0.3-1_armhf.deb Size: 17116 SHA256: 5262f07e9ffd57e425b767296c8d96d2ef5375152944e12c89cf7a6517e6f0a1 SHA1: f408141cffb4dc43276c5ee1be75fd2015ea9dc3 MD5sum: 202ddbf8ce62b5b41ae4c847d28e7f58 Description: use Data.Accessor inside the State monad. This Haskell library provides methods to access the state in a State monad by means of Data.Accessor getters, setters and modifiers. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-accessor-mtl-doc Source: haskell-data-accessor-mtl Version: 0.2.0.3-1 Installed-Size: 117 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-data-accessor-doc, libghc-mtl-doc, libghc-transformers-doc, libghc-data-accessor-mtl-dev Size: 29322 SHA256: 867e0689ab218983499884d47aaec28c233f278da2b68b10aa1dafcdb9f8c0dd SHA1: 73d7c6302c1dc7088ff6ca186fe6f7dfc5b62f14 MD5sum: c17a208fd578fd97ffb183617f5dfa21 Description: use Data.Accessor inside the State monad; documentation This Haskell library provides methods to access the state in a State monad by means of Data.Accessor getters, setters and modifiers. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/data-accessor-mtl Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-data-accessor-mtl/libghc-data-accessor-mtl-doc_0.2.0.3-1_all.deb Package: libghc-data-accessor-mtl-prof Source: haskell-data-accessor-mtl Version: 0.2.0.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 118 Depends: libghc-data-accessor-mtl-dev (= 0.2.0.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-data-accessor-prof-0.2.2.2-275fe, libghc-mtl-prof-2.1.1-87121 Provides: libghc-data-accessor-mtl-prof-0.2.0.3-f7f67 Homepage: http://hackage.haskell.org/package/data-accessor-mtl Priority: extra Section: haskell Filename: pool/main/h/haskell-data-accessor-mtl/libghc-data-accessor-mtl-prof_0.2.0.3-1_armhf.deb Size: 17720 SHA256: cf8f5205dd85724ffc684ce05050ab8a01fd1f460eda7a3c0e57347428f09832 SHA1: 47681f310e0bcbc5c89d73611ed16efc4cf9555b MD5sum: 484a03bf3ac89e5fc227cb686e476f74 Description: use Data.Accessor inside the State monad; profiling libraries This Haskell library provides methods to access the state in a State monad by means of Data.Accessor getters, setters and modifiers. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-accessor-prof Source: haskell-data-accessor Version: 0.2.2.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 466 Depends: libghc-data-accessor-dev (= 0.2.2.2-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-data-accessor-prof-0.2.2.2-275fe Homepage: http://hackage.haskell.org/package/data-accessor Priority: extra Section: haskell Filename: pool/main/h/haskell-data-accessor/libghc-data-accessor-prof_0.2.2.2-1_armhf.deb Size: 99096 SHA256: 0acb09d8a78825bc4fc5d0736a4eb08db98245cdd80032c875ee8cdc6fa2c2fc SHA1: 4c693be8d665c556e3ba8af46f20b6cbece44f0c MD5sum: a6d8f6dfe5389e8f8f8f752abc831923 Description: Utilities for accessing and manipulating fields of records; profiling libraries This package provides a library for the Haskell programming language compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . With this library you can define record field accessors which allow setting, getting and modifying values easily. You can combine accessors of a record and sub-records to make the access look like the fields of the sub-record belong to the main record. Package: libghc-data-accessor-template-doc Source: haskell-data-accessor-template Version: 0.2.1.9-1 Installed-Size: 126 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-data-accessor-template-dev Size: 31274 SHA256: 8283fa2faac627f159f981a1b3e61ab68fd7d9900d32e12215a5ae927ff638a7 SHA1: e6891c54699186c0f5338a039de11a08098fb495 MD5sum: e393ef8e29578e3edfb84ce293bc10f9 Description: utilities for accessing/manipulating fields of records; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It automates generation of Accessors of the data-accessor package by Template Haskell functions. Homepage: http://hackage.haskell.org/package/data-accessor-template Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-data-accessor-template/libghc-data-accessor-template-doc_0.2.1.9-1_all.deb Package: libghc-data-binary-ieee754-dev Source: haskell-data-binary-ieee754 Version: 0.4.2.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 174 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-data-binary-ieee754-doc, libghc-data-binary-ieee754-prof Provides: libghc-data-binary-ieee754-dev-0.4.2.1-30963 Homepage: http://hackage.haskell.org/package/data-binary-ieee754 Priority: extra Section: haskell Filename: pool/main/h/haskell-data-binary-ieee754/libghc-data-binary-ieee754-dev_0.4.2.1-3_armhf.deb Size: 27726 SHA256: ee41f6f9f23ae2ed3e96d791192b03bc45fc728dd934b3d4dcb554efd55d1000 SHA1: 313d78696cfdad8dab1f849d0a943208655e64ef MD5sum: aa81a0cb9b913fd2fcc914ffb6bc31d2 Description: parser/serialiser for IEEE-754 floating-point values This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It converts Float and Decimal values to and from raw octets. Package: libghc-data-binary-ieee754-doc Source: haskell-data-binary-ieee754 Version: 0.4.2.1-3 Installed-Size: 124 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-data-binary-ieee754-dev Size: 29280 SHA256: 03c17ec94abeedba6ec9f9c50ada8ec90e7fe675b9ebc9b761e2250bc2b42740 SHA1: c847343174abc629f5522965c90358acb639e390 MD5sum: 91ab9f6cf504fe0a3617565ccdc63546 Description: parser/serialiser for IEEE-754 floating-point values; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It converts Float and Decimal values to and from raw octets. Homepage: http://hackage.haskell.org/package/data-binary-ieee754 Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-data-binary-ieee754/libghc-data-binary-ieee754-doc_0.4.2.1-3_all.deb Package: libghc-data-binary-ieee754-prof Source: haskell-data-binary-ieee754 Version: 0.4.2.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 147 Depends: libghc-data-binary-ieee754-dev (= 0.4.2.1-3), libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b Provides: libghc-data-binary-ieee754-prof-0.4.2.1-30963 Homepage: http://hackage.haskell.org/package/data-binary-ieee754 Priority: extra Section: haskell Filename: pool/main/h/haskell-data-binary-ieee754/libghc-data-binary-ieee754-prof_0.4.2.1-3_armhf.deb Size: 24596 SHA256: 450a5e1004c350e8becfb3120357a6904c19afe3862d9e1c218b2f578fd16060 SHA1: 247ac75549c092c2f9bddbfb200bc082a3a4d8b3 MD5sum: 00a98d2777e6e341e0f5db410e6835f2 Description: parser/serialiser for IEEE-754 floating-point values; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It converts Float and Decimal values to and from raw octets. Package: libghc-data-default-dev Source: haskell-data-default Version: 0.4.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 139 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-dlist-dev-0.5-2bfbb, libghc-old-locale-dev-1.0.0.4-29bd5, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-data-default-doc, libghc-data-default-prof Provides: libghc-data-default-dev-0.4.0-329c5 Homepage: http://hackage.haskell.org/package/data-default Priority: extra Section: haskell Filename: pool/main/h/haskell-data-default/libghc-data-default-dev_0.4.0-1_armhf.deb Size: 17588 SHA256: dafcb9cf29fb7c809afb93754ee73cd6f6e5eb8ae986ed4da22654b10d003ddd SHA1: 76ac0a08443d08e81d3048cd63eef6d99606eba7 MD5sum: 7a871d61d60dbaefdbfabcb59ab44bb7 Description: class for types with a default value This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides a class for types with a default value. Package: libghc-data-default-doc Source: haskell-data-default Version: 0.4.0-1 Installed-Size: 121 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-dlist-doc, libghc-data-default-dev Size: 29630 SHA256: ed22d41d0ca328b63b0f8a4601655024fa502de7791a01503fee35be2058cb75 SHA1: 39075ac15cea5c69223ca211be82a7bd8e1ad974 MD5sum: da9b7f50540c2a1eb1d95b8b7cb6fc02 Description: class for types with a default value; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides a class for types with a default value. Homepage: http://hackage.haskell.org/package/data-default Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-data-default/libghc-data-default-doc_0.4.0-1_all.deb Package: libghc-data-default-prof Source: haskell-data-default Version: 0.4.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 111 Depends: libghc-data-default-dev (= 0.4.0-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-dlist-prof-0.5-2bfbb, libghc-old-locale-prof-1.0.0.4-29bd5 Provides: libghc-data-default-prof-0.4.0-329c5 Homepage: http://hackage.haskell.org/package/data-default Priority: extra Section: haskell Filename: pool/main/h/haskell-data-default/libghc-data-default-prof_0.4.0-1_armhf.deb Size: 16638 SHA256: 7521729e80ebe439e339f71a56c4af8ed038041bce266ffe702101dfc7a962d4 SHA1: 719b1124d732a440f8b446f515b83eb33ae111ea MD5sum: 1fbbb28da9b2a36c3f7f7645f9db44cd Description: class for types with a default value; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It provides a class for types with a default value. Package: libghc-data-inttrie-dev Source: haskell-data-inttrie Version: 0.0.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 174 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-data-inttrie-doc, libghc-data-inttrie-prof Provides: libghc-data-inttrie-dev-0.0.7-e8bc6 Homepage: http://hackage.haskell.org/package/data-inttrie Priority: extra Section: haskell Filename: pool/main/h/haskell-data-inttrie/libghc-data-inttrie-dev_0.0.7-1_armhf.deb Size: 30008 SHA256: a67b1ef671c465b59f6cf7b64cf39d1407fde2e8ecd631b62367478c69301e25 SHA1: 7a8300b910c1b7eaf52e02d86a9084dd64f078f2 MD5sum: 4ccb9b50e58282f6caac0d818b36abb8 Description: Lazy, infinite trie of integers library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It implements a simple, lazy, infinite trie from integers. Package: libghc-data-inttrie-doc Source: haskell-data-inttrie Version: 0.0.7-1 Installed-Size: 118 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-data-inttrie-dev Size: 28634 SHA256: dfaa9c07ea559b53376c1f5158a3161dfd2c07215d4a641045418c363109d690 SHA1: b2854baab1f2fd11e9ca86f76417859cd18ad951 MD5sum: eacaad613b62d899b99d367be7f59068 Description: Lazy, infinite trie of integers library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It implements a simple, lazy, infinite trie from integers. Homepage: http://hackage.haskell.org/package/data-inttrie Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-data-inttrie/libghc-data-inttrie-doc_0.0.7-1_all.deb Package: libghc-data-inttrie-prof Source: haskell-data-inttrie Version: 0.0.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 154 Depends: libghc-data-inttrie-dev (= 0.0.7-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-data-inttrie-prof-0.0.7-e8bc6 Homepage: http://hackage.haskell.org/package/data-inttrie Priority: extra Section: haskell Filename: pool/main/h/haskell-data-inttrie/libghc-data-inttrie-prof_0.0.7-1_armhf.deb Size: 28038 SHA256: fe0e58564c979cc2d1c572629d0dca3595646505d5cd94807ddf1a3ef9761e10 SHA1: cbae1e3a5a77ef1dcacab5d083f42e0f6eb0d518 MD5sum: a8c895ae07cd7ddca2a3a27cd8ec3440 Description: Lazy, infinite trie of integers library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It implements a simple, lazy, infinite trie from integers. Package: libghc-data-lens-dev Source: haskell-data-lens Version: 2.10.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 538 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-comonad-dev-1.1.1.5-6e6e5, libghc-comonad-transformers-dev-2.1.1.1-b7523, libghc-containers-dev-0.4.2.1-7c545, libghc-semigroupoids-dev-1.3.1.2-c6021, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-data-lens-doc, libghc-data-lens-prof Provides: libghc-data-lens-dev-2.10.0-24571 Homepage: http://hackage.haskell.org/package/data-lens Priority: extra Section: haskell Filename: pool/main/h/haskell-data-lens/libghc-data-lens-dev_2.10.0-1_armhf.deb Size: 89666 SHA256: 864d1605bd98d63668182b3c6b26ec4d032a3fc064fc53ca607ad1b399ecd6d0 SHA1: 7be201b9331eb77c521a39eaf7a30fb8b6a2a068 MD5sum: 43fb3254dd72c1944c47882024abe7e1 Description: Haskell 98 Lenses; A lense is a composable notion of a purely functional field accessor. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-lens-doc Source: haskell-data-lens Version: 2.10.0-1 Installed-Size: 377 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-comonad-transformers-doc, libghc-semigroupoids-doc, libghc-transformers-doc, libghc-data-lens-dev Size: 47206 SHA256: b9fb918dd542123cdccbcb212c519a1cf50d6991f33c58b6f2a7128eb48e65f8 SHA1: e4111baa97acb0a56157608423696654a2d74c0b MD5sum: 0335dbb2d2a6b68915bb3dbaae1d90a6 Description: Haskell 98 Lenses; documentation A lense is a composable notion of a purely functional field accessor. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/data-lens Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-data-lens/libghc-data-lens-doc_2.10.0-1_all.deb Package: libghc-data-lens-prof Source: haskell-data-lens Version: 2.10.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 510 Depends: libghc-data-lens-dev (= 2.10.0-1), libghc-base-prof-4.5.0.0-d93df, libghc-comonad-prof-1.1.1.5-6e6e5, libghc-comonad-transformers-prof-2.1.1.1-b7523, libghc-containers-prof-0.4.2.1-7c545, libghc-semigroupoids-prof-1.3.1.2-c6021, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-data-lens-prof-2.10.0-24571 Homepage: http://hackage.haskell.org/package/data-lens Priority: extra Section: haskell Filename: pool/main/h/haskell-data-lens/libghc-data-lens-prof_2.10.0-1_armhf.deb Size: 102256 SHA256: b1ee8d2f2a5d11294129bc10abc587017929fc6b8575474595448239f036837b SHA1: 981674cfb2cf60bb6279a6a9758d707e24f815aa MD5sum: 09158904c064d65064e036447140855e Description: Haskell 98 Lenses; profiling data A lense is a composable notion of a purely functional field accessor. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-data-memocombinators-dev Source: haskell-data-memocombinators Version: 0.4.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 181 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-data-inttrie-dev-0.0.7-e8bc6, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-data-memocombinators-doc, libghc-data-memocombinators-prof Provides: libghc-data-memocombinators-dev-0.4.3-75ae3 Homepage: http://hackage.haskell.org/package/data-memocombinators Priority: extra Section: haskell Filename: pool/main/h/haskell-data-memocombinators/libghc-data-memocombinators-dev_0.4.3-1_armhf.deb Size: 34168 SHA256: a3d72bb92a69a22f6689606f05b2a4e4c9ef77855d449d555cc9ea9ba638cedb SHA1: 24e53699c6695d979b413b98d3f519727b76cd96 MD5sum: 00fd381361454ca2de556ebed1e61e8d Description: Combinators for building memo tables library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains combinators for building memo tables. Package: libghc-data-memocombinators-doc Source: haskell-data-memocombinators Version: 0.4.3-1 Installed-Size: 146 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-data-memocombinators-dev Size: 32322 SHA256: bdb8f06a97fb250173739a04b4ad89876bca17e4287c4e11a852fd09d8df0256 SHA1: 60d1f66474bd327e3dc3dd8b5b392232079c04f2 MD5sum: c3919ba22a3f9e8f2afba6ecbf40d6bd Description: Combinators for building memo tables library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains combinators for building memo tables. Homepage: http://hackage.haskell.org/package/data-memocombinators Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-data-memocombinators/libghc-data-memocombinators-doc_0.4.3-1_all.deb Package: libghc-data-memocombinators-prof Source: haskell-data-memocombinators Version: 0.4.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 167 Depends: libghc-data-memocombinators-dev (= 0.4.3-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-data-inttrie-prof-0.0.7-e8bc6 Provides: libghc-data-memocombinators-prof-0.4.3-75ae3 Homepage: http://hackage.haskell.org/package/data-memocombinators Priority: extra Section: haskell Filename: pool/main/h/haskell-data-memocombinators/libghc-data-memocombinators-prof_0.4.3-1_armhf.deb Size: 32568 SHA256: 862f72da1e073f8c4421a8fa339a80e75a8c878a92175bc7b8ae690169effe1a SHA1: 3e5cf24e2d16e67de2d51eced878e1c8fc34319d MD5sum: ba65db1609a3e5544c5be00a01bdd9b6 Description: Combinators for building memo tables library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It contains combinators for building memo tables. Package: libghc-data-object-yaml-doc Source: haskell-data-object-yaml Version: 0.3.3.5-3 Installed-Size: 191 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-16 Recommends: ghc-doc, libghc-data-object-doc, libghc-failure-doc, libghc-text-doc, libghc-yaml-doc, libghc-data-object-yaml-dev Size: 39312 SHA256: ffb7f07969d215bface5862ac3999337e322338c8ba6c585f487dfb46a21852d SHA1: e058496da8c64c4c09648958b3a637113090b883 MD5sum: 974fb7ffb5dacfbe4787f57194a9004e Description: create, compare, parse and print UUIDs; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library is useful for creating, comparing, parsing and printing Universally Unique Identifiers. See http://en.wikipedia.org/wiki/UUID for the general idea. Homepage: http://hackage.haskell.org/package/data-object-yaml Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-data-object-yaml/libghc-data-object-yaml-doc_0.3.3.5-3_all.deb Package: libghc-dataenc-dev Source: haskell-dataenc Version: 0.14.0.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1122 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545 Suggests: libghc-dataenc-doc, libghc-dataenc-prof Provides: libghc-dataenc-dev-0.14.0.3-85e41 Homepage: http://hackage.haskell.org/package/dataenc Priority: extra Section: haskell Filename: pool/main/h/haskell-dataenc/libghc-dataenc-dev_0.14.0.3-1_armhf.deb Size: 265544 SHA256: 5e086ecdda9cf6e8a6789d695ebe2aaab762c2cf6c6cd97e4ff3f1b12a38fcd3 SHA1: af8f7006d0cc7fc888669e9ad3822991a6d9950b MD5sum: dc6017f0b8b22ad1a8f106977aff5c74 Description: A Haskell library of data encoders and decoders . Data encoding library currently providing Base16, Base32, Base32Hex, Base64, Base64Url, Base85, Python string escaping, Quoted-Printable, URL encoding, uuencode, xxencode, and yEncoding. . This package contains the libraries compiled for GHC. Package: libghc-dataenc-doc Source: haskell-dataenc Version: 0.14.0.3-1 Installed-Size: 730 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-dataenc-dev Size: 75914 SHA256: 40d3906150b1e57209e020ae3c65ef208dbf09f20468fe5768b8143aac171900 SHA1: 7c1d7ff445fec898318c69590ff1648259810dc7 MD5sum: 99b4a2abc58a3f9b6135a63fd8cb011e Description: Documentation for dataenc encoders and decoders . Data encoding library currently providing Base16, Base32, Base32Hex, Base64, Base64Url, Base85, Python string escaping, Quoted-Printable, URL encoding, uuencode, xxencode, and yEncoding. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/dataenc Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dataenc/libghc-dataenc-doc_0.14.0.3-1_all.deb Package: libghc-dataenc-prof Source: haskell-dataenc Version: 0.14.0.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1002 Depends: libghc-dataenc-dev (= 0.14.0.3-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545 Provides: libghc-dataenc-prof-0.14.0.3-85e41 Homepage: http://hackage.haskell.org/package/dataenc Priority: extra Section: haskell Filename: pool/main/h/haskell-dataenc/libghc-dataenc-prof_0.14.0.3-1_armhf.deb Size: 255948 SHA256: 972efab93bdc0764ae74fe12c1451198bfe10906454b04dc782b0072bd332825 SHA1: b258ed4961706466b8d0ad5af09eba2a96df375a MD5sum: 6c6792483933b70d93779f1ad8112110 Description: Profiling libraries for dataenc encoders and decoders . Data encoding library currently providing Base16, Base32, Base32Hex, Base64, Base64Url, Base85, Python string escaping, Quoted-Printable, URL encoding, uuencode, xxencode, and yEncoding. . This package contains the profiling libraries compiled for GHC. Package: libghc-datetime-dev Source: haskell-datetime Version: 0.2.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 251 Depends: libghc-quickcheck-dev-2.4.2-170f1, libghc-base-dev-4.5.0.0-d93df, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-time-dev-1.4-ec63b, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-datetime-doc, libghc-datetime-prof Provides: libghc-datetime-dev-0.2.1-708c3 Homepage: http://hackage.haskell.org/package/datetime Priority: extra Section: haskell Filename: pool/main/h/haskell-datetime/libghc-datetime-dev_0.2.1-3_armhf.deb Size: 51608 SHA256: 1b3115a989192abbb1f80688cf4b9ccf4820c6e67d4827fa5d0584b7eb9a46c0 SHA1: 0a005e146a68e865e85d0456f0d3f4468fa2d0d2 MD5sum: 0baf78dbedb23ffbc4f6a9bfdb4fb6d0 Description: Haskell library to convert date and time formats - GHC libraries This Haskell library provides several utilities for easily converting among the various standard library Date and Time types, and for converting between these and standard external representations. . This package contains the libraries compiled for GHC. Package: libghc-datetime-doc Source: haskell-datetime Version: 0.2.1-3 Installed-Size: 143 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-datetime-dev Size: 31892 SHA256: c3627c541ad00100e68794253496cda728f7dad455dab3ddbee188c598d7fc91 SHA1: d6ae1109b7cdd5ff8505dea9ec4a5555bca03535 MD5sum: 13c67d086003857184b505e2b4dacb63 Description: Haskell library to convert date and time formats - documentation This Haskell library provides several utilities for easily converting among the various standard library Date and Time types, and for converting between these and standard external representations. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/datetime Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-datetime/libghc-datetime-doc_0.2.1-3_all.deb Package: libghc-datetime-prof Source: haskell-datetime Version: 0.2.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 200 Depends: libghc-datetime-dev (= 0.2.1-3), libghc-quickcheck-prof-2.4.2-170f1, libghc-base-prof-4.5.0.0-d93df, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-time-prof-1.4-ec63b Provides: libghc-datetime-prof-0.2.1-708c3 Homepage: http://hackage.haskell.org/package/datetime Priority: extra Section: haskell Filename: pool/main/h/haskell-datetime/libghc-datetime-prof_0.2.1-3_armhf.deb Size: 42024 SHA256: 280c941932b97c07d4d2f600f0a7ef7a8c71d94b0f7920b645a2154f432dfe49 SHA1: 56ddae3345e6c743e62b2b9421c652cf385d335c MD5sum: 3be7313d98e04dcf895f9c7bc48ec5aa Description: Haskell library to convert date and time formats - GHC profiling libraries This Haskell library provides several utilities for easily converting among the various standard library Date and Time types, and for converting between these and standard external representations. . This package contains the profiling libraries compiled for GHC. Package: libghc-dbus-dev Source: haskell-dbus Version: 0.10.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 5962 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-cereal-dev-0.3.5.2-b01d7, libghc-containers-dev-0.4.2.1-7c545, libghc-libxml-sax-dev-0.7.2-c8bca, libghc-network-dev-2.3.0.13-9c99a, libghc-parsec-dev-3.1.2-aa52f, libghc-random-dev-1.0.1.1-344e2, libghc-text-dev-0.11.2.0-05a46, libghc-transformers-dev-0.3.0.0-e8222, libghc-unix-dev-2.5.1.0-77272, libghc-vector-dev-0.9.1-8e782, libghc-xml-types-dev-0.3.1-f9412, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libxml2 (>= 2.6.27) Suggests: libghc-dbus-doc, libghc-dbus-prof Provides: libghc-dbus-dev-0.10.3-bf1ff Homepage: https://john-millikin.com/software/haskell-dbus/ Priority: optional Section: haskell Filename: pool/main/h/haskell-dbus/libghc-dbus-dev_0.10.3-1_armhf.deb Size: 1300726 SHA256: bc36c7de14b1b915947d14a885ce1fbc154eed45ad03a836b8300a2b5e35fd6b SHA1: 40810dba19b3bf60c01d5a39d795434c14f44335 MD5sum: e98abc5a5381338ea6ce8659b1466bfd Description: Haskell implementation of D-Bus D-Bus is a simple, message-based protocol for inter-process communication, which allows applications to interact with other parts of the machine and the user's session using remote procedure calls. . haskell-dbus is an implementation of the D-Bus protocol in Haskell. It can be used to add D-Bus support to Haskell applications, without the awkward interfaces common to foreign bindings. . This package provides a library written in the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dbus-doc Source: haskell-dbus Version: 0.10.3-1 Installed-Size: 1673 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-network-doc, libghc-text-doc, libghc-vector-doc, libghc-dbus-dev Size: 168864 SHA256: 46c1f7912d9b4357aae1ee1134adaa9df90bd187956143c8f280f9428da8d099 SHA1: fd46fbfc95037f4cdd4e2261873e1cb749b5f675 MD5sum: 6318c8654bac8f143ac8a9600335fd7a Description: Haskell implementation of D-Bus; documentation D-Bus is a simple, message-based protocol for inter-process communication, which allows applications to interact with other parts of the machine and the user's session using remote procedure calls. . haskell-dbus is an implementation of the D-Bus protocol in Haskell. It can be used to add D-Bus support to Haskell applications, without the awkward interfaces common to foreign bindings. . This package provides documentation for a library written in the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: https://john-millikin.com/software/haskell-dbus/ Section: doc Priority: optional Filename: pool/main/h/haskell-dbus/libghc-dbus-doc_0.10.3-1_all.deb Package: libghc-dbus-prof Source: haskell-dbus Version: 0.10.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 5475 Depends: libghc-dbus-dev (= 0.10.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-cereal-prof-0.3.5.2-b01d7, libghc-containers-prof-0.4.2.1-7c545, libghc-libxml-sax-prof-0.7.2-c8bca, libghc-network-prof-2.3.0.13-9c99a, libghc-parsec-prof-3.1.2-aa52f, libghc-random-prof-1.0.1.1-344e2, libghc-text-prof-0.11.2.0-05a46, libghc-transformers-prof-0.3.0.0-e8222, libghc-unix-prof-2.5.1.0-77272, libghc-vector-prof-0.9.1-8e782, libghc-xml-types-prof-0.3.1-f9412 Provides: libghc-dbus-prof-0.10.3-bf1ff Homepage: https://john-millikin.com/software/haskell-dbus/ Priority: optional Section: haskell Filename: pool/main/h/haskell-dbus/libghc-dbus-prof_0.10.3-1_armhf.deb Size: 1160454 SHA256: 58195ebb177a5d054d09740571d684740bb86c70ef42eed61c7519dc1da25ecb SHA1: bd4085ebb4cf10661473d7a43de9893d136c5129 MD5sum: f574d3adec52292f9042de337d9eb300 Description: Haskell implementation of D-Bus; profiling libraries D-Bus is a simple, message-based protocol for inter-process communication, which allows applications to interact with other parts of the machine and the user's session using remote procedure calls. . haskell-dbus is an implementation of the D-Bus protocol in Haskell. It can be used to add D-Bus support to Haskell applications, without the awkward interfaces common to foreign bindings. . This package provides a library written in the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-debian-dev Source: haskell-debian Version: 3.64-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 6123 Depends: libghc-cabal-dev-1.14.0-3e0a6, libghc-haxml-dev-1.22.5-4395c, libghc-unixutils-dev-1.50-fe002, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-bzlib-dev-0.5.0.3-3a51f, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-mtl-dev-2.1.1-87121, libghc-network-dev-2.3.0.13-9c99a, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-parsec-dev-3.1.2-aa52f, libghc-pretty-dev-1.1.1.0-7e118, libghc-process-dev-1.1.0.1-75c65, libghc-puremd5-dev-2.1.0.3-2aefa, libghc-regex-compat-dev-0.95.1-e5a39, libghc-regex-tdfa-dev-1.1.8-b8281, libghc-time-dev-1.4-ec63b, libghc-unix-dev-2.5.1.0-77272, libghc-utf8-string-dev-0.3.7-c7280, libghc-zlib-dev-0.5.3.3-9ed15, libbz2-1.0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4) Suggests: libghc-debian-doc, libghc-debian-prof Provides: libghc-debian-dev-3.64-1a998 Homepage: http://hackage.haskell.org/package/debian Priority: extra Section: haskell Filename: pool/main/h/haskell-debian/libghc-debian-dev_3.64-3_armhf.deb Size: 1337304 SHA256: f3d226819408f7bbb26fe9abe54a1e33ce88343e790b4b77f10c9440e20c0d1c SHA1: bd7a734b093bb476e0626c98001a5843ff0b0036 MD5sum: 6f588b19e244c24b244b0ac7eaf17832 Description: Haskell library for working with the Debian package system This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library includes modules covering almost every aspect of the Debian packaging system, including low level data types such as version numbers and dependency relations, on up to the types necessary for computing and installing build dependencies, building source and binary packages, and inserting them into a repository. . This package contains the libraries compiled for GHC. Package: libghc-debian-doc Source: haskell-debian Version: 3.64-3 Installed-Size: 1522 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-haxml-doc, libghc-network-doc, libghc-parsec3-doc, libghc-transformers-doc, libghc-debian-dev Size: 163772 SHA256: 97f6894de8e63e5efdb22a4d67b59d9f94d35b94f6178408ea247f7030844ee2 SHA1: c9ec9180290350ea2ffbe6a68fdc692fada212f0 MD5sum: d4cf7af81601e6c09dd685ea892e9900 Description: Documentation for Debian package system library This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library includes modules covering almost every aspect of the Debian packaging system, including low level data types such as version numbers and dependency relations, on up to the types necessary for computing and installing build dependencies, building source and binary packages, and inserting them into a repository. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/debian Tag: admin::package-management, devel::debian, devel::doc, devel::lang:haskell, role::documentation, suite::debian Section: doc Priority: extra Filename: pool/main/h/haskell-debian/libghc-debian-doc_3.64-3_all.deb Package: libghc-debian-prof Source: haskell-debian Version: 3.64-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 5302 Depends: libghc-debian-dev (= 3.64-3), libghc-cabal-prof-1.14.0-3e0a6, libghc-haxml-prof-1.22.5-4395c, libghc-unixutils-prof-1.50-fe002, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-bzlib-prof-0.5.0.3-3a51f, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-mtl-prof-2.1.1-87121, libghc-network-prof-2.3.0.13-9c99a, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-parsec-prof-3.1.2-aa52f, libghc-pretty-prof-1.1.1.0-7e118, libghc-process-prof-1.1.0.1-75c65, libghc-puremd5-prof-2.1.0.3-2aefa, libghc-regex-compat-prof-0.95.1-e5a39, libghc-regex-tdfa-prof-1.1.8-b8281, libghc-time-prof-1.4-ec63b, libghc-unix-prof-2.5.1.0-77272, libghc-utf8-string-prof-0.3.7-c7280, libghc-zlib-prof-0.5.3.3-9ed15 Provides: libghc-debian-prof-3.64-1a998 Homepage: http://hackage.haskell.org/package/debian Priority: extra Section: haskell Filename: pool/main/h/haskell-debian/libghc-debian-prof_3.64-3_armhf.deb Size: 1155948 SHA256: 3fb968901bcc240a1636deded27695d88c823179174ff0f72580ba0220511a4e SHA1: b3699f75f46bfec4a41931ecce26615cd44c844b MD5sum: a63094a8ab5182da1251e2e0e1392247 Description: Profiling library for working with the Debian package system This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library includes modules covering almost every aspect of the Debian packaging system, including low level data types such as version numbers and dependency relations, on up to the types necessary for computing and installing build dependencies, building source and binary packages, and inserting them into a repository. . This package contains the profiling libraries compiled for GHC. Package: libghc-deepseq-dev Source: haskell-deepseq Version: 1.1.0.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 232 Depends: libghc-array-dev-0.3.0.2-86a19, libghc-base-dev-4.3.1.0-97817, libghc-containers-dev-0.4.0.0-ad7e4, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-deepseq-doc, libghc-deepseq-prof Provides: libghc-deepseq-dev-1.1.0.2-4487c Homepage: http://hackage.haskell.org/package/deepseq Priority: extra Section: haskell Filename: pool/main/h/haskell-deepseq/libghc-deepseq-dev_1.1.0.2-2_armhf.deb Size: 37158 SHA256: 4f5102477bfe28ccff34580f4dcca2e81f88268232a6ee448295e9b7aad30531 SHA1: 79281d4953b6454c24253d9b53d4ddd4a3765f8a MD5sum: 5b41d157b64f803cc5d81cc19e0583ca Description: "Deep" version for Haskell seq - GHC 6 libraries Evaluates a full Haskell data structure, where the lazy Haskell interpreter normally would skip it. . This package contains the libraries compiled for GHC 6. Package: libghc-deepseq-doc Source: haskell-deepseq Version: 1.1.0.2-2 Installed-Size: 180 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-16 Recommends: ghc-doc Suggests: libghc-deepseq-dev Size: 29788 SHA256: ff78b08fbd47f84616513dfe9767d2374a248dc4712ea1b3d623a6651c9baa5c SHA1: fc6c29c5f3f0ef344e52fac9b71b2ed914b441a2 MD5sum: 46919ae9e691c5c616eebad42153daa7 Description: "Deep" version for Haskell seq - documentation Evaluates a full Haskell data structure, where the lazy Haskell interpreter normally would skip it. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/deepseq Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-deepseq/libghc-deepseq-doc_1.1.0.2-2_all.deb Package: libghc-deepseq-prof Source: haskell-deepseq Version: 1.1.0.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 182 Depends: libghc-deepseq-dev (= 1.1.0.2-2), libghc-array-prof-0.3.0.2-86a19, libghc-base-prof-4.3.1.0-97817, libghc-containers-prof-0.4.0.0-ad7e4 Provides: libghc-deepseq-prof-1.1.0.2-4487c Homepage: http://hackage.haskell.org/package/deepseq Priority: extra Section: haskell Filename: pool/main/h/haskell-deepseq/libghc-deepseq-prof_1.1.0.2-2_armhf.deb Size: 30604 SHA256: d30dbaf40efde5383d217012dbde349bdd84ffde85ebeb5e82042b66670f0085 SHA1: 8ed06b84f6a252a6111a927240eeb09e7516a66e MD5sum: 60fbfad0869f5ffbddc737a086f79e6e Description: "Deep" version for Haskell seq - GHC 6 profiling libraries Evaluates a full Haskell data structure, where the lazy Haskell interpreter normally would skip it. . This package contains the profiling libraries compiled for GHC 6. Package: libghc-diagrams-cairo-dev Source: haskell-diagrams-cairo Version: 0.5.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1062 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-cairo-dev-0.12.3-e5398, libghc-cmdargs-dev-0.9.5-bc5b3, libghc-diagrams-core-dev-0.5.0.1-bd87d, libghc-diagrams-lib-dev-0.5-25bdd, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-gtk-dev-0.12.3-8d42f, libghc-mtl-dev-2.1.1-87121, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-process-dev-1.1.0.1-75c65, libghc-split-dev-0.1.4.2-ccb3b, libghc-unix-dev-2.5.1.0-77272, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgmp10, libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4) Suggests: libghc-diagrams-cairo-doc, libghc-diagrams-cairo-prof, libghc-diagrams-dev Provides: libghc-diagrams-cairo-dev-0.5.0.2-4b2c7 Homepage: http://hackage.haskell.org/package/diagrams-cairo Priority: extra Section: haskell Filename: pool/main/h/haskell-diagrams-cairo/libghc-diagrams-cairo-dev_0.5.0.2-1_armhf.deb Size: 228716 SHA256: 3f5e63d55e7c9157ff5d0cf4c805ea680da4d996ed4e1f073b5bc3eea41af060 SHA1: d75e5689a5fbe36fbb1b34e7b42dfcd018e9f4b9 MD5sum: d293919f9c2d9e0e4227e7e2b75e7100 Description: Cairo backend for the diagrams drawing EDSL A full-featured backend for rendering diagrams using the cairo rendering engine. To get started, see "Diagrams.Backend.Cairo.CmdLine". . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-diagrams-cairo-doc Source: haskell-diagrams-cairo Version: 0.5.0.2-1 Installed-Size: 356 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cairo-doc, libghc-diagrams-core-doc, libghc-diagrams-lib-doc, libghc-gtk-doc, libghc-mtl-doc, libghc-diagrams-cairo-dev Suggests: libghc-diagrams-doc Size: 64944 SHA256: 913ab14a9f5b6338df73e63241be5c6a8957da3f94d341ff8d0273ac65f36ae6 SHA1: a083e7dee9dc5946244c2c6ef5bc2e1440761f34 MD5sum: f1a40dc082b13a4302ba36d69cdc5c82 Description: Cairo backend for the diagrams drawing EDSL; documentation A full-featured backend for rendering diagrams using the cairo rendering engine. To get started, see "Diagrams.Backend.Cairo.CmdLine". . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/diagrams-cairo Section: doc Priority: extra Filename: pool/main/h/haskell-diagrams-cairo/libghc-diagrams-cairo-doc_0.5.0.2-1_all.deb Package: libghc-diagrams-cairo-prof Source: haskell-diagrams-cairo Version: 0.5.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 956 Depends: libghc-diagrams-cairo-dev (= 0.5.0.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-cairo-prof-0.12.3-e5398, libghc-cmdargs-prof-0.9.5-bc5b3, libghc-diagrams-core-prof-0.5.0.1-bd87d, libghc-diagrams-lib-prof-0.5-25bdd, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-gtk-prof-0.12.3-8d42f, libghc-mtl-prof-2.1.1-87121, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-process-prof-1.1.0.1-75c65, libghc-split-prof-0.1.4.2-ccb3b, libghc-unix-prof-2.5.1.0-77272 Suggests: libghc-diagrams-prof Provides: libghc-diagrams-cairo-prof-0.5.0.2-4b2c7 Homepage: http://hackage.haskell.org/package/diagrams-cairo Priority: extra Section: haskell Filename: pool/main/h/haskell-diagrams-cairo/libghc-diagrams-cairo-prof_0.5.0.2-1_armhf.deb Size: 208028 SHA256: fae3befe1f24116811129ad9ff725ef8f956c191906f86ebfa08de93a276746c SHA1: 6846653d71f87df5345a2641df365860e7cf5c81 MD5sum: b7725db464750b1758ec175bbba2ddc4 Description: Cairo backend for the diagrams drawing EDSL; profiling libraries A full-featured backend for rendering diagrams using the cairo rendering engine. To get started, see "Diagrams.Backend.Cairo.CmdLine". . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-diagrams-core-dev Source: haskell-diagrams-core Version: 0.5.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2696 Depends: libghc-memotrie-dev-0.5-8d65d, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-newtype-dev-0.2-0c5fe, libghc-semigroups-dev-0.8.3.2-01002, libghc-vector-space-dev-0.8.1-23447, libghc-vector-space-points-dev-0.1.1.0-0c2ef, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-diagrams-core-doc, libghc-diagrams-core-prof, libghc-diagrams-dev Provides: libghc-diagrams-core-dev-0.5.0.1-bd87d Homepage: http://hackage.haskell.org/package/diagrams-core Priority: extra Section: haskell Filename: pool/main/h/haskell-diagrams-core/libghc-diagrams-core-dev_0.5.0.1-1_armhf.deb Size: 513944 SHA256: 3fb3a9a35dd37e50c864bfefb52d8388a7ba5f9b0a81888c6a32fc7319687647 SHA1: b3b45d1c6b4e13daac9aaec77e09b8c882ed6518 MD5sum: f1f38d72e8603fcb0749297870f3af40 Description: Core libraries for diagrams EDSL The core modules underlying diagrams, an embedded domain-specific language for compositional, declarative drawing. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-diagrams-core-doc Source: haskell-diagrams-core Version: 0.5.0.1-1 Installed-Size: 1433 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-memotrie-doc, libghc-newtype-doc, libghc-semigroups-doc, libghc-vector-space-doc, libghc-diagrams-core-dev Suggests: libghc-diagrams-doc Size: 180344 SHA256: 5c723d4de859c1319b0b7510cb0ede11aecda41a80994d86853159c9fa2af1a8 SHA1: 8873e464e543e9ec7ea8fa20bbcfb0c2ddbf2a87 MD5sum: b63fa526ed46976e0d4edda50ae24562 Description: Core libraries for diagrams EDSL; documentation The core modules underlying diagrams, an embedded domain-specific language for compositional, declarative drawing. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/diagrams-core Section: doc Priority: extra Filename: pool/main/h/haskell-diagrams-core/libghc-diagrams-core-doc_0.5.0.1-1_all.deb Package: libghc-diagrams-core-prof Source: haskell-diagrams-core Version: 0.5.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2617 Depends: libghc-diagrams-core-dev (= 0.5.0.1-1), libghc-memotrie-prof-0.5-8d65d, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-newtype-prof-0.2-0c5fe, libghc-semigroups-prof-0.8.3.2-01002, libghc-vector-space-prof-0.8.1-23447, libghc-vector-space-points-prof-0.1.1.0-0c2ef Suggests: libghc-diagrams-prof Provides: libghc-diagrams-core-prof-0.5.0.1-bd87d Homepage: http://hackage.haskell.org/package/diagrams-core Priority: extra Section: haskell Filename: pool/main/h/haskell-diagrams-core/libghc-diagrams-core-prof_0.5.0.1-1_armhf.deb Size: 533640 SHA256: 9065140f25d6b0cbbe417dba163390b90b12a0cc1cf6137364a1618254d4dc14 SHA1: d934a12a04de209eba7fb46db82deb3c680a09b5 MD5sum: 758647a3a3b35a1ac179842685960d5c Description: Core libraries for diagrams EDSL; profiling libraries The core modules underlying diagrams, an embedded domain-specific language for compositional, declarative drawing. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-diagrams-dev Source: haskell-diagrams Version: 0.5-2 Installed-Size: 28 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-diagrams-core-dev (>= 0.5), libghc-diagrams-core-dev (<< 0.6), libghc-diagrams-lib-dev (>= 0.5), libghc-diagrams-lib-dev (<< 0.6), libghc-diagrams-cairo-dev (>= 0.5), libghc-diagrams-cairo-dev (<< 0.6) Size: 4582 SHA256: 3c3bc6c4a0db157f64e7bc0ef5c4a443b118fc2fd734d2ba6bb442da557cfa0d SHA1: e30cc8132932d8ce8d79ec776a44b9698b5b107b MD5sum: bc7dcd319ee2ac9e220293c3ceee8f12 Description: Embedded domain-specific language for declarative vector graphics This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Diagrams is an embedded domain-specific langauge for declarative vector graphics. This package is just a wrapper that depends on the diagrams-core, diagrams-lib, and diagrams-cairo packages. Homepage: http://hackage.haskell.org/package/diagrams Section: haskell Priority: extra Filename: pool/main/h/haskell-diagrams/libghc-diagrams-dev_0.5-2_all.deb Package: libghc-diagrams-doc Source: haskell-diagrams Version: 0.5-2 Installed-Size: 28 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-diagrams-core-doc, libghc-diagrams-lib-doc, libghc-diagrams-cairo-doc Size: 4572 SHA256: b915c312e785fcd0c0d4e1229f7fc16ffcd4482f97aa3da021e49f2a29e6b343 SHA1: 7057a5d7082082e81d815219cc545ad0058c7341 MD5sum: 96c719a02c62a557317dd5a889e6b011 Description: Embedded domain-specific language for declarative vector graphics; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Diagrams is an embedded domain-specific langauge for declarative vector graphics. This package is just a wrapper that depends on the diagrams-core, diagrams-lib, and diagrams-cairo packages. Homepage: http://hackage.haskell.org/package/diagrams Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-diagrams/libghc-diagrams-doc_0.5-2_all.deb Package: libghc-diagrams-lib-dev Source: haskell-diagrams-lib Version: 0.5-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4677 Depends: libghc-numinstances-dev-1.0-a7781, libghc-active-dev-0.1.0.1-62ba3, libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-colour-dev-2.3.3-4eaa6, libghc-containers-dev-0.4.2.1-7c545, libghc-data-default-dev-0.4.0-329c5, libghc-diagrams-core-dev-0.5.0.1-bd87d, libghc-newtype-dev-0.2-0c5fe, libghc-pretty-dev-1.1.1.0-7e118, libghc-semigroups-dev-0.8.3.2-01002, libghc-vector-space-dev-0.8.1-23447, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-diagrams-lib-doc, libghc-diagrams-lib-prof, libghc-diagrams-dev Provides: libghc-diagrams-lib-dev-0.5-25bdd Homepage: http://hackage.haskell.org/package/diagrams-lib Priority: extra Section: haskell Filename: pool/main/h/haskell-diagrams-lib/libghc-diagrams-lib-dev_0.5-2_armhf.deb Size: 1013614 SHA256: 6d574b8ca7e5862fc1be4b50b9572a06b937e49ab08b14d14f2d67f7f3472746 SHA1: 4328463158e8e2efd874e8ab8df51f300a90d426 MD5sum: 5d9c31c094d83409c10c0c0460158762 Description: Embedded domain-specific language for declarative graphics Diagrams is a flexible, extensible EDSL for creating graphics of many types. Graphics can be created in arbitrary vector spaces and rendered with multiple backends. diagrams-lib provides a standard library of primitives and operations for creating diagrams. To get started using it, see "Diagrams.Prelude". . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-diagrams-lib-doc Source: haskell-diagrams-lib Version: 0.5-2 Installed-Size: 2390 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-active-doc, libghc-colour-doc, libghc-data-default-doc, libghc-diagrams-core-doc, libghc-memotrie-doc, libghc-newtype-doc, libghc-semigroupoids-doc, libghc-semigroups-doc, libghc-transformers-doc, libghc-vector-space-doc, libghc-diagrams-lib-dev Suggests: libghc-diagrams-doc Size: 279434 SHA256: 2d72cbda9a09bb7a2ee79430794fcc496deeaad6579ab81d1c11b56cf6a14c91 SHA1: 6c3628ad70b93455082c98d1b6297679826d1b19 MD5sum: c45a468b0b2b0d16553f0499c0c43696 Description: Embedded domain-specific language for declarative graphics; documentation Diagrams is a flexible, extensible EDSL for creating graphics of many types. Graphics can be created in arbitrary vector spaces and rendered with multiple backends. diagrams-lib provides a standard library of primitives and operations for creating diagrams. To get started using it, see "Diagrams.Prelude". . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/diagrams-lib Section: doc Priority: extra Filename: pool/main/h/haskell-diagrams-lib/libghc-diagrams-lib-doc_0.5-2_all.deb Package: libghc-diagrams-lib-prof Source: haskell-diagrams-lib Version: 0.5-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4432 Depends: libghc-diagrams-lib-dev (= 0.5-2), libghc-numinstances-prof-1.0-a7781, libghc-active-prof-0.1.0.1-62ba3, libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-colour-prof-2.3.3-4eaa6, libghc-containers-prof-0.4.2.1-7c545, libghc-data-default-prof-0.4.0-329c5, libghc-diagrams-core-prof-0.5.0.1-bd87d, libghc-newtype-prof-0.2-0c5fe, libghc-pretty-prof-1.1.1.0-7e118, libghc-semigroups-prof-0.8.3.2-01002, libghc-vector-space-prof-0.8.1-23447 Suggests: libghc-diagrams-prof Provides: libghc-diagrams-lib-prof-0.5-25bdd Homepage: http://hackage.haskell.org/package/diagrams-lib Priority: extra Section: haskell Filename: pool/main/h/haskell-diagrams-lib/libghc-diagrams-lib-prof_0.5-2_armhf.deb Size: 998332 SHA256: 5a4ae5e7619291a3f25ddefbb04cff3228ffe6153b22aa0b0f9d5d3e7de452d6 SHA1: bdb9ea82f32b1fa3c4d116cc5944138631fe3878 MD5sum: 8f5c5e503f408e39fc67f22fe71383c0 Description: Embedded domain-specific language for declarative graphics; profiling libraries Diagrams is a flexible, extensible EDSL for creating graphics of many types. Graphics can be created in arbitrary vector spaces and rendered with multiple backends. diagrams-lib provides a standard library of primitives and operations for creating diagrams. To get started using it, see "Diagrams.Prelude". . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-diagrams-prof Source: haskell-diagrams Version: 0.5-2 Installed-Size: 28 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-diagrams-dev (= 0.5-2), libghc-diagrams-core-prof, libghc-diagrams-lib-prof, libghc-diagrams-cairo-prof Size: 4592 SHA256: 7db64307f94bad5efb0d29634f4c7051dc213fac15f4a44eb2769c7ffe7b6bbd SHA1: aeb5d58c50b5684b5cdbbbaa932c2f9e80bf4e45 MD5sum: beffebee58f5f7f656a35d52c1530dc3 Description: Embedded domain-specific language for declarative vector graphics; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Diagrams is an embedded domain-specific langauge for declarative vector graphics. This package is just a wrapper that depends on the diagrams-core, diagrams-lib, and diagrams-cairo packages. Homepage: http://hackage.haskell.org/package/diagrams Section: haskell Priority: extra Filename: pool/main/h/haskell-diagrams/libghc-diagrams-prof_0.5-2_all.deb Package: libghc-diff-dev Source: haskell-diff Version: 0.1.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 222 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-diff-doc, libghc-diff-prof Provides: libghc-diff-dev-0.1.3-b1170 Homepage: http://hackage.haskell.org/package/Diff Priority: extra Section: haskell Filename: pool/main/h/haskell-diff/libghc-diff-dev_0.1.3-1_armhf.deb Size: 44468 SHA256: 8ed51c051d25306e6fa6d986959f77060fb86c5ed1b3afd659fdc8e90ab965e1 SHA1: 71437839e23cfea4785d2d24c8aa584bb10f2e64 MD5sum: ba930226781c06653827adee6cec0219 Description: GHC libraries for the Haskell O(ND) diff algorithm library This is a Haskell library providing an implementation of the O(ND) diff algorithm described in "An O(ND) Difference Algorithm and Its Variations" (1986) by Eugene W. Myers. It is O(mn) in space. . Whilst the algorithm is the same one used by standared Unix diff, the assumption is that users of this library will want to diff over interesting things or perform interesting tasks with the results. . This package contains the libraries compiled for GHC. Package: libghc-diff-doc Source: haskell-diff Version: 0.1.3-1 Installed-Size: 123 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-diff-dev Size: 30714 SHA256: ff5149dda9b0f8646226bf5244507e32f8804d46988b8655090a8d98636d3b1c SHA1: 7fda7af1fbebfd866fe89b20107bc3a9631c4e76 MD5sum: 7aa80c45ca0db59fc5081033b6829101 Description: GHC libraries for the Haskell O(ND) diff algorithm library (documentation) This is a Haskell library providing an implementation of the O(ND) diff algorithm described in "An O(ND) Difference Algorithm and Its Variations" (1986) by Eugene W. Myers. It is O(mn) in space. . Whilst the algorithm is the same one used by standared Unix diff, the assumption is that users of this library will want to diff over interesting things or perform interesting tasks with the results. . This package contains library documentation. Homepage: http://hackage.haskell.org/package/Diff Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-diff/libghc-diff-doc_0.1.3-1_all.deb Package: libghc-diff-prof Source: haskell-diff Version: 0.1.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 183 Depends: libghc-diff-dev (= 0.1.3-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df Provides: libghc-diff-prof-0.1.3-b1170 Homepage: http://hackage.haskell.org/package/Diff Priority: extra Section: haskell Filename: pool/main/h/haskell-diff/libghc-diff-prof_0.1.3-1_armhf.deb Size: 36358 SHA256: bbbcdab04d918d4f789e6d9ae1c58279b042a8c2a2b825122775a40a28193100 SHA1: 34c68f46683a34713143d657a77406636a1226ff MD5sum: e20f0058b5d0d91d8f37df67e40be83a Description: GHC profiling libraries for the Haskell O(ND) diff algorithm library This is a Haskell library providing an implementation of the O(ND) diff algorithm described in "An O(ND) Difference Algorithm and Its Variations" (1986) by Eugene W. Myers. It is O(mn) in space. . Whilst the algorithm is the same one used by standared Unix diff, the assumption is that users of this library will want to diff over interesting things or perform interesting tasks with the results. . This package contains additional GHC profiling libraries which can be used with libghc-diff-dev Package: libghc-digest-dev Source: haskell-digest Version: 0.0.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 133 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4), zlib1g-dev Suggests: libghc-digest-doc, libghc-digest-prof Provides: libghc-digest-dev-0.0.1.0-4e1ec Homepage: http://hackage.haskell.org/package/digest Priority: extra Section: haskell Filename: pool/main/h/haskell-digest/libghc-digest-dev_0.0.1.0-1_armhf.deb Size: 15394 SHA256: 5782c73c9ee3bc72104950ae4e1d0784a1fb64ff01720466b52401a8885de5a3 SHA1: 6d45190ab46aa14845809dfbaa46d8641dfcee78 MD5sum: 8154fdefc8026b8bc1f664f9f115974f Description: efficient cryptographic hash implementations for bytestrings This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides efficient cryptographic hash implementations for strict and lazy bytestrings. For now, CRC32 and Adler32 are supported; they are implemented as FFI bindings to efficient code from zlib. Package: libghc-digest-doc Source: haskell-digest Version: 0.0.1.0-1 Installed-Size: 123 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-digest-dev Size: 30250 SHA256: 29a7a157bf30b121f25a0b7dcdf129843f0c1d984bd7f9b48209842e9b58966c SHA1: 3a2be5cebba25fc32cb487684b3032672cbfb130 MD5sum: dffc6a5b9228f522e1bd56cc8c05cb86 Description: efficient cryptographic hash implementations bytestrings; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides efficient cryptographic hash implementations for strict and lazy bytestrings. For now, CRC32 and Adler32 are supported; they are implemented as FFI bindings to efficient code from zlib. Homepage: http://hackage.haskell.org/package/digest Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-digest/libghc-digest-doc_0.0.1.0-1_all.deb Package: libghc-digest-prof Source: haskell-digest Version: 0.0.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 109 Depends: libghc-digest-dev (= 0.0.1.0-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-digest-prof-0.0.1.0-4e1ec Homepage: http://hackage.haskell.org/package/digest Priority: extra Section: haskell Filename: pool/main/h/haskell-digest/libghc-digest-prof_0.0.1.0-1_armhf.deb Size: 15154 SHA256: 7af56307c8c66fd9250d529d6d107801bd6c4a4036f754da75608eeec6d60730 SHA1: a1d10a9b7995921109de343f75d70c322c16aeda MD5sum: 613a4efecede731b52aaa6e20819565f Description: efficient cryptographic hash implementations bytestrings; profiling library This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package provides efficient cryptographic hash implementations for strict and lazy bytestrings. For now, CRC32 and Adler32 are supported; they are implemented as FFI bindings to efficient code from zlib. Package: libghc-dimensional-dev Source: haskell-dimensional Version: 0.10.1.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1108 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-numtype-dev-1.0-45cc7, libghc-time-dev-1.4-ec63b, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-dimensional-doc, libghc-dimensional-prof Provides: libghc-dimensional-dev-0.10.1.2-490be Homepage: http://hackage.haskell.org/package/dimensional Priority: extra Section: haskell Filename: pool/main/h/haskell-dimensional/libghc-dimensional-dev_0.10.1.2-2_armhf.deb Size: 205114 SHA256: 543a28279ac1448973343bb963b5739307edf009b4d6f2d76c5b1b5e826a7ee6 SHA1: ddd27ac24e48b9bb3c9325cf33763d5425438e9a MD5sum: 4257071f8c26a005e8fe6060d090d128 Description: statically checked physical dimensions Dimensional is a library providing data types for performing arithmetic with physical quantities and units. Information about the physical dimensions of the quantities and units is embedded in their types and the validity of operations is verified by the type checker at compile time. The boxing and unboxing of numerical values as quantities is done by multiplication and division with units. . The library is designed to, as far as is practical, enforce/encourage best practices of unit usage. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dimensional-doc Source: haskell-dimensional Version: 0.10.1.2-2 Installed-Size: 882 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-numtype-doc, libghc-dimensional-dev Size: 98498 SHA256: b9f1a3001eaec5bdbf44717b6cf67d927905dba488cb598f4727ea12983924a1 SHA1: ff4712ca155e4ceca78cae7ba9f088f05464571e MD5sum: 68c04ac96ee80deb8b947ba6abcccada Description: statically checked physical dimensions; documentation Dimensional is a library providing data types for performing arithmetic with physical quantities and units. Information about the physical dimensions of the quantities and units is embedded in their types and the validity of operations is verified by the type checker at compile time. The boxing and unboxing of numerical values as quantities is done by multiplication and division with units. . The library is designed to, as far as is practical, enforce/encourage best practices of unit usage. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/dimensional Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dimensional/libghc-dimensional-doc_0.10.1.2-2_all.deb Package: libghc-dimensional-prof Source: haskell-dimensional Version: 0.10.1.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1038 Depends: libghc-dimensional-dev (= 0.10.1.2-2), libghc-base-prof-4.5.0.0-d93df, libghc-numtype-prof-1.0-45cc7, libghc-time-prof-1.4-ec63b Provides: libghc-dimensional-prof-0.10.1.2-490be Homepage: http://hackage.haskell.org/package/dimensional Priority: extra Section: haskell Filename: pool/main/h/haskell-dimensional/libghc-dimensional-prof_0.10.1.2-2_armhf.deb Size: 200704 SHA256: 647182d17541d0cbe8d0ea2028701675e7811423687fcba58a3ea10746cddb18 SHA1: 61ca64bee24b5edf8595768e3b6626fa1ddbb096 MD5sum: 1976580db36cf6a5039cd96ed68a971f Description: statically checked physical dimensions; profiling data Dimensional is a library providing data types for performing arithmetic with physical quantities and units. Information about the physical dimensions of the quantities and units is embedded in their types and the validity of operations is verified by the type checker at compile time. The boxing and unboxing of numerical values as quantities is done by multiplication and division with units. . The library is designed to, as far as is practical, enforce/encourage best practices of unit usage. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-directory-tree-dev Source: haskell-directory-tree Version: 0.10.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 405 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-directory-tree-doc, libghc-directory-tree-prof Provides: libghc-directory-tree-dev-0.10.0-a0eff Homepage: http://coder.bsimmons.name/blog/2009/05/directory-tree-module-released/ Priority: optional Section: haskell Filename: pool/main/h/haskell-directory-tree/libghc-directory-tree-dev_0.10.0-2_armhf.deb Size: 78350 SHA256: b9200b7a0968db494d3dacd36d7e4c25b9bd19c5d0e13f59da35f87bb7e7a4a9 SHA1: 5b46c07e04ac7dd5755565c6ab954954515b5fe8 MD5sum: bb567863fe870c3cc1a03791c10af9cf Description: simple directory-like tree datatype, with IO functions A simple directory-like tree datatype, with useful IO functions and Foldable and Traversable instance . Provides a simple data structure mirroring a directory tree on the filesystem, as well as useful functions for reading and writing file and directory structures in the IO monad. . This package contains the libraries compiled for GHC. Package: libghc-directory-tree-doc Source: haskell-directory-tree Version: 0.10.0-2 Installed-Size: 238 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-directory-tree-dev Size: 46802 SHA256: c1dd482b7d5c08d3662029efdb9abfd423965d646d5845cbc80170452e36e024 SHA1: e5625e5885c39b4bb76a8d0a9c0c4462319f7bf5 MD5sum: ce603d2333874b31d8bf9f394daf1c2a Description: simple directory-like tree datatype, with IO functions; documentation A simple directory-like tree datatype, with useful IO functions and Foldable and Traversable instance . Provides a simple data structure mirroring a directory tree on the filesystem, as well as useful functions for reading and writing file and directory structures in the IO monad. . This package contains the library documentation. Homepage: http://coder.bsimmons.name/blog/2009/05/directory-tree-module-released/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: optional Filename: pool/main/h/haskell-directory-tree/libghc-directory-tree-doc_0.10.0-2_all.deb Package: libghc-directory-tree-prof Source: haskell-directory-tree Version: 0.10.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 352 Depends: libghc-directory-tree-dev (= 0.10.0-2), libghc-base-prof-4.5.0.0-d93df, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9 Provides: libghc-directory-tree-prof-0.10.0-a0eff Homepage: http://coder.bsimmons.name/blog/2009/05/directory-tree-module-released/ Priority: optional Section: haskell Filename: pool/main/h/haskell-directory-tree/libghc-directory-tree-prof_0.10.0-2_armhf.deb Size: 69024 SHA256: 945d74cb88f0d594a7f352a044a482b386fc33c6b68c82ee13a7cf2eeae19999 SHA1: 94c29f2a42f30d6f2f05753de2e8b8eda90fddd8 MD5sum: 4dee9614e80fb7cd16f5abb1cccd0719 Description: simple directory-like tree datatype, with IO functions; profiling library A simple directory-like tree datatype, with useful IO functions and Foldable and Traversable instance . Provides a simple data structure mirroring a directory tree on the filesystem, as well as useful functions for reading and writing file and directory structures in the IO monad. . This package contains the profiling libraries compiled for GHC. Package: libghc-distributive-dev Source: haskell-distributive Version: 0.2.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 210 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-distributive-doc, libghc-distributive-prof Provides: libghc-distributive-dev-0.2.2-34503 Homepage: http://hackage.haskell.org/package/distributive Priority: extra Section: haskell Filename: pool/main/h/haskell-distributive/libghc-distributive-dev_0.2.2-1_armhf.deb Size: 33396 SHA256: a9b6351e243d67871cde62fd2fad94d881fb5d455561a1efa57c2e8be3c63eed SHA1: 919db5d664d9b3b2b7b4f4499d9a827df601c73e MD5sum: fe194a01dc5a1ec08fd8d5f2752735c6 Description: Haskell 98 Distributive functors -- Dual to Traversable Haskell 98 Distributive functors -- Dual to Traversable. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-distributive-doc Source: haskell-distributive Version: 0.2.2-1 Installed-Size: 118 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-transformers-doc, libghc-distributive-dev Size: 29848 SHA256: 7627d559a1609ad0610bc336ceb16feceecf36235cc1af7cc92c3a481aa913b3 SHA1: 4268260a0d196477457ded16fb9fc39342ad7d20 MD5sum: d9d80138ed31d2fa386daf360f777d22 Description: Haskell 98 Distributive functors -- Dual to Traversable; doc Haskell 98 Distributive functors -- Dual to Traversable. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/distributive Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-distributive/libghc-distributive-doc_0.2.2-1_all.deb Package: libghc-distributive-prof Source: haskell-distributive Version: 0.2.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 202 Depends: libghc-distributive-dev (= 0.2.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-distributive-prof-0.2.2-34503 Homepage: http://hackage.haskell.org/package/distributive Priority: extra Section: haskell Filename: pool/main/h/haskell-distributive/libghc-distributive-prof_0.2.2-1_armhf.deb Size: 35126 SHA256: 12ab6e194d04bc1b716f982b00ef273202729a1a9acad8a35a73b551973b1b70 SHA1: bc6f17b9b9d3bfbedb1daa14882217502e619961 MD5sum: 12a9395677e89ecfa514cd557a690f93 Description: Haskell 98 Distributive functors -- Dual to Traversable; prof data Haskell 98 Distributive functors -- Dual to Traversable. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dlist-dev Source: haskell-dlist Version: 0.5-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 151 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-dlist-doc, libghc-dlist-prof Provides: libghc-dlist-dev-0.5-2bfbb Homepage: http://code.haskell.org/~dons/code/dlist/ Priority: optional Section: haskell Filename: pool/main/h/haskell-dlist/libghc-dlist-dev_0.5-3_armhf.deb Size: 22738 SHA256: 913550994d4c664c2eda6f206ef8917256bfa7a795a15d2f2ae30d5ebdf27e98 SHA1: e33a895fea74ee782a805d342a72a92fd000332f MD5sum: 406a73e1af9568224953a98fb37e6295 Description: Haskell library for Differences lists Differences lists: a list-like type supporting O(1) append. This is particularly useful for efficient logging and pretty printing, (e.g. with the Writer monad), where list append quickly becomes too expensive. . This package contains the libraries compiled for GHC. Package: libghc-dlist-doc Source: haskell-dlist Version: 0.5-3 Installed-Size: 139 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-dlist-dev Size: 33258 SHA256: 00c01bca8724a4dba3c3720920685ccc5a225f5e51964baa63ecd560cd7bc4e9 SHA1: 3731b45a5fee5888f5077f3c8b21a02e54d46836 MD5sum: c27a8f18d977fe2946ee56cd8c573c40 Description: Haskell library for Differences lists; documentation Differences lists: a list-like type supporting O(1) append. This is particularly useful for efficient logging and pretty printing, (e.g. with the Writer monad), where list append quickly becomes too expensive. . This package contains the library documentation. Homepage: http://code.haskell.org/~dons/code/dlist/ Tag: devel::doc, devel::lang:haskell, devel::prettyprint, role::documentation Section: doc Priority: optional Filename: pool/main/h/haskell-dlist/libghc-dlist-doc_0.5-3_all.deb Package: libghc-dlist-prof Source: haskell-dlist Version: 0.5-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 140 Depends: libghc-dlist-dev (= 0.5-3), libghc-base-prof-4.5.0.0-d93df Provides: libghc-dlist-prof-0.5-2bfbb Homepage: http://code.haskell.org/~dons/code/dlist/ Priority: optional Section: haskell Filename: pool/main/h/haskell-dlist/libghc-dlist-prof_0.5-3_armhf.deb Size: 26102 SHA256: 3abfb483317c26721fe6f9ec0b005fb9052f6f6b0e3b2a02579507e166aa2c16 SHA1: 819bb4567ab4ab430c2a272c44d1b74feebc6f7a MD5sum: 394cbb652ace6620fe339a5170c7e1b1 Description: Haskell library for Differences lists; profiling library Differences lists: a list-like type supporting O(1) append. This is particularly useful for efficient logging and pretty printing, (e.g. with the Writer monad), where list append quickly becomes too expensive. . This package contains the profiling libraries compiled for GHC. Package: libghc-download-curl-dev Source: haskell-download-curl Version: 0.1.3-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 188 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-curl-dev-1.3.7-08aac, libghc-feed-dev-0.3.8-8c7bd, libghc-tagsoup-dev-0.12.6-48a49, libghc-xml-dev-1.3.12-ef89d, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-download-curl-doc, libghc-download-curl-prof Provides: libghc-download-curl-dev-0.1.3.0.1-f1d42 Homepage: http://hackage.haskell.org/package/download-curl Priority: extra Section: haskell Filename: pool/main/h/haskell-download-curl/libghc-download-curl-dev_0.1.3-3_armhf.deb Size: 35414 SHA256: e81b44461c1746be0ad445bb237157aa8f882a1e3050ad66409dae00caa7871a SHA1: f76fbac322b9d8d2b69c83637a93e2e52c7b8f94 MD5sum: 3a92e0202f3f96ac539f8d5a045afbaf Description: High-level file download based on URLs Download web content as strict or lazy bytestringrs, strings, HTML tags, XML, RSS or Atom feeds or JSON, using the curl network library. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-download-curl-doc Source: haskell-download-curl Version: 0.1.3-3 Installed-Size: 112 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-curl-doc, libghc-feed-doc, libghc-tagsoup-doc, libghc-xml-doc, libghc-download-curl-dev Size: 34708 SHA256: c5eabdb42329fc3cef18e1e59534d4b46f98a62f9818f13d71ee6891b1d1a8ae SHA1: 9af9e17bb31def8e852173e52a0055be25f6a562 MD5sum: 346004ef6c002baebec9734422b01114 Description: High-level file download based on URLs; documentation Download web content as strict or lazy bytestringrs, strings, HTML tags, XML, RSS or Atom feeds or JSON, using the curl network library. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/download-curl Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-download-curl/libghc-download-curl-doc_0.1.3-3_all.deb Package: libghc-download-curl-prof Source: haskell-download-curl Version: 0.1.3-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 155 Depends: libghc-download-curl-dev (= 0.1.3-3), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-curl-prof-1.3.7-08aac, libghc-feed-prof-0.3.8-8c7bd, libghc-tagsoup-prof-0.12.6-48a49, libghc-xml-prof-1.3.12-ef89d Provides: libghc-download-curl-prof-0.1.3.0.1-f1d42 Homepage: http://hackage.haskell.org/package/download-curl Priority: extra Section: haskell Filename: pool/main/h/haskell-download-curl/libghc-download-curl-prof_0.1.3-3_armhf.deb Size: 30766 SHA256: c9723627c88f090e0a3e1029f76c51f70c9aef3ae425842f8246c8afa2ea17c9 SHA1: ea1e4b2fab5652e8528e5e272ff4678ae1f63c20 MD5sum: 43c3630156255b974e9e5e5c7d1bf5f5 Description: High-level file download based on URLs; profiling libraries Download web content as strict or lazy bytestringrs, strings, HTML tags, XML, RSS or Atom feeds or JSON, using the curl network library. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dpkg-dev Source: haskell-dpkg Version: 0.0.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1373 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bindings-dsl-dev-1.0.15-6ac67, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-monad-loops-dev-0.3.2.0-833ed, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-dpkg-doc, libghc-dpkg-prof Provides: libghc-dpkg-dev-0.0.3-9c1eb Homepage: http://hackage.haskell.org/package/dpkg Priority: extra Section: haskell Filename: pool/main/h/haskell-dpkg/libghc-dpkg-dev_0.0.3-1_armhf.deb Size: 248114 SHA256: 021f6c3b44f073a30ac7d065e9d6036b388840774f074c24c7211808e46fd156 SHA1: aea52ce20a3a50ee88aac15e7ee51715743422b8 MD5sum: 93d8f702a5b2db9552d967800d599424 Description: Haskell bindings to libdpkg This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It binds to the volatile and unstable libdpkg API. Package: libghc-dpkg-doc Source: haskell-dpkg Version: 0.0.3-1 Installed-Size: 298 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-dpkg-dev Size: 44190 SHA256: fbff46e9097a268d5f25663f87ef6eb00b79a6e967c742edcf506bf31abe25d9 SHA1: e1efb2d01c1b0cf4b6e3da8590667d47fa724e8a MD5sum: 504a77540b48c07a3271646d287351e0 Description: Haskell bindings to libdpkg; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It binds to the volatile and unstable libdpkg API. Homepage: http://hackage.haskell.org/package/dpkg Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dpkg/libghc-dpkg-doc_0.0.3-1_all.deb Package: libghc-dpkg-prof Source: haskell-dpkg Version: 0.0.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1253 Depends: libghc-dpkg-dev (= 0.0.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-monad-loops-prof-0.3.2.0-833ed Provides: libghc-dpkg-prof-0.0.3-9c1eb Homepage: http://hackage.haskell.org/package/dpkg Priority: extra Section: haskell Filename: pool/main/h/haskell-dpkg/libghc-dpkg-prof_0.0.3-1_armhf.deb Size: 235348 SHA256: 37a5b7b3d7f895f426f37044fb7fb1916e4ce0edb4e96ce19ba30d2f526adf5d SHA1: 764c2dc6530eef1a8eceecf01abd5c6d2477e5fb MD5sum: b3bb4c76e526579e953db6374f7a47cf Description: Haskell bindings to libdpkg; profiling libs This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It binds to the volatile and unstable libdpkg API. Package: libghc-dyre-dev Source: haskell-dyre Version: 0.8.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 379 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-directory-dev-1.1.0.2-57272, libghc-executable-path-dev-0.0.3-38a0a, libghc-filepath-dev-1.3.0.0-163d9, libghc-ghc-paths-dev-0.1.0.8-ead02, libghc-io-storage-dev-0.3-0791d, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-process-dev-1.1.0.1-75c65, libghc-unix-dev-2.5.1.0-77272, libghc-xdg-basedir-dev-0.2.1-4df56, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-dyre-doc, libghc-dyre-prof Provides: libghc-dyre-dev-0.8.7-9e955 Homepage: http://hackage.haskell.org/package/dyre Priority: extra Section: haskell Filename: pool/main/h/haskell-dyre/libghc-dyre-dev_0.8.7-1_armhf.deb Size: 86292 SHA256: 640296fb921226a5a5eedc8a5b9712cb72b0d97ab3d881c67e2c82f90f95caae SHA1: 1354d9149ff003bb7bd01e77d8e8e16f6867d9b4 MD5sum: e28936f0ed59ada89bf32e9a412dfb61 Description: dynamic reconfiguration library Dyre implements dynamic reconfiguration facilities after the style of Xmonad. Dyre aims to be as simple as possible without sacrificing features, and places an emphasis on simplicity of integration with an application. A full introduction with a complete example project can be found in the documentation for Config.Dyre . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-dyre-doc Source: haskell-dyre Version: 0.8.7-1 Installed-Size: 292 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-dyre-dev Size: 54736 SHA256: 02e6bba136a70689a0ed3157e5ddeac19d5092bb7578bee331ba260deb977787 SHA1: 63215d682ef7f52cb4d0a7c4ebc34c5a11e60bee MD5sum: 2201c38032341dafcc9fd1636f8149fb Description: dynamic reconfiguration library; documentation Dyre implements dynamic reconfiguration facilities after the style of Xmonad. Dyre aims to be as simple as possible without sacrificing features, and places an emphasis on simplicity of integration with an application. A full introduction with a complete example project can be found in the documentation for Config.Dyre . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/dyre Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dyre/libghc-dyre-doc_0.8.7-1_all.deb Package: libghc-dyre-prof Source: haskell-dyre Version: 0.8.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 325 Depends: libghc-dyre-dev (= 0.8.7-1), libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-directory-prof-1.1.0.2-57272, libghc-executable-path-prof-0.0.3-38a0a, libghc-filepath-prof-1.3.0.0-163d9, libghc-ghc-paths-prof-0.1.0.8-ead02, libghc-io-storage-prof-0.3-0791d, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-process-prof-1.1.0.1-75c65, libghc-unix-prof-2.5.1.0-77272, libghc-xdg-basedir-prof-0.2.1-4df56 Provides: libghc-dyre-prof-0.8.7-9e955 Homepage: http://hackage.haskell.org/package/dyre Priority: extra Section: haskell Filename: pool/main/h/haskell-dyre/libghc-dyre-prof_0.8.7-1_armhf.deb Size: 79048 SHA256: c48133c5d71b877bd7ca6f042bc251b63a88ce920fb10d46554631b5c95fee92 SHA1: 2f8361a853033d4cd9123cf58f967f489462c869 MD5sum: 943ff8a7ecac1a28d18b1f96e34bdef9 Description: dynamic reconfiguration library; profiling libraries Dyre implements dynamic reconfiguration facilities after the style of Xmonad. Dyre aims to be as simple as possible without sacrificing features, and places an emphasis on simplicity of integration with an application. A full introduction with a complete example project can be found in the documentation for Config.Dyre . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-edison-api-dev Source: haskell-edison-api Version: 1.2.1-18 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 806 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Recommends: libghc-edison-core-dev Suggests: libghc-edison-api-doc, libghc-edison-api-prof Provides: libghc-edisonapi-dev-1.2.1-37c38 Homepage: http://www.cs.princeton.edu/~rdockins/edison/home/ Priority: extra Section: haskell Filename: pool/main/h/haskell-edison-api/libghc-edison-api-dev_1.2.1-18_armhf.deb Size: 146010 SHA256: ed75c62f3dbc67411fd2502442e41e1b39350677b95a338e97cf14addc24b6f9 SHA1: 99d30bf8fc22c808493e32a80bf1cbe5d4a95bd0 MD5sum: 7aadad532eef912fa2d0289890241d72 Description: Haskell library of efficent, purely-functional data structures The abstract interface (API) of the Edison library for Haskell for use with ghc. . Edison is a library of purely function data structures for Haskell originally written by Chris Okasaki. Conceptually, it consists of two things: - A set of type classes defining data the following data structure abstractions: 'sequences', 'collections' and 'associative collections' - Multiple concrete implementations of each of the abstractions . This package contains the set of type classes. Package: libghc-edison-api-doc Source: haskell-edison-api Version: 1.2.1-18 Installed-Size: 1452 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-edison-api-doc (<< 1.2.1-13), haskell-edison-doc, libghc6-edison-api-doc (<< 1:1) Provides: haskell-edison-api-doc, libghc6-edison-api-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-edison-api-dev Conflicts: haskell-edison-api-doc (<< 1.2.1-13), haskell-edison-doc, libghc6-edison-api-doc (<< 1:1) Size: 173756 SHA256: 01a92dea1a0bcfb631c0c5443ede74bd8c9eabe8b4a4ef1efada11372ba692ad SHA1: f665e482361626da95610b99a8216ef1443e7b07 MD5sum: 1ee25aeed5bb31497ed5b3a763abe5e0 Description: documentation of the Edison Haskell library Documentation of the Edison library containing efficent, purely-functional data structures for Haskell. . Edison is a library of purely function data structures for Haskell originally written by Chris Okasaki. Conceptually, it consists of two things: - A set of type classes defining data the following data structure abstractions: 'sequences', 'collections' and 'associative collections' - Multiple concrete implementations of each of the abstractions Homepage: http://www.cs.princeton.edu/~rdockins/edison/home/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-edison-api/libghc-edison-api-doc_1.2.1-18_all.deb Package: libghc-edison-api-prof Source: haskell-edison-api Version: 1.2.1-18 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 680 Depends: libghc-edison-api-dev (= 1.2.1-18), libghc-base-prof-4.5.0.0-d93df, libghc-mtl-prof-2.1.1-87121 Recommends: libghc-edison-core-prof Provides: libghc-edisonapi-prof-1.2.1-37c38 Homepage: http://www.cs.princeton.edu/~rdockins/edison/home/ Priority: extra Section: haskell Filename: pool/main/h/haskell-edison-api/libghc-edison-api-prof_1.2.1-18_armhf.deb Size: 134780 SHA256: 300e2200f1c654621c692e230681eda0e883c52acf7a90d7137f0ff3d33a9e64 SHA1: c113d0d151f764b3692aa46c8e4ea942c41d0009 MD5sum: 7c0fcc2964ad342cf83b31a6bc432fd1 Description: Haskell library of data structures; profiling libraries The abstract interface (API) of the Edison library for Haskell for use with ghc. . Edison is a library of purely function data structures for Haskell originally written by Chris Okasaki. Conceptually, it consists of two things: - A set of type classes defining data the following data structure abstractions: 'sequences', 'collections' and 'associative collections' - Multiple concrete implementations of each of the abstractions . This package contains the set of type classes. Package: libghc-edison-core-dev Source: haskell-edison-core Version: 1.2.1.3-9 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 13941 Depends: libghc-edisonapi-dev-1.2.1-37c38, libghc-quickcheck-dev-1.2.0.1-d307b, libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-edison-core-doc, libghc-edison-core-prof Provides: libghc-edisoncore-dev-1.2.1.3-72a6d Homepage: http://www.cs.princeton.edu/~rdockins/edison/home/ Priority: extra Section: haskell Filename: pool/main/h/haskell-edison-core/libghc-edison-core-dev_1.2.1.3-9_armhf.deb Size: 2756510 SHA256: 1a3b3569c853b7a264d572bc9da17b14dee35637a0bb1a5679666a2cd72ef9f3 SHA1: 085f410ff323b18a1af085025df557a7a7c0ee2d MD5sum: a8d366a3634fff0b3212b33eb7a67d90 Description: Haskell library of efficent, purely-functional data structures Some concrete implementations of the abstract interface of the Edison library for Haskell for use with ghc. . Edison is a library of purely function data structures for Haskell originally written by Chris Okasaki. Conceptually, it consists of two things: - A set of type classes defining data the following data structure abstractions: 'sequences', 'collections' and 'associative collections' - Multiple concrete implementations of each of the abstractions . This package contains the concrete implementations. Package: libghc-edison-core-doc Source: haskell-edison-core Version: 1.2.1.3-9 Installed-Size: 6497 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-edison-core-doc (<< 1.2.1.3-4), libghc6-edison-core-doc (<< 1:1) Provides: haskell-edison-core-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-edison-api-doc, libghc-quickcheck1-doc, libghc-edison-core-dev Conflicts: haskell-edison-core-doc (<< 1.2.1.3-4), libghc6-edison-core-doc (<< 1:1) Size: 427552 SHA256: 6b7240cc079993c9abc4579d17e7a7c8e2d1db9155004d34edc0aa647487ffbf SHA1: 7799cb69389ba026b708aa9a0d40b28f76e45fc1 MD5sum: 2ad7b90fc79b09d2babac983527e2a5f Description: documentation of the Edison Haskell library Some concrete implementations of the abstract interface of the Edison library for Haskell for use with hugs. . Edison is a library of purely function data structures for Haskell originally written by Chris Okasaki. Conceptually, it consists of two things: - A set of type classes defining data the following data structure abstractions: 'sequences', 'collections' and 'associative collections' - Multiple concrete implementations of each of the abstractions . This package contains the documentation of the concrete implementations. Homepage: http://www.cs.princeton.edu/~rdockins/edison/home/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-edison-core/libghc-edison-core-doc_1.2.1.3-9_all.deb Package: libghc-edison-core-prof Source: haskell-edison-core Version: 1.2.1.3-9 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 12715 Depends: libghc-edison-core-dev (= 1.2.1.3-9), libghc-edisonapi-prof-1.2.1-37c38, libghc-quickcheck-prof-1.2.0.1-d307b, libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-mtl-prof-2.1.1-87121 Provides: libghc-edisoncore-prof-1.2.1.3-72a6d Homepage: http://www.cs.princeton.edu/~rdockins/edison/home/ Priority: extra Section: haskell Filename: pool/main/h/haskell-edison-core/libghc-edison-core-prof_1.2.1.3-9_armhf.deb Size: 2511280 SHA256: 1980a45f6f90117deea5e3aeb38b81ddbffad6781e346c515d6e1ae06f075625 SHA1: a260beb27c29fa9e5743c38e2ca206466e53f210 MD5sum: 8b0c597429aeda59bfd917bf77802be8 Description: Haskell library of data structures; profiling libraries Some concrete implementations of the abstract interface of the Edison library for Haskell for use with ghc. . Edison is a library of purely function data structures for Haskell originally written by Chris Okasaki. Conceptually, it consists of two things: - A set of type classes defining data the following data structure abstractions: 'sequences', 'collections' and 'associative collections' - Multiple concrete implementations of each of the abstractions . This package contains the concrete implementations. Package: libghc-edit-distance-dev Source: haskell-edit-distance Version: 0.2.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 864 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-random-dev-1.0.1.1-344e2, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-edit-distance-doc, libghc-edit-distance-prof Provides: libghc-edit-distance-dev-0.2.1-920c8 Homepage: http://hackage.haskell.org/package/edit-distance Priority: extra Section: haskell Filename: pool/main/h/haskell-edit-distance/libghc-edit-distance-dev_0.2.1-2_armhf.deb Size: 208554 SHA256: 9b41725b3f14a24397c53a9bd573c80f6d0a453e655249847ae22ebc3ac32fb8 SHA1: 586f9ef9134b024207bbb5fe9b686bea3ea41853 MD5sum: 409a279638a2340cb88c39b9f36af91c Description: Haskell fuzzy matching - GHC libraries Optimized edit distances for fuzzy matching, including Levenshtein and restricted Damerau-Levenshtein algorithms. . This package contains the libraries compiled for GHC. Package: libghc-edit-distance-doc Source: haskell-edit-distance Version: 0.2.1-2 Installed-Size: 262 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-edit-distance-dev Size: 42374 SHA256: dcafa704c5c938131553c6555304420848fab2f85691a327ef351828748a83ef SHA1: 7d5d6a392b0991d537f6d5357eb8a7ed38c0818d MD5sum: c0c1a7893f6ae6ead579fc1f9f0e40b6 Description: Haskell fuzzy matching - documentation Optimized edit distances for fuzzy matching, including Levenshtein and restricted Damerau-Levenshtein algorithms. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/edit-distance Section: doc Priority: extra Filename: pool/main/h/haskell-edit-distance/libghc-edit-distance-doc_0.2.1-2_all.deb Package: libghc-edit-distance-prof Source: haskell-edit-distance Version: 0.2.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 707 Depends: libghc-edit-distance-dev (= 0.2.1-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-random-prof-1.0.1.1-344e2 Provides: libghc-edit-distance-prof-0.2.1-920c8 Homepage: http://hackage.haskell.org/package/edit-distance Priority: extra Section: haskell Filename: pool/main/h/haskell-edit-distance/libghc-edit-distance-prof_0.2.1-2_armhf.deb Size: 163218 SHA256: 941e555777c75e2ac5531179e7b8bfabfdecbee8888b14bf767bd1be1e844db8 SHA1: cb5928eef513ffae1294396bfb42dd9294292eff MD5sum: 8035d9b5248c2ee9999a461b5eb566fd Description: Haskell fuzzy matching - GHC profiling libraries Optimized edit distances for fuzzy matching, including Levenshtein and restricted Damerau-Levenshtein algorithms. . This package contains the profiling libraries compiled for GHC. Package: libghc-editline-dev Source: haskell-editline Version: 0.2.1.0-5 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 441 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libedit2 (>= 2.11-20080614-1), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libtinfo5, libedit-dev Suggests: libghc-editline-doc Provides: libghc-editline-dev-0.2.1.0-88f7c Homepage: http://hackage.haskell.org/package/foo Priority: extra Section: haskell Filename: pool/main/h/haskell-editline/libghc-editline-dev_0.2.1.0-5_armhf.deb Size: 83610 SHA256: a871684ed5fb9a4b8542d7daa94a7924a31f4cb456e3980ede3dff780c5e1089 SHA1: 79bd11b1032c2a0c13594ecbd6f51ddb689d759c MD5sum: a1708dae6b09debab109f59009bbab45 Description: Haskell bindings to the BSD editline library (libedit) This package contains bindings to the BSD editline library. It provides a basic interface to the editline API for reading lines of input from the user. . Additionally, a readline compatibility module is included which provides a subset of the functions from the readline package. Package: libghc-editline-doc Source: haskell-editline Version: 0.2.1.0-5 Installed-Size: 281 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-editline-dev Size: 50272 SHA256: dfd284c766c915a043dce11c91ee8efc098095ff428e5095dbfe0d6b0e01901e SHA1: fbff384b3be099b781b4247927fa647d0948fcd8 MD5sum: 57cd7c6fe04649792a8c6f27faa7a791 Description: Haskell bindings to the BSD editline library (libedit) (documentation) This package contains bindings to the BSD editline library. It provides a basic interface to the editline API for reading lines of input from the user. . Additionally, a readline compatibility module is included which provides a subset of the functions from the readline package. . This package contains library documentation. Homepage: http://hackage.haskell.org/package/foo Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-editline/libghc-editline-doc_0.2.1.0-5_all.deb Package: libghc-ekg-data Source: haskell-ekg Version: 0.3.1.0-1 Installed-Size: 215 Maintainer: Debian Haskell Group Architecture: all Size: 73622 SHA256: aa153f60ecaf26bbed8ee9732a8a981c77010c1bd8050fd2b63b88c251d5ca5a SHA1: 98a3e1f893b06fe42e6de0db0a6194c595579b24 MD5sum: b109ee2220b14024e0b1fb66545e2e76 Description: remote monitoring of Haskell processes over HTTP - common files The ekg library lets you remotely monitor a running (Haskell) process over HTTP. It provides a simple way to integrate a monitoring server into any application. . This package provides the data files needed to use the ekg library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/ekg Section: haskell Priority: extra Filename: pool/main/h/haskell-ekg/libghc-ekg-data_0.3.1.0-1_all.deb Package: libghc-ekg-dev Source: haskell-ekg Version: 0.3.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1097 Depends: libghc-aeson-dev-0.6.0.2-0ddd3, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-filepath-dev-1.3.0.0-163d9, libghc-snap-core-dev-0.8.1-b3b2a, libghc-snap-server-dev-0.8.1.1-47baa, libghc-text-dev-0.11.2.0-05a46, libghc-time-dev-1.4-ec63b, libghc-transformers-dev-0.3.0.0-e8222, libghc-unordered-containers-dev-0.2.1.0-eabe0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4), libghc-ekg-data (= 0.3.1.0-1) Suggests: libghc-ekg-doc, libghc-ekg-prof Provides: libghc-ekg-dev-0.3.1.0-b28f6 Homepage: http://hackage.haskell.org/package/ekg Priority: extra Section: haskell Filename: pool/main/h/haskell-ekg/libghc-ekg-dev_0.3.1.0-1_armhf.deb Size: 231974 SHA256: 866f69aca00d0a9c99bb9dd76f2a9e4f807ff8fc5fdd0109e11aa34570dc937e SHA1: fde05a6f30aa84b03d49c8fcebbd71ce0f732a77 MD5sum: 315adf1e593a3735e280382d1267abd8 Description: remote monitoring of Haskell processes over HTTP - libraries The ekg library lets you remotely monitor a running (Haskell) process over HTTP. It provides a simple way to integrate a monitoring server into any application. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ekg-doc Source: haskell-ekg Version: 0.3.1.0-1 Installed-Size: 272 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-ekg-dev Size: 59156 SHA256: 830acc126d79f0d0a3fb8223ba16bbd091dc4a9f943be376d4e8ffe8adcaec18 SHA1: 99017d64bb9b02bde28a9d52bee83a1cbc69cb1e MD5sum: 70b196a28f53998f764e89fe806f1cb6 Description: remote monitoring of Haskell processes over HTTP - documentation The ekg library lets you remotely monitor a running (Haskell) process over HTTP. It provides a simple way to integrate a monitoring server into any application. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/ekg Section: doc Priority: extra Filename: pool/main/h/haskell-ekg/libghc-ekg-doc_0.3.1.0-1_all.deb Package: libghc-ekg-prof Source: haskell-ekg Version: 0.3.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 869 Depends: libghc-ekg-dev (= 0.3.1.0-1), libghc-aeson-prof-0.6.0.2-0ddd3, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-filepath-prof-1.3.0.0-163d9, libghc-snap-core-prof-0.8.1-b3b2a, libghc-snap-server-prof-0.8.1.1-47baa, libghc-text-prof-0.11.2.0-05a46, libghc-time-prof-1.4-ec63b, libghc-transformers-prof-0.3.0.0-e8222, libghc-unordered-containers-prof-0.2.1.0-eabe0 Provides: libghc-ekg-prof-0.3.1.0-b28f6 Homepage: http://hackage.haskell.org/package/ekg Priority: extra Section: haskell Filename: pool/main/h/haskell-ekg/libghc-ekg-prof_0.3.1.0-1_armhf.deb Size: 191862 SHA256: 34069e43f409d8a8a8c0cf86e6cefad5a59ad2fe54ed18a4efd275171f67f84c SHA1: 173c452ce5008f176321eb185d3ebcd3a5ab2451 MD5sum: fe80d76940354e6d968faa26ccf291b3 Description: remote monitoring of Haskell processes over HTTP - profiling libraries The ekg library lets you remotely monitor a running (Haskell) process over HTTP. It provides a simple way to integrate a monitoring server into any application. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-email-validate-dev Source: haskell-email-validate Version: 0.2.8-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 768 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-parsec-dev-3.1.2-aa52f, libghc-ranges-dev-0.2.4-61332, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-email-validate-doc, libghc-email-validate-prof Provides: libghc-email-validate-dev-0.2.8-de00e Homepage: http://hackage.haskell.org/package/email-validate Priority: extra Section: haskell Filename: pool/main/h/haskell-email-validate/libghc-email-validate-dev_0.2.8-1_armhf.deb Size: 134342 SHA256: 69017dafa33d663b7807705dbdd4294cd2df119ace4914915ed0e80bfd45e312 SHA1: 7d4d68078cfe6d85e4f06dc1b43211aaf8a90aed MD5sum: cd649c50b87cde7733d5a61f4cc0f346 Description: validate an email address string against RFC 5322 This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-email-validate-doc Source: haskell-email-validate Version: 0.2.8-1 Installed-Size: 209 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-parsec3-doc, libghc-email-validate-dev Size: 34406 SHA256: b29044ba3288857a3abba5f136a71ee6088d980d3ef655f61962c22b000e29d4 SHA1: 2c6033e860a9f9de6f803f5317db593728879179 MD5sum: 7eb15fe92868eb380a3f4d300b2c70e8 Description: validate an email address string against RFC 5322; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/email-validate Tag: devel::doc, devel::lang:haskell, role::documentation, works-with::mail Section: doc Priority: extra Filename: pool/main/h/haskell-email-validate/libghc-email-validate-doc_0.2.8-1_all.deb Package: libghc-email-validate-prof Source: haskell-email-validate Version: 0.2.8-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 656 Depends: libghc-email-validate-dev (= 0.2.8-1), libghc-base-prof-4.5.0.0-d93df, libghc-parsec-prof-3.1.2-aa52f, libghc-ranges-prof-0.2.4-61332 Provides: libghc-email-validate-prof-0.2.8-de00e Homepage: http://hackage.haskell.org/package/email-validate Priority: extra Section: haskell Filename: pool/main/h/haskell-email-validate/libghc-email-validate-prof_0.2.8-1_armhf.deb Size: 114478 SHA256: af15d66271d65ff39234e48b76825c76c70d631d7379ba6847ae2afa0bbd58d2 SHA1: cdae2679a4502175192199ca98ccb0211c353590 MD5sum: 6f9dcac8f06d78c1d9dd9a20c5d429c6 Description: validate an email address string against RFC 5322; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-entropy-dev Source: haskell-entropy Version: 0.2.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 86 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-entropy-doc, libghc-entropy-prof Provides: libghc-entropy-dev-0.2.1-177e4 Homepage: http://hackage.haskell.org/package/entropy Priority: extra Section: haskell Filename: pool/main/h/haskell-entropy/libghc-entropy-dev_0.2.1-2_armhf.deb Size: 9310 SHA256: 630741fc213cc57e5809cbd67b3e055794460274c702177f4562f2f91fd7fdde SHA1: bc554046a58071d17581f49237c46fdaddcf928c MD5sum: 450869a5835ae101a23e632178eeeb91 Description: cryptographically-strong entropy This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a platform-independent method to obtain cryptographically strong entropy (urandom on Linux, CryptAPI on Windows, patches welcome). Users looking for cryptographically strong (number-theoretically sound) PRNGs should see the DRBG package too. Package: libghc-entropy-doc Source: haskell-entropy Version: 0.2.1-2 Installed-Size: 118 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-entropy-dev Size: 30440 SHA256: 97124767ca570f984a7d2784025d20957dfc55d71ed50803c0bf3787e9d83d91 SHA1: 52637600a62ca8c5607da844e76c30ee48740e05 MD5sum: 48ac138b5aae715a53a00718f95f2a56 Description: cryptographically-strong entropy; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a platform-independent method to obtain cryptographically strong entropy (urandom on Linux, CryptAPI on Windows, patches welcome). Users looking for cryptographically strong (number-theoretically sound) PRNGs should see the DRBG package too. Homepage: http://hackage.haskell.org/package/entropy Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-entropy/libghc-entropy-doc_0.2.1-2_all.deb Package: libghc-entropy-prof Source: haskell-entropy Version: 0.2.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 68 Depends: libghc-entropy-dev (= 0.2.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-entropy-prof-0.2.1-177e4 Homepage: http://hackage.haskell.org/package/entropy Priority: extra Section: haskell Filename: pool/main/h/haskell-entropy/libghc-entropy-prof_0.2.1-2_armhf.deb Size: 8902 SHA256: 051bef5d52a40d3a726cfbd8e0c13f2eaf969fae25f01ee605b954b0453ac853 SHA1: 8762723f8b316a8ca1d643217fb659db4b6fefc0 MD5sum: f20028a19f0ed47bec258de21f58a77d Description: cryptographically-strong entropy; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is a platform-independent method to obtain cryptographically strong entropy (urandom on Linux, CryptAPI on Windows, patches welcome). Users looking for cryptographically strong (number-theoretically sound) PRNGs should see the DRBG package too. Package: libghc-enumerator-dev Source: haskell-enumerator Version: 0.4.19-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3227 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-text-dev-0.11.2.0-05a46, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-enumerator-doc, libghc-enumerator-prof Provides: libghc-enumerator-dev-0.4.19-c0ca3 Homepage: http://hackage.haskell.org/package/enumerator Priority: extra Section: haskell Filename: pool/main/h/haskell-enumerator/libghc-enumerator-dev_0.4.19-1_armhf.deb Size: 685482 SHA256: 640f619a0ce16aed58610149bbea40eb81fdf5962035e8c9021af94c3df1aca1 SHA1: bb2eccebddf7d3697a119c0ab6fd561a87e0156c MD5sum: fbc847c5a53735aaae21d2d59b26e75f Description: high-performance left-fold enumerators This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Typical buffer–based incremental I/O is based around a single loop, which reads data from some source (such as a socket or file), transforms it, and generates one or more outputs (such as a line count, HTTP responses, or modified file). Although efficient and safe, these loops are all single–purpose; it is difficult or impossible to compose buffer–based processing loops. . Haskell's concept of "lazy I/O" allows pure code to operate on data from an external source. However, lazy I/O has several shortcomings. Most notably, resources such as memory and file handles can be retained for arbitrarily long periods of time, causing unpredictable performance and error conditions. . Enumerators are an efficient, predictable, and safe alternative to lazy I/O. Discovered by Oleg Kiselyov, they allow large datasets to be processed in near–constant space by pure code. Although somewhat more complex to write, using enumerators instead of lazy I/O produces more correct programs. . This library contains an enumerator implementation for Haskell, designed to be both simple and efficient. Three core types are defined, along with numerous helper functions: . Iteratee: Data sinks, analogous to left folds. Iteratees consume a sequence of input values, and generate a single output value. Many iteratees are designed to perform side effects (such as printing to stdout), so they can also be used as monad transformers. . Enumerator: Data sources, which generate input sequences. Typical enumerators read from a file handle, socket, random number generator, or other external stream. To operate, enumerators are passed an iteratee, and provide that iteratee with input until either the iteratee has completed its computation, or EOF. . Enumeratee: Data transformers, which operate as both enumerators and iteratees. Enumeratees read from an outer enumerator, and provide the transformed data to an inner iteratee. Package: libghc-enumerator-doc Source: haskell-enumerator Version: 0.4.19-1 Installed-Size: 1486 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-transformers-doc, libghc-enumerator-dev Size: 135290 SHA256: 03228a233c66e32ea65473e6769ff71dafd00a4c704db4cfe8419fc927a88402 SHA1: f6a6e595b96504d39483379a70fc65e56cf642b7 MD5sum: 3e96d5b44ec4053fe34389a6b0d01738 Description: high-performance left-fold enumerators; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/enumerator Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-enumerator/libghc-enumerator-doc_0.4.19-1_all.deb Package: libghc-enumerator-prof Source: haskell-enumerator Version: 0.4.19-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2866 Depends: libghc-enumerator-dev (= 0.4.19-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-text-prof-0.11.2.0-05a46, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-enumerator-prof-0.4.19-c0ca3 Homepage: http://hackage.haskell.org/package/enumerator Priority: extra Section: haskell Filename: pool/main/h/haskell-enumerator/libghc-enumerator-prof_0.4.19-1_armhf.deb Size: 605570 SHA256: 949fe204f7e5edbc8731c60f4142a2612a81f432429995414d1637424d20a979 SHA1: 089160ab058095a674eecdd16887088b0b76e2e4 MD5sum: 1900d515cd61153fd449e8e34a774a3f Description: high-performance left-fold enumerators; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-erf-dev Source: haskell-erf Version: 2.0.0.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 278 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-erf-doc, libghc-erf-prof Provides: libghc-erf-dev-2.0.0.0-c8dd2 Homepage: http://hackage.haskell.org/package/erf Priority: extra Section: haskell Filename: pool/main/h/haskell-erf/libghc-erf-dev_2.0.0.0-2_armhf.deb Size: 50846 SHA256: 3470886c83cbc8c056e701e768fca744f556e40771075a98ee54cac981a81d69 SHA1: a884c4adfc24109478850da3d03f480075be37f9 MD5sum: 40c9901ca6025cbdaa317338e2610d67 Description: The error function, erf, and related functions This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . A type class for the error function, erf, and related functions. Instances for Float and Double. Package: libghc-erf-doc Source: haskell-erf Version: 2.0.0.0-2 Installed-Size: 120 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-erf-dev Size: 29544 SHA256: aba655917dceae006cd4be7c926706dc7764496f87d11c6d7ef181475f0a8934 SHA1: f85e49ac19bbf47c4a9a985a27eb2da160c315f1 MD5sum: 3eb98dcd83ebc6d5d15cbf149e95d409 Description: The error function, erf, and related functions; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . A type class for the error function, erf, and related functions. Instances for Float and Double. Homepage: http://hackage.haskell.org/package/erf Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-erf/libghc-erf-doc_2.0.0.0-2_all.deb Package: libghc-erf-prof Source: haskell-erf Version: 2.0.0.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 232 Depends: libghc-erf-dev (= 2.0.0.0-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-erf-prof-2.0.0.0-c8dd2 Homepage: http://hackage.haskell.org/package/erf Priority: extra Section: haskell Filename: pool/main/h/haskell-erf/libghc-erf-prof_2.0.0.0-2_armhf.deb Size: 42260 SHA256: a487bde57269a81ff3cf0b94a9736362556e791d9817a2e3f35fadc3bc0c1d40 SHA1: 73dc0e70066841d8996f28002d16825fc810aef3 MD5sum: a06d95597e682e6dd7189500721f1aea Description: The error function, erf, and related functions; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . A type class for the error function, erf, and related functions. Instances for Float and Double. Package: libghc-event-list-dev Source: haskell-event-list Version: 0.1.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3190 Depends: libghc-quickcheck-dev-2.4.2-170f1, libghc-base-dev-4.5.0.0-d93df, libghc-non-negative-dev-0.1-8cdde, libghc-transformers-dev-0.3.0.0-e8222, libghc-utility-ht-dev-0.0.5.1-a8f53, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-event-list-doc, libghc-event-list-prof Provides: libghc-event-list-dev-0.1.0.1-64a7c Homepage: http://hackage.haskell.org/package/event-list Priority: extra Section: haskell Filename: pool/main/h/haskell-event-list/libghc-event-list-dev_0.1.0.1-1_armhf.deb Size: 637608 SHA256: 6a3a5dddbdf61d02e010419e6a83e548673d727ac421210e5709037c632a1166 SHA1: 9df2262e8078d173229e15cd40c192fc8406d643 MD5sum: d0df3ab143fe3d7df57163c07aaddd72 Description: Event lists with relative or absolute timestamps This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides lists to manage events that are associated with times. Times may be given as difference between successive events or as absolute time values. Pauses before the first and after the last event are supported. The data structures can be used to represent MIDI files, OpenSoundControl message streams, music performances etc. Package: libghc-event-list-doc Source: haskell-event-list Version: 0.1.0.1-1 Installed-Size: 1403 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-non-negative-doc, libghc-quickcheck2-doc, libghc-event-list-dev Size: 134738 SHA256: d6837dd09571f2f4f571e70f407a380a12f0528bf8d3f076ed79a49bcc876225 SHA1: 2dbf630e363006dd7d7b6eaae3be9ca66961c98b MD5sum: 396d38f673058a813492704a1371c098 Description: Event lists with relative or absolute timestamps; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides lists to manage events that are associated with times. Times may be given as difference between successive events or as absolute time values. Pauses before the first and after the last event are supported. The data structures can be used to represent MIDI files, OpenSoundControl message streams, music performances etc. Homepage: http://hackage.haskell.org/package/event-list Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-event-list/libghc-event-list-doc_0.1.0.1-1_all.deb Package: libghc-event-list-prof Source: haskell-event-list Version: 0.1.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3261 Depends: libghc-event-list-dev (= 0.1.0.1-1), libghc-quickcheck-prof-2.4.2-170f1, libghc-base-prof-4.5.0.0-d93df, libghc-non-negative-prof-0.1-8cdde, libghc-transformers-prof-0.3.0.0-e8222, libghc-utility-ht-prof-0.0.5.1-a8f53 Provides: libghc-event-list-prof-0.1.0.1-64a7c Homepage: http://hackage.haskell.org/package/event-list Priority: extra Section: haskell Filename: pool/main/h/haskell-event-list/libghc-event-list-prof_0.1.0.1-1_armhf.deb Size: 697126 SHA256: 20261e096188b913de92c1770850c0705fe74e25e56cf128d900f3088622a023 SHA1: 5156b41636085a52eee0daf11881faacfc5f43ee MD5sum: 06a516bdf6d40f5b3ed535d12f065e07 Description: Event lists with relative or absolute timestamps; profiling libraries This package provides a library for the Haskell programming language compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides lists to manage events that are associated with times. Times may be given as difference between successive events or as absolute time values. Pauses before the first and after the last event are supported. The data structures can be used to represent MIDI files, OpenSoundControl message streams, music performances etc. Package: libghc-exception-transformers-dev Source: haskell-exception-transformers Version: 0.3.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 667 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df, libghc-stm-dev-2.3-2735f, libghc-transformers-dev-0.3.0.0-e8222 Suggests: libghc-exception-transformers-doc, libghc-exception-transformers-prof Provides: libghc-exception-transformers-dev-0.3.0.2-90a71 Homepage: http://www.eecs.harvard.edu/~mainland/ Priority: extra Section: haskell Filename: pool/main/h/haskell-exception-transformers/libghc-exception-transformers-dev_0.3.0.2-1_armhf.deb Size: 102352 SHA256: 8043159890bada1fabe6eaa15e099e838c07c821f18d9a65348fb2f1b9e00636 SHA1: 78859512a1e599749bb9c1950a1199307c0a7a8a MD5sum: f5f16e5b63df7e8993d37489a2793ff5 Description: type classes and monads for unchecked extensible exceptions This package provides type classes, a monad and a monad transformer that support unchecked extensible exceptions as well as asynchronous exceptions. It is compatible with the transformers package. . This package contains the normal library files. Package: libghc-exception-transformers-doc Source: haskell-exception-transformers Version: 0.3.0.2-1 Installed-Size: 237 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-transformers-doc, libghc-exception-transformers-dev Size: 40456 SHA256: a47fb5a277c6fe48fded80c1abb86865577b7b902464783602955ed1367bf948 SHA1: 576e406e6f99de025a29e9a1a6c9a84ef6f6806d MD5sum: f5b9fa3d220c2fb2e41e7b0f7d1fb640 Description: type classes and monads for unchecked extensible exceptions; documentation This package provides type classes, a monad and a monad transformer that support unchecked extensible exceptions as well as asynchronous exceptions. It is compatible with the transformers package. . This package contains the documentation files. Homepage: http://www.eecs.harvard.edu/~mainland/ Section: doc Priority: extra Filename: pool/main/h/haskell-exception-transformers/libghc-exception-transformers-doc_0.3.0.2-1_all.deb Package: libghc-exception-transformers-prof Source: haskell-exception-transformers Version: 0.3.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 652 Depends: libghc-exception-transformers-dev (= 0.3.0.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-stm-prof-2.3-2735f, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-exception-transformers-prof-0.3.0.2-90a71 Homepage: http://www.eecs.harvard.edu/~mainland/ Priority: extra Section: haskell Filename: pool/main/h/haskell-exception-transformers/libghc-exception-transformers-prof_0.3.0.2-1_armhf.deb Size: 107698 SHA256: 8989e0f96ee3001215149db95ad172f4fac298d7ff18d35d39c4dd78278a85dd SHA1: 1cd97ea2bd7c57eb62628d47c3f475cab9045e8c MD5sum: fe040d431bae2133cf2925ee7811377f Description: type classes and monads for unchecked extensible exceptions; profiling libs This package provides type classes, a monad and a monad transformer that support unchecked extensible exceptions as well as asynchronous exceptions. It is compatible with the transformers package. . This package contains the libraries compiled with profiling enabled. Package: libghc-executable-path-dev Source: haskell-executable-path Version: 0.0.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 157 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-executable-path-doc, libghc-executable-path-prof Provides: libghc-executable-path-dev-0.0.3-38a0a Homepage: http://hackage.haskell.org/package/executable-path Priority: extra Section: haskell Filename: pool/main/h/haskell-executable-path/libghc-executable-path-dev_0.0.3-1_armhf.deb Size: 23792 SHA256: 22233bb930b4f1033bb437c725d55017fd5d2062b06f32671be90db4e066e789 SHA1: e7c751bb0bf833f673f281ec16fdbb37b69ad564 MD5sum: 3a9b5125d68124cad5e4e1ef1db85c46 Description: determine full path of executable This library portably determines the full path to the program being run. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-executable-path-doc Source: haskell-executable-path Version: 0.0.3-1 Installed-Size: 119 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-executable-path-dev Size: 30446 SHA256: 7b8c9e89bef5964d68103b7716d737676dd6f06e98353bcf8f633d6f8620e704 SHA1: 15a432293484c1bb51935e4b8992edf2af3bfc1b MD5sum: 83e5e5d1f6167bcd31c09f5ee24e3da0 Description: determine full path of executable; documentation This library portably determines the full path to the program being run. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/executable-path Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-executable-path/libghc-executable-path-doc_0.0.3-1_all.deb Package: libghc-executable-path-prof Source: haskell-executable-path Version: 0.0.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 132 Depends: libghc-executable-path-dev (= 0.0.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-executable-path-prof-0.0.3-38a0a Homepage: http://hackage.haskell.org/package/executable-path Priority: extra Section: haskell Filename: pool/main/h/haskell-executable-path/libghc-executable-path-prof_0.0.3-1_armhf.deb Size: 22448 SHA256: a81a800713edb9c3a46037830caedaf76547d5ade89712573cb10003bcb6f892 SHA1: 46e38d672b2b7c26e8b394648c3c4dc3f54fad27 MD5sum: 108a79c0fb355b57edbcfa54c530897a Description: determine full path of executable; profiling libraries This library portably determines the full path to the program being run. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-explicit-exception-dev Source: haskell-explicit-exception Version: 0.1.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1319 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-explicit-exception-doc, libghc-explicit-exception-prof Provides: libghc-explicit-exception-dev-0.1.7-b6561 Homepage: http://hackage.haskell.org/package/explicit-exception Priority: extra Section: haskell Filename: pool/main/h/haskell-explicit-exception/libghc-explicit-exception-dev_0.1.7-1_armhf.deb Size: 226954 SHA256: c219cb9050b88ad757a7786481f90bbc05aefe202b7f4e6cd880bdcb2ecf4a8a SHA1: 74e9c585529cb9aa656a0091d83754e45777eee2 MD5sum: c1be42e761fe113d033ef85d19df36a8 Description: Haskell exceptions which are explicit in the type signature This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides synchronous and asynchronous exceptions which are explicit in the type signature. The first ones are very similar to Either and Control.Monad.Error.ErrorT. The second ones are used for System.IO.readFile and System.IO.hGetContents. Package: libghc-explicit-exception-doc Source: haskell-explicit-exception Version: 0.1.7-1 Installed-Size: 696 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-transformers-doc, libghc-explicit-exception-dev Size: 89046 SHA256: 963b3fb078f135f2ba94faddf93f04c3542315a33b3be86f0e5ed297ecba4ad4 SHA1: cb5f6e76b852464b7b730d09bb7019bdd633ed2c MD5sum: 4df2724aab7b4c887c4447ff4e143e93 Description: Haskell exceptions which are explicit in the type signature; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides synchronous and asynchronous exceptions which are explicit in the type signature. The first ones are very similar to Either and Control.Monad.Error.ErrorT. The second ones are used for System.IO.readFile and System.IO.hGetContents. Homepage: http://hackage.haskell.org/package/explicit-exception Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-explicit-exception/libghc-explicit-exception-doc_0.1.7-1_all.deb Package: libghc-explicit-exception-prof Source: haskell-explicit-exception Version: 0.1.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1249 Depends: libghc-explicit-exception-dev (= 0.1.7-1), libghc-base-prof-4.5.0.0-d93df, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-explicit-exception-prof-0.1.7-b6561 Homepage: http://hackage.haskell.org/package/explicit-exception Priority: extra Section: haskell Filename: pool/main/h/haskell-explicit-exception/libghc-explicit-exception-prof_0.1.7-1_armhf.deb Size: 239110 SHA256: 254e72c289a02efd85bffa9220faeea2f507c558b93dc640febcbe4d561e45cd SHA1: c0b97c73c233b7771e3949ae43cb609949e33471 MD5sum: a33a0b7169fc196e8cb39aa2e80f0ae3 Description: profiling libraries for libghc-explicit-exception-dev This package provides a library for the Haskell programming language compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides synchronous and asynchronous exceptions which are explicit in the type signature. The first ones are very similar to Either and Control.Monad.Error.ErrorT. The second ones are used for System.IO.readFile and System.IO.hGetContents. Package: libghc-failure-dev Source: haskell-failure Version: 0.2.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 98 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-failure-doc, libghc-failure-prof Provides: libghc-failure-dev-0.2.0.1-49a74 Homepage: http://hackage.haskell.org/package/failure Priority: extra Section: haskell Filename: pool/main/h/haskell-failure/libghc-failure-dev_0.2.0.1-1_armhf.deb Size: 11028 SHA256: 1aa967e8ce6542bb517f8cd9ed06b88ce65a77870d898a3e138290ab2857ed13 SHA1: 045c739e622182f2e4310adaa261af793667a59f MD5sum: 6ef06aba6525d8516fd8a869b29e279a Description: simple type class for success/failure computations This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This is a simple type class for success/failure computations. Package: libghc-failure-doc Source: haskell-failure Version: 0.2.0.1-1 Installed-Size: 104 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-transformers-doc, libghc-failure-dev Size: 29558 SHA256: 64156b15f1f4e47487c32977762177452ca8c16c9d2cfcc84c6889a165453b99 SHA1: 8ef3a0bb9836eceb31f21d45766341c263b00e54 MD5sum: a200d40df4b908439253168089418f40 Description: simple type class for success/failure; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This is a simple type class for success/failure computations. Homepage: http://hackage.haskell.org/package/failure Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-failure/libghc-failure-doc_0.2.0.1-1_all.deb Package: libghc-failure-prof Source: haskell-failure Version: 0.2.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 79 Depends: libghc-failure-dev (= 0.2.0.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-failure-prof-0.2.0.1-49a74 Homepage: http://hackage.haskell.org/package/failure Priority: extra Section: haskell Filename: pool/main/h/haskell-failure/libghc-failure-prof_0.2.0.1-1_armhf.deb Size: 11258 SHA256: 5af0a1acb655b6a36d4746c8051ced30ae3d3a8196c75a2cecd894f6a0116f10 SHA1: 6cb4474aeb3295a319b8a706fee772a4c5466844 MD5sum: 43c8fb92a9fa7510ceec19c70bb782d5 Description: simple type class for success/failure; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This is a simple type class for success/failure computations. Package: libghc-fast-logger-dev Source: haskell-fast-logger Version: 0.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 151 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-fast-logger-doc, libghc-fast-logger-prof Provides: libghc-fast-logger-dev-0.0.2-3cb93 Homepage: http://hackage.haskell.org/package/haskell-fast-logger Priority: extra Section: haskell Filename: pool/main/h/haskell-fast-logger/libghc-fast-logger-dev_0.0.2-1_armhf.deb Size: 23886 SHA256: 7476351ed2e4325f482fd2ca71c933f0fd72b591ef476bd5fbbcd977f8317e02 SHA1: 14ff23f74b73aaea6261e9f2435e7ad7858ebbb2 MD5sum: 585c26edb62e526c32b218f30173479b Description: a fast logging system Fast logging system to copy log data directly to Handle buffer. It also supports rotation. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fast-logger-doc Source: haskell-fast-logger Version: 0.0.2-1 Installed-Size: 137 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-builder-doc, libghc-fast-logger-dev Size: 32728 SHA256: dd2b51160114d3b2909057571e69326ea66ef1d2dc2b2af3e5ed2a37c61f16cd SHA1: ed55405e4bd7763f5a62b7b913a2450f46d6bb65 MD5sum: 12c85b775cd81a7cdeabc202bf65335e Description: a fast logging system; documentation Fast logging system to copy log data directly to Handle buffer. It also supports rotation. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-fast-logger Section: doc Priority: extra Filename: pool/main/h/haskell-fast-logger/libghc-fast-logger-doc_0.0.2-1_all.deb Package: libghc-fast-logger-prof Source: haskell-fast-logger Version: 0.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 124 Depends: libghc-fast-logger-dev (= 0.0.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9 Provides: libghc-fast-logger-prof-0.0.2-3cb93 Homepage: http://hackage.haskell.org/package/haskell-fast-logger Priority: extra Section: haskell Filename: pool/main/h/haskell-fast-logger/libghc-fast-logger-prof_0.0.2-1_armhf.deb Size: 22188 SHA256: c75ae1d78da5f87b3a92fb8e45f0a5f4c9ab5023af48757076f16a11283b36c3 SHA1: 3c8c83296488dd0efca093f63dbc9d661bbc83cf MD5sum: 50a28b75b18e29ed8831c6ba9201bbe6 Description: a fast logging system; profiling libraries Fast logging system to copy log data directly to Handle buffer. It also supports rotation. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-fastcgi-dev Source: haskell-fastcgi Version: 3001.0.2.3-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 200 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-cgi-dev-3001.1.8.2-aed06, libc6 (>= 2.13-28), libfcgi0ldbl, libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libfcgi-dev Suggests: libghc-fastcgi-doc, libghc-fastcgi-prof Provides: libghc-fastcgi-dev-3001.0.2.3-25d91 Homepage: http://hackage.haskell.org/cgi-bin/hackage-scripts/package/fastcgi Priority: optional Section: haskell Filename: pool/main/h/haskell-fastcgi/libghc-fastcgi-dev_3001.0.2.3-3_armhf.deb Size: 44338 SHA256: 28a0f5c4d7800453ca85bb4ea83007c6ae33bf2b5c756f9dc427fcf23cc8a740 SHA1: be36497bb930851b7e27b54a15c1411280d09b62 MD5sum: 9c50c30409f80e98dd21dcebfd0c5640 Description: A Haskell library for writing FastCGI programs This library lets you write FastCGI programs. This package reuses the cgi package API, making it very easy to port CGI programs to FastCGI. The FastCGI C development kit is required to build this library. . This package contains the libraries compiled for GHC. Package: libghc-fastcgi-doc Source: haskell-fastcgi Version: 3001.0.2.3-3 Installed-Size: 168 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cgi-doc, libghc-fastcgi-dev Size: 36810 SHA256: aa5621833fa026c9630c5b5cb24e2a98b8a3cd9ebc651188bc51ba1ed6a62425 SHA1: 03d3ab08b60262c8898cc964f429ed5012922bbc MD5sum: 57c6c95884adc9be00a4989343e6f259 Description: A Haskell library for writing FastCGI programs; documentation This library lets you write FastCGI programs. This package reuses the cgi package API, making it very easy to port CGI programs to FastCGI. The FastCGI C development kit is required to build this library. . This package contains the libraries documentation. Homepage: http://hackage.haskell.org/cgi-bin/hackage-scripts/package/fastcgi Tag: devel::doc, devel::lang:haskell, role::documentation, web::cgi Section: doc Priority: optional Filename: pool/main/h/haskell-fastcgi/libghc-fastcgi-doc_3001.0.2.3-3_all.deb Package: libghc-fastcgi-prof Source: haskell-fastcgi Version: 3001.0.2.3-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 171 Depends: libghc-fastcgi-dev (= 3001.0.2.3-3), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-cgi-prof-3001.1.8.2-aed06 Provides: libghc-fastcgi-prof-3001.0.2.3-25d91 Homepage: http://hackage.haskell.org/cgi-bin/hackage-scripts/package/fastcgi Priority: optional Section: haskell Filename: pool/main/h/haskell-fastcgi/libghc-fastcgi-prof_3001.0.2.3-3_armhf.deb Size: 37950 SHA256: 7e63cd05b378362c73ed8e79f9b26d21a386d8aa3b46ce0d771096ef5ece19fc SHA1: 0ebdf1f1a744424420a7fc44676b4b844574fba4 MD5sum: 8721eb2f10f351326c62588af93298fd Description: A Haskell library for writing FastCGI programs; profiling library This library lets you write FastCGI programs. This package reuses the cgi package API, making it very easy to port CGI programs to FastCGI. The FastCGI C development kit is required to build this library. . This package contains the profiling libraries compiled for GHC. Package: libghc-fclabels-doc Source: haskell-fclabels Version: 1.1.3-1 Installed-Size: 311 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-fclabels-dev Size: 53842 SHA256: 9fb3dbba4768c8ba62b1138e4fbbd45de157a039ef60a25454cba5711c975a01 SHA1: 0a97bd4ca2564bb61a052dd506fabf66b6f8b9e6 MD5sum: a6db483333265c8361e62c08b611c8fb Description: first-class accessor labels; documentation This package provides first class labels that can act as bidirectional record fields. The labels can be derived automatically using Template Haskell which means you don't have to write any boilerplate yourself. The labels are implemented as lenses and are fully composable. Labels can be used to /get/, /set/ and /modify/ parts of a datatype in a consistent way. . See "Data.Label" for an introductory explanation. . Internally lenses are not tied to Haskell functions directly, but are implemented as arrows. Arrows allow the lenses to be run in custom computational contexts. This approach allows us to make partial lenses that point to fields of multi-constructor datatypes in an elegant way. . See the "Data.Label.Maybe" module for the use of partial labels. . > 1.1.1.0 -> 1.1.2 > - Added partial set/modify versions that act as identity > when the constructor field is not available. . Author: Sebastiaan Visser, Erik Hesselink, Chris Eidhof, Sjoerd Visscher with lots of help and feedback from others. Upstream-Maintainer: Sebastiaan Visser . This package contains the documentation files. Homepage: http://hackage.haskell.org/package/fclabels Section: doc Priority: extra Filename: pool/main/h/haskell-fclabels/libghc-fclabels-doc_1.1.3-1_all.deb Package: libghc-feed-dev Source: haskell-feed Version: 0.3.8-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4437 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-utf8-string-dev-0.3.7-c7280, libghc-xml-dev-1.3.12-ef89d, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-feed-doc, libghc-feed-prof Provides: libghc-feed-dev-0.3.8-8c7bd Homepage: http://hackage.haskell.org/package/feed Priority: extra Section: haskell Filename: pool/main/h/haskell-feed/libghc-feed-dev_0.3.8-3_armhf.deb Size: 908644 SHA256: e458ee74fc02b7cfd1ffeb822dc7b4b5d74d342fe0a93e463c04148588a44c7f SHA1: ffdf46e3c582ed78376eebb3c35f8810a41d7cd5 MD5sum: 709d52b2a200a37d9100966622f7ad7b Description: Haskell interface to RSS and Atom feeds - GHC libraries This library provides tools for RSS (version 0.9x, 2.x, 1.0) and Atom feeds, allowing both importing and exporting them. . This package contains the libraries compiled for GHC. Package: libghc-feed-doc Source: haskell-feed Version: 0.3.8-3 Installed-Size: 1659 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-xml-doc, libghc-feed-dev Size: 157786 SHA256: 4525cbfa661e41b0835e4bb6baeb09c87b255cbb4552a10248a9f0c3fdb91ee3 SHA1: b75a624f2ff3be916228389ff9fdb14075e3da49 MD5sum: c506f6e36e3d6738740b7790fc518fb5 Description: Haskell interface to RSS and Atom feeds - documentation This library provides tools for RSS (version 0.9x, 2.x, 1.0) and Atom feeds, allowing both importing and exporting them. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/feed Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::xml:rss Section: doc Priority: extra Filename: pool/main/h/haskell-feed/libghc-feed-doc_0.3.8-3_all.deb Package: libghc-feed-prof Source: haskell-feed Version: 0.3.8-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4043 Depends: libghc-feed-dev (= 0.3.8-3), libghc-base-prof-4.5.0.0-d93df, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-utf8-string-prof-0.3.7-c7280, libghc-xml-prof-1.3.12-ef89d Provides: libghc-feed-prof-0.3.8-8c7bd Homepage: http://hackage.haskell.org/package/feed Priority: extra Section: haskell Filename: pool/main/h/haskell-feed/libghc-feed-prof_0.3.8-3_armhf.deb Size: 851860 SHA256: cda0d9bf95f946319582a7dd8fc1dc187adc1edb714b89d6e59c8f8cfd697b16 SHA1: 242ccc0393223fb9a1072ea173c7150d4adf29b3 MD5sum: cc0bd3be559dc88890b750056a83408e Description: Haskell interface to RSS and Atom feeds - GHC profiling libraries This library provides tools for RSS (version 0.9x, 2.x, 1.0) and Atom feeds, allowing both importing and exporting them. . This package contains the profiling libraries compiled for GHC. Package: libghc-fgl-dev Source: haskell-fgl Version: 5.4.2.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2950 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-fgl-doc, libghc-fgl-prof Provides: libghc-fgl-dev-5.4.2.4-79d99 Homepage: http://web.engr.oregonstate.edu/~erwig/fgl/haskell Priority: extra Section: haskell Filename: pool/main/h/haskell-fgl/libghc-fgl-dev_5.4.2.4-2_armhf.deb Size: 667376 SHA256: 2ddc5130c3f985908711de21a3e2075b49a7f3fa7c031b76d78a82c3440992d7 SHA1: 8c25f6517608a8826453baaa0d37459f2949db29 MD5sum: 41e99ba7514490e18b8113375d789a6f Description: Haskell graph library for GHC This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This is Martin Erwig's Functional Graph Library. Package: libghc-fgl-doc Source: haskell-fgl Version: 5.4.2.4-2 Installed-Size: 1710 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-fgl-dev Size: 159488 SHA256: 7ce38f3923d3dd155398f2ad38b6919abd5cd60e4091c424e571a778a8b69df9 SHA1: e3722cef85f4eba879e76bfdc278ac716ec803ed MD5sum: 04b8a105702173ab7644073a3c5b89f3 Description: Haskell graph library for GHC; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This is Martin Erwig's Functional Graph Library. Homepage: http://web.engr.oregonstate.edu/~erwig/fgl/haskell Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-fgl/libghc-fgl-doc_5.4.2.4-2_all.deb Package: libghc-fgl-prof Source: haskell-fgl Version: 5.4.2.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2694 Depends: libghc-fgl-dev (= 5.4.2.4-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-mtl-prof-2.1.1-87121 Provides: libghc-fgl-prof-5.4.2.4-79d99 Homepage: http://web.engr.oregonstate.edu/~erwig/fgl/haskell Priority: extra Section: haskell Filename: pool/main/h/haskell-fgl/libghc-fgl-prof_5.4.2.4-2_armhf.deb Size: 633946 SHA256: 1bc0e776e1d9673502952ccb0e3f03d399cdaf8fbf3aa0dff21424f9f357a1e9 SHA1: 55e0f8a2d5bc09143cd87fdb537959356b57bbd4 MD5sum: 95d086bc5a71885b533c8a3772861ac7 Description: Haskell graph library for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This is Martin Erwig's Functional Graph Library. Package: libghc-file-embed-doc Source: haskell-file-embed Version: 0.0.4.4-1 Installed-Size: 135 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-file-embed-dev Size: 32036 SHA256: 4c7937e254a785a54d2013d6eddf13df33a9e271744fa693fb4e71a6eb5b3258 SHA1: 2d7a59ad6c27c89893fd879b2794da68ed9a7f2a MD5sum: bb318679f7b32fd1ef5f55ed75e62f46 Description: direct file embedding library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It lets you use Template Haskell to embed file contents directly. Homepage: http://hackage.haskell.org/package/file-embed Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-file-embed/libghc-file-embed-doc_0.0.4.4-1_all.deb Package: libghc-filemanip-dev Source: haskell-filemanip Version: 0.3.5.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 650 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-mtl-dev-2.1.1-87121, libghc-unix-dev-2.5.1.0-77272, libghc-unix-compat-dev-0.3.0.1-4cc6c, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-filemanip-doc, libghc-filemanip-prof Provides: libghc-filemanip-dev-0.3.5.2-1928c Homepage: http://hackage.haskell.org/package/FileManip Priority: extra Section: haskell Filename: pool/main/h/haskell-filemanip/libghc-filemanip-dev_0.3.5.2-2_armhf.deb Size: 140696 SHA256: 1074f217591a5cbf5610f69cba4a4878ce5acc57893ef837cf941eb9797f3b84 SHA1: c31efaa923fde168b1379f321b7163e7f29f8787 MD5sum: efe206e91f3cbcaa45ad4b47c1a89f9a Description: expressive file and directory manipulation for Haskell This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . FileManip is a Haskell library for working with files and directories. Includes code for pattern matching, finding files, modifying file contents, and more. . This package contains the development files. Package: libghc-filemanip-doc Source: haskell-filemanip Version: 0.3.5.2-2 Installed-Size: 379 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-filemanip-dev Size: 59576 SHA256: 3940a9dc43cb35e6d3439429513e24316171bd5b8c25fc3e68e9927839a5026d SHA1: ffd1075abc88404fc5901a74754bd348ea8d9d9b MD5sum: 85be8cdf9a329111f005804f6b535477 Description: expressive file and directory manipulation for Haskell; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . FileManip is a Haskell library for working with files and directories. Includes code for pattern matching, finding files, modifying file contents, and more. . This package contains the hyperlinked html documentation. Homepage: http://hackage.haskell.org/package/FileManip Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-filemanip/libghc-filemanip-doc_0.3.5.2-2_all.deb Package: libghc-filemanip-prof Source: haskell-filemanip Version: 0.3.5.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 594 Depends: libghc-filemanip-dev (= 0.3.5.2-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-mtl-prof-2.1.1-87121, libghc-unix-prof-2.5.1.0-77272, libghc-unix-compat-prof-0.3.0.1-4cc6c Provides: libghc-filemanip-prof-0.3.5.2-1928c Homepage: http://hackage.haskell.org/package/FileManip Priority: extra Section: haskell Filename: pool/main/h/haskell-filemanip/libghc-filemanip-prof_0.3.5.2-2_armhf.deb Size: 130504 SHA256: 9953b5259404daedc35b8d2cc14e5944641fb84e4c3f1981813753022bc8a151 SHA1: 7fd9cb28b517179d360b89aa693cf32c3d4f5e98 MD5sum: a5789c10c9e917f3e528cf9df13d5cec Description: expressive file and directory manipulation for Haskell; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . FileManip is a Haskell library for working with files and directories. Includes code for pattern matching, finding files, modifying file contents, and more. . This package contains the profiling libraries. Package: libghc-filestore-data Source: haskell-filestore Version: 0.5-1 Installed-Size: 58 Maintainer: Debian Haskell Group Architecture: all Size: 14140 SHA256: 28d873663f9bbdc6512560972961bd1862599caf9fc4105c9643ebfd922d2e01 SHA1: cae0784523f1a0af60ef13499e13b0913dbd4085 MD5sum: 9267878473874dcae1c761088cc961f1 Description: Haskell interface for versioning file stores - common files The filestore library provides an abstract interface for a versioning file store, and modules that instantiate this interface. Currently Git, Darcs, and Mercurial modules are provided. . This package data files used by filestore and its dependencies. Homepage: http://hackage.haskell.org/package/filestore Section: haskell Priority: extra Filename: pool/main/h/haskell-filestore/libghc-filestore-data_0.5-1_all.deb Package: libghc-filestore-dev Source: haskell-filestore Version: 0.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2053 Depends: libghc-diff-dev-0.1.3-b1170, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-parsec-dev-3.1.2-aa52f, libghc-process-dev-1.1.0.1-75c65, libghc-split-dev-0.1.4.2-ccb3b, libghc-time-dev-1.4-ec63b, libghc-utf8-string-dev-0.3.7-c7280, libghc-xml-dev-1.3.12-ef89d, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-filestore-data (= 0.5-1) Recommends: git-core | darcs (>= 2.3.0) | mercurial Suggests: libghc-filestore-doc, libghc-filestore-prof Provides: libghc-filestore-dev-0.5-9d124 Homepage: http://hackage.haskell.org/package/filestore Priority: extra Section: haskell Filename: pool/main/h/haskell-filestore/libghc-filestore-dev_0.5-1_armhf.deb Size: 457886 SHA256: 3e005d10e81fe717d9e37b76be1277b67889ce5abfa56e12ed9750e819ad8809 SHA1: dcddfb406f151dc581ce7c1763e65de548c01908 MD5sum: 5c63a3c40aac2d5368bce47b25e9129c Description: Haskell interface for versioning file stores - GHC libraries The filestore library provides an abstract interface for a versioning file store, and modules that instantiate this interface. Currently Git, Darcs, and Mercurial modules are provided. . This package contains the libraries compiled for GHC. Package: libghc-filestore-doc Source: haskell-filestore Version: 0.5-1 Installed-Size: 652 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-filestore-dev Size: 92470 SHA256: a107f7a6392f58b31f175bbcbbd4c1497872b953a9f548da37017546f619190a SHA1: 217293c554c72bc8bbe136cb0d1336998f893001 MD5sum: ba906dced69c1ac6fd0ac3253a2b88e8 Description: Haskell interface for versioning file stores - documentation The filestore library provides an abstract interface for a versioning file store, and modules that instantiate this interface. Currently Git, Darcs, and Mercurial modules are provided. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/filestore Tag: devel::doc, devel::lang:haskell, devel::rcs, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-filestore/libghc-filestore-doc_0.5-1_all.deb Package: libghc-filestore-prof Source: haskell-filestore Version: 0.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2447 Depends: libghc-filestore-dev (= 0.5-1), libghc-diff-prof-0.1.3-b1170, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-parsec-prof-3.1.2-aa52f, libghc-process-prof-1.1.0.1-75c65, libghc-split-prof-0.1.4.2-ccb3b, libghc-time-prof-1.4-ec63b, libghc-utf8-string-prof-0.3.7-c7280, libghc-xml-prof-1.3.12-ef89d Provides: libghc-filestore-prof-0.5-9d124 Homepage: http://hackage.haskell.org/package/filestore Priority: extra Section: haskell Filename: pool/main/h/haskell-filestore/libghc-filestore-prof_0.5-1_armhf.deb Size: 544100 SHA256: 5e383844a3e344af195130e7e7401fe06eac29954533b998b4e318a887fcb394 SHA1: 0f630e301d2c829fbc94e438b9708df2dc3daa4b MD5sum: 73ae43e4b74cf217913dd0fed328fd3d Description: Haskell interface for versioning file stores - GHC profiling libraries The filestore library provides an abstract interface for a versioning file store, and modules that instantiate this interface. Currently Git, Darcs, and Mercurial modules are provided. . This package contains the profiling libraries compiled for GHC. Package: libghc-filesystem-conduit-dev Source: haskell-filesystem-conduit Version: 0.4.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 106 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-conduit-dev-0.4.2-2f3e1, libghc-containers-dev-0.4.2.1-7c545, libghc-system-fileio-dev-0.3.8-b4bce, libghc-system-filepath-dev-0.4.6-0b7ed, libghc-text-dev-0.11.2.0-05a46, libghc-transformers-dev-0.3.0.0-e8222, libghc-unix-dev-2.5.1.0-77272 Suggests: libghc-filesystem-conduit-doc, libghc-filesystem-conduit-prof Provides: libghc-filesystem-conduit-dev-0.4.0-c32e7 Homepage: http://hackage.haskell.org/package/filesystem-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-filesystem-conduit/libghc-filesystem-conduit-dev_0.4.0-1_armhf.deb Size: 14104 SHA256: 21fe08a52dc6dc3a38ac0ea2d2be997f2ced472c162de04569d3d53c08e5c313 SHA1: 210036700a051197c6929a15424eafb4612c7b25 MD5sum: a2d05d3a1dbb10a6d0ba4592b86db923 Description: use system filepath data types with conduits Provides ability to traverse a folder structure efficiently, as well as convenience wrappers for reading from and writing to files. . This package contains the normal library files. Package: libghc-filesystem-conduit-doc Source: haskell-filesystem-conduit Version: 0.4.0-1 Installed-Size: 64 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-conduit-doc, libghc-system-filepath-doc, libghc-transformers-doc, libghc-filesystem-conduit-dev Size: 31098 SHA256: e4fbaa56bc06b210c41b24d7717b6a6b60051add207331447b6ee43dabbf6a01 SHA1: 0d194af44197dd8d0a7d04e37723e231675560dd MD5sum: c499fa7c0cfd31bc8ed08d8ea4c533ce Description: use system filepath data types with conduits; documentation Provides ability to traverse a folder structure efficiently, as well as convenience wrappers for reading from and writing to files. . This package contains the documentation files. Homepage: http://hackage.haskell.org/package/filesystem-conduit Section: doc Priority: extra Filename: pool/main/h/haskell-filesystem-conduit/libghc-filesystem-conduit-doc_0.4.0-1_all.deb Package: libghc-filesystem-conduit-prof Source: haskell-filesystem-conduit Version: 0.4.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 90 Depends: libghc-filesystem-conduit-dev (= 0.4.0-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-conduit-prof-0.4.2-2f3e1, libghc-containers-prof-0.4.2.1-7c545, libghc-system-fileio-prof-0.3.8-b4bce, libghc-system-filepath-prof-0.4.6-0b7ed, libghc-text-prof-0.11.2.0-05a46, libghc-transformers-prof-0.3.0.0-e8222, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-filesystem-conduit-prof-0.4.0-c32e7 Homepage: http://hackage.haskell.org/package/filesystem-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-filesystem-conduit/libghc-filesystem-conduit-prof_0.4.0-1_armhf.deb Size: 15164 SHA256: 1bb6f97d3d2c665b0f4091beb288d1f1087e577f6e38451c5ed10c3c35d7d48c SHA1: 9bd36953a5c2e48135bc86e27226908c4341da66 MD5sum: 7c16b9b0954a6d45263d627fd66ecaea Description: use system filepath data types with conduits; profiling libraries Provides ability to traverse a folder structure efficiently, as well as convenience wrappers for reading from and writing to files. . This package contains the libraries compiled with profiling enabled. Package: libghc-free-dev Source: haskell-free Version: 2.1.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 988 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-comonad-dev-1.1.1.5-6e6e5, libghc-comonad-transformers-dev-2.1.1.1-b7523, libghc-comonads-fd-dev-2.1.1.2-a184c, libghc-data-lens-dev-2.10.0-24571, libghc-distributive-dev-0.2.2-34503, libghc-mtl-dev-2.1.1-87121, libghc-semigroupoids-dev-1.3.1.2-c6021, libghc-semigroups-dev-0.8.3.2-01002, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-free-doc, libghc-free-prof Provides: libghc-free-dev-2.1.1.1-4b400 Homepage: http://hackage.haskell.org/package/free Priority: extra Section: haskell Filename: pool/main/h/haskell-free/libghc-free-dev_2.1.1.1-1_armhf.deb Size: 185232 SHA256: b7cf3f4eca19a6abbfe0f1392bc783f6b79e51252bfe192071a2cb98a7339065 SHA1: 79b6879b17f4c5b30eb6d57a0b4d3d41d651e643 MD5sum: d6aa15768945177a7f39fcf579c5e394 Description: Monads for free This package provides Cofree comonads and Free monads. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-free-doc Source: haskell-free Version: 2.1.1.1-1 Installed-Size: 267 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-comonad-doc, libghc-comonads-fd-doc, libghc-comonad-transformers-doc, libghc-data-lens-doc, libghc-distributive-doc, libghc-mtl-doc, libghc-semigroupoids-doc, libghc-semigroups-doc, libghc-transformers-doc, libghc-free-dev Size: 42740 SHA256: 22376cf030c3c135dd6405032c9f89241ca941a5ba5616cf4abe714e59bbd9a8 SHA1: 2e469504810e16b4f2d1539c4d24497eca952336 MD5sum: 457e54716a64a8725a77726b5a9dad3d Description: Monads for free; documentation This package provides Cofree comonads and Free monads. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/free Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-free/libghc-free-doc_2.1.1.1-1_all.deb Package: libghc-free-prof Source: haskell-free Version: 2.1.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 963 Depends: libghc-free-dev (= 2.1.1.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-comonad-prof-1.1.1.5-6e6e5, libghc-comonad-transformers-prof-2.1.1.1-b7523, libghc-comonads-fd-prof-2.1.1.2-a184c, libghc-data-lens-prof-2.10.0-24571, libghc-distributive-prof-0.2.2-34503, libghc-mtl-prof-2.1.1-87121, libghc-semigroupoids-prof-1.3.1.2-c6021, libghc-semigroups-prof-0.8.3.2-01002, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-free-prof-2.1.1.1-4b400 Homepage: http://hackage.haskell.org/package/free Priority: extra Section: haskell Filename: pool/main/h/haskell-free/libghc-free-prof_2.1.1.1-1_armhf.deb Size: 189548 SHA256: 848eb4e7b5b3c74157d1eadd3e656108f28b7bc0d118294eb508c94f249ac0ee SHA1: 5445600b1381ea988faf74769e2a09b9acc7d246 MD5sum: e62c1513cba5d640f0dc3440a796e748 Description: Monads for free; profiling data This package provides Cofree comonads and Free monads. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ftphs-dev Source: ftphs Version: 1.0.8-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1313 Depends: libghc-missingh-dev-1.1.0.3-694df, libghc-base-dev-4.5.0.0-d93df, libghc-hslogger-dev-1.1.4-21233, libghc-mtl-dev-2.1.1-87121, libghc-network-dev-2.3.0.13-9c99a, libghc-parsec-dev-3.1.2-aa52f, libghc-regex-compat-dev-0.95.1-e5a39 Suggests: libghc-ftphs-doc, libghc-ftphs-prof Provides: libghc-ftphs-dev-1.0.8-65cdc Homepage: http://software.complete.org/ftphs Priority: extra Section: haskell Filename: pool/main/f/ftphs/libghc-ftphs-dev_1.0.8-1_armhf.deb Size: 307486 SHA256: dd59e22e97df5ea62d7ed8185b383bfeb104b2ec3bbc06004052b433548887dd SHA1: a1c3aa84b528bd1aedbf02136bf624cca1b5af8d MD5sum: f1fa7d1ba7997183bb8b01678770e51d Description: FTP Client and Server Library for Haskell, GHC package ftphs provides a Haskell library to implement a FTP client and a FTP server. . ftphs has a number of features: . * Easy to use operation * Full support of text and binary transfers * Optional lazy interaction * Server can serve up a real or a virtual filesystem tree * Standards compliant Package: libghc-ftphs-doc Source: ftphs Version: 1.0.8-1 Installed-Size: 449 Maintainer: Debian Haskell Group Architecture: all Replaces: ftphs-doc (<< 1.0.7-2) Provides: ftphs-doc Depends: haddock-interface-19 Conflicts: ftphs-doc (<< 1.0.7-2) Size: 75752 SHA256: b95b48107265c43ec91dba0abc7df962a84a22947c474e093a8290a108c735fe SHA1: d316a6fa34ee619730eecc411b55ddc1be2ebf06 MD5sum: 32d7db12224a3a042093dc09f280671c Description: FTP Client and Server Library for Haskell, documentation ftphs provides a Haskell library to implement a FTP client and a FTP server. . ftphs has a number of features: . * Easy to use operation * Full support of text and binary transfers * Optional lazy interaction * Server can serve up a real or a virtual filesystem tree * Standards compliant Homepage: http://software.complete.org/ftphs Tag: devel::doc, devel::lang:haskell, protocol::ftp, role::documentation Section: doc Priority: extra Filename: pool/main/f/ftphs/libghc-ftphs-doc_1.0.8-1_all.deb Package: libghc-ftphs-prof Source: ftphs Version: 1.0.8-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1080 Depends: libghc-ftphs-dev (= 1.0.8-1), libghc-missingh-prof-1.1.0.3-694df, libghc-base-prof-4.5.0.0-d93df, libghc-hslogger-prof-1.1.4-21233, libghc-mtl-prof-2.1.1-87121, libghc-network-prof-2.3.0.13-9c99a, libghc-parsec-prof-3.1.2-aa52f, libghc-regex-compat-prof-0.95.1-e5a39 Provides: libghc-ftphs-prof-1.0.8-65cdc Homepage: http://software.complete.org/ftphs Priority: extra Section: haskell Filename: pool/main/f/ftphs/libghc-ftphs-prof_1.0.8-1_armhf.deb Size: 246962 SHA256: f9b5bda9c349bfc1057234efe6731c5c2273ec9ece943f42a72c5ecbe20c8ea1 SHA1: e54d917b956cd7d00ebcb4fa2e137dbfd56b7c53 MD5sum: 0db8a8ecc34e0a51a15d79cb04e5a8c0 Description: FTP Client and Server Library for Haskell, profiling data ftphs provides a Haskell library to implement a FTP client and a FTP server. . ftphs has a number of features: . * Easy to use operation * Full support of text and binary transfers * Optional lazy interaction * Server can serve up a real or a virtual filesystem tree * Standards compliant Package: libghc-gconf-dev Source: haskell-gconf Version: 0.12.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 832 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-glib-dev-0.12.2-64951, gconf-service, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.12.0), libgmp10, libgconf2-dev Suggests: libghc-gconf-doc, libghc-gconf-prof Provides: libghc-gconf-dev-0.12.1-77d86 Homepage: http://hackage.haskell.org/package/gconf Priority: extra Section: haskell Filename: pool/main/h/haskell-gconf/libghc-gconf-dev_0.12.1-1_armhf.deb Size: 157398 SHA256: 84a25b7a1a3424d00ddc3b0fa70ab47dba3173ec4d8c8fd3c6616cad071d97bf SHA1: c86174df9eb49ee1b0c9cc3acdd66eafbb3ceb3a MD5sum: 2f242e3e4d9ad2528e09c299174fc0df Description: Binding to the GNOME configuration database system This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . GConf is a configuration database system for storing application preferences. It supports default or mandatory settings set by the administrator, and changes to the database are instantly applied to all running applications. It is written for the GNOME desktop but doesn't require it. Package: libghc-gconf-doc Source: haskell-gconf Version: 0.12.1-1 Installed-Size: 381 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-glib-doc, libghc-gconf-dev Size: 56962 SHA256: e1f07aa9bc085c4519557e9b990aa3b76da676ea61df406b8c3fcd04a69ade7f SHA1: 86e38dde8898d89be59913c317c4d75f326d1bbe MD5sum: 21645447c8ebad59c1a58fb91eb6f9df Description: Binding to the GNOME configuration database system; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . GConf is a configuration database system for storing application preferences. It supports default or mandatory settings set by the administrator, and changes to the database are instantly applied to all running applications. It is written for the GNOME desktop but doesn't require it. Homepage: http://hackage.haskell.org/package/gconf Tag: admin::configuring, devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-gconf/libghc-gconf-doc_0.12.1-1_all.deb Package: libghc-gconf-prof Source: haskell-gconf Version: 0.12.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 739 Depends: libghc-gconf-dev (= 0.12.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-glib-prof-0.12.2-64951 Provides: libghc-gconf-prof-0.12.1-77d86 Homepage: http://hackage.haskell.org/package/gconf Priority: extra Section: haskell Filename: pool/main/h/haskell-gconf/libghc-gconf-prof_0.12.1-1_armhf.deb Size: 142626 SHA256: 1d49c20faf3a30aabae467b6697a9ecc8a163d70a12925387b5620a627c482bc SHA1: be45cc6ca5d50c5535e7565e260edb1cbf48a38e MD5sum: 85e1c8dbee9e24be40a4ddb9a630887a Description: Binding to the GNOME configuration database system; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . GConf is a configuration database system for storing application preferences. It supports default or mandatory settings set by the administrator, and changes to the database are instantly applied to all running applications. It is written for the GNOME desktop but doesn't require it. Package: libghc-gd-dev Source: haskell-gd Version: 3000.7.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1139 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgd2-xpm (>= 2.0.36~rc1~dfsg), libgmp10, libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4), libgd2-xpm-dev Suggests: libghc-gd-doc, libghc-gd-prof Provides: libghc-gd-dev-3000.7.3-70957 Homepage: http://hackage.haskell.org/package/gd Priority: extra Section: haskell Filename: pool/main/h/haskell-gd/libghc-gd-dev_3000.7.3-1_armhf.deb Size: 239880 SHA256: 9196c8e4f16c2ed49e7dea0441dcb5204015fa1cea2796d0a7f831e07e5538c7 SHA1: 95dec9a9576f46c39ff3eb19b466208ef6b4dc96 MD5sum: 31cead666d079a995da307861f18b387 Description: bindings to the GD graphics library Haskell bindings to a subset of libgd. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-gd-doc Source: haskell-gd Version: 3000.7.3-1 Installed-Size: 677 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-gd-dev Size: 75768 SHA256: bf649d6f800db539e69f9d32e54232f866d3306086d835cc763cccf5fb840295 SHA1: 5910edd53e056265f39559da4dbe2d92fae807a5 MD5sum: 3aaddfc3bf60a65d1e830cc2f73e7abe Description: bindings to the GD graphics library; documentation Haskell bindings to a subset of libgd. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/gd Section: doc Priority: extra Filename: pool/main/h/haskell-gd/libghc-gd-doc_3000.7.3-1_all.deb Package: libghc-gd-prof Source: haskell-gd Version: 3000.7.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 945 Depends: libghc-gd-dev (= 3000.7.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-gd-prof-3000.7.3-70957 Homepage: http://hackage.haskell.org/package/gd Priority: extra Section: haskell Filename: pool/main/h/haskell-gd/libghc-gd-prof_3000.7.3-1_armhf.deb Size: 206268 SHA256: da25d32091c44cc82d622ae68122132a801e5880ab7bde762d4a07af4c6dc1a0 SHA1: e7f4f484a605f83ea6451201f9561c7dcec1f6f3 MD5sum: 141020eaa6d27088584f36cf79e16227 Description: bindings to the GD graphics library; profiling libraries Haskell bindings to a subset of libgd. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ghc-events-dev Source: haskell-ghc-events Version: 0.4.0.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 9244 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-ghc-events-doc, libghc-ghc-events-prof Provides: libghc-ghc-events-dev-0.4.0.0-5c7d8 Homepage: http://hackage.haskell.org/package/ghc-events Priority: extra Section: haskell Filename: pool/main/h/haskell-ghc-events/libghc-ghc-events-dev_0.4.0.0-2_armhf.deb Size: 2101776 SHA256: 5c1bc8bcf0825ef6b600e76ee66891e01e8b1e8d4d637acb8cbaeb255ac98738 SHA1: a9c3795a13422c4d96d2fab16fd05374954598bc MD5sum: 92551dea80a1389b3834f2434ddac806 Description: Library for parsing GHC event log files This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides functions to parse .eventlog files emitted by the GHC.12.1 and later runtimes. Package: libghc-ghc-events-doc Source: haskell-ghc-events Version: 0.4.0.0-2 Installed-Size: 746 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-ghc-events-dev Size: 89902 SHA256: a59f802c43d09fe5553a9a1b1c261266f6971279dddff5c72bbbd42b8ae7f6fd SHA1: 1c22f577eeb449bf37c7620567c8d221c5026e6d MD5sum: 5a1a7815934c38d0c74cd31defbe24a0 Description: Library for parsing GHC event log files; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides functions to parse .eventlog files emitted by the GHC.12.1 and later runtimes. Homepage: http://hackage.haskell.org/package/ghc-events Tag: admin::logging, devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-ghc-events/libghc-ghc-events-doc_0.4.0.0-2_all.deb Package: libghc-ghc-events-prof Source: haskell-ghc-events Version: 0.4.0.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2118 Depends: libghc-ghc-events-dev (= 0.4.0.0-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-mtl-prof-2.1.1-87121 Provides: libghc-ghc-events-prof-0.4.0.0-5c7d8 Homepage: http://hackage.haskell.org/package/ghc-events Priority: extra Section: haskell Filename: pool/main/h/haskell-ghc-events/libghc-ghc-events-prof_0.4.0.0-2_armhf.deb Size: 440862 SHA256: 81dee16cf3966bd0b16abdf096fd0356bd77fecb425cc3e4e748196823de3248 SHA1: 89b5fd49ae424d3c820aca21b59d348f97e8b40a MD5sum: 866b990d1d73b1c0d78545f128644ce2 Description: Library for parsing GHC event log files; profiling libraries This package provides a library for the Haskell programming language compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides functions to parse .eventlog files emitted by the GHC.12.1 and later runtimes. Package: libghc-ghc-mtl-dev Source: haskell-ghc-mtl Version: 1.0.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 298 Depends: libghc-monadcatchio-mtl-dev-0.3.0.4-ab5f1, libghc-base-dev-4.5.0.0-d93df, libghc-ghc-dev-7.4.1-79b6c, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-ghc-mtl-doc, libghc-ghc-mtl-prof Provides: libghc-ghc-mtl-dev-1.0.1.1-d93b8 Homepage: http://hackage.haskell.org/package/ghc-mtl Priority: extra Section: haskell Filename: pool/main/h/haskell-ghc-mtl/libghc-ghc-mtl-dev_1.0.1.1-1_armhf.deb Size: 50520 SHA256: 230217c9627b15d8a0a98bcdb2a9feb89b11fdae1ff419f5dd0ce5909bbce500 SHA1: f45be1dde18200c2962f9c99354b4db47372f0e7 MD5sum: 7657440c80d24010b511567b43ce41df Description: an mtl compatible version of the Ghc-Api monads This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Provides an mtl compatible version of the GhcT monad-transformer defined in the GHC-API since version 6.10.1. Package: libghc-ghc-mtl-doc Source: haskell-ghc-mtl Version: 1.0.1.1-1 Installed-Size: 127 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monadcatchio-mtl-doc, libghc-mtl-doc, libghc-ghc-mtl-dev Size: 33004 SHA256: aca32941e8e9f1279c7f1657ce6619b9e82456b0cb73e080052e469d36b99d79 SHA1: 871a8ac5e3363bfce17c718fc7390890f1e4b63b MD5sum: f3cb1071abd00bdabda6cffb1aad5ec3 Description: an mtl compatible version of the Ghc-Api monads; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Provides an mtl compatible version of the GhcT monad-transformer defined in the GHC-API since version 6.10.1. Homepage: http://hackage.haskell.org/package/ghc-mtl Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-ghc-mtl/libghc-ghc-mtl-doc_1.0.1.1-1_all.deb Package: libghc-ghc-mtl-prof Source: haskell-ghc-mtl Version: 1.0.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 272 Depends: libghc-ghc-mtl-dev (= 1.0.1.1-1), libghc-monadcatchio-mtl-prof-0.3.0.4-ab5f1, libghc-base-prof-4.5.0.0-d93df, libghc-ghc-prof-7.4.1-79b6c, libghc-mtl-prof-2.1.1-87121 Provides: libghc-ghc-mtl-prof-1.0.1.1-d93b8 Homepage: http://hackage.haskell.org/package/ghc-mtl Priority: extra Section: haskell Filename: pool/main/h/haskell-ghc-mtl/libghc-ghc-mtl-prof_1.0.1.1-1_armhf.deb Size: 49860 SHA256: d338836edd71ad1c9def16f378ec5fe234ec12f16105d3bddf0e1fee49adeebe SHA1: 24ae4bded932034397ade80dd15561fdb34cc852 MD5sum: 4efa9bcbe6c2f9a3b56f14364bce4716 Description: an mtl compatible version of the Ghc-Api monads; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Provides an mtl compatible version of the GhcT monad-transformer defined in the GHC-API since version 6.10.1. Package: libghc-ghc-paths-dev Source: haskell-ghc-paths Version: 0.1.0.8-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 87 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-ghc-paths-doc, libghc-ghc-paths-prof Provides: libghc-ghc-paths-dev-0.1.0.8-ead02 Homepage: http://hackage.haskell.org/package/ghc-paths Priority: extra Section: haskell Filename: pool/main/h/haskell-ghc-paths/libghc-ghc-paths-dev_0.1.0.8-2_armhf.deb Size: 10374 SHA256: 20d8d50141e9640aa44882f7b471bb4d54a8dc8d977dcefb843065d358543350 SHA1: 280707dfb54da197c903dc662e22ccdb53230dd5 MD5sum: b44e87de5576b2f2e636cd083ef4948f Description: Knowledge of GHC's installation directories This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides constants with the installation directories of the Glasgow Haskell Compiler. Package: libghc-ghc-paths-doc Source: haskell-ghc-paths Version: 0.1.0.8-2 Installed-Size: 96 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-ghc-paths-dev Size: 29806 SHA256: a6db2dea10030ee2094e96a1f83b95c26c90894677dd2312493927e347c16847 SHA1: 6b70a955db00710370f6eead2956bc624b61d72f MD5sum: c98b4a45e8e7357304ef0a104ea80869 Description: Knowledge of GHC's installation directories; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides constants with the installation directories of the Glasgow Haskell Compiler. Homepage: http://hackage.haskell.org/package/ghc-paths Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-ghc-paths/libghc-ghc-paths-doc_0.1.0.8-2_all.deb Package: libghc-ghc-paths-prof Source: haskell-ghc-paths Version: 0.1.0.8-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 69 Depends: libghc-ghc-paths-dev (= 0.1.0.8-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-ghc-paths-prof-0.1.0.8-ead02 Homepage: http://hackage.haskell.org/package/ghc-paths Priority: extra Section: haskell Filename: pool/main/h/haskell-ghc-paths/libghc-ghc-paths-prof_0.1.0.8-2_armhf.deb Size: 10126 SHA256: f631d0d064111d41ac287d9f5bd33af959547ca276aedec236d4a3bb522c5783 SHA1: 24e7f13aea2fee95c052e1af64e58a8e0e9ca1d1 MD5sum: 10487e394912ac78bd90d9f964c5c0d0 Description: Knowledge of GHC's installation directories; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package provides constants with the installation directories of the Glasgow Haskell Compiler. Package: libghc-ghc-syb-utils-dev Source: haskell-ghc-syb-utils Version: 0.2.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 308 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df, libghc-ghc-dev-7.4.1-79b6c, libghc-syb-dev-0.3.6.1-ad588 Suggests: libghc-ghc-syb-utils-doc, libghc-ghc-syb-utils-prof Provides: libghc-ghc-syb-utils-dev-0.2.1.0-239f8 Homepage: http://github.com/nominolo/ghc-syb Priority: extra Section: haskell Filename: pool/main/h/haskell-ghc-syb-utils/libghc-ghc-syb-utils-dev_0.2.1.0-1_armhf.deb Size: 61866 SHA256: a378e6c3733057f59aee8859862f19d0ea9d2b683d76ff00add7761bb8e704ac SHA1: 233b3c0405a8195f9f94a6f6c5c2ce5259461387 MD5sum: 2be7f15b03025be7e29cc3cebf0a5eb7 Description: Scrap Your Boilerplate utilities for the GHC API Common utilities for the Ghc Api, either based on Data/Typeable or for use with Data.Generics over Ghc Api types. . This package contains the normal library files. Package: libghc-ghc-syb-utils-doc Source: haskell-ghc-syb-utils Version: 0.2.1.0-1 Installed-Size: 134 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-syb-doc, libghc-ghc-syb-utils-dev Size: 33036 SHA256: 4d82766d372b793f857457401f0da489156c6344565e08744050ddb553966ff6 SHA1: 807d0696bf016d852d89431318baca83b20d9ac1 MD5sum: 31e45cc04574d07f3efe665bc49a76cb Description: Scrap Your Boilerplate utilities for the GHC API; documentation Common utilities for the Ghc Api, either based on Data/Typeable or for use with Data.Generics over Ghc Api types. . This package contains the documentation files. Homepage: http://github.com/nominolo/ghc-syb Section: doc Priority: extra Filename: pool/main/h/haskell-ghc-syb-utils/libghc-ghc-syb-utils-doc_0.2.1.0-1_all.deb Package: libghc-ghc-syb-utils-prof Source: haskell-ghc-syb-utils Version: 0.2.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 268 Depends: libghc-ghc-syb-utils-dev (= 0.2.1.0-1), libghc-base-prof-4.5.0.0-d93df, libghc-ghc-prof-7.4.1-79b6c, libghc-syb-prof-0.3.6.1-ad588 Provides: libghc-ghc-syb-utils-prof-0.2.1.0-239f8 Homepage: http://github.com/nominolo/ghc-syb Priority: extra Section: haskell Filename: pool/main/h/haskell-ghc-syb-utils/libghc-ghc-syb-utils-prof_0.2.1.0-1_armhf.deb Size: 53162 SHA256: ffa5ece372bb6515386a4d3a4233252b0dd16f9bb8e40dd8c7a99c65da1d00ae SHA1: e1e508ed44e3c2bd83dfbeeb79a6e12eabec1924 MD5sum: ca856d2c50d136d05ebdc04e23010642 Description: Scrap Your Boilerplate utilities for the GHC API; profiling libraries Common utilities for the Ghc Api, either based on Data/Typeable or for use with Data.Generics over Ghc Api types. . This package contains the libraries compiled with profiling enabled. Package: libghc-gio-dev Source: haskell-gio Version: 0.12.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 6098 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-glib-dev-0.12.2-64951, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgmp10 Suggests: libghc-gio-doc, libghc-gio-prof Provides: libghc-gio-dev-0.12.3-d40da Homepage: http://hackage.haskell.org/package/gio Priority: extra Section: haskell Filename: pool/main/h/haskell-gio/libghc-gio-dev_0.12.3-1_armhf.deb Size: 1058914 SHA256: d4314b49550c98267fa63703a78bdb5d80bdbfdc47a13270794964326e3f750d SHA1: e81b70d2bde092db89b2ddb87486c7cacb8002d8 MD5sum: 20e868b7b5130a8cad3db42c00f04678 Description: Binding to the GIO This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . GIO is striving to provide a modern, easy-to-use VFS API that sits at the right level in the library stack. The goal is to overcome the shortcomings of GnomeVFS and provide an API that is so good that developers prefer it over raw POSIX calls. Among other things that means using GObject. It also means not cloning the POSIX API, but providing higher-level, document-centric interfaces. Package: libghc-gio-doc Source: haskell-gio Version: 0.12.3-1 Installed-Size: 3489 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-glib-doc, libghc-gio-dev Size: 308802 SHA256: 56351b2f554cd43779b8b17650977ff4d074b0ee7b731b08c6697d0c084f8362 SHA1: c931a67b3e5d7a00c5653a403cb5020db89ea830 MD5sum: 234506cc369da8ce0782db839b342f09 Description: Binding to the GIO; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . GIO is striving to provide a modern, easy-to-use VFS API that sits at the right level in the library stack. The goal is to overcome the shortcomings of GnomeVFS and provide an API that is so good that developers prefer it over raw POSIX calls. Among other things that means using GObject. It also means not cloning the POSIX API, but providing higher-level, document-centric interfaces. Homepage: http://hackage.haskell.org/package/gio Tag: admin::filesystem, devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-gio/libghc-gio-doc_0.12.3-1_all.deb Package: libghc-gio-prof Source: haskell-gio Version: 0.12.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 5201 Depends: libghc-gio-dev (= 0.12.3-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-glib-prof-0.12.2-64951, libghc-mtl-prof-2.1.1-87121 Provides: libghc-gio-prof-0.12.3-d40da Homepage: http://hackage.haskell.org/package/gio Priority: extra Section: haskell Filename: pool/main/h/haskell-gio/libghc-gio-prof_0.12.3-1_armhf.deb Size: 957768 SHA256: ca78aa2cb27c8862c3b59c6dfa9063184c2c0858c57ced785e553ff6f632746c SHA1: 2f56bb0278265043ca99a85f53c3c70c58cce1a2 MD5sum: 2668d145ec116cab6bd14d58d6730087 Description: Binding to the GIO; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . GIO is striving to provide a modern, easy-to-use VFS API that sits at the right level in the library stack. The goal is to overcome the shortcomings of GnomeVFS and provide an API that is so good that developers prefer it over raw POSIX calls. Among other things that means using GObject. It also means not cloning the POSIX API, but providing higher-level, document-centric interfaces. Package: libghc-github-doc Source: haskell-github Version: 0.4.0-2 Installed-Size: 1453 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-aeson-doc, libghc-data-default-doc, libghc-github-dev Size: 117846 SHA256: 44602ef65ac62d5caf1da5658e4546ba2c756576cca572b6f22538a240b8b38d SHA1: 118edd66a28eb00877e3b5b34bdc5bf2cab2ce34 MD5sum: c0aa3f06369032b50742e7095eff8945 Description: Haskell Github API - documentation The Github API provides programmatic access to the full Github Web site, from Issues to Gists to repos down to the underlying git data like references and trees. This library wraps all of that, exposing a basic but Haskell-friendly set of functions and data structures. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/github Section: doc Priority: extra Filename: pool/main/h/haskell-github/libghc-github-doc_0.4.0-2_all.deb Package: libghc-gitit-doc Source: gitit Version: 0.10.0.1-1 Installed-Size: 1546 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-filestore-doc, libghc-happstack-server-doc, libghc-hslogger-doc, libghc-hstringtemplate-doc, libghc-missingh-doc, libghc-mtl-doc, libghc-pandoc-types-doc, libghc-transformers-doc, libghc-xhtml-doc, libghc-gitit-dev Size: 193512 SHA256: 8b5400929e0c415ff92d22ed7de7f998ad9bfa9d1fb10730018945820686d852 SHA1: df3fad098aaeef0b0d0d9b48e4f8cda5c4b86db3 MD5sum: 34395dd499085e00faa7f52515c4f460 Description: Wiki engine backed by a git or darcs filestore - documentation Gitit is a wiki backed by a git or darcs filestore. Pages and uploaded files can be modified either directly via the VCS’s command-line tools or through the wiki’s web interface. Pandoc is used for markup processing, so pages may be written in (extended) markdown, reStructuredText, LaTeX, HTML, or literate Haskell, and exported in ten different formats, including LaTeX, ConTeXt, DocBook, RTF, OpenOffice ODT, and MediaWiki markup. . Other features include * plugins: dynamically loaded page transformations written in Haskell; * categories; * support for Unicode; * TeX math using texmath; * syntax highlighting of source code files and code snippets using highlighting-kate; * wiki pages can be viewed as slide shows; * caching; * Atom feeds (site-wide and per-page); * a library, Network.Gitit, that makes it simple to include a gitit wiki in any happstack application; * pages can be written directly in literate Haskell. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/gitit Tag: devel::doc, devel::lang:haskell, role::documentation, web::wiki Section: doc Priority: extra Filename: pool/main/g/gitit/libghc-gitit-doc_0.10.0.1-1_all.deb Package: libghc-glade-dev Source: haskell-glade Version: 0.12.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 284 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-glib-dev-0.12.2-64951, libghc-gtk-dev-0.12.3-8d42f, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.12.0), libgmp10, libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4), libglade2-dev Suggests: glade, libghc-glade-doc, libghc-glade-prof Provides: libghc-glade-dev-0.12.1-31369 Homepage: http://hackage.haskell.org/package/glade Priority: extra Section: haskell Filename: pool/main/h/haskell-glade/libghc-glade-dev_0.12.1-1_armhf.deb Size: 50700 SHA256: 3589a3f2a2ee6adb62eb707fad3a6be9c4b579e0c927474ec90ef3967fe67bbd SHA1: 37f7a7f01b2f823e65d845e5ae3ad5e9db7ad628 MD5sum: ac10bd475197836a2148f28c47f5bbf0 Description: Binding to the glade library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows to load externally stored user interfaces into programs. This allows alteration of the interface without recompilation of the program. Package: libghc-glade-doc Source: haskell-glade Version: 0.12.1-1 Installed-Size: 200 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-glib-doc, libghc-gtk-doc, libghc-glade-dev Size: 51484 SHA256: 76e9591c37a61168e20695bb631d463ee5c9b1a4418db5be093e486d806927fd SHA1: 16e030b788f4083f0896617f95e978a7b999e349 MD5sum: 63384ace379c7d93397bc64eb0a9a486 Description: Binding to the glade library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows to load externally stored user interfaces into programs. This allows alteration of the interface without recompilation of the program. Homepage: http://hackage.haskell.org/package/glade Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-glade/libghc-glade-doc_0.12.1-1_all.deb Package: libghc-glade-prof Source: haskell-glade Version: 0.12.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 199 Depends: libghc-glade-dev (= 0.12.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-glib-prof-0.12.2-64951, libghc-gtk-prof-0.12.3-8d42f Provides: libghc-glade-prof-0.12.1-31369 Homepage: http://hackage.haskell.org/package/glade Priority: extra Section: haskell Filename: pool/main/h/haskell-glade/libghc-glade-prof_0.12.1-1_armhf.deb Size: 42174 SHA256: 7bab73dc43956fc0d25fa5766863ef41238c30a3fbdd5f0a15b0d33face2f535 SHA1: 5f28e36c31230f472fd1eaeacebe04d62e1f8adf MD5sum: 34ea17a8ebb0e9f6b09c8ad1fb33415d Description: Binding to the glade library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library allows to load externally stored user interfaces into programs. This allows alteration of the interface without recompilation of the program. Package: libghc-glfw-dev Source: haskell-glfw Version: 0.5.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2084 Depends: libghc-opengl-dev-2.2.3.1-ea376, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglfw2, libglu1-mesa | libglu1, libgmp10, libxrandr2, libglfw-dev, libxrandr-dev Suggests: libghc-glfw-doc, libghc-glfw-prof Provides: libghc-glfw-dev-0.5.0.1-8700d Homepage: http://hackage.haskell.org/package/GLFW Priority: extra Section: haskell Filename: pool/main/h/haskell-glfw/libghc-glfw-dev_0.5.0.1-1_armhf.deb Size: 366620 SHA256: b2b81b5b384ac5767b8ea70fd8791b436d461924e73228727dc890b2c925e39d SHA1: f084e5c222ed8ad72d64bac1ae17a952499f5dfe MD5sum: 68e2c6e5b9450c585e15d8c6b652b9be Description: Haskell GLFW binding for GHC This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides bindings for GLFW, a window system independent toolkit for writing OpenGL programs. Package: libghc-glfw-doc Source: haskell-glfw Version: 0.5.0.1-1 Installed-Size: 874 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-opengl-doc, libghc-glfw-dev Size: 100544 SHA256: 5bfa0ce154a4bec93e79bd20b1f24f7a3944c0a6a72c4a415055d9eaa608c352 SHA1: 75eacad33454122b1f873d27b3fcec67461dd243 MD5sum: 815133398e1fde2ab21f125c2d4f620d Description: Haskell GLFW binding for GHC; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides bindings for GLFW, a window system independent toolkit for writing OpenGL programs. Homepage: http://hackage.haskell.org/package/GLFW Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-glfw/libghc-glfw-doc_0.5.0.1-1_all.deb Package: libghc-glfw-prof Source: haskell-glfw Version: 0.5.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1746 Depends: libghc-glfw-dev (= 0.5.0.1-1), libghc-opengl-prof-2.2.3.1-ea376, libghc-base-prof-4.5.0.0-d93df Provides: libghc-glfw-prof-0.5.0.1-8700d Homepage: http://hackage.haskell.org/package/GLFW Priority: extra Section: haskell Filename: pool/main/h/haskell-glfw/libghc-glfw-prof_0.5.0.1-1_armhf.deb Size: 316334 SHA256: ce04119c75285ad06a884142d611ad5d74d60605dab9c2b817a580c4e4b428d3 SHA1: 5ea8dbd26282486318ae4270cc97ec47b6693faf MD5sum: 2b7ff0ee55e5495bbdf6ad0ed025ee9f Description: Haskell GLFW binding for GHC; profiling libraries This package provides a library for the Haskell programming language compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides bindings for GLFW, a window system independent toolkit for writing OpenGL programs. Package: libghc-glib-dev Source: haskell-glib Version: 0.12.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1678 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgmp10, libglib2.0-dev Suggests: libghc-glib-doc, libghc-glib-prof Provides: libghc-glib-dev-0.12.2-64951 Homepage: http://hackage.haskell.org/package/glib Priority: extra Section: haskell Filename: pool/main/h/haskell-glib/libghc-glib-dev_0.12.2-1_armhf.deb Size: 344372 SHA256: eec35dcf161d1ec03a1a9de64c1b52bfbbd8fa6c303a2a5f6a17db4a31a9b80f SHA1: de5c0f5673631227e3b912fedac66605ddf077d5 MD5sum: 92e805b353f5a8d88049ddb1dc08e7de Description: Binding to the GLIB library for Gtk2Hs This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The GNU Library is a collection of C data structures and utility function for dealing with Unicode. This package only binds as much functionality as required to support the packages that wrap libraries that are themselves based on GLib. Package: libghc-glib-doc Source: haskell-glib Version: 0.12.2-1 Installed-Size: 1368 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-glib-dev Size: 150130 SHA256: 767ef62ba608068594a8fb1f166841e7506f9df019d2e5cf3f2f915992f299bb SHA1: 44a64f0c6e055b3ca1b2c0288bc5c721beb0ce5b MD5sum: 637fd7a0dd3f6ec9e0210be9a04300d5 Description: Binding to the GLIB library for Gtk2Hs; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The GNU Library is a collection of C data structures and utility function for dealing with Unicode. This package only binds as much functionality as required to support the packages that wrap libraries that are themselves based on GLib. Homepage: http://hackage.haskell.org/package/glib Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-glib/libghc-glib-doc_0.12.2-1_all.deb Package: libghc-glib-prof Source: haskell-glib Version: 0.12.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1460 Depends: libghc-glib-dev (= 0.12.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545 Provides: libghc-glib-prof-0.12.2-64951 Homepage: http://hackage.haskell.org/package/glib Priority: extra Section: haskell Filename: pool/main/h/haskell-glib/libghc-glib-prof_0.12.2-1_armhf.deb Size: 318028 SHA256: fef8623cc7e470d97017a01a03aff9e83b86e2ecea63d4acf5541d198e29a70d SHA1: 5c645c9817d3595d0d260f2b0a3e4c66dc674ffa MD5sum: fd7a9278da9c39e6a5552d94c5bbba96 Description: Binding to the GLIB library for Gtk2Hs; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . The GNU Library is a collection of C data structures and utility function for dealing with Unicode. This package only binds as much functionality as required to support the packages that wrap libraries that are themselves based on GLib. Package: libghc-glut-dev Source: haskell-glut Version: 2.1.2.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4252 Depends: libghc-opengl-dev-2.2.3.1-ea376, libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, freeglut3, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libgmp10, libice6 (>= 1:1.0.0), libsm6, libxi6, libxmu6, freeglut3-dev, libice-dev, libsm-dev, libxi-dev, libxmu-dev Suggests: libghc-glut-doc, libghc-glut-prof Provides: libghc-glut-dev-2.1.2.2-8cf3e Homepage: http://hackage.haskell.org/package/GLUT Priority: extra Section: haskell Filename: pool/main/h/haskell-glut/libghc-glut-dev_2.1.2.2-1_armhf.deb Size: 804522 SHA256: 2bb1aad2308865e23839d70e9fea636414383c121adbe691a8e2432197770f05 SHA1: be22dcc689da08a538d62e7d6afcfbc7bf1b6a9d MD5sum: 9ce423d95e77adb19ec320663db09c59 Description: Haskell GLUT binding for GHC This package provides a library for the Haskell programming language. . This library provides a binding for the OpenGL Utility Toolkit, a window system independent toolkit for writing OpenGL programs. For more information about the C library on which this binding is based, please see: . Package: libghc-glut-doc Source: haskell-glut Version: 2.1.2.2-1 Installed-Size: 2988 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-opengl-doc, libghc-glut-dev Size: 857926 SHA256: 8601fea810fd0c5c03b4a4c4f1c3fe1af2cae5fb9162df9755b5c512a2992fca SHA1: 7b8d9c39139e049191dd0fc4684d84a8f9668b87 MD5sum: 2c5e05cde14469da569cbdb8d454f8bf Description: Haskell GLUT binding for GHC; documentation This package provides the documentation for a library for the Haskell programming language. . This library provides a binding for the OpenGL Utility Toolkit, a window system independent toolkit for writing OpenGL programs. For more information about the C library on which this binding is based, please see: . Homepage: http://hackage.haskell.org/package/GLUT Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-glut/libghc-glut-doc_2.1.2.2-1_all.deb Package: libghc-glut-prof Source: haskell-glut Version: 2.1.2.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3581 Depends: libghc-glut-dev (= 2.1.2.2-1), libghc-opengl-prof-2.2.3.1-ea376, libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545 Provides: libghc-glut-prof-2.1.2.2-8cf3e Homepage: http://hackage.haskell.org/package/GLUT Priority: extra Section: haskell Filename: pool/main/h/haskell-glut/libghc-glut-prof_2.1.2.2-1_armhf.deb Size: 707892 SHA256: 5c297c6662c9ac519802f0b8c54fcde6ad56a1289b65ee76df60bb8a3dfb5eea SHA1: a90f17e679ab79f79d63518097fd4b9588268904 MD5sum: a1ed66546a67404ce8b8270067e84774 Description: Haskell GLUT binding for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. . This library provides a binding for the OpenGL Utility Toolkit, a window system independent toolkit for writing OpenGL programs. For more information about the C library on which this binding is based, please see: . Package: libghc-gnuidn-dev Source: haskell-gnuidn Version: 0.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 663 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libidn11 (>= 1.13), libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-text-dev-0.11.2.0-05a46, libidn11-dev Suggests: libghc-gnuidn-doc, libghc-gnuidn-prof Provides: libghc-gnuidn-dev-0.2-90145 Homepage: http://john-millikin.com/software/bindings/gnuidn/ Priority: extra Section: haskell Filename: pool/main/h/haskell-gnuidn/libghc-gnuidn-dev_0.2-2_armhf.deb Size: 138900 SHA256: 1ebf626b8f479494919988daba7bb6f2204d60e69e4a09bf932f2ebd804bcb16 SHA1: 02f124c1b727d066256bba2de770fb21320f30db MD5sum: 9b8a3f5103c58f335fbe0adb81f865e6 Description: bindings for GNU IDN These are Haskell bindings to the GNU IDN (Internationalized Domain Names) library for Unicode domain names. . This package contains the normal library files. Package: libghc-gnuidn-doc Source: haskell-gnuidn Version: 0.2-2 Installed-Size: 224 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-gnuidn-dev Size: 41880 SHA256: 5f981a451fa17222570596d994814e868239a77ccf1d532ca60255d00b9f7192 SHA1: 7c81b35f5402dfea5eaddaea5f86b944813cd8cf MD5sum: 83c5d5bb7156e36768b5383f2cb7eccd Description: bindings for GNU IDN; documentation These are Haskell bindings to the GNU IDN (Internationalized Domain Names) library for Unicode domain names. . This package contains the documentation files. Homepage: http://john-millikin.com/software/bindings/gnuidn/ Section: doc Priority: extra Filename: pool/main/h/haskell-gnuidn/libghc-gnuidn-doc_0.2-2_all.deb Package: libghc-gnuidn-prof Source: haskell-gnuidn Version: 0.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 584 Depends: libghc-gnuidn-dev (= 0.2-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-gnuidn-prof-0.2-90145 Homepage: http://john-millikin.com/software/bindings/gnuidn/ Priority: extra Section: haskell Filename: pool/main/h/haskell-gnuidn/libghc-gnuidn-prof_0.2-2_armhf.deb Size: 126988 SHA256: 4e9a296d91265ce9b261952303ad30ce210cfff6de66c2732db557c648ab2042 SHA1: 30307e880ccbcfd58256a979c8f3563dd42d193a MD5sum: f56e5ab1f825f54cf62ea26456afced3 Description: bindings for GNU IDN; profiling libraries These are Haskell bindings to the GNU IDN (Internationalized Domain Names) library for Unicode domain names. . This package contains the libraries compiled with profiling enabled. Package: libghc-gnutls-dev Source: haskell-gnutls Version: 0.1.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 935 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libgnutls26 (>= 2.12.17-0), libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-monads-tf-dev-0.1.0.0-d0705, libghc-transformers-dev-0.3.0.0-e8222, libgnutls-dev Suggests: libghc-gnutls-doc, libghc-gnutls-prof Provides: libghc-gnutls-dev-0.1.2-899f2 Homepage: http://www.yesodweb.com/ Priority: extra Section: haskell Filename: pool/main/h/haskell-gnutls/libghc-gnutls-dev_0.1.2-1_armhf.deb Size: 159240 SHA256: e9172a16e52bbdb9f12252d89869cdc211c6e32c476fee6340d757def0a233ae SHA1: 204922efe11a8034efb9c024717a6594f509a78f MD5sum: 95f0d768694102da6a79c513d3893f25 Description: bindings for GNU TLS This library provides Haskell bindings for gnutls. . This package contains the normal library files. Package: libghc-gnutls-doc Source: haskell-gnutls Version: 0.1.2-1 Installed-Size: 234 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monads-tf-doc, libghc-transformers-doc, libghc-gnutls-dev Size: 39660 SHA256: 022281f8b410474552dd75d9d435815f054d9069c5936db392c767970f23b1b8 SHA1: 0fde3014c86298be8376328d20671f4fbcd9c9a8 MD5sum: 451a1d05eeee422096b12a2dcce412b6 Description: bindings for GNU TLS; documentation This library provides Haskell bindings for gnutls. . This package contains the documentation files. Homepage: http://www.yesodweb.com/ Section: doc Priority: extra Filename: pool/main/h/haskell-gnutls/libghc-gnutls-doc_0.1.2-1_all.deb Package: libghc-gnutls-prof Source: haskell-gnutls Version: 0.1.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 803 Depends: libghc-gnutls-dev (= 0.1.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-monads-tf-prof-0.1.0.0-d0705, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-gnutls-prof-0.1.2-899f2 Homepage: http://www.yesodweb.com/ Priority: extra Section: haskell Filename: pool/main/h/haskell-gnutls/libghc-gnutls-prof_0.1.2-1_armhf.deb Size: 141056 SHA256: 9549f257adb4d64726e6517e5f9d6b587206bca37853ceaeb12b285e63f292af SHA1: 5ae18e8aebaf19d3d9a9888ff58b586d6d9b85ed MD5sum: 2d63c3848d8e596b32d4b89dc1f3af7f Description: bindings for GNU TLS; profiling libraries This library provides Haskell bindings for gnutls. . This package contains the libraries compiled with profiling enabled. Package: libghc-gsasl-dev Source: haskell-gsasl Version: 0.3.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 805 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libgsasl7 (>= 1.1), libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-transformers-dev-0.3.0.0-e8222, libgsasl7-dev Suggests: libghc-gsasl-doc, libghc-gsasl-prof Provides: libghc-gsasl-dev-0.3.4-c73a1 Homepage: http://ianen.org/haskell/bindings/gsasl/ Priority: extra Section: haskell Filename: pool/main/h/haskell-gsasl/libghc-gsasl-dev_0.3.4-1_armhf.deb Size: 158292 SHA256: 73a0c272a8ab9cc902c81ca612c8dd2d562dc859c4c5ef95f8f37e45ba57de35 SHA1: 868ae5e372d38a20b5eeaa2525d3d8bd2257134b MD5sum: 304e29fb44b8fe3a938e8febce5f66b9 Description: bindings for GNU SASL These are Haskell bindings to the GNU SASL (Simple Authentication and Security Layer) library. . This package contains the normal library files. Package: libghc-gsasl-doc Source: haskell-gsasl Version: 0.3.4-1 Installed-Size: 362 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-transformers-doc, libghc-gsasl-dev Size: 50154 SHA256: da22c7323845b58889591e19f64c924a8892595e85412f14f8e424b2dac1fb62 SHA1: 7a8f887c501331c39464e4cb6de73a49e47bf578 MD5sum: ca36ad92d5d6ef1469dd63e03a57ff0f Description: bindings for GNU SASL; documentation These are Haskell bindings to the GNU SASL (Simple Authentication and Security Layer) library. . This package contains the documentation files. Homepage: http://ianen.org/haskell/bindings/gsasl/ Section: doc Priority: extra Filename: pool/main/h/haskell-gsasl/libghc-gsasl-doc_0.3.4-1_all.deb Package: libghc-gsasl-prof Source: haskell-gsasl Version: 0.3.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 645 Depends: libghc-gsasl-dev (= 0.3.4-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-gsasl-prof-0.3.4-c73a1 Homepage: http://ianen.org/haskell/bindings/gsasl/ Priority: extra Section: haskell Filename: pool/main/h/haskell-gsasl/libghc-gsasl-prof_0.3.4-1_armhf.deb Size: 126786 SHA256: 09f0b22bdb090b22a87caa0b7ca6f0cc9618d6902bffe171e1e9d5bfbc6f22ae SHA1: 6e81c6628abaa8211d1caeec72fbcd2fae3f34cf MD5sum: 7c675613a75f873b197d1a333236cd28 Description: bindings for GNU SASL; profiling libraries These are Haskell bindings to the GNU SASL (Simple Authentication and Security Layer) library. . This package contains the libraries compiled with profiling enabled. Package: libghc-gstreamer-dev Source: haskell-gstreamer Version: 0.12.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 10671 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-directory-dev-1.1.0.2-57272, libghc-glib-dev-0.12.2-64951, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgmp10, libgstreamer-plugins-base0.10-0 (>= 0.10.0), libgstreamer0.10-0 (>= 0.10.0), libxml2 (>= 2.6.27), libgstreamer0.10-dev, libgstreamer-plugins-base0.10-dev Suggests: libghc-gstreamer-doc, libghc-gstreamer-prof Provides: libghc-gstreamer-dev-0.12.1-c57d4 Homepage: http://hackage.haskell.org/package/gstreamer Priority: extra Section: haskell Filename: pool/main/h/haskell-gstreamer/libghc-gstreamer-dev_0.12.1-1_armhf.deb Size: 1835622 SHA256: 8ac30fadf6b407c1cfc241068bb3fdfc788a89500dfb231c17d6fb86126002c2 SHA1: f5cf502d2fcba653eeee220f38b2095c60096c8c MD5sum: 6ecb616bdbcaa4d1173b3be68771e0cb Description: Binding to the GStreamer open source multimedia framework This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides a wrapper around the GStreamer C library. GStreamer is a library for constructing graphs of media-handling components. The applications it supports range from simple OggVorbis playback, audiovideo streaming to complex audio (mixing) and video (non-linear editing) processing. Package: libghc-gstreamer-doc Source: haskell-gstreamer Version: 0.12.1-1 Installed-Size: 4378 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-glib-doc, libghc-gstreamer-dev Size: 372868 SHA256: 3135102ff8c902d865be1d7ee91bda2e38d5dad8845180be40f5d9e27a0310e0 SHA1: 79629895b820122f1a897e9ace08cc49693ea410 MD5sum: 6672e116378381e00e53b1c63890d14a Description: Binding to the GStreamer open source multimedia framework; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides a wrapper around the GStreamer C library. GStreamer is a library for constructing graphs of media-handling components. The applications it supports range from simple OggVorbis playback, audiovideo streaming to complex audio (mixing) and video (non-linear editing) processing. Homepage: http://hackage.haskell.org/package/gstreamer Tag: devel::doc, devel::lang:haskell, role::documentation, works-with::audio, works-with::video Section: doc Priority: extra Filename: pool/main/h/haskell-gstreamer/libghc-gstreamer-doc_0.12.1-1_all.deb Package: libghc-gstreamer-prof Source: haskell-gstreamer Version: 0.12.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 9221 Depends: libghc-gstreamer-dev (= 0.12.1-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-directory-prof-1.1.0.2-57272, libghc-glib-prof-0.12.2-64951, libghc-mtl-prof-2.1.1-87121 Provides: libghc-gstreamer-prof-0.12.1-c57d4 Homepage: http://hackage.haskell.org/package/gstreamer Priority: extra Section: haskell Filename: pool/main/h/haskell-gstreamer/libghc-gstreamer-prof_0.12.1-1_armhf.deb Size: 1688312 SHA256: 5e912c1bbac8498a161931e196d6249b501df6133c6668723a61055c49c7c6be SHA1: caf9901e1831610d9f2477878a42a6e477248bce MD5sum: f232651b77df5311c25a515ed595942c Description: Binding to the GStreamer open source multimedia framework; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package provides a wrapper around the GStreamer C library. GStreamer is a library for constructing graphs of media-handling components. The applications it supports range from simple OggVorbis playback, audiovideo streaming to complex audio (mixing) and video (non-linear editing) processing. Package: libghc-gtk-dev Source: haskell-gtk Version: 0.12.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 50549 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-cairo-dev-0.12.3-e5398, libghc-containers-dev-0.4.2.1-7c545, libghc-gio-dev-0.12.3-d40da, libghc-glib-dev-0.12.2-64951, libghc-mtl-dev-2.1.1-87121, libghc-pango-dev-0.12.2-70f76, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgmp10, libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4), libgtk2.0-dev Suggests: libghc-gtk-doc, libghc-gtk-prof Provides: libghc-gtk-dev-0.12.3-8d42f Homepage: http://hackage.haskell.org/package/gtk Priority: extra Section: haskell Filename: pool/main/h/haskell-gtk/libghc-gtk-dev_0.12.3-1_armhf.deb Size: 8525566 SHA256: 4b1c93b444e19b66110548c26c54ce22c94f5168984a3873bf930fdde4e7c7ce SHA1: 5072568b675a23d893bbb22a404a0f970d7e8948 MD5sum: 48affd7567ada5bb5c8f9ca14814b071 Description: Binding to the Gtk+ graphical user interface library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This is the core library of the Gtk2Hs suite of libraries for Haskell based on Gtk+. Gtk+ is an extensive and mature multi-platform toolkit for creating graphical user interfaces. Package: libghc-gtk-doc Source: haskell-gtk Version: 0.12.3-1 Installed-Size: 30405 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cairo-doc, libghc-gio-doc, libghc-glib-doc, libghc-mtl-doc, libghc-pango-doc, libghc-gtk-dev Size: 2756018 SHA256: 7dc2bd0005332dcd0ad283c3f2a566bbfbad5c1794314df5e3c84ad37e989284 SHA1: c450785915da293aa7c0bc7faf486da1789bd79c MD5sum: d3d6512b0e1d0c156a8c387bee410b6c Description: Binding to the Gtk+ graphical user interface library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This is the core library of the Gtk2Hs suite of libraries for Haskell based on Gtk+. Gtk+ is an extensive and mature multi-platform toolkit for creating graphical user interfaces. Homepage: http://hackage.haskell.org/package/gtk Tag: devel::doc, devel::lang:haskell, role::documentation, uitoolkit::gtk Section: doc Priority: extra Filename: pool/main/h/haskell-gtk/libghc-gtk-doc_0.12.3-1_all.deb Package: libghc-gtk-prof Source: haskell-gtk Version: 0.12.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 40885 Depends: libghc-gtk-dev (= 0.12.3-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-cairo-prof-0.12.3-e5398, libghc-containers-prof-0.4.2.1-7c545, libghc-gio-prof-0.12.3-d40da, libghc-glib-prof-0.12.2-64951, libghc-mtl-prof-2.1.1-87121, libghc-pango-prof-0.12.2-70f76 Provides: libghc-gtk-prof-0.12.3-8d42f Homepage: http://hackage.haskell.org/package/gtk Priority: extra Section: haskell Filename: pool/main/h/haskell-gtk/libghc-gtk-prof_0.12.3-1_armhf.deb Size: 7571760 SHA256: 1c80714d6d686eedffe1865fdd3ac33a9a051b64e7ffebe3103a8ea42920c84d SHA1: da5c8c4b329f5a610f1f13665e380ef02ddb9629 MD5sum: b19eb16cc26d37f618efd089ed7cd4a3 Description: Binding to the Gtk+ graphical user interface library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This is the core library of the Gtk2Hs suite of libraries for Haskell based on Gtk+. Gtk+ is an extensive and mature multi-platform toolkit for creating graphical user interfaces. Package: libghc-gtkglext-dev Source: haskell-gtkglext Version: 0.12.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1003 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-glib-dev-0.12.2-64951, libghc-gtk-dev-0.12.3-8d42f, libghc-pango-dev-0.12.2-70f76, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.12.0), libglu1-mesa | libglu1, libgmp10, libgtk2.0-0 (>= 2.8.0), libgtkglext1, libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxmu6, libxt6, zlib1g (>= 1:1.1.4), libgtkglext1-dev Suggests: libghc-gtkglext-doc, libghc-gtkglext-prof Provides: libghc-gtkglext-dev-0.12.1-2e748 Homepage: http://hackage.haskell.org/package/gtkglext Priority: extra Section: haskell Filename: pool/main/h/haskell-gtkglext/libghc-gtkglext-dev_0.12.1-1_armhf.deb Size: 159592 SHA256: b1e997a7f852b03671d55b2a7084bc2dae0d83c398880f84845448650a16bfda SHA1: 92b0326f72dd3ece688df37aee4bfb594a78c6b6 MD5sum: da49364447f51472ca6c7afd3c06453f Description: Binding to the GTK+ OpenGL Extension This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . GtkGLExt provides the GDK objects to support OpenGL rendering in GTK+, and GtkWidget API add-ons to make GTK+ widgets OpenGL-capable. Package: libghc-gtkglext-doc Source: haskell-gtkglext Version: 0.12.1-1 Installed-Size: 535 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-glib-doc, libghc-gtk-doc, libghc-gtkglext-dev Size: 79612 SHA256: 94ead9abc888ca68e540e9c4ded836a6d4c5ef87b5d101daca1f51e8c7de12a0 SHA1: 7b0abbf93611023664d7f0d6bcaf90ab710d9690 MD5sum: 150c7167806a66e66923187cf41009ad Description: Binding to the GTK+ OpenGL Extension; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . GtkGLExt provides the GDK objects to support OpenGL rendering in GTK+, and GtkWidget API add-ons to make GTK+ widgets OpenGL-capable. Homepage: http://hackage.haskell.org/package/gtkglext Tag: devel::doc, devel::lang:haskell, role::documentation, uitoolkit::gtk Section: doc Priority: extra Filename: pool/main/h/haskell-gtkglext/libghc-gtkglext-doc_0.12.1-1_all.deb Package: libghc-gtkglext-prof Source: haskell-gtkglext Version: 0.12.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 672 Depends: libghc-gtkglext-dev (= 0.12.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-glib-prof-0.12.2-64951, libghc-gtk-prof-0.12.3-8d42f, libghc-pango-prof-0.12.2-70f76 Provides: libghc-gtkglext-prof-0.12.1-2e748 Homepage: http://hackage.haskell.org/package/gtkglext Priority: extra Section: haskell Filename: pool/main/h/haskell-gtkglext/libghc-gtkglext-prof_0.12.1-1_armhf.deb Size: 130852 SHA256: e54a3562f82756ff35ef4c4e55d44aca2d50962502efeedfe0b0c5ac18ced5d3 SHA1: 0d8802f1603f9aefeb591570c215fb40ce0e85db MD5sum: c7c3c9ffb73c8b266a5901af858354e7 Description: Binding to the GTK+ OpenGL Extension; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . GtkGLExt provides the GDK objects to support OpenGL rendering in GTK+, and GtkWidget API add-ons to make GTK+ widgets OpenGL-capable. Package: libghc-gtksourceview2-dev Source: haskell-gtksourceview2 Version: 0.12.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3753 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-glib-dev-0.12.2-64951, libghc-gtk-dev-0.12.3-8d42f, libghc-mtl-dev-2.1.1-87121, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgmp10, libgtk2.0-0 (>= 2.8.0), libgtksourceview2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4), libgtksourceview2.0-dev Suggests: libghc-gtksourceview2-doc, libghc-gtksourceview2-prof Provides: libghc-gtksourceview2-dev-0.12.3-b625e Homepage: http://hackage.haskell.org/package/gtksourceview2 Priority: extra Section: haskell Filename: pool/main/h/haskell-gtksourceview2/libghc-gtksourceview2-dev_0.12.3-1_armhf.deb Size: 606394 SHA256: 7671c432f9aacabeebe65562db6485415fa74c7b3998c2c37ed815623073dd30 SHA1: 46f78a5d456875cd35f4895316c5f19850cf64ce MD5sum: 9d1132df9471cad870e086023200a344 Description: Binding to the GtkSourceView library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . GtkSourceView is a text widget that extends the standard GTK+ 2.x text widget GtkTextView. It improves GtkTextView by implementing syntax highlighting and other features typical of a source editor. Package: libghc-gtksourceview2-doc Source: haskell-gtksourceview2 Version: 0.12.3-1 Installed-Size: 1979 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-glib-doc, libghc-gtk-doc, libghc-gtksourceview2-dev Size: 203776 SHA256: 56466d646ade3711cc9b2c4225379b09065e5e08df386705db931fa729d634e2 SHA1: d08f06d53c76723d3304b149d6a636464d224833 MD5sum: c5486256fc83519475575afdddfa393b Description: Binding to the GtkSourceView library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . GtkSourceView is a text widget that extends the standard GTK+ 2.x text widget GtkTextView. It improves GtkTextView by implementing syntax highlighting and other features typical of a source editor. Homepage: http://hackage.haskell.org/package/gtksourceview2 Tag: devel::doc, devel::lang:haskell, role::documentation, uitoolkit::gtk Section: doc Priority: extra Filename: pool/main/h/haskell-gtksourceview2/libghc-gtksourceview2-doc_0.12.3-1_all.deb Package: libghc-gtksourceview2-prof Source: haskell-gtksourceview2 Version: 0.12.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2799 Depends: libghc-gtksourceview2-dev (= 0.12.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-glib-prof-0.12.2-64951, libghc-gtk-prof-0.12.3-8d42f, libghc-mtl-prof-2.1.1-87121 Provides: libghc-gtksourceview2-prof-0.12.3-b625e Homepage: http://hackage.haskell.org/package/gtksourceview2 Priority: extra Section: haskell Filename: pool/main/h/haskell-gtksourceview2/libghc-gtksourceview2-prof_0.12.3-1_armhf.deb Size: 511370 SHA256: 99450e3819d19ffc9cf1f89c7110b0ad622d39566184e4c22d1a3c76f49a67d3 SHA1: 15a3632d8f5de50358ee1b35b7ae875c8c93cd37 MD5sum: f1f347e4fa9249f85b2095ac02395281 Description: Binding to the GtkSourceView library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . GtkSourceView is a text widget that extends the standard GTK+ 2.x text widget GtkTextView. It improves GtkTextView by implementing syntax highlighting and other features typical of a source editor. Package: libghc-haddock-dev Source: haskell-haddock Version: 2.10.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 8330 Depends: libghc-cabal-dev-1.14.0-3e0a6, libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-ghc-dev-7.4.1-79b6c, libghc-ghc-paths-dev-0.1.0.8-ead02, libghc-pretty-dev-1.1.1.0-7e118, libghc-xhtml-dev-3000.2.1-4e39f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-haddock-doc, libghc-haddock-prof Provides: libghc-haddock-dev-2.10.0-e4c57 Homepage: http://hackage.haskell.org/package/haddock Priority: extra Section: haskell Filename: pool/main/h/haskell-haddock/libghc-haddock-dev_2.10.0-1_armhf.deb Size: 1890328 SHA256: 3020feb39023925b074142768c93b12476ae974907535cdd5befec312c2db26f SHA1: 4426a15c0a337e8843c4c066b9fa32dd3de03a87 MD5sum: 6d81504ac7d205f68e51aae939d05924 Description: documentation-generation library for haskell This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package exposes the features of haddock as a library, to be used inside other haskell programs. Package: libghc-haddock-doc Source: haskell-haddock Version: 2.10.0-1 Installed-Size: 2475 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-haddock-dev Size: 244282 SHA256: 0005403aba615380e57192a1fc47b00bcd2f4612e5bff4ccd68837d49c8c9be9 SHA1: 0eb06011674ebc3152d42a2108e1013ba6706614 MD5sum: 421232b62950e628e16d5a9757edf624 Description: documentation-generation library for haskell; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package exposes the features of haddock as a library, to be used inside other haskell programs. Homepage: http://hackage.haskell.org/package/haddock Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-haddock/libghc-haddock-doc_2.10.0-1_all.deb Package: libghc-haddock-prof Source: haskell-haddock Version: 2.10.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 7396 Depends: libghc-haddock-dev (= 2.10.0-1), libghc-cabal-prof-1.14.0-3e0a6, libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-ghc-prof-7.4.1-79b6c, libghc-ghc-paths-prof-0.1.0.8-ead02, libghc-pretty-prof-1.1.1.0-7e118, libghc-xhtml-prof-3000.2.1-4e39f Provides: libghc-haddock-prof-2.10.0-e4c57 Homepage: http://hackage.haskell.org/package/haddock Priority: extra Section: haskell Filename: pool/main/h/haskell-haddock/libghc-haddock-prof_2.10.0-1_armhf.deb Size: 1666478 SHA256: a4abb8be0cacf47571a5a64b3aff5bb4dc049e6ff32895e96e44911bf1e02931 SHA1: 9d94e8022db63f5cc24868bc3bf1d403406d9782 MD5sum: 498e3c2f5e161f3100bc70feb890d9e2 Description: documentation-generation library for haskell; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package exposes the features of haddock as a library, to be used inside other haskell programs. Package: libghc-hakyll-doc Source: haskell-hakyll Version: 3.2.7.2-1 Installed-Size: 1594 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-html-doc, libghc-citeproc-hs-doc, libghc-hamlet-doc, libghc-pandoc-doc, libghc-pandoc-types-doc, libghc-transformers-doc, libghc-hakyll-dev Size: 195400 SHA256: dc3c90bfa78b7b41619260f5cd925ba946a3dd54648bbe0fe7944bf468f90ce5 SHA1: a16e269abd1b280ec0585d814676f4842c19bd48 MD5sum: c8c2cf8081e58b606bf49a145df72632 Description: static website compiler library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Hakyll is a static website compiler library. It provides you with the tools to create a simple or advanced static website using a Haskell DSL and formats such as markdown or RST. You can find more information, including a tutorial, on the website: . http://jaspervdj.be/hakyll Homepage: http://hackage.haskell.org/package/hakyll Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hakyll/libghc-hakyll-doc_3.2.7.2-1_all.deb Package: libghc-hamlet-doc Source: haskell-hamlet Version: 1.0.1.3-1 Installed-Size: 359 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-html-doc, libghc-failure-doc, libghc-hamlet-dev Size: 53904 SHA256: f377fc22a178646caf82d1e1a10f60a1e49d6680fb00d492c8d68a12fe832a91 SHA1: e07e44c2a196f63520c51ecd6ef7c6c7426f0d91 MD5sum: 90c381cd4ccbcdacbb93bda7f5fb47c7 Description: type-safe tool for generating HTML code; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Hamlet gives you a type-safe tool for generating HTML code. It works via Quasi-Quoting, and generating extremely efficient output code. The syntax is white-space sensitive, and it helps you avoid cross-site scripting issues and 404 errors. Please see the documentation at http://docs.yesodweb.com/book/hamlet/ for more details. Homepage: http://hackage.haskell.org/package/hamlet Tag: devel::code-generator, devel::doc, devel::lang:haskell, role::documentation, works-with-format::html Section: doc Priority: extra Filename: pool/main/h/haskell-hamlet/libghc-hamlet-doc_1.0.1.3-1_all.deb Package: libghc-happstack-data-doc Source: haskell-happstack-data Version: 6.0.0-1 Installed-Size: 900 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-16 Recommends: ghc-doc, libghc-binary-doc, libghc-syb-with-class-doc Suggests: libghc-happstack-data-dev Size: 88354 SHA256: b89853e662bb56621c8415aa629640c906efb19e9892e646471799f05830f5f2 SHA1: d43a031a046e9daa9e5f75f2908d42ce5a289d63 MD5sum: 9cca519d9dd90dd6d411432669320d68 Description: Happstack web framework, data utilities package - documentation Happstack is a Haskell web framework, designed so that developers can prototype quickly, deploy painlessly, scale massively, operate reliably, and change easily. It supports GNU/Linux, OS X, FreeBSD, and Windows environments. . Happstack provides you with all the components you need to build and deploy your application, which is completely self-contained. Particularly, Happstack provides the application with a distributed and persistent data storage layer, capable to interact with the application itself directly in terms of Haskell data structures. . Happstack-data contains some miscellaneous data-handling-related routines. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/happstack-data Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-happstack-data/libghc-happstack-data-doc_6.0.0-1_all.deb Package: libghc-happstack-doc Source: haskell-happstack Version: 7.0.0-1 Installed-Size: 98 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: libghc-happstack-dev Size: 30058 SHA256: 192e2ffe7f415215c35a9a1cb61f223c1be4a0e6c7124a3180e0ad28c40ab5f8 SHA1: c34f6b33afcf214065176437c7c1eba012d4821d MD5sum: a70f3c60fbd3ec41d57047e744b1d2a3 Description: Haskell web framework - documentation Happstack is a web framework written in Haskell; it provides a rich collection of types and functions for routing Requests, generating Responses, working with query parameters, form data, and cookies, serving files and more. . This package contains the library documentation. Anyway, it is just a stub, depending on the package that actually provides the Happstack server. Homepage: http://hackage.haskell.org/package/happstack Tag: devel::doc, devel::lang:haskell, role::documentation, web::server Section: doc Priority: extra Filename: pool/main/h/haskell-happstack/libghc-happstack-doc_7.0.0-1_all.deb Package: libghc-happstack-ixset-doc Source: haskell-happstack-ixset Version: 6.0.1-1 Installed-Size: 448 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-16 Recommends: ghc-doc, libghc-happstack-data-doc Suggests: libghc-happstack-ixset-dev Size: 55480 SHA256: 38bbd403c1d6140a7fb2a1696b0da0f7808cf990ced090872a6c86f4f310ecb3 SHA1: 5a32cd4928a8e43ddff548264da0080f27219ca4 MD5sum: 4628b8dd19650763738a0d17ab600f46 Description: Happstack web framework, indexing engine - documentation Happstack is a Haskell web framework, designed so that developers can prototype quickly, deploy painlessly, scale massively, operate reliably, and change easily. It supports GNU/Linux, OS X, FreeBSD, and Windows environments. . Happstack provides you with all the components you need to build and deploy your application, which is completely self-contained. Particularly, Happstack provides the application with a distributed and persistent data storage layer, capable to interact with the application itself directly in terms of Haskell data structures. . Happstack-ixset is an indexing engine Happstack applications can use to quickly retrieve the data they're interested into. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/happstack-ixset Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-happstack-ixset/libghc-happstack-ixset-doc_6.0.1-1_all.deb Package: libghc-happstack-server-doc Source: haskell-happstack-server Version: 7.0.1-1 Installed-Size: 3147 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-html-doc, libghc-html-doc, libghc-monad-control-doc, libghc-mtl-doc, libghc-network-doc, libghc-sendfile-doc, libghc-text-doc, libghc-transformers-base-doc, libghc-transformers-doc, libghc-xhtml-doc, libghc-zlib-doc, libghc-happstack-server-dev Size: 337896 SHA256: 72a9f328fb58de5afc324e30206cc38650fc400f5903813b3866471aa392a801 SHA1: 87dfba4f788766b967a2c93047cc2fadbdd00383 MD5sum: 7ba3c46b188f79ad7332ad86d862a873 Description: Happstack web framework, HTTP server - documentation Happstack is a Haskell web framework, designed so that developers can prototype quickly, deploy painlessly, scale massively, operate reliably, and change easily. It supports GNU/Linux, OS X, FreeBSD, and Windows environments. . Happstack provides you with all the components you need to build and deploy your application, which is completely self-contained. Particularly, Happstack provides the application with a distributed and persistent data storage layer, capable to interact with the application itself directly in terms of Haskell data structures. . Happstack-server contains the HTTP server itself. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/happstack-server Tag: devel::doc, devel::lang:haskell, network::server, role::documentation, web::server Section: doc Priority: extra Filename: pool/main/h/haskell-happstack-server/libghc-happstack-server-doc_7.0.1-1_all.deb Package: libghc-happstack-state-doc Source: haskell-happstack-state Version: 6.0.0-1 Installed-Size: 1080 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-16 Recommends: ghc-doc, libghc-happstack-data-doc, libghc-hslogger-doc Suggests: libghc-happstack-state-dev Size: 105488 SHA256: d47ef2a663692c50839c5ef17764d3a8c0ac1dfdf51116f4b154a4e07de0d084 SHA1: 79ec9a9608241602eb7b569c09f0911eaa421754 MD5sum: c754e0a42f6680625a520447eaf6ff46 Description: Happstack web framework, distributed state layer - documentation Happstack is a Haskell web framework, designed so that developers can prototype quickly, deploy painlessly, scale massively, operate reliably, and change easily. It supports GNU/Linux, OS X, FreeBSD, and Windows environments. . Happstack provides you with all the components you need to build and deploy your application, which is completely self-contained. Particularly, Happstack provides the application with a distributed and persistent data storage layer, capable to interact with the application itself directly in terms of Haskell data structures. . Happstack-state is a distributed event-based state layer provided by Happstack to the web application. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/happstack-state Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-happstack-state/libghc-happstack-state-doc_6.0.0-1_all.deb Package: libghc-happstack-util-dev Source: haskell-happstack-util Version: 6.0.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2194 Depends: libghc-array-dev-0.3.0.2-86a19, libghc-base-dev-4.3.1.0-97817, libghc-bytestring-dev-0.9.1.10-978b1, libghc-directory-dev-1.1.0.0-956da, libghc-extensible-exceptions-dev-0.1.1.2-ae710, libghc-filepath-dev-1.2.0.0-e0c8b, libghc-hslogger-dev-1.1.4-3049c, libghc-mtl-dev-2.0.1.0-56453, libghc-network-dev-2.3.0.6-96c11, libghc-old-locale-dev-1.0.0.2-706a2, libghc-old-time-dev-1.0.0.6-63a3e, libghc-parsec-dev-3.1.1-748d6, libghc-process-dev-1.0.1.5-a8fa0, libghc-random-dev-1.0.0.3-06dab, libghc-template-haskell-dev-2.5.0.0-b7fcd, libghc-time-dev-1.2.0.3-e4206, libghc-unix-dev-2.4.2.0-00731, libghc-unix-compat-dev-0.2.1.1-6db2a, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-happstack-util-doc, libghc-happstack-util-prof Provides: libghc-happstack-util-dev-6.0.0-8b76a Homepage: http://hackage.haskell.org/package/happstack-util Priority: extra Section: haskell Filename: pool/main/h/haskell-happstack-util/libghc-happstack-util-dev_6.0.0-1_armhf.deb Size: 462628 SHA256: d7f5d84d8b9ceeb77f2a74448a426deae453283f4f2fcfc66993281bdbfc3e5d SHA1: d71dd3376c02867664d7cbec74faf5a2b536509c MD5sum: 32749c324b523366e6b00e1233e72115 Description: Happstack web framework, utilities package - GHC libraries Happstack is a Haskell web framework, designed so that developers can prototype quickly, deploy painlessly, scale massively, operate reliably, and change easily. It supports GNU/Linux, OS X, FreeBSD, and Windows environments. . Happstack provides you with all the components you need to build and deploy your application, which is completely self-contained. Particularly, Happstack provides the application with a distributed and persistent data storage layer, capable to interact with the application itself directly in terms of Haskell data structures. . Happstack-util is a collection of miscellaneous libraries used by happstack. . This package contains the libraries compiled for GHC. Package: libghc-happstack-util-doc Source: haskell-happstack-util Version: 6.0.0-1 Installed-Size: 844 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-16 Recommends: ghc-doc, libghc-hslogger-doc Suggests: libghc-happstack-util-dev Size: 85198 SHA256: b967a3ef289730126069125791a51a11ffbbb4e09d2fa5831439e8de01bcae76 SHA1: 936557e64fb55a8f05bfff5372d533953adce0ac MD5sum: 90042e99bc951495f481d272c9507fa3 Description: Happstack web framework, utilities package - documentation Happstack is a Haskell web framework, designed so that developers can prototype quickly, deploy painlessly, scale massively, operate reliably, and change easily. It supports GNU/Linux, OS X, FreeBSD, and Windows environments. . Happstack provides you with all the components you need to build and deploy your application, which is completely self-contained. Particularly, Happstack provides the application with a distributed and persistent data storage layer, capable to interact with the application itself directly in terms of Haskell data structures. . Happstack-util is a collection of miscellaneous libraries used by happstack. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/happstack-util Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-happstack-util/libghc-happstack-util-doc_6.0.0-1_all.deb Package: libghc-happstack-util-prof Source: haskell-happstack-util Version: 6.0.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3849 Depends: libghc-happstack-util-dev (= 6.0.0-1), libghc-array-prof-0.3.0.2-86a19, libghc-base-prof-4.3.1.0-97817, libghc-bytestring-prof-0.9.1.10-978b1, libghc-directory-prof-1.1.0.0-956da, libghc-extensible-exceptions-prof-0.1.1.2-ae710, libghc-filepath-prof-1.2.0.0-e0c8b, libghc-hslogger-prof-1.1.4-3049c, libghc-mtl-prof-2.0.1.0-56453, libghc-network-prof-2.3.0.6-96c11, libghc-old-locale-prof-1.0.0.2-706a2, libghc-old-time-prof-1.0.0.6-63a3e, libghc-parsec-prof-3.1.1-748d6, libghc-process-prof-1.0.1.5-a8fa0, libghc-random-prof-1.0.0.3-06dab, libghc-template-haskell-prof-2.5.0.0-b7fcd, libghc-time-prof-1.2.0.3-e4206, libghc-unix-prof-2.4.2.0-00731, libghc-unix-compat-prof-0.2.1.1-6db2a Provides: libghc-happstack-util-prof-6.0.0-8b76a Homepage: http://hackage.haskell.org/package/happstack-util Priority: extra Section: haskell Filename: pool/main/h/haskell-happstack-util/libghc-happstack-util-prof_6.0.0-1_armhf.deb Size: 703890 SHA256: 84eca8c75ba2de6856bdc08455d5abcd3511ffb50d38d91212d24b47822140b3 SHA1: 273462d2121c78b67dc2a333f4173c55a6da84d7 MD5sum: fe8ab1d1db1c0e69008cc0980ae62a05 Description: Happstack web framework, utilities package - GHC profiling libraries Happstack is a Haskell web framework, designed so that developers can prototype quickly, deploy painlessly, scale massively, operate reliably, and change easily. It supports GNU/Linux, OS X, FreeBSD, and Windows environments. . Happstack provides you with all the components you need to build and deploy your application, which is completely self-contained. Particularly, Happstack provides the application with a distributed and persistent data storage layer, capable to interact with the application itself directly in terms of Haskell data structures. . Happstack-util is a collection of miscellaneous libraries used by happstack. . This package contains the profiling libraries compiled for GHC. Package: libghc-harp-dev Source: haskell-harp Version: 0.4-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 117 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-harp-doc, libghc-harp-prof Provides: libghc-harp-dev-0.4-aeacd Homepage: http://hackage.haskell.org/package/harp Priority: extra Section: haskell Filename: pool/main/h/haskell-harp/libghc-harp-dev_0.4-3_armhf.deb Size: 16282 SHA256: 6a602e2ec326f1a3fc15b0c44ff1e5408d24db2ba21fac175d20cb81ba930574 SHA1: f741af37878a457b7cc862ff627747eaa182f447 MD5sum: 2cc1ff0a972ed9e0a9c5bc3e99d0d7df Description: Haskell support for regexp based pattern matching - GHC libraries HaRP, or Haskell Regular Patterns, is a Haskell extension that extends the normal pattern matching facility, allowing the user to match against regular expressions. This expressive power is highly useful in a wide range of areas, including text parsing and XML processing. Regular expression patterns in HaRP work over ordinary Haskell lists ([]) of arbitrary type. HaRP is implemented as a pre-processor to ordinary Haskell. . This package contains the libraries compiled for GHC. Package: libghc-harp-doc Source: haskell-harp Version: 0.4-3 Installed-Size: 124 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-harp-dev Size: 31288 SHA256: 1ea021d4cc72b9d1b01c87fa4c6fa26ea4fdf8e481c0c6f2c53210ec53848f67 SHA1: 871cea46310a8b34bc493b7826668a0ccfd3ba25 MD5sum: 833d8a5ce3ce16a2685aa2d15a09595a Description: Haskell support for regexp based pattern matching - documentation HaRP, or Haskell Regular Patterns, is a Haskell extension that extends the normal pattern matching facility, allowing the user to match against regular expressions. This expressive power is highly useful in a wide range of areas, including text parsing and XML processing. Regular expression patterns in HaRP work over ordinary Haskell lists ([]) of arbitrary type. HaRP is implemented as a pre-processor to ordinary Haskell. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/harp Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-harp/libghc-harp-doc_0.4-3_all.deb Package: libghc-harp-prof Source: haskell-harp Version: 0.4-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 99 Depends: libghc-harp-dev (= 0.4-3), libghc-base-prof-4.5.0.0-d93df Provides: libghc-harp-prof-0.4-aeacd Homepage: http://hackage.haskell.org/package/harp Priority: extra Section: haskell Filename: pool/main/h/haskell-harp/libghc-harp-prof_0.4-3_armhf.deb Size: 17158 SHA256: 8fd5ead5c9901494276214eee491290f98d929cbfb09061d1b96fe1dcf6506f1 SHA1: 307843fec81f9f0f9ee458bc0b48d8667a1f2f90 MD5sum: 6a9f5bc8d48dbfa7a4cc86e50d1c8143 Description: Haskell support for regexp based pattern matching - GHC profiling libraries HaRP, or Haskell Regular Patterns, is a Haskell extension that extends the normal pattern matching facility, allowing the user to match against regular expressions. This expressive power is highly useful in a wide range of areas, including text parsing and XML processing. Regular expression patterns in HaRP work over ordinary Haskell lists ([]) of arbitrary type. HaRP is implemented as a pre-processor to ordinary Haskell. . This package contains the profiling libraries compiled for GHC. Package: libghc-hashable-dev Source: haskell-hashable Version: 1.1.2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 344 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-ghc-prim-dev-0.2.0.0-bd29c, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hashable-doc, libghc-hashable-prof Provides: libghc-hashable-dev-1.1.2.3-ea6fe Homepage: http://hackage.haskell.org/package/hashable Priority: extra Section: haskell Filename: pool/main/h/haskell-hashable/libghc-hashable-dev_1.1.2.3-1_armhf.deb Size: 61356 SHA256: 0763184dd0da72615e449e1214bcb097f412e66619840a99e8053b4567043dd7 SHA1: e7caf78ab5ee7ca3c47d5fff10c1dceaf33c3ef2 MD5sum: c160ebb1077e64d16025deaa90e99f9b Description: Class for types that can be converted to a hash value This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It defines a class, Hashable, for types that can be converted to a hash value. This class exists for the benefit of hashing-based data structures. The package provides instances for basic types and a way to combine hash values. Package: libghc-hashable-doc Source: haskell-hashable Version: 1.1.2.3-1 Installed-Size: 203 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-hashable-dev Size: 39360 SHA256: e4163b64a59326ff32d4651d9ca381f082324154c5bcaf7533a9e1b42df83f21 SHA1: bf78e9544d0c501d2dbb8c31ed956c994ea75f1c MD5sum: d3ebbc864d86d6441b0e32b3279919e6 Description: Class for types that can be converted to a hash value; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It defines a class, Hashable, for types that can be converted to a hash value. This class exists for the benefit of hashing-based data structures. The package provides instances for basic types and a way to combine hash values. Homepage: http://hackage.haskell.org/package/hashable Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hashable/libghc-hashable-doc_1.1.2.3-1_all.deb Package: libghc-hashable-prof Source: haskell-hashable Version: 1.1.2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 292 Depends: libghc-hashable-dev (= 1.1.2.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-ghc-prim-prof-0.2.0.0-bd29c, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-hashable-prof-1.1.2.3-ea6fe Homepage: http://hackage.haskell.org/package/hashable Priority: extra Section: haskell Filename: pool/main/h/haskell-hashable/libghc-hashable-prof_1.1.2.3-1_armhf.deb Size: 55016 SHA256: 440b2adaa079cf870cab3ec49533659c9b9ab00966bd51242e9e668df012c6c9 SHA1: d5550f9b9cb3c1b2d60244556b49bc241aaa20b1 MD5sum: f92606185bfc18a5e03562dd5a5b1449 Description: Class for types that can be converted to a hash value; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It defines a class, Hashable, for types that can be converted to a hash value. This class exists for the benefit of hashing-based data structures. The package provides instances for basic types and a way to combine hash values. Package: libghc-hashed-storage-dev Source: haskell-hashed-storage Version: 0.5.9-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2664 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-dataenc-dev-0.14.0.3-85e41, libghc-directory-dev-1.1.0.2-57272, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libghc-filepath-dev-1.3.0.0-163d9, libghc-mmap-dev-0.5.7-96941, libghc-mtl-dev-2.1.1-87121, libghc-zlib-dev-0.5.3.3-9ed15, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4) Suggests: libghc-hashed-storage-doc, libghc-hashed-storage-prof Provides: libghc-hashed-storage-dev-0.5.9-37679 Homepage: http://hackage.haskell.org/package/hashed-storage Priority: extra Section: haskell Filename: pool/main/h/haskell-hashed-storage/libghc-hashed-storage-dev_0.5.9-2_armhf.deb Size: 644410 SHA256: 37085de3899e598a7bf0fbc3f070be9243eea941b2f0c1cb695b7bb0efdfd495 SHA1: e62e5558aebf4e11d45585b05e8b12b11ad09aa6 MD5sum: ad02cbfe6cc73f82d77efea5d739f878 Description: hashed file storage support code This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Support code for reading and manipulating hashed file storage (where each file and directory is associated with a cryptographic hash, for corruption-resistant storage and fast comparisons). . The supported storage formats include darcs hashed pristine, a plain filesystem tree and an indexed plain tree (where the index maintains hashes of the plain files and directories). Package: libghc-hashed-storage-doc Source: haskell-hashed-storage Version: 0.5.9-2 Installed-Size: 933 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-hashed-storage-dev Size: 116690 SHA256: ab3e6343d0c7b3cf41517333de2be5ebffb4ad855a85af81868ed3640045cc5c SHA1: 31b568364b05ff3ccd94e292faf79fae66e599c2 MD5sum: 2e6b7f9d153aea3c7b8b2a0ebcbeb75f Description: hashed file storage support code; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Support code for reading and manipulating hashed file storage (where each file and directory is associated with a cryptographic hash, for corruption-resistant storage and fast comparisons). . The supported storage formats include darcs hashed pristine, a plain filesystem tree and an indexed plain tree (where the index maintains hashes of the plain files and directories). Homepage: http://hackage.haskell.org/package/hashed-storage Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hashed-storage/libghc-hashed-storage-doc_0.5.9-2_all.deb Package: libghc-hashed-storage-prof Source: haskell-hashed-storage Version: 0.5.9-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2865 Depends: libghc-hashed-storage-dev (= 0.5.9-2), libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-dataenc-prof-0.14.0.3-85e41, libghc-directory-prof-1.1.0.2-57272, libghc-extensible-exceptions-prof-0.1.1.4-d27a1, libghc-filepath-prof-1.3.0.0-163d9, libghc-mmap-prof-0.5.7-96941, libghc-mtl-prof-2.1.1-87121, libghc-zlib-prof-0.5.3.3-9ed15 Provides: libghc-hashed-storage-prof-0.5.9-37679 Homepage: http://hackage.haskell.org/package/hashed-storage Priority: extra Section: haskell Filename: pool/main/h/haskell-hashed-storage/libghc-hashed-storage-prof_0.5.9-2_armhf.deb Size: 690294 SHA256: 1bab690ca4fc089c3fb2caff176da504f25c6a11670f355733a2802844904a30 SHA1: 5a3ac6fc7e344f5e6a5765ec8422b809d4aeccb0 MD5sum: add775a8c77213c55cf79e157024c7f4 Description: hashed file storage support code; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Support code for reading and manipulating hashed file storage (where each file and directory is associated with a cryptographic hash, for corruption-resistant storage and fast comparisons). . The supported storage formats include darcs hashed pristine, a plain filesystem tree and an indexed plain tree (where the index maintains hashes of the plain files and directories). Package: libghc-hashmap-dev Source: haskell-hashmap Version: 1.3.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 977 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-hashable-dev-1.1.2.3-ea6fe, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hashmap-doc, libghc-hashmap-prof Provides: libghc-hashmap-dev-1.3.0.1-4fe2e Homepage: http://hackage.haskell.org/package/hashmap Priority: extra Section: haskell Filename: pool/main/h/haskell-hashmap/libghc-hashmap-dev_1.3.0.1-1_armhf.deb Size: 204810 SHA256: 455c34e380d09d0f2583b3cd68654e307c8e516c55b4d3bc91d587808c4d5725 SHA1: 5ce4d0fb93967003c7609cc6cf6b065a05892da1 MD5sum: f1036afe1e76a48ca074014d8f892f40 Description: persistent containers Map and Set based on hashing An implementation of persistent Map and Set containers based on hashing. The implementation is build on top of Data.IntMap.IntMap and Data.IntSet.IntSet, with very similar API. It uses Hashable class from the hashable package for hashing. . This package can be used as a drop-in replacement for Data.Map and Data.Set modules. . The Map key value is an Data.IntMap.IntMap indexed by the hash value, containing either one (key, value) or a Data.Map.Map key value for all keys with the same hash value. . The Set elem is an Data.IntMap.IntMap indexed by the hash value, containing either one elem or Data.Set.Set elem for all elements with the same hash value. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hashmap-doc Source: haskell-hashmap Version: 1.3.0.1-1 Installed-Size: 510 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hashable-doc, libghc-hashmap-dev Size: 62760 SHA256: 0db08b1b873de6f07e568b2428f3188db0cb9eef91a4a5fc2f03f08f6fdf221d SHA1: deb1c0e02615bc9e4469e80079aae1d04d2e7657 MD5sum: 32ddcdce82a8bbfa063fce0bc3281f7c Description: persistent containers Map and Set based on hashing; documentation An implementation of persistent Map and Set containers based on hashing. The implementation is build on top of Data.IntMap.IntMap and Data.IntSet.IntSet, with very similar API. It uses Hashable class from the hashable package for hashing. . This package can be used as a drop-in replacement for Data.Map and Data.Set modules. . The Map key value is an Data.IntMap.IntMap indexed by the hash value, containing either one (key, value) or a Data.Map.Map key value for all keys with the same hash value. . The Set elem is an Data.IntMap.IntMap indexed by the hash value, containing either one elem or Data.Set.Set elem for all elements with the same hash value. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/hashmap Section: doc Priority: extra Filename: pool/main/h/haskell-hashmap/libghc-hashmap-doc_1.3.0.1-1_all.deb Package: libghc-hashmap-prof Source: haskell-hashmap Version: 1.3.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 901 Depends: libghc-hashmap-dev (= 1.3.0.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-deepseq-prof-1.3.0.0-6c19e, libghc-hashable-prof-1.1.2.3-ea6fe Provides: libghc-hashmap-prof-1.3.0.1-4fe2e Homepage: http://hackage.haskell.org/package/hashmap Priority: extra Section: haskell Filename: pool/main/h/haskell-hashmap/libghc-hashmap-prof_1.3.0.1-1_armhf.deb Size: 193726 SHA256: dd398c31a81064510ee473bbeedd70e54a6d2273572792ee394add5250231a65 SHA1: 7a68c60f1c7eaa9b35a80eddd07602958c9cdcbf MD5sum: 9baa86eb5c099e2f57059d1efd8c4c0e Description: persistent containers Map and Set based on hashing; profiling libraries An implementation of persistent Map and Set containers based on hashing. The implementation is build on top of Data.IntMap.IntMap and Data.IntSet.IntSet, with very similar API. It uses Hashable class from the hashable package for hashing. . This package can be used as a drop-in replacement for Data.Map and Data.Set modules. . The Map key value is an Data.IntMap.IntMap indexed by the hash value, containing either one (key, value) or a Data.Map.Map key value for all keys with the same hash value. . The Set elem is an Data.IntMap.IntMap indexed by the hash value, containing either one elem or Data.Set.Set elem for all elements with the same hash value. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hashtables-dev Source: haskell-hashtables Version: 1.0.1.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1771 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-ghc-prim-dev-0.2.0.0-bd29c, libghc-hashable-dev-1.1.2.3-ea6fe, libghc-primitive-dev-0.4.1-342b9, libghc-vector-dev-0.9.1-8e782, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hashtables-doc, libghc-hashtables-prof Provides: libghc-hashtables-dev-1.0.1.4-9c3fe Homepage: http://hackage.haskell.org/package/hashtables Priority: extra Section: haskell Filename: pool/main/h/haskell-hashtables/libghc-hashtables-dev_1.0.1.4-1_armhf.deb Size: 398796 SHA256: 1a6ba1f732180ef41043cd185992dd2b28efb5415969a39e8cfdd5c97b7c8231 SHA1: 7942393199c3d8db29ad76821a70f5a683419cba MD5sum: 79ad54d2b2771b086a872f3d8b5ab42c Description: mutable hash tables This package provides a couple of different implementations of mutable hash tables in the ST monad, as well as a typeclass abstracting their common operations, and a set of wrappers to use the hash tables in the IO monad. . There are three hash table implementations: . * Data.HashTable.ST.Basic contains a basic open-addressing hash table using linear probing as the collision strategy. This should currently be the fastest available hash table implementation for lookups, although it has a higher memory overhead than others. * Data.HashTable.ST.Cuckoo contains an implementation of "cuckoo hashing". Cuckoo hashing has worst-case O(1) lookups and performs well even when the table is highly loaded. * Data.HashTable.ST.Linear contains a linear hash table, which trades some insert and lookup performance for higher space efficiency and much shorter delays when expanding the table. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hashtables-doc Source: haskell-hashtables Version: 1.0.1.4-1 Installed-Size: 957 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hashable-doc, libghc-primitive-doc, libghc-hashtables-dev Size: 99852 SHA256: eec677dc88776a910725113a748b005b4053ea3fb0b24a3181e5c17b5f19bb0e SHA1: 84bf765a4d0218682c96e1685cf49be53394c7a8 MD5sum: 2c5afadc7a2a6fb0b0e4dde0d94e45e7 Description: mutable hash tables -- documentation This package provides a couple of different implementations of mutable hash tables in the ST monad, as well as a typeclass abstracting their common operations, and a set of wrappers to use the hash tables in the IO monad. . There are three hash table implementations: . * Data.HashTable.ST.Basic contains a basic open-addressing hash table using linear probing as the collision strategy. This should currently be the fastest available hash table implementation for lookups, although it has a higher memory overhead than others. * Data.HashTable.ST.Cuckoo contains an implementation of "cuckoo hashing". Cuckoo hashing has worst-case O(1) lookups and performs well even when the table is highly loaded. * Data.HashTable.ST.Linear contains a linear hash table, which trades some insert and lookup performance for higher space efficiency and much shorter delays when expanding the table. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/hashtables Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hashtables/libghc-hashtables-doc_1.0.1.4-1_all.deb Package: libghc-hashtables-prof Source: haskell-hashtables Version: 1.0.1.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2320 Depends: libghc-hashtables-dev (= 1.0.1.4-1), libghc-base-prof-4.5.0.0-d93df, libghc-ghc-prim-prof-0.2.0.0-bd29c, libghc-hashable-prof-1.1.2.3-ea6fe, libghc-primitive-prof-0.4.1-342b9, libghc-vector-prof-0.9.1-8e782 Provides: libghc-hashtables-prof-1.0.1.4-9c3fe Homepage: http://hackage.haskell.org/package/hashtables Priority: extra Section: haskell Filename: pool/main/h/haskell-hashtables/libghc-hashtables-prof_1.0.1.4-1_armhf.deb Size: 524292 SHA256: 59e229c569b6405847b43576df997d8d080f981af05cda6eceb613855bca3cee SHA1: 368d0c748eeb0d68615785406923eede4b6d9ee7 MD5sum: 7ac8607f8835152c5a67b1d44e8b7119 Description: mutable hash tables -- profiling libraries This package provides a couple of different implementations of mutable hash tables in the ST monad, as well as a typeclass abstracting their common operations, and a set of wrappers to use the hash tables in the IO monad. . There are three hash table implementations: . * Data.HashTable.ST.Basic contains a basic open-addressing hash table using linear probing as the collision strategy. This should currently be the fastest available hash table implementation for lookups, although it has a higher memory overhead than others. * Data.HashTable.ST.Cuckoo contains an implementation of "cuckoo hashing". Cuckoo hashing has worst-case O(1) lookups and performs well even when the table is highly loaded. * Data.HashTable.ST.Linear contains a linear hash table, which trades some insert and lookup performance for higher space efficiency and much shorter delays when expanding the table. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-haskeline-dev Source: haskell-haskeline Version: 0.6.4.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 5580 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libghc-filepath-dev-1.3.0.0-163d9, libghc-mtl-dev-2.1.1-87121, libghc-terminfo-dev-0.3.2.3-8ee22, libghc-unix-dev-2.5.1.0-77272, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libncurses5 (>= 5.5-5~), libtinfo5 Suggests: libghc-haskeline-doc, libghc-haskeline-prof Provides: libghc-haskeline-dev-0.6.4.7-3f753 Homepage: http://hackage.haskell.org/cgi-bin/haskeline/package/haskeline Priority: extra Section: haskell Filename: pool/main/h/haskell-haskeline/libghc-haskeline-dev_0.6.4.7-1_armhf.deb Size: 1215140 SHA256: 5cb76351e54b070bb3f6d1a6e7066770a922d6213558acb37ef022a88bccf1a7 SHA1: df2895acfb2791b96993029884931b7a515e637b MD5sum: 7687364166949563da4d80a8ea62d5c9 Description: A command-line interface for user input, written in Haskell This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Haskeline provides a user interface for line input in command-line programs. This library is similar in purpose to readline, but since it is written in Haskell it is (hopefully) more easily used in other Haskell programs. . Haskeline runs both on POSIX-compatible systems and on Windows. Package: libghc-haskeline-doc Source: haskell-haskeline Version: 0.6.4.7-1 Installed-Size: 1306 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-terminfo-doc, libghc-transformers-doc, libghc-haskeline-dev Size: 152256 SHA256: 1d0fc3222c80d3de9688e07f2ab9c656c8d752b65b3905ae7af37e91a0f4f1ee SHA1: 240322d1d13bc16d1e365422826aef2c4fa9f0c9 MD5sum: f703f9d036ff594884772dce8c46f136 Description: A command-line interface for user input, written in Haskell; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Haskeline provides a user interface for line input in command-line programs. This library is similar in purpose to readline, but since it is written in Haskell it is (hopefully) more easily used in other Haskell programs. . Haskeline runs both on POSIX-compatible systems and on Windows. Homepage: http://hackage.haskell.org/cgi-bin/haskeline/package/haskeline Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-haskeline/libghc-haskeline-doc_0.6.4.7-1_all.deb Package: libghc-haskeline-prof Source: haskell-haskeline Version: 0.6.4.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 5693 Depends: libghc-haskeline-dev (= 0.6.4.7-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-extensible-exceptions-prof-0.1.1.4-d27a1, libghc-filepath-prof-1.3.0.0-163d9, libghc-mtl-prof-2.1.1-87121, libghc-terminfo-prof-0.3.2.3-8ee22, libghc-unix-prof-2.5.1.0-77272, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-haskeline-prof-0.6.4.7-3f753 Homepage: http://hackage.haskell.org/cgi-bin/haskeline/package/haskeline Priority: extra Section: haskell Filename: pool/main/h/haskell-haskeline/libghc-haskeline-prof_0.6.4.7-1_armhf.deb Size: 1245236 SHA256: 6cc51a42a2739e148ad3e4b93c7475283e84d515137a13d549dc92290904bf6b SHA1: 300eaef437458f73103936d9d63f8d6f0616ab97 MD5sum: 61257f1cdc470f5bbf14f3ef34264887 Description: A command-line interface for user input; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Haskeline provides a user interface for line input in command-line programs. This library is similar in purpose to readline, but since it is written in Haskell it is (hopefully) more easily used in other Haskell programs. . Haskeline runs both on POSIX-compatible systems and on Windows. Package: libghc-haskell-lexer-dev Source: haskell-lexer Version: 1.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3820 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-haskell-lexer-doc, libghc-haskell-lexer-prof Provides: libghc-haskell-lexer-dev-1.0-00af9 Homepage: http://hackage.haskell.org/package/haskell-lexer Priority: extra Section: haskell Filename: pool/main/h/haskell-lexer/libghc-haskell-lexer-dev_1.0-3_armhf.deb Size: 672278 SHA256: d9d374cee04a4b8ad2a9341a3ac1527db9a70d0a5d79543f15f0c8de0091ed1a SHA1: fdab96dcafe795204c41854e590bc856ab56f87f MD5sum: 6a6e839d5bdbd930c4080e7cdc7ac24b Description: A fully compliant Haskell 98 lexer A fully compliant Haskell 98 lexer written in Haskell. The lexer produces a list tuples containing a token type identifier, its position and the tokem string. . This package contains the libraries compiled for GHC. Package: libghc-haskell-lexer-doc Source: haskell-lexer Version: 1.0-3 Installed-Size: 1418 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-haskell-lexer-dev Size: 74830 SHA256: ac279a46580fb5e94a89de5e17689da21a9e501785734f25cba0d09bc59ec41c SHA1: bf04a8c0fa40bd999291be264f0595d6e2432d9f MD5sum: 3c155a7578762e54d22e502445b018ed Description: Documentation for a fully compliant Haskell 98 lexer A fully compliant Haskell 98 lexer written in Haskell. The lexer produces a list tuples containing a token type identifier, its position and the tokem string. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/haskell-lexer Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-lexer/libghc-haskell-lexer-doc_1.0-3_all.deb Package: libghc-haskell-lexer-prof Source: haskell-lexer Version: 1.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4641 Depends: libghc-haskell-lexer-dev (= 1.0-3), libghc-base-prof-4.5.0.0-d93df Provides: libghc-haskell-lexer-prof-1.0-00af9 Homepage: http://hackage.haskell.org/package/haskell-lexer Priority: extra Section: haskell Filename: pool/main/h/haskell-lexer/libghc-haskell-lexer-prof_1.0-3_armhf.deb Size: 736284 SHA256: 0e73b00320b75d492bc601075216046d5a60dacd1ef198d1cd05e47ed2a14d10 SHA1: d16a254cc6af321054e54a1486871f9882157733 MD5sum: 8bd46a92902bbb3b6fbfdf7212af7a91 Description: Profiling libraries for a fully compliant Haskell 98 lexer A fully compliant Haskell 98 lexer written in Haskell. The lexer produces a list tuples containing a token type identifier, its position and the tokem string. . This package contains the profiling libraries compiled for GHC. Package: libghc-haskell-src-dev Source: haskell-haskell-src Version: 1.0.1.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 7601 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-pretty-dev-1.1.1.0-7e118, libghc-syb-dev-0.3.6.1-ad588, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-haskell-src-doc, libghc-haskell-src-prof Provides: libghc-haskell-src-dev-1.0.1.5-505a3 Homepage: http://hackage.haskell.org/package/haskell-src Priority: extra Section: haskell Filename: pool/main/h/haskell-haskell-src/libghc-haskell-src-dev_1.0.1.5-1_armhf.deb Size: 1387358 SHA256: 671a2430e71d4b15fee04d5633382bafba6e883d38b9a556d3cd17dbf851f758 SHA1: da23185367201cbf0404fc6aa331833a0d1f668d MD5sum: 8d608471aa17ebb258b40133cb3f2ab0 Description: Haskell module to manipulate Haskell source This package provides a library for the Haskell programming language. . This library provides facilities for manipulating Haskell source code: an abstract syntax, lexer, parser and pretty-printer. Package: libghc-haskell-src-doc Source: haskell-haskell-src Version: 1.0.1.5-1 Installed-Size: 1814 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-haskell-src-dev Size: 154106 SHA256: 2765ea7148cd5721c3cae19f7e61357afe189ed8c2cf66303f061e8bf0df1852 SHA1: 7e280de07d1a03566291e2fb1a8b7e68052afba0 MD5sum: 9cb1f8d19e27ec2214c7034342781ac1 Description: Haskell module to manipulate Haskell source; documentation This package provides the documentation for a library for the Haskell programming language. . This library provides facilities for manipulating Haskell source code: an abstract syntax, lexer, parser and pretty-printer. Homepage: http://hackage.haskell.org/package/haskell-src Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-haskell-src/libghc-haskell-src-doc_1.0.1.5-1_all.deb Package: libghc-haskell-src-prof Source: haskell-haskell-src Version: 1.0.1.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 6959 Depends: libghc-haskell-src-dev (= 1.0.1.5-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-pretty-prof-1.1.1.0-7e118, libghc-syb-prof-0.3.6.1-ad588 Provides: libghc-haskell-src-prof-1.0.1.5-505a3 Homepage: http://hackage.haskell.org/package/haskell-src Priority: extra Section: haskell Filename: pool/main/h/haskell-haskell-src/libghc-haskell-src-prof_1.0.1.5-1_armhf.deb Size: 1283840 SHA256: 7493ebc1d050358bb3846a618d514f1fa8a0848967279d584dfca958ad8c72c2 SHA1: e723d918026a4942f222d341e2671935b880fb14 MD5sum: e8c89cb951ca7632b13843204691b3d7 Description: Haskell module to manipulate Haskell source; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. . This library provides facilities for manipulating Haskell source code: an abstract syntax, lexer, parser and pretty-printer. Package: libghc-haskelldb-dev Source: haskelldb Version: 2.1.1-5 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 8033 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-mtl-dev-2.1.1-87121, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-pretty-dev-1.1.1.0-7e118, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-haskelldb-doc, libghc-haskelldb-prof Provides: libghc-haskelldb-dev-2.1.1-4c192 Homepage: http://haskelldb.sourceforge.net/ Priority: extra Section: haskell Filename: pool/main/h/haskelldb/libghc-haskelldb-dev_2.1.1-5_armhf.deb Size: 1459806 SHA256: 0a6e59d1854ed5826628b7eab0e6465cc37c74c6a3c9a4e8334824056267bd72 SHA1: 4e38258e9e23f6b7a12687ed7cc078b7a54ebf5b MD5sum: 79d5552ea17c9e036dd9a4877bc8e43b Description: Haskell library for expressing database queries HaskellDB is library for expressing database queries and operations in a type safe and declarative way. This package contains the library for use with GHC. . HaskellDB compiles a relational algebra-like syntax into SQL, submits the operations to the database for processing, and returns the results as ordinary Haskell values. Package: libghc-haskelldb-doc Source: haskelldb Version: 2.1.1-5 Installed-Size: 3059 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-haskelldb-doc (<< 0.12-6), haskelldb-doc (<< 0.10-1) Provides: haskell-haskelldb-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-transformers-doc, libghc-haskelldb-dev Conflicts: haskell-haskelldb-doc (<< 0.12-6) Size: 284894 SHA256: a61fda2dfb58b416136edc74784f897429982254169dae9fd404867a5c4a5961 SHA1: 96d4a638fd0ec00613b4d60a2d3cc042cfb1ee11 MD5sum: b0db1420d287438b568908b52d4b4d58 Description: API documentation of haskelldb The API documentation of HaskellDB, a Haskell library for expressing database queries and operations in a type safe and declarative way. Homepage: http://haskelldb.sourceforge.net/ Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskelldb/libghc-haskelldb-doc_2.1.1-5_all.deb Package: libghc-haskelldb-hdbc-dev Source: haskelldb-hdbc Version: 2.1.0-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 241 Depends: libghc-hdbc-dev-2.3.1.1-c2c5b, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-convertible-dev-1.0.11.0-a8d67, libghc-haskelldb-dev-2.1.1-4c192, libghc-mtl-dev-2.1.1-87121, libghc-old-time-dev-1.1.0.0-2a9ba, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Recommends: libghc-haskelldb-hdbc-odbc-dev | libghc-haskelldb-hdbc-sqlite3-dev | libghc-haskelldb-hdbc-postgresql-dev Suggests: libghc-haskelldb-hdbc-doc, libghc-haskelldb-hdbc-prof Provides: libghc-haskelldb-hdbc-dev-2.1.0-6adeb Homepage: http://hackage.haskell.org/package/haskelldb-hdbc Priority: extra Section: haskell Filename: pool/main/h/haskelldb-hdbc/libghc-haskelldb-hdbc-dev_2.1.0-4_armhf.deb Size: 49872 SHA256: 7206fcab147e2733c7b0dd8eb016626326217ea9863094d1eab0b6abff922c57 SHA1: 1d1588f37452db829b7a4cdca29603e738d7a902 MD5sum: c321f0b6515aab0a05738a2c64453b4a Description: HaskellDB support for HDBC This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows you to use the HaskellDB database abstraction library with the HDBC database driver interface. You will also need one or more back-end specific packages. Package: libghc-haskelldb-hdbc-doc Source: haskelldb-hdbc Version: 2.1.0-4 Installed-Size: 136 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-haskelldb-doc, libghc-hdbc-doc, libghc-haskelldb-hdbc-dev Size: 32074 SHA256: dbf09deba4978a2c73119815c37209c95c083c93afa71914a4bcfb2eefbc46c1 SHA1: 1a63157c539e23b250fbe3c2985bb89cabdee924 MD5sum: bfb8ed1be2973dce2bd42b58dc9049e0 Description: HaskellDB support for HDBC; documentation This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows you to use the HaskellDB database abstraction library with the HDBC database driver interface. You will also need one or more back-end specific packages. Homepage: http://hackage.haskell.org/package/haskelldb-hdbc Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskelldb-hdbc/libghc-haskelldb-hdbc-doc_2.1.0-4_all.deb Package: libghc-haskelldb-hdbc-odbc-dev Source: haskelldb-hdbc-odbc Version: 2.1.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 10906 Depends: libghc-hdbc-dev-2.3.1.1-c2c5b, libghc-hdbc-odbc-dev-2.2.3.0-217b2, libghc-base-dev-4.5.0.0-d93df, libghc-haskelldb-dev-2.1.1-4c192, libghc-haskelldb-hdbc-dev-2.1.0-6adeb, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11) Suggests: libghc-haskelldb-hdbc-odbc-doc, libghc-haskelldb-hdbc-odbc-prof Provides: libghc-haskelldb-hdbc-odbc-dev-2.1.0-fab07 Homepage: http://hackage.haskell.org/package/haskelldb-hdbc-odbc Priority: extra Section: haskell Filename: pool/main/h/haskelldb-hdbc-odbc/libghc-haskelldb-hdbc-odbc-dev_2.1.0-3_armhf.deb Size: 2421846 SHA256: e356c189cd8eb8eb1e2995ed3600efd3dc015a345b22663d5fd27cdfc4b5e42f SHA1: 1d9a03e3c15a10404f4c43a0afbc5b226c97848d MD5sum: 30e110c463d58e968972f16da23c2cf1 Description: HaskellDB support for the HDBC ODBC driver This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows you to use the HaskellDB database abstraction library with the ODBC driver provided by the HDBC database driver interface suite. . This package also includes the DBDirect-hdbc-odbc binary to generate Haskell source files by querying the database. Package: libghc-haskelldb-hdbc-odbc-doc Source: haskelldb-hdbc-odbc Version: 2.1.0-3 Installed-Size: 106 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-haskelldb-doc, libghc-transformers-doc, libghc-haskelldb-hdbc-odbc-dev Size: 30110 SHA256: 54f653b49167f054069e820322066f966823d0c0343b77482331b203197299bb SHA1: 78a2d8bbc9edb139ad6da80ca9abb936100562d4 MD5sum: 230f1de3e3ff683001eede64819c20c4 Description: HaskellDB support for the HDBC ODBC driver; documentation This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows you to use the HaskellDB database abstraction library with the ODBC driver provided by the HDBC database driver interface suite. Homepage: http://hackage.haskell.org/package/haskelldb-hdbc-odbc Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskelldb-hdbc-odbc/libghc-haskelldb-hdbc-odbc-doc_2.1.0-3_all.deb Package: libghc-haskelldb-hdbc-odbc-prof Source: haskelldb-hdbc-odbc Version: 2.1.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 87 Depends: libghc-haskelldb-hdbc-odbc-dev (= 2.1.0-3), libghc-hdbc-prof-2.3.1.1-c2c5b, libghc-hdbc-odbc-prof-2.2.3.0-217b2, libghc-base-prof-4.5.0.0-d93df, libghc-haskelldb-prof-2.1.1-4c192, libghc-haskelldb-hdbc-prof-2.1.0-6adeb, libghc-mtl-prof-2.1.1-87121 Provides: libghc-haskelldb-hdbc-odbc-prof-2.1.0-fab07 Homepage: http://hackage.haskell.org/package/haskelldb-hdbc-odbc Priority: extra Section: haskell Filename: pool/main/h/haskelldb-hdbc-odbc/libghc-haskelldb-hdbc-odbc-prof_2.1.0-3_armhf.deb Size: 13616 SHA256: bf23ae75df404306e42007864d4a6d129d2486850a7eef07ac7ad1cfbef51ce1 SHA1: 0dd6b9eef4a4749b3382e199ff1192227ed55d1e MD5sum: 455746eae9fe0de3fb7fae5b827d432b Description: HaskellDB support for the HDBC ODBC driver; profiling libraries This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows you to use the HaskellDB database abstraction library with the ODBC driver provided by the HDBC database driver interface suite. Package: libghc-haskelldb-hdbc-postgresql-dev Source: haskelldb-hdbc-postgresql Version: 2.1.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 11673 Depends: libghc-hdbc-dev-2.3.1.1-c2c5b, libghc-hdbc-postgresql-dev-2.3.2.1-b0d52, libghc-base-dev-4.5.0.0-d93df, libghc-haskelldb-dev-2.1.1-4c192, libghc-haskelldb-hdbc-dev-2.1.0-6adeb, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libpq5 Suggests: libghc-haskelldb-hdbc-postgresql-doc, libghc-haskelldb-hdbc-postgresql-prof Provides: libghc-haskelldb-hdbc-postgresql-dev-2.1.0-5b69f Homepage: http://hackage.haskell.org/package/haskelldb-hdbc-postgresql Priority: extra Section: haskell Filename: pool/main/h/haskelldb-hdbc-postgresql/libghc-haskelldb-hdbc-postgresql-dev_2.1.0-3_armhf.deb Size: 2566088 SHA256: c22b9541cc4aa0968056a8117607a832d3d372d2850382a27d1f978dc19d3c57 SHA1: d1014444bf1bcbcfcd93929f819702001549bad3 MD5sum: 3799aa640e5515fa441335ad47f8a4ba Description: HaskellDB support for the HDBC PostgreSQL driver This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows you to use the HaskellDB database abstraction library with the PostgreSQL driver provided by the HDBC database driver interface suite. . This package also includes the DBDirect-hdbc-postgresql binary to generate Haskell source files by querying the database. Package: libghc-haskelldb-hdbc-postgresql-doc Source: haskelldb-hdbc-postgresql Version: 2.1.0-3 Installed-Size: 104 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-haskelldb-doc, libghc-transformers-doc, libghc-haskelldb-hdbc-postgresql-dev Size: 30352 SHA256: 2269c083aa2f8d310e9bba1cedc8085372b2bd287c001c09b4bf31c4b073bbb5 SHA1: ae8a2c47d1d73d1bd407bce9fba0857a1739db55 MD5sum: aa703f045b76985b1e49aa6c45ad7ccb Description: HaskellDB support for the HDBC PostgreSQL driver; documentation This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows you to use the HaskellDB database abstraction library with the PostgreSQL driver provided by the HDBC database driver interface suite. Homepage: http://hackage.haskell.org/package/haskelldb-hdbc-postgresql Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskelldb-hdbc-postgresql/libghc-haskelldb-hdbc-postgresql-doc_2.1.0-3_all.deb Package: libghc-haskelldb-hdbc-postgresql-prof Source: haskelldb-hdbc-postgresql Version: 2.1.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 80 Depends: libghc-haskelldb-hdbc-postgresql-dev (= 2.1.0-3), libghc-hdbc-prof-2.3.1.1-c2c5b, libghc-hdbc-postgresql-prof-2.3.2.1-b0d52, libghc-base-prof-4.5.0.0-d93df, libghc-haskelldb-prof-2.1.1-4c192, libghc-haskelldb-hdbc-prof-2.1.0-6adeb, libghc-mtl-prof-2.1.1-87121 Provides: libghc-haskelldb-hdbc-postgresql-prof-2.1.0-5b69f Homepage: http://hackage.haskell.org/package/haskelldb-hdbc-postgresql Priority: extra Section: haskell Filename: pool/main/h/haskelldb-hdbc-postgresql/libghc-haskelldb-hdbc-postgresql-prof_2.1.0-3_armhf.deb Size: 11630 SHA256: f05adff49825ed5151af84daa060bacb0e710d3a02aac6c3b6565c4ba1137bce SHA1: 210e1445e68c4d1a0a29975d4c34a619543e2065 MD5sum: ea6a7501f449861661efd0b574e4a2aa Description: HaskellDB support for the HDBC PostgreSQL driver; profiling libraries This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows you to use the HaskellDB database abstraction library with the PostgreSQL driver provided by the HDBC database driver interface suite. Package: libghc-haskelldb-hdbc-prof Source: haskelldb-hdbc Version: 2.1.0-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 205 Depends: libghc-haskelldb-hdbc-dev (= 2.1.0-4), libghc-hdbc-prof-2.3.1.1-c2c5b, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-convertible-prof-1.0.11.0-a8d67, libghc-haskelldb-prof-2.1.1-4c192, libghc-mtl-prof-2.1.1-87121, libghc-old-time-prof-1.1.0.0-2a9ba Provides: libghc-haskelldb-hdbc-prof-2.1.0-6adeb Homepage: http://hackage.haskell.org/package/haskelldb-hdbc Priority: extra Section: haskell Filename: pool/main/h/haskelldb-hdbc/libghc-haskelldb-hdbc-prof_2.1.0-4_armhf.deb Size: 41596 SHA256: 560a319e92359926ba74e127d1321c7d2814f890ec6fb24d091fe6c0d68b6b2f SHA1: cdd6a77efe6d3ce8a56e18052c913f929aea7c0b MD5sum: 244a13ca4bc04513c842790706674796 Description: HaskellDB support for HDBC; profiling libraries This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows you to use the HaskellDB database abstraction library with the HDBC database driver interface. You will also need one or more back-end specific packages. Package: libghc-haskelldb-hdbc-sqlite3-dev Source: haskelldb-hdbc-sqlite3 Version: 2.1.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 10857 Depends: libghc-hdbc-dev-2.3.1.1-c2c5b, libghc-hdbc-sqlite3-dev-2.3.3.0-991ea, libghc-base-dev-4.5.0.0-d93df, libghc-haskelldb-dev-2.1.1-4c192, libghc-haskelldb-hdbc-dev-2.1.0-6adeb, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libsqlite3-0 (>= 3.5.9) Suggests: libghc-haskelldb-hdbc-sqlite3-doc, libghc-haskelldb-hdbc-sqlite3-prof Provides: libghc-haskelldb-hdbc-sqlite3-dev-2.1.0-595f2 Homepage: http://hackage.haskell.org/package/haskelldb-hdbc-sqlite3 Priority: extra Section: haskell Filename: pool/main/h/haskelldb-hdbc-sqlite3/libghc-haskelldb-hdbc-sqlite3-dev_2.1.0-3_armhf.deb Size: 2406868 SHA256: fe3065503d3ca4cb342123461dbf436c7bf886e321ff8c4fea785a0f46ea31e4 SHA1: 8a3d21cedb17a6c6927d77a11459ed583f0cceec MD5sum: daaa81b13e11759d9dad23633cb1f0ae Description: HaskellDB support for the HDBC SQLite driver This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows you to use the HaskellDB database abstraction library with the SQLite driver provided by the HDBC database driver interface suite. . This package also includes the DBDirect-hdbc-sqlite3 binary to generate Haskell source files by querying the database. Package: libghc-haskelldb-hdbc-sqlite3-doc Source: haskelldb-hdbc-sqlite3 Version: 2.1.0-3 Installed-Size: 107 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-haskelldb-doc, libghc-transformers-doc, libghc-haskelldb-hdbc-sqlite3-dev Size: 30208 SHA256: 7ea057ff4d3ef7af6325c72667547815dc6cc237e18706dfa67b26b2559cb455 SHA1: 36611c5d5f9c5bf4a38aaba39e5edcc51165163e MD5sum: 540e677a056d2836c6f8cbb697d58a3c Description: HaskellDB support for the HDBC SQLite driver; documentation This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows you to use the HaskellDB database abstraction library with the SQLite driver provided by the HDBC database driver interface suite. Homepage: http://hackage.haskell.org/package/haskelldb-hdbc-sqlite3 Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskelldb-hdbc-sqlite3/libghc-haskelldb-hdbc-sqlite3-doc_2.1.0-3_all.deb Package: libghc-haskelldb-hdbc-sqlite3-prof Source: haskelldb-hdbc-sqlite3 Version: 2.1.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 91 Depends: libghc-haskelldb-hdbc-sqlite3-dev (= 2.1.0-3), libghc-hdbc-prof-2.3.1.1-c2c5b, libghc-hdbc-sqlite3-prof-2.3.3.0-991ea, libghc-base-prof-4.5.0.0-d93df, libghc-haskelldb-prof-2.1.1-4c192, libghc-haskelldb-hdbc-prof-2.1.0-6adeb, libghc-mtl-prof-2.1.1-87121 Provides: libghc-haskelldb-hdbc-sqlite3-prof-2.1.0-595f2 Homepage: http://hackage.haskell.org/package/haskelldb-hdbc-sqlite3 Priority: extra Section: haskell Filename: pool/main/h/haskelldb-hdbc-sqlite3/libghc-haskelldb-hdbc-sqlite3-prof_2.1.0-3_armhf.deb Size: 13812 SHA256: d00de530090d507d419f46840964dfc5dbbeaf1b9188fd00b1f27bc41233f129 SHA1: f05a2843d1af51a6f5b9086485724a6d86a32515 MD5sum: c1224951966ec88687e5aed440925984 Description: HaskellDB support for the HDBC SQLite driver; profiling libraries This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows you to use the HaskellDB database abstraction library with the SQLite driver provided by the HDBC database driver interface suite. Package: libghc-haskelldb-prof Source: haskelldb Version: 2.1.1-5 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 7040 Depends: libghc-haskelldb-dev (= 2.1.1-5), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-mtl-prof-2.1.1-87121, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-pretty-prof-1.1.1.0-7e118 Provides: libghc-haskelldb-prof-2.1.1-4c192 Homepage: http://haskelldb.sourceforge.net/ Priority: extra Section: haskell Filename: pool/main/h/haskelldb/libghc-haskelldb-prof_2.1.1-5_armhf.deb Size: 1322764 SHA256: e85fd0f22154e9b793541c697eb74d5e674537405cabca553dfbbf74696e94da SHA1: 6f8644561207fdd0d97a7e14f8c63c87a3a43614 MD5sum: c8c199caafbd45ff59096d0e5dd30778 Description: Haskell library for expressing database queries; profiling libraries HaskellDB is library for expressing database queries and operations in a type safe and declarative way. This package contains the library for use with GHC. . HaskellDB compiles a relational algebra-like syntax into SQL, submits the operations to the database for processing, and returns the results as ordinary Haskell values. Package: libghc-haskore-dev Source: haskell-haskore Version: 0.2.0.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 19427 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-data-accessor-dev-0.2.2.2-275fe, libghc-event-list-dev-0.1.0.1-64a7c, libghc-haskell-src-dev-1.0.1.5-505a3, libghc-markov-chain-dev-0.0.3.2-484b5, libghc-midi-dev-0.2.0.1-05fa6, libghc-non-negative-dev-0.1-8cdde, libghc-parsec-dev-3.1.2-aa52f, libghc-process-dev-1.1.0.1-75c65, libghc-random-dev-1.0.1.1-344e2, libghc-transformers-dev-0.3.0.0-e8222, libghc-utility-ht-dev-0.0.5.1-a8f53, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Recommends: timidity | playmidi | pmidi, csound Suggests: libghc-haskore-doc, libghc-haskore-prof Provides: libghc-haskore-dev-0.2.0.3-cdad3 Homepage: http://hackage.haskell.org/package/haskore Priority: extra Section: haskell Filename: pool/main/h/haskell-haskore/libghc-haskore-dev_0.2.0.3-2_armhf.deb Size: 3813648 SHA256: 9d51125b9e4d6f6240c4535ffd60f7a005eb026ee44693489ea50c328a7f315e SHA1: 3a43d6777ff4dd156506d1df86579a81e0466a9c MD5sum: ae0a0667f2fd6ce6fc09390ffd7bda9c Description: Haskore Computer Music System This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides a collection of Haskell modules designed for expressing musical structures in the high-level, declarative style of functional programming. In Haskore, musical objects consist of primitive notions such as notes and rests, operations to transform musical objects such as transpose and tempo-scaling, and operations to combine musical objects to form more complex ones, such as concurrent and sequential composition. From these simple roots, much richer musical ideas can easily be developed. . It outputs music representations in MIDI, CSound, SuperCollider or directly as an audio signal. Package: libghc-haskore-doc Source: haskell-haskore Version: 0.2.0.3-2 Installed-Size: 6219 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-data-accessor-doc, libghc-haskell-src-doc, libghc-midi-doc, libghc-mtl-doc, libghc-non-negative-doc, libghc-parsec3-doc, libghc-quickcheck2-doc, libghc-random-doc, libghc-haskore-dev Size: 1357608 SHA256: 7d8532b34f1c985a7c7ad7ca0071a0af8a388feb7aeff867e6239444a96ccb68 SHA1: 386af9ed9b2c41cdb2997ca8a38fa3caeb1423da MD5sum: ef5d5d299130e7ca9fd5b30405ea8b58 Description: Haskore Computer Music System; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides a collection of Haskell modules designed for expressing musical structures in the high-level, declarative style of functional programming. In Haskore, musical objects consist of primitive notions such as notes and rests, operations to transform musical objects such as transpose and tempo-scaling, and operations to combine musical objects to form more complex ones, such as concurrent and sequential composition. From these simple roots, much richer musical ideas can easily be developed. . It outputs music representations in MIDI, CSound, SuperCollider or directly as an audio signal. Homepage: http://hackage.haskell.org/package/haskore Tag: devel::doc, devel::lang:haskell, role::documentation, works-with::audio Section: doc Priority: extra Filename: pool/main/h/haskell-haskore/libghc-haskore-doc_0.2.0.3-2_all.deb Package: libghc-haskore-prof Source: haskell-haskore Version: 0.2.0.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 17160 Depends: libghc-haskore-dev (= 0.2.0.3-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-data-accessor-prof-0.2.2.2-275fe, libghc-event-list-prof-0.1.0.1-64a7c, libghc-haskell-src-prof-1.0.1.5-505a3, libghc-markov-chain-prof-0.0.3.2-484b5, libghc-midi-prof-0.2.0.1-05fa6, libghc-non-negative-prof-0.1-8cdde, libghc-parsec-prof-3.1.2-aa52f, libghc-process-prof-1.1.0.1-75c65, libghc-random-prof-1.0.1.1-344e2, libghc-transformers-prof-0.3.0.0-e8222, libghc-utility-ht-prof-0.0.5.1-a8f53 Recommends: timidity | playmidi | pmidi, csound Provides: libghc-haskore-prof-0.2.0.3-cdad3 Homepage: http://hackage.haskell.org/package/haskore Priority: extra Section: haskell Filename: pool/main/h/haskell-haskore/libghc-haskore-prof_0.2.0.3-2_armhf.deb Size: 3478304 SHA256: 925bfa1a8d2f90c29f1d7056644ee599e025ccf0459dc584e2e2b19657c74318 SHA1: ef9f28da043566000571dd1b0a28572c1cc0cd33 MD5sum: c5863349a5e0970a401ae9299cb1874f Description: Haskore Computer Music System; profiling libraries This package provides a library for the Haskell programming language compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides a collection of Haskell modules designed for expressing musical structures in the high-level, declarative style of functional programming. In Haskore, musical objects consist of primitive notions such as notes and rests, operations to transform musical objects such as transpose and tempo-scaling, and operations to combine musical objects to form more complex ones, such as concurrent and sequential composition. From these simple roots, much richer musical ideas can easily be developed. . It outputs music representations in MIDI, CSound, SuperCollider or directly as an audio signal. Package: libghc-hastache-dev Source: haskell-hastache Version: 0.3.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 586 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-ieee754-dev-0.7.3-6bff2, libghc-mtl-dev-2.1.1-87121, libghc-syb-dev-0.3.6.1-ad588, libghc-text-dev-0.11.2.0-05a46, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hastache-doc, libghc-hastache-prof Provides: libghc-hastache-dev-0.3.3-db034 Homepage: http://github.com/lymar/hastache Priority: extra Section: haskell Filename: pool/main/h/haskell-hastache/libghc-hastache-dev_0.3.3-2_armhf.deb Size: 131940 SHA256: c88f6550eb52f32f4d7a8ddf78f636027428c11b36219a555d1192cf7609c22a SHA1: e3cbe6cadf0e6c1cbbe3ceba9e236deba14e557e MD5sum: 8fd66b57099c04f4ae4f2bdeb7245df3 Description: Haskell implementation of Mustache templates This library provides a Haskell implementation of Mustache templates (). . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hastache-doc Source: haskell-hastache Version: 0.3.3-2 Installed-Size: 280 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-builder-doc, libghc-text-doc, libghc-transformers-doc, libghc-hastache-dev Size: 45832 SHA256: 8477654ab9eafe1e10bad9d65c46adf8b4546e3d6944d1b2bb3262ea2ba089a9 SHA1: c78d0ba40429840b427875382336df482e60fb66 MD5sum: b79146981bd61ab573f93273b0b57685 Description: Haskell implementation of Mustache templates; documentation This library provides a Haskell implementation of Mustache templates (). . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://github.com/lymar/hastache Section: doc Priority: extra Filename: pool/main/h/haskell-hastache/libghc-hastache-doc_0.3.3-2_all.deb Package: libghc-hastache-prof Source: haskell-hastache Version: 0.3.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 535 Depends: libghc-hastache-dev (= 0.3.3-2), libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-ieee754-prof-0.7.3-6bff2, libghc-mtl-prof-2.1.1-87121, libghc-syb-prof-0.3.6.1-ad588, libghc-text-prof-0.11.2.0-05a46, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-hastache-prof-0.3.3-db034 Homepage: http://github.com/lymar/hastache Priority: extra Section: haskell Filename: pool/main/h/haskell-hastache/libghc-hastache-prof_0.3.3-2_armhf.deb Size: 120200 SHA256: 47f8bfda3d2c61ce8b83aabf28fbdd3583b96d7c451f46ab76fafc0af0ef71b8 SHA1: 226031a5612984aa7aa6f03460df852ce9ee4f9d MD5sum: 8b907986fecef32db9f67b500f00713d Description: Haskell implementation of Mustache templates; profiling libraries This library provides a Haskell implementation of Mustache templates (). . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-haxml-dev Source: haxml Version: 1:1.22.5-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 21023 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-filepath-dev-1.3.0.0-163d9, libghc-polyparse-dev-1.7-9a912, libghc-pretty-dev-1.1.1.0-7e118, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-haxml-doc, libghc-haxml-prof Provides: libghc-haxml-dev-1.22.5-4395c Homepage: http://hackage.haskell.org/package/HaXml Priority: extra Section: haskell Filename: pool/main/h/haxml/libghc-haxml-dev_1.22.5-2_armhf.deb Size: 4140788 SHA256: 7d7273193105156d4ce6134889c431cac7459ce685425b6d18523528ac5128fc SHA1: 6679261491ea0c6535feb61791d6f4267c89fa04 MD5sum: e4eb969c0e884e63bc65b0a49798de20 Description: GHC libraries for using XML documents with Haskell HaXml is a collection of utilities for parsing, filtering, transforming, and generating XML documents using Haskell. Its basic facilities include: - a parser for XML, - a separate error-correcting parser for HTML, - an XML validator, - pretty-printers for XML and HTML. . This package contains the HaXml combinator library for generic XML document processing, including transformation, editing, and generation for use with GHC. Package: libghc-haxml-doc Source: haxml Version: 1:1.22.5-2 Installed-Size: 5061 Maintainer: Debian Haskell Group Architecture: all Provides: haxml-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-polyparse-doc, libghc-haxml-dev Size: 458804 SHA256: 0ec6e3bb204bcddb21aa92b393a4c570c1873e360089faf0e08e3f639ab10a9b SHA1: a77633109912362f1d89bb7b9e0ecc9053066b3d MD5sum: 82a1a2cbb9e2ef5afe4e4a12871fcd16 Description: Documentation of HaXml HaXml is a collection of utilities for parsing, filtering, transforming, and generating XML documents using Haskell. Its basic facilities include: - a parser for XML, - a separate error-correcting parser for HTML, - an XML validator, - pretty-printers for XML and HTML. . This package contains the HaXml documentation. Homepage: http://hackage.haskell.org/package/HaXml Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::xml Section: doc Priority: extra Filename: pool/main/h/haxml/libghc-haxml-doc_1.22.5-2_all.deb Package: libghc-haxml-prof Source: haxml Version: 1:1.22.5-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 19490 Depends: libghc-haxml-dev (= 1:1.22.5-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-filepath-prof-1.3.0.0-163d9, libghc-polyparse-prof-1.7-9a912, libghc-pretty-prof-1.1.1.0-7e118 Provides: libghc-haxml-prof-1.22.5-4395c Homepage: http://hackage.haskell.org/package/HaXml Priority: extra Section: haskell Filename: pool/main/h/haxml/libghc-haxml-prof_1.22.5-2_armhf.deb Size: 3796834 SHA256: d3d07121ae4b909cb5f06ca501d36092ae9b7ed9bd770f410996ddf5d5e09225 SHA1: 2c8209287074192b645c204a2bc960e1f4703345 MD5sum: 01f3f01a78b3aec3e5d1a051023671f0 Description: Profiling libraries for the haskell haxml library HaXml is a collection of utilities for parsing, filtering, transforming, and generating XML documents using Haskell. Its basic facilities include: - a parser for XML, - a separate error-correcting parser for HTML, - an XML validator, - pretty-printers for XML and HTML. . This package contains the profiling libraries compiled for GHC. Package: libghc-haxr-doc Source: haskell-haxr Version: 3000.8.5-1 Installed-Size: 528 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-haxml-doc, libghc-mtl-doc, libghc-haxr-dev Size: 72500 SHA256: 0a7bd80369c47d53fa856101ace09c6f52888a8aaa0240fbaa20000e97860c9e SHA1: ab63067715e1d74f86c5a001c13c333afe2c13f3 MD5sum: 30a67fdf483db963101398a6b8eb43ec Description: XML-RPC client and server library for Haskell; documentation HaXR is a library for writing XML-RPC client and server applications in Haskell. . HaXR consists of two packages: haxr and haxr-th. The latter package contains the Template Haskell code used for automatically deriving XML-RPC struct representations for Haskell records. . This package contains the libraries documentation. Homepage: http://www.haskell.org/haxr/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-haxr/libghc-haxr-doc_3000.8.5-1_all.deb Package: libghc-hcard-dev Source: haskell-hcard Version: 0.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1024 Depends: libghc-quickcheck-dev-2.4.2-170f1, libghc-base-dev-4.5.0.0-d93df, libghc-mtl-dev-2.1.1-87121, libghc-random-dev-1.0.1.1-344e2, libghc-random-shuffle-dev-0.0.3-9d8e1, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hcard-doc, libghc-hcard-prof Provides: libghc-hcard-dev-0.0-536dc Homepage: http://hackage.haskell.org/package/haskell-hcard Priority: extra Section: haskell Filename: pool/main/h/haskell-hcard/libghc-hcard-dev_0.0-2_armhf.deb Size: 210872 SHA256: c4ed664eef10bd8b6edaf97b746bbf84f65eb1df5891d87ffec8fd4a35ee2288 SHA1: 8c4d264a4e1c488c1bd793c911d0c5bf03476d12 MD5sum: 58dacaea485777cf5f111c69bd33fdc2 Description: library for implementing a Deck of Cards HCard provides a standard interface to a deck of cards -- providing shuffling, permutation-irrelevant equality of hands, etc. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hcard-doc Source: haskell-hcard Version: 0.0-2 Installed-Size: 263 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-quickcheck2-doc, libghc-random-doc, libghc-hcard-dev Size: 43938 SHA256: 71e33b3764a15fb875bce329db2080a26d90080bf54d1d8e7b2a0dc84e4d1bf4 SHA1: bd8ade547834b27eac9793593adba56c800cf350 MD5sum: 4a2db0e0c2eaa935f3f52fb62fde8817 Description: library for implementing a Deck of Cards; documentation HCard provides a standard interface to a deck of cards -- providing shuffling, permutation-irrelevant equality of hands, etc. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-hcard Section: doc Priority: extra Filename: pool/main/h/haskell-hcard/libghc-hcard-doc_0.0-2_all.deb Package: libghc-hcard-prof Source: haskell-hcard Version: 0.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 936 Depends: libghc-hcard-dev (= 0.0-2), libghc-quickcheck-prof-2.4.2-170f1, libghc-base-prof-4.5.0.0-d93df, libghc-mtl-prof-2.1.1-87121, libghc-random-prof-1.0.1.1-344e2, libghc-random-shuffle-prof-0.0.3-9d8e1 Provides: libghc-hcard-prof-0.0-536dc Homepage: http://hackage.haskell.org/package/haskell-hcard Priority: extra Section: haskell Filename: pool/main/h/haskell-hcard/libghc-hcard-prof_0.0-2_armhf.deb Size: 202118 SHA256: cff981cf7392642fe7679076b88cfc1875a385d0e68c44d20e40d85205e29eaf SHA1: 3e686d21b0058267f4824b2ab7aff2312a607557 MD5sum: 249eb22a2ab53224885664ac572894a9 Description: library for implementing a Deck of Cards; profiling libraries HCard provides a standard interface to a deck of cards -- providing shuffling, permutation-irrelevant equality of hands, etc. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hcwiid-dev Source: haskell-hcwiid Version: 0.0.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 262 Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libcwiid1 (>= 0.6.00+svn184), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df, libghc-unix-dev-2.5.1.0-77272 Suggests: libghc-hcwiid-doc, libghc-hcwiid-prof Provides: libghc-hcwiid-dev-0.0.1-34ed8 Homepage: https://gitorious.org/hcwiid Priority: extra Section: haskell Filename: pool/main/h/haskell-hcwiid/libghc-hcwiid-dev_0.0.1-3_armhf.deb Size: 44902 SHA256: 435158e3bf665159c7ab07a07d35d36e9b33cc02f06d7f7361660780f5b49ef3 SHA1: b7deb813ac4aa6e0f9403f2a60c6d97052d15915 MD5sum: e010f25b04c94adb47dabd572e48f2e1 Description: Library to interface with the wiimote HCWiid is a working userspace driver along with various applications implementing event drivers, multiple wiimote connectivity, gesture recognition, and other Wiimote-based functionality. . This package contains the normal library files. Package: libghc-hcwiid-doc Source: haskell-hcwiid Version: 0.0.1-3 Installed-Size: 99 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hcwiid-dev Size: 32056 SHA256: 70144cad06d6e2c23256a7c3d0fa9051b271a40396a5d0a9d222bdac8523afc8 SHA1: e74e98bfaac5d4d6a6ab463a2e556c10811f3cb5 MD5sum: bbffb9d5eeb65da02c413aafd7743823 Description: Library to interface with the wiimote; documentation HCWiid is a working userspace driver along with various applications implementing event drivers, multiple wiimote connectivity, gesture recognition, and other Wiimote-based functionality. . This package contains the documentation files. Homepage: https://gitorious.org/hcwiid Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hcwiid/libghc-hcwiid-doc_0.0.1-3_all.deb Package: libghc-hcwiid-prof Source: haskell-hcwiid Version: 0.0.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 220 Depends: libghc-hcwiid-dev (= 0.0.1-3), libghc-base-prof-4.5.0.0-d93df, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-hcwiid-prof-0.0.1-34ed8 Homepage: https://gitorious.org/hcwiid Priority: extra Section: haskell Filename: pool/main/h/haskell-hcwiid/libghc-hcwiid-prof_0.0.1-3_armhf.deb Size: 39792 SHA256: 424832b0cc4801ea0e093b70a84a4ef4b5f32440c74b55677bd32865049511bc SHA1: d387211077788993ea987ec8c1da15aa980c010d MD5sum: 19e1899dea7073e7097758093c927a56 Description: Library to interface with the wiimote; profiling libraries HCWiid is a working userspace driver along with various applications implementing event drivers, multiple wiimote connectivity, gesture recognition, and other Wiimote-based functionality. . This package contains the libraries compiled with profiling enabled. Package: libghc-hdbc-dev Source: hdbc Version: 2.3.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4178 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-convertible-dev-1.0.11.0-a8d67, libghc-mtl-dev-2.1.1-87121, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-text-dev-0.11.2.0-05a46, libghc-time-dev-1.4-ec63b, libghc-utf8-string-dev-0.3.7-c7280 Suggests: libghc-hdbc-postgresql-dev | libghc-hdbc-sqlite3-dev, libghc-hdbc-missingh-dev, libghc-hdbc-doc, libghc-hdbc-prof Provides: libghc-hdbc-dev-2.3.1.1-c2c5b Homepage: http://software.complete.org/hdbc Priority: extra Section: haskell Filename: pool/main/h/hdbc/libghc-hdbc-dev_2.3.1.1-1_armhf.deb Size: 745114 SHA256: 1c730534de9703a8b9df512190da6399b43154bfe9d8af34611cf5da762df338 SHA1: a773bbc20e3b830bead9c91922d7e47da6f3483b MD5sum: bd43b7c1aeb3b35e0fb31d0e9cbdb54b Description: Haskell Database Connectivity, GHC package HDBC provides an abstraction layer between Haskell programs and SQL relational databases. This lets you write database code once, in Haskell, and have it work with any number of backend SQL databases (MySQL, Oracle, PostgreSQL, ODBC-compliant databases, etc.) . HDBC is modeled loosely on Perl's DBI interface, though it has also been influenced by Python's DB-API v2, JDBC in Java, and HSQL in Haskell. . To use HDBC, you'll need both this package, and a driver package such as libghc-hdbc-postgresql-dev. Package: libghc-hdbc-doc Source: hdbc Version: 2.3.1.1-1 Installed-Size: 962 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-hdbc-doc (<< 2.2.3-2) Provides: haskell-hdbc-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-convertible-doc, libghc-text-doc, libghc-hdbc-dev Conflicts: haskell-hdbc-doc (<< 2.2.3-2) Size: 128910 SHA256: fee22a94642613cb03ccfa4f5ccca59fdd58e513b17e7651d2fb96f0d7149eae SHA1: 9b24eb57d8137da7754fb19a1f56a97523347cd0 MD5sum: 60aab3c8c9286cc05810026a677804c4 Description: Haskell Database Connectivity, Documentation HDBC provides an abstraction layer between Haskell programs and SQL relational databases. This lets you write database code once, in Haskell, and have it work with any number of backend SQL databases (MySQL, Oracle, PostgreSQL, ODBC-compliant databases, etc.) . HDBC is modeled loosely on Perl's DBI interface, though it has also been influenced by Python's DB-API v2, JDBC in Java, and HSQL in Haskell. Homepage: http://software.complete.org/hdbc Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation Section: doc Priority: extra Filename: pool/main/h/hdbc/libghc-hdbc-doc_2.3.1.1-1_all.deb Package: libghc-hdbc-odbc-dev Source: hdbc-odbc Version: 2.2.3.0-5 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 772 Depends: libghc-hdbc-dev-2.3.1.1-c2c5b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-mtl-dev-2.1.1-87121, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), unixodbc-dev (>= 2.2.11) Suggests: libghc-hdbc-odbc-doc, libghc-hdbc-odbc-prof Provides: libghc-hdbc-odbc-dev-2.2.3.0-217b2 Priority: extra Section: haskell Filename: pool/main/h/hdbc-odbc/libghc-hdbc-odbc-dev_2.2.3.0-5_armhf.deb Size: 180194 SHA256: ba0aaa87f8878cc08b16139f7efdc2f7021830ec4dd404d3727813ffd4120087 SHA1: a37036075804aeaf67fd18478c54811975944bcd MD5sum: 5287232ea4889782c8d4828ccd402f46 Description: unixODBC HDBC (Haskell Database Connectivity) Driver for GHC HDBC provides an abstraction layer between Haskell programs and SQL relational databases. This lets you write database code once, in Haskell, and have it work with any number of backend SQL databases (MySQL, Oracle, PostgreSQL, ODBC-compliant databases, etc.) . This package provides the ODBC database driver for HDBC under GHC. Package: libghc-hdbc-odbc-doc Source: hdbc-odbc Version: 2.2.3.0-5 Installed-Size: 315 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-hdbc-odbc-doc (<< 2.2.3.0-2) Provides: haskell-hdbc-odbc-doc Depends: haddock-interface-19 Recommends: libghc-hdbc-odbc-dev Conflicts: haskell-hdbc-odbc-doc (<< 2.2.3.0-2) Size: 53146 SHA256: eba83c4d416b5e6fac43b4acedfbbd8d8285862c1376d01b280e67f9601f5cba SHA1: 8e7450ee55feae2c31e120df0e0624d97e793463 MD5sum: 8f528ea6d21b4941bd8114e971f8996f Description: unixODBC HDBC (Haskell Database Connectivity) Documentation HDBC provides an abstraction layer between Haskell programs and SQL relational databases. This lets you write database code once, in Haskell, and have it work with any number of backend SQL databases (MySQL, Oracle, PostgreSQL, ODBC-compliant databases, etc.) . This package provides documentation for the ODBC database driver for HDBC under GHC. Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation Section: doc Priority: extra Filename: pool/main/h/hdbc-odbc/libghc-hdbc-odbc-doc_2.2.3.0-5_all.deb Package: libghc-hdbc-odbc-prof Source: hdbc-odbc Version: 2.2.3.0-5 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 652 Depends: libghc-hdbc-odbc-dev (= 2.2.3.0-5), libghc-hdbc-prof-2.3.1.1-c2c5b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-mtl-prof-2.1.1-87121, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-hdbc-odbc-prof-2.2.3.0-217b2 Priority: extra Section: haskell Filename: pool/main/h/hdbc-odbc/libghc-hdbc-odbc-prof_2.2.3.0-5_armhf.deb Size: 150178 SHA256: 233a5b1c8e0a2d8dae42cc0a0293734d3e31db4cc09d89222de19828df0bcdab SHA1: 651f998d9930a7c2505d9ee9597d88ade27fa943 MD5sum: d095434af96438735b70f59fdd913fcc Description: unixODBC Haskell Database Connectivity Driver; profiling libraries HDBC provides an abstraction layer between Haskell programs and SQL relational databases. This lets you write database code once, in Haskell, and have it work with any number of backend SQL databases (MySQL, Oracle, PostgreSQL, ODBC-compliant databases, etc.) . This package provides the ODBC database driver for HDBC under GHC compiled for profiling. Package: libghc-hdbc-postgresql-dev Source: hdbc-postgresql Version: 2.3.2.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1891 Depends: libghc-hdbc-dev-2.3.1.1-c2c5b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-convertible-dev-1.0.11.0-a8d67, libghc-mtl-dev-2.1.1-87121, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-parsec-dev-3.1.2-aa52f, libghc-time-dev-1.4-ec63b, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libpq5, libpq-dev (>= 8.1.1) Suggests: libghc-hdbc-postgresql-doc, libghc-hdbc-postgresql-prof Provides: libghc-hdbc-postgresql-dev-2.3.2.1-b0d52 Homepage: http://software.complete.org/hdbc-postgresql Priority: extra Section: haskell Filename: pool/main/h/hdbc-postgresql/libghc-hdbc-postgresql-dev_2.3.2.1-1_armhf.deb Size: 387164 SHA256: 854e0bebb51d0aa9220c2d481d03d3c97ecaab87437b877a117182f8770e7ce9 SHA1: f43b332795dd5daf37e71c71a2f91f2dfbab4cd8 MD5sum: e4ada0e234b2c4cb351264deca465cef Description: PostgreSQL HDBC (Haskell Database Connectivity) Driver for GHC HDBC provides an abstraction layer between Haskell programs and SQL relational databases. This lets you write database code once, in Haskell, and have it work with any number of backend SQL databases. . This package provides the PostgreSQL database driver for HDBC under GHC. Package: libghc-hdbc-postgresql-doc Source: hdbc-postgresql Version: 2.3.2.1-1 Installed-Size: 685 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-hdbc-postgresql-doc (<< 2.2.3.1-3) Provides: haskell-hdbc-postgresql-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hdbc-doc, libghc-hdbc-postgresql-dev Conflicts: haskell-hdbc-postgresql-doc (<< 2.2.3.1-3) Size: 86158 SHA256: e07eefebe60571093269c3f2e37bbd0dcfa2c30c7000ad021c0ef796a451bf4e SHA1: 8f4736f728b7363c1e6b4d06a4d6c8a1f01277ce MD5sum: d4adfeb6dc902cec16a3b2a43c1ecf7b Description: PostgreSQL HDBC (Haskell Database Connectivity) documentation HDBC provides an abstraction layer between Haskell programs and SQL relational databases. This lets you write database code once, in Haskell, and have it work with any number of backend SQL databases. Homepage: http://software.complete.org/hdbc-postgresql Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation Section: doc Priority: extra Filename: pool/main/h/hdbc-postgresql/libghc-hdbc-postgresql-doc_2.3.2.1-1_all.deb Package: libghc-hdbc-postgresql-prof Source: hdbc-postgresql Version: 2.3.2.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1591 Depends: libghc-hdbc-postgresql-dev (= 2.3.2.1-1), libghc-hdbc-prof-2.3.1.1-c2c5b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-convertible-prof-1.0.11.0-a8d67, libghc-mtl-prof-2.1.1-87121, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-parsec-prof-3.1.2-aa52f, libghc-time-prof-1.4-ec63b, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-hdbc-postgresql-prof-2.3.2.1-b0d52 Homepage: http://software.complete.org/hdbc-postgresql Priority: extra Section: haskell Filename: pool/main/h/hdbc-postgresql/libghc-hdbc-postgresql-prof_2.3.2.1-1_armhf.deb Size: 322444 SHA256: 9d5ea278326b2cc1887366a3af7683fe74601597a1dba37e96f9a59ed366ffb0 SHA1: 72d4e9f944cbc2116336c449075564829d6b5a88 MD5sum: 076a4a7a7c9d3fd76a436c98b67a729f Description: PostgreSQL HDBC Driver for GHC; profiling libraries HDBC provides an abstraction layer between Haskell programs and SQL relational databases. This lets you write database code once, in Haskell, and have it work with any number of backend SQL databases. . This package provides the PostgreSQL database driver for HDBC under GHC compiled for profiling. Package: libghc-hdbc-prof Source: hdbc Version: 2.3.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3493 Depends: libghc-hdbc-dev (= 2.3.1.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-convertible-prof-1.0.11.0-a8d67, libghc-mtl-prof-2.1.1-87121, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-text-prof-0.11.2.0-05a46, libghc-time-prof-1.4-ec63b, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-hdbc-prof-2.3.1.1-c2c5b Homepage: http://software.complete.org/hdbc Priority: extra Section: haskell Filename: pool/main/h/hdbc/libghc-hdbc-prof_2.3.1.1-1_armhf.deb Size: 622442 SHA256: 6894bc2f8f2ee42425c6bb06e7689316f30189c1d17868c270989387f463db37 SHA1: 3b58d78b7d1c72d95497b5e934b8c03fc38aa5fc MD5sum: 7350118eb1db7634c55f6e8f42d63f0a Description: Haskell Database Connectivity, GHC profiling data HDBC provides an abstraction layer between Haskell programs and SQL relational databases. This package provides profiling information for using HDBC with GHC. Package: libghc-hdbc-sqlite3-dev Source: hdbc-sqlite3 Version: 2.3.3.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 547 Depends: libghc-hdbc-dev-2.3.1.1-c2c5b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-mtl-dev-2.1.1-87121, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libsqlite3-0 (>= 3.5.9), libsqlite3-dev (>= 3.3) Suggests: libghc-hdbc-sqlite3-doc, libghc-hdbc-sqlite3-prof Provides: libghc-hdbc-sqlite3-dev-2.3.3.0-991ea Priority: extra Section: haskell Filename: pool/main/h/hdbc-sqlite3/libghc-hdbc-sqlite3-dev_2.3.3.0-1_armhf.deb Size: 121540 SHA256: 7f3f17e91dd9e9c5af5b2bb46528de0ff2fcb2d9f61df26deb27c353590916e1 SHA1: a6524b74360bcdd3ef0783ab75d7e8b9ef32aed7 MD5sum: 471ed53e5453cf366044fddf0abe0840 Description: Sqlite v3 HDBC (Haskell Database Connectivity) Driver for GHC HDBC provides an abstraction layer between Haskell programs and SQL relational databases. This lets you write database code once, in Haskell, and have it work with any number of backend SQL databases (MySQL, Oracle, PostgreSQL, ODBC-compliant databases, etc.) . This package provides the Sqlite v3 database driver for HDBC under GHC. Package: libghc-hdbc-sqlite3-doc Source: hdbc-sqlite3 Version: 2.3.3.0-1 Installed-Size: 272 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-hdbc-sqlite3-doc (<< 2.3.0.0-2) Provides: haskell-hdbc-sqlite3-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hdbc-doc, libghc-hdbc-sqlite3-dev Conflicts: haskell-hdbc-sqlite3-doc (<< 2.3.0.0-2) Size: 48722 SHA256: 670ff6b2032189e9e3296b331be6517f2226fa950f4b86ccba998158ce607753 SHA1: 68f78db1b20385457f7a7a6bd9d082bc5a2b4f8f MD5sum: 30e40a20732f7c6ec3c588ff3653c6e1 Description: Sqlite v3 HDBC (Haskell Database Connectivity) Documentation HDBC provides an abstraction layer between Haskell programs and SQL relational databases. This lets you write database code once, in Haskell, and have it work with any number of backend SQL databases (MySQL, Oracle, PostgreSQL, ODBC-compliant databases, etc.) Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation Section: doc Priority: extra Filename: pool/main/h/hdbc-sqlite3/libghc-hdbc-sqlite3-doc_2.3.3.0-1_all.deb Package: libghc-hdbc-sqlite3-prof Source: hdbc-sqlite3 Version: 2.3.3.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 464 Depends: libghc-hdbc-sqlite3-dev (= 2.3.3.0-1), libghc-hdbc-prof-2.3.1.1-c2c5b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-mtl-prof-2.1.1-87121, libghc-utf8-string-prof-0.3.7-c7280, libsqlite3-dev (>= 3.3) Provides: libghc-hdbc-sqlite3-prof-2.3.3.0-991ea Priority: extra Section: haskell Filename: pool/main/h/hdbc-sqlite3/libghc-hdbc-sqlite3-prof_2.3.3.0-1_armhf.deb Size: 103624 SHA256: 0aa1eff8c193811ec4416d176e6f21fce53d8676c7ba92dfec39d717a26a7eb4 SHA1: 43186382672f74edb143d54b37539ba7b891249e MD5sum: 9b55d1f3fb396c4b680c0cdafa6c7d8c Description: Sqlite v3 HDBC Driver for GHC; profiling libraries HDBC provides an abstraction layer between Haskell programs and SQL relational databases. This lets you write database code once, in Haskell, and have it work with any number of backend SQL databases (MySQL, Oracle, PostgreSQL, ODBC-compliant databases, etc.) . This package provides the Sqlite v3 database driver for HDBC under GHC. Package: libghc-hfuse-dev Source: haskell-hfuse Version: 0.2.4.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 663 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hfuse-doc, libghc-hfuse-prof Provides: libghc-hfuse-dev-0.2.4.1-a23bd Homepage: http://hackage.haskell.org/package/HFuse Priority: extra Section: haskell Filename: pool/main/h/haskell-hfuse/libghc-hfuse-dev_0.2.4.1-1_armhf.deb Size: 144756 SHA256: cceced0fcf0fdef6dfdeb47561c07432df29785096a54961f8c15efbcc2a184a SHA1: 730a450b248ddd5b68326ef4045c3a24614453d8 MD5sum: fa0bdabeda2fb681c61cf389f97de5b3 Description: Haskell binding for the Linux FUSE library HFuse is a Haskell binding to the Linux C library, FUSE ('Filesystems in Userspace'); FUSE allows you to construct interesting filesystems which can be used in many ways, such as a filesystem in which the files are Wikipedia files, or SSHFS where one mounts remote directories over SSH, or FlickrFS which represents your Flickr account as directories and files. . This package contains the libraries compiled for GHC. Package: libghc-hfuse-doc Source: haskell-hfuse Version: 0.2.4.1-1 Installed-Size: 447 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hfuse-dev Size: 65762 SHA256: 060c54b2a0b1b1916fe16ee249714a633059e8f6f2da52309eb3f92e5aef2a51 SHA1: c795b28742a83da9f0e9fee7e42e2654bfb9e09b MD5sum: d033c3b796fc935ffd59e9984dcbb94a Description: Haskell binding for the Linux FUSE library; documentation HFuse is a Haskell binding to the Linux C library, FUSE ('Filesystems in Userspace'); FUSE allows you to construct interesting filesystems which can be used in many ways, such as a filesystem in which the files are Wikipedia files, or SSHFS where one mounts remote directories over SSH, or FlickrFS which represents your Flickr account as directories and files. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/HFuse Tag: admin::filesystem, devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hfuse/libghc-hfuse-doc_0.2.4.1-1_all.deb Package: libghc-hfuse-prof Source: haskell-hfuse Version: 0.2.4.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 575 Depends: libghc-hfuse-dev (= 0.2.4.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-hfuse-prof-0.2.4.1-a23bd Homepage: http://hackage.haskell.org/package/HFuse Priority: extra Section: haskell Filename: pool/main/h/haskell-hfuse/libghc-hfuse-prof_0.2.4.1-1_armhf.deb Size: 125252 SHA256: 8cd68cf53b5509f6f8693fbd330e5aeb540e69f7605a8b6af612c9a1399a99a4 SHA1: 24289b0f03c60c2970818dac3b7caf2f89f3fc59 MD5sum: beb5b179adeccb323defecad4ede3f12 Description: Haskell binding for the Linux FUSE library; profiling library HFuse is a Haskell binding to the Linux C library, FUSE ('Filesystems in Userspace'); FUSE allows you to construct interesting filesystems which can be used in many ways, such as a filesystem in which the files are Wikipedia files, or SSHFS where one mounts remote directories over SSH, or FlickrFS which represents your Flickr account as directories and files. . This package contains the profiling libraries compiled for GHC. Package: libghc-highlighting-kate-dev Source: highlighting-kate Version: 0.5.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 29401 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libpcre3 (>= 8.10), libghc-base-dev-4.5.0.0-d93df, libghc-blaze-html-dev-0.4.3.1-0edb5, libghc-containers-dev-0.4.2.1-7c545, libghc-filepath-dev-1.3.0.0-163d9, libghc-mtl-dev-2.1.1-87121, libghc-parsec-dev-3.1.2-aa52f, libghc-pcre-light-dev-0.4-4f534 Suggests: libghc-highlighting-kate-doc, libghc-highlighting-kate-prof Provides: libghc-highlighting-kate-dev-0.5.1-26e78 Homepage: http://johnmacfarlane.net/highlighting-kate Priority: extra Section: haskell Filename: pool/main/h/highlighting-kate/libghc-highlighting-kate-dev_0.5.1-1_armhf.deb Size: 6146418 SHA256: f2c0f0f6ab7e1460193694c745a98f048c517bfc83a0cd731edcc21fab54d6e7 SHA1: 6625fcf0ce8e26de0c8649a954d9f97d6a8a39ac MD5sum: d8618c66f5dbaeb6651ad0ea334b818f Description: syntax highlighting library based on Kate syntax descriptions Highlighting-kate is a syntax highlighting library with support for over 50 languages. The syntax parsers are automatically generated from Kate syntax descriptions (), so any syntax supported by Kate can be added. . Currently the following languages are supported: Ada, Asp, Awk, Bash, Bibtex, C, Cmake, Coldfusion, Commonlisp, Cpp, Css, D, Djangotemplate, Doxygen, Dtd, Eiffel, Erlang, Fortran, Haskell, Html, Java, Javadoc, Javascript, Json, Latex, Lex, LiterateHaskell, Lua, Makefile, Matlab, Mediawiki, Modula3, Nasm, Objectivec, Ocaml, Pascal, Perl, PHP, Postscript, Prolog, Python, Rhtml, Ruby, Scala, Scheme, Sgml, SQL, MySQL, PostgreSQL, Tcl, Texinfo, Xml, Xslt, Yacc. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-highlighting-kate-doc Source: highlighting-kate Version: 0.5.1-1 Installed-Size: 6409 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-html-doc, libghc-parsec3-doc, libghc-highlighting-kate-dev Size: 575728 SHA256: 58978ee5a19b0d9696e8ca695061395c49cf34488b7c01f9a352a3beee77e59c SHA1: 0c94f3abd42361f2c9a9175268269d254a4bf407 MD5sum: 5e2b3e162376c84ea946fc9a062555b1 Description: library documentation for highlighting-kate Highlighting-kate is a syntax highlighting library with support for over 50 languages. The syntax parsers are automatically generated from Kate syntax descriptions (), so any syntax supported by Kate can be added. . Currently the following languages are supported: Ada, Asp, Awk, Bash, Bibtex, C, Cmake, Coldfusion, Commonlisp, Cpp, Css, D, Djangotemplate, Doxygen, Dtd, Eiffel, Erlang, Fortran, Haskell, Html, Java, Javadoc, Javascript, Json, Latex, Lex, LiterateHaskell, Lua, Makefile, Matlab, Mediawiki, Modula3, Nasm, Objectivec, Ocaml, Pascal, Perl, PHP, Postscript, Prolog, Python, Rhtml, Ruby, Scala, Scheme, Sgml, SQL, MySQL, PostgreSQL, Tcl, Texinfo, Xml, Xslt, Yacc. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://johnmacfarlane.net/highlighting-kate Tag: devel::doc, devel::editor, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/highlighting-kate/libghc-highlighting-kate-doc_0.5.1-1_all.deb Package: libghc-highlighting-kate-prof Source: highlighting-kate Version: 0.5.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 37639 Depends: libghc-highlighting-kate-dev (= 0.5.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-blaze-html-prof-0.4.3.1-0edb5, libghc-containers-prof-0.4.2.1-7c545, libghc-filepath-prof-1.3.0.0-163d9, libghc-mtl-prof-2.1.1-87121, libghc-parsec-prof-3.1.2-aa52f, libghc-pcre-light-prof-0.4-4f534 Provides: libghc-highlighting-kate-prof-0.5.1-26e78 Homepage: http://johnmacfarlane.net/highlighting-kate Priority: extra Section: haskell Filename: pool/main/h/highlighting-kate/libghc-highlighting-kate-prof_0.5.1-1_armhf.deb Size: 7084774 SHA256: 274a4b6d8b14b4a9441745902140a6fa18f9db2978a8775de5a3122a408b29a9 SHA1: b344c462abe35917f8581c2778c175b70b441257 MD5sum: 27eb24bf2b014489bd3bff1fed76205d Description: highlighting-kate library with profiling enabled Highlighting-kate is a syntax highlighting library with support for over 50 languages. The syntax parsers are automatically generated from Kate syntax descriptions (), so any syntax supported by Kate can be added. . Currently the following languages are supported: Ada, Asp, Awk, Bash, Bibtex, C, Cmake, Coldfusion, Commonlisp, Cpp, Css, D, Djangotemplate, Doxygen, Dtd, Eiffel, Erlang, Fortran, Haskell, Html, Java, Javadoc, Javascript, Json, Latex, Lex, LiterateHaskell, Lua, Makefile, Matlab, Mediawiki, Modula3, Nasm, Objectivec, Ocaml, Pascal, Perl, PHP, Postscript, Prolog, Python, Rhtml, Ruby, Scala, Scheme, Sgml, SQL, MySQL, PostgreSQL, Tcl, Texinfo, Xml, Xslt, Yacc. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hinotify-dev Source: haskell-hinotify Version: 0.3.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 624 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hinotify-doc, libghc-hinotify-prof Provides: libghc-hinotify-dev-0.3.2-93835 Homepage: http://hackage.haskell.org/package/hinotify Priority: extra Section: haskell Filename: pool/main/h/haskell-hinotify/libghc-hinotify-dev_0.3.2-1_armhf.deb Size: 128734 SHA256: 38cd86d6b5506d6ad29452d0b70bbe5785c9f3642fc7e7330f1cff6f5e343b47 SHA1: 5afeee0894a909065560d6043fc1d43ebcb9d5b9 MD5sum: 9aad086538d1232917383d8c4f9fa322 Description: Haskell inotify library for GHC This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Hinotify is a Haskell library for inotify, a part of the Linux kernel . Hinotify uses inotify to provide file system event notification, simply add a watcher to a file or directory and get an event when it is accessed or modified. Package: libghc-hinotify-doc Source: haskell-hinotify Version: 0.3.2-1 Installed-Size: 208 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hinotify-dev Size: 39742 SHA256: 0a9b49dbae667ee2e5b2c8b53b4ea811cbcc12c59f2547e079c38c29f859821a SHA1: c3ac417d211ce88c83dded3b6e76359a3551396f MD5sum: fe3a1b7ae9118bf538083410807014e3 Description: Haskell inotify library for GHC; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Hinotify is a Haskell library for inotify, a part of the Linux kernel . Hinotify uses inotify to provide file system event notification, simply add a watcher to a file or directory and get an event when it is accessed or modified. Homepage: http://hackage.haskell.org/package/hinotify Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hinotify/libghc-hinotify-doc_0.3.2-1_all.deb Package: libghc-hinotify-prof Source: haskell-hinotify Version: 0.3.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 538 Depends: libghc-hinotify-dev (= 0.3.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-hinotify-prof-0.3.2-93835 Homepage: http://hackage.haskell.org/package/hinotify Priority: extra Section: haskell Filename: pool/main/h/haskell-hinotify/libghc-hinotify-prof_0.3.2-1_armhf.deb Size: 111154 SHA256: c2e4af7421eca7f980e15b9409c84c650b0d95b59df2530dee6417bdfee18bf0 SHA1: c4cd9fd09e47fd40e26f5e2898558b5e616c6c30 MD5sum: 47e4dce741dc48dd6a52892669bb6642 Description: Haskell inotify library for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Hinotify is a Haskell library for inotify, a part of the Linux kernel . Hinotify uses inotify to provide file system event notification, simply add a watcher to a file or directory and get an event when it is accessed or modified. Package: libghc-hint-doc Source: haskell-hint Version: 0.3.3.4-2 Installed-Size: 742 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monadcatchio-mtl-doc, libghc-mtl-doc, libghc-hint-dev Size: 109970 SHA256: e8052b96cccd05479ac5de682d3f3663ec5db78b76328381703173335127642e SHA1: e45171c7e814fc775140a820444344ff8c3f4096 MD5sum: 24dad311e6935a636797dfcf5f007a67 Description: runtime Haskell interpreter (GHC API wrapper); documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library defines an Interpreter monad. It allows one to load Haskell modules, browse them, type-check and evaluate strings with Haskell expressions and even coerce them into values. The library is thread-safe and type-safe (even the coercion of expressions to values). It is, esentially, a huge subset of the GHC API wrapped in a simpler API. Works with GHC.10.x and 6.8.x (this version was not tested with GHC.6). Homepage: http://hackage.haskell.org/package/hint Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hint/libghc-hint-doc_0.3.3.4-2_all.deb Package: libghc-hipmunk-dev Source: haskell-hipmunk Version: 5.2.0.8-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2597 Depends: libghc-statevar-dev-1.0.0.0-a951f, libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libchipmunk0d1, libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, chipmunk-dev Suggests: libghc-hipmunk-doc, libghc-hipmunk-prof Provides: libghc-hipmunk-dev-5.2.0.8-54eb1 Homepage: http://hackage.haskell.org/package/hipmunk Priority: extra Section: haskell Filename: pool/main/h/haskell-hipmunk/libghc-hipmunk-dev_5.2.0.8-1_armhf.deb Size: 497234 SHA256: 7fa6a664bcc4dbc57127819ab3200efb907a5223cef8c91d87afaebade7fd116 SHA1: ac6cd912b7b8ffa760ed361b37485ea097ef313b MD5sum: 971dd4476965bf81d56e948a9709d3e7 Description: Haskell bindings for Chipmunk Chipmunk is a fast, simple, portable, 2D physics engine. This library provides Haskell bindings for Chipmunk. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hipmunk-doc Source: haskell-hipmunk Version: 5.2.0.8-1 Installed-Size: 1035 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-statevar-doc, libghc-transformers-doc, libghc-hipmunk-dev Size: 139780 SHA256: 18586e396ceed28bac3743f10f501515bfc1f20c3f67758e36b067532a1bb3a2 SHA1: b277955ae5a8a2e7ca878fb00aa48d33a2ef613b MD5sum: f1c1f2b7200b16ba28ae89374b7ccb7c Description: Haskell bindings for Chipmunk; documentation Chipmunk is a fast, simple, portable, 2D physics engine. This library provides Haskell bindings for Chipmunk. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/hipmunk Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hipmunk/libghc-hipmunk-doc_5.2.0.8-1_all.deb Package: libghc-hipmunk-prof Source: haskell-hipmunk Version: 5.2.0.8-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2154 Depends: libghc-hipmunk-dev (= 5.2.0.8-1), libghc-statevar-prof-1.0.0.0-a951f, libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-hipmunk-prof-5.2.0.8-54eb1 Homepage: http://hackage.haskell.org/package/hipmunk Priority: extra Section: haskell Filename: pool/main/h/haskell-hipmunk/libghc-hipmunk-prof_5.2.0.8-1_armhf.deb Size: 424998 SHA256: 17e15b07340dfe48c921526aa1662364b886dd4f4df20dd81b2b575dbec99421 SHA1: cc5c7b74e2b211b85d152f4da62c8b1062b41535 MD5sum: 6d1920d95a8b64da76b58b01600bdc10 Description: Haskell bindings for Chipmunk; profiling libraries Chipmunk is a fast, simple, portable, 2D physics engine. This library provides Haskell bindings for Chipmunk. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hjavascript-dev Source: haskell-hjavascript Version: 0.4.7-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1000 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-pretty-dev-1.1.1.0-7e118, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hjavascript-doc, libghc-hjavascript-prof Provides: libghc-hjavascript-dev-0.4.7-72e81 Homepage: http://hackage.haskell.org/package/HJavaScript Priority: extra Section: haskell Filename: pool/main/h/haskell-hjavascript/libghc-hjavascript-dev_0.4.7-3_armhf.deb Size: 170444 SHA256: f6c9862bc09d20fba32a05989cc0ceaa005b7c0480831df9e0828dba9b29b338 SHA1: 660634e3dcbd6ebb17c2d33f14e93c19e33c9971 MD5sum: 1151aac67d07fb2e17100957e3cd041e Description: Haskell abstract syntax for a subset of JavaScript - GHC libraries HJavaScript defines a Haskell abstract syntax and pretty printer for a subset of JavaScript. However, a significant difference from JavaScript is that HJavaScript is typed, even on the abstract syntax level using GADTs. The subset of JavaScript that is supported is those parts that lend themself to typing (i.e. no prototyping of classes). . If you don't know what Haskell and all these concepts are, you can just ignore this package. . This package contains the libraries compiled for GHC. Package: libghc-hjavascript-doc Source: haskell-hjavascript Version: 0.4.7-3 Installed-Size: 401 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hjavascript-dev Size: 52216 SHA256: 95816657a55b7dbda51300f8db71f11c60d929538b98ea3f42ca571b088e1b8e SHA1: 9861830920fb7a40088db8a105a6935cf36cf408 MD5sum: 8a6c1d9c127176f349fae4be470c515f Description: Haskell abstract syntax for a subset of JavaScript - documentation HJavaScript defines a Haskell abstract syntax and pretty printer for a subset of JavaScript. However, a significant difference from JavaScript is that HJavaScript is typed, even on the abstract syntax level using GADTs. The subset of JavaScript that is supported is those parts that lend themself to typing (i.e. no prototyping of classes). . If you don't know what Haskell and all these concepts are, you can just ignore this package. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/HJavaScript Tag: devel::doc, devel::lang:ecmascript, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hjavascript/libghc-hjavascript-doc_0.4.7-3_all.deb Package: libghc-hjavascript-prof Source: haskell-hjavascript Version: 0.4.7-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 901 Depends: libghc-hjavascript-dev (= 0.4.7-3), libghc-base-prof-4.5.0.0-d93df, libghc-pretty-prof-1.1.1.0-7e118 Provides: libghc-hjavascript-prof-0.4.7-72e81 Homepage: http://hackage.haskell.org/package/HJavaScript Priority: extra Section: haskell Filename: pool/main/h/haskell-hjavascript/libghc-hjavascript-prof_0.4.7-3_armhf.deb Size: 158852 SHA256: 769a403e7cd0964c6a7adde71e520ed134be003b71c815bf08290e730a7201c5 SHA1: 91a0ad31f5df5ec8621b2f9d89cc6cf7c186471d MD5sum: 66ae992b412b0e9179175fa770ef1ec6 Description: Haskell abstract syntax for a subset of JavaScript - GHC profiling libraries HJavaScript defines a Haskell abstract syntax and pretty printer for a subset of JavaScript. However, a significant difference from JavaScript is that HJavaScript is typed, even on the abstract syntax level using GADTs. The subset of JavaScript that is supported is those parts that lend themself to typing (i.e. no prototyping of classes). . If you don't know what Haskell and all these concepts are, you can just ignore this package. . This package contains the profiling libraries compiled for GHC. Package: libghc-hjscript-dev Source: haskell-hjscript Version: 0.5.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2755 Depends: libghc-hjavascript-dev-0.4.7-72e81, libghc-base-dev-4.5.0.0-d93df, libghc-hsx-dev-0.9.1-b5b79, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hjscript-doc, libghc-hjscript-prof Provides: libghc-hjscript-dev-0.5.0-b202d Homepage: http://hackage.haskell.org/package/hjscript Priority: extra Section: haskell Filename: pool/main/h/haskell-hjscript/libghc-hjscript-dev_0.5.0-3_armhf.deb Size: 513828 SHA256: 13d92143be3b1991e1eef7ad2a8c6293701802d20632898358fee2cccf61393d SHA1: 457b87c33e5009b0e0a5eda35485cf540d05b90a MD5sum: 7c8a27e2d041180e14e6a14cf1fd1069 Description: Haskell DSL for writing JavaScript programs - GHC libraries HJScript is a DSL (domain-specific language) built on top of HJavaScript, for writing client-side dynamic web pages. The programming model is fairly low-level, resembling the actual JavaScript code quite a lot, but should be easy to extend with higher-level functionality. Notable is that HJScript supports the use of literal XML syntax, as defined by the hsx package, for creating DOM ElementNodes. Also notable is that HJScript supports Ajax functionality. . If you don't know what Haskell and all these concepts are, you can just ignore this package. . This package contains the libraries compiled for GHC. Package: libghc-hjscript-doc Source: haskell-hjscript Version: 0.5.0-3 Installed-Size: 1320 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hjavascript-doc, libghc-hsx-doc, libghc-mtl-doc, libghc-hjscript-dev Size: 132326 SHA256: 4d2b79d9deb6f2b0a9f930e367f500a01333eeffa6160e48ec6d2fa9ef547f0c SHA1: 418e4b719cf42f8c82f0a69fc35894150a32a12f MD5sum: 93d0e680d2d1f551e09edf738972d960 Description: Haskell DSL for writing JavaScript programs - documentation HJScript is a DSL (domain-specific language) built on top of HJavaScript, for writing client-side dynamic web pages. The programming model is fairly low-level, resembling the actual JavaScript code quite a lot, but should be easy to extend with higher-level functionality. Notable is that HJScript supports the use of literal XML syntax, as defined by the hsx package, for creating DOM ElementNodes. Also notable is that HJScript supports Ajax functionality. . If you don't know what Haskell and all these concepts are, you can just ignore this package. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/hjscript Tag: devel::doc, devel::lang:ecmascript, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hjscript/libghc-hjscript-doc_0.5.0-3_all.deb Package: libghc-hjscript-prof Source: haskell-hjscript Version: 0.5.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2492 Depends: libghc-hjscript-dev (= 0.5.0-3), libghc-hjavascript-prof-0.4.7-72e81, libghc-base-prof-4.5.0.0-d93df, libghc-hsx-prof-0.9.1-b5b79, libghc-mtl-prof-2.1.1-87121 Provides: libghc-hjscript-prof-0.5.0-b202d Homepage: http://hackage.haskell.org/package/hjscript Priority: extra Section: haskell Filename: pool/main/h/haskell-hjscript/libghc-hjscript-prof_0.5.0-3_armhf.deb Size: 498174 SHA256: f38ce9cfa908c1dd5239d15fb0376cc45dbc84a4a433fbab23ae503ea4216ae0 SHA1: 325afb855542de5d6e24010cc07b226b02d8e82f MD5sum: f7b09402c7a64d4a46d8b95f9698c48d Description: Haskell DSL for writing JavaScript programs - GHC profiling libraries HJScript is a DSL (domain-specific language) built on top of HJavaScript, for writing client-side dynamic web pages. The programming model is fairly low-level, resembling the actual JavaScript code quite a lot, but should be easy to extend with higher-level functionality. Notable is that HJScript supports the use of literal XML syntax, as defined by the hsx package, for creating DOM ElementNodes. Also notable is that HJScript supports Ajax functionality. . If you don't know what Haskell and all these concepts are, you can just ignore this package. . This package contains the profiling libraries compiled for GHC. Package: libghc-hjsmin-dev Source: haskell-hjsmin Version: 0.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 665 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-language-javascript-dev-0.5.4-d559e, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hjsmin-doc, libghc-hjsmin-prof Provides: libghc-hjsmin-dev-0.1.1-edcbf Homepage: http://hackage.haskell.org/package/hjsmin Priority: extra Section: haskell Filename: pool/main/h/haskell-hjsmin/libghc-hjsmin-dev_0.1.1-1_armhf.deb Size: 142076 SHA256: b582c254d433376eed9b8b00b9fd12fa1dab9a534ea30cf759152f473d0623f6 SHA1: 15b7cea8981aeeb29f219dd14cc2209ea65dfaa5 MD5sum: 7e66125d5d547c6031b5773b4943b0bb Description: JavaScript minifier This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It reduces the size of JavaScript files by stripping out extraneous whitespace and other syntactic elements, without changing the semantics. Package: libghc-hjsmin-doc Source: haskell-hjsmin Version: 0.1.1-1 Installed-Size: 250 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-builder-doc, libghc-hjsmin-dev Size: 35948 SHA256: 83193c961f3b458717287d18cad4d0f5d3eadb83624322db687fbaedbda9e337 SHA1: 32ae20fdb9a1026a975aad010178e39cb17a96ea MD5sum: f83e5315a18c5687fe3e760fe0765509 Description: JavaScript minifier; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It reduces the size of JavaScript files by stripping out extraneous whitespace and other syntactic elements, without changing the semantics. Homepage: http://hackage.haskell.org/package/hjsmin Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hjsmin/libghc-hjsmin-doc_0.1.1-1_all.deb Package: libghc-hjsmin-prof Source: haskell-hjsmin Version: 0.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 680 Depends: libghc-hjsmin-dev (= 0.1.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-language-javascript-prof-0.5.4-d559e, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-hjsmin-prof-0.1.1-edcbf Homepage: http://hackage.haskell.org/package/hjsmin Priority: extra Section: haskell Filename: pool/main/h/haskell-hjsmin/libghc-hjsmin-prof_0.1.1-1_armhf.deb Size: 137634 SHA256: 77fba26d96b44f51aa23214a0307effa0de90f8c055fab5a1cc8c85fe4499fc7 SHA1: 8a77cbf15076e31643d4493fb331829b825da05c MD5sum: c91f15ee590f7535f16455dfa8299448 Description: JavaScript minifier; profiling data This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It reduces the size of JavaScript files by stripping out extraneous whitespace and other syntactic elements, without changing the semantics. Package: libghc-hledger-lib-dev Source: haskell-hledger-lib Version: 0.16.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 13038 Depends: libghc-hunit-dev-1.2.4.2-c4250, libghc-base-dev-4.3.1.0-97817, libghc-bytestring-dev-0.9.1.10-978b1, libghc-cmdargs-dev-0.9-13cfc, libghc-containers-dev-0.4.0.0-ad7e4, libghc-directory-dev-1.1.0.0-956da, libghc-filepath-dev-1.2.0.0-e0c8b, libghc-mtl-dev-2.0.1.0-56453, libghc-old-locale-dev-1.0.0.2-706a2, libghc-old-time-dev-1.0.0.6-63a3e, libghc-parsec-dev-3.1.1-748d6, libghc-regexpr-dev-0.5.4-afe53, libghc-safe-dev-0.3-26e9f, libghc-split-dev-0.1.4.1-3314c, libghc-time-dev-1.2.0.3-e4206, libghc-utf8-string-dev-0.3.6-01efa, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hledger-lib-doc, libghc-hledger-lib-prof Provides: libghc-hledger-lib-dev-0.16.1-35a0e Homepage: http://hackage.haskell.org/package/hledger-lib Priority: extra Section: haskell Filename: pool/main/h/haskell-hledger-lib/libghc-hledger-lib-dev_0.16.1-2_armhf.deb Size: 2295248 SHA256: fe3f143bae9d3695f304a570bea0d1a21ef53df61397f654e8d0925e947eb805 SHA1: 315763bd18b0de27828eb9a7dd5ea7da71e7275f MD5sum: d249a2b7482ff693e0669301f17924cd Description: core data types, parsers and utilities for the hledger accounting tool This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . hledger is a haskell port and friendly fork of John Wiegley's ledger accounting tool. This package provides core data types, parsers and utilities used by the hledger tools. It also aims to be a useful library for building h/ledger-compatible tools or unrelated financial apps in Haskell. Package: libghc-hledger-lib-doc Source: haskell-hledger-lib Version: 0.16.1-2 Installed-Size: 2267 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-16 Recommends: ghc-doc, libghc-cmdargs-doc, libghc-hunit-doc, libghc-mtl-doc, libghc-parsec3-doc, libghc-hledger-lib-dev Size: 244874 SHA256: 16ae1f4927f5810696b31bea76ac4257b8c87b56a4888c0b0efcdae3cb257455 SHA1: 589311f8b0b3b5b5f782f843508fbcf29806c801 MD5sum: 8122be6c707c704a98d6c224971b4305 Description: core data types, parsers and utilities for hledger; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . hledger is a haskell port and friendly fork of John Wiegley's ledger accounting tool. This package provides core data types, parsers and utilities used by the hledger tools. It also aims to be a useful library for building h/ledger-compatible tools or unrelated financial apps in Haskell. Homepage: http://hackage.haskell.org/package/hledger-lib Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hledger-lib/libghc-hledger-lib-doc_0.16.1-2_all.deb Package: libghc-hledger-lib-prof Source: haskell-hledger-lib Version: 0.16.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 11006 Depends: libghc-hledger-lib-dev (= 0.16.1-2), libghc-hunit-prof-1.2.4.2-c4250, libghc-base-prof-4.3.1.0-97817, libghc-bytestring-prof-0.9.1.10-978b1, libghc-cmdargs-prof-0.9-13cfc, libghc-containers-prof-0.4.0.0-ad7e4, libghc-directory-prof-1.1.0.0-956da, libghc-filepath-prof-1.2.0.0-e0c8b, libghc-mtl-prof-2.0.1.0-56453, libghc-old-locale-prof-1.0.0.2-706a2, libghc-old-time-prof-1.0.0.6-63a3e, libghc-parsec-prof-3.1.1-748d6, libghc-regexpr-prof-0.5.4-afe53, libghc-safe-prof-0.3-26e9f, libghc-split-prof-0.1.4.1-3314c, libghc-time-prof-1.2.0.3-e4206, libghc-utf8-string-prof-0.3.6-01efa Provides: libghc-hledger-lib-prof-0.16.1-35a0e Homepage: http://hackage.haskell.org/package/hledger-lib Priority: extra Section: haskell Filename: pool/main/h/haskell-hledger-lib/libghc-hledger-lib-prof_0.16.1-2_armhf.deb Size: 1993572 SHA256: d0228c5b85eee46a1f97db03f0599699a9d6f4059555ed3c20edf69442b2a088 SHA1: 9cfcf233837571150fc6dc68374c831f3daad3be MD5sum: 94d357e5b6dc8a5271add8c1ea041918 Description: core data types, parsers and utilities for hledger; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . hledger is a haskell port and friendly fork of John Wiegley's ledger accounting tool. This package provides core data types, parsers and utilities used by the hledger tools. It also aims to be a useful library for building h/ledger-compatible tools or unrelated financial apps in Haskell. Package: libghc-hlint-dev Source: hlint Version: 1.8.28-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 5503 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-cpphs-dev-1.13.3-58bde, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-haskell-src-exts-dev-1.11.1-8957f, libghc-hscolour-dev-1.19-74091, libghc-process-dev-1.1.0.1-75c65, libghc-transformers-dev-0.3.0.0-e8222, libghc-uniplate-dev-1.6.7-747a5, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hlint-doc, libghc-hlint-prof Provides: libghc-hlint-dev-1.8.28-51ffd Homepage: http://hackage.haskell.org/package/hlint Priority: extra Section: haskell Filename: pool/main/h/hlint/libghc-hlint-dev_1.8.28-1_armhf.deb Size: 1322044 SHA256: 8aef7ee5323e5f0b3bc68b4886f1b937016d759b38deb59a5f5a55d25e99270c SHA1: e0bb2fd38a8cb5f76dc1b4093753f82fb8621ce8 MD5sum: 4504db38e34e8062b4fea9e64db24c08 Description: Haskell source code suggestions; library HLint gives suggestions on how to improve your source code. It can either print them directly, or generated a colored HTML output. . This is the HLint library, used by programs that want to incorporate HLint's functionality. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hlint-doc Source: hlint Version: 1.8.28-1 Installed-Size: 1114 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-src-exts-doc, libghc-hlint-dev Size: 126932 SHA256: 6b06793344b9c2a7a0367db488da4ddfb15803d1407bcd24d0e86945f2826529 SHA1: be226d2a30d0e142581cddc9e3b7cf1f42ada22f MD5sum: 69475facd734eabe9515e0070989bf95 Description: Haskell source code suggestions; library documentation HLint gives suggestions on how to improve your source code. It can either print them directly, or generated a colored HTML output. . This is the HLint library, used by programs that want to incorporate HLint's functionality. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/hlint Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/hlint/libghc-hlint-doc_1.8.28-1_all.deb Package: libghc-hlint-prof Source: hlint Version: 1.8.28-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4898 Depends: libghc-hlint-dev (= 1.8.28-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-cpphs-prof-1.13.3-58bde, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-haskell-src-exts-prof-1.11.1-8957f, libghc-hscolour-prof-1.19-74091, libghc-process-prof-1.1.0.1-75c65, libghc-transformers-prof-0.3.0.0-e8222, libghc-uniplate-prof-1.6.7-747a5 Provides: libghc-hlint-prof-1.8.28-51ffd Homepage: http://hackage.haskell.org/package/hlint Priority: extra Section: haskell Filename: pool/main/h/hlint/libghc-hlint-prof_1.8.28-1_armhf.deb Size: 1198790 SHA256: 6f5709a4be5df6718f26efaeadd6fc7f700c4adccf760e0dcc08154c09876911 SHA1: de3f2fde7ee178f0627fa36c08441d074b01bb6f MD5sum: c9808bb18c588e2e9caf37b0cc9573ca Description: Haskell source code suggestions; profiling libraries HLint gives suggestions on how to improve your source code. It can either print them directly, or generated a colored HTML output. . This is the HLint library, used by programs that want to incorporate HLint's functionality. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hoauth-dev Source: hoauth (0.3.4-1) Version: 0.3.4-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1189 Depends: libghc-rsa-dev-1.2.1.0-6e000, libghc-sha-dev-1.5.0.1-7d85d, libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-crypto-pubkey-types-dev-0.1.1-d7c2e, libghc-curl-dev-1.3.7-08aac, libghc-dataenc-dev-0.14.0.3-85e41, libghc-entropy-dev-0.2.1-177e4, libghc-mtl-dev-2.1.1-87121, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-random-dev-1.0.1.1-344e2, libghc-time-dev-1.4-ec63b, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hoauth-doc, libghc-hoauth-prof Provides: libghc-hoauth-dev-0.3.4-268e7 Homepage: http://hackage.haskell.org/package/hoauth Priority: optional Section: haskell Filename: pool/main/h/hoauth/libghc-hoauth-dev_0.3.4-1+b1_armhf.deb Size: 255288 SHA256: e0af7216f46162c1898a94629ad57a226dc9d98929c0ab23086995bcdd7ca3d9 SHA1: fbda0842d9dbfda1ff879313aecb430979a51f3c MD5sum: 926c1bfe8b51a01cf2e809ebed2286c8 Description: Haskell implementation of OAuth 1.0a This library implements all PLAINTEXT, HMAC-SHA1 and RSA-SHA1 signatures as defined in the specification 1.0. Package: libghc-hoauth-doc Source: hoauth Version: 0.3.4-1 Installed-Size: 483 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-crypto-pubkey-types-doc, libghc-curl-doc, libghc-hoauth-dev Size: 71362 SHA256: 03fcd3a8b599779f242fa58719b5441e02a9a0899e3a28d95c8a1004af9c7611 SHA1: fffba57464b1159d2c8532c8ab1944305b14cae7 MD5sum: bba0fd01081cbc89834a86baefe45d68 Description: Documentation for Haskell OAuth library hoauth implements all PLAINTEXT, HMAC-SHA1 and RSA-SHA1 signatures as defined in the specification 1.0. . This package provides the API documentation for hoauth. Homepage: http://hackage.haskell.org/package/hoauth Tag: devel::doc, devel::lang:haskell, role::documentation, security::authentication Section: doc Priority: optional Filename: pool/main/h/hoauth/libghc-hoauth-doc_0.3.4-1_all.deb Package: libghc-hoauth-prof Source: hoauth (0.3.4-1) Version: 0.3.4-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1070 Depends: libghc-hoauth-dev (= 0.3.4-1+b1), libghc-rsa-prof-1.2.1.0-6e000, libghc-sha-prof-1.5.0.1-7d85d, libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-crypto-pubkey-types-prof-0.1.1-d7c2e, libghc-curl-prof-1.3.7-08aac, libghc-dataenc-prof-0.14.0.3-85e41, libghc-entropy-prof-0.2.1-177e4, libghc-mtl-prof-2.1.1-87121, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-random-prof-1.0.1.1-344e2, libghc-time-prof-1.4-ec63b, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-hoauth-prof-0.3.4-268e7 Homepage: http://hackage.haskell.org/package/hoauth Priority: optional Section: haskell Filename: pool/main/h/hoauth/libghc-hoauth-prof_0.3.4-1+b1_armhf.deb Size: 234864 SHA256: d293a35040a859550b823e9a2c01ce96c7b376ecee906e7efbc0f4613dbc4059 SHA1: 6131a8c021b91783d34d5f088beb28f3b908cae9 MD5sum: 0794f2ee72612b9221ce3c6468513df5 Description: Haskell implementation of OAuth 1.0a; profiling data This library implements all PLAINTEXT, HMAC-SHA1 and RSA-SHA1 signatures as defined in the specification 1.0. Package: libghc-hostname-dev Source: haskell-hostname Version: 1.0-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 86 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df Suggests: libghc-hostname-doc, libghc-hostname-prof Provides: libghc-hostname-dev-1.0-70057 Homepage: http://hackage.haskell.org/package/hostname Priority: extra Section: haskell Filename: pool/main/h/haskell-hostname/libghc-hostname-dev_1.0-4_armhf.deb Size: 9294 SHA256: 9a326c7c3df1c279de14d970ab054254c36cf7714303aa5a9f15a0c9caf60ea0 SHA1: 0e4f16b913241e262a54ba9e49c34f8fc5af554b MD5sum: fdb7ad8819bfd7aef490f183b229c206 Description: providing a cross-platform means of determining the hostname A very simple package providing a cross-platform means of determining the hostname . This package contains the normal library files. Package: libghc-hostname-doc Source: haskell-hostname Version: 1.0-4 Installed-Size: 97 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hostname-dev Size: 27130 SHA256: 7215019f200085b226e3323d8758011e54bdb79c584c8fd86e7a13cf11fa6079 SHA1: dc61b19d027346f20a9279e9038a77753fb05ab9 MD5sum: 64f96608ffeadb900c38dc3d0e2e3baa Description: providing a cross-platform means of determining the hostname; documentation A very simple package providing a cross-platform means of determining the hostname . This package contains the documentation files. Homepage: http://hackage.haskell.org/package/hostname Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hostname/libghc-hostname-doc_1.0-4_all.deb Package: libghc-hostname-prof Source: haskell-hostname Version: 1.0-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 67 Depends: libghc-hostname-dev (= 1.0-4), libghc-base-prof-4.5.0.0-d93df Provides: libghc-hostname-prof-1.0-70057 Homepage: http://hackage.haskell.org/package/hostname Priority: extra Section: haskell Filename: pool/main/h/haskell-hostname/libghc-hostname-prof_1.0-4_armhf.deb Size: 8802 SHA256: f3515cbebfe1313a5caabf62852c4277ff0b6721dbd72914371d07c19918f625 SHA1: 9c92e02efe6a8f69c051b3b248994bc74b0749cc MD5sum: d93ef7862dfb8c72c457a1bb3c830aff Description: providing a cross-platform means of determining the hostname; profiling lib A very simple package providing a cross-platform means of determining the hostname . This package contains the libraries compiled with profiling enabled. Package: libghc-hs-bibutils-dev Source: haskell-hs-bibutils Version: 4.12-5 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 491 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-syb-dev-0.3.6.1-ad588, libbibutils2 (>= 4.12), libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libbibutils-dev (>= 4.12-3.1) Suggests: libghc-hs-bibutils-doc, libghc-hs-bibutils-prof Provides: libghc-hs-bibutils-dev-4.12-03055 Homepage: http://hackage.haskell.org/package/hs-bibutils Priority: extra Section: haskell Filename: pool/main/h/haskell-hs-bibutils/libghc-hs-bibutils-dev_4.12-5_armhf.deb Size: 89364 SHA256: 29b74bb3c27d622613fbf1bacac7589d810c8feed547dbc15c225b7767b693f0 SHA1: 3a462ae28938239f0ccbede844b7f5cf654c9861 MD5sum: 0a564675b295d26c301f4a3f04acb54e Description: Haskell bindings to bibutils library bibutils is a program by Chris Putnam to convert between various bibliography formats, using a common MODS-format XML intermediate. This package offers Haskell bindings to the bibutils library. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hs-bibutils-doc Source: haskell-hs-bibutils Version: 4.12-5 Installed-Size: 233 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hs-bibutils-dev Size: 45416 SHA256: 805b148b3763a0b7df9ba9072bf35112f5c21faf280edbbc82498e875f760bfc SHA1: c6653b703abb3cbe804e7a8732a38741fce13b57 MD5sum: 74acc41cbfc006ff3ac87b26ae3fccb5 Description: Haskell bindings to bibutils library; documentation bibutils is a program by Chris Putnam to convert between various bibliography formats, using a common MODS-format XML intermediate. This package offers Haskell bindings to the bibutils library. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/hs-bibutils Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hs-bibutils/libghc-hs-bibutils-doc_4.12-5_all.deb Package: libghc-hs-bibutils-prof Source: haskell-hs-bibutils Version: 4.12-5 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 604 Depends: libghc-hs-bibutils-dev (= 4.12-5), libghc-base-prof-4.5.0.0-d93df, libghc-syb-prof-0.3.6.1-ad588 Provides: libghc-hs-bibutils-prof-4.12-03055 Homepage: http://hackage.haskell.org/package/hs-bibutils Priority: extra Section: haskell Filename: pool/main/h/haskell-hs-bibutils/libghc-hs-bibutils-prof_4.12-5_armhf.deb Size: 117450 SHA256: e8c5223c2872f82a5933a5d4ec3c3345e43eeb020cbd7d2f6d6f85a931e625e5 SHA1: 031d59405e451fef6dbeae37459140c703a22d56 MD5sum: 2434d95eaa8d00a03611ab6e2c5a5d4b Description: Haskell bindings to bibutils library; profiling libraries bibutils is a program by Chris Putnam to convert between various bibliography formats, using a common MODS-format XML intermediate. This package offers Haskell bindings to the bibutils library. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hs3-dev Source: haskell-hs3 Version: 0.5.6-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1235 Depends: libghc-crypto-dev-4.2.4-7b77a, libghc-http-dev-4000.2.3-55fae, libghc-missingh-dev-1.1.0.3-694df, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-dataenc-dev-0.14.0.3-85e41, libghc-hxt-dev-9.2.2-b346f, libghc-network-dev-2.3.0.13-9c99a, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-random-dev-1.0.1.1-344e2, libghc-regex-compat-dev-0.95.1-e5a39, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hs3-doc, libghc-hs3-prof Provides: libghc-hs3-dev-0.5.6-e13ee Homepage: http://hackage.haskell.org/package/hS3 Priority: extra Section: haskell Filename: pool/main/h/haskell-hs3/libghc-hs3-dev_0.5.6-2_armhf.deb Size: 260810 SHA256: 1edfb6ddf52d20cbebf665354a2e44ad063ecbc4f4c090aa1211aedd5d7556cb SHA1: 14ada9cfd855afbc49ea147cf2be8e818ae14469 MD5sum: cc7a9c72c3c4e95ca79ec5a18fd7e9bf Description: interface to Amazon's Simple Storage Service for Haskell This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This is the Haskell S3 library. It provides an interface to Amazon's Simple Storage Service (S3), allowing Haskell developers to store and retrieve data from the S3 cloud. Package: libghc-hs3-doc Source: haskell-hs3 Version: 0.5.6-2 Installed-Size: 481 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-http-doc, libghc-hxt-doc, libghc-network-doc, libghc-hs3-dev Size: 72430 SHA256: 8431edda0bafbc8cac22e03dc1d8010e95d473083ddfb9f3e9a69d19d027ac2c SHA1: 1819d94a0b216635a1f1004de221dba929f1eeb9 MD5sum: e4766a826b972442c812e4b4da98d0c6 Description: interface to Amazon's Simple Storage Service for Haskell; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This is the Haskell S3 library. It provides an interface to Amazon's Simple Storage Service (S3), allowing Haskell developers to store and retrieve data from the S3 cloud. Homepage: http://hackage.haskell.org/package/hS3 Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hs3/libghc-hs3-doc_0.5.6-2_all.deb Package: libghc-hs3-prof Source: haskell-hs3 Version: 0.5.6-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1160 Depends: libghc-hs3-dev (= 0.5.6-2), libghc-crypto-prof-4.2.4-7b77a, libghc-http-prof-4000.2.3-55fae, libghc-missingh-prof-1.1.0.3-694df, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-dataenc-prof-0.14.0.3-85e41, libghc-hxt-prof-9.2.2-b346f, libghc-network-prof-2.3.0.13-9c99a, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-random-prof-1.0.1.1-344e2, libghc-regex-compat-prof-0.95.1-e5a39, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-hs3-prof-0.5.6-e13ee Homepage: http://hackage.haskell.org/package/hS3 Priority: extra Section: haskell Filename: pool/main/h/haskell-hs3/libghc-hs3-prof_0.5.6-2_armhf.deb Size: 248314 SHA256: 55ab8226b8e44cbf768eaf9dfca3c75ae902c3f85d216909eef8f99312c361bd SHA1: 3887df52327373618b3eeae026580b6412da698c MD5sum: 9747f7ef72922abe609e176e8f7ad8f3 Description: interface to Amazon's Simple Storage Service for Haskell; profiling data This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This is the Haskell S3 library. It provides an interface to Amazon's Simple Storage Service (S3), allowing Haskell developers to store and retrieve data from the S3 cloud. Package: libghc-hscolour-dev Source: hscolour Version: 1.19-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2130 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hscolour-doc, libghc-hscolour-prof, drift (>= 2.2.0) Provides: libghc-hscolour-dev-1.19-74091 Homepage: http://code.haskell.org/~malcolm/hscolour/ Priority: extra Section: haskell Filename: pool/main/h/hscolour/libghc-hscolour-dev_1.19-3_armhf.deb Size: 444790 SHA256: 58120e471024f60e929f1a16b14fbd04007e2b55c67ef6b333c81f96ad7831bf SHA1: db3a246dface65ac109b35b6d8aea6c184ff459d MD5sum: e043253da4caf0c6c1697b881b92c2b7 Description: GHC libraries for colourising Haskell code HsColour currently has five output formats: ANSI terminal codes, HTML 3.2 with tags, HTML 4.01 with CSS, LaTeX, and mIRC chat client codes. . This package contains the libraries compiled for GHC. Package: libghc-hscolour-doc Source: hscolour Version: 1.19-3 Installed-Size: 611 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hscolour-dev Size: 76512 SHA256: 89789aca9f9fa0c3d150ceecfa3d0fe4c7532de69ab931fee3a373da122af517 SHA1: 0cb58c166f3382ee8d60cb3fd053fa0cfd052074 MD5sum: 7c3c6e37810d97081d453b74c490fca9 Description: Documentation for libghc-hscolour-dev HsColour currently has five output formats: ANSI terminal codes, HTML 3.2 with tags, HTML 4.01 with CSS, LaTeX, and mIRC chat client codes. . This package contains the library documentation. Homepage: http://code.haskell.org/~malcolm/hscolour/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/hscolour/libghc-hscolour-doc_1.19-3_all.deb Package: libghc-hscolour-prof Source: hscolour Version: 1.19-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1992 Depends: libghc-hscolour-dev (= 1.19-3), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545 Provides: libghc-hscolour-prof-1.19-74091 Homepage: http://code.haskell.org/~malcolm/hscolour/ Priority: extra Section: haskell Filename: pool/main/h/hscolour/libghc-hscolour-prof_1.19-3_armhf.deb Size: 420758 SHA256: 90c3d3f1da57694caa9b5d0e5ba6e41cc1a3392017e925c28e42941a9d0a5c56 SHA1: 82792ac1f04da6f1df71341e83555c7b58516c54 MD5sum: 8b82bad5d9cb43dc3843baee170f6aa2 Description: Profiling libraries for colourising Haskell code HsColour currently has five output formats: ANSI terminal codes, HTML 3.2 with tags, HTML 4.01 with CSS, LaTeX, and mIRC chat client codes. . This package contains the profiling libraries compiled for GHC. Package: libghc-hscurses-dev Source: haskell-hscurses Version: 1.4.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3039 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-mtl-dev-2.1.1-87121, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libncurses5 (>= 5.5-5~), libtinfo5 Suggests: libghc-hscurses-doc, libghc-hscurses-prof Provides: libghc-hscurses-dev-1.4.1.0.1-ed1d3 Homepage: http://hackage.haskell.org/package/hscurses Priority: extra Section: haskell Filename: pool/main/h/haskell-hscurses/libghc-hscurses-dev_1.4.1.0-1_armhf.deb Size: 595938 SHA256: ffd9988a05abd50de622c51f216d694d5c5933035509e1e1acd227f962d4e4a7 SHA1: 2e32ebd99a192bb6d99a6a9603aaa2d5849b65a9 MD5sum: 050d40cfd26b0f48941549319aed2cf4 Description: ncurses bindings for Haskell - development files for GHC Bindings to ncurses, a library of functions that manage an application's display on character-cell terminals. Additionally, it contains some basic widgets such as a text input widget and a table widget. . This package is built for GHC. Package: libghc-hscurses-doc Source: haskell-hscurses Version: 1.4.1.0-1 Installed-Size: 1302 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-hscurses-doc (<< 1.3.0.2-2) Provides: haskell-hscurses-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-hscurses-dev Conflicts: haskell-hscurses-doc (<< 1.3.0.2-2) Size: 142444 SHA256: 3a11c64d5eab11d55e6ec78acda214379b5e6dbf80c15043a73cec91e2821808 SHA1: 7f6eb2f2f69d354b3f3eff043857accfd2eb4947 MD5sum: 71a4adbf7024433a34502af67655ed81 Description: ncurses bindings for Haskell - documentation Bindings to ncurses, a library of functions that manage an application's display on character-cell terminals. Additionally, it contains some basic widgets such as a text input widget and a table widget. . This package provides documentation for the Haskell ncurses bindings. Homepage: http://hackage.haskell.org/package/hscurses Tag: devel::doc, devel::lang:haskell, role::documentation, uitoolkit::ncurses Section: doc Priority: extra Filename: pool/main/h/haskell-hscurses/libghc-hscurses-doc_1.4.1.0-1_all.deb Package: libghc-hscurses-prof Source: haskell-hscurses Version: 1.4.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2638 Depends: libghc-hscurses-dev (= 1.4.1.0-1), libghc-base-prof-4.5.0.0-d93df, libghc-mtl-prof-2.1.1-87121, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-hscurses-prof-1.4.1.0.1-ed1d3 Homepage: http://hackage.haskell.org/package/hscurses Priority: extra Section: haskell Filename: pool/main/h/haskell-hscurses/libghc-hscurses-prof_1.4.1.0-1_armhf.deb Size: 537010 SHA256: f9c7b3ed48f5de427e715aca343270f4672d42dfe0b9d121a736f347fd917f5a SHA1: b6142aaeff6ee10ea12b01893acc77f4cb2cf04d MD5sum: 141352b345f1c1e3762ef00146438866 Description: ncurses bindings for Haskell - profiling files for GHC Bindings to ncurses, a library of functions that manage an application's display on character-cell terminals. Additionally, it contains some basic widgets such as a text input widget and a table widget. . This package is built for GHC with profiling enabled. Package: libghc-hsemail-dev Source: haskell-hsemail Version: 1.7.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 6719 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-mtl-dev-2.1.1-87121, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-parsec-dev-3.1.2-aa52f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hsemail-doc, libghc-hsemail-prof Provides: libghc-hsemail-dev-1.7.1-e2f6f Homepage: http://hackage.haskell.org/package/hsemail Priority: extra Section: haskell Filename: pool/main/h/haskell-hsemail/libghc-hsemail-dev_1.7.1-2_armhf.deb Size: 1195184 SHA256: 6983b062fb570af1273270389fdb784c6d574532bd4ab5ab94feac0faf23553f SHA1: 97c618dc7642ace1dbb4b05a0fb7c5b1610977b5 MD5sum: 458f521852bb2c4dd3781d87e247b601 Description: Haskell parser for emails and SMTP conversations - GHC libraries This Haskell library is parser for email messages (as described in RFC 2822) and SMTP conversation (as described in RFC 2821). . This package contains the libraries compiled for GHC. Package: libghc-hsemail-doc Source: haskell-hsemail Version: 1.7.1-2 Installed-Size: 928 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-parsec3-doc, libghc-hsemail-dev Size: 111192 SHA256: f68a8a8175111c27e2a6e32f18aa526a4516c7daae106ae789dd7b290e25ff25 SHA1: 25c00ebdead64095aaa740dfa8ea7166befd18e1 MD5sum: feb5853abfae33277bc8c3b2e3685f1b Description: Haskell parser for emails and SMTP conversations - documentation This Haskell library is parser for email messages (as described in RFC 2822) and SMTP conversation (as described in RFC 2821). . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/hsemail Tag: devel::doc, devel::lang:haskell, protocol::smtp, role::documentation, works-with::mail Section: doc Priority: extra Filename: pool/main/h/haskell-hsemail/libghc-hsemail-doc_1.7.1-2_all.deb Package: libghc-hsemail-prof Source: haskell-hsemail Version: 1.7.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 6267 Depends: libghc-hsemail-dev (= 1.7.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-mtl-prof-2.1.1-87121, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-parsec-prof-3.1.2-aa52f Provides: libghc-hsemail-prof-1.7.1-e2f6f Homepage: http://hackage.haskell.org/package/hsemail Priority: extra Section: haskell Filename: pool/main/h/haskell-hsemail/libghc-hsemail-prof_1.7.1-2_armhf.deb Size: 1122296 SHA256: ac42e19b049659c28e4f9a964e587986eb59bb53f8cb5253ab22eea77481e3c6 SHA1: 0ad90151276b318328fceb0185a395575be9e99a MD5sum: 6b97dcde85cae6b4b8d68bdf30c36db5 Description: Haskell parser for emails and SMTP conversations - GHC profiling libraries This Haskell library is parser for email messages (as described in RFC 2822) and SMTP conversation (as described in RFC 2821). . This package contains the profiling libraries compiled for GHC. Package: libghc-hsh-dev Source: haskell-hsh Version: 2.0.3-6 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 914 Depends: libghc-missingh-dev-1.1.0.3-694df, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-hslogger-dev-1.1.4-21233, libghc-mtl-dev-2.1.1-87121, libghc-process-dev-1.1.0.1-75c65, libghc-regex-base-dev-0.93.2-f7342, libghc-regex-compat-dev-0.95.1-e5a39, libghc-regex-posix-dev-0.95.1-307a3, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hsh-doc, libghc-hsh-prof Provides: libghc-hsh-dev-2.0.3-3b1b3 Homepage: http://software.complete.org/hsh Priority: optional Section: haskell Filename: pool/main/h/haskell-hsh/libghc-hsh-dev_2.0.3-6_armhf.deb Size: 201284 SHA256: 6349835a8116f9ebb0dedd5ec66019b4af606a1e7d0527850ac67fa7dbad73c5 SHA1: 929ee6d7f3175d065416e8d7580ea75fbf4cb4f0 MD5sum: 22b22526a9dc59e7798be783e2d43b35 Description: Library to mix shell scripting with Haskell programs HSH is designed to let you mix and match shell expressions with Haskell programs. With HSH, it is possible to easily run shell commands, capture their output or provide their input, and pipe them to/from other shell commands and arbitrary Haskell functions at will. Package: libghc-hsh-doc Source: haskell-hsh Version: 2.0.3-6 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 467 Depends: haddock-interface-19 Recommends: ghc-doc, libghc-missingh-doc, libghc-hsh-dev Homepage: http://software.complete.org/hsh Priority: optional Section: haskell Filename: pool/main/h/haskell-hsh/libghc-hsh-doc_2.0.3-6_armhf.deb Size: 75814 SHA256: 7a9cea895957524901f6433e9653d99d67c4222d3e4e6ce902508faf3c9b0220 SHA1: bb664f3982907210fcc3c1b64b52369c4c563152 MD5sum: 8274846bb85ba109e1684607b24c31ae Description: Library to mix shell scripting with Haskell programs; documentation HSH is designed to let you mix and match shell expressions with Haskell programs. With HSH, it is possible to easily run shell commands, capture their output or provide their input, and pipe them to/from other shell commands and arbitrary Haskell functions at will. . This package contains the hyperlinked documentation for the HSH library Package: libghc-hsh-prof Source: haskell-hsh Version: 2.0.3-6 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 798 Depends: libghc-hsh-dev (= 2.0.3-6), libghc-missingh-prof-1.1.0.3-694df, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-hslogger-prof-1.1.4-21233, libghc-mtl-prof-2.1.1-87121, libghc-process-prof-1.1.0.1-75c65, libghc-regex-base-prof-0.93.2-f7342, libghc-regex-compat-prof-0.95.1-e5a39, libghc-regex-posix-prof-0.95.1-307a3, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-hsh-prof-2.0.3-3b1b3 Homepage: http://software.complete.org/hsh Priority: optional Section: haskell Filename: pool/main/h/haskell-hsh/libghc-hsh-prof_2.0.3-6_armhf.deb Size: 175692 SHA256: d39229f9b9cdc821d95a3e0d3f7bce83e52d83e6905da4a03c6e21ec472e0ef1 SHA1: f40b21032decd6567d841b18dec9532ed3466db5 MD5sum: 00e14bc1ae038c7448e0646abf8b23a4 Description: Library to mix shell scripting with Haskell programs; profiling HSH is designed to let you mix and match shell expressions with Haskell programs. With HSH, it is possible to easily run shell commands, capture their output or provide their input, and pipe them to/from other shell commands and arbitrary Haskell functions at will. . This package contains the HSH library compiled for profiling support Package: libghc-hslogger-dev Source: hslogger Version: 1.1.4+dfsg1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 901 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-mtl-dev-2.1.1-87121, libghc-network-dev-2.3.0.13-9c99a, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-process-dev-1.1.0.1-75c65, libghc-time-dev-1.4-ec63b, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hslogger-doc, libghc-hslogger-prof Provides: libghc-hslogger-dev-1.1.4-21233 Homepage: http://software.complete.org/hslogger Priority: extra Section: haskell Filename: pool/main/h/hslogger/libghc-hslogger-dev_1.1.4+dfsg1-2_armhf.deb Size: 194646 SHA256: b8e7ebcb20623b0f737b3120a3a413429d1bed9cb253de073c83ac353397b12e SHA1: d21a459135cba65e30f31491c450da335f82ad42 MD5sum: 5a36eaaa1e7a8b4faa724affed238988 Description: The Haskell Logging Framework, GHC package hslogger is a logging framework for Haskell, roughly similar to Python's logging module. . hslogger lets each log message have a priority and source be associated with it. The programmer can then define global handlers that route or filter messages based on the priority and source. hslogger also has a syslog handler built in. Package: libghc-hslogger-doc Source: hslogger Version: 1.1.4+dfsg1-2 Installed-Size: 484 Maintainer: Debian Haskell Group Architecture: all Replaces: hslogger-doc Provides: hslogger-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-network-doc, libghc-hslogger-dev Conflicts: hslogger-doc Size: 88266 SHA256: c5a859f7087b6cb2b5f432d9b7814b85a2cce145ae04174f68c44c0cafd1c2c5 SHA1: edf9bed1c6359d0a4a5f6eb784a0500482964822 MD5sum: e2f5d5e3e467409f41edac2b55fcfcfe Description: The Haskell Logging Framework, API Documentation hslogger is a logging framework for Haskell, roughly similar to Python's logging module. . hslogger lets each log message have a priority and source be associated with it. The programmer can then define global handlers that route or filter messages based on the priority and source. hslogger also has a syslog handler built in. . This package contains the API documentation. Homepage: http://software.complete.org/hslogger Tag: admin::logging, devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/hslogger/libghc-hslogger-doc_1.1.4+dfsg1-2_all.deb Package: libghc-hslogger-prof Source: hslogger Version: 1.1.4+dfsg1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 772 Depends: libghc-hslogger-dev (= 1.1.4+dfsg1-2), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-mtl-prof-2.1.1-87121, libghc-network-prof-2.3.0.13-9c99a, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-process-prof-1.1.0.1-75c65, libghc-time-prof-1.4-ec63b, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-hslogger-prof-1.1.4-21233 Homepage: http://software.complete.org/hslogger Priority: extra Section: haskell Filename: pool/main/h/hslogger/libghc-hslogger-prof_1.1.4+dfsg1-2_armhf.deb Size: 175438 SHA256: 33d30a9482e47431b98eb1d86d316262806cc7b0c5706022b5fdad34f780363d SHA1: d5d46511b84acde8badd498b9659af61c9746e96 MD5sum: 6984d9f4e61f22b778746d2d863783a3 Description: The Haskell Logging Framework, GHC profiling libraries hslogger is a logging framework for Haskell, roughly similar to Python's logging module. . hslogger lets each log message have a priority and source be associated with it. The programmer can then define global handlers that route or filter messages based on the priority and source. hslogger also has a syslog handler built in. . This package contains the libraries used to profile programs linked against hslogger. Package: libghc-hsp-dev Source: haskell-hsp Version: 0.6.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 874 Depends: libghc-hjscript-dev-0.5.0-b202d, libghc-base-dev-4.5.0.0-d93df, libghc-harp-dev-0.4-aeacd, libghc-hsx-dev-0.9.1-b5b79, libghc-mtl-dev-2.1.1-87121, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hsp-doc, libghc-hsp-prof Provides: libghc-hsp-dev-0.6.1-16613 Homepage: http://hackage.haskell.org/package/hsp Priority: extra Section: haskell Filename: pool/main/h/haskell-hsp/libghc-hsp-dev_0.6.1-2_armhf.deb Size: 194242 SHA256: 854d02295da7be9b44785dfae005a355f58537b3071783f2701298020a0159ef SHA1: 48c61e0881ccb3b5136ff47fc70195d7a255b101 MD5sum: 22c1919f4ae2f6c2bab1381fd9f93f12 Description: Haskell library for dynamic server-side web pages - GHC libraries Haskell Server Pages (HSP) is an extension of vanilla Haskell, targetted at the task of writing dynamic server-side web pages. Features include an embedded XML syntax and a (low-to-mid-level) programming model for writing dynamic web pages. . This package contains the libraries compiled for GHC. Package: libghc-hsp-doc Source: haskell-hsp Version: 0.6.1-2 Installed-Size: 431 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hjavascript-doc, libghc-hjscript-doc, libghc-hsx-doc, libghc-mtl-doc, libghc-text-doc, libghc-transformers-doc, libghc-hsp-dev Size: 65524 SHA256: 3a741fde1a521eac3768ef971ee44b672f6f62af793ce199dd32a352e9e1644a SHA1: 1487e3058a34c95527f0636bcd8fb86219da2fea MD5sum: 6b900b3a456047d884bae8bda775a2e1 Description: Haskell library for dynamic server-side web pages - documentation Haskell Server Pages (HSP) is an extension of vanilla Haskell, targetted at the task of writing dynamic server-side web pages. Features include an embedded XML syntax and a (low-to-mid-level) programming model for writing dynamic web pages. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/hsp Tag: devel::doc, devel::lang:haskell, devel::web, role::documentation, web::scripting Section: doc Priority: extra Filename: pool/main/h/haskell-hsp/libghc-hsp-doc_0.6.1-2_all.deb Package: libghc-hsp-prof Source: haskell-hsp Version: 0.6.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 824 Depends: libghc-hsp-dev (= 0.6.1-2), libghc-hjscript-prof-0.5.0-b202d, libghc-base-prof-4.5.0.0-d93df, libghc-harp-prof-0.4-aeacd, libghc-hsx-prof-0.9.1-b5b79, libghc-mtl-prof-2.1.1-87121, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-hsp-prof-0.6.1-16613 Homepage: http://hackage.haskell.org/package/hsp Priority: extra Section: haskell Filename: pool/main/h/haskell-hsp/libghc-hsp-prof_0.6.1-2_armhf.deb Size: 193696 SHA256: affe50dc58718a4f9a7b2927c9d9fb25d4fd5e12de082bab2b6530b3adb31e79 SHA1: bee3ca7fe3d5ed69cfecbb5a07531acbf04d6013 MD5sum: 81feedb8edafd322ee43021423bc2eee Description: Haskell library for dynamic server-side web pages - GHC profiling libraries Haskell Server Pages (HSP) is an extension of vanilla Haskell, targetted at the task of writing dynamic server-side web pages. Features include an embedded XML syntax and a (low-to-mid-level) programming model for writing dynamic web pages. . This package contains the profiling libraries compiled for GHC. Package: libghc-hspec-dev Source: haskell-hspec Version: 1.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 578 Depends: libghc-hunit-dev-1.2.4.2-6a847, libghc-quickcheck-dev-2.4.2-170f1, libghc-ansi-terminal-dev-0.5.5-3c75c, libghc-base-dev-4.5.0.0-d93df, libghc-silently-dev-1.1.4-961cd, libghc-time-dev-1.4-ec63b, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hspec-doc, libghc-hspec-prof Provides: libghc-hspec-dev-1.1.0-568b1 Homepage: http://hackage.haskell.org/package/haskell-hspec Priority: extra Section: haskell Filename: pool/main/h/haskell-hspec/libghc-hspec-dev_1.1.0-1_armhf.deb Size: 123230 SHA256: fc9e1491d5e22d5bc7597ed7db72b687345c0b6dacad5ce18326a67a0855e9d4 SHA1: dbf3f6ec5a60f0d9af328536007d3fce8d747802 MD5sum: 3483af156af3c48af418ce75fa1f89cf Description: behavior-driven development for Haskell Hspec is roughly based on the Ruby library RSpec. However, Hspec is just a framework for running HUnit and QuickCheck tests. Compared to other options, it provides a much nicer syntax that makes tests very easy to read. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hspec-doc Source: haskell-hspec Version: 1.1.0-1 Installed-Size: 368 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hunit-doc, libghc-quickcheck2-doc, libghc-hspec-dev Size: 62610 SHA256: 905146be84a7b371d90bf9018a6498f6942001930036a55cbf1343dc380a034c SHA1: dfa99c74dce7df9560a9da9d715c4bca9397fab6 MD5sum: 7810c0713184158919a895902bc8801b Description: behavior-driven development for Haskell; documentation Hspec is roughly based on the Ruby library RSpec. However, Hspec is just a framework for running HUnit and QuickCheck tests. Compared to other options, it provides a much nicer syntax that makes tests very easy to read. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-hspec Section: doc Priority: extra Filename: pool/main/h/haskell-hspec/libghc-hspec-doc_1.1.0-1_all.deb Package: libghc-hspec-prof Source: haskell-hspec Version: 1.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 514 Depends: libghc-hspec-dev (= 1.1.0-1), libghc-hunit-prof-1.2.4.2-6a847, libghc-quickcheck-prof-2.4.2-170f1, libghc-ansi-terminal-prof-0.5.5-3c75c, libghc-base-prof-4.5.0.0-d93df, libghc-silently-prof-1.1.4-961cd, libghc-time-prof-1.4-ec63b, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-hspec-prof-1.1.0-568b1 Homepage: http://hackage.haskell.org/package/haskell-hspec Priority: extra Section: haskell Filename: pool/main/h/haskell-hspec/libghc-hspec-prof_1.1.0-1_armhf.deb Size: 116254 SHA256: 1ec38528a1bd4fd06d93f4482bf9be58d9da807057430748d89423bd4ccf2e05 SHA1: 97593540a984ead18fb82dff39290603ed195264 MD5sum: 0b813289059b49101f30898c828bec9d Description: behavior-driven development for Haskell; profiling libraries Hspec is roughly based on the Ruby library RSpec. However, Hspec is just a framework for running HUnit and QuickCheck tests. Compared to other options, it provides a much nicer syntax that makes tests very easy to read. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-hsql-dev Source: haskell-hsql Version: 1.8.1-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1369 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-old-time-dev-1.1.0.0-2a9ba, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hsql-doc, libghc-hsql-prof, libghc-hsql-sqlite3-dev, libghc-hsql-sqlite-dev, libghc-hsql-odbc-dev, libghc-hsql-mysql-dev, libghc-hsql-postgresql-dev, haskell-hsql-doc Provides: libghc-hsql-dev-1.8.1-99c08 Homepage: http://hackage.haskell.org/package/hsql Priority: extra Section: haskell Filename: pool/main/h/haskell-hsql/libghc-hsql-dev_1.8.1-4_armhf.deb Size: 288112 SHA256: 7ec9965e971188ffb4624575b997f4cf355fe2e63309318e2b878a4a9e076f4a SHA1: a986bd44a014033a2bb2a509eccd302242983083 MD5sum: ed56697b2dddff4e4bc7c607cf4e1291 Description: Multi-Database Interface System library for GHC HSQL provides interfaces for Haskell programmers to the PostgreSQL, MySQL, SQLite, and UnixODBC database systems. There is a generic abstract interface so that code can be used interchangeably with these database systems. In this sense, it is similar to Java's JDBC, Python's DB-API, or Perl's DBI. Package: libghc-hsql-doc Source: haskell-hsql Version: 1.8.1-4 Installed-Size: 509 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-hsql-doc (<< 1.7.1-3), libghc6-hsql-doc (<< 1:1) Provides: haskell-hsql-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hsql-dev Conflicts: haskell-hsql-doc (<< 1.7.1-3), libghc6-hsql-doc (<< 1:1) Size: 71820 SHA256: c55a8e6df62d77c9aca302fae0ca7893a00a11809e3d5295e51703e3798bc694 SHA1: 413b223ea026e6c0031163ee4bcb81edb80da486 MD5sum: 987bf650f77ece6a3339ca915b6291d9 Description: API documentation of the HSQL library for Haskell HSQL provides interfaces for Haskell programmers to the PostgreSQL, MySQL, SQLite, and UnixODBC database systems. There is a generic abstract interface so that code can be used interchangeably with these database systems. In this sense, it is similar to Java's JDBC, Python's DB-API, or Perl's DBI. . This package provides documentation for HSQL. Homepage: http://hackage.haskell.org/package/hsql Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hsql/libghc-hsql-doc_1.8.1-4_all.deb Package: libghc-hsql-mysql-dev Source: haskell-hsql-mysql Version: 1.8.1-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 247 Depends: libghc-cabal-dev-1.14.0-3e0a6, libghc-base-dev-4.5.0.0-d93df, libghc-hsql-dev-1.8.1-99c08, libmysqlclient-dev, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libmysqlclient18 (>= 5.5.24+dfsg-1) Suggests: libghc-hsql-mysql-doc, libghc-hsql-mysql-prof Provides: libghc-hsql-mysql-dev-1.8.1-4cf03 Homepage: http://hackage.haskell.org/package/hsql-mysql Priority: extra Section: haskell Filename: pool/main/h/haskell-hsql-mysql/libghc-hsql-mysql-dev_1.8.1-4_armhf.deb Size: 50594 SHA256: 1c491771a0705373b07595dbc5af7596c38d1201c6b712be906fc86a80530d5b SHA1: cd98f221774fb68fb338a5710b87de94625aad85 MD5sum: 0b9c6e5f5814be87c1a45b1d3ad55fec Description: MySQL driver of the HSQL library for GHC HSQL provides database interfaces for Haskell programmers. This package the MySQL driver of HSQL. Package: libghc-hsql-mysql-doc Source: haskell-hsql-mysql Version: 1.8.1-4 Installed-Size: 193 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-hsql-mysql-doc (<< 1.7.1-4), libghc6-hsql-mysql-doc (<< 1:1) Provides: haskell-hsql-mysql-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hsql-doc, libghc-hsql-mysql-dev Conflicts: haskell-hsql-mysql-doc (<< 1.7.1-4), libghc6-hsql-mysql-doc (<< 1:1) Size: 38178 SHA256: c27874fa88af278d41e14d7f71a16f3d3e5ecf042a1f46850e59ce7c970be83a SHA1: f43aa0570242c6bf47af2c24e1e49ce7885b2c76 MD5sum: 3f47cd8924a8c3f89e10665e4b7c8f9a Description: API documentation of the hsql-mysql library for Haskell HSQL provides database interfaces for Haskell programmers. This package provides documentation for MySQL driver of HSQL. Homepage: http://hackage.haskell.org/package/hsql-mysql Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hsql-mysql/libghc-hsql-mysql-doc_1.8.1-4_all.deb Package: libghc-hsql-mysql-prof Source: haskell-hsql-mysql Version: 1.8.1-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 206 Depends: libghc-hsql-mysql-dev (= 1.8.1-4), libghc-cabal-prof-1.14.0-3e0a6, libghc-base-prof-4.5.0.0-d93df, libghc-hsql-prof-1.8.1-99c08 Provides: libghc-hsql-mysql-prof-1.8.1-4cf03 Homepage: http://hackage.haskell.org/package/hsql-mysql Priority: extra Section: haskell Filename: pool/main/h/haskell-hsql-mysql/libghc-hsql-mysql-prof_1.8.1-4_armhf.deb Size: 43018 SHA256: 8f4e6e9ee4e7a52c88dd2eebfb609ca2f9266d0d766d4f1045df33a8203095fa SHA1: 17038fbb0bddc9a4e83096597377f0ffb8528899 MD5sum: deb6fdc6c8b5ba1c87c12db25dbfa83d Description: MySQL driver of the HSQL library for GHC; profiling libraries HSQL provides database interfaces for Haskell programmers. This package provides profiling libraries for MySQL driver of HSQL. Package: libghc-hsql-odbc-dev Source: haskell-hsql-odbc Version: 1.8.1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 331 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-hsql-dev-1.8.1-99c08, libghc-old-time-dev-1.1.0.0-2a9ba, unixodbc-dev, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11) Suggests: libghc-hsql-odbc-doc, libghc-hsql-odbc-prof Provides: libghc-hsql-odbc-dev-1.8.1.1-ba944 Homepage: http://hackage.haskell.org/package/hsql-odbc Priority: extra Section: haskell Filename: pool/main/h/haskell-hsql-odbc/libghc-hsql-odbc-dev_1.8.1.1-2_armhf.deb Size: 70896 SHA256: 8ba23796f5492259dc6789ada16b6a25dc3d8f2890e2de3d19b52bc0c39e249d SHA1: d55c080a90a27a06ad53ba1a1ac7ce5e3f3ce94b MD5sum: 886bdf6fd42f8263bbf67bf23faab3e0 Description: UnixODBC driver of the HSQL library for GHC HSQL provides interfaces for Haskell programmers to the PostgreSQL, MySQL, SQLite, and UnixODBC database systems. There is a generic abstract interface so that code can be used interchangeably with these database systems. In this sense, it is similar to Java's JDBC, Python's DB-API, or Perl's DBI. Package: libghc-hsql-odbc-doc Source: haskell-hsql-odbc Version: 1.8.1.1-2 Installed-Size: 363 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-hsql-odbc-doc (<< 1.7.1-3) Provides: haskell-hsql-odbc-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hsql-doc, libghc-hsql-odbc-dev Conflicts: haskell-hsql-odbc-doc (<< 1.7.1-3) Size: 54762 SHA256: 1ddd8456ea752680d101f441554a05e56721a9a9c2a36444fff9359b584982de SHA1: 8ba9285e412314f4f385571459ac8bfd2164beaf MD5sum: 026689eb57ad7e241012054b7b37d048 Description: API documentation of the hsql-odbc library for Haskell HSQL provides interfaces for Haskell programmers to the PostgreSQL, MySQL, SQLite, and UnixODBC database systems. There is a generic abstract interface so that code can be used interchangeably with these database systems. In this sense, it is similar to Java's JDBC, Python's DB-API, or Perl's DBI. . This package provides documentation for ODBC driver of HSQL. Homepage: http://hackage.haskell.org/package/hsql-odbc Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hsql-odbc/libghc-hsql-odbc-doc_1.8.1.1-2_all.deb Package: libghc-hsql-odbc-prof Source: haskell-hsql-odbc Version: 1.8.1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 281 Depends: libghc-hsql-odbc-dev (= 1.8.1.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-hsql-prof-1.8.1-99c08, libghc-old-time-prof-1.1.0.0-2a9ba Provides: libghc-hsql-odbc-prof-1.8.1.1-ba944 Homepage: http://hackage.haskell.org/package/hsql-odbc Priority: extra Section: haskell Filename: pool/main/h/haskell-hsql-odbc/libghc-hsql-odbc-prof_1.8.1.1-2_armhf.deb Size: 61904 SHA256: 0822e3aa5a9756744045c8ca9c32f1c0caa49166398c8d68a44fcb0ff0422dfd SHA1: 039cf32235f63efe5f47c5b0468752af457af2ef MD5sum: 51476be52a59a3a3fc047371c1555f14 Description: UnixODBC driver of the HSQL profiling library for GHC HSQL provides interfaces for Haskell programmers to the PostgreSQL, MySQL, SQLite, and UnixODBC database systems. There is a generic abstract interface so that code can be used interchangeably with these database systems. In this sense, it is similar to Java's JDBC, Python's DB-API, or Perl's DBI. Package: libghc-hsql-postgresql-dev Source: haskell-hsql-postgresql Version: 1.8.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 293 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-hsql-dev-1.8.1-99c08, libghc-old-time-dev-1.1.0.0-2a9ba, libpq-dev (>= 8.0), libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libpq5 Suggests: libghc-hsql-postgresql-doc, libghc-hsql-postgresql-prof Provides: libghc-hsql-postgresql-dev-1.8.1-2d624 Homepage: http://hackage.haskell.org/package/hsql-postgresql Priority: extra Section: haskell Filename: pool/main/h/haskell-hsql-postgresql/libghc-hsql-postgresql-dev_1.8.1-3_armhf.deb Size: 61234 SHA256: 17f64c986861281e51c12c6b38c6abeada241dd1d1a0185f845820002dc1ce63 SHA1: fc35e818221ea84ced4edc5a47ba81a4e4724ea6 MD5sum: ae592bee97f096fb3704ebcfdc34ae06 Description: PostgreSQL driver of the HSQL library for GHC HSQL provides database interfaces for Haskell programmers. This package provides the PostgreSQL driver of HSQL. Package: libghc-hsql-postgresql-doc Source: haskell-hsql-postgresql Version: 1.8.1-3 Installed-Size: 243 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-hsql-postgresql-doc (<< 1.7.3-4) Provides: haskell-hsql-postgresql-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hsql-doc, libghc-hsql-postgresql-dev Conflicts: haskell-hsql-postgresql-doc (<< 1.7.3-4) Size: 43562 SHA256: 24379b671a9e5324ea8814186a02aa50233772e7c6a8c88aed7c8f055e6eefa2 SHA1: ca44511f4ac1cc173f13c14efe75d41b68b3c73c MD5sum: 5a72c93020c395ef8e094882c6a848d0 Description: API documentation of the hsql-postgresql library for Haskell HSQL provides database interfaces for Haskell programmers. This package provides documentation for PostgreSQL driver of HSQL. Homepage: http://hackage.haskell.org/package/hsql-postgresql Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hsql-postgresql/libghc-hsql-postgresql-doc_1.8.1-3_all.deb Package: libghc-hsql-postgresql-prof Source: haskell-hsql-postgresql Version: 1.8.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 253 Depends: libghc-hsql-postgresql-dev (= 1.8.1-3), libghc-base-prof-4.5.0.0-d93df, libghc-hsql-prof-1.8.1-99c08, libghc-old-time-prof-1.1.0.0-2a9ba Provides: libghc-hsql-postgresql-prof-1.8.1-2d624 Homepage: http://hackage.haskell.org/package/hsql-postgresql Priority: extra Section: haskell Filename: pool/main/h/haskell-hsql-postgresql/libghc-hsql-postgresql-prof_1.8.1-3_armhf.deb Size: 56562 SHA256: 1d066bfcea4ea142cd7d3964834872ba6626827f82998d31bbaa23a74758859f SHA1: a45b481a6f5af602dc627448f1377ec8bae74e83 MD5sum: ace96dd8f35ad703e32f83547804808f Description: PostgreSQL driver of the HSQL library for GHC; profiling libraries HSQL provides database interfaces for Haskell programmers. This package provides the profiling libraries for the PostgreSQL driver of HSQL. Package: libghc-hsql-prof Source: haskell-hsql Version: 1.8.1-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1237 Depends: libghc-hsql-dev (= 1.8.1-4), libghc-base-prof-4.5.0.0-d93df, libghc-old-time-prof-1.1.0.0-2a9ba Provides: libghc-hsql-prof-1.8.1-99c08 Homepage: http://hackage.haskell.org/package/hsql Priority: extra Section: haskell Filename: pool/main/h/haskell-hsql/libghc-hsql-prof_1.8.1-4_armhf.deb Size: 267942 SHA256: 71b0503570d7bede4e4ee0292eff3e564f36d0bda6ca78995a09fd849303edbe SHA1: ab1d1aa03100e13e438083a72c99c5582e9251c8 MD5sum: bfa7d6005eb8f8e5d873f8a580023fed Description: Multi-Database Interface System profiling library for GHC HSQL provides interfaces for Haskell programmers to the PostgreSQL, MySQL, SQLite, and UnixODBC database systems. There is a generic abstract interface so that code can be used interchangeably with these database systems. In this sense, it is similar to Java's JDBC, Python's DB-API, or Perl's DBI. Package: libghc-hsql-sqlite3-dev Source: haskell-hsql-sqlite3 Version: 1.8.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 183 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-hsql-dev-1.8.1-99c08, libsqlite3-dev, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libsqlite3-0 (>= 3.5.9) Suggests: libghc-hsql-sqlite3-doc, libghc-hsql-sqlite3-prof Provides: libghc-hsql-sqlite3-dev-1.8.1-c0b7d Homepage: http://hackage.haskell.org/package/hsql-sqlite3 Priority: extra Section: haskell Filename: pool/main/h/haskell-hsql-sqlite3/libghc-hsql-sqlite3-dev_1.8.1-2_armhf.deb Size: 34986 SHA256: 09a12a7bccd619955753cdc65c9d2c9dbebaf08f25bdb794f204fcb4c2cb32b1 SHA1: 0448549c419ced5b50d77ffaa69af1f0e59e564c MD5sum: d62bcb48b60b94982b74965bc65592a1 Description: SQLite driver of the HSQL library for GHC HSQL provides interfaces for Haskell programmers to the PostgreSQL, MySQL, SQLite, and UnixODBC database systems. There is a generic abstract interface so that code can be used interchangeably with these database systems. In this sense, it is similar to Java's JDBC, Python's DB-API, or Perl's DBI. Package: libghc-hsql-sqlite3-doc Source: haskell-hsql-sqlite3 Version: 1.8.1-2 Installed-Size: 154 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-hsql-sqlite3-doc (<< 1.7.1-3) Provides: haskell-hsql-sqlite3-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hsql-doc, libghc-hsql-sqlite3-dev Conflicts: haskell-hsql-sqlite3-doc (<< 1.7.1-3) Size: 34806 SHA256: 8debd046809a3325bc2ff7c82d1340a10838eb1ae497c66f14f1bd4667a675ff SHA1: ff7a6e0a34e72b61b5d98134e838af286664aa46 MD5sum: 3406269fb06b6e630a32f571f1d1e05e Description: API documentation of the hsql-sqlite3 library for Haskell HSQL provides interfaces for Haskell programmers to the PostgreSQL, MySQL, SQLite, and UnixODBC database systems. There is a generic abstract interface so that code can be used interchangeably with these database systems. In this sense, it is similar to Java's JDBC, Python's DB-API, or Perl's DBI. . This package provides documentation for SQLite driver of HSQL. Homepage: http://hackage.haskell.org/package/hsql-sqlite3 Tag: devel::doc, devel::lang:haskell, devel::lang:sql, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hsql-sqlite3/libghc-hsql-sqlite3-doc_1.8.1-2_all.deb Package: libghc-hsql-sqlite3-prof Source: haskell-hsql-sqlite3 Version: 1.8.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 157 Depends: libghc-hsql-sqlite3-dev (= 1.8.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-hsql-prof-1.8.1-99c08 Provides: libghc-hsql-sqlite3-prof-1.8.1-c0b7d Homepage: http://hackage.haskell.org/package/hsql-sqlite3 Priority: extra Section: haskell Filename: pool/main/h/haskell-hsql-sqlite3/libghc-hsql-sqlite3-prof_1.8.1-2_armhf.deb Size: 32250 SHA256: 4c4e2c5ec45f6e0d2ef472f445e141fcf9c93690de234db2fe6302ad3d66a425 SHA1: 2799cf97813c8252808d798ee41075ca64ceb281 MD5sum: 35e0bc8eefab31179dad170ab09151bd Description: SQLite driver of the HSQL profiling library for GHC HSQL provides interfaces for Haskell programmers to the PostgreSQL, MySQL, SQLite, and UnixODBC database systems. There is a generic abstract interface so that code can be used interchangeably with these database systems. In this sense, it is similar to Java's JDBC, Python's DB-API, or Perl's DBI. Package: libghc-hssyck-dev Source: haskell-hssyck Version: 0.50-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1120 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-syb-dev-0.3.6.1-ad588, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hssyck-doc, libghc-hssyck-prof Provides: libghc-hssyck-dev-0.50-44ee2 Homepage: http://hackage.haskell.org/package/hssyck Priority: extra Section: haskell Filename: pool/main/h/haskell-hssyck/libghc-hssyck-dev_0.50-2_armhf.deb Size: 269762 SHA256: 7d6fd886b55404a2eab03ab3cfb6c4cc5ac5818580b3ccaa26190ff4573bd82c SHA1: 26b4fdd553d8ec3de2098b046982a22c4544a597 MD5sum: 985636da4703361e855424dcad049f94 Description: interface to syck YAML library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This is a simple YAML ('Yet Another Markup Language') processor, used by the Pugs project for handling data serialization; this can be useful for optimization and caching purposes. . This is an interface to the syck C library for parsing and dumping YAML data. It lets you transform textual YAML data into an object of type YamlNode, and vice versa, fast. Package: libghc-hssyck-doc Source: haskell-hssyck Version: 0.50-2 Installed-Size: 226 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hssyck-dev Size: 39052 SHA256: f0445e42d20129b0e3edc522c63bf07f4d2db57758650bb0befb5f82cb0e2347 SHA1: a3e8a7f86402dc505d056e261e09a24c414c6e4c MD5sum: 155faaf4f5528ec794df495f2736bb6f Description: interface to syck YAML library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This is a simple YAML ('Yet Another Markup Language') processor, used by the Pugs project for handling data serialization; this can be useful for optimization and caching purposes. . This is an interface to the syck C library for parsing and dumping YAML data. It lets you transform textual YAML data into an object of type YamlNode, and vice versa, fast. Homepage: http://hackage.haskell.org/package/hssyck Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hssyck/libghc-hssyck-doc_0.50-2_all.deb Package: libghc-hssyck-prof Source: haskell-hssyck Version: 0.50-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 905 Depends: libghc-hssyck-dev (= 0.50-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-syb-prof-0.3.6.1-ad588, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-hssyck-prof-0.50-44ee2 Homepage: http://hackage.haskell.org/package/hssyck Priority: extra Section: haskell Filename: pool/main/h/haskell-hssyck/libghc-hssyck-prof_0.50-2_armhf.deb Size: 208074 SHA256: 0c4a10ca42ae58ca57955227f69276c2fbdef8f57c7a488fc5e37d8176926923 SHA1: b4a64825310a0d0f3da5b5a26cf40b152e51c97f MD5sum: ca839488434518e3b6f3de4f3ee470bd Description: interface to syck YAML library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This is a simple YAML ('Yet Another Markup Language') processor, used by the Pugs project for handling data serialization; this can be useful for optimization and caching purposes. . This is an interface to the syck C library for parsing and dumping YAML data. It lets you transform textual YAML data into an object of type YamlNode, and vice versa, fast. Package: libghc-hstringtemplate-dev Source: haskell-hstringtemplate Version: 0.6.8-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3554 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-mtl-dev-2.1.1-87121, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-parsec-dev-3.1.2-aa52f, libghc-pretty-dev-1.1.1.0-7e118, libghc-syb-dev-0.3.6.1-ad588, libghc-template-haskell-dev-2.7.0.0-e9ca7, libghc-text-dev-0.11.2.0-05a46, libghc-time-dev-1.4-ec63b, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hstringtemplate-doc, libghc-hstringtemplate-prof Provides: libghc-hstringtemplate-dev-0.6.8-4c920 Homepage: http://hackage.haskell.org/package/HStringTemplate Priority: extra Section: haskell Filename: pool/main/h/haskell-hstringtemplate/libghc-hstringtemplate-dev_0.6.8-1_armhf.deb Size: 717850 SHA256: 3dc443ea57acb98fe3ed446338277535169ce3a8b47eaab299ea0507170e2d3c SHA1: 58e595df56c36884d0bd19ecaa6453b6c7e26c92 MD5sum: 6784010937d6925e3ac5390de80a716d Description: Haskell port of the Java library StringTemplate - GHC libraries This is a Haskell template engine. Its API is mainly inspired to that of the Java library StringTemplate, but it is implemented in a "Haskellish" manner. . It implements the basic 3.1 grammar, lacking group files (though not groups themselves), Regions, and Interfaces and extends it by allowing the application of alternating attributes to anonymous as well as regular templates, including therefore sets of alternating attributes. . This package contains the libraries compiled for GHC. Package: libghc-hstringtemplate-doc Source: haskell-hstringtemplate Version: 0.6.8-1 Installed-Size: 646 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-parsec3-doc, libghc-text-doc, libghc-hstringtemplate-dev Size: 82200 SHA256: 064567ad915b1fe2c5ad379250e4f1a1e4c0447789f0654a7dd9cb8725426a5a SHA1: 2482098a3130abd7317e2299adfa1b0d717a879e MD5sum: a9f9bdd127a2e263f386a45aa8c7b112 Description: Haskell port of the Java library StringTemplate - documentation This is a Haskell template engine. Its API is mainly inspired to that of the Java library StringTemplate, but it is implemented in a "Haskellish" manner. . It implements the basic 3.1 grammar, lacking group files (though not groups themselves), Regions, and Interfaces and extends it by allowing the application of alternating attributes to anonymous as well as regular templates, including therefore sets of alternating attributes. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/HStringTemplate Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hstringtemplate/libghc-hstringtemplate-doc_0.6.8-1_all.deb Package: libghc-hstringtemplate-prof Source: haskell-hstringtemplate Version: 0.6.8-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3476 Depends: libghc-hstringtemplate-dev (= 0.6.8-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-deepseq-prof-1.3.0.0-6c19e, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-mtl-prof-2.1.1-87121, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-parsec-prof-3.1.2-aa52f, libghc-pretty-prof-1.1.1.0-7e118, libghc-syb-prof-0.3.6.1-ad588, libghc-template-haskell-prof-2.7.0.0-e9ca7, libghc-text-prof-0.11.2.0-05a46, libghc-time-prof-1.4-ec63b, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-hstringtemplate-prof-0.6.8-4c920 Homepage: http://hackage.haskell.org/package/HStringTemplate Priority: extra Section: haskell Filename: pool/main/h/haskell-hstringtemplate/libghc-hstringtemplate-prof_0.6.8-1_armhf.deb Size: 692396 SHA256: 6190edb1e01b64f94958ed2e15e5f8658386a31ebc2b830775d913cc6d4321b4 SHA1: eb6fbe71a84494081f386d3392442cda34e71097 MD5sum: 948a6ca81135d18cc616eb6ebe74b231 Description: Haskell port of the Java library StringTemplate - GHC profiling libraries This is a Haskell template engine. Its API is mainly inspired to that of the Java library StringTemplate, but it is implemented in a "Haskellish" manner. . It implements the basic 3.1 grammar, lacking group files (though not groups themselves), Regions, and Interfaces and extends it by allowing the application of alternating attributes to anonymous as well as regular templates, including therefore sets of alternating attributes. . This package contains the profiling libraries compiled for GHC. Package: libghc-hsx-dev Source: haskell-hsx Version: 0.9.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 13370 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-haskell-src-exts-dev-1.11.1-8957f, libghc-mtl-dev-2.1.1-87121, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hsx-doc, libghc-hsx-prof Breaks: libghc6-hsx-dev (<< 1:0) Replaces: libghc6-hsx-dev (<< 1:0) Provides: libghc-hsx-dev-0.9.1-b5b79 Homepage: http://hackage.haskell.org/package/hsx Priority: extra Section: haskell Filename: pool/main/h/haskell-hsx/libghc-hsx-dev_0.9.1-3_armhf.deb Size: 2594544 SHA256: 7d60729a56730788d968e84531f35deb1084a162a42a8b28640f623a88dd5e10 SHA1: 094dab8f4e6fae07b269d62a9be0a528cd5ab175 MD5sum: 2fb552258bcf9bc7a8ec87f5df27d0ab Description: Haskell support for XML in source code - GHC libraries HSX (Haskell Source with XML) allows literal XML syntax to be used in Haskell source code. The trhsx preprocessor translates .hsx source files into ordinary .hs files. Literal XML syntax is translated into function calls for creating XML values of the appropriate forms. trhsx transforms literal XML syntax into a series of function calls. . This package contains the libraries compiled for GHC. Package: libghc-hsx-doc Source: haskell-hsx Version: 0.9.1-3 Installed-Size: 633 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-src-exts-doc, libghc-transformers-doc, libghc-hsx-dev Size: 77130 SHA256: 6246ffcc73ec48eb3d85b7d4e9c1690522f2decf6a5903e3ac8b95139f2d2b77 SHA1: a66bfdb26199709c18a8258f19190ae2e620b04f MD5sum: bd585457632682809f8e4d31d7b29fee Description: Haskell support for XML in source code - documentation HSX (Haskell Source with XML) allows literal XML syntax to be used in Haskell source code. The trhsx preprocessor translates .hsx source files into ordinary .hs files. Literal XML syntax is translated into function calls for creating XML values of the appropriate forms. trhsx transforms literal XML syntax into a series of function calls. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/hsx Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::xml Section: doc Priority: extra Filename: pool/main/h/haskell-hsx/libghc-hsx-doc_0.9.1-3_all.deb Package: libghc-hsx-prof Source: haskell-hsx Version: 0.9.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1338 Depends: libghc-hsx-dev (= 0.9.1-3), libghc-base-prof-4.5.0.0-d93df, libghc-haskell-src-exts-prof-1.11.1-8957f, libghc-mtl-prof-2.1.1-87121, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-hsx-prof-0.9.1-b5b79 Homepage: http://hackage.haskell.org/package/hsx Priority: extra Section: haskell Filename: pool/main/h/haskell-hsx/libghc-hsx-prof_0.9.1-3_armhf.deb Size: 290798 SHA256: 4f00cf3b67035b90e81d97cc61925c9bceafa69089b694a7b27dcf6e34ad323c SHA1: 43326e285bbc4b41a4cbbefe85b29b75eb04545d MD5sum: fa87db78a21b804e9b1b54102b418b67 Description: Haskell support for XML in source code - GHC profiling libraries HSX (Haskell Source with XML) allows literal XML syntax to be used in Haskell source code. The trhsx preprocessor translates .hsx source files into ordinary .hs files. Literal XML syntax is translated into function calls for creating XML values of the appropriate forms. trhsx transforms literal XML syntax into a series of function calls. . This package contains the profiling libraries compiled for GHC. Package: libghc-html-conduit-dev Source: haskell-html-conduit Version: 0.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 214 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-conduit-dev-0.4.2-2f3e1, libghc-containers-dev-0.4.2.1-7c545, libghc-filesystem-conduit-dev-0.4.0-c32e7, libghc-resourcet-dev-0.3.2.1-e4216, libghc-system-filepath-dev-0.4.6-0b7ed, libghc-tagstream-conduit-dev-0.3.2-09611, libghc-text-dev-0.11.2.0-05a46, libghc-transformers-dev-0.3.0.0-e8222, libghc-xml-conduit-dev-0.7.0.2-dd1bc, libghc-xml-types-dev-0.3.1-f9412 Suggests: libghc-html-conduit-doc, libghc-html-conduit-prof Provides: libghc-html-conduit-dev-0.0.1-66a89 Homepage: http://hackage.haskell.org/package/html-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-html-conduit/libghc-html-conduit-dev_0.0.1-2_armhf.deb Size: 44894 SHA256: 95bc1573cffb1188494ab614b1ef8e5e9a198178dcb6327e5e47eb5d86f3078c SHA1: 21e87d4794e40ca90faa5e6b65518561f4dbb5b9 MD5sum: 5665bfed0b93b0d8b666df624bde8d72 Description: parse HTML documents using xml-conduit datatypes html-conduit uses tagstream-conduit for its parser. It automatically balances mismatched tags, so that there shouldn't be any parse failures. It does not handle a full HTML document rendering, such as adding missing html and head tags. . This package contains the normal library files. Package: libghc-html-conduit-doc Source: haskell-html-conduit Version: 0.0.1-2 Installed-Size: 78 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-conduit-doc, libghc-system-filepath-doc, libghc-xml-conduit-doc, libghc-xml-types-doc, libghc-html-conduit-dev Size: 31660 SHA256: 5f04b44bd90e458fb44d15cc5a66e13f99c9b7a1f4ddffe2569b436e77733583 SHA1: d58d5e45b71fdbd370f5a6498d4338a42ce02fee MD5sum: 2c43a1f5f269b1a7085e18ced85c6fd4 Description: parse HTML documents using xml-conduit datatypes; documentation html-conduit uses tagstream-conduit for its parser. It automatically balances mismatched tags, so that there shouldn't be any parse failures. It does not handle a full HTML document rendering, such as adding missing html and head tags. . This package contains the documentation files. Homepage: http://hackage.haskell.org/package/html-conduit Section: doc Priority: extra Filename: pool/main/h/haskell-html-conduit/libghc-html-conduit-doc_0.0.1-2_all.deb Package: libghc-html-conduit-prof Source: haskell-html-conduit Version: 0.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 179 Depends: libghc-html-conduit-dev (= 0.0.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-conduit-prof-0.4.2-2f3e1, libghc-containers-prof-0.4.2.1-7c545, libghc-filesystem-conduit-prof-0.4.0-c32e7, libghc-resourcet-prof-0.3.2.1-e4216, libghc-system-filepath-prof-0.4.6-0b7ed, libghc-tagstream-conduit-prof-0.3.2-09611, libghc-text-prof-0.11.2.0-05a46, libghc-transformers-prof-0.3.0.0-e8222, libghc-xml-conduit-prof-0.7.0.2-dd1bc, libghc-xml-types-prof-0.3.1-f9412 Provides: libghc-html-conduit-prof-0.0.1-66a89 Homepage: http://hackage.haskell.org/package/html-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-html-conduit/libghc-html-conduit-prof_0.0.1-2_armhf.deb Size: 37934 SHA256: 7817fd9ac590d5a57d2b9f2904036191772a04be7e40b0aad74cea37428001ac SHA1: a9a4619b643b15dc6ec5d76892afbd5fc2e02886 MD5sum: 3a29f3ddb5836f68489bb8b937944f52 Description: parse HTML documents using xml-conduit datatypes; profiling libraries html-conduit uses tagstream-conduit for its parser. It automatically balances mismatched tags, so that there shouldn't be any parse failures. It does not handle a full HTML document rendering, such as adding missing html and head tags. . This package contains the libraries compiled with profiling enabled. Package: libghc-html-dev Source: haskell-html Version: 1.0.1.2-5 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1074 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-html-doc, libghc-html-prof Provides: libghc-html-dev-1.0.1.2-9b657 Homepage: http://web.archive.org/web/20070406145557/http://www.cse.ogi.edu/~andy/html/intro.htm Priority: extra Section: haskell Filename: pool/main/h/haskell-html/libghc-html-dev_1.0.1.2-5_armhf.deb Size: 217198 SHA256: 291d02486dae87e9137ea92adb1c9e8fecc4c442e4c1a17f3fb88de59c2baf73 SHA1: eb3d10f5cd329245a2f5aa36a02fb087aea367f7 MD5sum: 6538435c580301cdc2d6383926079d3f Description: Haskell html library for GHC This package provides a library for the Haskell programming language. . This library provides combinators for constructing HTML documents. Package: libghc-html-doc Source: haskell-html Version: 1.0.1.2-5 Installed-Size: 459 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-html-dev Size: 64024 SHA256: c35746da4ee3089ff6ca850d0bf6a96ac1335ea4a017dd8f85d20cd572fa2214 SHA1: 1487305bf9b70a741738400a14be8a9c07516823 MD5sum: f8860f7359af56150e7ee7ce439bc1e9 Description: Haskell html library for GHC; documentation This package provides the documentation for a library for the Haskell programming language. . This library provides combinators for constructing HTML documents. Homepage: http://web.archive.org/web/20070406145557/http://www.cse.ogi.edu/~andy/html/intro.htm Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::html Section: doc Priority: extra Filename: pool/main/h/haskell-html/libghc-html-doc_1.0.1.2-5_all.deb Package: libghc-html-prof Source: haskell-html Version: 1.0.1.2-5 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 949 Depends: libghc-html-dev (= 1.0.1.2-5), libghc-base-prof-4.5.0.0-d93df Provides: libghc-html-prof-1.0.1.2-9b657 Homepage: http://web.archive.org/web/20070406145557/http://www.cse.ogi.edu/~andy/html/intro.htm Priority: extra Section: haskell Filename: pool/main/h/haskell-html/libghc-html-prof_1.0.1.2-5_armhf.deb Size: 199054 SHA256: 85216bb6bdfbf8b103fb2abfd6b9f44c0fc81193ef0b9f2bd768e9b7f591ca5e SHA1: fa50645980f79131391ac2ad663da884d9910d49 MD5sum: 10d28df6e57f4c1c4f6163b7fcb843ac Description: Haskell html library for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. . This library provides combinators for constructing HTML documents. Package: libghc-http-conduit-doc Source: haskell-http-conduit Version: 1.4.1.6-3 Installed-Size: 741 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-attoparsec-doc, libghc-blaze-builder-doc, libghc-certificate-doc, libghc-conduit-doc, libghc-cookie-doc, libghc-data-default-doc, libghc-failure-doc, libghc-http-types-doc, libghc-lifted-base-doc, libghc-monad-control-doc, libghc-socks-doc, libghc-tls-doc, libghc-transformers-doc, libghc-http-conduit-dev Size: 97850 SHA256: 2438307cb0c53c222c11be275c6bb54ae6f597b330b04f18c12c80506142c38f SHA1: d526862e02cbedbe825756a262680bd392109936 MD5sum: 343b2aadfee140123f30d9e19aff9653 Description: HTTP client package with conduit interface and HTTPS support; documentation This package uses attoparsec for parsing the actual contents of the HTTP connection. It also provides higher-level functions which allow you to avoid direct usage of conduits. See http://www.yesodweb.com/book/http-conduit for more information. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-http-conduit Section: doc Priority: extra Filename: pool/main/h/haskell-http-conduit/libghc-http-conduit-doc_1.4.1.6-3_all.deb Package: libghc-http-date-dev Source: haskell-http-date Version: 0.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 477 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-http-date-doc, libghc-http-date-prof Provides: libghc-http-date-dev-0.0.2-af9b4 Homepage: http://hackage.haskell.org/package/http-date Priority: extra Section: haskell Filename: pool/main/h/haskell-http-date/libghc-http-date-dev_0.0.2-1_armhf.deb Size: 100674 SHA256: 0f3269bb4bfed3a3b674bf953d62ace95859f800b9489482413e0a5fe590126f SHA1: 3a70fd20d2c412fc4f042dfd1f38cfb5d98a9fed MD5sum: 57c7991c9c5f48dbe0c325f7d4bf16fa Description: HTTP Date parser/formatter Fast parser and formatter for HTTP Date. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-date-doc Source: haskell-http-date Version: 0.0.2-1 Installed-Size: 170 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-http-date-dev Size: 33720 SHA256: 41029c6b0ce5b47f4111427efcda0138ba92ebe59531e585eaea617ac6bfe8aa SHA1: d35ed8d94deaa7ddc616c91b4fbf940985d5687b MD5sum: 4d963072ff07828f0904a5c30d29cf73 Description: HTTP Date parser/formatter; documentation Fast parser and formatter for HTTP Date. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/http-date Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-http-date/libghc-http-date-doc_0.0.2-1_all.deb Package: libghc-http-date-prof Source: haskell-http-date Version: 0.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 410 Depends: libghc-http-date-dev (= 0.0.2-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-http-date-prof-0.0.2-af9b4 Homepage: http://hackage.haskell.org/package/http-date Priority: extra Section: haskell Filename: pool/main/h/haskell-http-date/libghc-http-date-prof_0.0.2-1_armhf.deb Size: 85542 SHA256: 0287a446383d76f6c06a861bf23bf381668fb000e8233c27467fca9149f8f59e SHA1: 2d0f6c6c05f06f83b5d9f71a9b949ec5972b2c08 MD5sum: ccf523360fa7b7f0e20c1b4cf7b56a82 Description: HTTP Date parser/formatter; profiling data Fast parser and formatter for HTTP Date. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-http-dev Source: haskell-http Version: 1:4000.2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3541 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-mtl-dev-2.1.1-87121, libghc-network-dev-2.3.0.13-9c99a, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-parsec-dev-3.1.2-aa52f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-http-doc, libghc-http-prof Provides: libghc-http-dev-4000.2.3-55fae Homepage: http://projects.haskell.org/http/ Priority: extra Section: haskell Filename: pool/main/h/haskell-http/libghc-http-dev_4000.2.3-1_armhf.deb Size: 819980 SHA256: 2d9ea9831af30588bab3b3e66d3b80c5d007b1c034463528024d920ebe62be0b SHA1: 058506cdf1456c4a4b6465f6ba16a26e256e8e68 MD5sum: 25384bf35e4bbc06277bc98217aa10a4 Description: GHC libraries for the Haskell HTTP client library HTTP is a set of Haskell client libraries for HTTP/1.0 and HTTP/1.1. . This package contains the libraries compiled for GHC. Package: libghc-http-doc Source: haskell-http Version: 1:4000.2.3-1 Installed-Size: 1657 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-http-doc (<< 40000006-4) Provides: haskell-http-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-network-doc, libghc-transformers-doc, libghc-http-dev Conflicts: haskell-http-doc (<< 40000006-4) Size: 209624 SHA256: d23dcdf7d3c2200b5af9dad0a3068b6da8d16cb834315e37004355913e9c9c8b SHA1: ebfdf84acd100696a235a38406294bbba37c1256 MD5sum: fc21f2e90f8fd3c1ecb19e3a867ed787 Description: Haskell HTTP client library documentation HTTP is a set of Haskell client libraries for HTTP/1.0 and HTTP/1.1. . This package contains the library documentation. Homepage: http://projects.haskell.org/http/ Tag: devel::doc, devel::lang:haskell, protocol::http, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-http/libghc-http-doc_4000.2.3-1_all.deb Package: libghc-http-prof Source: haskell-http Version: 1:4000.2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3170 Depends: libghc-http-dev (= 1:4000.2.3-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-mtl-prof-2.1.1-87121, libghc-network-prof-2.3.0.13-9c99a, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-parsec-prof-3.1.2-aa52f Provides: libghc-http-prof-4000.2.3-55fae Homepage: http://projects.haskell.org/http/ Priority: extra Section: haskell Filename: pool/main/h/haskell-http/libghc-http-prof_4000.2.3-1_armhf.deb Size: 744014 SHA256: d178a41caec5a5d1b9e9b4f6b8f1557f4bc93913d9b3978ca31189c97c60228d SHA1: b637234830dfc8548837c54a658f8c2af5a1d6bf MD5sum: 49dcee6607afbe2d9a35dfc7cf06fc2a Description: profiling libraries for the Haskell HTTP client library HTTP is a set of Haskell client libraries for HTTP/1.0 and HTTP/1.1. . This package contains the profiling libraries compiled for GHC. Package: libghc-http-types-dev Source: haskell-http-types Version: 0.6.11-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1242 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-case-insensitive-dev-0.4.0.1-fae0c, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-http-types-doc, libghc-http-types-prof Provides: libghc-http-types-dev-0.6.11-d91ec Homepage: http://hackage.haskell.org/package/http-types Priority: extra Section: haskell Filename: pool/main/h/haskell-http-types/libghc-http-types-dev_0.6.11-1_armhf.deb Size: 224044 SHA256: a8329be4f12590a846e0e56772df4aa04c073b89a27aee3ce16fe14dff4a1a3b SHA1: 9bcde9b08ec852c11af6bb4e6cef92bba03d5c53 MD5sum: ca909354d2d9ea1da8dcaa57a36322cc Description: Generic HTTP types (for both client and server code) This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains generic HTTP types for Haskell (for both client and server code). Package: libghc-http-types-doc Source: haskell-http-types Version: 0.6.11-1 Installed-Size: 491 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-builder-doc, libghc-case-insensitive-doc, libghc-text-doc, libghc-http-types-dev Size: 68188 SHA256: 7aab1a4f89bc074970fbc08a4746b5290a731da7f5ec002ba78c7e6df5dd8d10 SHA1: f908d0d76e9ec2ea4f2ba962ca8ff1fb573a704f MD5sum: b7dc0008d5b8c7f7bce74f0f9573dbe6 Description: Generic HTTP types (for both client and server code); documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains generic HTTP types for Haskell (for both client and server code). Homepage: http://hackage.haskell.org/package/http-types Tag: devel::doc, devel::lang:haskell, protocol::http, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-http-types/libghc-http-types-doc_0.6.11-1_all.deb Package: libghc-http-types-prof Source: haskell-http-types Version: 0.6.11-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1065 Depends: libghc-http-types-dev (= 0.6.11-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-case-insensitive-prof-0.4.0.1-fae0c, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-http-types-prof-0.6.11-d91ec Homepage: http://hackage.haskell.org/package/http-types Priority: extra Section: haskell Filename: pool/main/h/haskell-http-types/libghc-http-types-prof_0.6.11-1_armhf.deb Size: 197272 SHA256: 7047e25fea37266cdfb57b63b469eb63b6ac7fd4c4ce6c8828a64377ea37a6cb SHA1: a60cd9f3d71bc6b834321bdc41e5a47ca8cfeccf MD5sum: e25c7af7c3db5cc6c929499fd8da1eed Description: Generic HTTP types (for both client and server code); profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It contains generic HTTP types for Haskell (for both client and server code). Package: libghc-hunit-dev Source: haskell-hunit Version: 1.2.4.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 546 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hunit-doc, libghc-hunit-prof Provides: libghc-hunit-dev-1.2.4.2-6a847 Homepage: http://hunit.sourceforge.net/ Priority: optional Section: haskell Filename: pool/main/h/haskell-hunit/libghc-hunit-dev_1.2.4.2-2_armhf.deb Size: 114418 SHA256: 3a76b254318f066be50b80dc45783fecda98837208da85c0ab3a80f055822177 SHA1: e781c66d3e0c87b54c2401beb2ea7eb1dfeb0757 MD5sum: e0edd07d6d6b4ab9165250fc89596cf8 Description: Haskell unit testing framework for GHC This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . HUnit is a unit testing framework for Haskell, inspired by the JUnit tool for Java, see: . Package: libghc-hunit-doc Source: haskell-hunit Version: 1.2.4.2-2 Installed-Size: 327 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hunit-dev Size: 60262 SHA256: 3e09ddfc42c2d5c78c57f5bca52bf96b746e4800b2e7c351e4b25f660d6bba8d SHA1: 942dc64242872e78222aac71cb91ae99068d4f43 MD5sum: 476c59c93f77465e72933aff1334b192 Description: Haskell unit testing framework for GHC; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . HUnit is a unit testing framework for Haskell, inspired by the JUnit tool for Java, see: . Homepage: http://hunit.sourceforge.net/ Tag: devel::doc, devel::lang:haskell, devel::testing-qa, role::documentation Section: doc Priority: optional Filename: pool/main/h/haskell-hunit/libghc-hunit-doc_1.2.4.2-2_all.deb Package: libghc-hunit-prof Source: haskell-hunit Version: 1.2.4.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 492 Depends: libghc-hunit-dev (= 1.2.4.2-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-hunit-prof-1.2.4.2-6a847 Homepage: http://hunit.sourceforge.net/ Priority: optional Section: haskell Filename: pool/main/h/haskell-hunit/libghc-hunit-prof_1.2.4.2-2_armhf.deb Size: 108146 SHA256: 0201ae37a66575b1c1f0e57b0a41ddd3f05e864ae3a28d4425fb381374057bb0 SHA1: dbad9146eb37316ab6d8effe72bf201bf188aeff MD5sum: fbc8e04e6fc45249bb8ce0e2d1bf4aa6 Description: Haskell unit testing framework for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . HUnit is a unit testing framework for Haskell, inspired by the JUnit tool for Java, see: . Package: libghc-hxt-cache-dev Source: haskell-hxt-cache Version: 9.0.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 322 Depends: libghc-sha-dev-1.5.0.1-7d85d, libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-hxt-dev-9.2.2-b346f, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hxt-cache-doc, libghc-hxt-cache-prof Provides: libghc-hxt-cache-dev-9.0.2-b3ca4 Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-cache/libghc-hxt-cache-dev_9.0.2-2_armhf.deb Size: 70572 SHA256: 76744bbb336fa504fd9ba7a6ab90fafb758cf509a7fc6b4328ffdd54e0ff6c25 SHA1: 74f8a86fa210e358df68f7974d0f1b1a9d2237b7 MD5sum: 5258890c67d9e5994b6a658b242688a1 Description: Cache for HXT XML Documents and other binary data This is a part of Haskell XML Toolbox. . Extension for caching XML documents and other binary data in cache directory of the local filesystem. . This package contains the libraries compiled for GHC. Package: libghc-hxt-cache-doc Source: haskell-hxt-cache Version: 9.0.2-2 Installed-Size: 200 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hxt-doc, libghc-hxt-cache-dev Size: 38242 SHA256: a092103b7d6724f020dbcaa96ccfcf0c1a2f547b9f0fb3dbf8f63d41a278dbc0 SHA1: dff96279ce74218700514878afd5b5ae47197db8 MD5sum: aef4f31aa7e82cf5b2afdb99498b603e Description: Cache for HXT XML Documents and other binary data; documentation This is a part of Haskell XML Toolbox. . Extension for caching XML documents and other binary data in cache directory of the local filesystem. . This package contains the library documentation. Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hxt-cache/libghc-hxt-cache-doc_9.0.2-2_all.deb Package: libghc-hxt-cache-prof Source: haskell-hxt-cache Version: 9.0.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 455 Depends: libghc-hxt-cache-dev (= 9.0.2-2), libghc-sha-prof-1.5.0.1-7d85d, libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-deepseq-prof-1.3.0.0-6c19e, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-hxt-prof-9.2.2-b346f, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-hxt-cache-prof-9.0.2-b3ca4 Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-cache/libghc-hxt-cache-prof_9.0.2-2_armhf.deb Size: 94768 SHA256: 1922d62b680ec35f11bb436e3b2071e82d6b82070084808e3309a8308ccf4f31 SHA1: 600c9cae0f424c5f498f0cfd16eba99cce2188ef MD5sum: c2255678d10e390a6ca6e5677f902eda Description: Cache for HXT XML Documents and other binary data; profiling library This is a part of Haskell XML Toolbox. . Extension for caching XML documents and other binary data in cache directory of the local filesystem. . This package contains the profiling libraries compiled for GHC. Package: libghc-hxt-charproperties-dev Source: haskell-hxt-charproperties Version: 9.1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 7429 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hxt-charproperties-doc, libghc-hxt-charproperties-prof Provides: libghc-hxt-charproperties-dev-9.1.1-4bb74 Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-charproperties/libghc-hxt-charproperties-dev_9.1.1-2_armhf.deb Size: 1106030 SHA256: 05526662bcf8600242c65d1f779bd41ad0f37d2d0b045ae6284681f39079a051 SHA1: dcfb382a64131007564333c28dafcc021447d0c9 MD5sum: 9108b216df1c124a665c0475acb3be99 Description: Character properties and classes for XML and Unicode This is a part of Haskell XML Toolbox. . Character proprties defined by XML and Unicode standards. These modules contain predicates for Unicode blocks and char proprties and character predicates defined by XML. Supported Unicode version is 5.2.0. . This package contains the libraries compiled for GHC. Package: libghc-hxt-charproperties-doc Source: haskell-hxt-charproperties Version: 9.1.1-2 Installed-Size: 1666 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hxt-charproperties-dev Size: 121674 SHA256: c7668a1ac50364debd283f2a15320d53408cdf9287c25843c2fc5f95c26d12fb SHA1: ae5101fdc42cdd307bd02c3972a08af83c2f3dc4 MD5sum: 8f600881c4ea5a6a1ef8a82cbb46ab0a Description: Character properties and classes for XML and Unicode; documentation This is a part of Haskell XML Toolbox. . Character proprties defined by XML and Unicode standards. These modules contain predicates for Unicode blocks and char proprties and character predicates defined by XML. Supported Unicode version is 5.2.0. . This package contains the library documentation. Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Tag: devel::doc, devel::lang:haskell, role::documentation, works-with::unicode Section: doc Priority: extra Filename: pool/main/h/haskell-hxt-charproperties/libghc-hxt-charproperties-doc_9.1.1-2_all.deb Package: libghc-hxt-charproperties-prof Source: haskell-hxt-charproperties Version: 9.1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 6338 Depends: libghc-hxt-charproperties-dev (= 9.1.1-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-hxt-charproperties-prof-9.1.1-4bb74 Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-charproperties/libghc-hxt-charproperties-prof_9.1.1-2_armhf.deb Size: 1021200 SHA256: 31e9fb01bd25493d50b5e4ef2477b6618ebb9449c3cbee9a581fb162315f860c SHA1: 95a6179fa9cc34896f75d2a2d1c709d3848bd17e MD5sum: 48fdff51b826224e16d06067d45a70d3 Description: Character properties and classes for XML and Unicode; profiling library This is a part of Haskell XML Toolbox. . Character proprties defined by XML and Unicode standards. These modules contain predicates for Unicode blocks and char proprties and character predicates defined by XML. Supported Unicode version is 5.2.0. . This package contains the profiling libraries compiled for GHC. Package: libghc-hxt-curl-dev Source: haskell-hxt-curl Version: 9.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 308 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-curl-dev-1.3.7-08aac, libghc-hxt-dev-9.2.2-b346f, libghc-parsec-dev-3.1.2-aa52f, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hxt-curl-doc, libghc-hxt-curl-prof Provides: libghc-hxt-curl-dev-9.1.1-9d55e Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-curl/libghc-hxt-curl-dev_9.1.1-1_armhf.deb Size: 65350 SHA256: 3d2cb94a218fb6d1926820ca14574fdaf40a968115cfcd717815314d876647d1 SHA1: 61e8f5f37a85520c1d44ee57241f0e047d1c9a84 MD5sum: 1d0a5b6a67a6d7f157b09a5ccc505199 Description: LibCurl interface for HXT This is a part of Haskell XML Toolbox. . LibCurl interface for HXT . This package contains the libraries compiled for GHC. Package: libghc-hxt-curl-doc Source: haskell-hxt-curl Version: 9.1.1-1 Installed-Size: 119 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hxt-doc, libghc-hxt-curl-dev Size: 35768 SHA256: 2b0bae9334aa4137633d3bbce9b7f2230e66e79989feb737067f800fe88047b4 SHA1: 54f13b7a435a8b5dd799f08df98f8b607d41e933 MD5sum: 7ff2e0292c8834e79c40f056e4a57c89 Description: LibCurl interface for HXT; documentation This is a part of Haskell XML Toolbox. . LibCurl interface for HXT . This package contains the library documentation. Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hxt-curl/libghc-hxt-curl-doc_9.1.1-1_all.deb Package: libghc-hxt-curl-prof Source: haskell-hxt-curl Version: 9.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 394 Depends: libghc-hxt-curl-dev (= 9.1.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-curl-prof-1.3.7-08aac, libghc-hxt-prof-9.2.2-b346f, libghc-parsec-prof-3.1.2-aa52f Provides: libghc-hxt-curl-prof-9.1.1-9d55e Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-curl/libghc-hxt-curl-prof_9.1.1-1_armhf.deb Size: 80976 SHA256: bdf61d9314990c8cfee9eb2f7e74b860f0ca735ae1cee176f9352c9d34756907 SHA1: b762ded30b3f06f25cb2678a8bb23cc05292af85 MD5sum: d92ffe22df8a34315433d938f9caa334 Description: LibCurl interface for HXT; profiling library This is a part of Haskell XML Toolbox. . LibCurl interface for HXT . This package contains the profiling libraries compiled for GHC. Package: libghc-hxt-dev Source: haskell-hxt Version: 9.2.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 24230 Depends: libghc-hunit-dev-1.2.4.2-6a847, libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-hxt-charproperties-dev-9.1.1-4bb74, libghc-hxt-regex-xmlschema-dev-9.0.4-25eb1, libghc-hxt-unicode-dev-9.0.2-db7ea, libghc-mtl-dev-2.1.1-87121, libghc-network-dev-2.3.0.13-9c99a, libghc-parsec-dev-3.1.2-aa52f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hxt-doc, libghc-hxt-prof Provides: libghc-hxt-dev-9.2.2-b346f Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt/libghc-hxt-dev_9.2.2-2_armhf.deb Size: 4725860 SHA256: 7a510b0c561564c0390a8b6deb86ea1d48a0fdb91b90e557a57b897c1684d0e1 SHA1: 7997353b78f16a366367388b8818f10fbd7577c5 MD5sum: 26c1e7a1847bb85ec820993fba65d91d Description: xml toolkit for Haskell (library) The Haskell XML Toolbox bases on the ideas of HaXml and HXML, but introduces a more general and flexible approach for processing XML with Haskell. The Haskell XML Toolbox uses a generic data model for representing XML documents, including the DTD subset and the document subset, in Haskell. This data model makes it possible to use filter functions as a uniform design of XML processing applications. The processing filters are implemented as arrows. This is more flexible than the filter approach from HXML and HaXml, but all filter applications can easily be transformed into arrows. . This package contains the libraries compiled for GHC. Package: libghc-hxt-doc Source: haskell-hxt Version: 9.2.2-2 Installed-Size: 6713 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hxt-unicode-doc, libghc-mtl-doc, libghc-network-doc, libghc-parsec3-doc, libghc-hxt-dev Size: 625340 SHA256: 836967acb15950b39511d1530cc719e79d5ab4506bdfda643d247c2976003396 SHA1: 948d9acc6947c8b6a4412a2f654145c3adad9b0d MD5sum: 298ab1ce178b8aa355828cd0fe9baef9 Description: xml toolkit for Haskell (documentation) The Haskell XML Toolbox bases on the ideas of HaXml and HXML, but introduces a more general and flexible approach for processing XML with Haskell. The Haskell XML Toolbox uses a generic data model for representing XML documents, including the DTD subset and the document subset, in Haskell. This data model makes it possible to use filter functions as a uniform design of XML processing applications. The processing filters are implemented as arrows. This is more flexible than the filter approach from HXML and HaXml, but all filter applications can easily be transformed into arrows. . This package contains the library documentation. Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::xml Section: doc Priority: extra Filename: pool/main/h/haskell-hxt/libghc-hxt-doc_9.2.2-2_all.deb Package: libghc-hxt-http-dev Source: haskell-hxt-http Version: 9.1.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 265 Depends: libghc-http-dev-4000.2.3-55fae, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-hxt-dev-9.2.2-b346f, libghc-network-dev-2.3.0.13-9c99a, libghc-parsec-dev-3.1.2-aa52f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hxt-http-doc, libghc-hxt-http-prof Provides: libghc-hxt-http-dev-9.1.4-112c9 Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-http/libghc-hxt-http-dev_9.1.4-2_armhf.deb Size: 55380 SHA256: ec53b56f7b7134fa377a74a036bc1256d9df774c05ef18f46ea6ead73afde694 SHA1: c05c40dde2f6be78498cdf897e9d97df8e48c561 MD5sum: 47902b06474983185cb459762ad737b4 Description: Interface to native Haskell HTTP package HTTP This is a part of Haskell XML Toolbox. . Interface to native Haskell HTTP package HTTP. This package can be used as alternative for the hxt-curl package for accessing documents via HTTP . This package contains the libraries compiled for GHC. Package: libghc-hxt-http-doc Source: haskell-hxt-http Version: 9.1.4-2 Installed-Size: 107 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hxt-doc, libghc-hxt-http-dev Size: 34240 SHA256: 23dbd09ce1b7085c125f365dab22679cf9a35375ee1d8059b104147190e34099 SHA1: 037ac3017783e8749c83b9f273f46a7d01b4e2ce MD5sum: 0869c86fb76bd91c0f97f095a6d2c072 Description: Interface to native Haskell HTTP package HTTP; documentation This is a part of Haskell XML Toolbox. . Interface to native Haskell HTTP package HTTP. This package can be used as alternative for the hxt-curl package for accessing documents via HTTP . This package contains the library documentation. Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Tag: devel::doc, devel::lang:haskell, protocol::http, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hxt-http/libghc-hxt-http-doc_9.1.4-2_all.deb Package: libghc-hxt-http-prof Source: haskell-hxt-http Version: 9.1.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 312 Depends: libghc-hxt-http-dev (= 9.1.4-2), libghc-http-prof-4000.2.3-55fae, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-hxt-prof-9.2.2-b346f, libghc-network-prof-2.3.0.13-9c99a, libghc-parsec-prof-3.1.2-aa52f Provides: libghc-hxt-http-prof-9.1.4-112c9 Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-http/libghc-hxt-http-prof_9.1.4-2_armhf.deb Size: 67226 SHA256: 8a80001297723ff014c32bd2d61eba856c712f93c645267362256e16c792435e SHA1: bbcc2e872e69897bfd1e20696cbd8692a611af9c MD5sum: 15369272df8ac59fe033df24873ec521 Description: Interface to native Haskell HTTP package HTTP; profiling library This is a part of Haskell XML Toolbox. . Interface to native Haskell HTTP package HTTP. This package can be used as alternative for the hxt-curl package for accessing documents via HTTP . This package contains the profiling libraries compiled for GHC. Package: libghc-hxt-prof Source: haskell-hxt Version: 9.2.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 35178 Depends: libghc-hxt-dev (= 9.2.2-2), libghc-hunit-prof-1.2.4.2-6a847, libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-deepseq-prof-1.3.0.0-6c19e, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-hxt-charproperties-prof-9.1.1-4bb74, libghc-hxt-regex-xmlschema-prof-9.0.4-25eb1, libghc-hxt-unicode-prof-9.0.2-db7ea, libghc-mtl-prof-2.1.1-87121, libghc-network-prof-2.3.0.13-9c99a, libghc-parsec-prof-3.1.2-aa52f Provides: libghc-hxt-prof-9.2.2-b346f Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt/libghc-hxt-prof_9.2.2-2_armhf.deb Size: 6503700 SHA256: 6f984682b0092cb52592db0517da0fef54d3651548bb20f8cd8ed04b586b29da SHA1: 70101fdd95f7d708fbc6b83abc5f8d9f03ca7047 MD5sum: 2bc378c9b44de1664344fa2d7c439feb Description: xml toolkit for Haskell (profiling library) The Haskell XML Toolbox bases on the ideas of HaXml and HXML, but introduces a more general and flexible approach for processing XML with Haskell. The Haskell XML Toolbox uses a generic data model for representing XML documents, including the DTD subset and the document subset, in Haskell. This data model makes it possible to use filter functions as a uniform design of XML processing applications. The processing filters are implemented as arrows. This is more flexible than the filter approach from HXML and HaXml, but all filter applications can easily be transformed into arrows. . This package contains the profiling libraries compiled for GHC. Package: libghc-hxt-regex-xmlschema-dev Source: haskell-hxt-regex-xmlschema Version: 9.0.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1861 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-hxt-charproperties-dev-9.1.1-4bb74, libghc-parsec-dev-3.1.2-aa52f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hxt-regex-xmlschema-doc, libghc-hxt-regex-xmlschema-prof Provides: libghc-hxt-regex-xmlschema-dev-9.0.4-25eb1 Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-regex-xmlschema/libghc-hxt-regex-xmlschema-dev_9.0.4-2_armhf.deb Size: 380746 SHA256: 0e29578cb0e6cd9df2ef9d49c1bdd9281d0d7d91f6d4250b9662c139f85259e6 SHA1: e687dd1658b426ad4dd7366a1efaffb177280df9 MD5sum: 70e549d050fc9d72d074551e8aa82589 Description: W3C XML Schema regular expressions library This is a part of Haskell XML Toolbox. . This library supports full W3C XML Schema regular expressions inclusive all Unicode character sets and blocks. The complete grammar can be found under . It is implemented by the technique of derivations of regular expressions. The W3C syntax is extended to support not only union of regular sets, but also intersection, set difference, exor. Matching of subexpressions is also supported. The library can be used for constricting lightweight scanners and tokenizers. It is a standalone library, no external regex libraries are used. This package is a substitute for the old regex-xmlschema package. . This package contains the libraries compiled for GHC. Package: libghc-hxt-regex-xmlschema-doc Source: haskell-hxt-regex-xmlschema Version: 9.0.4-2 Installed-Size: 580 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hxt-charproperties-doc, libghc-hxt-regex-xmlschema-dev Size: 73298 SHA256: 462113b68b9393188484473c4539ae91efdad4b20d91de6e8dd531422fe4fc74 SHA1: 940e87c758c58ff702c400fe8de0b2a4af3e6f67 MD5sum: 10a0f5abebbe5b7ff0379d3e528f1201 Description: W3C XML Schema regular expressions library; documentation This is a part of Haskell XML Toolbox. . This library supports full W3C XML Schema regular expressions inclusive all Unicode character sets and blocks. The complete grammar can be found under . It is implemented by the technique of derivations of regular expressions. The W3C syntax is extended to support not only union of regular sets, but also intersection, set difference, exor. Matching of subexpressions is also supported. The library can be used for constricting lightweight scanners and tokenizers. It is a standalone library, no external regex libraries are used. This package is a substitute for the old regex-xmlschema package. . This package contains the library documentation. Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hxt-regex-xmlschema/libghc-hxt-regex-xmlschema-doc_9.0.4-2_all.deb Package: libghc-hxt-regex-xmlschema-prof Source: haskell-hxt-regex-xmlschema Version: 9.0.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2252 Depends: libghc-hxt-regex-xmlschema-dev (= 9.0.4-2), libghc-base-prof-4.5.0.0-d93df, libghc-hxt-charproperties-prof-9.1.1-4bb74, libghc-parsec-prof-3.1.2-aa52f Provides: libghc-hxt-regex-xmlschema-prof-9.0.4-25eb1 Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-regex-xmlschema/libghc-hxt-regex-xmlschema-prof_9.0.4-2_armhf.deb Size: 439018 SHA256: a4a28ef6c062897501a5d21643390844a4a4e28e4c305a2a55635056362876d8 SHA1: 364d0e84cca71a0708ecb25e48e4ca3c4d93563d MD5sum: ea2f11b1fa72850d565e9c628c10a71c Description: W3C XML Schema regular expressions library; profiling library This is a part of Haskell XML Toolbox. . This library supports full W3C XML Schema regular expressions inclusive all Unicode character sets and blocks. The complete grammar can be found under . It is implemented by the technique of derivations of regular expressions. The W3C syntax is extended to support not only union of regular sets, but also intersection, set difference, exor. Matching of subexpressions is also supported. The library can be used for constricting lightweight scanners and tokenizers. It is a standalone library, no external regex libraries are used. This package is a substitute for the old regex-xmlschema package. . This package contains the profiling libraries compiled for GHC. Package: libghc-hxt-relaxng-dev Source: haskell-hxt-relaxng Version: 9.1.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 12533 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-hxt-dev-9.2.2-b346f, libghc-hxt-charproperties-dev-9.1.1-4bb74, libghc-hxt-regex-xmlschema-dev-9.0.4-25eb1, libghc-network-dev-2.3.0.13-9c99a, libghc-parsec-dev-3.1.2-aa52f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hxt-relaxng-doc, libghc-hxt-relaxng-prof Provides: libghc-hxt-relaxng-dev-9.1.4-ac999 Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-relaxng/libghc-hxt-relaxng-dev_9.1.4-1_armhf.deb Size: 2179164 SHA256: 8afd7be9504b8269a06cdf4699277f4eb345420cfba53f0b38ba8a9236b98a04 SHA1: 7528754b60f2a0be42fcc11f79ad4486d9d82857 MD5sum: 623f6fc431b20aa067c6b76c9dada52e Description: HXT RelaxNG validator This is a part of Haskell XML Toolbox. . The HXT RelaxNG validator . This package contains the libraries compiled for GHC. Package: libghc-hxt-relaxng-doc Source: haskell-hxt-relaxng Version: 9.1.4-1 Installed-Size: 2659 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hxt-doc, libghc-hxt-relaxng-dev Size: 194976 SHA256: 9a5df78dc83fa87e74201fe76cf5d2c22c8dec42653a9364558b33f093723246 SHA1: 0cb9f82a1d549346315f10cf9898411a45744182 MD5sum: e94833e62834d504d2d2e3bb8069c1ab Description: HXT RelaxNG validator; documentation This is a part of Haskell XML Toolbox. . The HXT RelaxNG validator . This package contains the library documentation. Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hxt-relaxng/libghc-hxt-relaxng-doc_9.1.4-1_all.deb Package: libghc-hxt-relaxng-prof Source: haskell-hxt-relaxng Version: 9.1.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 30033 Depends: libghc-hxt-relaxng-dev (= 9.1.4-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-hxt-prof-9.2.2-b346f, libghc-hxt-charproperties-prof-9.1.1-4bb74, libghc-hxt-regex-xmlschema-prof-9.0.4-25eb1, libghc-network-prof-2.3.0.13-9c99a, libghc-parsec-prof-3.1.2-aa52f Provides: libghc-hxt-relaxng-prof-9.1.4-ac999 Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-relaxng/libghc-hxt-relaxng-prof_9.1.4-1_armhf.deb Size: 4012516 SHA256: 04034da75feb9052198dbe69a87e7a5117c32b8717cd70ad42e962edc58c72a5 SHA1: eb1b8ff5d055b8aaff1b50e93ba2e0fbd32f44c5 MD5sum: 4238a8871ed221b7368c5062d2c0699b Description: HXT RelaxNG validator; profiling library This is a part of Haskell XML Toolbox. . The HXT RelaxNG validator . This package contains the profiling libraries compiled for GHC. Package: libghc-hxt-tagsoup-dev Source: haskell-hxt-tagsoup Version: 9.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 303 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-hxt-dev-9.2.2-b346f, libghc-hxt-charproperties-dev-9.1.1-4bb74, libghc-hxt-unicode-dev-9.0.2-db7ea, libghc-tagsoup-dev-0.12.6-48a49, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hxt-tagsoup-doc, libghc-hxt-tagsoup-prof Provides: libghc-hxt-tagsoup-dev-9.1.1-ec48f Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-tagsoup/libghc-hxt-tagsoup-dev_9.1.1-1_armhf.deb Size: 65898 SHA256: b4869c70bb02997059cc582ec0bcfed571502e59bd6f6c39fc521ce5d391c0a7 SHA1: b62b560776ee43a655012ec2b596e5c13531c87a MD5sum: 89bf6578c888fa39503bcc7fb4f828e1 Description: TagSoup parser for HXT This is a part of Haskell XML Toolbox. . The Tagsoup interface for the HXT lazy HTML parser. . This package contains the libraries compiled for GHC. Package: libghc-hxt-tagsoup-doc Source: haskell-hxt-tagsoup Version: 9.1.1-1 Installed-Size: 155 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hxt-doc, libghc-hxt-tagsoup-dev Size: 38384 SHA256: 4856339434e699061f15ce0e2f6e316872e781c663fbc02a0928b4b79c0782df SHA1: 9d1c45bc2a924c39b11c134b63d6cc3ae5ea510d MD5sum: b5b38ce8d9153c6a3d18706d777cc14a Description: TagSoup parser for HXT; documentation This is a part of Haskell XML Toolbox. . The Tagsoup interface for the HXT lazy HTML parser. . This package contains the library documentation. Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Tag: devel::code-generator, devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-hxt-tagsoup/libghc-hxt-tagsoup-doc_9.1.1-1_all.deb Package: libghc-hxt-tagsoup-prof Source: haskell-hxt-tagsoup Version: 9.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 546 Depends: libghc-hxt-tagsoup-dev (= 9.1.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-hxt-prof-9.2.2-b346f, libghc-hxt-charproperties-prof-9.1.1-4bb74, libghc-hxt-unicode-prof-9.0.2-db7ea, libghc-tagsoup-prof-0.12.6-48a49 Provides: libghc-hxt-tagsoup-prof-9.1.1-ec48f Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-tagsoup/libghc-hxt-tagsoup-prof_9.1.1-1_armhf.deb Size: 112644 SHA256: 941090e76ddf58e9d42b4fbfb28a87ad60b83ef1bd232f86d05c87a4dc622846 SHA1: bfa1a65391d2affb2c382bdeee82d8339920f16d MD5sum: afedeee86f4e5e83bf3a07a16b19e483 Description: TagSoup parser for HXT; profiling library This is a part of Haskell XML Toolbox. . The Tagsoup interface for the HXT lazy HTML parser. . This package contains the profiling libraries compiled for GHC. Package: libghc-hxt-unicode-dev Source: haskell-hxt-unicode Version: 9.0.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1353 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-hxt-charproperties-dev-9.1.1-4bb74, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hxt-unicode-doc, libghc-hxt-unicode-prof Provides: libghc-hxt-unicode-dev-9.0.2-db7ea Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-unicode/libghc-hxt-unicode-dev_9.0.2-2_armhf.deb Size: 259024 SHA256: d6a9b8e7e14925594b641e76c958efd0f04773fe1cf2c3729e851340cbc70541 SHA1: fead75ba9d327f91759a35ed6679569e2a22786b MD5sum: 577932c99c8794524a200386d160fb30 Description: Unicode en-/decoding functions This is a part of Haskell XML Toolbox. . Unicode encoding and decoding functions for utf8, iso-latin-* and somes other encodings, used in the Haskell XML Toolbox. ISO Latin 1 - 16, utf8, utf16, ASCII are supported. Decoding is done with lasy functions, errors may be detected or ignored. . This package contains the libraries compiled for GHC. Package: libghc-hxt-unicode-doc Source: haskell-hxt-unicode Version: 9.0.2-2 Installed-Size: 525 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hxt-unicode-dev Size: 61818 SHA256: b4c3f4430e4774776b8cfabf2fc39264fcc1d3c77a388d8474abc8d924f36bc8 SHA1: efaa4376dd922c45d35bb42d643e7a60a09e9cc7 MD5sum: 600db18fec5295cc084d424cfd3d6db5 Description: Unicode en-/decoding functions; documentation This is a part of Haskell XML Toolbox. . Unicode encoding and decoding functions for utf8, iso-latin-* and somes other encodings, used in the Haskell XML Toolbox. ISO Latin 1 - 16, utf8, utf16, ASCII are supported. Decoding is done with lasy functions, errors may be detected or ignored. . This package contains the library documentation. Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Tag: devel::doc, devel::lang:haskell, role::documentation, works-with::unicode Section: doc Priority: extra Filename: pool/main/h/haskell-hxt-unicode/libghc-hxt-unicode-doc_9.0.2-2_all.deb Package: libghc-hxt-unicode-prof Source: haskell-hxt-unicode Version: 9.0.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1505 Depends: libghc-hxt-unicode-dev (= 9.0.2-2), libghc-base-prof-4.5.0.0-d93df, libghc-hxt-charproperties-prof-9.1.1-4bb74 Provides: libghc-hxt-unicode-prof-9.0.2-db7ea Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-unicode/libghc-hxt-unicode-prof_9.0.2-2_armhf.deb Size: 287698 SHA256: ef981312586ef3aaea0a0aad4fcd0761af14cd047588d2c015ea59c1d8be667e SHA1: 56fa4dabab7e060a1670fd8b69bea01b87d7e6eb MD5sum: 4fe975399911d168bfcdccb0035d8a74 Description: Unicode en-/decoding functions; profiling library This is a part of Haskell XML Toolbox. . Unicode encoding and decoding functions for utf8, iso-latin-* and somes other encodings, used in the Haskell XML Toolbox. ISO Latin 1 - 16, utf8, utf16, ASCII are supported. Decoding is done with lasy functions, errors may be detected or ignored. . This package contains the profiling libraries compiled for GHC. Package: libghc-hxt-xpath-dev Source: haskell-hxt-xpath Version: 9.1.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3515 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-hxt-dev-9.2.2-b346f, libghc-parsec-dev-3.1.2-aa52f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hxt-xpath-doc, libghc-hxt-xpath-prof Provides: libghc-hxt-xpath-dev-9.1.2-ccf1a Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-xpath/libghc-hxt-xpath-dev_9.1.2-1_armhf.deb Size: 728176 SHA256: 7fdfdf6195fb309bd04f992ce3d3333630ac32e44def4abc8d586c5369633864 SHA1: 6f7403e98b984304e4333e69682d73d6bf6b902c MD5sum: 11f59d3443cb6f478ea27ecc88e3dc33 Description: XPath modules for HXT. This is a part of Haskell XML Toolbox. . The Haskell XML Toolbox XPath library. Since version 8.5 this library is packed in a separate package. . This package contains the libraries compiled for GHC. Package: libghc-hxt-xpath-doc Source: haskell-hxt-xpath Version: 9.1.2-1 Installed-Size: 1016 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hxt-doc, libghc-hxt-xpath-dev Size: 113172 SHA256: f3b532deff8323dd3e00df6e0f96fa0300e3a182ee17aa183da85e1f8d789ab5 SHA1: 0263883afdad80ab569522648f70a7557fa1e957 MD5sum: 4b0aef39af7dd4a0d91ca45f8adaaef1 Description: XPath modules for HXT.; documentation This is a part of Haskell XML Toolbox. . The Haskell XML Toolbox XPath library. Since version 8.5 this library is packed in a separate package. . This package contains the library documentation. Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::xml Section: doc Priority: extra Filename: pool/main/h/haskell-hxt-xpath/libghc-hxt-xpath-doc_9.1.2-1_all.deb Package: libghc-hxt-xpath-prof Source: haskell-hxt-xpath Version: 9.1.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4087 Depends: libghc-hxt-xpath-dev (= 9.1.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-hxt-prof-9.2.2-b346f, libghc-parsec-prof-3.1.2-aa52f Provides: libghc-hxt-xpath-prof-9.1.2-ccf1a Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-xpath/libghc-hxt-xpath-prof_9.1.2-1_armhf.deb Size: 805690 SHA256: 5ca469f0464701e103a9e11c487dc04800edde75724226547aba1972b7873e96 SHA1: 4bd04d7aafeb407d24758d4b0303a1f2c0c3ed35 MD5sum: d3bd98bb725708bab8235bdcdd7f02d3 Description: XPath modules for HXT.; profiling library This is a part of Haskell XML Toolbox. . The Haskell XML Toolbox XPath library. Since version 8.5 this library is packed in a separate package. . This package contains the profiling libraries compiled for GHC. Package: libghc-hxt-xslt-dev Source: haskell-hxt-xslt Version: 9.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2239 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-hxt-dev-9.2.2-b346f, libghc-hxt-xpath-dev-9.1.2-ccf1a, libghc-parsec-dev-3.1.2-aa52f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-hxt-xslt-doc, libghc-hxt-xslt-prof Provides: libghc-hxt-xslt-dev-9.1.1-67c8e Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-xslt/libghc-hxt-xslt-dev_9.1.1-1_armhf.deb Size: 496374 SHA256: 6f4abdf0983e0ef8ca6ba0809c1eb78a38e60223f0e46a5ba31f03a6613553a8 SHA1: 547e4b2bad75f2610343baea49cfad9171e76017 MD5sum: 3f0f39692ed71de0681b0544d2dbf225 Description: XSLT modules for HXT. This is a part of Haskell XML Toolbox. . The Haskell XML Toolbox XSLT library. . This package contains the libraries compiled for GHC. Package: libghc-hxt-xslt-doc Source: haskell-hxt-xslt Version: 9.1.1-1 Installed-Size: 792 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hxt-doc, libghc-hxt-xpath-doc, libghc-hxt-xslt-dev Size: 93366 SHA256: 27c2345ed4921443060abc344e69dce6dad8924765514a96d645ed3798b259b8 SHA1: 3b957d5bcf45b8bbe18981056646ff4be4fc7566 MD5sum: 2bccd0e351d1b3ae3169778823a56a94 Description: XSLT modules for HXT.; documentation This is a part of Haskell XML Toolbox. . The Haskell XML Toolbox XSLT library. . This package contains the library documentation. Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::xml Section: doc Priority: extra Filename: pool/main/h/haskell-hxt-xslt/libghc-hxt-xslt-doc_9.1.1-1_all.deb Package: libghc-hxt-xslt-prof Source: haskell-hxt-xslt Version: 9.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3278 Depends: libghc-hxt-xslt-dev (= 9.1.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-hxt-prof-9.2.2-b346f, libghc-hxt-xpath-prof-9.1.2-ccf1a, libghc-parsec-prof-3.1.2-aa52f Provides: libghc-hxt-xslt-prof-9.1.1-67c8e Homepage: http://www.fh-wedel.de/~si/HXmlToolbox/ Priority: extra Section: haskell Filename: pool/main/h/haskell-hxt-xslt/libghc-hxt-xslt-prof_9.1.1-1_armhf.deb Size: 674852 SHA256: 8c82dd13473097b811ce75d9f620fa941e6a6a7c94e3896a625f78efbed19c8d SHA1: a4c49d5e1746268c818551394b97f51ff417a698 MD5sum: 6f529c9008163914001a917df65a84d6 Description: XSLT modules for HXT.; profiling library This is a part of Haskell XML Toolbox. . The Haskell XML Toolbox XSLT library. . This package contains the profiling libraries compiled for GHC. Package: libghc-iconv-dev Source: haskell-iconv Version: 0.4.1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 360 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-iconv-doc, libghc-iconv-prof Provides: libghc-iconv-dev-0.4.1.0-ba096 Homepage: http://hackage.haskell.org/package/iconv Priority: extra Section: haskell Filename: pool/main/h/haskell-iconv/libghc-iconv-dev_0.4.1.0-2_armhf.deb Size: 75680 SHA256: ddb4dbe1a90623754e389795183aacd5e778ee3c6c0d54cef05f082a77e100f7 SHA1: d10b03b040d0610ad3fb9cfcfc7a91f805154d70 MD5sum: 23205cdd86fb8134d7537cd4cb427825 Description: string-encoding conversion This library provides an interface to the POSIX iconv library functions for string encoding conversion. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-iconv-doc Source: haskell-iconv Version: 0.4.1.0-2 Installed-Size: 266 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-iconv-dev Size: 50270 SHA256: ee1d8ae4516f8db8cf657b2f746be03c39217b64a798f0993a305bfbb18a9015 SHA1: d000c7fb256d08f8a786c966e1662dd45357ea98 MD5sum: e4968c1d2d74564e1e98a344b1d7a433 Description: string-encoding conversion; documentation This library provides an interface to the POSIX iconv library functions for string encoding conversion. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/iconv Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-iconv/libghc-iconv-doc_0.4.1.0-2_all.deb Package: libghc-iconv-prof Source: haskell-iconv Version: 0.4.1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 313 Depends: libghc-iconv-dev (= 0.4.1.0-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-iconv-prof-0.4.1.0-ba096 Homepage: http://hackage.haskell.org/package/iconv Priority: extra Section: haskell Filename: pool/main/h/haskell-iconv/libghc-iconv-prof_0.4.1.0-2_armhf.deb Size: 67540 SHA256: 9b31237ec52b21175d299f63dcb406f9c7ddac106c9b32f2838fb6a48039a008 SHA1: e354fc7bf4406c31e31e5bff826eed330e7eb2af MD5sum: 2ab89c071f0fd5fcd39971fccaf6ec8f Description: string-encoding conversion; profiling libraries This library provides an interface to the POSIX iconv library functions for string encoding conversion. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ieee754-dev Source: haskell-ieee754 Version: 0.7.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 927 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-ieee754-doc, libghc-ieee754-prof Provides: libghc-ieee754-dev-0.7.3-6bff2 Homepage: http://hackage.haskell.org/package/ieee754 Priority: extra Section: haskell Filename: pool/main/h/haskell-ieee754/libghc-ieee754-dev_0.7.3-1_armhf.deb Size: 171520 SHA256: bcaf29219cdcc22e85ee3daf8eb888f57663c3d530b87e3c0e774524243623a1 SHA1: 472363dc079b06746802cf351e684918ee8217ab MD5sum: bcef4c2edeb0debb75d31be20e983bcf Description: Utilities for dealing with IEEE floating point numbers This package containts utilities for dealing with IEEE floating point numbers, ported from the Tango math library; approximate and exact equality comparisons for general types. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ieee754-doc Source: haskell-ieee754 Version: 0.7.3-1 Installed-Size: 351 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-ieee754-dev Size: 44006 SHA256: c87f561472613b79b4adb091ca7e40ea1ab61b20ad71abdc6a94d8ace5783f07 SHA1: 1e2fb252471fdd7cf1bc3410af08633e786c21df MD5sum: f0de62fd1cb02a35cdf4de9e3231f5bb Description: Utilities for dealing with IEEE floating point numbers; documentation This package containts utilities for dealing with IEEE floating point numbers, ported from the Tango math library; approximate and exact equality comparisons for general types. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/ieee754 Section: doc Priority: extra Filename: pool/main/h/haskell-ieee754/libghc-ieee754-doc_0.7.3-1_all.deb Package: libghc-ieee754-prof Source: haskell-ieee754 Version: 0.7.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 798 Depends: libghc-ieee754-dev (= 0.7.3-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-ieee754-prof-0.7.3-6bff2 Homepage: http://hackage.haskell.org/package/ieee754 Priority: extra Section: haskell Filename: pool/main/h/haskell-ieee754/libghc-ieee754-prof_0.7.3-1_armhf.deb Size: 150656 SHA256: 77b02707412696294269f85d9b90ea22ff83cc2b9a6c4d592a9fafc544ae89db SHA1: 401c13d63cda8439aa2632a112d930aa5c6fd49d MD5sum: 8bd0e6ba6b68716d7e9d76cc70627782 Description: Utilities for dealing with IEEE floating point numbers; profiling data This package containts utilities for dealing with IEEE floating point numbers, ported from the Tango math library; approximate and exact equality comparisons for general types. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ifelse-dev Source: haskell-ifelse Version: 0.85-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 149 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-ifelse-doc, libghc-ifelse-prof Provides: libghc-ifelse-dev-0.85.0.0.1-49a33 Homepage: http://hackage.haskell.org/package/IfElse Priority: extra Section: haskell Filename: pool/main/h/haskell-ifelse/libghc-ifelse-dev_0.85-4_armhf.deb Size: 23680 SHA256: 3b8ab5f63dd00fd7cb494c517ca5408562f081b5b04440c8c622a387cc6f6c72 SHA1: 48a8fc8913f05134522a9cd0801c1a2fe547c7d4 MD5sum: 39d3053627171989c6204241d3985084 Description: anaphoric and miscellaneous useful control-flow This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Library for control flow inside of monads with anaphoric variants on if and when and a C-like "switch" function. Package: libghc-ifelse-doc Source: haskell-ifelse Version: 0.85-4 Installed-Size: 159 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-ifelse-dev Size: 36450 SHA256: dd7710bfdaf423cedcbaef003184bb39af8df70d29798e01e2629bd6fff121cc SHA1: 9cf4a5b8925f7f884b0673fbf77a49dd3facbfae MD5sum: e4b0bae172c4d1a2fe55bd478203076c Description: anaphoric and miscellaneous useful control-flow; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Library for control flow inside of monads with anaphoric variants on if and when and a C-like "switch" function. Homepage: http://hackage.haskell.org/package/IfElse Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-ifelse/libghc-ifelse-doc_0.85-4_all.deb Package: libghc-ifelse-prof Source: haskell-ifelse Version: 0.85-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 126 Depends: libghc-ifelse-dev (= 0.85-4), libghc-base-prof-4.5.0.0-d93df Provides: libghc-ifelse-prof-0.85.0.0.1-49a33 Homepage: http://hackage.haskell.org/package/IfElse Priority: extra Section: haskell Filename: pool/main/h/haskell-ifelse/libghc-ifelse-prof_0.85-4_armhf.deb Size: 22636 SHA256: f5be7f4e8272ef5a9370acea98c07bdb223ff86019b833ce0504622de9e0ff7f SHA1: e3f50bb53400f3c4555fa8236608cf6d7d0fb946 MD5sum: 164f49446294eb37e1a7632fce3c4c0f Description: anaphoric and miscellaneous useful control-flow; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Library for control flow inside of monads with anaphoric variants on if and when and a C-like "switch" function. Package: libghc-io-choice-dev Source: haskell-io-choice Version: 0.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 120 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-lifted-base-dev-0.1.1-863d2, libghc-monad-control-dev-0.3.1.3-371c1, libghc-transformers-dev-0.3.0.0-e8222, libghc-transformers-base-dev-0.4.1-9d46f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-io-choice-doc, libghc-io-choice-prof Provides: libghc-io-choice-dev-0.0.1-96d3a Homepage: http://hackage.haskell.org/package/haskell-io-choice Priority: extra Section: haskell Filename: pool/main/h/haskell-io-choice/libghc-io-choice-dev_0.0.1-1_armhf.deb Size: 15094 SHA256: 91fe74df80ba6522b079e81657878a85f34467c4894e07b365f788092d21c1a9 SHA1: 78839cda78421a56b8dee66301912478d00547cf MD5sum: 0149b164c5b0d4a412e89969768e02ef Description: choice for IO and lifted IO io-choice provides the choice operator (||>) for the IO monad and lifted IO monad. If an IOException occurs in the left-hand side, the right-hand IO action is performed. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-io-choice-doc Source: haskell-io-choice Version: 0.0.1-1 Installed-Size: 112 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monad-control-doc, libghc-transformers-base-doc, libghc-transformers-doc, libghc-io-choice-dev Size: 28616 SHA256: 757e5960626db7515b5ed89cc11c937192f8a5d979fd3689cfeea24b0178dd38 SHA1: dd974bae58b7beffb2173ce49c35d8344eec8d92 MD5sum: c1b01ed79fa9b67dbb1f3f3c0c3566d7 Description: choice for IO and lifted IO; documentation io-choice provides the choice operator (||>) for the IO monad and lifted IO monad. If an IOException occurs in the left-hand side, the right-hand IO action is performed. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-io-choice Section: doc Priority: extra Filename: pool/main/h/haskell-io-choice/libghc-io-choice-doc_0.0.1-1_all.deb Package: libghc-io-choice-prof Source: haskell-io-choice Version: 0.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 102 Depends: libghc-io-choice-dev (= 0.0.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-lifted-base-prof-0.1.1-863d2, libghc-monad-control-prof-0.3.1.3-371c1, libghc-transformers-prof-0.3.0.0-e8222, libghc-transformers-base-prof-0.4.1-9d46f Provides: libghc-io-choice-prof-0.0.1-96d3a Homepage: http://hackage.haskell.org/package/haskell-io-choice Priority: extra Section: haskell Filename: pool/main/h/haskell-io-choice/libghc-io-choice-prof_0.0.1-1_armhf.deb Size: 15556 SHA256: 53c81b29bf5875ae0a4ac5a36df0fb45737a01eb1aa475a572e95e681bbf8ed6 SHA1: f0e53d4f2b8939815092930564a2faabb01de282 MD5sum: 075ee1699a8eb1c467d8c3dc1acee113 Description: choice for IO and lifted IO; profiling libraries io-choice provides the choice operator (||>) for the IO monad and lifted IO monad. If an IOException occurs in the left-hand side, the right-hand IO action is performed. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-io-storage-dev Source: haskell-io-storage Version: 0.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 130 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-io-storage-doc, libghc-io-storage-prof Provides: libghc-io-storage-dev-0.3-0791d Homepage: http://hackage.haskell.org/package/io-storage Priority: extra Section: haskell Filename: pool/main/h/haskell-io-storage/libghc-io-storage-dev_0.3-2_armhf.deb Size: 20598 SHA256: ee994d3267fd4c04161578dd07e68af424714aab0bcbd2af529ab7d3273bc82e SHA1: d276419aef032d66e7709fec3449b6b20ac5c912 MD5sum: 20bb2fd7ebc64d8e2eedbad9ea6b4a52 Description: key-value store in the IO monad This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows an application to extend the 'global state' hidden inside the IO monad with semi-arbitrary data. Data is required to be Typeable. The library provides an essentially unbounded number of key-value stores indexed by strings, with each key within the stores also being a string. Package: libghc-io-storage-doc Source: haskell-io-storage Version: 0.3-2 Installed-Size: 119 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-io-storage-dev Size: 29630 SHA256: 18379e7923534d64f6636c3117040b6ed0fa3c80a881d18e2adbf373c2473409 SHA1: 4066badc5d0e63d501035b7f06f7f1a0c19a4cb3 MD5sum: 66afde430477d7522e8ff9b8e9a09ba6 Description: key-value store in the IO monad; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows an application to extend the 'global state' hidden inside the IO monad with semi-arbitrary data. Data is required to be Typeable. The library provides an essentially unbounded number of key-value stores indexed by strings, with each key within the stores also being a string. Homepage: http://hackage.haskell.org/package/io-storage Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-io-storage/libghc-io-storage-doc_0.3-2_all.deb Package: libghc-io-storage-prof Source: haskell-io-storage Version: 0.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 105 Depends: libghc-io-storage-dev (= 0.3-2), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545 Provides: libghc-io-storage-prof-0.3-0791d Homepage: http://hackage.haskell.org/package/io-storage Priority: extra Section: haskell Filename: pool/main/h/haskell-io-storage/libghc-io-storage-prof_0.3-2_armhf.deb Size: 18036 SHA256: 7d5ac957b1b797471919e158a6b90c5eb6c907a1a22d23aa85829c61a28c961a SHA1: 74d2ca36cb1e542cda0be3d0bca2363a1cd8e8f1 MD5sum: 043f18c826895a7739b5945f2088280f Description: key-value store in the IO monad; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library allows an application to extend the 'global state' hidden inside the IO monad with semi-arbitrary data. Data is required to be Typeable. The library provides an essentially unbounded number of key-value stores indexed by strings, with each key within the stores also being a string. Package: libghc-iospec-dev Source: haskell-iospec Version: 0.2.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 592 Depends: libghc-quickcheck-dev-2.4.2-170f1, libghc-stream-dev-0.4.6-b51d2, libghc-base-dev-4.5.0.0-d93df, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-iospec-doc, libghc-iospec-prof Provides: libghc-iospec-dev-0.2.5-c2ca6 Homepage: http://hackage.haskell.org/package/iospec Priority: extra Section: haskell Filename: pool/main/h/haskell-iospec/libghc-iospec-dev_0.2.5-1_armhf.deb Size: 121876 SHA256: db9ba10a8d482044ef7612b0324920421cd429b1386d34e9df9917601f749f67 SHA1: 859dbd83366a8fef72cccc5881b4f790ba34f4fc MD5sum: f02659097e3ca53bb0170b1a49737529 Description: Pure specification of the IO monad This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It consists of several modules, that give a pure specification of functions in the IO monad: . Test.IOSpec.Fork: a pure specification of forkIO. . Test.IOSpec.IORef: a pure specification of most functions that create and manipulate on IORefs. . Test.IOSpec.MVar: a pure specification of most functions that create and manipulate and MVars. . Test.IOSpec.STM: a pure specification of atomically and the STM monad. . Test.IOSpec.Teletype: a pure specification of getChar, putChar, and several related Prelude functions. . Besides these modules containing the specifications, there are a few other important modules: . Test.IOSpec.Types: defines the IOSpec type and several amenities. . Test.IOSpec.VirtualMachine: defines a virtual machine on which to execute pure specifications. . Test.IOSpec.Surrogate: a drop-in replacement for the other modules. Import this and recompile your code once you've finished testing and debugging. . There are several well-documented examples included with the source distribution. Package: libghc-iospec-doc Source: haskell-iospec Version: 0.2.5-1 Installed-Size: 347 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-quickcheck2-doc, libghc-iospec-dev Size: 57612 SHA256: e5f1cd50a8c5e30d1f2a7fd687c01a44ecb071c2b52ede4251c7d4cc5b5bb032 SHA1: 6370a046ed6acbe5d4f64edf705b99ea241c5f89 MD5sum: 0d0db8568098d4b46b77eeb67f19724e Description: Pure specification of the IO monad; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Test.IOSpec.Fork: a pure specification of forkIO. . Test.IOSpec.IORef: a pure specification of most functions that create and manipulate on IORefs. . Test.IOSpec.MVar: a pure specification of most functions that create and manipulate and MVars. . Test.IOSpec.STM: a pure specification of atomically and the STM monad. . Test.IOSpec.Teletype: a pure specification of getChar, putChar, and several related Prelude functions. . Besides these modules containing the specifications, there are a few other important modules: . Test.IOSpec.Types: defines the IOSpec type and several amenities. . Test.IOSpec.VirtualMachine: defines a virtual machine on which to execute pure specifications. . Test.IOSpec.Surrogate: a drop-in replacement for the other modules. Import this and recompile your code once you've finished testing and debugging. . There are several well-documented examples included with the source distribution. Homepage: http://hackage.haskell.org/package/iospec Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-iospec/libghc-iospec-doc_0.2.5-1_all.deb Package: libghc-iospec-prof Source: haskell-iospec Version: 0.2.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 560 Depends: libghc-iospec-dev (= 0.2.5-1), libghc-quickcheck-prof-2.4.2-170f1, libghc-stream-prof-0.4.6-b51d2, libghc-base-prof-4.5.0.0-d93df, libghc-mtl-prof-2.1.1-87121 Provides: libghc-iospec-prof-0.2.5-c2ca6 Homepage: http://hackage.haskell.org/package/iospec Priority: extra Section: haskell Filename: pool/main/h/haskell-iospec/libghc-iospec-prof_0.2.5-1_armhf.deb Size: 124754 SHA256: bd30c68180f6d3b9ec176820d3afcc1d0c96fab7fd23350e3cd1e8fd0b99406e SHA1: ec0e69b1d6f4ded5b4d3d58f6ec2d0d1ebdae665 MD5sum: 19b53e9ef7a51e4143af73d338820dd3 Description: Pure specification of the IO monad; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Test.IOSpec.Fork: a pure specification of forkIO. . Test.IOSpec.IORef: a pure specification of most functions that create and manipulate on IORefs. . Test.IOSpec.MVar: a pure specification of most functions that create and manipulate and MVars. . Test.IOSpec.STM: a pure specification of atomically and the STM monad. . Test.IOSpec.Teletype: a pure specification of getChar, putChar, and several related Prelude functions. . Besides these modules containing the specifications, there are a few other important modules: . Test.IOSpec.Types: defines the IOSpec type and several amenities. . Test.IOSpec.VirtualMachine: defines a virtual machine on which to execute pure specifications. . Test.IOSpec.Surrogate: a drop-in replacement for the other modules. Import this and recompile your code once you've finished testing and debugging. . There are several well-documented examples included with the source distribution. Package: libghc-irc-dev Source: haskell-irc Version: 0.5.0.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1040 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-parsec-dev-3.1.2-aa52f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-irc-doc Provides: libghc-irc-dev-0.5.0.0-5c2f7 Homepage: http://hackage.haskell.org/package/irc Priority: extra Section: haskell Filename: pool/main/h/haskell-irc/libghc-irc-dev_0.5.0.0-1_armhf.deb Size: 189034 SHA256: 25195512950bd907f31f6a9550095e66bbc3d647cf695af2605669dffc020db7 SHA1: 35d03888a13c9105a287daba5fda027ae57258fb MD5sum: 3e2d2f60569ef46fb9af99621037d79d Description: GHC libraries for the Haskell IRC library A set of combinators and types for parsing IRC (Internet Relay Chat) messages. . This package contains the libraries compiled for GHC. Package: libghc-irc-doc Source: haskell-irc Version: 0.5.0.0-1 Installed-Size: 208 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-parsec3-doc, libghc-irc-dev Size: 39960 SHA256: b5660ae9843525063c820ceecd266650b5c2f4cd8407ce861a323b50ba27b2cc SHA1: f40846eddd584693653a7fae7d006dd0f10b306a MD5sum: 00178bea4082715410f51542078ea800 Description: GHC libraries for the Haskell IRC library (documentation) A set of combinators and types for parsing IRC (Internet Relay Chat) messages. . This package contains library documentation. Homepage: http://hackage.haskell.org/package/irc Tag: devel::doc, devel::lang:haskell, protocol::irc, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-irc/libghc-irc-doc_0.5.0.0-1_all.deb Package: libghc-iteratee-dev Source: haskell-iteratee Version: 0.8.8.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4101 Depends: libghc-listlike-dev-3.1.4-1cbe1, libghc-monadcatchio-transformers-dev-0.3.0.0-03d9d, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-parallel-dev-3.2.0.2-e513f, libghc-transformers-dev-0.3.0.0-e8222, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-iteratee-doc, libghc-iteratee-prof Provides: libghc-iteratee-dev-0.8.8.2-72d09 Homepage: http://inmachina.net/~jwlato/haskell/iteratee Priority: optional Section: haskell Filename: pool/main/h/haskell-iteratee/libghc-iteratee-dev_0.8.8.2-2_armhf.deb Size: 841278 SHA256: 38e4446225fa8d21f5d06991aab7f38b7224bc2599cdbce3fa365d46e85edc4d SHA1: f04babdf544d19d8073186f76e8924e56a9605e5 MD5sum: 5aaf48e685cb2e554e5456fd47ea7073 Description: Haskell library for Iteratee-based I/O The Iteratee monad provides strict, safe, and functional I/O. In addition to pure Iteratee processors, file IO and combinator functions are provided. . This package contains the libraries compiled for GHC. Package: libghc-iteratee-doc Source: haskell-iteratee Version: 0.8.8.2-2 Installed-Size: 1324 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-listlike-doc, libghc-monadcatchio-transformers-doc, libghc-transformers-doc, libghc-iteratee-dev Size: 149760 SHA256: ef69ddb7e4b095b9810ce85a1aa13c154e08dff8c31ffb0de577567ed1ac28dd SHA1: 1b46c6e0622786f9074c5675d09f0d01f5b6b3c3 MD5sum: 5dbe1ee5ecfcd13560f182b9cc859a05 Description: Haskell library for Iteratee-based I/O; documentation The Iteratee monad provides strict, safe, and functional I/O. In addition to pure Iteratee processors, file IO and combinator functions are provided. . This package contains the library documentation. Homepage: http://inmachina.net/~jwlato/haskell/iteratee Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: optional Filename: pool/main/h/haskell-iteratee/libghc-iteratee-doc_0.8.8.2-2_all.deb Package: libghc-iteratee-prof Source: haskell-iteratee Version: 0.8.8.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4406 Depends: libghc-iteratee-dev (= 0.8.8.2-2), libghc-listlike-prof-3.1.4-1cbe1, libghc-monadcatchio-transformers-prof-0.3.0.0-03d9d, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-parallel-prof-3.2.0.2-e513f, libghc-transformers-prof-0.3.0.0-e8222, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-iteratee-prof-0.8.8.2-72d09 Homepage: http://inmachina.net/~jwlato/haskell/iteratee Priority: optional Section: haskell Filename: pool/main/h/haskell-iteratee/libghc-iteratee-prof_0.8.8.2-2_armhf.deb Size: 895416 SHA256: 0e0ef1e036f732c06c5db56848e7ffd4848ae7613512ef9c570e350c4560631d SHA1: ffc4f6ad093dbabe4e1a6a70cc11d68fa503a007 MD5sum: cddfe17fb9cfe80f4bb97b30349b515a Description: Haskell library for Iteratee-based I/O; profiling library The Iteratee monad provides strict, safe, and functional I/O. In addition to pure Iteratee processors, file IO and combinator functions are provided. . This package contains the profiling libraries compiled for GHC. Package: libghc-ixset-doc Source: haskell-ixset Version: 1.0.3-2 Installed-Size: 402 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-safecopy-doc, libghc-syb-with-class-doc, libghc-ixset-dev Size: 60548 SHA256: 6b1a633e1c6f80493b537ca5ad7a8b7ccf99d480f04df1d83fa3941e8ff55261 SHA1: e2179db2ae2dd4c0e61f626c66edd4cc9b6be6d0 MD5sum: 2368604f1d060697d25b990d35387e87 Description: Haskell implementation of queryable sets - documentation IxSet is a Haskell efficient implementation of queryable sets: it makes you able to define complex data types and index them on some of theirs fields. Then you can efficiently query the IxSet to find the records that satisfy some condition. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/ixset Section: doc Priority: extra Filename: pool/main/h/haskell-ixset/libghc-ixset-doc_1.0.3-2_all.deb Package: libghc-json-dev Source: haskell-json Version: 0.5-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2167 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-mtl-dev-2.1.1-87121, libghc-parsec-dev-3.1.2-aa52f, libghc-pretty-dev-1.1.1.0-7e118, libghc-syb-dev-0.3.6.1-ad588 Suggests: libghc-json-doc, libghc-json-prof Provides: libghc-json-dev-0.5-ad6d2 Homepage: http://hackage.haskell.org/package/json Priority: extra Section: haskell Filename: pool/main/h/haskell-json/libghc-json-dev_0.5-2_armhf.deb Size: 486726 SHA256: c531b2b2dbde0575a341062663234f6ee6a603099a888d1a2225ef7cb5a1b7b7 SHA1: be959c03aac553167de89f3b6b7d08911776abb4 MD5sum: af9ed5c2fb01594a85b5f5665fd015d8 Description: Haskell library for serialising data to and from JSON JSON (JavaScript Object Notation) is a lightweight data-interchange format. It is easy for humans to read and write. It is easy for machines to parse and generate. It is based on a subset of the JavaScript Programming Language, Standard ECMA-262 3rd Edition - December 1999. . This library provides a parser and pretty printer for converting between Haskell values and JSON. . This package contains the libraries compiled for GHC. Package: libghc-json-doc Source: haskell-json Version: 0.5-2 Installed-Size: 666 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-parsec3-doc, libghc-json-dev Size: 80656 SHA256: 07afd750703e88f03dd79004ecf4235b2cfe7d316052e092280de7fcf767eb8f SHA1: b41b86e4a61fce12c06a25163c97d0151ff95506 MD5sum: fe9942bb933ba852e94a19f14f680136 Description: Documentation for the Haskell JSON library JSON (JavaScript Object Notation) is a lightweight data-interchange format. It is easy for humans to read and write. It is easy for machines to parse and generate. It is based on a subset of the JavaScript Programming Language, Standard ECMA-262 3rd Edition - December 1999. . This library provides a parser and pretty printer for converting between Haskell values and JSON. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/json Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::json Section: doc Priority: extra Filename: pool/main/h/haskell-json/libghc-json-doc_0.5-2_all.deb Package: libghc-json-prof Source: haskell-json Version: 0.5-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1888 Depends: libghc-json-dev (= 0.5-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-mtl-prof-2.1.1-87121, libghc-parsec-prof-3.1.2-aa52f, libghc-pretty-prof-1.1.1.0-7e118, libghc-syb-prof-0.3.6.1-ad588 Provides: libghc-json-prof-0.5-ad6d2 Homepage: http://hackage.haskell.org/package/json Priority: extra Section: haskell Filename: pool/main/h/haskell-json/libghc-json-prof_0.5-2_armhf.deb Size: 421452 SHA256: 0534dbd04b1447f6694dca93f5a08f25dba8bba675427dd00d2f921b27e24e01 SHA1: 07d4ca5bbe099e547ea016125b9ff815af72606d MD5sum: a6c403cb7919a2c2d9da251f2b4cbd92 Description: Profiling version of the Haskell JSON library JSON (JavaScript Object Notation) is a lightweight data-interchange format. It is easy for humans to read and write. It is easy for machines to parse and generate. It is based on a subset of the JavaScript Programming Language, Standard ECMA-262 3rd Edition - December 1999. . This library provides a parser and pretty printer for converting between Haskell values and JSON. . This package contains the profiling libraries compiled for GHC. Package: libghc-keys-dev Source: haskell-keys Version: 2.1.3.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1457 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-comonad-transformers-dev-2.1.1.1-b7523, libghc-comonads-fd-dev-2.1.1.2-a184c, libghc-containers-dev-0.4.2.1-7c545, libghc-free-dev-2.1.1.1-4b400, libghc-semigroupoids-dev-1.3.1.2-c6021, libghc-semigroups-dev-0.8.3.2-01002, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-keys-doc, libghc-keys-prof Provides: libghc-keys-dev-2.1.3.2-5ecb6 Homepage: http://hackage.haskell.org/package/keys Priority: extra Section: haskell Filename: pool/main/h/haskell-keys/libghc-keys-dev_2.1.3.2-1_armhf.deb Size: 268476 SHA256: f4d80e3cba4e94ea130b91a24640d3ffa75f4b70ec839bd92b7e36292680dc69 SHA1: cd93bd8fd0dc5c1d8e1055eda242cdd52397ae3a MD5sum: d11ac5e81733379e45d1c277e4dc6816 Description: Keyed functors and containers This package provides Keyed functors, Zippable functors, Zipping Keyed functors, Indexable functors. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-keys-doc Source: haskell-keys Version: 2.1.3.2-1 Installed-Size: 425 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-comonad-transformers-doc, libghc-free-doc, libghc-mtl-doc, libghc-semigroupoids-doc, libghc-semigroups-doc, libghc-transformers-doc, libghc-keys-dev Size: 48052 SHA256: acc7be4384d2d2ff43d23e51e75d0a26f5eec92319dc358c400e119c09e69d3f SHA1: 32d0de9b1af448f5dc68c3bf3f873d70d9d5a4e2 MD5sum: 0b8cdff91834eeeba1ff542c2f8cc19f Description: Keyed functors and containers; documentation This package provides Keyed functors, Zippable functors, Zipping Keyed functors, Indexable functors. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/keys Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-keys/libghc-keys-doc_2.1.3.2-1_all.deb Package: libghc-keys-prof Source: haskell-keys Version: 2.1.3.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1416 Depends: libghc-keys-dev (= 2.1.3.2-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-comonad-transformers-prof-2.1.1.1-b7523, libghc-comonads-fd-prof-2.1.1.2-a184c, libghc-containers-prof-0.4.2.1-7c545, libghc-free-prof-2.1.1.1-4b400, libghc-semigroupoids-prof-1.3.1.2-c6021, libghc-semigroups-prof-0.8.3.2-01002, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-keys-prof-2.1.3.2-5ecb6 Homepage: http://hackage.haskell.org/package/keys Priority: extra Section: haskell Filename: pool/main/h/haskell-keys/libghc-keys-prof_2.1.3.2-1_armhf.deb Size: 277106 SHA256: 0456634c454b9534e65c4d237361cd8756b3045409f4bcacdc49d8b66709cc4e SHA1: 1a2151682085e90da0620fd07ba54a40364278b0 MD5sum: 30cf91c6dbfa94345847123c9ed0142e Description: Keyed functors and containers; profiling data This package provides Keyed functors, Zippable functors, Zipping Keyed functors, Indexable functors. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-knob-dev Source: haskell-knob Version: 0.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 299 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-knob-doc, libghc-knob-prof Provides: libghc-knob-dev-0.1.1-257a2 Homepage: https://john-millikin.com/software/knob/ Priority: optional Section: haskell Filename: pool/main/h/haskell-knob/libghc-knob-dev_0.1.1-1_armhf.deb Size: 63004 SHA256: 5f128e91b48ec90420af453ffd665ab0b7325e36d7535189c380a7cd9d8b9f2e SHA1: 6159ec78855de3a0bc245742ac024c80f514d0e6 MD5sum: 2cecae1a34981604d0dccc7aabf30ce9 Description: Haskell library for memory-backed handles Create memory-backed Handles, referencing virtual files. This is mostly useful for testing Handle-based APIs without having to interact with the filesystem. . This package provides a library written in the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-knob-doc Source: haskell-knob Version: 0.1.1-1 Installed-Size: 145 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-knob-dev Size: 32188 SHA256: c2d8c8dd8d55a7c876d5f641a25a40441d7eb94782686315e6b3670c9bce20be SHA1: 2bc07b3c80a346ba2974416348671e5c97f4621a MD5sum: 0329bb715e79233e3246c14c38886550 Description: Haskell library for memory-backed handles; documentation Create memory-backed Handles, referencing virtual files. This is mostly useful for testing Handle-based APIs without having to interact with the filesystem. . This package provides documentation for a library written in the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: https://john-millikin.com/software/knob/ Section: doc Priority: optional Filename: pool/main/h/haskell-knob/libghc-knob-doc_0.1.1-1_all.deb Package: libghc-knob-prof Source: haskell-knob Version: 0.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 250 Depends: libghc-knob-dev (= 0.1.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-knob-prof-0.1.1-257a2 Homepage: https://john-millikin.com/software/knob/ Priority: optional Section: haskell Filename: pool/main/h/haskell-knob/libghc-knob-prof_0.1.1-1_armhf.deb Size: 53382 SHA256: 4daedbd15514d886f2fd9d4a6e8250115b6d30df9d4309050d20727cd161b396 SHA1: fb9c5d30f6294ac56ba3ec65daa65cd9dfb527ef MD5sum: 3488a1b9870b1450edbfb60fb80d3ab0 Description: Haskell library for memory-backed handles; profiling libraries Create memory-backed Handles, referencing virtual files. This is mostly useful for testing Handle-based APIs without having to interact with the filesystem. . This package provides a library written in the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lambdabot-utils-dev Source: haskell-lambdabot-utils Version: 4.2.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1686 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-haskell-src-dev-1.0.1.5-505a3, libghc-mtl-dev-2.1.1-87121, libghc-network-dev-2.3.0.13-9c99a, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-process-dev-1.1.0.1-75c65, libghc-random-dev-1.0.1.1-344e2, libghc-regex-compat-dev-0.95.1-e5a39, libghc-regex-posix-dev-0.95.1-307a3, libghc-syb-dev-0.3.6.1-ad588, libghc-tagsoup-dev-0.12.6-48a49, libghc-unix-dev-2.5.1.0-77272, libghc-utf8-string-dev-0.3.7-c7280, libghc-zlib-dev-0.5.3.3-9ed15, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4) Suggests: libghc-lambdabot-utils-doc, libghc-lambdabot-utils-prof Provides: libghc-lambdabot-utils-dev-4.2.1-d6459 Homepage: http://hackage.haskell.org/package/lambdabot-utils Priority: extra Section: haskell Filename: pool/main/h/haskell-lambdabot-utils/libghc-lambdabot-utils-dev_4.2.1-3_armhf.deb Size: 395346 SHA256: dba514bc278ac2dcce35e1f10402d485250fa95f18b8914d99051e8d34225423 SHA1: 46224198fca7df50a3d878401fe18063a187d607 MD5sum: 8afb46d3a7e30596ca6281f68f96d659 Description: utility libraries for Lambdabot This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . This package contains custom libraries for various plugin functions. AltTime.hs: alternate version of the time library MiniHTTP.hs: a mini http server Process.hs: a wrapper over System.Process Regex.hsc: a fast packed string regex library Serial.hs:: a serialisation API Util.hs: miscellaneous string, and other, functions Package: libghc-lambdabot-utils-doc Source: haskell-lambdabot-utils Version: 4.2.1-3 Installed-Size: 806 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-haskell-src-doc, libghc-network-doc, libghc-random-doc, libghc-regex-compat-doc, libghc-regex-posix-doc, libghc-lambdabot-utils-dev Size: 104812 SHA256: b4dd98fef7410b5b79751c20370680dbe574b36fa92a8ee06237af2ec4e691d2 SHA1: 2ea68a5c8c19bf22c01f8f0bf772e5140d9f6e08 MD5sum: a7925af57613933a1c3fbf0c277a5567 Description: utility libraries for Lambdabot; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . This package contains custom libraries for various plugin functions. AltTime.hs: alternate version of the time library MiniHTTP.hs: a mini http server Process.hs: a wrapper over System.Process Regex.hsc: a fast packed string regex library Serial.hs:: a serialisation API Util.hs: miscellaneous string, and other, functions Homepage: http://hackage.haskell.org/package/lambdabot-utils Tag: devel::doc, devel::lang:haskell, protocol::irc, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-lambdabot-utils/libghc-lambdabot-utils-doc_4.2.1-3_all.deb Package: libghc-lambdabot-utils-prof Source: haskell-lambdabot-utils Version: 4.2.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1472 Depends: libghc-lambdabot-utils-dev (= 4.2.1-3), libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-haskell-src-prof-1.0.1.5-505a3, libghc-mtl-prof-2.1.1-87121, libghc-network-prof-2.3.0.13-9c99a, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-process-prof-1.1.0.1-75c65, libghc-random-prof-1.0.1.1-344e2, libghc-regex-compat-prof-0.95.1-e5a39, libghc-regex-posix-prof-0.95.1-307a3, libghc-syb-prof-0.3.6.1-ad588, libghc-tagsoup-prof-0.12.6-48a49, libghc-unix-prof-2.5.1.0-77272, libghc-utf8-string-prof-0.3.7-c7280, libghc-zlib-prof-0.5.3.3-9ed15 Provides: libghc-lambdabot-utils-prof-4.2.1-d6459 Homepage: http://hackage.haskell.org/package/lambdabot-utils Priority: extra Section: haskell Filename: pool/main/h/haskell-lambdabot-utils/libghc-lambdabot-utils-prof_4.2.1-3_armhf.deb Size: 355280 SHA256: 228b1018c801d9dad65305415937d187f7769738c043d625a9aded125e2544ee SHA1: 96da49893644587c82b1e0ac4eed7b7799313c4d MD5sum: 51228cf4fa80b63a1929e24cb13f2eb6 Description: utility libraries for Lambdabot; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Lambdabot is an IRC bot written over several years by those on the #haskell IRC channel. . This package contains custom libraries for various plugin functions. AltTime.hs: alternate version of the time library MiniHTTP.hs: a mini http server Process.hs: a wrapper over System.Process Regex.hsc: a fast packed string regex library Serial.hs:: a serialisation API Util.hs: miscellaneous string, and other, functions Package: libghc-language-c-dev Source: haskell-language-c Version: 0.4.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 20236 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-pretty-dev-1.1.1.0-7e118, libghc-process-dev-1.1.0.1-75c65, libghc-syb-dev-0.3.6.1-ad588 Suggests: libghc-language-c-doc (= 0.4.2-2) Provides: libghc-language-c-dev-0.4.2-5942e Homepage: http://hackage.haskell.org/package/language-c Priority: extra Section: haskell Filename: pool/main/h/haskell-language-c/libghc-language-c-dev_0.4.2-2_armhf.deb Size: 3796834 SHA256: 5b23bfb5f608dfe40d794a0b0851907d3d375df18f6708d53dc6be8ee0185ab7 SHA1: 6b037c66b3fe4485dbe7362952edd722c96471cb MD5sum: f19e75893d642f81d15ed60c29dc4bd6 Description: Haskell library for the analysis and generation of C code . Language C is a haskell library for the analysis and generation of C code. It features a complete, well tested parser and pretty printer for all of C99 and a large set of GNU extensions. . This package contains the libraries compiled for GHC. Package: libghc-language-c-doc Source: haskell-language-c Version: 0.4.2-2 Installed-Size: 5476 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-language-c-dev Size: 438752 SHA256: 93144d65be51f0472950f3e594eb6e0089fec6f9b6fcea6be3bdf263d4f5c619 SHA1: 94b37805081a2d9220509844a99aac2c8d4b9b53 MD5sum: b28631d6565feb4e2e9b515c74a57638 Description: Documentation for Haskell Library.C module . Language C is a haskell library for the analysis and generation of C code. It features a complete, well tested parser and pretty printer for all of C99 and a large set of GNU extensions. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/language-c Tag: devel::code-generator, devel::doc, devel::lang:c, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-language-c/libghc-language-c-doc_0.4.2-2_all.deb Package: libghc-language-c-prof Source: haskell-language-c Version: 0.4.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 18560 Depends: libghc-language-c-dev (= 0.4.2-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-pretty-prof-1.1.1.0-7e118, libghc-process-prof-1.1.0.1-75c65, libghc-syb-prof-0.3.6.1-ad588 Suggests: haskell-language-c-doc (= 0.4.2-2) Provides: libghc-language-c-prof-0.4.2-5942e Homepage: http://hackage.haskell.org/package/language-c Priority: extra Section: haskell Filename: pool/main/h/haskell-language-c/libghc-language-c-prof_0.4.2-2_armhf.deb Size: 3508822 SHA256: 703147d86ac77041f920212facc8d64ceff4cb2518c08946b3f44885b40dd517 SHA1: 20f1405213a068c633a2bfc11fe008e86d1b1a56 MD5sum: 319cfd8925d304ecfb09b804e701321a Description: Profiling libraries for Haskell Library.C module . Language C is a haskell library for the analysis and generation of C code. It features a complete, well tested parser and pretty printer for all of C99 and a large set of GNU extensions. . This package contains the profiling libraries compiled for GHC. Package: libghc-language-haskell-extract-dev Source: haskell-language-haskell-extract Version: 0.2.1-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 120 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df, libghc-haskell-src-exts-dev-1.11.1-8957f, libghc-regex-posix-dev-0.95.1-307a3, libghc-template-haskell-dev-2.7.0.0-e9ca7 Suggests: libghc-language-haskell-extract-doc, libghc-language-haskell-extract-prof Provides: libghc-language-haskell-extract-dev-0.2.1-5070e Homepage: http://github.com/finnsson/template-helper Priority: extra Section: haskell Filename: pool/main/h/haskell-language-haskell-extract/libghc-language-haskell-extract-dev_0.2.1-4_armhf.deb Size: 16610 SHA256: 034e3eb942d1826ff70c8d11566c5b9811eda1ebbb9e03d5c9141d827c541339 SHA1: d990d3a75137560a7c7df954cb5b0ab565f5535e MD5sum: 566b29483ea1a3fa4fe5f4b55f5f7670 Description: automatically extract functions from the local code. language-haskell-extract contains some useful helper functions on top of Template Haskell. functionExtractor extracts all functions after a regexp-pattern. . > foo = "test" > boo = "testing" > bar = $(functionExtractor "oo$") . will automagically extract the functions ending with "oo" such as . > bar = [("foo",foo), ("boo",boo)] . This can be useful if you wish to extract all functions beginning with test (for a test-framework) or all functions beginning with wc (for a web service). . functionExtractorMap works like functionsExtractor but applies a function over all function-pairs. This functions is useful if the common return type of the functions is a type class. . This package contains the normal library files. Package: libghc-language-haskell-extract-doc Source: haskell-language-haskell-extract Version: 0.2.1-4 Installed-Size: 70 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-language-haskell-extract-dev Size: 31022 SHA256: 3c5cdb2ed20c0106873dcccf65948c0acf2e95ad58873df80128db8b5bbe224c SHA1: dfb4f47250a584df031ea2477ec83df4cf387af9 MD5sum: 540def73681ef3f1158624fc0a5f3b85 Description: automatically extract functions from the local code.; documentation language-haskell-extract contains some useful helper functions on top of Template Haskell. functionExtractor extracts all functions after a regexp-pattern. . > foo = "test" > boo = "testing" > bar = $(functionExtractor "oo$") . will automagically extract the functions ending with "oo" such as . > bar = [("foo",foo), ("boo",boo)] . This can be useful if you wish to extract all functions beginning with test (for a test-framework) or all functions beginning with wc (for a web service). . functionExtractorMap works like functionsExtractor but applies a function over all function-pairs. This functions is useful if the common return type of the functions is a type class. . This package contains the documentation files. Homepage: http://github.com/finnsson/template-helper Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-language-haskell-extract/libghc-language-haskell-extract-doc_0.2.1-4_all.deb Package: libghc-language-haskell-extract-prof Source: haskell-language-haskell-extract Version: 0.2.1-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 101 Depends: libghc-language-haskell-extract-dev (= 0.2.1-4), libghc-base-prof-4.5.0.0-d93df, libghc-haskell-src-exts-prof-1.11.1-8957f, libghc-regex-posix-prof-0.95.1-307a3, libghc-template-haskell-prof-2.7.0.0-e9ca7 Provides: libghc-language-haskell-extract-prof-0.2.1-5070e Homepage: http://github.com/finnsson/template-helper Priority: extra Section: haskell Filename: pool/main/h/haskell-language-haskell-extract/libghc-language-haskell-extract-prof_0.2.1-4_armhf.deb Size: 17636 SHA256: c13d18ab40eaaa6facb59c252da10a40439280d62ee5caa54793793837864576 SHA1: 39fbc24a6bb87b5dbb042128d2e1b97760bde1d7 MD5sum: ccfb96e955112ea8ef5e6b3bf0a14585 Description: automatically extract functions from the local code.; profiling libraries language-haskell-extract contains some useful helper functions on top of Template Haskell. functionExtractor extracts all functions after a regexp-pattern. . > foo = "test" > boo = "testing" > bar = $(functionExtractor "oo$") . will automagically extract the functions ending with "oo" such as . > bar = [("foo",foo), ("boo",boo)] . This can be useful if you wish to extract all functions beginning with test (for a test-framework) or all functions beginning with wc (for a web service). . functionExtractorMap works like functionsExtractor but applies a function over all function-pairs. This functions is useful if the common return type of the functions is a type class. . This package contains the libraries compiled with profiling enabled. Package: libghc-language-javascript-dev Source: haskell-language-javascript Version: 0.5.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 5607 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-mtl-dev-2.1.1-87121, libghc-utf8-light-dev-0.4.0.1-eb100, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-language-javascript-doc, libghc-language-javascript-prof Provides: libghc-language-javascript-dev-0.5.4-d559e Homepage: http://hackage.haskell.org/package/language-javascript Priority: extra Section: haskell Filename: pool/main/h/haskell-language-javascript/libghc-language-javascript-dev_0.5.4-1_armhf.deb Size: 984062 SHA256: e801147eccf457f9d479e8319177e6301fad4364aa3f44ab121db3616fc0fad6 SHA1: b212604e37d0fbe3423764e343c8435a08da2f29 MD5sum: b9665a485be0b0d2b5260e0a056136d2 Description: JavaScript parser This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It parses JavaScript into an Abstract Syntax Tree (AST). Package: libghc-language-javascript-doc Source: haskell-language-javascript Version: 0.5.4-1 Installed-Size: 2557 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-builder-doc, libghc-language-javascript-dev Size: 155110 SHA256: 80551dcdc8dfba0dba24b05a65839d76177a1b2fb25cc447a42152a665a8acbb SHA1: 88db7da7a6a201a73538df1c7f01f5c5e9c8bd09 MD5sum: 2bebe7026d57e2067b713aef5e5426a3 Description: JavaScript parser; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It parses JavaScript into an Abstract Syntax Tree (AST). Homepage: http://hackage.haskell.org/package/language-javascript Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-language-javascript/libghc-language-javascript-doc_0.5.4-1_all.deb Package: libghc-language-javascript-prof Source: haskell-language-javascript Version: 0.5.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 5193 Depends: libghc-language-javascript-dev (= 0.5.4-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-mtl-prof-2.1.1-87121, libghc-utf8-light-prof-0.4.0.1-eb100, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-language-javascript-prof-0.5.4-d559e Homepage: http://hackage.haskell.org/package/language-javascript Priority: extra Section: haskell Filename: pool/main/h/haskell-language-javascript/libghc-language-javascript-prof_0.5.4-1_armhf.deb Size: 895300 SHA256: c6a54ea24f5706d86d8850b4d6ccc0d2968b877ef2bba4e15e8558e153467884 SHA1: ab64e4676539311bb8ded3e97ad52701c39e70dc MD5sum: a47f49f7fe934972c526a5d822ba3c8d Description: JavaScript parser; profiling data This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It parses JavaScript into an Abstract Syntax Tree (AST). Package: libghc-largeword-dev Source: haskell-largeword Version: 1.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 427 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-largeword-doc, libghc-largeword-prof Provides: libghc-largeword-dev-1.0.1-e5759 Homepage: http://hackage.haskell.org/package/largeword Priority: extra Section: haskell Filename: pool/main/h/haskell-largeword/libghc-largeword-dev_1.0.1-2_armhf.deb Size: 78804 SHA256: 3b9ccf2c71c1efa0822587ec13a1dc5af25212b65e5dd31154e56b2e0a3bb245 SHA1: b07591d1cfcdc25c003865cd5f40b7fc91876802 MD5sum: 619eaadbce9212947673296689928688 Description: 128-bit and larger Word types This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides Word128, Word192 and Word256 and a way of producing other large words if required. Package: libghc-largeword-doc Source: haskell-largeword Version: 1.0.1-2 Installed-Size: 135 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-largeword-dev Size: 29288 SHA256: 933424df17af7b08a0109ac91118961b694e1d5ae8f1ab760c0fcf682377cce4 SHA1: 284436cf97fcb49a4065756c1bfb147ce6c2b62c MD5sum: 9507ad962ba8279bcb82bada333d17c5 Description: 128-bit and larger Word types; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides Word128, Word192 and Word256 and a way of producing other large words if required. Homepage: http://hackage.haskell.org/package/largeword Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-largeword/libghc-largeword-doc_1.0.1-2_all.deb Package: libghc-largeword-prof Source: haskell-largeword Version: 1.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 361 Depends: libghc-largeword-dev (= 1.0.1-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-largeword-prof-1.0.1-e5759 Homepage: http://hackage.haskell.org/package/largeword Priority: extra Section: haskell Filename: pool/main/h/haskell-largeword/libghc-largeword-prof_1.0.1-2_armhf.deb Size: 68646 SHA256: 663779435d105a0addab0b2edc273eae50daa1fd96fd83a43c6aff735a3b0d07 SHA1: c8726f73513ef480f9bcfbbd0253cc528036dd38 MD5sum: f82a5524fb8319dffeb5f72474f48bec Description: 128-bit and larger Word types; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It provides Word128, Word192 and Word256 and a way of producing other large words if required. Package: libghc-lazysmallcheck-dev Source: haskell-lazysmallcheck Version: 0.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 426 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-lazysmallcheck-prof Provides: libghc-lazysmallcheck-dev-0.6-4541a Homepage: http://www.cs.york.ac.uk/fp/smallcheck/ Priority: extra Section: haskell Filename: pool/main/h/haskell-lazysmallcheck/libghc-lazysmallcheck-dev_0.6-1_armhf.deb Size: 87996 SHA256: 10a64db725de21f66dc69daf806eff8fc60059f72812628cc810b8356647f95e SHA1: 5e4d45f40494c909587b1257c2995102c0ed4f91 MD5sum: 65a41e8aa81ddfe74537b0c265d6efa6 Description: A library for demand-driven testing of Haskell programs This package provides a library for the Haskell programming language. . Lazy SmallCheck is a library for exhaustive, demand-driven testing of Haskell programs. It is based on the idea that if a property holds for a partially-defined input then it must also hold for all fully-defined refinements of the that input. Compared to ``eager'' input generation as in SmallCheck, Lazy SmallCheck may require significantly fewer test-cases to verify a property for all inputs up to a given depth. Package: libghc-lazysmallcheck-prof Source: haskell-lazysmallcheck Version: 0.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 353 Depends: libghc-lazysmallcheck-dev (= 0.6-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-lazysmallcheck-prof-0.6-4541a Homepage: http://www.cs.york.ac.uk/fp/smallcheck/ Priority: extra Section: haskell Filename: pool/main/h/haskell-lazysmallcheck/libghc-lazysmallcheck-prof_0.6-1_armhf.deb Size: 72148 SHA256: 411f137cdc3c23e2450301865d798f568431f586aade785a2e8014ebae527fa4 SHA1: 904424aa8885cbc3c65a894244f623a56ada284c MD5sum: 451df8f80f7b3dde24295d1e4dc1381d Description: A library for demand-driven testing of Haskell programs; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. . Lazy SmallCheck is a library for exhaustive, demand-driven testing of Haskell programs. It is based on the idea that if a property holds for a partially-defined input then it must also hold for all fully-defined refinements of the that input. Compared to ``eager'' input generation as in SmallCheck, Lazy SmallCheck may require significantly fewer test-cases to verify a property for all inputs up to a given depth. Package: libghc-ldap-dev Source: ldap-haskell Version: 0.6.6-4.1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1210 Depends: libghc-base-dev-4.5.0.0-d93df, libldap2-dev, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libldap-2.4-2 (>= 2.4.7) Suggests: libghc-ldap-doc, libghc-ldap-prof Homepage: http://software.complete.org/ldap-haskell Priority: optional Section: haskell Filename: pool/main/l/ldap-haskell/libghc-ldap-dev_0.6.6-4.1_armhf.deb Size: 258990 SHA256: 3f8710258c4e7da74e7015aed077ee6a65c2a6d1b96d6b4fa0849644ad8ffefd SHA1: f510cd66ff487b6c798db8f112f98c608e135ee0 MD5sum: 4cd377a5a56220b4d5ff1845f7cc9ff7 Description: LDAP Interface for Haskell This package provides an interface to the C LDAP API for Haskell programmers. With it, you can search, modify, and interrogate LDAP directories. The Haskell binding features automatic memory management and proper handling for binary data, and handles all marshalling into and out of C data structures for you automatically. . This package is built for GHC. Package: libghc-ldap-doc Source: ldap-haskell Version: 0.6.6-4.1 Installed-Size: 498 Maintainer: Debian Haskell Group Architecture: all Replaces: ldap-haskell-doc (<< 0.6.6-2) Provides: ldap-haskell-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-ldap-dev Conflicts: ldap-haskell-doc (<< 0.6.6-2) Size: 69492 SHA256: 32ee7bdfbed369bd684338c8d3755189fc49f1bcd0b2e1e3386a52a2a994b905 SHA1: 42b0695c557df57e8a3877d59cca4b6d1843b9c5 MD5sum: 5748029ddcc5efb822c8904782345031 Description: LDAP Interface for Haskell This package provides an interface to the C LDAP API for Haskell programmers. With it, you can search, modify, and interrogate LDAP directories. The Haskell binding features automatic memory management and proper handling for binary data, and handles all marshalling into and out of C data structures for you automatically. . This package provides documentation for the Haskell LDAP bindings. Homepage: http://software.complete.org/ldap-haskell Tag: devel::doc, devel::lang:haskell, protocol::ldap, role::documentation Section: doc Priority: optional Filename: pool/main/l/ldap-haskell/libghc-ldap-doc_0.6.6-4.1_all.deb Package: libghc-ldap-prof Source: ldap-haskell Version: 0.6.6-4.1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1059 Depends: libghc-ldap-dev (= 0.6.6-4.1), libghc-base-prof-4.5.0.0-d93df Homepage: http://software.complete.org/ldap-haskell Priority: optional Section: haskell Filename: pool/main/l/ldap-haskell/libghc-ldap-prof_0.6.6-4.1_armhf.deb Size: 232150 SHA256: 5314205d8524f11cc0a535393f7950fe794f68a734454615311565d7e07e7a0a SHA1: 5736f79e13d196a72131758e332897e86b460959 MD5sum: bb1b6264130a66a53d5631a4b932c21c Description: LDAP Interface for Haskell; profiling libraries This package provides an interface to the C LDAP API for Haskell programmers. With it, you can search, modify, and interrogate LDAP directories. The Haskell binding features automatic memory management and proper handling for binary data, and handles all marshalling into and out of C data structures for you automatically. . This package is built for GHC, compiled for profiling. Package: libghc-leksah-server-dev Source: haskell-leksah-server Version: 0.12.0.4-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 7551 Depends: libghc-cabal-dev-1.14.0-3e0a6, libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-attoparsec-enumerator-dev-0.3-cc5c3, libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-binary-shared-dev-0.8.1-6e2ff, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-directory-dev-1.1.0.2-57272, libghc-enumerator-dev-0.4.19-c0ca3, libghc-filepath-dev-1.3.0.0-163d9, libghc-ghc-dev-7.4.1-79b6c, libghc-haddock-dev-2.10.0-e4c57, libghc-hslogger-dev-1.1.4-21233, libghc-ltk-dev-0.12.0.0-8be50, libghc-network-dev-2.3.0.13-9c99a, libghc-parsec-dev-3.1.2-aa52f, libghc-pretty-dev-1.1.1.0-7e118, libghc-process-dev-1.1.0.1-75c65, libghc-time-dev-1.4-ec63b, libghc-transformers-dev-0.3.0.0-e8222, libghc-unix-dev-2.5.1.0-77272, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgmp10, libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4) Suggests: libghc-leksah-server-doc Provides: libghc-leksah-server-dev-0.12.0.4-ed181 Homepage: http://hackage.haskell.org/package/leksah-server Priority: extra Section: haskell Filename: pool/main/h/haskell-leksah-server/libghc-leksah-server-dev_0.12.0.4-3_armhf.deb Size: 1604466 SHA256: 5cc6ed177fa96f7824ddd99d6281d6f471bec0b5d9583470ab81fc032401bcc7 SHA1: ebc14e6b16149654a53652385a57521bc179d737 MD5sum: 6a64d092051bd465418f7a8555df2c52 Description: haskell editor - GHC interface library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The library is used by the leksah package to interface with the leksah server. It is not required to use leksah, only to build it. Package: libghc-leksah-server-doc Source: haskell-leksah-server Version: 0.12.0.4-3 Installed-Size: 1475 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-binary-shared-doc, libghc-enumerator-doc, libghc-ltk-doc, libghc-network-doc, libghc-transformers-doc, libghc-leksah-server-dev Size: 145950 SHA256: c71a2f6bd70e94ea220aad0a99ca5862e3efd2c770b25d9964647d37baa08092 SHA1: 099bd4ecea8c629cd3d494080be526b67e849b3f MD5sum: e37936634fe5f9977d0bab66532b1a61 Description: haskell editor - GHC interface library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The library is used by the leksah package to interface with the leksah server. It is not required to use leksah, only to build it. Homepage: http://hackage.haskell.org/package/leksah-server Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-leksah-server/libghc-leksah-server-doc_0.12.0.4-3_all.deb Package: libghc-libtagc-dev Source: haskell-libtagc Version: 0.12.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 160 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-glib-dev-0.12.2-64951, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgmp10, libtag1c2a (>= 1.5), libtagc0 (>= 1.5) Suggests: libghc-libtagc-doc, libghc-libtagc-prof Provides: libghc-libtagc-dev-0.12.0-492ce Homepage: http://hackage.haskell.org/package/libtagc Priority: extra Section: haskell Filename: pool/main/h/haskell-libtagc/libghc-libtagc-dev_0.12.0-2_armhf.deb Size: 21918 SHA256: 1b0c9b15d099ab9b52b7d8fe099dfce028bd1d6afb7ad339dcd2e1571f43664c SHA1: a589ab6f3bbbf4c1f796e155c9c1db7b350d0dc5 MD5sum: 1c44e67589b32863c845035e94720a22 Description: Binding to TagLib C library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides Haskell bindings to TagLib. TagLib is a library for reading and editing the meta-data of several popular audio formats. Currently it supports both ID3v1 and ID3v2 for MP3 files, Ogg Vorbis comments and ID3 tags and Vorbis comments in FLAC, MPC, Speex, WavPack and TrueAudio files. Package: libghc-libtagc-doc Source: haskell-libtagc Version: 0.12.0-2 Installed-Size: 169 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-libtagc-dev Size: 32614 SHA256: 701e1c4da4439fc75bb899ba4b24882f3ca1cdd8d74cdc5766a0ef3f84868be8 SHA1: 60d9918b3b1c0b8aa644ddf8abc53e2fb1134085 MD5sum: 5651ea5339977a520cbd16aaa329560a Description: Binding to TagLib C library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides Haskell bindings to TagLib. TagLib is a library for reading and editing the meta-data of several popular audio formats. Currently it supports both ID3v1 and ID3v2 for MP3 files, Ogg Vorbis comments and ID3 tags and Vorbis comments in FLAC, MPC, Speex, WavPack and TrueAudio files. Homepage: http://hackage.haskell.org/package/libtagc Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-libtagc/libghc-libtagc-doc_0.12.0-2_all.deb Package: libghc-libtagc-prof Source: haskell-libtagc Version: 0.12.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 132 Depends: libghc-libtagc-dev (= 0.12.0-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-glib-prof-0.12.2-64951 Provides: libghc-libtagc-prof-0.12.0-492ce Homepage: http://hackage.haskell.org/package/libtagc Priority: extra Section: haskell Filename: pool/main/h/haskell-libtagc/libghc-libtagc-prof_0.12.0-2_armhf.deb Size: 20920 SHA256: 4fa37393d3fa3e8d3997ee0c7348641248c9cdb4bdf1ba9aa89c8dcf8febf437 SHA1: 1fb808ab126013c6d9b440a4429c763a9033fa73 MD5sum: 24f05d59470a4f9a285123003b709022 Description: Binding to TagLib C library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It provides Haskell bindings to TagLib. TagLib is a library for reading and editing the meta-data of several popular audio formats. Currently it supports both ID3v1 and ID3v2 for MP3 files, Ogg Vorbis comments and ID3 tags and Vorbis comments in FLAC, MPC, Speex, WavPack and TrueAudio files. Package: libghc-libxml-sax-dev Source: haskell-libxml-sax Version: 0.7.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 551 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libxml2 (>= 2.6.27), libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-text-dev-0.11.2.0-05a46, libghc-xml-types-dev-0.3.1-f9412, libxml2-dev Suggests: libghc-libxml-sax-doc, libghc-libxml-sax-prof Provides: libghc-libxml-sax-dev-0.7.2-c8bca Homepage: http://ianen.org/haskell/bindings/libxml-sax/ Priority: extra Section: haskell Filename: pool/main/h/haskell-libxml-sax/libghc-libxml-sax-dev_0.7.2-2_armhf.deb Size: 115716 SHA256: 750b3cb3cf7f32b98417c55c37952370e5ffe79d8baa3d1786258917f8f329f8 SHA1: 7aee435672701c1988a7fb6463a8f66742e0732e MD5sum: 6d0a7f7e3bdab2ef241d62cb63b72c0e Description: bindings for libXML2 SAX These are Haskell bindings to the libXML2 SAX library. . This package contains the normal library files. Package: libghc-libxml-sax-doc Source: haskell-libxml-sax Version: 0.7.2-2 Installed-Size: 260 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-xml-types-doc, libghc-libxml-sax-dev Size: 40780 SHA256: 2081878bb4705c8af3cea4ea9bb6304a8699dfd15b6c526fd8e9a2872776426c SHA1: b7b804fb34ab8ef9c6157dc57bb450a4bcb39745 MD5sum: 01df23c252d5f4d6e18ef9dd94213c41 Description: bindings for libXML2 SAX; documentation These are Haskell bindings to the libXML2 SAX library. . This package contains the documentation files. Homepage: http://ianen.org/haskell/bindings/libxml-sax/ Section: doc Priority: extra Filename: pool/main/h/haskell-libxml-sax/libghc-libxml-sax-doc_0.7.2-2_all.deb Package: libghc-libxml-sax-prof Source: haskell-libxml-sax Version: 0.7.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 426 Depends: libghc-libxml-sax-dev (= 0.7.2-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-text-prof-0.11.2.0-05a46, libghc-xml-types-prof-0.3.1-f9412 Provides: libghc-libxml-sax-prof-0.7.2-c8bca Homepage: http://ianen.org/haskell/bindings/libxml-sax/ Priority: extra Section: haskell Filename: pool/main/h/haskell-libxml-sax/libghc-libxml-sax-prof_0.7.2-2_armhf.deb Size: 86996 SHA256: 73ba4fd5008d276489396e2517a685f2ee5605326137c279c9510cfde89926ed SHA1: 81ef90c3cc148abdb02a45e374782455a5086c96 MD5sum: 56999a2509ce998f12fcb93ac9b4652f Description: bindings for libXML2 SAX; profiling libraries These are Haskell bindings to the libXML2 SAX library. . This package contains the libraries compiled with profiling enabled. Package: libghc-libzip-dev Source: haskell-libzip Version: 0.10-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1376 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bindings-libzip-dev-0.10-e8461, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-filepath-dev-1.3.0.0-163d9, libghc-mtl-dev-2.1.1-87121, libghc-time-dev-1.4-ec63b, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libzip2 (>= 0.10), zlib1g (>= 1:1.1.4) Suggests: libghc-libzip-doc, libghc-libzip-prof Provides: libghc-libzip-dev-0.10-4415d Homepage: http://hackage.haskell.org/package/LibZip Priority: extra Section: haskell Filename: pool/main/h/haskell-libzip/libghc-libzip-dev_0.10-1_armhf.deb Size: 260300 SHA256: 6256c62a537df8e4f0175fa2c447d48a148efe6a0237701d6f14bd400bbd3dec SHA1: 0df65e8aab31ae9ee67df780f3d22367167680c3 MD5sum: fae82dd13c05e4bcb8dc99cf9eab0ae1 Description: Haskell bindings to libzip This library supports reading, creating and modifying zip archive. It is based on libzip. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-libzip-doc Source: haskell-libzip Version: 0.10-1 Installed-Size: 477 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-bindings-libzip-doc, libghc-mtl-doc, libghc-libzip-dev Size: 65468 SHA256: 34b73a3dc01e535d4c36433323e7354b1c26a52105982ce52a291a15841c709d SHA1: e67211ebb0ad3438dfce2ce4838069df5c47f5df MD5sum: 70c9ce4b5a75a0f166a15abaf3f3fd3f Description: Haskell bindings to libzip; documentation This library supports reading, creating and modifying zip archive. It is based on libzip. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/LibZip Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-libzip/libghc-libzip-doc_0.10-1_all.deb Package: libghc-libzip-prof Source: haskell-libzip Version: 0.10-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1192 Depends: libghc-libzip-dev (= 0.10-1), libghc-base-prof-4.5.0.0-d93df, libghc-bindings-libzip-prof-0.10-e8461, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-filepath-prof-1.3.0.0-163d9, libghc-mtl-prof-2.1.1-87121, libghc-time-prof-1.4-ec63b Provides: libghc-libzip-prof-0.10-4415d Homepage: http://hackage.haskell.org/package/LibZip Priority: extra Section: haskell Filename: pool/main/h/haskell-libzip/libghc-libzip-prof_0.10-1_armhf.deb Size: 228036 SHA256: fc9c36df9a2ec54ddc40c9381655e4e468fab1dcc564dd52167ec27ccd804b1f SHA1: b8c6d54156ef949e91482141c0475fd96e62e858 MD5sum: 1e8610f2f8b8bc53758fb3675df016ec Description: Haskell bindings to libzip; profiling libraries This library supports reading, creating and modifying zip archive. It is based on libzip. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lifted-base-dev Source: haskell-lifted-base Version: 0.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 426 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-base-unicode-symbols-dev-0.2.2.3-05b93, libghc-monad-control-dev-0.3.1.3-371c1, libghc-transformers-base-dev-0.4.1-9d46f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-lifted-base-doc, libghc-lifted-base-prof Provides: libghc-lifted-base-dev-0.1.1-863d2 Homepage: http://hackage.haskell.org/package/lifted-base Priority: extra Section: haskell Filename: pool/main/h/haskell-lifted-base/libghc-lifted-base-dev_0.1.1-1_armhf.deb Size: 76222 SHA256: d80121b138e0428736944897cc032c8639f89ba1bdc5cfae7a3255755705b6a5 SHA1: 5c3fdb9ba9d4f8d99ac4a9cc5b9a05984f87c628 MD5sum: f474e1f171178e611cb045f7569f2363 Description: Lifted IO operations from the base library This package exports IO operations from the base library lifted to any instance of 'MonadBase' or 'MonadBaseControl', as provided by the libghc-monad-control-dev package.. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-lifted-base-doc Source: haskell-lifted-base Version: 0.1.1-1 Installed-Size: 398 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monad-control-doc, libghc-transformers-base-doc, libghc-lifted-base-dev Size: 56072 SHA256: 71a41197f979e8e62f088e21222cac880acf95460e5976c1e8973f39ee06e2d0 SHA1: 553ed6c9da2593300297b9e4ba3e6015eb475972 MD5sum: ef0b298b169cb5f9422207e6b1d4f91c Description: Lifted IO operations from the base library; documentation This package exports IO operations from the base library lifted to any instance of 'MonadBase' or 'MonadBaseControl', as provided by the libghc-monad-control-dev package.. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/lifted-base Section: doc Priority: extra Filename: pool/main/h/haskell-lifted-base/libghc-lifted-base-doc_0.1.1-1_all.deb Package: libghc-lifted-base-prof Source: haskell-lifted-base Version: 0.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 453 Depends: libghc-lifted-base-dev (= 0.1.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-base-unicode-symbols-prof-0.2.2.3-05b93, libghc-monad-control-prof-0.3.1.3-371c1, libghc-transformers-base-prof-0.4.1-9d46f Provides: libghc-lifted-base-prof-0.1.1-863d2 Homepage: http://hackage.haskell.org/package/lifted-base Priority: extra Section: haskell Filename: pool/main/h/haskell-lifted-base/libghc-lifted-base-prof_0.1.1-1_armhf.deb Size: 87606 SHA256: d03d3b364260f11b4389e01faa4f3b9e829832e2554253ebc79439fc5f5a327f SHA1: 2337cfdfa9ab218f7143b5a6f46f9800ea65dd03 MD5sum: ed90cb83441a382da0ede0fcf193ad2b Description: Lifted IO operations from the base library; profiling libraries This package exports IO operations from the base library lifted to any instance of 'MonadBase' or 'MonadBaseControl', as provided by the libghc-monad-control-dev package.. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-listlike-dev Source: haskell-listlike Version: 3.1.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3115 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-listlike-doc, libghc-listlike-prof Provides: libghc-listlike-dev-3.1.4-1cbe1 Homepage: http://software.complete.org/listlike Priority: optional Section: haskell Filename: pool/main/h/haskell-listlike/libghc-listlike-dev_3.1.4-1_armhf.deb Size: 616822 SHA256: e1a291c26cbaa54f68b39285fba52dba64e550fc27dbe89a36188640af4b39bf SHA1: 12b232d862f0682f794fd12ec99a711b16b36f1f MD5sum: 6714a881fc8e3cee8b174c10f51070c0 Description: Generic support for list-like structures Generic support for list-like structures in Haskell. . The ListLike module provides a common interface to the various Haskell types that are list-like. Predefined interfaces include standard Haskell lists, Arrays, ByteStrings, and lazy ByteStrings. Custom types can easily be made ListLike instances as well. . ListLike also provides for String-like types, such as String and ByteString, for types that support input and output, and for types that can handle infinite lists. . This package contains the libraries compiled for GHC. Package: libghc-listlike-doc Source: haskell-listlike Version: 3.1.4-1 Installed-Size: 774 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-listlike-dev Size: 96390 SHA256: 5cee7872fdde1a6ccd65dfd5ec06e92603be692075773dc6b212bd4821e55bba SHA1: 17c3d13b854234433f72177650aecd5aed29abe3 MD5sum: 95ec5e88b9fb851ad7499ca907c300a7 Description: Generic support for list-like structures; documentation Generic support for list-like structures in Haskell. . The ListLike module provides a common interface to the various Haskell types that are list-like. Predefined interfaces include standard Haskell lists, Arrays, ByteStrings, and lazy ByteStrings. Custom types can easily be made ListLike instances as well. . ListLike also provides for String-like types, such as String and ByteString, for types that support input and output, and for types that can handle infinite lists. . This package contains the library documentation. Homepage: http://software.complete.org/listlike Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: optional Filename: pool/main/h/haskell-listlike/libghc-listlike-doc_3.1.4-1_all.deb Package: libghc-listlike-prof Source: haskell-listlike Version: 3.1.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2812 Depends: libghc-listlike-dev (= 3.1.4-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545 Provides: libghc-listlike-prof-3.1.4-1cbe1 Homepage: http://software.complete.org/listlike Priority: optional Section: haskell Filename: pool/main/h/haskell-listlike/libghc-listlike-prof_3.1.4-1_armhf.deb Size: 573404 SHA256: a5d16c27fbf5590d9e6627f222113418fb3bcd29bfb6de38b920edb02ef676df SHA1: 9f3b41f91a4ce5f36ea246d2beae053e35547c2e MD5sum: d821b8aad647864ed149cf89990d39f5 Description: Generic support for list-like structures; profiling library Generic support for list-like structures in Haskell. . The ListLike module provides a common interface to the various Haskell types that are list-like. Predefined interfaces include standard Haskell lists, Arrays, ByteStrings, and lazy ByteStrings. Custom types can easily be made ListLike instances as well. . ListLike also provides for String-like types, such as String and ByteString, for types that support input and output, and for types that can handle infinite lists. . This package contains the profiling libraries compiled for GHC. Package: libghc-llvm-base-dev Source: haskell-llvm-base Version: 3.0.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2277 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libllvm3.0, libstdc++6 (>= 4.1.1), llvm-dev Suggests: libghc-llvm-base-doc, libghc-llvm-base-prof Provides: libghc-llvm-base-dev-3.0.1.0-ffc3a Homepage: http://hackage.haskell.org/package/llvm-base Priority: extra Section: haskell Filename: pool/main/h/haskell-llvm-base/libghc-llvm-base-dev_3.0.1.0-1_armhf.deb Size: 382344 SHA256: 01046e1d51cc626f2d2ab834a3f5a30f44e1c33c2a8604ddcfa66b2aa11348ac SHA1: e5bc5467cd537bcad05c7f5d80745ade4dc85268 MD5sum: e8ceb1a96119b04eb84f842a294be6ac Description: low-level bindings to the LLVM compiler toolkit This package provides low-level bindings to the LLVM compiler toolkit. Higher-level bindings can be found in the libghc-llvm-dev package. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-llvm-base-doc Source: haskell-llvm-base Version: 3.0.1.0-1 Installed-Size: 1222 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-llvm-base-dev Size: 110248 SHA256: f7856251f2df85b808db4ac07c82202d531b24189fdd844149cc4d9fe43a41a3 SHA1: 640a1b32bc4c1535e6c3f213832fb00985835430 MD5sum: 152f9d798c911816ef3351ae71d5094d Description: low-level bindings to the LLVM compiler toolkit; documentation This package provides low-level bindings to the LLVM compiler toolkit. Higher-level bindings can be found in the libghc-llvm-dev package. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/llvm-base Section: doc Priority: extra Filename: pool/main/h/haskell-llvm-base/libghc-llvm-base-doc_3.0.1.0-1_all.deb Package: libghc-llvm-base-prof Source: haskell-llvm-base Version: 3.0.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1961 Depends: libghc-llvm-base-dev (= 3.0.1.0-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-llvm-base-prof-3.0.1.0-ffc3a Homepage: http://hackage.haskell.org/package/llvm-base Priority: extra Section: haskell Filename: pool/main/h/haskell-llvm-base/libghc-llvm-base-prof_3.0.1.0-1_armhf.deb Size: 341638 SHA256: 657fbaecb8d0c017d9dbaadc69d080763f68d3ca52dca6e38d8547e51870a35f SHA1: bfe2b44f1873c21f6b8a9274ccd2ed3a3627320a MD5sum: b86cbf3f3dd271c87c27967de9707eb2 Description: low-level bindings to the LLVM compiler toolkit; profiling libraries This package provides low-level bindings to the LLVM compiler toolkit. Higher-level bindings can be found in the libghc-llvm-dev package. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-llvm-doc Source: haskell-llvm Version: 3.0.1.0-1 Installed-Size: 1817 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-llvm-base-doc, libghc-mtl-doc, libghc-transformers-doc, libghc-type-level-doc, libghc-llvm-dev Size: 186460 SHA256: 970fb7cc58bc3f07fc9f8133960e86e1a89b9caf8a031a51befebca714ad55b3 SHA1: 6bba1c2e23ab6fe03f86e3b8b85cc7e89bfc1596 MD5sum: d7c8632f0ec8bf2ee8a1c3f7fbbe2ceb Description: Documentation for haskell LLVM bindings Low Level Virtual Machine (LLVM) is a set of tools for use in the backend of a compiler for generating optimised native code across a wide variety of CPU architectures. The Haskell bindings make it possible to use LLVM from Haskell. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/llvm Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-llvm/libghc-llvm-doc_3.0.1.0-1_all.deb Package: libghc-logict-dev Source: haskell-logict Version: 0.5.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 629 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-logict-doc, libghc-logict-prof Provides: libghc-logict-dev-0.5.0.1-d1a63 Homepage: http://hackage.haskell.org/package/logict Priority: extra Section: haskell Filename: pool/main/h/haskell-logict/libghc-logict-dev_0.5.0.1-1_armhf.deb Size: 116472 SHA256: 05d835be2abb652a029102751767fe839526731c4b233edb7f84ff5ae0079a02 SHA1: 6cf806b4f45e8fe4d2fd91d5b82c19e3458ef5a9 MD5sum: 68cd9816a1341f389bd226cbf761316d Description: Backtracking logic-programming monad This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a continuation-based, backtracking, logic programming monad. An adaptation of the two-continuation implementation found in the paper Backtracking, Interleaving, and Terminating Monad Transformers available here: http://okmij.org/ftp/papers/LogicT.pdf Package: libghc-logict-doc Source: haskell-logict Version: 0.5.0.1-1 Installed-Size: 225 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-transformers-doc, libghc-logict-dev Size: 41746 SHA256: 6a9b3846df03fca3f3eaacbad0afe54d0762d5c6b597ccb59b590d38147b41e7 SHA1: 0033254d588340244416aab5e12230c9993fd3a1 MD5sum: 0281efddee76f51afcee9f8c4b18443e Description: Backtracking logic-programming monad; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a continuation-based, backtracking, logic programming monad. An adaptation of the two-continuation implementation found in the paper Backtracking, Interleaving, and Terminating Monad Transformers available here: http://okmij.org/ftp/papers/LogicT.pdf Homepage: http://hackage.haskell.org/package/logict Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-logict/libghc-logict-doc_0.5.0.1-1_all.deb Package: libghc-logict-prof Source: haskell-logict Version: 0.5.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 615 Depends: libghc-logict-dev (= 0.5.0.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-mtl-prof-2.1.1-87121 Provides: libghc-logict-prof-0.5.0.1-d1a63 Homepage: http://hackage.haskell.org/package/logict Priority: extra Section: haskell Filename: pool/main/h/haskell-logict/libghc-logict-prof_0.5.0.1-1_armhf.deb Size: 118086 SHA256: 919914904bf5166751ea35f60c453ac528197c7e1449a24ab7b5bdc9d8b6c8aa SHA1: 70c4a9a8a4e67b78b84d7f04c9738f623ebe3ab3 MD5sum: 25b0985d93e6cc0088b5b73c2eb42858 Description: Backtracking logic-programming monad; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is a continuation-based, backtracking, logic programming monad. An adaptation of the two-continuation implementation found in the paper Backtracking, Interleaving, and Terminating Monad Transformers available here: http://okmij.org/ftp/papers/LogicT.pdf Package: libghc-ltk-dev Source: haskell-ltk Version: 0.12.0.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4234 Depends: libghc-cabal-dev-1.14.0-3e0a6, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-filepath-dev-1.3.0.0-163d9, libghc-glib-dev-0.12.2-64951, libghc-gtk-dev-0.12.3-8d42f, libghc-mtl-dev-2.1.1-87121, libghc-parsec-dev-3.1.2-aa52f, libghc-pretty-dev-1.1.1.0-7e118, libghc-transformers-dev-0.3.0.0-e8222, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgmp10, libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4) Suggests: libghc-ltk-doc Provides: libghc-ltk-dev-0.12.0.0-8be50 Homepage: http://hackage.haskell.org/package/ltk Priority: extra Section: haskell Filename: pool/main/h/haskell-ltk/libghc-ltk-dev_0.12.0.0-2_armhf.deb Size: 958216 SHA256: 14001ad90d195265acb516d70339f27cdd7d955da14c2a5b8addcc027670f8fb SHA1: f44281af7431d822c6ad3e8f719c0959c7bc3516 MD5sum: 9633b1b5d155bc89beae0fba30e302ec Description: Leksah Toolkit This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides the toolkit used by the leksah editor. Package: libghc-ltk-doc Source: haskell-ltk Version: 0.12.0.0-2 Installed-Size: 1580 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-glib-doc, libghc-gtk-doc, libghc-parsec3-doc, libghc-transformers-doc, libghc-ltk-dev Size: 150156 SHA256: 304a22a65f163d3f403084d48e074d8731c71468cfeab938799e1a191ffab8d1 SHA1: 432c177072442829d02db2425344fdd0913ba1bd MD5sum: effff538e094e3e21047755423eedb50 Description: Leksah Toolkit; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides the toolkit used by the leksah editor. Homepage: http://hackage.haskell.org/package/ltk Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-ltk/libghc-ltk-doc_0.12.0.0-2_all.deb Package: libghc-maccatcher-dev Source: haskell-maccatcher Version: 2.1.5-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 521 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-parsec-dev-3.1.2-aa52f, libghc-process-dev-1.1.0.1-75c65, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-maccatcher-doc, libghc-maccatcher-prof Provides: libghc-maccatcher-dev-2.1.5-bfe27 Homepage: http://hackage.haskell.org/package/maccatcher Priority: extra Section: haskell Filename: pool/main/h/haskell-maccatcher/libghc-maccatcher-dev_2.1.5-2_armhf.deb Size: 106486 SHA256: a4a00e5134fe276f7681277dcd6c9955d3a95150fe00426dd9392e66665e82c3 SHA1: 219f851456d368359981bd4e241301ec45edd815 MD5sum: 025a3481fe07fdc8056118e30133e576 Description: library to obtain a NIC's MAC address This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It can obtain the MAC addresses for a host's NICs. Package: libghc-maccatcher-doc Source: haskell-maccatcher Version: 2.1.5-2 Installed-Size: 167 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-parsec3-doc, libghc-transformers-doc, libghc-maccatcher-dev Size: 34222 SHA256: 60e38db97b3431375e50e8fbbb05b9ba254399b309c31e0e42c84b09f50bfab9 SHA1: a6316acaf9379774cfb311d3f2c41c67ce64677f MD5sum: 37afcdedad7a39933830333057120cac Description: library to obtain a NIC's MAC address; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It can obtain the MAC addresses for a host's NICs. Homepage: http://hackage.haskell.org/package/maccatcher Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-maccatcher/libghc-maccatcher-doc_2.1.5-2_all.deb Package: libghc-maccatcher-prof Source: haskell-maccatcher Version: 2.1.5-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 485 Depends: libghc-maccatcher-dev (= 2.1.5-2), libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-parsec-prof-3.1.2-aa52f, libghc-process-prof-1.1.0.1-75c65 Provides: libghc-maccatcher-prof-2.1.5-bfe27 Homepage: http://hackage.haskell.org/package/maccatcher Priority: extra Section: haskell Filename: pool/main/h/haskell-maccatcher/libghc-maccatcher-prof_2.1.5-2_armhf.deb Size: 98474 SHA256: 5d25e0a96939e0111dc5fdb595ae886b8143c4dfdc04555c9633a7c5b140ef3d SHA1: fb9d3931109fa263ccbd86302700128eeced8507 MD5sum: 0db68b15ce350d4768044c7e510c1c5e Description: library to obtain a NIC's MAC address; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It can obtain the MAC addresses for a host's NICs. Package: libghc-magic-dev Source: magic-haskell Version: 1.0.8-8 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 285 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libmagic1, libmagic-dev Suggests: libghc-magic-doc, libghc-magic-prof Provides: libghc-magic-dev-1.0.8-f392d Homepage: http://hackage.haskell.org/package/magic Priority: optional Section: haskell Filename: pool/main/m/magic-haskell/libghc-magic-dev_1.0.8-8_armhf.deb Size: 59666 SHA256: 48542e7c497b7c2f48a30f58c61671255acc7b12ff2069d85532582e5e0377ef SHA1: 99f3a7c3264a79e0c1af5a665ccf279fc7d5553a MD5sum: a46e5e579008524e7e362636bce05d9a Description: File type determination library for Haskell This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides a Haskell interface to the C libmagic library. With it, you can determine the type of a file by examining its contents rather than its name. The Haskell interface provides a full-featured binding. Package: libghc-magic-doc Source: magic-haskell Version: 1.0.8-8 Installed-Size: 187 Maintainer: Debian Haskell Group Architecture: all Replaces: magic-haskell-doc (<< 1.0.8-4) Provides: magic-haskell-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-magic-dev Conflicts: magic-haskell-doc (<< 1.0.8-4) Size: 39266 SHA256: fb427cfd67bb6dcb896d8659d170ac29a399f7e90d756537201ed6dcec68f73b SHA1: 4315d7b8c4cd67ca28e2eb038b26e1691b15ac24 MD5sum: cba3493f1893eeae0bb3e838bcd44226 Description: File type determination library for Haskell; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides a Haskell interface to the C libmagic library. With it, you can determine the type of a file by examining its contents rather than its name. The Haskell interface provides a full-featured binding. Homepage: http://hackage.haskell.org/package/magic Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: optional Filename: pool/main/m/magic-haskell/libghc-magic-doc_1.0.8-8_all.deb Package: libghc-magic-prof Source: magic-haskell Version: 1.0.8-8 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 248 Depends: libghc-magic-dev (= 1.0.8-8), libghc-base-prof-4.5.0.0-d93df Provides: libghc-magic-prof-1.0.8-f392d Homepage: http://hackage.haskell.org/package/magic Priority: optional Section: haskell Filename: pool/main/m/magic-haskell/libghc-magic-prof_1.0.8-8_armhf.deb Size: 53510 SHA256: e03dbefaf16ef1057c321c0a357596ab1ba0a8e4ae29a9e03404795e914a8419 SHA1: 800ca1c786ff61c4367716cf7cf961179bee1ab2 MD5sum: 0a0f3880b4c4deb066c440567003eda8 Description: File type determination library for Haskell; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package provides a Haskell interface to the C libmagic library. With it, you can determine the type of a file by examining its contents rather than its name. The Haskell interface provides a full-featured binding. Package: libghc-markov-chain-dev Source: haskell-markov-chain Version: 0.0.3.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 122 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-random-dev-1.0.1.1-344e2, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-markov-chain-doc, libghc-markov-chain-prof Provides: libghc-markov-chain-dev-0.0.3.2-484b5 Homepage: http://hackage.haskell.org/package/markov-chain Priority: extra Section: haskell Filename: pool/main/h/haskell-markov-chain/libghc-markov-chain-dev_0.0.3.2-1_armhf.deb Size: 18704 SHA256: 95fad34194333b3695b47b4364a795cbc2f79ec10cd4b879999aa9212454f4b6 SHA1: 05b8f644f31783bc6608823beef558bd5a99e841 MD5sum: ce20778085d58a458179efe855a854fd Description: Markov Chains for random sequence generation This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library can be used to generate random sequences with a behaviour adapted to some training data. The algorithm analyses your input/training data with respect to how likely a given letter follows another one, then on recomposition it chooses subsequent letters randomly according to the frequencies found in the training data. Package: libghc-markov-chain-doc Source: haskell-markov-chain Version: 0.0.3.2-1 Installed-Size: 117 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-random-doc, libghc-markov-chain-dev Size: 30464 SHA256: eade1e610923ad660697fc1dbc4e26cdfdad5d8b040474898ac3e98a8f16734c SHA1: 26102d183da945132f29564f01e946b818b0a1d1 MD5sum: 44e9f2f0a5526409c0a36a1901ed91af Description: Markov Chains for random sequence generation; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library can be used to generate random sequences with a behaviour adapted to some training data. The algorithm analyses your input/training data with respect to how likely a given letter follows another one, then on recomposition it chooses subsequent letters randomly according to the frequencies found in the training data. Homepage: http://hackage.haskell.org/package/markov-chain Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-markov-chain/libghc-markov-chain-doc_0.0.3.2-1_all.deb Package: libghc-markov-chain-prof Source: haskell-markov-chain Version: 0.0.3.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 103 Depends: libghc-markov-chain-dev (= 0.0.3.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-random-prof-1.0.1.1-344e2, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-markov-chain-prof-0.0.3.2-484b5 Homepage: http://hackage.haskell.org/package/markov-chain Priority: extra Section: haskell Filename: pool/main/h/haskell-markov-chain/libghc-markov-chain-prof_0.0.3.2-1_armhf.deb Size: 20550 SHA256: bee7fd1c815a704192cb8e57797d08108beaa28a6f54b073de2f4c445223c05d SHA1: b9e403bef05ca5fef4de1c9c815ce18876b648ad MD5sum: b885f093a8f60d53f2840675eb964384 Description: Markov Chains for random sequence generation; profiling libraries This package provides a library for the Haskell programming language compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library can be used to generate random sequences with a behaviour adapted to some training data. The algorithm analyses your input/training data with respect to how likely a given letter follows another one, then on recomposition it chooses subsequent letters randomly according to the frequencies found in the training data. Package: libghc-math-functions-dev Source: haskell-math-functions Version: 0.1.1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 428 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-erf-dev-2.0.0.0-c8dd2, libghc-vector-dev-0.9.1-8e782, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-math-functions-doc, libghc-math-functions-prof Provides: libghc-math-functions-dev-0.1.1.0-c7296 Homepage: http://hackage.haskell.org/package/math-functions Priority: extra Section: haskell Filename: pool/main/h/haskell-math-functions/libghc-math-functions-dev_0.1.1.0-2_armhf.deb Size: 96598 SHA256: 871bdb5a043b600eb193534c5526e3e7506e47258bfea3d2dd2e0608a7be66d2 SHA1: 597dcafa6f1127b02bf701684ae1b274944e5583 MD5sum: 56debb39e8f20e9e293ee629bbcab876 Description: Special functions and Chebyshev polynomials This library provides implementations of special mathematical functions and Chebyshev polynomials. These functions are often useful in statistical and numerical computing. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-math-functions-doc Source: haskell-math-functions Version: 0.1.1.0-2 Installed-Size: 313 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-vector-doc, libghc-math-functions-dev Size: 52054 SHA256: 79d6083a09389a60d547aa54a0ecf1241cb96d566c1ab1c6d3d4d61512261ebd SHA1: 7cb17a52ddd78b649dfbbcfa9f41e8f074de5d9e MD5sum: 383c3d7b11eb18d6a6ff32306d0269a6 Description: Special functions and Chebyshev polynomials; documentation This library provides implementations of special mathematical functions and Chebyshev polynomials. These functions are often useful in statistical and numerical computing. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/math-functions Section: doc Priority: extra Filename: pool/main/h/haskell-math-functions/libghc-math-functions-doc_0.1.1.0-2_all.deb Package: libghc-math-functions-prof Source: haskell-math-functions Version: 0.1.1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 351 Depends: libghc-math-functions-dev (= 0.1.1.0-2), libghc-base-prof-4.5.0.0-d93df, libghc-erf-prof-2.0.0.0-c8dd2, libghc-vector-prof-0.9.1-8e782 Provides: libghc-math-functions-prof-0.1.1.0-c7296 Homepage: http://hackage.haskell.org/package/math-functions Priority: extra Section: haskell Filename: pool/main/h/haskell-math-functions/libghc-math-functions-prof_0.1.1.0-2_armhf.deb Size: 76234 SHA256: 967d8f74957dc83bccf0540d5cb8a18a25acdb529764d30b5e0ebd1ff78477b5 SHA1: 6efd3adbd3f81e2d37d9f4718ced36b7fe61f676 MD5sum: c45a9a4ec182902c7b953eb1ef06118b Description: Special functions and Chebyshev polynomials; profiling data This library provides implementations of special mathematical functions and Chebyshev polynomials. These functions are often useful in statistical and numerical computing. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-maths-dev Source: haskell-maths Version: 0.4.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 22250 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-random-dev-1.0.1.1-344e2, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-maths-doc, libghc-maths-prof Provides: libghc-haskellformaths-dev-0.4.3-043c8 Homepage: http://hackage.haskell.org/package/HaskellForMaths Priority: extra Section: haskell Filename: pool/main/h/haskell-maths/libghc-maths-dev_0.4.3-1_armhf.deb Size: 4570398 SHA256: ca0606c0b755f56fa69d32f7a1c7c2be62208f85d4eaa556e4ba640bf6438417 SHA1: 73cac1153f10e13098d5ada6f2ac10b2df353040 MD5sum: ef5435aa0a9b02950b7d88f8b3562222 Description: Combinatorics, group theory, (non-)commutative algebra A library of maths code in the areas of combinatorics, group theory, commutative algebra, and non-commutative algebra. The library is mainly intended for educational purposes, but does have efficient implementations of several fundamental algorithms. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-maths-doc Source: haskell-maths Version: 0.4.3-1 Installed-Size: 6080 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-random-doc, libghc-maths-dev Size: 548608 SHA256: ba4a0297b6abf406e4b7c528c052f885b65c775316c3524e4c644ee2bdefcba2 SHA1: b12fd73d7d2a13a7184689a4de38ef280dc0375e MD5sum: 9d978c1a2eff75a647cc07860dcdfcaa Description: Combinatorics, group theory, (non-)commutative algebra; docs A library of maths code in the areas of combinatorics, group theory, commutative algebra, and non-commutative algebra. The library is mainly intended for educational purposes, but does have efficient implementations of several fundamental algorithms. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/HaskellForMaths Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-maths/libghc-maths-doc_0.4.3-1_all.deb Package: libghc-maths-prof Source: haskell-maths Version: 0.4.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 20668 Depends: libghc-maths-dev (= 0.4.3-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-random-prof-1.0.1.1-344e2 Provides: libghc-haskellformaths-prof-0.4.3-043c8 Homepage: http://hackage.haskell.org/package/HaskellForMaths Priority: extra Section: haskell Filename: pool/main/h/haskell-maths/libghc-maths-prof_0.4.3-1_armhf.deb Size: 4274846 SHA256: 230c33fa495836cc671a6d654b6735f97dc79ac949690dffd18aafc00e3ca5fa SHA1: ce0f9a5f3117e2a3e1b94e87294c6c661c722dee MD5sum: 9e9a7ed5e2c9387c7565f38fe6e567f5 Description: Combinatorics, group theory, (non-)commutative algebra; prof data A library of maths code in the areas of combinatorics, group theory, commutative algebra, and non-commutative algebra. The library is mainly intended for educational purposes, but does have efficient implementations of several fundamental algorithms. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-maybet-dev Source: haskell-maybet Version: 0.1.2-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 208 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-maybet-doc, libghc-maybet-prof Provides: libghc-maybet-dev-0.1.2-7d90c Homepage: http://hackage.haskell.org/package/MaybeT Priority: extra Section: haskell Filename: pool/main/h/haskell-maybet/libghc-maybet-dev_0.1.2-3_armhf.deb Size: 34234 SHA256: 7cc2b09ca74759096425a4c26846057b9a9d14db8b97027137f039bd9e8b6f4c SHA1: 96451afbd5ab17ab35a5f19f6adfda511cba366c MD5sum: 6d9104b67937241383173e378eca86bd Description: Haskell MaybeT monad transformer - GHC libraries MaybeT is a Haskell monad transformer that adds Maybe semantics to an existing monad, making it able to fail (i.e. return Nothing) or be successful (return the result wrapped in a Just). . If you don't know what a Haskell monad is, you can just ignore this package. . This package contains the libraries compiled for GHC. Package: libghc-maybet-doc Source: haskell-maybet Version: 0.1.2-3 Installed-Size: 121 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-maybet-dev Size: 32140 SHA256: d3711e57ee79810bab549b93fd0119f19da6b68c74733fda56a3fd1272661497 SHA1: b829fc7fe7c9a0a8e4f2f172c16b7c1b6edf20cf MD5sum: 951f3f0b361c758843e39fdfde2c8c84 Description: Haskell MaybeT monad transformer - documentation MaybeT is a Haskell monad transformer that adds Maybe semantics to an existing monad, making it able to fail (i.e. return Nothing) or be successful (return the result wrapped in a Just). . If you don't know what a Haskell monad is, you can just ignore this package. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/MaybeT Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-maybet/libghc-maybet-doc_0.1.2-3_all.deb Package: libghc-maybet-prof Source: haskell-maybet Version: 0.1.2-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 193 Depends: libghc-maybet-dev (= 0.1.2-3), libghc-base-prof-4.5.0.0-d93df, libghc-mtl-prof-2.1.1-87121 Provides: libghc-maybet-prof-0.1.2-7d90c Homepage: http://hackage.haskell.org/package/MaybeT Priority: extra Section: haskell Filename: pool/main/h/haskell-maybet/libghc-maybet-prof_0.1.2-3_armhf.deb Size: 34376 SHA256: a1583341d89e91b879d2bf85971004b9e167b876425934a7e456ac4e3ddb7ca1 SHA1: a2b6c59ba9feec90e260b62b973ee26ba660433c MD5sum: bce666503a0410807b4bfc1a9c61814a Description: Haskell MaybeT monad transformer - GHC profiling libraries MaybeT is a Haskell monad transformer that adds Maybe semantics to an existing monad, making it able to fail (i.e. return Nothing) or be successful (return the result wrapped in a Just). . If you don't know what a Haskell monad is, you can just ignore this package. . This package contains the profiling libraries compiled for GHC. Package: libghc-mbox-dev Source: haskell-mbox Version: 0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 215 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-safe-dev-0.3.3-3fec0, libghc-time-dev-1.4-ec63b, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-mbox-doc, libghc-mbox-prof Provides: libghc-mbox-dev-0.1-bd556 Homepage: http://hackage.haskell.org/package/mbox Priority: extra Section: haskell Filename: pool/main/h/haskell-mbox/libghc-mbox-dev_0.1-2_armhf.deb Size: 43704 SHA256: f6a54203c7388e8527d722b79a66bd9c101ebf84f097e5c02499e4e1ab9b7c88 SHA1: 037a0d3875ef9aa5fcab86e72ef16eb41d2f9c7f MD5sum: a008c7cf3d8496384c5d982902083d4b Description: mbox reader/writer library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It reads and writes standard mailbox (mboxrd) files. Package: libghc-mbox-doc Source: haskell-mbox Version: 0.1-2 Installed-Size: 119 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mbox-dev Size: 30278 SHA256: 8c0c40ca5f2f6351971be0b98424990c63b164d115c8356b87e5409a9c979f3a SHA1: e936cedb39a4409c120ebf8c7888cefc7eaa59cc MD5sum: 4751276ec1904578457f9a64eca58fe5 Description: mbox reader/writer library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It reads and writes standard mailbox (mboxrd) files. Homepage: http://hackage.haskell.org/package/mbox Tag: devel::doc, devel::lang:haskell, role::documentation, works-with::mail Section: doc Priority: extra Filename: pool/main/h/haskell-mbox/libghc-mbox-doc_0.1-2_all.deb Package: libghc-mbox-prof Source: haskell-mbox Version: 0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 185 Depends: libghc-mbox-dev (= 0.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-safe-prof-0.3.3-3fec0, libghc-time-prof-1.4-ec63b Provides: libghc-mbox-prof-0.1-bd556 Homepage: http://hackage.haskell.org/package/mbox Priority: extra Section: haskell Filename: pool/main/h/haskell-mbox/libghc-mbox-prof_0.1-2_armhf.deb Size: 39114 SHA256: 9c943f4e577226751fa70d6f090c27d23b95f80b0b741144fdac79f9f1822d01 SHA1: 4cef619f5605c6dae1782900c915b540388e2ffb MD5sum: 7ada4bf187c81189a05b95982e190c2d Description: mbox reader/writer library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It reads and writes standard mailbox (mboxrd) files. Package: libghc-memotrie-dev Source: haskell-memotrie Version: 0.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 475 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-void-dev-0.5.5.1-172a3, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-memotrie-doc, libghc-memotrie-prof Provides: libghc-memotrie-dev-0.5-8d65d Homepage: http://hackage.haskell.org/package/MemoTrie Priority: extra Section: haskell Filename: pool/main/h/haskell-memotrie/libghc-memotrie-dev_0.5-1_armhf.deb Size: 90432 SHA256: 889f06b76e2d9bce6870e9fd85ed3f3a2987fd6a3d8b657d1106d5385593c4d9 SHA1: e688354babc59e7bc422d16fd3b060a747bc0eff MD5sum: 55920ea4e4f70bf4ac9af5f12418cc30 Description: trie-based memo functions This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . MemoTrie provides a basis for memoized functions over some domains, using tries. Package: libghc-memotrie-doc Source: haskell-memotrie Version: 0.5-1 Installed-Size: 198 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-void-doc, libghc-memotrie-dev Size: 39402 SHA256: ed246a5cac877097cc176d34114bad812d881244ec8bea29332f52e071364907 SHA1: 126c6bf7d748260849cc0283b5cb2a46444e4f16 MD5sum: c1aca5772ac1e95d1b8194cfaf6182fe Description: trie-based memo functions; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . MemoTrie provides a basis for memoized functions over some domains, using tries. Homepage: http://hackage.haskell.org/package/MemoTrie Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-memotrie/libghc-memotrie-doc_0.5-1_all.deb Package: libghc-memotrie-prof Source: haskell-memotrie Version: 0.5-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 436 Depends: libghc-memotrie-dev (= 0.5-1), libghc-base-prof-4.5.0.0-d93df, libghc-void-prof-0.5.5.1-172a3 Provides: libghc-memotrie-prof-0.5-8d65d Homepage: http://hackage.haskell.org/package/MemoTrie Priority: extra Section: haskell Filename: pool/main/h/haskell-memotrie/libghc-memotrie-prof_0.5-1_armhf.deb Size: 86120 SHA256: b5fee686d1aab831335f8ccf8763c257603af15ddeb6e2abd6678937aff457ac SHA1: e2955237f861e21daf390d343b22d89ccfa98e3f MD5sum: 3d98dd4e4fca87f6e664d6b5ec18561d Description: trie-based memo functions; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . MemoTrie provides a basis for memoized functions over some domains, using tries. Package: libghc-mersenne-random-dev Source: haskell-mersenne-random Version: 1.0.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 321 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-old-time-dev-1.1.0.0-2a9ba, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-mersenne-random-doc, libghc-mersenne-random-prof Provides: libghc-mersenne-random-dev-1.0.0.1-effd6 Homepage: http://hackage.haskell.org/package/mersenne-random Priority: extra Section: haskell Filename: pool/main/h/haskell-mersenne-random/libghc-mersenne-random-dev_1.0.0.1-2_armhf.deb Size: 57932 SHA256: 27324b370b2e6e708d7c1af2024f37458ed02f8089afbb084472f49c7a39ccd7 SHA1: 8bee78840e099b155d96c7369cfe613113cc8ffe MD5sum: feb5c2d2230ea384f2ea1fc1a6e28811 Description: SIMD Fast Mersenne Twister generator of pseudo-random numbers This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The Mersenne twister is a pseudorandom number generator developed by Makoto Matsumoto and Takuji Nishimura that is based on a matrix linear recurrence over a finite binary field. It provides for fast generation of very high-quality pseudo-random numbers. . This library uses SFMT, the SIMD-oriented Fast Mersenne Twister, a variant of Mersenne Twister that is much faster than the original. . By default the period of the function is 2^19937-1. Note that this algorithm on its own is not cryptographically secure. Package: libghc-mersenne-random-doc Source: haskell-mersenne-random Version: 1.0.0.1-2 Installed-Size: 125 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mersenne-random-dev Size: 41726 SHA256: 9d0315f699d6a209ea4c1f83082a519c0d1fab4cc247a6141768084c97fa2a15 SHA1: 17420cdf234a37808d24a3ceebfe34af5f84ad51 MD5sum: d8562365ca02edadc0fd67023f61710d Description: SIMD Fast Mersenne Twister generator of pseudo-random numbers; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The Mersenne twister is a pseudorandom number generator developed by Makoto Matsumoto and Takuji Nishimura that is based on a matrix linear recurrence over a finite binary field. It provides for fast generation of very high-quality pseudo-random numbers. . This library uses SFMT, the SIMD-oriented Fast Mersenne Twister, a variant of Mersenne Twister that is much faster than the original. . By default the period of the function is 2^19937-1. Note that this algorithm on its own is not cryptographically secure. Homepage: http://hackage.haskell.org/package/mersenne-random Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-mersenne-random/libghc-mersenne-random-doc_1.0.0.1-2_all.deb Package: libghc-mersenne-random-prof Source: haskell-mersenne-random Version: 1.0.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 241 Depends: libghc-mersenne-random-dev (= 1.0.0.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-old-time-prof-1.1.0.0-2a9ba Provides: libghc-mersenne-random-prof-1.0.0.1-effd6 Homepage: http://hackage.haskell.org/package/mersenne-random Priority: extra Section: haskell Filename: pool/main/h/haskell-mersenne-random/libghc-mersenne-random-prof_1.0.0.1-2_armhf.deb Size: 43722 SHA256: 9ff222826ba6a52d0f28133b469bff654bdaaf20f9e4020bb6bbaee61f36ff33 SHA1: d243d8f39383b8cee34bd6fac4d22aa92ff92672 MD5sum: 5a56a61de06952121d620f521eb02a00 Description: SIMD Fast Mersenne Twister generator of pseudo-random numbers; profiling libs This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . The Mersenne twister is a pseudorandom number generator developed by Makoto Matsumoto and Takuji Nishimura that is based on a matrix linear recurrence over a finite binary field. It provides for fast generation of very high-quality pseudo-random numbers. . This library uses SFMT, the SIMD-oriented Fast Mersenne Twister, a variant of Mersenne Twister that is much faster than the original. . By default the period of the function is 2^19937-1. Note that this algorithm on its own is not cryptographically secure. Package: libghc-midi-dev Source: haskell-midi Version: 0.2.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 7107 Depends: libghc-quickcheck-dev-2.4.2-170f1, libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-event-list-dev-0.1.0.1-64a7c, libghc-explicit-exception-dev-0.1.7-b6561, libghc-monoid-transformer-dev-0.0.2-29a23, libghc-non-negative-dev-0.1-8cdde, libghc-random-dev-1.0.1.1-344e2, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-midi-doc, libghc-midi-prof Provides: libghc-midi-dev-0.2.0.1-05fa6 Homepage: http://hackage.haskell.org/package/midi Priority: extra Section: haskell Filename: pool/main/h/haskell-midi/libghc-midi-dev_0.2.0.1-1_armhf.deb Size: 1464296 SHA256: 54251ebb4ab91a3bf6f40279ff822ebba0856bce7088bfede1a105cecfd070b3 SHA1: 1009abfa16996bd11541400a94c572ae28e1c647 MD5sum: e21a4229418f69ed9490356b14e7f6e3 Description: MIDI message and file handling This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides data types and functions for handling realtime MIDI messages, reading and writing MIDI files. It does not provide means for sending nor receiving MIDI messages to specific interfaces. Package: libghc-midi-doc Source: haskell-midi Version: 0.2.0.1-1 Installed-Size: 1820 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-event-list-doc, libghc-non-negative-doc, libghc-quickcheck2-doc, libghc-random-doc, libghc-midi-dev Size: 202704 SHA256: 926efffa8bb400d9210437c6467b71cec650cd42d8884b8d9fa36cbc33c586f9 SHA1: 9974f0d1b3067577a1731a39c3c0f5b9b2ac8016 MD5sum: 91e23a6779b5d615ca14406f9c6c6789 Description: MIDI message and file handling; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides data types and functions for handling realtime MIDI messages, reading and writing MIDI files. It does not provide means for sending nor receiving MIDI messages to specific interfaces. Homepage: http://hackage.haskell.org/package/midi Tag: devel::doc, devel::lang:haskell, role::documentation, sound::midi Section: doc Priority: extra Filename: pool/main/h/haskell-midi/libghc-midi-doc_0.2.0.1-1_all.deb Package: libghc-midi-prof Source: haskell-midi Version: 0.2.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 6523 Depends: libghc-midi-dev (= 0.2.0.1-1), libghc-quickcheck-prof-2.4.2-170f1, libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-event-list-prof-0.1.0.1-64a7c, libghc-explicit-exception-prof-0.1.7-b6561, libghc-monoid-transformer-prof-0.0.2-29a23, libghc-non-negative-prof-0.1-8cdde, libghc-random-prof-1.0.1.1-344e2, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-midi-prof-0.2.0.1-05fa6 Homepage: http://hackage.haskell.org/package/midi Priority: extra Section: haskell Filename: pool/main/h/haskell-midi/libghc-midi-prof_0.2.0.1-1_armhf.deb Size: 1392292 SHA256: 29b643223aa7ba0bd2bc7fd9d650b3c514c4ac5d40db2ae2fa409fcdbac10a42 SHA1: 5efec15a118eaa4605e9bd564fc813642465f0d7 MD5sum: 7e9247041543049e7017bc825be68276 Description: MIDI message and file handling; profiling libraries This package provides a library for the Haskell programming language compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides data types and functions for handling realtime MIDI messages, reading and writing MIDI files. It does not provide means for sending nor receiving MIDI messages to specific interfaces. Package: libghc-mime-mail-dev Source: haskell-mime-mail Version: 0.4.1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 801 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-base64-bytestring-dev-0.1.1.1-8e4fe, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-filepath-dev-1.3.0.0-163d9, libghc-process-dev-1.1.0.1-75c65, libghc-random-dev-1.0.1.1-344e2, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-mime-mail-doc, libghc-mime-mail-prof Provides: libghc-mime-mail-dev-0.4.1.1-0338f Homepage: http://hackage.haskell.org/package/mime-mail Priority: extra Section: haskell Filename: pool/main/h/haskell-mime-mail/libghc-mime-mail-dev_0.4.1.1-2_armhf.deb Size: 166862 SHA256: 165eecd34d605053a4c45d2d51ddc3af5732085fb86168f430f296d426c3ef72 SHA1: f8283c5482a8aa933dcaaf3c37244fe687b73346 MD5sum: 55177e4c504d8a3c21856c85acf59299 Description: Library to compose MIME email messages This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides some high-level datatypes for declaring MIME email messages, functions for automatically composing these into bytestrings, and the ability to send bytestrings via the sendmail executable. You can also use any other library you wish to send via different methods, for example directly to SMTP. Package: libghc-mime-mail-doc Source: haskell-mime-mail Version: 0.4.1.1-2 Installed-Size: 212 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-builder-doc, libghc-random-doc, libghc-text-doc, libghc-mime-mail-dev Size: 40636 SHA256: 514c8e36f09aac42597f199858bc132fed58c072d729f1cb9704096ad7bc36bc SHA1: d0727c05cd61a3c368b63a27f6c2af7448349901 MD5sum: 7db25fbecf0ea701e9b113b4a4ac357d Description: Library to compose MIME email messages; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides some high-level datatypes for declaring MIME email messages, functions for automatically composing these into bytestrings, and the ability to send bytestrings via the sendmail executable. You can also use any other library you wish to send via different methods, for example directly to SMTP. Homepage: http://hackage.haskell.org/package/mime-mail Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-mime-mail/libghc-mime-mail-doc_0.4.1.1-2_all.deb Package: libghc-mime-mail-prof Source: haskell-mime-mail Version: 0.4.1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 722 Depends: libghc-mime-mail-dev (= 0.4.1.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-base64-bytestring-prof-0.1.1.1-8e4fe, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-filepath-prof-1.3.0.0-163d9, libghc-process-prof-1.1.0.1-75c65, libghc-random-prof-1.0.1.1-344e2, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-mime-mail-prof-0.4.1.1-0338f Homepage: http://hackage.haskell.org/package/mime-mail Priority: extra Section: haskell Filename: pool/main/h/haskell-mime-mail/libghc-mime-mail-prof_0.4.1.1-2_armhf.deb Size: 150688 SHA256: b75b9eeae8e7e103018de0dfd66563d802c0a8d5513df57117c9581fdb3dac40 SHA1: 869f20494e6c723a35bf14a3d39cb04cfbdc8658 MD5sum: 4b6c69c83d55bae4741fc6de7ec5a2aa Description: Library to compose MIME email messages; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It provides some high-level datatypes for declaring MIME email messages, functions for automatically composing these into bytestrings, and the ability to send bytestrings via the sendmail executable. You can also use any other library you wish to send via different methods, for example directly to SMTP. Package: libghc-missingh-dev Source: missingh Version: 1.1.0.3-6 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 8107 Depends: libghc-hunit-dev-1.2.4.2-6a847, libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-hslogger-dev-1.1.4-21233, libghc-mtl-dev-2.1.1-87121, libghc-network-dev-2.3.0.13-9c99a, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-parsec-dev-3.1.2-aa52f, libghc-process-dev-1.1.0.1-75c65, libghc-random-dev-1.0.1.1-344e2, libghc-regex-compat-dev-0.95.1-e5a39, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-missingh-doc, libghc-missingh-prof Provides: libghc-missingh-dev-1.1.0.3-694df Homepage: http://software.complete.org/software/projects/show/missingh Priority: extra Section: haskell Filename: pool/main/m/missingh/libghc-missingh-dev_1.1.0.3-6_armhf.deb Size: 1638436 SHA256: d6a4551a113fdd85d695decd19ae5f0d90c181e2f2a4d971369a7735aa8d1266 SHA1: 2324977c045f3e260d3b8390f981c4f2969c6784 MD5sum: 40f3d886393e28af70e68122c563aa84 Description: Library of utility functions for Haskell, GHC6 package MissingH is a library of all sorts of utility functions for Haskell programmers. It is written in pure Haskell and thus should be extremely portable and easy to use. It also has no prerequisites save those that are commonly included with Haskell compilers. . MissingH is based on MissingLib library for OCaml and contains some of the same features. However, some features are left behind because they are already in Haskell or not needed here -- and others are added due to things Haskell is missing, or things that Haskell makes possible. Package: libghc-missingh-doc Source: missingh Version: 1.1.0.3-6 Installed-Size: 2803 Maintainer: Debian Haskell Group Architecture: all Replaces: missingh-doc (<< 1.1.0.3-2) Provides: missingh-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hslogger-doc, libghc-mtl-doc, libghc-network-doc, libghc-parsec3-doc, libghc-missingh-dev Breaks: missingh-doc (<< 1.1.0.3-2) Size: 364228 SHA256: 026c7e7cf94c8a35def7f522e7f4efdeaf3eb860539f11ebf94485453e1e1acb SHA1: b1f65fc297e442a39aa02d950afeca28778af1d4 MD5sum: 424396c037061f8fe78d5fabdb19f558 Description: Documentation for Haskell utility library MissingH is a library of all sorts of utility functions for Haskell programmers. It is written in pure Haskell and thus should be extremely portable and easy to use. It also has no prerequisites save those that are commonly included with Haskell compilers. . MissingH is based on MissingLib library for OCaml and contains some of the same features. However, some features are left behind because they are already in Haskell or not needed here -- and others are added due to things Haskell is missing, or things that Haskell makes possible. . This package provides the API documentation for MissingH. Homepage: http://software.complete.org/software/projects/show/missingh Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/m/missingh/libghc-missingh-doc_1.1.0.3-6_all.deb Package: libghc-missingh-prof Source: missingh Version: 1.1.0.3-6 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 7144 Depends: libghc-missingh-dev (= 1.1.0.3-6), libghc-hunit-prof-1.2.4.2-6a847, libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-hslogger-prof-1.1.4-21233, libghc-mtl-prof-2.1.1-87121, libghc-network-prof-2.3.0.13-9c99a, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-parsec-prof-3.1.2-aa52f, libghc-process-prof-1.1.0.1-75c65, libghc-random-prof-1.0.1.1-344e2, libghc-regex-compat-prof-0.95.1-e5a39, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-missingh-prof-1.1.0.3-694df Homepage: http://software.complete.org/software/projects/show/missingh Priority: extra Section: haskell Filename: pool/main/m/missingh/libghc-missingh-prof_1.1.0.3-6_armhf.deb Size: 1483758 SHA256: 360f618f855a7493689e803f6dbfe56df0748c473028d17fd16e98982bafcf09 SHA1: 5f72a2aaef709a5033bdc7d8ddfa4a1b8cfe758d MD5sum: 17286149a2aafc62a1b454e4ef3dcc40 Description: Library of utility functions for Haskell, profiling libraries MissingH is a library of all sorts of utility functions for Haskell programmers. It is written in pure Haskell and thus should be extremely portable and easy to use. It also has no prerequisites save those that are commonly included with Haskell compilers. . MissingH is based on MissingLib library for OCaml and contains some of the same features. However, some features are left behind because they are already in Haskell or not needed here -- and others are added due to things Haskell is missing, or things that Haskell makes possible. . This package provides the MissingH libraries compiled for profiling. Package: libghc-mmap-dev Source: haskell-mmap Version: 0.5.7-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 384 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-mmap-doc, libghc-mmap-prof Provides: libghc-mmap-dev-0.5.7-96941 Homepage: http://hackage.haskell.org/package/mmap Priority: extra Section: haskell Filename: pool/main/h/haskell-mmap/libghc-mmap-dev_0.5.7-2_armhf.deb Size: 85160 SHA256: 3b87ce4afb986b52b23cc6fa489f57568724828b6a3cad8232a23c7eebaeb09d SHA1: c52299f48c4a3af6e0fc8dbfe525f2bae88aa0a1 MD5sum: 4948cbdb5b044d7fd323afa16e9a7765 Description: Memory mapped files for POSIX and Windows This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides a wrapper to mmap(2) or MapViewOfFile, allowing files or devices to be lazily loaded into memory as strict or lazy ByteStrings, ForeignPtrs or plain Ptrs, using the virtual memory subsystem to do on-demand loading. Modifications are also supported. Package: libghc-mmap-doc Source: haskell-mmap Version: 0.5.7-2 Installed-Size: 162 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mmap-dev Size: 44944 SHA256: ce232327252fedde78114ba0877f59602cc221f1994827b037ed5d1ac082febd SHA1: bab6813c5ecb000c409c509bc25bef8406dd2684 MD5sum: a96f5076c2f94ff23f6e150f8cf50319 Description: Memory mapped files for POSIX and Windows; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides a wrapper to mmap(2) or MapViewOfFile, allowing files or devices to be lazily loaded into memory as strict or lazy ByteStrings, ForeignPtrs or plain Ptrs, using the virtual memory subsystem to do on-demand loading. Modifications are also supported. Homepage: http://hackage.haskell.org/package/mmap Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-mmap/libghc-mmap-doc_0.5.7-2_all.deb Package: libghc-mmap-prof Source: haskell-mmap Version: 0.5.7-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 332 Depends: libghc-mmap-dev (= 0.5.7-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-mmap-prof-0.5.7-96941 Homepage: http://hackage.haskell.org/package/mmap Priority: extra Section: haskell Filename: pool/main/h/haskell-mmap/libghc-mmap-prof_0.5.7-2_armhf.deb Size: 72942 SHA256: bae05198b553a819ae11a972ec36564ce8cf4d6b00ff82132e0bc77ed43da090 SHA1: 9498c80cdeaa335f683bbae2716437caab17f59c MD5sum: d4853a0c6a51547ce38d0efde1519fa2 Description: Memory mapped files for POSIX and Windows; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides a wrapper to mmap(2) or MapViewOfFile, allowing files or devices to be lazily loaded into memory as strict or lazy ByteStrings, ForeignPtrs or plain Ptrs, using the virtual memory subsystem to do on-demand loading. Modifications are also supported. Package: libghc-monad-control-dev Source: haskell-monad-control Version: 0.3.1.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 502 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-base-unicode-symbols-dev-0.2.2.3-05b93, libghc-transformers-dev-0.3.0.0-e8222, libghc-transformers-base-dev-0.4.1-9d46f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-monad-control-doc, libghc-monad-control-prof Provides: libghc-monad-control-dev-0.3.1.3-371c1 Homepage: http://hackage.haskell.org/package/monad-control Priority: extra Section: haskell Filename: pool/main/h/haskell-monad-control/libghc-monad-control-dev_0.3.1.3-1_armhf.deb Size: 79332 SHA256: 5c8114776677cea41bcaf4020cbbbe33238d79cb09d7435c55531dff702297d3 SHA1: 08aeac36c574e6bbcd674e31aab45004bc94d439 MD5sum: ba9b0bebb6c397562851a650bb18d733 Description: Monad transformers to lift control operations This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It defines the type class MonadControlIO, a subset of MonadIO into which generic control operations such as catch can be lifted from IO. Instances are based on monad transformers in MonadTransControl, which includes all standard monad transformers in the transformers library except ContT. For convenience, it provides a wrapped version of Control.Exception with types generalized from IO to all monads in MonadControlIO. . Note that this package is a rewrite of Anders Kaseorg's monad-peel library. The main difference is that this package provides CPS style operators and exploits the RankNTypes language extension to simplify most definitions. . The package includes a copy of the monad-peel testsuite written by Anders Kaseorg. The tests can be performed by using cabal test. . The following critertion based benchmark shows that monad-control is on average about 2.5 times faster than monad-peel: . http://code.haskell.org/~basvandijk/code/bench-monad-peel-control Package: libghc-monad-control-doc Source: haskell-monad-control Version: 0.3.1.3-1 Installed-Size: 233 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-transformers-base-doc, libghc-transformers-doc, libghc-monad-control-dev Size: 47100 SHA256: 19f433d960bdda15da0a98d59571c7cc4f686fd7c39fb3dc0bedaf289bac4bc9 SHA1: 63de03c6da5cfe379eb86cb4f9ff498de964708b MD5sum: 2c14b7891736b0948f582ee1d5abb7ec Description: Monad transformers to lift control operations; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It defines the type class MonadControlIO, a subset of MonadIO into which generic control operations such as catch can be lifted from IO. Instances are based on monad transformers in MonadTransControl, which includes all standard monad transformers in the transformers library except ContT. For convenience, it provides a wrapped version of Control.Exception with types generalized from IO to all monads in MonadControlIO. . Note that this package is a rewrite of Anders Kaseorg's monad-peel library. The main difference is that this package provides CPS style operators and exploits the RankNTypes language extension to simplify most definitions. . The package includes a copy of the monad-peel testsuite written by Anders Kaseorg. The tests can be performed by using cabal test. . The following critertion based benchmark shows that monad-control is on average about 2.5 times faster than monad-peel: . http://code.haskell.org/~basvandijk/code/bench-monad-peel-control Homepage: http://hackage.haskell.org/package/monad-control Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-monad-control/libghc-monad-control-doc_0.3.1.3-1_all.deb Package: libghc-monad-control-prof Source: haskell-monad-control Version: 0.3.1.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 497 Depends: libghc-monad-control-dev (= 0.3.1.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-base-unicode-symbols-prof-0.2.2.3-05b93, libghc-transformers-prof-0.3.0.0-e8222, libghc-transformers-base-prof-0.4.1-9d46f Provides: libghc-monad-control-prof-0.3.1.3-371c1 Homepage: http://hackage.haskell.org/package/monad-control Priority: extra Section: haskell Filename: pool/main/h/haskell-monad-control/libghc-monad-control-prof_0.3.1.3-1_armhf.deb Size: 83604 SHA256: de6fba3de8c4d8786b25ed5dfc5150e54ce23a55caf36e5268c5160014d9944d SHA1: 990cbb50940e376765ad4b6ccd1c033369a77dfa MD5sum: d4aaddefc0d44038f97f8f75fde37602 Description: Monad transformers to lift control operations; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It defines the type class MonadControlIO, a subset of MonadIO into which generic control operations such as catch can be lifted from IO. Instances are based on monad transformers in MonadTransControl, which includes all standard monad transformers in the transformers library except ContT. For convenience, it provides a wrapped version of Control.Exception with types generalized from IO to all monads in MonadControlIO. . Note that this package is a rewrite of Anders Kaseorg's monad-peel library. The main difference is that this package provides CPS style operators and exploits the RankNTypes language extension to simplify most definitions. . The package includes a copy of the monad-peel testsuite written by Anders Kaseorg. The tests can be performed by using cabal test. . The following critertion based benchmark shows that monad-control is on average about 2.5 times faster than monad-peel: . http://code.haskell.org/~basvandijk/code/bench-monad-peel-control Package: libghc-monad-loops-dev Source: haskell-monad-loops Version: 0.3.2.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 413 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-monad-loops-doc, libghc-monad-loops-prof Provides: libghc-monad-loops-dev-0.3.2.0-833ed Homepage: http://hackage.haskell.org/package/monad-loops Priority: extra Section: haskell Filename: pool/main/h/haskell-monad-loops/libghc-monad-loops-dev_0.3.2.0-1_armhf.deb Size: 72276 SHA256: 83c90f4688e2e924608ec49a6a98cd8f61ef92885eb69da10cdacc77a483edec SHA1: 2b46c4b2900b3f8f73cc7cfa073bb8eebd09834c MD5sum: dd9920cd9e327cd9355fcc05ba4827c7 Description: monadic looping This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains some useful control operators for looping. Package: libghc-monad-loops-doc Source: haskell-monad-loops Version: 0.3.2.0-1 Installed-Size: 256 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monad-loops-dev Size: 40944 SHA256: 58928d25e04b7e7673c36db186ee08c69c7a3fd58fa60549daa2938297486dbc SHA1: 57cc8001fcc58a9f0970c1e5d27b2b98b8d4c325 MD5sum: 7845f19557b074e1de3eea938f9e72ae Description: monadic looping; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains some useful control operators for looping. Homepage: http://hackage.haskell.org/package/monad-loops Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-monad-loops/libghc-monad-loops-doc_0.3.2.0-1_all.deb Package: libghc-monad-loops-prof Source: haskell-monad-loops Version: 0.3.2.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 407 Depends: libghc-monad-loops-dev (= 0.3.2.0-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-monad-loops-prof-0.3.2.0-833ed Homepage: http://hackage.haskell.org/package/monad-loops Priority: extra Section: haskell Filename: pool/main/h/haskell-monad-loops/libghc-monad-loops-prof_0.3.2.0-1_armhf.deb Size: 74022 SHA256: ad6f21774b74c3907cbd6ae66fefe1c4048689192c4114bd442a27cc81783a85 SHA1: 9acf7c1a7821b7a7a14acf04a3d5854f29ac6861 MD5sum: 1bb28f0e6a49eb683120a87ced0ad81b Description: monadic looping; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It contains some useful control operators for looping. Package: libghc-monad-par-dev Source: haskell-monad-par Version: 0.1.0.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1342 Depends: libghc-hunit-dev-1.2.4.2-6a847, libghc-base-dev-4.5.0.0-d93df, libghc-deepseq-dev-1.3.0.0-6c19e, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-monad-par-doc, libghc-monad-par-prof Provides: libghc-monad-par-dev-0.1.0.3-11a5b Homepage: http://hackage.haskell.org/package/monad-par Priority: extra Section: haskell Filename: pool/main/h/haskell-monad-par/libghc-monad-par-dev_0.1.0.3-2_armhf.deb Size: 273750 SHA256: 65dd1a37fa55a8f2fc1e54e101353152637312f2e1c5b4d15c10e1031be2d78a SHA1: 409f3d49395085b5a5d37fb797a488d596d35c0e MD5sum: c7faf0fb1b6562e10aaa07b323b9baa2 Description: parallel programming based on a monad This library offers an alternative parallel programming API to that provided by the parallel package. The Par monad allows the simple description of parallel computations, and can be used to add parallelism to pure Haskell code. The basic API is straightforward: the monad supports forking and simple communication in terms of IVars. . The library comes with an efficient work-stealing implementation, but the internals are also exposed so that you can build your own scheduler if necessary. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-monad-par-doc Source: haskell-monad-par Version: 0.1.0.3-2 Installed-Size: 697 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hunit-doc, libghc-monad-par-dev Size: 123450 SHA256: be0ff03b354dcbe779cda4bfdaf2957cb6deb5bebab544d7379dc8b0785e9d64 SHA1: 7af58333f09d751763f32b33c53a80728e41358d MD5sum: 7724fb7b636b18a811e97678cb623d2c Description: parallel programming based on a monad; documentation This library offers an alternative parallel programming API to that provided by the parallel package. The Par monad allows the simple description of parallel computations, and can be used to add parallelism to pure Haskell code. The basic API is straightforward: the monad supports forking and simple communication in terms of IVars. . The library comes with an efficient work-stealing implementation, but the internals are also exposed so that you can build your own scheduler if necessary. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/monad-par Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-monad-par/libghc-monad-par-doc_0.1.0.3-2_all.deb Package: libghc-monad-par-prof Source: haskell-monad-par Version: 0.1.0.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1194 Depends: libghc-monad-par-dev (= 0.1.0.3-2), libghc-hunit-prof-1.2.4.2-6a847, libghc-base-prof-4.5.0.0-d93df, libghc-deepseq-prof-1.3.0.0-6c19e Provides: libghc-monad-par-prof-0.1.0.3-11a5b Homepage: http://hackage.haskell.org/package/monad-par Priority: extra Section: haskell Filename: pool/main/h/haskell-monad-par/libghc-monad-par-prof_0.1.0.3-2_armhf.deb Size: 245302 SHA256: 7e15e52a67c3834a6cf1b1cd28acbedd50f1e2fc2219fe9eb6dca4ecbede432e SHA1: 100dca1e5b3af748939519c1238fa6d4c7c8cadf MD5sum: f11fc8066bdd66f0bc1d76dc50183a0b Description: parallel programming based on a monad; profiling data This library offers an alternative parallel programming API to that provided by the parallel package. The Par monad allows the simple description of parallel computations, and can be used to add parallelism to pure Haskell code. The basic API is straightforward: the monad supports forking and simple communication in terms of IVars. . The library comes with an efficient work-stealing implementation, but the internals are also exposed so that you can build your own scheduler if necessary. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-monadcatchio-mtl-dev Source: haskell-monadcatchio-mtl Version: 0.3.0.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 228 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-monadcatchio-mtl-doc, libghc-monadcatchio-mtl-prof Provides: libghc-monadcatchio-mtl-dev-0.3.0.4-ab5f1 Homepage: http://hackage.haskell.org/package/MonadCatchIO-mtl Priority: extra Section: haskell Filename: pool/main/h/haskell-monadcatchio-mtl/libghc-monadcatchio-mtl-dev_0.3.0.4-2_armhf.deb Size: 36316 SHA256: 42e95729819fbe8324c419178c7d535b1311b8be3e6d5c4c2cb1753f1c238516 SHA1: d0a2dba72f546fe17d1b69c09fcd8ecd758dcf0a MD5sum: ecf478ccbce3f354788ef31b3132231c Description: monad-transformer version of the Control.Exception module This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Provides a monad-transformer version of the Control.Exception.catch function. For this, it defines the MonadCatchIO class, a subset of MonadIO. It defines proper instances for most monad transformers in the mtl library. Package: libghc-monadcatchio-mtl-doc Source: haskell-monadcatchio-mtl Version: 0.3.0.4-2 Installed-Size: 153 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-monadcatchio-mtl-dev Size: 33522 SHA256: 0d56ec4eadd54dffbd2443137699f6c1cd49d8c976dc505422e455f318bffcee SHA1: 30e55984913c93b25638fbbff155452b13d4d28a MD5sum: ac6d37ec243507497ca59b3d968f9fe3 Description: monad-transformer version of the Control.Exception module; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Provides a monad-transformer version of the Control.Exception.catch function. For this, it defines the MonadCatchIO class, a subset of MonadIO. It defines proper instances for most monad transformers in the mtl library. Homepage: http://hackage.haskell.org/package/MonadCatchIO-mtl Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-monadcatchio-mtl/libghc-monadcatchio-mtl-doc_0.3.0.4-2_all.deb Package: libghc-monadcatchio-mtl-prof Source: haskell-monadcatchio-mtl Version: 0.3.0.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 214 Depends: libghc-monadcatchio-mtl-dev (= 0.3.0.4-2), libghc-base-prof-4.5.0.0-d93df, libghc-extensible-exceptions-prof-0.1.1.4-d27a1, libghc-mtl-prof-2.1.1-87121 Provides: libghc-monadcatchio-mtl-prof-0.3.0.4-ab5f1 Homepage: http://hackage.haskell.org/package/MonadCatchIO-mtl Priority: extra Section: haskell Filename: pool/main/h/haskell-monadcatchio-mtl/libghc-monadcatchio-mtl-prof_0.3.0.4-2_armhf.deb Size: 36848 SHA256: fdcb44b479a8bbf8d55f25467a8ac32e4f5ececcaf88c25c62239c80a9ce6f90 SHA1: bf213f02ec8d018442f6f72be7b98e5e6a186d5c MD5sum: dfa84e2fb5b4eb694ec2c133b396d0bb Description: monad-transformer version of the Control.Exception module; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Provides a monad-transformer version of the Control.Exception.catch function. For this, it defines the MonadCatchIO class, a subset of MonadIO. It defines proper instances for most monad transformers in the mtl library. Package: libghc-monadcatchio-transformers-dev Source: haskell-monadcatchio-transformers Version: 0.3.0.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 337 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-monadcatchio-transformers-doc, libghc-monadcatchio-transformers-prof Provides: libghc-monadcatchio-transformers-dev-0.3.0.0-03d9d Priority: optional Section: haskell Filename: pool/main/h/haskell-monadcatchio-transformers/libghc-monadcatchio-transformers-dev_0.3.0.0-2_armhf.deb Size: 46882 SHA256: 478ebdc7b51bd2bbe89cc37cbb2439221553576d43bdb1e92ecf9bd47441a016 SHA1: d7cb53d2324702557011b71eb324e8229741503a MD5sum: e177fa562cf15e78d342f41a81266713 Description: Monad-transformer compatible with Control.Exception Provides functions to throw and catch exceptions. Unlike the functions from Control.Exception, which work in IO, these work in any stack of monad transformers (from the 'transformers' package) with IO as the base monad. You can extend this functionality to other monads, by creating an instance of the MonadCatchIO class. . This package contains the libraries compiled for GHC. Package: libghc-monadcatchio-transformers-doc Source: haskell-monadcatchio-transformers Version: 0.3.0.0-2 Installed-Size: 183 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-transformers-doc, libghc-monadcatchio-transformers-dev Size: 36296 SHA256: a358542d1043bebe0f8457790c2881df89e6f3dce6fa4d0f466e51ae6f2cda32 SHA1: e5bf416d6201a7c4aa3d88d8eadd2243c6b95df2 MD5sum: 78868088d36efeb831d8635fea278fac Description: Monad-transformer compatible with Control.Exception; documentation Provides functions to throw and catch exceptions. Unlike the functions from Control.Exception, which work in IO, these work in any stack of monad transformers (from the 'transformers' package) with IO as the base monad. You can extend this functionality to other monads, by creating an instance of the MonadCatchIO class. . This package contains the library documentation. Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: optional Filename: pool/main/h/haskell-monadcatchio-transformers/libghc-monadcatchio-transformers-doc_0.3.0.0-2_all.deb Package: libghc-monadcatchio-transformers-prof Source: haskell-monadcatchio-transformers Version: 0.3.0.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 321 Depends: libghc-monadcatchio-transformers-dev (= 0.3.0.0-2), libghc-base-prof-4.5.0.0-d93df, libghc-extensible-exceptions-prof-0.1.1.4-d27a1, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-monadcatchio-transformers-prof-0.3.0.0-03d9d Priority: optional Section: haskell Filename: pool/main/h/haskell-monadcatchio-transformers/libghc-monadcatchio-transformers-prof_0.3.0.0-2_armhf.deb Size: 49232 SHA256: 572efc54e9df97f2425eff087f62ff6b014e1068b8164f4fbafc5b2bc9151778 SHA1: f21632a6225ec2298c1041bbd533a1a2a423239f MD5sum: abb896ef307838de9352bef4148088e2 Description: Monad-transformer compatible with Control.Exception; profiling library Provides functions to throw and catch exceptions. Unlike the functions from Control.Exception, which work in IO, these work in any stack of monad transformers (from the 'transformers' package) with IO as the base monad. You can extend this functionality to other monads, by creating an instance of the MonadCatchIO class. . This package contains the profiling libraries compiled for GHC. Package: libghc-monadcryptorandom-dev Source: haskell-monadcryptorandom (0.4.1-1) Version: 0.4.1-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 461 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-crypto-api-dev-0.10.2-7a3b7, libghc-mtl-dev-2.1.1-87121, libghc-transformers-dev-0.3.0.0-e8222 Suggests: libghc-monadcryptorandom-doc, libghc-monadcryptorandom-prof Provides: libghc-monadcryptorandom-dev-0.4.1-67a86 Homepage: http://trac.haskell.org/crypto-api/wiki Priority: extra Section: haskell Filename: pool/main/h/haskell-monadcryptorandom/libghc-monadcryptorandom-dev_0.4.1-1+b1_armhf.deb Size: 76890 SHA256: 9bb69882d030f51ad4eda050ade8a04d4e721c6de5a82e9078bd29d62b52cf70 SHA1: 6b55c8ac21cc30d13532e0203fc82ad6fc43bda1 MD5sum: e91425fb383934aa24546bcfa0a0d526 Description: monad for using CryptoRandomGen A monad for using CryptoRandomGen. . This package contains the normal Haskell library files. Package: libghc-monadcryptorandom-doc Source: haskell-monadcryptorandom Version: 0.4.1-1 Installed-Size: 181 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-crypto-api-doc, libghc-mtl-doc, libghc-transformers-doc, libghc-monadcryptorandom-dev Size: 36666 SHA256: 9373c57794245a63d9216c90313db30e45b287fd651fd4836df2c44392dfa223 SHA1: 4455bacf2ab9db1ef59e34a8fefbe718de1ed1b6 MD5sum: 7508caacbdbc55913972cd4ec045eff2 Description: monad for using CryptoRandomGen; documentation A monad for using CryptoRandomGen. . This package contains the documentation files. Homepage: http://trac.haskell.org/crypto-api/wiki Section: doc Priority: extra Filename: pool/main/h/haskell-monadcryptorandom/libghc-monadcryptorandom-doc_0.4.1-1_all.deb Package: libghc-monadcryptorandom-prof Source: haskell-monadcryptorandom (0.4.1-1) Version: 0.4.1-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 404 Depends: libghc-monadcryptorandom-dev (= 0.4.1-1+b1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-crypto-api-prof-0.10.2-7a3b7, libghc-mtl-prof-2.1.1-87121, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-monadcryptorandom-prof-0.4.1-67a86 Homepage: http://trac.haskell.org/crypto-api/wiki Priority: extra Section: haskell Filename: pool/main/h/haskell-monadcryptorandom/libghc-monadcryptorandom-prof_0.4.1-1+b1_armhf.deb Size: 72074 SHA256: 5c2b0f5d8ad7f0d72bbc2cdb1515391757c4405ae07605305c9bb373c06dc752 SHA1: d30bbdae4449163afbfdd6cf350e1ca15c15ce44 MD5sum: 887c008eed70b5a2d315d0cb6566ba83 Description: monad for using CryptoRandomGen; profiling libraries A monad for using CryptoRandomGen. . This package contains the libraries compiled with profiling enabled. Package: libghc-monadrandom-dev Source: haskell-monadrandom Version: 0.1.6-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 482 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-mtl-dev-2.1.1-87121, libghc-random-dev-1.0.1.1-344e2, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-monadrandom-doc, libghc-monadrandom-prof Provides: libghc-monadrandom-dev-0.1.6-5fac5 Homepage: http://hackage.haskell.org/package/monadrandom Priority: extra Section: haskell Filename: pool/main/h/haskell-monadrandom/libghc-monadrandom-dev_0.1.6-2_armhf.deb Size: 79762 SHA256: bf9209eaa56ac2277d4718853542c06bf9c00d78ea7c2a4ff1ad9d4ed23b2ec6 SHA1: d852f0e2a0c33a0267ababd7ec20c4aef11fc042 MD5sum: c013d987428613b2af25ed82fe2bb231 Description: random-number generation monad This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Support for computations which consume random values. Package: libghc-monadrandom-doc Source: haskell-monadrandom Version: 0.1.6-2 Installed-Size: 172 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-random-doc, libghc-monadrandom-dev Size: 36092 SHA256: d8360bfe60277f4b1c3ab51078b30f505a074af6aef01a99bba5d5d7fc6de6dd SHA1: 92a9fd96135272b44fb5801fa91a6e57d841e0e6 MD5sum: f20e7708dd0e45db8bb7c71f2910d81b Description: random-number generation monad; documentation This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Support for computations which consume random values. Homepage: http://hackage.haskell.org/package/monadrandom Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-monadrandom/libghc-monadrandom-doc_0.1.6-2_all.deb Package: libghc-monadrandom-prof Source: haskell-monadrandom Version: 0.1.6-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 465 Depends: libghc-monadrandom-dev (= 0.1.6-2), libghc-base-prof-4.5.0.0-d93df, libghc-mtl-prof-2.1.1-87121, libghc-random-prof-1.0.1.1-344e2 Provides: libghc-monadrandom-prof-0.1.6-5fac5 Homepage: http://hackage.haskell.org/package/monadrandom Priority: extra Section: haskell Filename: pool/main/h/haskell-monadrandom/libghc-monadrandom-prof_0.1.6-2_armhf.deb Size: 82878 SHA256: c2d0552c14c62fa98e95585cbea1b6a064b74547d8d2f86284793aec9abc2156 SHA1: 125278ec2e6973a1c5ae45286ead9b6e0c98cd9a MD5sum: 4b6578c2ded60f8de9fce8bfa67cb69d Description: random-number generation monad; profiling libraries This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Support for computations which consume random values. Package: libghc-monads-tf-dev Source: haskell-monads-tf Version: 0.1.0.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 963 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df, libghc-transformers-dev-0.3.0.0-e8222 Suggests: libghc-monads-tf-doc, libghc-monads-tf-prof Provides: libghc-monads-tf-dev-0.1.0.0-d0705 Homepage: http://hackage.haskell.org/package/monads-tf Priority: extra Section: haskell Filename: pool/main/h/haskell-monads-tf/libghc-monads-tf-dev_0.1.0.0-1_armhf.deb Size: 140904 SHA256: d9aa80a4d33e53350718f1516a8e15077b9ed730740e6cf81e7e2262120f0042 SHA1: 35d3d79fdee5c91078f9f64a905d46afcd2df32a MD5sum: 33f8d432dfed04b877dcf468bbef32ee Description: monad classes, using type families Monad classes using type families, with instances for various monad transformers, inspired by the paper /Functional Programming with Overloading and Higher-Order Polymorphism/, by Mark P Jones, in Advanced School of Functional Programming, 1995 (http://web.cecs.pdx.edu/~mpj/pubs/springschool.html). . This package contains the normal library files. Package: libghc-monads-tf-doc Source: haskell-monads-tf Version: 0.1.0.0-1 Installed-Size: 840 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-transformers-doc, libghc-monads-tf-dev Size: 102928 SHA256: 07e96ce826a4d1af105c73aaa6d8df0c9943547fb5550ef2c73ab793d4aa888b SHA1: 792c2623a2ae7062e3788d78fe0d9a4c3a996579 MD5sum: c48fc2b90ca8d7e865a0983786a1240b Description: monad classes, using type families; documentation Monad classes using type families, with instances for various monad transformers, inspired by the paper /Functional Programming with Overloading and Higher-Order Polymorphism/, by Mark P Jones, in Advanced School of Functional Programming, 1995 (http://web.cecs.pdx.edu/~mpj/pubs/springschool.html). . This package contains the documentation files. Homepage: http://hackage.haskell.org/package/monads-tf Section: doc Priority: extra Filename: pool/main/h/haskell-monads-tf/libghc-monads-tf-doc_0.1.0.0-1_all.deb Package: libghc-monads-tf-prof Source: haskell-monads-tf Version: 0.1.0.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 938 Depends: libghc-monads-tf-dev (= 0.1.0.0-1), libghc-base-prof-4.5.0.0-d93df, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-monads-tf-prof-0.1.0.0-d0705 Homepage: http://hackage.haskell.org/package/monads-tf Priority: extra Section: haskell Filename: pool/main/h/haskell-monads-tf/libghc-monads-tf-prof_0.1.0.0-1_armhf.deb Size: 156898 SHA256: e8b603bf4369fd028c3cbc78ff6d3ee2e77775b35d0609e0a28c51a13ec264f5 SHA1: e6af821ab83ef054790b73da2c9cc7f4922cdbb5 MD5sum: b38a936b2f8e35b3ea91b5a479ad5ad1 Description: monad classes, using type families; profiling libraries Monad classes using type families, with instances for various monad transformers, inspired by the paper /Functional Programming with Overloading and Higher-Order Polymorphism/, by Mark P Jones, in Advanced School of Functional Programming, 1995 (http://web.cecs.pdx.edu/~mpj/pubs/springschool.html). . This package contains the libraries compiled with profiling enabled. Package: libghc-monoid-transformer-dev Source: haskell-monoid-transformer Version: 0.0.2-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 152 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-monoid-transformer-doc, libghc-monoid-transformer-prof Provides: libghc-monoid-transformer-dev-0.0.2-29a23 Homepage: http://hackage.haskell.org/package/monoid-transformer Priority: extra Section: haskell Filename: pool/main/h/haskell-monoid-transformer/libghc-monoid-transformer-dev_0.0.2-3_armhf.deb Size: 20830 SHA256: ca14806b24efe9df197fce0b7b961abe3761a41b093f9808cfc6821da88f6da2 SHA1: e6b69ad1f0c39e50c2f37547d3b0d6155b34f439 MD5sum: 1e8b50e78f5a8faa2a57f61b358d5d8c Description: Transformers for Reader and State Monoids This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides Monoid Transformers for the Reader Monoid and the State Monoid. There's no Monoid Transformer for the Writer Monoid since the Writer Monad transforms a Monoid into a Monad. Package: libghc-monoid-transformer-doc Source: haskell-monoid-transformer Version: 0.0.2-3 Installed-Size: 123 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monoid-transformer-dev Size: 30132 SHA256: 8671bc63a6021e96504cce950ffbbe6f707ed917c49d56956b053e263f476e44 SHA1: 4b5feeab33b46a58142b29f0bbab05cd0f52e3ba MD5sum: 9bfe1dd11c25c9a93c6731069d4b2780 Description: Transformers for Reader and State Monoids; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides Monoid Transformers for the Reader Monoid and the State Monoid. There's no Monoid Transformer for the Writer Monoid since the Writer Monad transforms a Monoid into a Monad. Homepage: http://hackage.haskell.org/package/monoid-transformer Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-monoid-transformer/libghc-monoid-transformer-doc_0.0.2-3_all.deb Package: libghc-monoid-transformer-prof Source: haskell-monoid-transformer Version: 0.0.2-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 137 Depends: libghc-monoid-transformer-dev (= 0.0.2-3), libghc-base-prof-4.5.0.0-d93df Provides: libghc-monoid-transformer-prof-0.0.2-29a23 Homepage: http://hackage.haskell.org/package/monoid-transformer Priority: extra Section: haskell Filename: pool/main/h/haskell-monoid-transformer/libghc-monoid-transformer-prof_0.0.2-3_armhf.deb Size: 22956 SHA256: ef0889e6bcae39ac91efef14d99c9366772f1aa42d2588584ab7ef2cae595ea2 SHA1: d044d19e74b80f3a9f5c9b548900d72835c1212f MD5sum: 426d81026936ee5d6f9a6de0b03d05b7 Description: Transformers for Reader and State Monoids; profiling libraries This package provides a library for the Haskell programming language compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides Monoid Transformers for the Reader Monoid and the State Monoid. There's no Monoid Transformer for the Writer Monoid since the Writer Monad transforms a Monoid into a Monad. Package: libghc-mtl-dev Source: haskell-mtl Version: 2.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 990 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-mtl-doc, libghc-mtl-prof Provides: libghc-mtl-dev-2.1.1-87121 Homepage: http://hackage.haskell.org/package/mtl Priority: extra Section: haskell Filename: pool/main/h/haskell-mtl/libghc-mtl-dev_2.1.1-1_armhf.deb Size: 151158 SHA256: 0098f54b34de829f91fdee7d94c04c29261192471d558e40b76ebf27424ec0e6 SHA1: a394880f8604a68baedfc515b2291e3f3e69cfec MD5sum: a592dd79d27d117293e4e0a6f62221cc Description: Haskell monad transformer library for GHC This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . MTL is a monad transformer library, inspired by the paper "Functional Programming with Overloading and Higher-Order Polymorphism", by Mark P Jones (), Advanced School of Functional Programming, 1995. Package: libghc-mtl-doc Source: haskell-mtl Version: 2.1.1-1 Installed-Size: 867 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-transformers-doc, libghc-mtl-dev Size: 109082 SHA256: 1184e9dc5c8b4a0b4760993f95c4c1f6185d763703bb8968e8f54e90456067c8 SHA1: 2a41c822e6ac0d04cc769f221075e4017577ede7 MD5sum: 5c7d9cd32561a3c07c3d3a0df59b7059 Description: Haskell monad transformer library for GHC; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . MTL is a monad transformer library, inspired by the paper "Functional Programming with Overloading and Higher-Order Polymorphism", by Mark P Jones (), Advanced School of Functional Programming, 1995. Homepage: http://hackage.haskell.org/package/mtl Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-mtl/libghc-mtl-doc_2.1.1-1_all.deb Package: libghc-mtl-prof Source: haskell-mtl Version: 2.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 985 Depends: libghc-mtl-dev (= 2.1.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-mtl-prof-2.1.1-87121 Homepage: http://hackage.haskell.org/package/mtl Priority: extra Section: haskell Filename: pool/main/h/haskell-mtl/libghc-mtl-prof_2.1.1-1_armhf.deb Size: 170060 SHA256: 795720f92654b02f56d916eb0c14794aafdba464d828507cd071fe36186d4280 SHA1: 05987622bc276a5a33273269c13da143da8f07a0 MD5sum: ce7c2d4482daa856d0d488b1a97f5279 Description: Haskell monad transformer library for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . MTL is a monad transformer library, inspired by the paper "Functional Programming with Overloading and Higher-Order Polymorphism", by Mark P Jones (), Advanced School of Functional Programming, 1995. Package: libghc-mtlparse-dev Source: haskell-mtlparse Version: 0.1.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 590 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-mtlparse-doc, libghc-mtlparse-prof Provides: libghc-mtlparse-dev-0.1.2-f698b Homepage: http://hackage.haskell.org/package/mtlparse Priority: extra Section: haskell Filename: pool/main/h/haskell-mtlparse/libghc-mtlparse-dev_0.1.2-2_armhf.deb Size: 94036 SHA256: 2ed2b32523f137dc4517275c4637ba76c84175e4d310dea8c1f989c8b2e2738f SHA1: df4809d672c40ae01f7f6dc66f4b84ed8057a671 MD5sum: 2adcbe889da121e7731e6279fabb15c4 Description: Parser combinators for monad transformation This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package eases implementation of look-ahead and look-behind. Package: libghc-mtlparse-doc Source: haskell-mtlparse Version: 0.1.2-2 Installed-Size: 234 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-mtlparse-dev Size: 38172 SHA256: b6a867a56584aac024c5ef1ce2f368c9009393a966cf9cce1ef04766487f0d32 SHA1: 3f0b7dda13deb5e43b7afddd0b6cb9865a99ffeb MD5sum: 36621598377a6cfcf162a7f3bc1cd6c2 Description: Parser combinators for monad transformation; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package eases implementation of look-ahead and look-behind. Homepage: http://hackage.haskell.org/package/mtlparse Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-mtlparse/libghc-mtlparse-doc_0.1.2-2_all.deb Package: libghc-mtlparse-prof Source: haskell-mtlparse Version: 0.1.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 568 Depends: libghc-mtlparse-dev (= 0.1.2-2), libghc-base-prof-4.5.0.0-d93df, libghc-mtl-prof-2.1.1-87121 Provides: libghc-mtlparse-prof-0.1.2-f698b Homepage: http://hackage.haskell.org/package/mtlparse Priority: extra Section: haskell Filename: pool/main/h/haskell-mtlparse/libghc-mtlparse-prof_0.1.2-2_armhf.deb Size: 98980 SHA256: c9a7a16e0139b3ddf7ee648472db13a5893017a9acea14d4b653af6a8592fcd5 SHA1: 28d484002c567db6a2c45feb072a0270c69b743e MD5sum: 348fc9a2fbf9ad4f2f03dfac42fc1cc2 Description: Parser combinators for monad transformation; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package eases implementation of look-ahead and look-behind. Package: libghc-murmur-hash-dev Source: haskell-murmur-hash Version: 0.1.0.5-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 361 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0 Suggests: libghc-murmur-hash-doc, libghc-murmur-hash-prof Provides: libghc-murmur-hash-dev-0.1.0.5-f23b5 Homepage: http://github.com/nominolo/murmur-hash Priority: extra Section: haskell Filename: pool/main/h/haskell-murmur-hash/libghc-murmur-hash-dev_0.1.0.5-2_armhf.deb Size: 61848 SHA256: 18be8ac1d336ea96834d451e3a1cfd4f3520765d539394419c9524e879dbd445 SHA1: a45ccb0c4ba9c6b0c51be10bacba5acde40b3683 MD5sum: 0c50145c74a4e891b3661ac37d7bd66c Description: MurmurHash2 implementation for Haskell. Implements MurmurHash2, a good, fast, general-purpose, non-cryptographic hashing function. See for details. . This implementation is pure Haskell, so it might be a bit slower than a C FFI binding. . This package contains the normal library files. Package: libghc-murmur-hash-doc Source: haskell-murmur-hash Version: 0.1.0.5-2 Installed-Size: 188 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-murmur-hash-dev Size: 36820 SHA256: 67f88aa0baee0d4c98948bf4cfe80b9163b7f2adaa239bfbacb857d338767a22 SHA1: d90b39ca4066f60f8a280d4d10a52387b2c35fa7 MD5sum: 615bda49b109732bc35c791067de0d40 Description: MurmurHash2 implementation for Haskell.; documentation Implements MurmurHash2, a good, fast, general-purpose, non-cryptographic hashing function. See for details. . This implementation is pure Haskell, so it might be a bit slower than a C FFI binding. . This package contains the documentation files. Homepage: http://github.com/nominolo/murmur-hash Section: doc Priority: extra Filename: pool/main/h/haskell-murmur-hash/libghc-murmur-hash-doc_0.1.0.5-2_all.deb Package: libghc-murmur-hash-prof Source: haskell-murmur-hash Version: 0.1.0.5-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 319 Depends: libghc-murmur-hash-dev (= 0.1.0.5-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-murmur-hash-prof-0.1.0.5-f23b5 Homepage: http://github.com/nominolo/murmur-hash Priority: extra Section: haskell Filename: pool/main/h/haskell-murmur-hash/libghc-murmur-hash-prof_0.1.0.5-2_armhf.deb Size: 60730 SHA256: 7b263662e0df31298d91ccadc9610416f32d9c4dbd800559c912333cbedb776a SHA1: 12714f313db443a5872320c882ae2381e80b21f4 MD5sum: 626fde6b3a2bc9a5131d4cfe41d1d5c4 Description: MurmurHash2 implementation for Haskell.; profiling libraries Implements MurmurHash2, a good, fast, general-purpose, non-cryptographic hashing function. See for details. . This implementation is pure Haskell, so it might be a bit slower than a C FFI binding. . This package contains the libraries compiled with profiling enabled. Package: libghc-mwc-random-dev Source: haskell-mwc-random Version: 0.11.0.0-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2478 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-primitive-dev-0.4.1-342b9, libghc-time-dev-1.4-ec63b, libghc-vector-dev-0.9.1-8e782, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-mwc-random-doc, libghc-mwc-random-prof Provides: libghc-mwc-random-dev-0.11.0.0-da9cd Homepage: http://hackage.haskell.org/package/mwc-random Priority: extra Section: haskell Filename: pool/main/h/haskell-mwc-random/libghc-mwc-random-dev_0.11.0.0-4_armhf.deb Size: 446668 SHA256: ef7d1fac180b7f1dbfa25bd882c2d50045cb20b601b2b9e1f26fb612f851206d SHA1: 3c87cc96db5625e09a227a66bea4cf443ed74fe5 MD5sum: 8669903f8c15999a233991224a142399 Description: Fast, high quality pseudo random number generation This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package contains code for generating high quality random numbers that follow either a uniform or normal distribution. The generated numbers are suitable for use in statistical applications. . The uniform PRNG uses Marsaglia's MWC256 (also known as MWC8222) multiply-with-carry generator, which has a period of 2^8222 and fares well in tests of randomness. It is also extremely fast, between 2 and 3 times faster than the Mersenne Twister. . Compared to the mersenne-random package, this package has a more convenient API, is faster, and supports more statistical distributions. Package: libghc-mwc-random-doc Source: haskell-mwc-random Version: 0.11.0.0-4 Installed-Size: 305 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-primitive-doc, libghc-vector-doc, libghc-mwc-random-dev Size: 52678 SHA256: 92e8c9fa2b6383f7ac90e87798861ab8e123c16a7ede73853c8d35f5670c81f4 SHA1: a0989a0eb52f0b4d9cfcd4af5735bb7e7b60e894 MD5sum: fccef17f11c53334617f1a00abae457d Description: Fast, high quality pseudo random number generation; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package contains code for generating high quality random numbers that follow either a uniform or normal distribution. The generated numbers are suitable for use in statistical applications. . The uniform PRNG uses Marsaglia's MWC256 (also known as MWC8222) multiply-with-carry generator, which has a period of 2^8222 and fares well in tests of randomness. It is also extremely fast, between 2 and 3 times faster than the Mersenne Twister. . Compared to the mersenne-random package, this package has a more convenient API, is faster, and supports more statistical distributions. Homepage: http://hackage.haskell.org/package/mwc-random Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-mwc-random/libghc-mwc-random-doc_0.11.0.0-4_all.deb Package: libghc-mwc-random-prof Source: haskell-mwc-random Version: 0.11.0.0-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 998 Depends: libghc-mwc-random-dev (= 0.11.0.0-4), libghc-base-prof-4.5.0.0-d93df, libghc-primitive-prof-0.4.1-342b9, libghc-time-prof-1.4-ec63b, libghc-vector-prof-0.9.1-8e782 Provides: libghc-mwc-random-prof-0.11.0.0-da9cd Homepage: http://hackage.haskell.org/package/mwc-random Priority: extra Section: haskell Filename: pool/main/h/haskell-mwc-random/libghc-mwc-random-prof_0.11.0.0-4_armhf.deb Size: 220064 SHA256: 3fa011d84837c4cee39dcc780b1fea6169dbce621cbe2f81a05fb095fc7036be SHA1: ff3a129033bb2051dc632f1c9dffdf98edcebb9f MD5sum: e88833759a3fbe58333d968ff45fbe13 Description: Fast, high quality pseudo random number generation; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package contains code for generating high quality random numbers that follow either a uniform or normal distribution. The generated numbers are suitable for use in statistical applications. . The uniform PRNG uses Marsaglia's MWC256 (also known as MWC8222) multiply-with-carry generator, which has a period of 2^8222 and fares well in tests of randomness. It is also extremely fast, between 2 and 3 times faster than the Mersenne Twister. . Compared to the mersenne-random package, this package has a more convenient API, is faster, and supports more statistical distributions. Package: libghc-ncurses-dev Source: haskell-ncurses Version: 0.2.1-1 Architecture: armhf Maintainer: John Millikin Installed-Size: 1412 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-text-dev-0.11.2.0-05a46, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libncurses5 (>= 5.5-5~), libncursesw5 (>= 5.6+20070908), libtinfo5 Suggests: libghc-ncurses-doc, libghc-ncurses-prof Provides: libghc-ncurses-dev-0.2.1-a7222 Homepage: https://john-millikin.com/software/haskell-ncurses/ Priority: optional Section: haskell Filename: pool/main/h/haskell-ncurses/libghc-ncurses-dev_0.2.1-1_armhf.deb Size: 293484 SHA256: 702a29fa2a98b19042d1da19da03899deef390a6fe40bda9207613333ef6239b SHA1: 46079665f4bea2d7f0ec3edd72563f620107b158 MD5sum: a520adb668bbe75c903ed36805e49323 Description: Haskell bindings to the GNU ncurses library Haskell bindings to GNU ncurses, a library for creating advanced text-based user interfaces. . This package provides a library written in the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ncurses-doc Source: haskell-ncurses Version: 0.2.1-1 Installed-Size: 661 Maintainer: John Millikin Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-transformers-doc, libghc-ncurses-dev Size: 84856 SHA256: 215bfe348caa13488a44301c5cf79c098d3212b73aaa7b9eab8562757d10403c SHA1: d2c3e6d5c4ba90c903dd68cbcf95321061b4accd MD5sum: f72dedb4698b030935f6c2fe0d9542bb Description: Haskell bindings to the GNU ncurses library; documentation Haskell bindings to GNU ncurses, a library for creating advanced text-based user interfaces. . This package provides documentation for a library written in the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: https://john-millikin.com/software/haskell-ncurses/ Section: doc Priority: optional Filename: pool/main/h/haskell-ncurses/libghc-ncurses-doc_0.2.1-1_all.deb Package: libghc-ncurses-prof Source: haskell-ncurses Version: 0.2.1-1 Architecture: armhf Maintainer: John Millikin Installed-Size: 1173 Depends: libghc-ncurses-dev (= 0.2.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-text-prof-0.11.2.0-05a46, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-ncurses-prof-0.2.1-a7222 Homepage: https://john-millikin.com/software/haskell-ncurses/ Priority: optional Section: haskell Filename: pool/main/h/haskell-ncurses/libghc-ncurses-prof_0.2.1-1_armhf.deb Size: 244720 SHA256: 41e1ff821070efedba3a8e770a19bcd7c53801f7b5eb462192c303f5008632ce SHA1: e0f948bdbd4b5ac99aa4728f6ad9fabd9803e3e4 MD5sum: 4e6544ae7db87e614a30330f99b09124 Description: Haskell bindings to the GNU ncurses library; profiling libraries Haskell bindings to GNU ncurses, a library for creating advanced text-based user interfaces. . This package provides a library written in the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-netwire-dev Source: haskell-netwire Version: 3.1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1781 Depends: libghc-arrows-dev-0.4.4.0-8e269, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-lifted-base-dev-0.1.1-863d2, libghc-monad-control-dev-0.3.1.3-371c1, libghc-mtl-dev-2.1.1-87121, libghc-random-dev-1.0.1.1-344e2, libghc-stm-dev-2.3-2735f, libghc-time-dev-1.4-ec63b, libghc-vector-dev-0.9.1-8e782, libghc-vector-space-dev-0.8.1-23447, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-netwire-doc, libghc-netwire-prof Provides: libghc-netwire-dev-3.1.0-ba47c Homepage: http://hackage.haskell.org/package/netwire Priority: extra Section: haskell Filename: pool/main/h/haskell-netwire/libghc-netwire-dev_3.1.0-2_armhf.deb Size: 394842 SHA256: bc49e1abe68cd617793409fdad413439a18e5d94f32bd02cc96b0ea4924d582c SHA1: 16295a75fb60a45922327a3e00175905d6c8b432 MD5sum: 1e016870a7a5e49620d6468ddc13cee1 Description: arrowized FRP implementation This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides an arrowized functional reactive programming (FRP) implementation. From the basic idea it is similar to Yampa and Animas, but has a much simpler internal representation and a lot of new features. Package: libghc-netwire-doc Source: haskell-netwire Version: 3.1.0-2 Installed-Size: 1098 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-arrows-doc, libghc-monad-control-doc, libghc-random-doc, libghc-vector-doc, libghc-vector-space-doc, libghc-netwire-dev Size: 114504 SHA256: bb7a17e01127cea19b80470211dd8fc944288dd11299f6a812778d3a311cb2e2 SHA1: f7d40bca511844fe20d43a2fe41c5297d7ad593e MD5sum: d4de60dbe1982bf36a519bbe48a7801b Description: small but solid library for FRP; documentation This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides an arrowized functional reactive programming (FRP) implementation. From the basic idea it is similar to Yampa and Animas, but has a much simpler internal representation and a lot of new features. Homepage: http://hackage.haskell.org/package/netwire Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-netwire/libghc-netwire-doc_3.1.0-2_all.deb Package: libghc-netwire-prof Source: haskell-netwire Version: 3.1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1823 Depends: libghc-netwire-dev (= 3.1.0-2), libghc-arrows-prof-0.4.4.0-8e269, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-deepseq-prof-1.3.0.0-6c19e, libghc-lifted-base-prof-0.1.1-863d2, libghc-monad-control-prof-0.3.1.3-371c1, libghc-mtl-prof-2.1.1-87121, libghc-random-prof-1.0.1.1-344e2, libghc-stm-prof-2.3-2735f, libghc-time-prof-1.4-ec63b, libghc-vector-prof-0.9.1-8e782, libghc-vector-space-prof-0.8.1-23447 Provides: libghc-netwire-prof-3.1.0-ba47c Homepage: http://hackage.haskell.org/package/netwire Priority: extra Section: haskell Filename: pool/main/h/haskell-netwire/libghc-netwire-prof_3.1.0-2_armhf.deb Size: 429238 SHA256: db20d6a7e0e18dc63bdebb47381ac6b4b60cfcd0ca8207bbb58b9cd8da16b793 SHA1: 22fa6921afc8b91677fffa3578780d56592488e9 MD5sum: ac5c1a34ac25c8a453b6ceb29051cc53 Description: small but solid library for FRP; profiling libraries This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides an arrowized functional reactive programming (FRP) implementation. From the basic idea it is similar to Yampa and Animas, but has a much simpler internal representation and a lot of new features. Package: libghc-network-conduit-dev Source: haskell-network-conduit Version: 0.4.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 427 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-conduit-dev-0.4.2-2f3e1, libghc-lifted-base-dev-0.1.1-863d2, libghc-monad-control-dev-0.3.1.3-371c1, libghc-network-dev-2.3.0.13-9c99a, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-network-conduit-doc, libghc-network-conduit-prof Provides: libghc-network-conduit-dev-0.4.0.1-d3305 Homepage: http://hackage.haskell.org/package/haskell-network-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-network-conduit/libghc-network-conduit-dev_0.4.0.1-2_armhf.deb Size: 82974 SHA256: 4ee5fa4e2692ac5d197de394adad0534dc2ae3087631c8e76b1490fe67ce1393 SHA1: 87b5c88c86abf09d2d600dcf4a3cd88b53a39f65 MD5sum: dfc7053f70e320fc7fb70322223cc4a5 Description: stream socket data using conduits This package provides conduit sources and sinks for network sockets, as well as a simple TCP client/server interface. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-network-conduit-doc Source: haskell-network-conduit Version: 0.4.0.1-2 Installed-Size: 158 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-conduit-doc, libghc-monad-control-doc, libghc-network-doc, libghc-transformers-doc, libghc-network-conduit-dev Size: 35594 SHA256: 9c58865badc80b375087b02f97dad4e8dca7a25f24f17956709a6af0bab0895e SHA1: bf06b69d3888dd5f2db954c52e4ce2db931bfb4c MD5sum: 3266aaac090d8828bb069851d5f50aed Description: stream socket data using conduits; documentation This package provides conduit sources and sinks for network sockets, as well as a simple TCP client/server interface. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-network-conduit Section: doc Priority: extra Filename: pool/main/h/haskell-network-conduit/libghc-network-conduit-doc_0.4.0.1-2_all.deb Package: libghc-network-conduit-prof Source: haskell-network-conduit Version: 0.4.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 375 Depends: libghc-network-conduit-dev (= 0.4.0.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-conduit-prof-0.4.2-2f3e1, libghc-lifted-base-prof-0.1.1-863d2, libghc-monad-control-prof-0.3.1.3-371c1, libghc-network-prof-2.3.0.13-9c99a, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-network-conduit-prof-0.4.0.1-d3305 Homepage: http://hackage.haskell.org/package/haskell-network-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-network-conduit/libghc-network-conduit-prof_0.4.0.1-2_armhf.deb Size: 74546 SHA256: 8b3a101a4c92882657731acd33ae5c0f153e3e7d8d3a3b24a969891310ff3d67 SHA1: d5168e96d3d1f6f59bc077015d59cf8da267ba87 MD5sum: b3f7edef65d17ebc8683fd39cca27dca Description: stream socket data using conduits; profiling libraries This package provides conduit sources and sinks for network sockets, as well as a simple TCP client/server interface. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-network-dev Source: haskell-network Version: 2.3.0.13-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4162 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-parsec-dev-3.1.2-aa52f, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-network-doc, libghc-network-prof Provides: libghc-network-dev-2.3.0.13-9c99a Homepage: http://hackage.haskell.org/package/network Priority: extra Section: haskell Filename: pool/main/h/haskell-network/libghc-network-dev_2.3.0.13-1_armhf.deb Size: 852720 SHA256: 56d92286e226e4bf05109d683bdc26358c86f54e9144d3cc4481436a7ccd2053 SHA1: 41dce81599e01bba6b56adec563b53bfd056ecc9 MD5sum: 0a071d3e5fc225c095c59619d35199b3 Description: Haskell network library for GHC This package provides a library for the Haskell programming language. . This library provides network-related facilities. Package: libghc-network-doc Source: haskell-network Version: 2.3.0.13-1 Installed-Size: 1493 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-network-dev Size: 181620 SHA256: 45ca45f3cde4fdb2aa663d1def6d150b178e9f9326cfe09e9c8a14c215535bdf SHA1: 4076194a6d07ad525b29e97875faab69f3576540 MD5sum: b72f8030a270103740bca0b3a8380e18 Description: Haskell network library for GHC; documentation This package provides the documentation for a library for the Haskell programming language. . This library provides network-related facilities. Homepage: http://hackage.haskell.org/package/network Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-network/libghc-network-doc_2.3.0.13-1_all.deb Package: libghc-network-prof Source: haskell-network Version: 2.3.0.13-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3763 Depends: libghc-network-dev (= 2.3.0.13-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-parsec-prof-3.1.2-aa52f, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-network-prof-2.3.0.13-9c99a Homepage: http://hackage.haskell.org/package/network Priority: extra Section: haskell Filename: pool/main/h/haskell-network/libghc-network-prof_2.3.0.13-1_armhf.deb Size: 774988 SHA256: 1f4cdd8f077fd2887f4eb4627f8c11e052c4b1cb94de95b82deac7020fa5d79b SHA1: 3b412f2a7e2beab8aca7c2981f4f6fd8f2500a06 MD5sum: 439a17d21389289a4a6ab475380c3383 Description: Haskell network library for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. . This library provides network-related facilities. Package: libghc-network-protocol-xmpp-dev Source: haskell-network-protocol-xmpp Version: 0.4.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2906 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libgnutls26 (>= 2.12.17-0), libgsasl7 (>= 1.1), libidn11 (>= 1.13), libxml2 (>= 2.6.27), libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-gnuidn-dev-0.2-90145, libghc-gnutls-dev-0.1.2-899f2, libghc-gsasl-dev-0.3.4-c73a1, libghc-libxml-sax-dev-0.7.2-c8bca, libghc-monads-tf-dev-0.1.0.0-d0705, libghc-network-dev-2.3.0.13-9c99a, libghc-text-dev-0.11.2.0-05a46, libghc-transformers-dev-0.3.0.0-e8222, libghc-xml-types-dev-0.3.1-f9412 Suggests: libghc-network-protocol-xmpp-doc, libghc-network-protocol-xmpp-prof Provides: libghc-network-protocol-xmpp-dev-0.4.3-5770f Homepage: http://hackage.haskell.org/package/network-protocol-xmpp Priority: extra Section: haskell Filename: pool/main/h/haskell-network-protocol-xmpp/libghc-network-protocol-xmpp-dev_0.4.3-1_armhf.deb Size: 586898 SHA256: 74b65cbc4a9b3caaa6900b7542bc9859960c12e46f781a4a9152d54e33f41c27 SHA1: 59cf0d41d5bf191256e18bf15774bc48799aefab MD5sum: 8d9859a7371d9f3139668a44f35322f7 Description: XMPP (Jabber) library This library defines an XMPP data type and functions. . This package contains the normal library files. Package: libghc-network-protocol-xmpp-doc Source: haskell-network-protocol-xmpp Version: 0.4.3-1 Installed-Size: 474 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monads-tf-doc, libghc-network-doc, libghc-text-doc, libghc-transformers-doc, libghc-xml-types-doc, libghc-network-protocol-xmpp-dev Size: 62788 SHA256: 715d6ea7796ddf8009d04ee1ebfff03a85c30f05778e5588d616c355992f49bc SHA1: 1c6c95ae30d485153dea9d8a76817b83ba958309 MD5sum: 8705957d4ab620c33987e49048d7aa8b Description: XMPP (Jabber) library; documentation This library defines an XMPP data type and functions. . This package contains the documentation files. Homepage: http://hackage.haskell.org/package/network-protocol-xmpp Section: doc Priority: extra Filename: pool/main/h/haskell-network-protocol-xmpp/libghc-network-protocol-xmpp-doc_0.4.3-1_all.deb Package: libghc-network-protocol-xmpp-prof Source: haskell-network-protocol-xmpp Version: 0.4.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2421 Depends: libghc-network-protocol-xmpp-dev (= 0.4.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-gnuidn-prof-0.2-90145, libghc-gnutls-prof-0.1.2-899f2, libghc-gsasl-prof-0.3.4-c73a1, libghc-libxml-sax-prof-0.7.2-c8bca, libghc-monads-tf-prof-0.1.0.0-d0705, libghc-network-prof-2.3.0.13-9c99a, libghc-text-prof-0.11.2.0-05a46, libghc-transformers-prof-0.3.0.0-e8222, libghc-xml-types-prof-0.3.1-f9412 Provides: libghc-network-protocol-xmpp-prof-0.4.3-5770f Homepage: http://hackage.haskell.org/package/network-protocol-xmpp Priority: extra Section: haskell Filename: pool/main/h/haskell-network-protocol-xmpp/libghc-network-protocol-xmpp-prof_0.4.3-1_armhf.deb Size: 507418 SHA256: 1d91340cde2608d4685b2d02b03add4e14b04e99d2d743d648b29b08ddae8100 SHA1: af1c6435a1f017fe7049a6f4abec4bc84e4a49e4 MD5sum: 57df69980b679e6a15cfb4900fba0447 Description: XMPP (Jabber) library; profiling libraries This library defines an XMPP data type and functions. . This package contains the libraries compiled with profiling enabled. Package: libghc-newtype-dev Source: haskell-newtype Version: 0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 139 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-newtype-doc, libghc-newtype-prof Provides: libghc-newtype-dev-0.2-0c5fe Homepage: http://hackage.haskell.org/package/newtype Priority: extra Section: haskell Filename: pool/main/h/haskell-newtype/libghc-newtype-dev_0.2-1_armhf.deb Size: 17720 SHA256: 14e58d08e2dbb603c981c3e2ce340997dce5fa4f1004d33013c47234349b4bad SHA1: 5d8cfa73be1c22ccce35e7e6f53e6337794cc277 MD5sum: 20bd601964d5a1cec7e24ef00a749abe Description: A typeclass for working with newtypes The Newtype typeclass represents the packing and unpacking of a newtype, and allows you to operatate under that newtype with functions such as ala. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-newtype-doc Source: haskell-newtype Version: 0.2-1 Installed-Size: 140 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-newtype-dev Size: 34572 SHA256: a1867a0c3102574e8b9d905b1d314fbffab3f1dd849ab235ea1d6f51cf95bb58 SHA1: 5e96a14614b687af283150b09c109606f70a803e MD5sum: e36c254bd32a9762790ad14f7bbc134f Description: A typeclass for working with newtypes; documentation The Newtype typeclass represents the packing and unpacking of a newtype, and allows you to operatate under that newtype with functions such as ala. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/newtype Section: doc Priority: extra Filename: pool/main/h/haskell-newtype/libghc-newtype-doc_0.2-1_all.deb Package: libghc-newtype-prof Source: haskell-newtype Version: 0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 120 Depends: libghc-newtype-dev (= 0.2-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-newtype-prof-0.2-0c5fe Homepage: http://hackage.haskell.org/package/newtype Priority: extra Section: haskell Filename: pool/main/h/haskell-newtype/libghc-newtype-prof_0.2-1_armhf.deb Size: 19830 SHA256: 333ec2b827d81084d542b6cced4118fb6995ea78ba6bdf9d9f015e3a66f93785 SHA1: 2e8b2cc20d30fda46baed661ef2a75bcb836e816 MD5sum: 362ec8aea42d1f255954e554f549bd93 Description: A typeclass for working with newtypes; profiling libraries The Newtype typeclass represents the packing and unpacking of a newtype, and allows you to operatate under that newtype with functions such as ala. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-non-negative-dev Source: haskell-non-negative Version: 0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 680 Depends: libghc-quickcheck-dev-2.4.2-170f1, libghc-base-dev-4.5.0.0-d93df, libghc-utility-ht-dev-0.0.5.1-a8f53, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-non-negative-doc, libghc-non-negative-prof Provides: libghc-non-negative-dev-0.1-8cdde Homepage: http://hackage.haskell.org/package/non-negative Priority: extra Section: haskell Filename: pool/main/h/haskell-non-negative/libghc-non-negative-dev_0.1-2_armhf.deb Size: 130626 SHA256: 2490d2fe895ba3d88389029506e178e5009ba5a43fd43b46683375fcf8bfefa7 SHA1: 66620b1d9abf830deac573f19702cae7f876150d MD5sum: 2031c603e2cccb6e185382fec17541ec Description: Non-negative numbers for Haskell This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides a class for non-negative numbers, a wrapper which can turn any ordered numeric type into a member of that class, and a lazy number type for non-negative numbers (a generalization of Peano numbers). Package: libghc-non-negative-doc Source: haskell-non-negative Version: 0.1-2 Installed-Size: 254 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-non-negative-dev Size: 46260 SHA256: 9e6cb6a64399f9056f1afea569de55e45ef852c2282cb758f2aea0eba11f584b SHA1: db01de6dd2bf5ec5737001eb8edf5641a6829b00 MD5sum: a6e2f01cbe3125305fce7ff01b52ce81 Description: Non-negative numbers for Haskell; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides a class for non-negative numbers, a wrapper which can turn any ordered numeric type into a member of that class, and a lazy number type for non-negative numbers (a generalization of Peano numbers). Homepage: http://hackage.haskell.org/package/non-negative Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-non-negative/libghc-non-negative-doc_0.1-2_all.deb Package: libghc-non-negative-prof Source: haskell-non-negative Version: 0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 650 Depends: libghc-non-negative-dev (= 0.1-2), libghc-quickcheck-prof-2.4.2-170f1, libghc-base-prof-4.5.0.0-d93df, libghc-utility-ht-prof-0.0.5.1-a8f53 Provides: libghc-non-negative-prof-0.1-8cdde Homepage: http://hackage.haskell.org/package/non-negative Priority: extra Section: haskell Filename: pool/main/h/haskell-non-negative/libghc-non-negative-prof_0.1-2_armhf.deb Size: 128992 SHA256: 1ee8bd46e88422721742c202cb522c5baa4ebdc5e1f238a0206715aca8392918 SHA1: e765071a1652aa00146885818be6cec966368538 MD5sum: e48e9f7311a51e176d8a7ed9fe78200a Description: Non-negative numbers for Haskell; profiling libraries This package provides a library for the Haskell programming language compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides a class for non-negative numbers, a wrapper which can turn any ordered numeric type into a member of that class, and a lazy number type for non-negative numbers (a generalization of Peano numbers). Package: libghc-numbers-dev Source: haskell-numbers Version: 2009.8.9-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2913 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-numbers-doc, libghc-numbers-prof Provides: libghc-numbers-dev-2009.8.9-62c5f Homepage: http://hackage.haskell.org/package/numbers Priority: extra Section: haskell Filename: pool/main/h/haskell-numbers/libghc-numbers-dev_2009.8.9-2_armhf.deb Size: 631656 SHA256: ffceffba8cb0f3e43feec6c777a321b16241b60306e466f4bd8c2b3ee7e66869 SHA1: d2f9bfc20a8dc1731e3f20cb5a6d89017e6da363 MD5sum: 6778eb9c39653b225e18760f57c94705 Description: Various number types This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains instances of the numerical classes for a variety of different numbers: (computable) real numbers, arbitrary precision fixed numbers, arbitrary precision floating point numbers, differentiable numbers, symbolic numbers, natural numbers, interval arithmetic. Package: libghc-numbers-doc Source: haskell-numbers Version: 2009.8.9-2 Installed-Size: 550 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-numbers-dev Size: 65486 SHA256: 1348ade3321963c375ec8ef90ba868dc3a2a28e3db95433cdc0d0488a0db5dcc SHA1: edf3e1507f6b9cde9402ae0144166ba6f7b9db10 MD5sum: 902bbf2849347d4350e773146ea73a60 Description: Various number types; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains instances of the numerical classes for a variety of different numbers: (computable) real numbers, arbitrary precision fixed numbers, arbitrary precision floating point numbers, differentiable numbers, symbolic numbers, natural numbers, interval arithmetic. Homepage: http://hackage.haskell.org/package/numbers Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-numbers/libghc-numbers-doc_2009.8.9-2_all.deb Package: libghc-numbers-prof Source: haskell-numbers Version: 2009.8.9-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2593 Depends: libghc-numbers-dev (= 2009.8.9-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-numbers-prof-2009.8.9-62c5f Homepage: http://hackage.haskell.org/package/numbers Priority: extra Section: haskell Filename: pool/main/h/haskell-numbers/libghc-numbers-prof_2009.8.9-2_armhf.deb Size: 560336 SHA256: 1f5f6c8aa63f913c1cd1ca1448c4df012cf886fd0a8355610769e212dfa6b105 SHA1: a56d87212dc35425225e2452affbac6bc6563c23 MD5sum: cb65d91aadaac2b7d17cd842f56bd599 Description: Various number types; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It contains instances of the numerical classes for a variety of different numbers: (computable) real numbers, arbitrary precision fixed numbers, arbitrary precision floating point numbers, differentiable numbers, symbolic numbers, natural numbers, interval arithmetic. Package: libghc-numeric-quest-dev Source: haskell-numeric-quest Version: 0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2204 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-numeric-quest-doc, libghc-numeric-quest-prof Provides: libghc-numeric-quest-dev-0.2-d7444 Homepage: http://hackage.haskell.org/package/numeric-quest Priority: extra Section: haskell Filename: pool/main/h/haskell-numeric-quest/libghc-numeric-quest-dev_0.2-1_armhf.deb Size: 511578 SHA256: 2539b0eb415b0a37f92d28f3774cc9a3b001bb934b28ac2a4578ccb1b06937e4 SHA1: b2695749831478c08a5f4b7552072e7cb1441bcb MD5sum: a79f7275df25abb32728c723a1d77a05 Description: Mathematics and Quantum Mechanics List based linear algebra, similtaneous linear equations, eigenvalues and eigenvectors, roots of polynomials, transcendent functions with arbitrary precision implemented by continued fractions, quantum operations, tensors . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-numeric-quest-doc Source: haskell-numeric-quest Version: 0.2-1 Installed-Size: 935 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-numeric-quest-dev Size: 121136 SHA256: a001328862f807a3e82975dddadf3c91a43e9e6d910f62a8c08ef64cff0682c4 SHA1: a03c845d3d828b15f6d42e42f6e8d3644ea90037 MD5sum: e748f4d7bcdf01e56d7d522500e4a6b9 Description: Mathematics and Quantum Mechanics; documentation List based linear algebra, similtaneous linear equations, eigenvalues and eigenvectors, roots of polynomials, transcendent functions with arbitrary precision implemented by continued fractions, quantum operations, tensors . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/numeric-quest Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-numeric-quest/libghc-numeric-quest-doc_0.2-1_all.deb Package: libghc-numeric-quest-prof Source: haskell-numeric-quest Version: 0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1993 Depends: libghc-numeric-quest-dev (= 0.2-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df Provides: libghc-numeric-quest-prof-0.2-d7444 Homepage: http://hackage.haskell.org/package/numeric-quest Priority: extra Section: haskell Filename: pool/main/h/haskell-numeric-quest/libghc-numeric-quest-prof_0.2-1_armhf.deb Size: 464636 SHA256: 2305992520b3f6dacc99f09675e34d331466c6b0f25f8df0e04c192dc096f88a SHA1: 6dea82d4dcc9bb9c2a6c6118e7560bda79024b09 MD5sum: 7b5dec12fc4f78e637d6f2c14131153c Description: Mathematics and Quantum Mechanics; profiling data List based linear algebra, similtaneous linear equations, eigenvalues and eigenvectors, roots of polynomials, transcendent functions with arbitrary precision implemented by continued fractions, quantum operations, tensors . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-numinstances-dev Source: haskell-numinstances Version: 1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 634 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-numinstances-doc, libghc-numinstances-prof Provides: libghc-numinstances-dev-1.0-a7781 Homepage: http://hackage.haskell.org/package/numinstances Priority: extra Section: haskell Filename: pool/main/h/haskell-numinstances/libghc-numinstances-dev_1.0-2_armhf.deb Size: 98836 SHA256: dd61ebcc5a1acf60fb8904b6f5199779c05e63509681401b620a2668cec0cf51 SHA1: e24aa104a17be952db76dd3b8228fff867207408 MD5sum: eb3122a915b664aee7aac34a4ba59488 Description: Instances of numeric classes for functions and tuples This package provides instances of numeric classes for functions and tuples. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-numinstances-doc Source: haskell-numinstances Version: 1.0-2 Installed-Size: 136 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: libghc-numinstances-dev Size: 28910 SHA256: 27f9f84b13085cb411467323ddcfa01c01cf177cfe170ed5f83e0c3bee09ad3c SHA1: 0c688dbae69ac8056b4fbb5228074dd2eb560370 MD5sum: dc456bd86ef17ef96c471bc0370a2ce1 Description: Instances of numeric classes for functions and tuples; documentation This package provides instances of numeric classes for functions and tuples. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/numinstances Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-numinstances/libghc-numinstances-doc_1.0-2_all.deb Package: libghc-numinstances-prof Source: haskell-numinstances Version: 1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 668 Depends: libghc-numinstances-dev (= 1.0-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-numinstances-prof-1.0-a7781 Homepage: http://hackage.haskell.org/package/numinstances Priority: extra Section: haskell Filename: pool/main/h/haskell-numinstances/libghc-numinstances-prof_1.0-2_armhf.deb Size: 109512 SHA256: cb31f8d40efa8b5e01136328d156be407e6eb3f84b0f2cbdf357b42ccc23529b SHA1: 8f7c9222aff9701c93b3d957d2e6005542418f6c MD5sum: bc414bffe02f8f345d4c6d55aa9a9ca0 Description: Instances of numeric classes for functions and tuples; prof data This package provides instances of numeric classes for functions and tuples. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-numtype-dev Source: haskell-numtype Version: 1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 434 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-numtype-doc, libghc-numtype-prof Provides: libghc-numtype-dev-1.0-45cc7 Homepage: http://hackage.haskell.org/package/numtype Priority: extra Section: haskell Filename: pool/main/h/haskell-numtype/libghc-numtype-dev_1.0-2_armhf.deb Size: 72196 SHA256: bbc3f32cd7cf43327a27d0f32e1f4056f6d06d61f91c3963a4cf66497ebce751 SHA1: 401072123928bbbcba089659e8c7fface88ac4e4 MD5sum: d311af31338804aac37ff5dd244cbc6e Description: type-level (low cardinality) integers This package provides unary type level representations of the (positive and negative) integers and basic operations (addition, subtraction, multiplication, division) on these. Due to the unary implementation the practical size of the NumTypes is severely limited making them unsuitable for large-cardinality applications. If you will be working with integers beyond (-20, 20) this package probably isn't for you. . It is, however, eminently suitable for applications such as representing physical dimensions (see the Dimensional library). . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-numtype-doc Source: haskell-numtype Version: 1.0-2 Installed-Size: 144 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-numtype-dev Size: 37832 SHA256: 029d543b5b8ac21b77de0f6d0f261292e15ba32864c4722b92886b1072d0ac1c SHA1: 5bb830e080f6c16eb965620ab28ae1021f47506a MD5sum: 784af12d0ea4e93f3d36b4aee7b8cea6 Description: type-level (low cardinality) integers; documentation This package provides unary type level representations of the (positive and negative) integers and basic operations (addition, subtraction, multiplication, division) on these. Due to the unary implementation the practical size of the NumTypes is severely limited making them unsuitable for large-cardinality applications. If you will be working with integers beyond (-20, 20) this package probably isn't for you. . It is, however, eminently suitable for applications such as representing physical dimensions (see the Dimensional library). . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/numtype Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-numtype/libghc-numtype-doc_1.0-2_all.deb Package: libghc-numtype-prof Source: haskell-numtype Version: 1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 385 Depends: libghc-numtype-dev (= 1.0-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-numtype-prof-1.0-45cc7 Homepage: http://hackage.haskell.org/package/numtype Priority: extra Section: haskell Filename: pool/main/h/haskell-numtype/libghc-numtype-prof_1.0-2_armhf.deb Size: 67482 SHA256: 414a2417c0807612f521a28aac9376f94ec2445ce8fdf3e2a166834d27d03758 SHA1: 6a9c86b504e44bbda3515c7d871e4550babfb319 MD5sum: 67915f6b930bb8b1824818e762cac4a7 Description: type-level (low cardinality) integers; profiling data This package provides unary type level representations of the (positive and negative) integers and basic operations (addition, subtraction, multiplication, division) on these. Due to the unary implementation the practical size of the NumTypes is severely limited making them unsuitable for large-cardinality applications. If you will be working with integers beyond (-20, 20) this package probably isn't for you. . It is, however, eminently suitable for applications such as representing physical dimensions (see the Dimensional library). . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-oeis-dev Source: haskell-oeis Version: 0.3.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 502 Depends: libghc-http-dev-4000.2.3-55fae, libghc-base-dev-4.5.0.0-d93df, libghc-network-dev-2.3.0.13-9c99a, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-oeis-doc, libghc-oeis-prof Provides: libghc-oeis-dev-0.3.1-b8e55 Homepage: http://hackage.haskell.org/package/oeis Priority: extra Section: haskell Filename: pool/main/h/haskell-oeis/libghc-oeis-dev_0.3.1-2_armhf.deb Size: 99254 SHA256: dc2d4d84f93df5ff2663c0d728a8cde08682b471f2fe8ed258c23bd14759f258 SHA1: e7dc5afe06605f090ba6cea22d8eb8d406fa6adc MD5sum: 88289ed269bd60c8fc1d392a9b62c08d Description: Interface to the Online Encyclopedia of Integer Sequences This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is an interface to the Online Encyclopedia of Integer Sequences. See http://oeis.org/ Package: libghc-oeis-doc Source: haskell-oeis Version: 0.3.1-2 Installed-Size: 173 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-oeis-dev Size: 46048 SHA256: 7e68e7720d367a6a1162167daec48976379b370660840a3d78120625c8db97a2 SHA1: b12afa61e2fb672c5411c2410759957d9a41a69f MD5sum: 280a1012d31511cc5292361b69b538c5 Description: Interface to the Online Encyclopedia of Integer Sequences; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is an interface to the Online Encyclopedia of Integer Sequences. See http://oeis.org/ Homepage: http://hackage.haskell.org/package/oeis Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-oeis/libghc-oeis-doc_0.3.1-2_all.deb Package: libghc-oeis-prof Source: haskell-oeis Version: 0.3.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 434 Depends: libghc-oeis-dev (= 0.3.1-2), libghc-http-prof-4000.2.3-55fae, libghc-base-prof-4.5.0.0-d93df, libghc-network-prof-2.3.0.13-9c99a Provides: libghc-oeis-prof-0.3.1-b8e55 Homepage: http://hackage.haskell.org/package/oeis Priority: extra Section: haskell Filename: pool/main/h/haskell-oeis/libghc-oeis-prof_0.3.1-2_armhf.deb Size: 87302 SHA256: 20802d0abc29c312b111bc494074fcdb86174193901cc2a5bcf81a4df81ea2b9 SHA1: 21759daa29a79349c363e49c881563d913cae951 MD5sum: 8bdda68b8ffaa16556f7e9ccfdaa8747 Description: Interface to the Online Encyclopedia of Integer Sequences; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is an interface to the Online Encyclopedia of Integer Sequences. See http://oeis.org/ Package: libghc-openal-dev Source: haskell-openal Version: 1.3.1.3-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1990 Depends: libghc-opengl-dev-2.2.3.1-ea376, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libgmp10, libopenal1, libopenal-dev Suggests: libghc-openal-doc, libghc-openal-prof Provides: libghc-openal-dev-1.3.1.3-f4718 Homepage: http://hackage.haskell.org/package/OpenAL Priority: extra Section: haskell Filename: pool/main/h/haskell-openal/libghc-openal-dev_1.3.1.3-4_armhf.deb Size: 397190 SHA256: b53121d412ae68ff9d8d737fa068ce4be9bd03594d073fba6d05f453cba4c1ba SHA1: 6070f1ad02364f48852bd7ed2e0e56dfad1ce805 MD5sum: 2a594035d7e46e8e78f89eb744aee9e6 Description: Haskell OpenAL binding for GHC This package provides a library for the Haskell programming language. . This library provides a Haskell binding for the OpenAL cross-platform 3D audio API, appropriate for use with gaming applications and many other types of audio applications. For more information about OpenAL please see: . Package: libghc-openal-doc Source: haskell-openal Version: 1.3.1.3-4 Installed-Size: 1249 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-opengl-doc, libghc-openal-dev Size: 174426 SHA256: 36e250c3e9dac4d8711e5aabe91824c8f26885e935ff6ed968a1f7fbf7f2f823 SHA1: 9e0ff1ee5b413526bd56c8bc477f3ffe20da5b5e MD5sum: 568fab296299006d253d6c60a84d92c8 Description: Haskell OpenAL binding for GHC; documentation This package provides the documentation for a library for the Haskell programming language. . This library provides a Haskell binding for the OpenAL cross-platform 3D audio API, appropriate for use with gaming applications and many other types of audio applications. For more information about OpenAL please see: . Homepage: http://hackage.haskell.org/package/OpenAL Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-openal/libghc-openal-doc_1.3.1.3-4_all.deb Package: libghc-openal-prof Source: haskell-openal Version: 1.3.1.3-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1723 Depends: libghc-openal-dev (= 1.3.1.3-4), libghc-opengl-prof-2.2.3.1-ea376, libghc-base-prof-4.5.0.0-d93df Provides: libghc-openal-prof-1.3.1.3-f4718 Homepage: http://hackage.haskell.org/package/OpenAL Priority: extra Section: haskell Filename: pool/main/h/haskell-openal/libghc-openal-prof_1.3.1.3-4_armhf.deb Size: 368958 SHA256: 4764aec640a09a31bac9f2aefe08d3c484ad791fa4da0296cbbde3cf91e9344c SHA1: a53eed3253667b55900c2f639998418738707be5 MD5sum: d650c664b213b9d4370636c862f96900 Description: Haskell OpenAL binding for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. . This library provides a Haskell binding for the OpenAL cross-platform 3D audio API, appropriate for use with gaming applications and many other types of audio applications. For more information about OpenAL please see: . Package: libghc-opengl-dev Source: haskell-opengl Version: 2.2.3.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 22891 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libgmp10, libgl1-mesa-dev | libgl-dev, libglu1-mesa-dev | libglu-dev, libx11-dev Suggests: libghc-opengl-doc, libghc-opengl-prof Provides: libghc-opengl-dev-2.2.3.1-ea376 Homepage: http://www.haskell.org/HOpenGL/ Priority: extra Section: haskell Filename: pool/main/h/haskell-opengl/libghc-opengl-dev_2.2.3.1-1_armhf.deb Size: 3935666 SHA256: ebea8ef1fe054c7919b2d24f462107ecd780de7c1735afefc6501d1bbe05acaf SHA1: a27d4a14245a6ae4a2f5b7cecb4ba4a0347b7bcb MD5sum: c4cd6ee98360ec3a706df7048641ead3 Description: Haskell OpenGL binding for GHC This package provides a library for the Haskell programming language. . This library provides a binding for the OpenGL graphics system (GL, version 1.5) and its accompanying utility library (GLU, version 1.3). OpenGL is the industry's most widely used and supported 2D and 3D graphics application programming interface (API), incorporating a broad set of rendering, texture mapping, special effects, and other powerful visualization functions. For more information about OpenGL, please see: . Package: libghc-opengl-doc Source: haskell-opengl Version: 2.2.3.1-1 Installed-Size: 5689 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-opengl-dev Size: 473028 SHA256: 5566ebabbd64b77b70ecda2a29cad8955b8969343ee55f575b5118032e21de7f SHA1: b2cc15d24f487e4e39a75a58cba7ba7bc9a88313 MD5sum: 28b713c9f8825e40f28dc88ca43b35e0 Description: Haskell OpenGL binding for GHC; documentation This package provides the documentation for a library for the Haskell programming language. . This library provides a binding for the OpenGL graphics system (GL, version 1.5) and its accompanying utility library (GLU, version 1.3). OpenGL is the industry's most widely used and supported 2D and 3D graphics application programming interface (API), incorporating a broad set of rendering, texture mapping, special effects, and other powerful visualization functions. For more information about OpenGL, please see: . Homepage: http://www.haskell.org/HOpenGL/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-opengl/libghc-opengl-doc_2.2.3.1-1_all.deb Package: libghc-opengl-prof Source: haskell-opengl Version: 2.2.3.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 19877 Depends: libghc-opengl-dev (= 2.2.3.1-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-opengl-prof-2.2.3.1-ea376 Homepage: http://www.haskell.org/HOpenGL/ Priority: extra Section: haskell Filename: pool/main/h/haskell-opengl/libghc-opengl-prof_2.2.3.1-1_armhf.deb Size: 3561462 SHA256: 2854d09f257794e873348110b4c164431af1a98aec90ac61f696b34d34904b2a SHA1: fc43c3b43c8e041ab2fef43c52a21008b574f585 MD5sum: 9a3b8797d179b485954d375b9cdad177 Description: Haskell OpenGL binding for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. . This library provides a binding for the OpenGL graphics system (GL, version 1.5) and its accompanying utility library (GLU, version 1.3). OpenGL is the industry's most widely used and supported 2D and 3D graphics application programming interface (API), incorporating a broad set of rendering, texture mapping, special effects, and other powerful visualization functions. For more information about OpenGL, please see: . Package: libghc-openpgp-asciiarmor-dev Source: haskell-openpgp-asciiarmor Version: 0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 786 Depends: libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-base-dev-4.5.0.0-d93df, libghc-base64-bytestring-dev-0.1.1.1-8e4fe, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-cereal-dev-0.3.5.1-9f6b7, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-openpgp-asciiarmor-doc, libghc-openpgp-asciiarmor-prof Provides: libghc-openpgp-asciiarmor-dev-0.1-9f062 Homepage: http://hackage.haskell.org/package/openpgp-asciiarmor Priority: extra Section: haskell Filename: pool/main/h/haskell-openpgp-asciiarmor/libghc-openpgp-asciiarmor-dev_0.1-1_armhf.deb Size: 172648 SHA256: 9bad865e905c633e091b29e46f20ab450832fb30dc56213d3b159a99953dcdc7 SHA1: c826ebddfdc03f6f38be1401365c28439c7d8fd3 MD5sum: 08eabc7044a58875dd25d01fbe0b33ac Description: OpenPGP (RFC4880) ASCII Armor codec This library does encoding and decoding of OpenPGP ASCII armor. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-openpgp-asciiarmor-doc Source: haskell-openpgp-asciiarmor Version: 0.1-1 Installed-Size: 208 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-attoparsec-doc, libghc-openpgp-asciiarmor-dev Size: 37498 SHA256: 7770974130189f8850c4374ad963a31eb1ec4af43fdc0a4f9d686432b8edbb54 SHA1: a0df8e1e4811256686386540ef69894e462db242 MD5sum: 5410e1147c4a492c01a593e52c176163 Description: OpenPGP (RFC4880) ASCII Armor codec; documentation This library does encoding and decoding of OpenPGP ASCII armor. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/openpgp-asciiarmor Section: doc Priority: extra Filename: pool/main/h/haskell-openpgp-asciiarmor/libghc-openpgp-asciiarmor-doc_0.1-1_all.deb Package: libghc-openpgp-asciiarmor-prof Source: haskell-openpgp-asciiarmor Version: 0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 744 Depends: libghc-openpgp-asciiarmor-dev (= 0.1-1), libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-base-prof-4.5.0.0-d93df, libghc-base64-bytestring-prof-0.1.1.1-8e4fe, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-cereal-prof-0.3.5.1-9f6b7 Provides: libghc-openpgp-asciiarmor-prof-0.1-9f062 Homepage: http://hackage.haskell.org/package/openpgp-asciiarmor Priority: extra Section: haskell Filename: pool/main/h/haskell-openpgp-asciiarmor/libghc-openpgp-asciiarmor-prof_0.1-1_armhf.deb Size: 161364 SHA256: 442c4f949c7a8fb175a63e0e1960530294adb48fe06aea005e26f5ced7b14a8e SHA1: 4ae85d5e74b4dde2e9826fb62a46b3326ffdb207 MD5sum: a096a7fdfa29a79c7901df13fe25ed16 Description: OpenPGP (RFC4880) ASCII Armor codec; profiling libraries This library does encoding and decoding of OpenPGP ASCII armor. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-options-doc Source: haskell-options Version: 0.1.1-1 Installed-Size: 621 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-system-filepath-doc, libghc-text-doc, libghc-transformers-doc, libghc-options-dev Size: 79072 SHA256: 8e05cd0d6adfb0da22eeb5dd2cec46b6bd6e2f8de75d452b5f012fbb27e125c6 SHA1: 8ddf3a99298afa38dcca68c273cd109defe40c84 MD5sum: 92e0886c4a3df9a9d55ba00033ff901f Description: Haskell library for parsing command-line options; documentation The options package lets library and application developers easily work with command-line options. . This package provides documentation for a library written in the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: https://john-millikin.com/software/haskell-options/ Section: doc Priority: optional Filename: pool/main/h/haskell-options/libghc-options-doc_0.1.1-1_all.deb Package: libghc-pandoc-dev Source: pandoc Version: 1.9.4.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 34854 Depends: libghc-http-dev-4000.2.3-55fae, libghc-base-dev-4.5.0.0-d93df, libghc-base64-bytestring-dev-0.1.1.1-8e4fe, libghc-blaze-html-dev-0.4.3.1-0edb5, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-citeproc-hs-dev-0.3.4-84dfd, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libghc-filepath-dev-1.3.0.0-163d9, libghc-highlighting-kate-dev-0.5.1-26e78, libghc-json-dev-0.5-ad6d2, libghc-mtl-dev-2.1.1-87121, libghc-network-dev-2.3.0.13-9c99a, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-pandoc-types-dev-1.9.1-79c40, libghc-parsec-dev-3.1.2-aa52f, libghc-process-dev-1.1.0.1-75c65, libghc-random-dev-1.0.1.1-344e2, libghc-syb-dev-0.3.6.1-ad588, libghc-tagsoup-dev-0.12.6-48a49, libghc-temporary-dev-1.1.2.3-3fccd, libghc-texmath-dev-0.6.0.6-f65d2, libghc-time-dev-1.4-ec63b, libghc-utf8-string-dev-0.3.7-c7280, libghc-xml-dev-1.3.12-ef89d, libghc-zip-archive-dev-0.1.1.7-46390, libghc-zlib-dev-0.5.3.3-9ed15, libghc-citeproc-hs-data (>= 0.3.4), libbibutils2 (>= 4.12), libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libpcre3 (>= 8.10), zlib1g (>= 1:1.1.4) Suggests: libghc-pandoc-doc, libghc-pandoc-prof Provides: libghc-pandoc-dev-1.9.4.2-f1d2a Homepage: http://johnmacfarlane.net/pandoc/ Priority: extra Section: haskell Filename: pool/main/p/pandoc/libghc-pandoc-dev_1.9.4.2-2_armhf.deb Size: 7394256 SHA256: 4488c50ff436bf9756041004a63d53b9fe41090e2c61c00cbdf89e5cf9927db4 SHA1: 2d739da3de8e963901ac7f8a05df72df5e1337cb MD5sum: 48ea2dce47df241acb80c4cd6fa9b767 Description: general markup converter Pandoc is a Haskell library for converting from one markup format to another, and a command-line tool that uses this library. It can read markdown and (subsets of) reStructuredText, HTML, LaTeX and DocBook XML; and it can write plain text, markdown, reStructuredText, XHTML, HTML 5, LaTeX (including beamer slide shows), ConTeXt, RTF, DocBook XML, OpenDocument XML, ODT, Word docx, GNU Texinfo, MediaWiki markup, EPUB, Textile, groff man pages, Emacs Org-Mode, AsciiDoc, and Slidy, Slideous, DZSlides, or S5 HTML slide shows. It can also produce PDF output on systems where LaTeX is installed. . Pandoc's enhanced version of markdown includes syntax for footnotes, tables, flexible ordered lists, definition lists, delimited code blocks, superscript, subscript, strikeout, title blocks, automatic tables of contents, embedded LaTeX math, citations, and markdown inside HTML block elements. (These enhancements can optionally be disabled.) . In contrast to most existing tools for converting markdown to HTML, which use regex substitutions, Pandoc has a modular design: it consists of a set of readers, which parse text in a given format and produce a native representation of the document, and a set of writers, which convert this native representation into a target format. Thus, adding an input or output format requires only adding a reader or writer. . This package contains the libraries compiled for GHC 6. Package: libghc-pandoc-doc Source: pandoc Version: 1.9.4.2-2 Installed-Size: 4689 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19, libghc-citeproc-hs-data (>= 0.3.4) Recommends: ghc-doc, libghc-blaze-html-doc, libghc-citeproc-hs-doc, libghc-highlighting-kate-doc, libghc-pandoc-types-doc, libghc-parsec3-doc, libghc-tagsoup-doc, libghc-texmath-doc, libghc-pandoc-dev Size: 541414 SHA256: c5b76ab68ef388955cad9afb68f583b35801848c02b23e7aa09e0d8cecd09d7b SHA1: c5dc3dbe48d8e69f9e5a99e04af749bd42e45d36 MD5sum: 33907441ef7f970c75054d1e3538cd0d Description: general markup converter Pandoc is a Haskell library for converting from one markup format to another, and a command-line tool that uses this library. It can read markdown and (subsets of) reStructuredText, HTML, LaTeX and DocBook XML; and it can write plain text, markdown, reStructuredText, XHTML, HTML 5, LaTeX (including beamer slide shows), ConTeXt, RTF, DocBook XML, OpenDocument XML, ODT, Word docx, GNU Texinfo, MediaWiki markup, EPUB, Textile, groff man pages, Emacs Org-Mode, AsciiDoc, and Slidy, Slideous, DZSlides, or S5 HTML slide shows. It can also produce PDF output on systems where LaTeX is installed. . Pandoc's enhanced version of markdown includes syntax for footnotes, tables, flexible ordered lists, definition lists, delimited code blocks, superscript, subscript, strikeout, title blocks, automatic tables of contents, embedded LaTeX math, citations, and markdown inside HTML block elements. (These enhancements can optionally be disabled.) . In contrast to most existing tools for converting markdown to HTML, which use regex substitutions, Pandoc has a modular design: it consists of a set of readers, which parse text in a given format and produce a native representation of the document, and a set of writers, which convert this native representation into a target format. Thus, adding an input or output format requires only adding a reader or writer. . This package contains the library documentation for Pandoc. Homepage: http://johnmacfarlane.net/pandoc/ Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::html Section: doc Priority: extra Filename: pool/main/p/pandoc/libghc-pandoc-doc_1.9.4.2-2_all.deb Package: libghc-pandoc-prof Source: pandoc Version: 1.9.4.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 41481 Depends: libghc-pandoc-dev (= 1.9.4.2-2), libghc-http-prof-4000.2.3-55fae, libghc-base-prof-4.5.0.0-d93df, libghc-base64-bytestring-prof-0.1.1.1-8e4fe, libghc-blaze-html-prof-0.4.3.1-0edb5, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-citeproc-hs-prof-0.3.4-84dfd, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-extensible-exceptions-prof-0.1.1.4-d27a1, libghc-filepath-prof-1.3.0.0-163d9, libghc-highlighting-kate-prof-0.5.1-26e78, libghc-json-prof-0.5-ad6d2, libghc-mtl-prof-2.1.1-87121, libghc-network-prof-2.3.0.13-9c99a, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-pandoc-types-prof-1.9.1-79c40, libghc-parsec-prof-3.1.2-aa52f, libghc-process-prof-1.1.0.1-75c65, libghc-random-prof-1.0.1.1-344e2, libghc-syb-prof-0.3.6.1-ad588, libghc-tagsoup-prof-0.12.6-48a49, libghc-temporary-prof-1.1.2.3-3fccd, libghc-texmath-prof-0.6.0.6-f65d2, libghc-time-prof-1.4-ec63b, libghc-utf8-string-prof-0.3.7-c7280, libghc-xml-prof-1.3.12-ef89d, libghc-zip-archive-prof-0.1.1.7-46390, libghc-zlib-prof-0.5.3.3-9ed15, libghc-citeproc-hs-data (>= 0.3.4) Provides: libghc-pandoc-prof-1.9.4.2-f1d2a Homepage: http://johnmacfarlane.net/pandoc/ Priority: extra Section: haskell Filename: pool/main/p/pandoc/libghc-pandoc-prof_1.9.4.2-2_armhf.deb Size: 8178022 SHA256: f7dfc9859397d897ef7bc97e6466844a32f24b19b81072571e8820c407f4f314 SHA1: 489a70d20b238e8fbf86e6f9d415b23ca891af73 MD5sum: f3846f38aa6e19641da89fc03a4d1edd Description: general markup converter Pandoc is a Haskell library for converting from one markup format to another, and a command-line tool that uses this library. It can read markdown and (subsets of) reStructuredText, HTML, LaTeX and DocBook XML; and it can write plain text, markdown, reStructuredText, XHTML, HTML 5, LaTeX (including beamer slide shows), ConTeXt, RTF, DocBook XML, OpenDocument XML, ODT, Word docx, GNU Texinfo, MediaWiki markup, EPUB, Textile, groff man pages, Emacs Org-Mode, AsciiDoc, and Slidy, Slideous, DZSlides, or S5 HTML slide shows. It can also produce PDF output on systems where LaTeX is installed. . Pandoc's enhanced version of markdown includes syntax for footnotes, tables, flexible ordered lists, definition lists, delimited code blocks, superscript, subscript, strikeout, title blocks, automatic tables of contents, embedded LaTeX math, citations, and markdown inside HTML block elements. (These enhancements can optionally be disabled.) . In contrast to most existing tools for converting markdown to HTML, which use regex substitutions, Pandoc has a modular design: it consists of a set of readers, which parse text in a given format and produce a native representation of the document, and a set of writers, which convert this native representation into a target format. Thus, adding an input or output format requires only adding a reader or writer. . This package contains the profiling libraries for Pandoc. Package: libghc-pandoc-types-dev Source: haskell-pandoc-types Version: 1.9.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3496 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-ghc-prim-dev-0.2.0.0-bd29c, libghc-syb-dev-0.3.6.1-ad588, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-pandoc-types-doc, libghc-pandoc-types-prof Provides: libghc-pandoc-types-dev-1.9.1-79c40 Homepage: http://hackage.haskell.org/package/pandoc-types Priority: extra Section: haskell Filename: pool/main/h/haskell-pandoc-types/libghc-pandoc-types-dev_1.9.1-1_armhf.deb Size: 561304 SHA256: ee70674fa8114d9be5069640fc5690084ae309c32930ba4318faf3d1dc87a51e SHA1: 4dfc4245059b78cc952a5d50ed986718792017b1 MD5sum: 64197a6af5ebdaa68b060c5fbaf8bb89 Description: Haskell data types to represent structured documents - GHC libraries This package ships the data types used by package Pandoc to internally represent structured documents. They've been split off from the main Pandoc package, so that other packages can use them without drawing in all the of Pandoc dependencies. . This package contains the libraries compiled for GHC. Package: libghc-pandoc-types-doc Source: haskell-pandoc-types Version: 1.9.1-1 Installed-Size: 346 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-pandoc-types-dev Size: 56820 SHA256: 262ee38d9beadaeef560b0077a236a5af40fa2c9821b0701dca7e6d854825eca SHA1: a6a61f6d3763720a765506773ab2f8aa41bf903e MD5sum: 8d2edfa0c7c740d5c02e7f582e95e13f Description: Haskell data types to represent structured documents - documentation This package ships the data types used by package Pandoc to internally represent structured documents. They've been split off from the main Pandoc package, so that other packages can use them without drawing in all the of Pandoc dependencies. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/pandoc-types Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-pandoc-types/libghc-pandoc-types-doc_1.9.1-1_all.deb Package: libghc-pandoc-types-prof Source: haskell-pandoc-types Version: 1.9.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3091 Depends: libghc-pandoc-types-dev (= 1.9.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-ghc-prim-prof-0.2.0.0-bd29c, libghc-syb-prof-0.3.6.1-ad588 Provides: libghc-pandoc-types-prof-1.9.1-79c40 Homepage: http://hackage.haskell.org/package/pandoc-types Priority: extra Section: haskell Filename: pool/main/h/haskell-pandoc-types/libghc-pandoc-types-prof_1.9.1-1_armhf.deb Size: 512188 SHA256: 45edc7bc2ee7fb39a270b47db21b1c8ab89b8018bb038b2d72023575274e856f SHA1: 1c8db508d17ef46251f91e01a20ec3271d628e67 MD5sum: 296369467df2fb49d2ed754678cee4f6 Description: Haskell data types to represent structured documents - GHC profiling libraries This package ships the data types used by package Pandoc to internally represent structured documents. They've been split off from the main Pandoc package, so that other packages can use them without drawing in all the of Pandoc dependencies. . This package contains the profiling libraries compiled for GHC. Package: libghc-pango-dev Source: haskell-pango Version: 0.12.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3541 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-cairo-dev-0.12.3-e5398, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-glib-dev-0.12.2-64951, libghc-mtl-dev-2.1.1-87121, libghc-pretty-dev-1.1.1.0-7e118, libghc-process-dev-1.1.0.1-75c65, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgmp10, libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4), libpango1.0-dev Suggests: libghc-pango-doc, libghc-pango-prof Provides: libghc-pango-dev-0.12.2-70f76 Homepage: http://hackage.haskell.org/package/pango Priority: extra Section: haskell Filename: pool/main/h/haskell-pango/libghc-pango-dev_0.12.2-1_armhf.deb Size: 643902 SHA256: e50fb958b6d0faf5d56f82a1a39a92d1391a227eaf693aaa3ddc8416c83229ba SHA1: 5830bce971e8a7e5db05199e948cf6857e0d6d7e MD5sum: df805eb20171d297eee9a4007f0b2f95 Description: Binding to the Pango text rendering engine This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides a wrapper around the Pango C library that allows high-quality rendering of Unicode text. It can be used either with Cairo to output text in PDF, PS or other documents or with Gtk+ to display text on-screen. Package: libghc-pango-doc Source: haskell-pango Version: 0.12.2-1 Installed-Size: 1727 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cairo-doc, libghc-glib-doc, libghc-pango-dev Size: 189798 SHA256: fb16feec098104178b52aedbed4a921e3171fb82197ee54b1fe4d29867411982 SHA1: 27f53479a92037ae431aef45738c7355a49474c7 MD5sum: fa6847167819408efdf4eefd697466db Description: Binding to the Pango text rendering engine; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides a wrapper around the Pango C library that allows high-quality rendering of Unicode text. It can be used either with Cairo to output text in PDF, PS or other documents or with Gtk+ to display text on-screen. Homepage: http://hackage.haskell.org/package/pango Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-pango/libghc-pango-doc_0.12.2-1_all.deb Package: libghc-pango-prof Source: haskell-pango Version: 0.12.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3206 Depends: libghc-pango-dev (= 0.12.2-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-cairo-prof-0.12.3-e5398, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-glib-prof-0.12.2-64951, libghc-mtl-prof-2.1.1-87121, libghc-pretty-prof-1.1.1.0-7e118, libghc-process-prof-1.1.0.1-75c65 Provides: libghc-pango-prof-0.12.2-70f76 Homepage: http://hackage.haskell.org/package/pango Priority: extra Section: haskell Filename: pool/main/h/haskell-pango/libghc-pango-prof_0.12.2-1_armhf.deb Size: 605134 SHA256: 8f5a212130ebdadfff9fc8127db38aab853756ab820b17d7ec325a04c31f3efe SHA1: 7a04da19f14d755143b88c63d0525e45327d3d02 MD5sum: c0923c31844e9e8723a66cc3c8a185dd Description: Binding to the Pango text rendering engine; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package provides a wrapper around the Pango C library that allows high-quality rendering of Unicode text. It can be used either with Cairo to output text in PDF, PS or other documents or with Gtk+ to display text on-screen. Package: libghc-parallel-dev Source: haskell-parallel Version: 3.2.0.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 422 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-deepseq-dev-1.3.0.0-6c19e, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-parallel-doc, libghc-parallel-prof Provides: libghc-parallel-dev-3.2.0.2-e513f Homepage: http://hackage.haskell.org/package/parallel Priority: extra Section: haskell Filename: pool/main/h/haskell-parallel/libghc-parallel-dev_3.2.0.2-2_armhf.deb Size: 76034 SHA256: 10ec73c05c9e5f8a62c00db27587aefbc9883789a9b3501a9dfc91b016b0d06a SHA1: 095de389efd6c73fb1ee12d3297c3e854dab6246 MD5sum: cad6c7263ad1e5bfcfc4dd654fd28b4b Description: Haskell parallel programming library for GHC This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Provides a library for parallel programming in Haskell. Package: libghc-parallel-doc Source: haskell-parallel Version: 3.2.0.2-2 Installed-Size: 455 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-parallel-dev Size: 65916 SHA256: bc440a4e9dff4c9b54f68202f17feedde72f2384898e78e9f53c8f9a1b9c5766 SHA1: c28a6b84255be1fe30e74f533cfcfdcaf120d21d MD5sum: c9016c9ba30115edb9b8abab45f078b3 Description: Haskell parallel programming library for GHC; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Provides a library for parallel programming in Haskell. Homepage: http://hackage.haskell.org/package/parallel Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-parallel/libghc-parallel-doc_3.2.0.2-2_all.deb Package: libghc-parallel-prof Source: haskell-parallel Version: 3.2.0.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 371 Depends: libghc-parallel-dev (= 3.2.0.2-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-deepseq-prof-1.3.0.0-6c19e Provides: libghc-parallel-prof-3.2.0.2-e513f Homepage: http://hackage.haskell.org/package/parallel Priority: extra Section: haskell Filename: pool/main/h/haskell-parallel/libghc-parallel-prof_3.2.0.2-2_armhf.deb Size: 70526 SHA256: 9060f9a16b7baa1cabed81d09fd1be8025095dcc2ec1801ab3243ccbb528ca53 SHA1: 50fbdaf8f6eb54e45209a1ff54f6fdc25288670a MD5sum: c889b2aa9ec0ce08baf5dcee48d96854 Description: Haskell parallel programming library for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Provides a library for parallel programming in Haskell. Package: libghc-parseargs-dev Source: haskell-parseargs Version: 0.1.3.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 495 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-parseargs-doc, libghc-parseargs-prof Provides: libghc-parseargs-dev-0.1.3.2-c613d Homepage: http://hackage.haskell.org/package/parseargs Priority: extra Section: haskell Filename: pool/main/h/haskell-parseargs/libghc-parseargs-dev_0.1.3.2-2_armhf.deb Size: 99494 SHA256: 5d820a1db4c4c6184ac066bda64f2db8894d728a2657b741d1f95edeb46cc7c3 SHA1: 550626abf34873b7d55feaecc82bde1d7171fb86 MD5sum: 1da80b3d510d68caa2ac4ab94f5369e1 Description: Command-line argument parsing library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It parses command-line arguments. Package: libghc-parseargs-doc Source: haskell-parseargs Version: 0.1.3.2-2 Installed-Size: 311 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-parseargs-dev Size: 50696 SHA256: e075563ec32fc778de7e9d60de22485af18ff1931766ce3d020354acc2daaac3 SHA1: 7e3093aea6566f2c84a2a46cbc7080f81228d635 MD5sum: c960b47d4b699b3ee58739bf70040502 Description: Command-line argument parsing library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It parses command-line arguments. Homepage: http://hackage.haskell.org/package/parseargs Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-parseargs/libghc-parseargs-doc_0.1.3.2-2_all.deb Package: libghc-parseargs-prof Source: haskell-parseargs Version: 0.1.3.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 429 Depends: libghc-parseargs-dev (= 0.1.3.2-2), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545 Provides: libghc-parseargs-prof-0.1.3.2-c613d Homepage: http://hackage.haskell.org/package/parseargs Priority: extra Section: haskell Filename: pool/main/h/haskell-parseargs/libghc-parseargs-prof_0.1.3.2-2_armhf.deb Size: 87276 SHA256: 614d4073473d7f788d3238abdba8d9d5e1a73c0dcd09481baf4a0f022c9a54f9 SHA1: 45c81ae071fecf2f10446dc77d25f7500bb559ba MD5sum: 28109ce1b8aa063282fe74b56f4ee084 Description: Command-line argument parsing library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It parses command-line arguments. Package: libghc-parsec2-dev Source: haskell-parsec2 Version: 2.1.0.1-6 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2242 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-parsec2-prof, libghc-parsec2-doc Conflicts: libghc-parsec-dev (<< 3.0.0-5) Replaces: libghc-parsec-dev (<< 3.0.0-5) Provides: libghc-parsec-dev, libghc-parsec-dev-2.1.0.1-6c717 Homepage: http://legacy.cs.uu.nl/daan/parsec.html Priority: extra Section: haskell Filename: pool/main/h/haskell-parsec2/libghc-parsec2-dev_2.1.0.1-6_armhf.deb Size: 457330 SHA256: dd068d2c181e59380ff3ac06b7a022f4d2fd9a788906ddd084991eac856d7826 SHA1: b9055da7433b544ea83b757be1183c83a2d60457 MD5sum: 72b787cdadc73cbb5da7ebcce5a57879 Description: Haskell monadic parser combinator library for GHC This package provides a library for the Haskell programming language. . Parsec is designed from scratch as an industrial-strength parser library. It is simple, safe, well documented, has extensive libraries and good error messages, and is also fast. Package: libghc-parsec2-doc Source: haskell-parsec2 Version: 2.1.0.1-6 Installed-Size: 658 Maintainer: Debian Haskell Group Architecture: all Replaces: libghc-parsec-doc (<< 3.0.0-5) Provides: libghc-parsec-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc Suggests: libghc-parsec2-dev Conflicts: libghc-parsec-doc (<< 3.0.0-5) Size: 76362 SHA256: 3a3e6b820f2a6d9c32a9d00aa2b1e8c7482c8e229b2df41a66593badf833975f SHA1: d9cdc60641fcd9e122f576d8b5ab0b7ec14d5aca MD5sum: f76aa7116a11878d39393e12e15712c4 Description: Haskell monadic parser combinator library for GHC; documentation This package provides the documentation for a library for the Haskell programming language. . Parsec is designed from scratch as an industrial-strength parser library. It is simple, safe, well documented, has extensive libraries and good error messages, and is also fast. Homepage: http://legacy.cs.uu.nl/daan/parsec.html Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-parsec2/libghc-parsec2-doc_2.1.0.1-6_all.deb Package: libghc-parsec2-prof Source: haskell-parsec2 Version: 2.1.0.1-6 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2088 Depends: libghc-parsec2-dev (= 2.1.0.1-6), libghc-base-prof-4.5.0.0-d93df Conflicts: libghc-parsec-prof (<< 3.0.0-5) Replaces: libghc-parsec-prof (<< 3.0.0-5) Provides: libghc-parsec-prof, libghc-parsec-prof-2.1.0.1-6c717 Homepage: http://legacy.cs.uu.nl/daan/parsec.html Priority: extra Section: haskell Filename: pool/main/h/haskell-parsec2/libghc-parsec2-prof_2.1.0.1-6_armhf.deb Size: 428982 SHA256: fdef30ffc8595c4324fa657df19c7e6b31028402cff84e5a682a4e12f47b22a0 SHA1: ccc56badbae992ad2337b0a422e4debb6dd7fc85 MD5sum: bad499ffbd42ac1a41d42384bb3dffb3 Description: Haskell monadic parser combinator library for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. . Parsec is designed from scratch as an industrial-strength parser library. It is simple, safe, well documented, has extensive libraries and good error messages, and is also fast. Package: libghc-parsec3-dev Source: haskell-parsec Version: 3.1.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3907 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-mtl-dev-2.1.1-87121, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-parsec3-doc, libghc-parsec3-prof Conflicts: libghc-parsec-dev (<< 3.0.0-5) Replaces: libghc-parsec-dev (<< 3.0.0-5) Provides: libghc-parsec-dev-3.1.2-aa52f Homepage: http://legacy.cs.uu.nl/daan/parsec.html Priority: extra Section: haskell Filename: pool/main/h/haskell-parsec/libghc-parsec3-dev_3.1.2-1_armhf.deb Size: 782106 SHA256: 22ac45b63d3c35a4693b8dcb1926b9b6c390ba4e8929c36f11cdbf4f2e1f1d8e SHA1: a0f1033146e8d64e4402f93a0728beda27d5b6be MD5sum: 2d638bd1961d6ae9bd039a0ae89eec03 Description: Haskell monadic parser combinator library for GHC This package provides a library for the Haskell programming language. . Parsec is designed from scratch as an industrial-strength parser library. It is simple, safe, well documented, has extensive libraries and good error messages, and is also fast. It is defined as a monad transformer that can be stacked on arbitrary monads, and it is also parametric in the input stream type. Package: libghc-parsec3-doc Source: haskell-parsec Version: 3.1.2-1 Installed-Size: 1412 Maintainer: Debian Haskell Group Architecture: all Replaces: libghc-parsec-doc (<< 3.0.0-5) Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-text-doc, libghc-transformers-doc, libghc-parsec3-dev Conflicts: libghc-parsec-doc (<< 3.0.0-5) Size: 161090 SHA256: e42f3ab87043d2864cef34b18d0c40e7050e55f407b5aaf0cac118f864c6e52c SHA1: dbda7fc9f3d55c821e842a041cd029f777454d4d MD5sum: 1cdd1c6505078b82d11b530de667118c Description: Haskell monadic parser combinator library for GHC; documentation This package provides the documentation for a library for the Haskell programming language. . Parsec is designed from scratch as an industrial-strength parser library. It is simple, safe, well documented, has extensive libraries and good error messages, and is also fast. It is defined as a monad transformer that can be stacked on arbitrary monads, and it is also parametric in the input stream type. Homepage: http://legacy.cs.uu.nl/daan/parsec.html Tag: devel::code-generator, devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-parsec/libghc-parsec3-doc_3.1.2-1_all.deb Package: libghc-parsec3-prof Source: haskell-parsec Version: 3.1.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3385 Depends: libghc-parsec3-dev (= 3.1.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-mtl-prof-2.1.1-87121, libghc-text-prof-0.11.2.0-05a46 Conflicts: libghc-parsec-prof (<< 3.0.0-5) Replaces: libghc-parsec-prof (<< 3.0.0-5) Provides: libghc-parsec-prof-3.1.2-aa52f Homepage: http://legacy.cs.uu.nl/daan/parsec.html Priority: extra Section: haskell Filename: pool/main/h/haskell-parsec/libghc-parsec3-prof_3.1.2-1_armhf.deb Size: 682020 SHA256: e69f187b5d39a49d9b7861190181e1632e4b5881726f9393dd00919f479886cb SHA1: a24d04e16e0a9181fb700773c2cbcce4b08e0c79 MD5sum: 14b6b6521791c6b895661c17b69ffff0 Description: Haskell monadic parser combinator library for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. . Parsec is designed from scratch as an industrial-strength parser library. It is simple, safe, well documented, has extensive libraries and good error messages, and is also fast. It is defined as a monad transformer that can be stacked on arbitrary monads, and it is also parametric in the input stream type. Package: libghc-pastis-dev Source: haskell-pastis Version: 0.1.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 93 Depends: libghc-http-dev-4000.2.3-55fae, libghc-base-dev-4.5.0.0-d93df, libghc-network-dev-2.3.0.13-9c99a, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-pastis-doc, libghc-pastis-prof Provides: libghc-pastis-dev-0.1.2-1b02f Homepage: http://hackage.haskell.org/package/pastis Priority: extra Section: haskell Filename: pool/main/h/haskell-pastis/libghc-pastis-dev_0.1.2-2_armhf.deb Size: 11280 SHA256: b9ce58b204b752dfd24a5f4efd8a8559bef0824c564eb6981cf4be1228f0cf04 SHA1: b07af1187b6e26cef92bedeac8b5b0492368607b MD5sum: 2feb7529369216cccccffcb6d263649c Description: interface to the past.is URL shortening service This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides an interface to the past.is URL-shortening web service. Package: libghc-pastis-doc Source: haskell-pastis Version: 0.1.2-2 Installed-Size: 94 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-pastis-dev Size: 27542 SHA256: 01254bec2c4a7d718a8800102640271525bd96aefec06d3d9913e499af44cdc8 SHA1: fc0e82b09e1c3a0a7c5648579b7d3ad9a41479cd MD5sum: c03bcc1b52162e50d3b016dd2950bbd8 Description: interface to the past.is URL shortening service; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides an interface to the past.is URL-shortening web service. Homepage: http://hackage.haskell.org/package/pastis Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-pastis/libghc-pastis-doc_0.1.2-2_all.deb Package: libghc-pastis-prof Source: haskell-pastis Version: 0.1.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 74 Depends: libghc-pastis-dev (= 0.1.2-2), libghc-http-prof-4000.2.3-55fae, libghc-base-prof-4.5.0.0-d93df, libghc-network-prof-2.3.0.13-9c99a Provides: libghc-pastis-prof-0.1.2-1b02f Homepage: http://hackage.haskell.org/package/pastis Priority: extra Section: haskell Filename: pool/main/h/haskell-pastis/libghc-pastis-prof_0.1.2-2_armhf.deb Size: 11314 SHA256: 8990c6d9d072f7d217cee29a141cba1677d128a0afed6fd0b8701ca1e666e267 SHA1: 6d948c1251e4097cbf57bb7ff12aedc3c9d89324 MD5sum: 8dc82d5523a849e10091fd1aef1701e8 Description: interface to the past.is URL shortening service; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It provides an interface to the past.is URL-shortening web service. Package: libghc-path-pieces-doc Source: haskell-path-pieces Version: 0.1.0-1 Installed-Size: 116 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-path-pieces-dev Size: 28302 SHA256: a554c7194d3c7635ca3c84c1a0b208505cee5ae2e830a2a74ee74ac367b36821 SHA1: 6681bfe6e37094eacc78f486d35e6ac2654aa6ba MD5sum: 5ce457c3dc09dfd14c93c3f1e3744784 Description: components of paths; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains path pieces. Homepage: http://hackage.haskell.org/package/path-pieces Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-path-pieces/libghc-path-pieces-doc_0.1.0-1_all.deb Package: libghc-patience-dev Source: haskell-patience Version: 0.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 371 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-patience-doc, libghc-patience-prof Provides: libghc-patience-dev-0.1.1-61dd8 Homepage: http://hackage.haskell.org/package/patience Priority: optional Section: haskell Filename: pool/main/h/haskell-patience/libghc-patience-dev_0.1.1-1_armhf.deb Size: 74106 SHA256: 74d1b3a52192dac247e166d742ed02d9b4cbc485d8e58f64fb0024606dc0c9b6 SHA1: 912285627d6e1ff7863380b182c8a60a2b4a3d49 MD5sum: a98d60186f9e88160d9f26f938a6b658 Description: Haskell implementation of the Patience Diff algorithm This library implements the "patience diff" algorithm, as well as the patience algorithm for the longest increasing subsequence problem. . Patience diff computes the difference between two lists, for example the lines of two versions of a source file. It provides a good balance of performance, nice output for humans, and implementation simplicity. For more information, see http://alfedenzo.livejournal.com/170301.html and http://bramcohen.livejournal.com/73318.html. . This package provides a library written in the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-patience-doc Source: haskell-patience Version: 0.1.1-1 Installed-Size: 143 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-patience-dev Size: 33752 SHA256: 029707be87c96f0c7aadfa8e615f04941f6b701be92e36d7461872f3492b84ef SHA1: b496b61318fffb489857240f5608906e1f990344 MD5sum: 43fe9ef23f0e99a89b89902ac6db92eb Description: Haskell implementation of the Patience Diff algorithm; documentation This library implements the "patience diff" algorithm, as well as the patience algorithm for the longest increasing subsequence problem. . Patience diff computes the difference between two lists, for example the lines of two versions of a source file. It provides a good balance of performance, nice output for humans, and implementation simplicity. For more information, see http://alfedenzo.livejournal.com/170301.html and http://bramcohen.livejournal.com/73318.html. . This package provides documentation for a library written in the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/patience Section: doc Priority: optional Filename: pool/main/h/haskell-patience/libghc-patience-doc_0.1.1-1_all.deb Package: libghc-patience-prof Source: haskell-patience Version: 0.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 344 Depends: libghc-patience-dev (= 0.1.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545 Provides: libghc-patience-prof-0.1.1-61dd8 Homepage: http://hackage.haskell.org/package/patience Priority: optional Section: haskell Filename: pool/main/h/haskell-patience/libghc-patience-prof_0.1.1-1_armhf.deb Size: 69822 SHA256: 73d88c38c68c9f79a9fc8c3dd8e72689dc4e4d403b1352709343b69904eae2b5 SHA1: 511450963918bcd88aa894f4ead64ebbe937f3a9 MD5sum: 6468a1d03654492fadf3ab87f090b183 Description: Haskell implementation of the Patience Diff algorithm; profiling libraries This library implements the "patience diff" algorithm, as well as the patience algorithm for the longest increasing subsequence problem. . Patience diff computes the difference between two lists, for example the lines of two versions of a source file. It provides a good balance of performance, nice output for humans, and implementation simplicity. For more information, see http://alfedenzo.livejournal.com/170301.html and http://bramcohen.livejournal.com/73318.html. . This package provides a library written in the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pcre-light-dev Source: haskell-pcre-light Version: 0.4-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 475 Depends: libpcre3-dev, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libpcre3 (>= 8.10) Suggests: libghc-pcre-light-doc, libghc-pcre-light-prof Provides: libghc-pcre-light-dev-0.4-4f534 Homepage: http://code.haskell.org/~dons/code/pcre-light Priority: extra Section: haskell Filename: pool/main/h/haskell-pcre-light/libghc-pcre-light-dev_0.4-3_armhf.deb Size: 81148 SHA256: 0bef313f46c65a2acb3ef2450de262ea92fa1be51b2280ab24f0e2924ac295bb SHA1: 7e51a04176b31e1884a79b7478775cadd27de946 MD5sum: 6ab5f744cb61ad08997669e796f7a459 Description: Haskell library for Perl 5-compatible regular expressions The PCRE library is a set of functions that implement regular expression pattern matching using the same syntax and semantics as Perl 5. The library aims to be light, efficient and portable. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pcre-light-doc Source: haskell-pcre-light Version: 0.4-3 Installed-Size: 562 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-pcre-light-doc (<< 0.3.1.1-2) Provides: haskell-pcre-light-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-pcre-light-dev Conflicts: haskell-pcre-light-doc (<< 0.3.1.1-2) Size: 93054 SHA256: f49a4390f2f39ce2e823ab47227234a65e9161526b2e2b13d5205fd3a657c011 SHA1: d71b679a4bd02d43b35094a967139b94a13fc51d MD5sum: 5a4410c9be04dca5a44f219ca006681b Description: library documentation for pcre-light The PCRE library is a set of functions that implement regular expression pattern matching using the same syntax and semantics as Perl 5. The library aims to be light, efficient and portable. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://code.haskell.org/~dons/code/pcre-light Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-pcre-light/libghc-pcre-light-doc_0.4-3_all.deb Package: libghc-pcre-light-prof Source: haskell-pcre-light Version: 0.4-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 406 Depends: libghc-pcre-light-dev (= 0.4-3), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-pcre-light-prof-0.4-4f534 Homepage: http://code.haskell.org/~dons/code/pcre-light Priority: extra Section: haskell Filename: pool/main/h/haskell-pcre-light/libghc-pcre-light-prof_0.4-3_armhf.deb Size: 74654 SHA256: 629882c86d72e1eec198b6a3e530d96c0377919e15c296ddcbe0104ae14da630 SHA1: 57b45d38d33562c3035db0113b759ac9cf18e53b MD5sum: 6cd1c2a74a1a7ed8329c974e1b8aba9b Description: pcre-light library with profiling enabled The PCRE library is a set of functions that implement regular expression pattern matching using the same syntax and semantics as Perl 5. The library aims to be light, efficient and portable. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pem-dev Source: haskell-pem (0.1.1-1) Version: 0.1.1-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 276 Depends: libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-base-dev-4.5.0.0-d93df, libghc-base64-bytestring-dev-0.1.1.1-8e4fe, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-cereal-dev-0.3.5.2-b01d7, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-pem-doc, libghc-pem-prof Provides: libghc-pem-dev-0.1.1-84ae4 Homepage: http://hackage.haskell.org/package/pem Priority: extra Section: haskell Filename: pool/main/h/haskell-pem/libghc-pem-dev_0.1.1-1+b1_armhf.deb Size: 60780 SHA256: 5aab8483f73a7139ed89c28b9471fa29f284ee27afef52513b62448561e59179 SHA1: 9cd0055edbeaee26f99f2efad84670bb1a2cd888 MD5sum: 6de458b96bcebd6aaa970e16d08b5825 Description: Privacy Enhanced Mail (PEM) format reader and writer This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is for reading and writing PEM (Privacy Enhanced Mail; see RFCs 1421 through 1424) structures. Package: libghc-pem-doc Source: haskell-pem Version: 0.1.1-1 Installed-Size: 130 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-attoparsec-doc, libghc-cereal-doc, libghc-pem-dev Size: 32142 SHA256: 9e8ffbc326da26f57ca87f6aa01e2636a15922b9fe30a26ce6622e77e7d4bff9 SHA1: 2d30664a4cd1a78e645891f9684292378361895b MD5sum: c7c6b97d9e8c4b85d09616a19ddfddb9 Description: Privacy Enhanced Mail (PEM) format reader and writer; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is for reading and writing PEM (Privacy Enhanced Mail; see RFCs 1421 through 1424) structures. Homepage: http://hackage.haskell.org/package/pem Section: doc Priority: extra Filename: pool/main/h/haskell-pem/libghc-pem-doc_0.1.1-1_all.deb Package: libghc-pem-prof Source: haskell-pem (0.1.1-1) Version: 0.1.1-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 239 Depends: libghc-pem-dev (= 0.1.1-1+b1), libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-base-prof-4.5.0.0-d93df, libghc-base64-bytestring-prof-0.1.1.1-8e4fe, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-cereal-prof-0.3.5.2-b01d7, libghc-mtl-prof-2.1.1-87121 Provides: libghc-pem-prof-0.1.1-84ae4 Homepage: http://hackage.haskell.org/package/pem Priority: extra Section: haskell Filename: pool/main/h/haskell-pem/libghc-pem-prof_0.1.1-1+b1_armhf.deb Size: 57870 SHA256: 113afc84e375a6f63f85889e45fa2577fa44ff1b56ae169dc1d9f43cd13767b8 SHA1: 58781ad09870257cb3a942c68c42b15cff8c8038 MD5sum: ba0786070bafbf775dba1b9420e01c8c Description: Privacy Enhanced Mail (PEM) format reader and writer; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is for reading and writing PEM (Privacy Enhanced Mail; see RFCs 1421 through 1424) structures. Package: libghc-persistent-doc Source: haskell-persistent Version: 0.9.0.4-2 Installed-Size: 1277 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-aeson-doc, libghc-blaze-html-doc, libghc-conduit-doc, libghc-monad-control-doc, libghc-mtl-doc, libghc-path-pieces-doc, libghc-pool-conduit-doc, libghc-transformers-base-doc, libghc-transformers-doc, libghc-persistent-dev Size: 136084 SHA256: 21fc40d5d95bc9f6884390e0273d0d469ba049cd18b6c4404a28ee33bd9f450d SHA1: a3ef6f5137cfa6db18cd15e52332dfba2d703936 MD5sum: 933a865c219a0661f3c3ad151aefd9c8 Description: type-safe, non-relational, multi-backend persistence; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This libraries allows Haskell applications to access a database or other data storage sytems in a type-safe, non-relational way. . This library provides just the general interface and helper functions. You must use a specific backend in order to make this useful. Homepage: http://hackage.haskell.org/package/persistent Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-persistent/libghc-persistent-doc_0.9.0.4-2_all.deb Package: libghc-persistent-sqlite-doc Source: haskell-persistent-sqlite Version: 0.9.0.2-2 Installed-Size: 288 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monad-control-doc, libghc-persistent-doc, libghc-text-doc, libghc-transformers-doc, libghc-persistent-sqlite-dev Size: 46060 SHA256: abfdd6af9894084d6b7e889b7329f6d487c5eabc4b3b646b38c8f046cd091ec2 SHA1: 34dadcba63ff5baa865b270141c741be75da6b18 MD5sum: 7e95a02903aa2694d3cec127d6b28c21 Description: SQLite3 backend for the persistent library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library allows Haskell applications to access an SQLite database in a type-safe, non-relational way. Homepage: http://hackage.haskell.org/package/persistent-sqlite Section: doc Priority: extra Filename: pool/main/h/haskell-persistent-sqlite/libghc-persistent-sqlite-doc_0.9.0.2-2_all.deb Package: libghc-persistent-template-doc Source: haskell-persistent-template Version: 0.9.0.2-1 Installed-Size: 261 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-persistent-doc, libghc-persistent-template-dev Size: 47934 SHA256: 35e4c4571f7bfad395e1e00e4065439eaa75dc8deab342c85e826ca881d6b081 SHA1: 6becedec820ef9eca30124a73f893c12ab5460e2 MD5sum: 24fdede6415f77e5aebc0014c2838894 Description: type-safe, non-relational, multi-backend persistence; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides just the general interface and helper functions. You must use a specific backend in order to make this useful. Homepage: http://hackage.haskell.org/package/persistent-template Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-persistent-template/libghc-persistent-template-doc_0.9.0.2-1_all.deb Package: libghc-polyparse-dev Source: haskell-polyparse Version: 1.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3731 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-text-dev-0.11.2.0-05a46 Suggests: libghc-polyparse-doc, libghc-polyparse-prof Provides: libghc-polyparse-dev-1.7-9a912 Homepage: http://hackage.haskell.org/package/polyparse Priority: optional Section: haskell Filename: pool/main/h/haskell-polyparse/libghc-polyparse-dev_1.7-1_armhf.deb Size: 734464 SHA256: 5b05d2ca356c80ede7ffb3e850d88857edba7846a3f9b9c15e381c88b805b1a1 SHA1: fac695a48ff136ce4a457026cfc8e270fa72dc6b MD5sum: c7ff02b97b5b465520ccfbea334bc8c6 Description: A variety of alternative parser combinator libraries for Haskell . A variety of alternative parser combinator libraries, including the original HuttonMeijer set. The Poly sets have features like good error reporting, arbitrary token type, running state, lazy parsing, and so on. Finally, Text.Parse is a proposed replacement for the standard Read class, for better deserialisation of Haskell values from Strings. . This package contains the libraries compiled for GHC. Package: libghc-polyparse-doc Source: haskell-polyparse Version: 1.7-1 Installed-Size: 1204 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-polyparse-dev Size: 133814 SHA256: 432de11371b2a5ea06dcc0953c6845c64949120f10194973c0174458fe8f1788 SHA1: 0649ff5470ae4e47abac11ce3876d1c2c9513990 MD5sum: b0c6b78ef85c6c89c65a0a5d4c974bb3 Description: Documentation for haskell-polyparse . A variety of alternative parser combinator libraries, including the original HuttonMeijer set. The Poly sets have features like good error reporting, arbitrary token type, running state, lazy parsing, and so on. Finally, Text.Parse is a proposed replacement for the standard Read class, for better deserialisation of Haskell values from Strings. Homepage: http://hackage.haskell.org/package/polyparse Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: optional Filename: pool/main/h/haskell-polyparse/libghc-polyparse-doc_1.7-1_all.deb Package: libghc-polyparse-prof Source: haskell-polyparse Version: 1.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3488 Depends: libghc-polyparse-dev (= 1.7-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-polyparse-prof-1.7-9a912 Homepage: http://hackage.haskell.org/package/polyparse Priority: optional Section: haskell Filename: pool/main/h/haskell-polyparse/libghc-polyparse-prof_1.7-1_armhf.deb Size: 706620 SHA256: b9f5f811cb4beb13b4ae1e94a0b349f7adc706ddb5bf2aa4148faac464615f84 SHA1: ec3145f6b476e07d83a9ff13b71a108f29663d38 MD5sum: 7eafb6fe35f3a37b5e31d24778df6b69 Description: Profiling libraries for haskell-polyparse . A variety of alternative parser combinator libraries, including the original HuttonMeijer set. The Poly sets have features like good error reporting, arbitrary token type, running state, lazy parsing, and so on. Finally, Text.Parse is a proposed replacement for the standard Read class, for better deserialisation of Haskell values from Strings. . This package contains the profiling libraries compiled for GHC. Package: libghc-pool-conduit-dev Source: haskell-pool-conduit Version: 0.1.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 110 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-resource-pool-dev-0.2.1.0-51b49, libghc-resourcet-dev-0.3.2.1-e4216, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-pool-conduit-doc, libghc-pool-conduit-prof Provides: libghc-pool-conduit-dev-0.1.0.2-42986 Homepage: http://hackage.haskell.org/package/pool-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-pool-conduit/libghc-pool-conduit-dev_0.1.0.2-1_armhf.deb Size: 13988 SHA256: 1612ef508691fa3f21595afd12875375ae7b73f7a8ae56ba285c740f9260e0f6 SHA1: a79975ffd47d2ed30d87f99229760786eac41063 MD5sum: 951f8768cae4fc6aabd5f8296b4a4855 Description: resource pool allocations via ResourceT Allocate resources from a pool, guaranteeing resource handling via the ResourceT transformer. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-pool-conduit-doc Source: haskell-pool-conduit Version: 0.1.0.2-1 Installed-Size: 66 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monad-control-doc, libghc-resource-pool-doc, libghc-resourcet-doc, libghc-pool-conduit-dev Size: 31104 SHA256: 6a93874900a302137db5ed83ccd72e85bcd6ce5e040aa620697b2281d6f44fd3 SHA1: 307a9cd76c8bc7dfd242d458847f616301b9fe33 MD5sum: f4c1f404a854f15231becd5d5688947e Description: resource pool allocations via ResourceT; documentation Allocate resources from a pool, guaranteeing resource handling via the ResourceT transformer. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/pool-conduit Section: doc Priority: extra Filename: pool/main/h/haskell-pool-conduit/libghc-pool-conduit-doc_0.1.0.2-1_all.deb Package: libghc-pool-conduit-prof Source: haskell-pool-conduit Version: 0.1.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 91 Depends: libghc-pool-conduit-dev (= 0.1.0.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-resource-pool-prof-0.2.1.0-51b49, libghc-resourcet-prof-0.3.2.1-e4216, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-pool-conduit-prof-0.1.0.2-42986 Homepage: http://hackage.haskell.org/package/pool-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-pool-conduit/libghc-pool-conduit-prof_0.1.0.2-1_armhf.deb Size: 14720 SHA256: c85b5088ab1ffcb7f7f466bbd13367f046ed635883818b060b633205084443ed SHA1: c9200ca48a4519c29b6339435475ff71fd2a5678 MD5sum: 84e9ba76f768f5ec3097cc4bb18d6f47 Description: resource pool allocations via ResourceT; profiling libraries Allocate resources from a pool, guaranteeing resource handling via the ResourceT transformer. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-postgresql-libpq-dev Source: haskell-postgresql-libpq Version: 0.8.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1782 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libpq5, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libpq-dev Suggests: libghc-postgresql-libpq-doc, libghc-postgresql-libpq-prof Provides: libghc-postgresql-libpq-dev-0.8.2-256f7 Homepage: http://github.com/lpsmith/postgresql-libpq Priority: extra Section: haskell Filename: pool/main/h/haskell-postgresql-libpq/libghc-postgresql-libpq-dev_0.8.2-1_armhf.deb Size: 300496 SHA256: dac8dd36a36a239be9952ad422ac2e4a830147c72fd863c64ef23b8e7af6a85e SHA1: e82e62cd4d189ba1df6ed0dbb36dff4eda2848b1 MD5sum: 9d21b340b5be3ca1b30fe7996b3e9ee3 Description: low-level binding to libpq This is a binding to libpq: the C application programmer's interface to PostgreSQL. libpq is a set of library functions that allow client programs to pass queries to the PostgreSQL backend server and to receive the results of these queries. . Author: Grant Monroe, Leon P Smith, Joey Adams Upstream-Maintainer: Leon P Smith . This package contains the normal library files. Package: libghc-postgresql-libpq-doc Source: haskell-postgresql-libpq Version: 0.8.2-1 Installed-Size: 920 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-postgresql-libpq-dev Size: 120528 SHA256: 93c770ebf0ff6d8c116dd84cf53b19fe1076cfc42124bb3eb666ce87cc749b29 SHA1: 7a2e4f1488547e7979a301708aed8dac405fa7ee MD5sum: f319dfa1a2acfe041ee053b258b63b1a Description: low-level binding to libpq; documentation This is a binding to libpq: the C application programmer's interface to PostgreSQL. libpq is a set of library functions that allow client programs to pass queries to the PostgreSQL backend server and to receive the results of these queries. . Author: Grant Monroe, Leon P Smith, Joey Adams Upstream-Maintainer: Leon P Smith . This package contains the documentation files. Homepage: http://github.com/lpsmith/postgresql-libpq Section: doc Priority: extra Filename: pool/main/h/haskell-postgresql-libpq/libghc-postgresql-libpq-doc_0.8.2-1_all.deb Package: libghc-postgresql-libpq-prof Source: haskell-postgresql-libpq Version: 0.8.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1528 Depends: libghc-postgresql-libpq-dev (= 0.8.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-postgresql-libpq-prof-0.8.2-256f7 Homepage: http://github.com/lpsmith/postgresql-libpq Priority: extra Section: haskell Filename: pool/main/h/haskell-postgresql-libpq/libghc-postgresql-libpq-prof_0.8.2-1_armhf.deb Size: 265252 SHA256: 5303fe6bd88be97296c18366ccc752f684fa44362a4765b04965e1af3307aec9 SHA1: 9fc5d0eea847eae8cff206a386b9e5121d1e0b51 MD5sum: e92f9eb09755bd5df049eb1a1bde416e Description: low-level binding to libpq; profiling libraries This is a binding to libpq: the C application programmer's interface to PostgreSQL. libpq is a set of library functions that allow client programs to pass queries to the PostgreSQL backend server and to receive the results of these queries. . Author: Grant Monroe, Leon P Smith, Joey Adams Upstream-Maintainer: Leon P Smith . This package contains the libraries compiled with profiling enabled. Package: libghc-postgresql-simple-doc Source: haskell-postgresql-simple Version: 0.1.4.3-1 Installed-Size: 1200 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-attoparsec-doc, libghc-blaze-builder-doc, libghc-postgresql-libpq-doc, libghc-text-doc, libghc-vector-doc, libghc-postgresql-simple-dev Size: 142052 SHA256: 9e44339805513b031243921f153be0d3a60b1e192245223b69b509d479ee1945 SHA1: 571a67229075508c1d17cef003b892c203dc2792 MD5sum: fbeb43bc08862ff1bceadc87467ffd1f Description: mid-level PostgreSQL client library; documentation Mid-level client library for the PostgreSQL database, aimed at ease of use and high performance. . This package contains the documentation files. Homepage: http://hackage.haskell.org/package/postgresql-simple Section: doc Priority: extra Filename: pool/main/h/haskell-postgresql-simple/libghc-postgresql-simple-doc_0.1.4.3-1_all.deb Package: libghc-pretty-show-dev Source: haskell-pretty-show Version: 1.1.1-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 435 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-haskell-lexer-dev-1.0-00af9, libghc-pretty-dev-1.1.1.0-7e118, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-pretty-show-doc, libghc-pretty-show-prof Provides: libghc-pretty-show-dev-1.1.1-e9f0f Homepage: http://hackage.haskell.org/package/pretty-show Priority: extra Section: haskell Filename: pool/main/h/haskell-pretty-show/libghc-pretty-show-dev_1.1.1-4_armhf.deb Size: 94806 SHA256: c6c45dd073ada305f76ed8646832c4346fa5ca77c18002cc6349e48c98af6b0d SHA1: fdb538a5edeb52f724668b3aaa6da805c4b2e5af MD5sum: af140ce20db8424b7b9a82ee2c5b79ec Description: A Haskell library for pretty printing data structures A library that can parse derived Show instances into a generic data structure which can then be pretty printed. For complex data structures, the pretty printed version is significantly more readable that the standard Show output. . This package contains the libraries compiled for GHC. Package: libghc-pretty-show-doc Source: haskell-pretty-show Version: 1.1.1-4 Installed-Size: 304 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-pretty-show-dev Size: 45042 SHA256: 39d50bc91216252debe2ac9109e129a4ed6f24208e0f9592fa8d9007e191c458 SHA1: 0e8ef284d58193d22c2e6aab25dbe17d8062e65b MD5sum: da508d39dca85a4c02930b485958a897 Description: Documentation for Haskell pretty printing library A library that can parse derived Show instances into a generic data structure which can then be pretty printed. For complex data structures, the pretty printed version is significantly more readable that the standard Show output. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/pretty-show Tag: devel::doc, devel::lang:haskell, devel::prettyprint, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-pretty-show/libghc-pretty-show-doc_1.1.1-4_all.deb Package: libghc-pretty-show-prof Source: haskell-pretty-show Version: 1.1.1-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 372 Depends: libghc-pretty-show-dev (= 1.1.1-4), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-haskell-lexer-prof-1.0-00af9, libghc-pretty-prof-1.1.1.0-7e118 Provides: libghc-pretty-show-prof-1.1.1-e9f0f Homepage: http://hackage.haskell.org/package/pretty-show Priority: extra Section: haskell Filename: pool/main/h/haskell-pretty-show/libghc-pretty-show-prof_1.1.1-4_armhf.deb Size: 80284 SHA256: 983e9de479fba2c5c2359a51aff683e1d68c5150f6fce9077cf6a0950fa07703 SHA1: 356b73a9730e3784b6242b9b944f1d19a3615bce MD5sum: 7508ef48d3b53ccbafc5e93a10a659c6 Description: Profiling libraries for pretty printing Haskell data structures A library that can parse derived Show instances into a generic data structure which can then be pretty printed. For complex data structures, the pretty printed version is significantly more readable that the standard Show output. . This package contains the profiling libraries compiled for GHC. Package: libghc-primes-dev Source: haskell-primes Version: 0.2.1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 319 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-primes-doc, libghc-primes-prof Provides: libghc-primes-dev-0.2.1.0-d5fe6 Homepage: http://hackage.haskell.org/package/haskell-primes Priority: extra Section: haskell Filename: pool/main/h/haskell-primes/libghc-primes-dev_0.2.1.0-2_armhf.deb Size: 70562 SHA256: bd90e1b6809406fb6874761a584b614c2885dbf560906f6589e939cf7de74dcb SHA1: e65a5694f673a48e54436176bb65f891d2693265 MD5sum: 2a1afac2a999092379e49845006b9e7a Description: efficient, purely functional generation of prime numbers This Haskell library provides an efficient lazy wheel sieve for prime generation inspired by Lazy wheel sieves and spirals of primes by Colin Runciman and The Genuine Sieve of Eratosthenes by Melissa O'Neil. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-primes-doc Source: haskell-primes Version: 0.2.1.0-2 Installed-Size: 144 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-primes-dev Size: 32940 SHA256: 4f6e9568dbb92f362c984f6ab3df3572a720ec5f2bd36486816180a4e079aaa3 SHA1: afe24fcead4c17f92ae6fb86b138cd6d954d1b36 MD5sum: e35567f9f7a2cb20775c8d2091f43ee7 Description: efficient, purely functional generation of prime numbers; documentation This Haskell library provides an efficient lazy wheel sieve for prime generation inspired by Lazy wheel sieves and spirals of primes by Colin Runciman and The Genuine Sieve of Eratosthenes by Melissa O'Neil. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-primes Section: doc Priority: extra Filename: pool/main/h/haskell-primes/libghc-primes-doc_0.2.1.0-2_all.deb Package: libghc-primes-prof Source: haskell-primes Version: 0.2.1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 272 Depends: libghc-primes-dev (= 0.2.1.0-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-primes-prof-0.2.1.0-d5fe6 Homepage: http://hackage.haskell.org/package/haskell-primes Priority: extra Section: haskell Filename: pool/main/h/haskell-primes/libghc-primes-prof_0.2.1.0-2_armhf.deb Size: 59134 SHA256: dc5836e2f1e301c567303db28df960a2ced2cf2c0b36179f61ff0f965c0eaece SHA1: 866a475b08216eb17d8720308998fafb3680a60f MD5sum: f579e26c36c92da20a882c45f620da8e Description: efficient, purely functional generation of prime numbers; profiling libraries This Haskell library provides an efficient lazy wheel sieve for prime generation inspired by Lazy wheel sieves and spirals of primes by Colin Runciman and The Genuine Sieve of Eratosthenes by Melissa O'Neil. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-primitive-dev Source: haskell-primitive Version: 0.4.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 762 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-ghc-prim-dev-0.2.0.0-bd29c, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-primitive-doc, libghc-primitive-prof Provides: libghc-primitive-dev-0.4.1-342b9 Homepage: http://code.haskell.org/primitive Priority: extra Section: haskell Filename: pool/main/h/haskell-primitive/libghc-primitive-dev_0.4.1-1_armhf.deb Size: 127758 SHA256: aba6110b80332adc6b6a468ff299355062688dd5d0569d0240f975290b25ed50 SHA1: 3abdae45525c5d3720edd321bc4b72e9c6532ffd MD5sum: af7cc6f949c0b71a739bd80122f20d86 Description: Wrappers for primitive operations This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package contains Haskell bindings for the functions and data structures defined in the primitive C library. Package: libghc-primitive-doc Source: haskell-primitive Version: 0.4.1-1 Installed-Size: 475 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-primitive-dev Size: 60634 SHA256: ad7cd72b3e025c691f7fb38d5f9c12b2dfc1aa730731db21d5e4540e72b3d7b3 SHA1: 7d275687de65f24677ffecf3a33dc81ec56f6765 MD5sum: cfad72da4e42dda94c965aef0fe812a3 Description: Wrappers for primitive operations; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides wrappers for primitive array operations from GHC.Prim. Homepage: http://code.haskell.org/primitive Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-primitive/libghc-primitive-doc_0.4.1-1_all.deb Package: libghc-primitive-prof Source: haskell-primitive Version: 0.4.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 646 Depends: libghc-primitive-dev (= 0.4.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-ghc-prim-prof-0.2.0.0-bd29c Provides: libghc-primitive-prof-0.4.1-342b9 Homepage: http://code.haskell.org/primitive Priority: extra Section: haskell Filename: pool/main/h/haskell-primitive/libghc-primitive-prof_0.4.1-1_armhf.deb Size: 118988 SHA256: 55c089d1e73206a4875d8c140e0416c30f179417dafb7f9587a0a993b52f2873 SHA1: d620af19b9d78a1192578f9eed522f72415a586b MD5sum: 220d7cc99d8417a2806166f722f3673e Description: Wrappers for primitive operations; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package provides wrappers for primitive array operations from GHC.Prim. Package: libghc-psqueue-dev Source: haskell-psqueue Version: 1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 582 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df Suggests: libghc-psqueue-doc, libghc-psqueue-prof Provides: libghc-psqueue-dev-1.1-f4bc6 Homepage: http://hackage.haskell.org/package/PSQueue Priority: extra Section: haskell Filename: pool/main/h/haskell-psqueue/libghc-psqueue-dev_1.1-2_armhf.deb Size: 123558 SHA256: d9f89285fcc9565bbd1457d592c78d613f0d0679cc78b9b701e412a75b30bfb5 SHA1: 6a186dd5dff87c7d7f09d9eaf742ec1f2868d1f1 MD5sum: 3f8af36af260f8dc94348f940c16d354 Description: Priority Search Queue A /priority search queue/ efficiently supports the opperations of both a search tree and a priority queue. A 'Binding' is a product of a key and a priority. Bindings can be inserted, deleted, modified and queried in logarithmic time, and the binding with the least priority can be retrieved in constant time. A queue can be built from a list of bindings, sorted by keys, in linear time. . This package contains the normal library files. Package: libghc-psqueue-doc Source: haskell-psqueue Version: 1.1-2 Installed-Size: 287 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-psqueue-dev Size: 42044 SHA256: 3b786b6a528ada468a1dd7d5a2957d9a08d1a67b7eed674be115696dd31c62c5 SHA1: 01866b02c13786ad58b5d46e26397e3c7e770c4d MD5sum: ef399269eca6df8b7bffd728ab24273f Description: Priority Search Queue; documentation A /priority search queue/ efficiently supports the opperations of both a search tree and a priority queue. A 'Binding' is a product of a key and a priority. Bindings can be inserted, deleted, modified and queried in logarithmic time, and the binding with the least priority can be retrieved in constant time. A queue can be built from a list of bindings, sorted by keys, in linear time. . This package contains the documentation files. Homepage: http://hackage.haskell.org/package/PSQueue Section: doc Priority: extra Filename: pool/main/h/haskell-psqueue/libghc-psqueue-doc_1.1-2_all.deb Package: libghc-psqueue-prof Source: haskell-psqueue Version: 1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 490 Depends: libghc-psqueue-dev (= 1.1-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-psqueue-prof-1.1-f4bc6 Homepage: http://hackage.haskell.org/package/PSQueue Priority: extra Section: haskell Filename: pool/main/h/haskell-psqueue/libghc-psqueue-prof_1.1-2_armhf.deb Size: 101438 SHA256: 9015c328d4d24e4f31556f4095903b36a5738723ea4aa316e5a2f92a820e9188 SHA1: 2dc98b0b66287117f118af775368b56493afb6b2 MD5sum: 0a5a8c26b06ae0bff88da95e920c85f5 Description: Priority Search Queue; profiling libraries A /priority search queue/ efficiently supports the opperations of both a search tree and a priority queue. A 'Binding' is a product of a key and a priority. Bindings can be inserted, deleted, modified and queried in logarithmic time, and the binding with the least priority can be retrieved in constant time. A queue can be built from a list of bindings, sorted by keys, in linear time. . This package contains the libraries compiled with profiling enabled. Package: libghc-puremd5-dev Source: haskell-puremd5 (2.1.0.3-2) Version: 2.1.0.3-2+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 455 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-cereal-dev-0.3.5.2-b01d7, libghc-crypto-api-dev-0.10.2-7a3b7, libghc-tagged-dev-0.4.2.1-27a41, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-puremd5-doc, libghc-puremd5-prof Provides: libghc-puremd5-dev-2.1.0.3-f0aa0 Homepage: http://hackage.haskell.org/package/puremd5 Priority: extra Section: haskell Filename: pool/main/h/haskell-puremd5/libghc-puremd5-dev_2.1.0.3-2+b1_armhf.deb Size: 98320 SHA256: e5bfb8cee04386e78058ee9af8f09b8ed0a5817e0ac522d72c04ca364d00ce09 SHA1: 9a0683ca1bb468eefe98ce7d3e16a0074fb9cd14 MD5sum: 84b48c4e8376f3d95e0eb1eecdcf1bc6 Description: implementation of the MD5 digest (hash) algorithm This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a Haskell-only implementation of the MD5 digest (hash) algorithm. This now supports the crypto-api class interface. Package: libghc-puremd5-doc Source: haskell-puremd5 Version: 2.1.0.3-2 Installed-Size: 193 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cereal-doc, libghc-crypto-api-doc, libghc-tagged-doc, libghc-puremd5-dev Size: 36330 SHA256: 659fd1a242bafe0ed4f65c18d6e1636731909e3405c2ef49f6f68c7397dc3d7d SHA1: b6911145fb23f0fe73d550febef20f820b07847b MD5sum: c3df272ae79927a4533c65125d7dc90b Description: implementation of the MD5 digest (hash) algorithm; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a Haskell-only implementation of the MD5 digest (hash) algorithm. This now supports the crypto-api class interface. Homepage: http://hackage.haskell.org/package/puremd5 Tag: devel::doc, devel::lang:haskell, role::documentation, security::cryptography Section: doc Priority: extra Filename: pool/main/h/haskell-puremd5/libghc-puremd5-doc_2.1.0.3-2_all.deb Package: libghc-puremd5-prof Source: haskell-puremd5 (2.1.0.3-2) Version: 2.1.0.3-2+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 524 Depends: libghc-puremd5-dev (= 2.1.0.3-2+b1), libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-cereal-prof-0.3.5.2-b01d7, libghc-crypto-api-prof-0.10.2-7a3b7, libghc-tagged-prof-0.4.2.1-27a41 Provides: libghc-puremd5-prof-2.1.0.3-f0aa0 Homepage: http://hackage.haskell.org/package/puremd5 Priority: extra Section: haskell Filename: pool/main/h/haskell-puremd5/libghc-puremd5-prof_2.1.0.3-2+b1_armhf.deb Size: 110282 SHA256: 128ba80bd5d10590c910069a2666194b3d9452e12a69e7248e409ab9e0cb504f SHA1: e2acd6357b7d669675c78e81660d3db8dcd793f6 MD5sum: 28396f57136dae14b04207c71760e3ad Description: implementation of the MD5 digest (hash) algorithm; profiling libs This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is a Haskell-only implementation of the MD5 digest (hash) algorithm. This now supports the crypto-api class interface. Package: libghc-pwstore-fast-dev Source: haskell-pwstore-fast Version: 2.2-2 Architecture: armhf Maintainer: Clint Adams Installed-Size: 227 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-base64-bytestring-dev-0.1.1.1-8e4fe, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-cryptohash-dev-0.7.5-9b8f6, libghc-random-dev-1.0.1.1-344e2, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-pwstore-fast-doc, libghc-pwstore-fast-prof Provides: libghc-pwstore-fast-dev-2.2-71331 Homepage: http://hackage.haskell.org/package/pwstore-fast Priority: extra Section: haskell Filename: pool/main/h/haskell-pwstore-fast/libghc-pwstore-fast-dev_2.2-2_armhf.deb Size: 46440 SHA256: db96f047349b5f8d9f474c1dbb21d8df03be9a499d229e3f1e1beaa039d15f85 SHA1: 633935b03ebecd786fbc226603ff3132db8155b5 MD5sum: 41b7d4cc435d85625ff2135590511e83 Description: secure password storage This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . To store passwords securely, they should be salted, then hashed with a slow hash function. This library uses PBKDF1-SHA256, and handles all the details. It uses the cryptohash package for speed; if you need a pure Haskell library, pwstore-purehaskell has the exact same API, but uses only pure Haskell. It is about 25 times slower than this package, but still quite usable. Package: libghc-pwstore-fast-doc Source: haskell-pwstore-fast Version: 2.2-2 Installed-Size: 164 Maintainer: Clint Adams Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-random-doc, libghc-pwstore-fast-dev Size: 38338 SHA256: 88d887e45392cda37a5573a287e15d630461bb506d954ec9d01f4993e800e1e2 SHA1: 191b20ae803014c2760402ea5529a7c6880aa782 MD5sum: ccb0ef2cee8bde5d50c7f0b922b77811 Description: secure password storage; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . To store passwords securely, they should be salted, then hashed with a slow hash function. This library uses PBKDF1-SHA256, and handles all the details. It uses the cryptohash package for speed; if you need a pure Haskell library, pwstore-purehaskell has the exact same API, but uses only pure Haskell. It is about 25 times slower than this package, but still quite usable. Homepage: http://hackage.haskell.org/package/pwstore-fast Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-pwstore-fast/libghc-pwstore-fast-doc_2.2-2_all.deb Package: libghc-pwstore-fast-prof Source: haskell-pwstore-fast Version: 2.2-2 Architecture: armhf Maintainer: Clint Adams Installed-Size: 183 Depends: libghc-pwstore-fast-dev (= 2.2-2), libghc-base-prof-4.5.0.0-d93df, libghc-base64-bytestring-prof-0.1.1.1-8e4fe, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-cryptohash-prof-0.7.5-9b8f6, libghc-random-prof-1.0.1.1-344e2 Provides: libghc-pwstore-fast-prof-2.2-71331 Homepage: http://hackage.haskell.org/package/pwstore-fast Priority: extra Section: haskell Filename: pool/main/h/haskell-pwstore-fast/libghc-pwstore-fast-prof_2.2-2_armhf.deb Size: 38086 SHA256: 082a8076fd9cfbf1ce6e806fdd8c7a2d31d2258a8d2b2a6838db3da94db5c3ba SHA1: c6e0ae0537771f4a024b1297ced8126f1c53e0fe MD5sum: c5c1b320984cfa73334be93a220f644c Description: secure password storage; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . To store passwords securely, they should be salted, then hashed with a slow hash function. This library uses PBKDF1-SHA256, and handles all the details. It uses the cryptohash package for speed; if you need a pure Haskell library, pwstore-purehaskell has the exact same API, but uses only pure Haskell. It is about 25 times slower than this package, but still quite usable. Package: libghc-quickcheck1-dev Source: haskell-quickcheck1 Version: 1.2.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 748 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-random-dev-1.0.1.1-344e2, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-quickcheck1-doc, libghc-quickcheck1-prof Conflicts: libghc-quickcheck-dev (<< 2.1.0.1-6) Replaces: libghc-quickcheck-dev (<< 2.1.0.1-6) Provides: libghc-quickcheck-dev, libghc-quickcheck-dev-1.2.0.1-d307b Homepage: http://hackage.haskell.org/package/QuickCheck Priority: extra Section: haskell Filename: pool/main/h/haskell-quickcheck1/libghc-quickcheck1-dev_1.2.0.1-2_armhf.deb Size: 154942 SHA256: 5de33c3d2d45787486d394e4459e0d278989aca4785cd19942c790c9c1992e07 SHA1: e23c4335362129ddc3efbfa49a8352fc5408b57f MD5sum: 976dd8a8272cc8f70506850070e912e2 Description: Haskell automatic testing library for GHC This package provides a library for the Haskell programming language. . QuickCheck is a library for testing Haskell programs automatically. The programmer provides a specification of the program, in the form of properties which functions should satisfy, and QuickCheck then tests that the properties hold in a large number of randomly generated cases. Specifications are expressed in Haskell, using combinators defined in the QuickCheck library. QuickCheck provides combinators to define properties, observe the distribution of test data, and define test data generators. . This package contains version 1 of the library, whose API differs from version 2's. Package: libghc-quickcheck1-doc Source: haskell-quickcheck1 Version: 1.2.0.1-2 Installed-Size: 318 Maintainer: Debian Haskell Group Architecture: all Replaces: libghc-quickcheck-doc (<< 2.1.0.1-6) Provides: libghc-quickcheck-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-random-doc, libghc-quickcheck1-dev Conflicts: libghc-quickcheck-doc (<< 2.1.0.1-6) Size: 50916 SHA256: f16f4cbc6cfe98e1428f96f9c5161807219d589dfa2f9ac1a634972eb72e9811 SHA1: edd06daf92f8c05d857511887bf034db04f88c36 MD5sum: 397f9093cb216bac7c7b55533863dc40 Description: Haskell automatic testing library for GHC; documentation This package provides the documentation for a library for the Haskell programming language. . QuickCheck is a library for testing Haskell programs automatically. The programmer provides a specification of the program, in the form of properties which functions should satisfy, and QuickCheck then tests that the properties hold in a large number of randomly generated cases. Specifications are expressed in Haskell, using combinators defined in the QuickCheck library. QuickCheck provides combinators to define properties, observe the distribution of test data, and define test data generators. . This package contains version 1 of the library, whose API differs from version 2's. Homepage: http://hackage.haskell.org/package/QuickCheck Tag: devel::doc, devel::lang:haskell, devel::testing-qa, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-quickcheck1/libghc-quickcheck1-doc_1.2.0.1-2_all.deb Package: libghc-quickcheck1-prof Source: haskell-quickcheck1 Version: 1.2.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 682 Depends: libghc-quickcheck1-dev (= 1.2.0.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-random-prof-1.0.1.1-344e2 Conflicts: libghc-quickcheck-prof (<< 2.1.0.1-6) Replaces: libghc-quickcheck-prof (<< 2.1.0.1-6) Provides: libghc-quickcheck-prof, libghc-quickcheck-prof-1.2.0.1-d307b Homepage: http://hackage.haskell.org/package/QuickCheck Priority: extra Section: haskell Filename: pool/main/h/haskell-quickcheck1/libghc-quickcheck1-prof_1.2.0.1-2_armhf.deb Size: 146696 SHA256: 0def817bd4c8995edbf33eb051ade54145e6d249eb76ac8efaa2b96566c67c74 SHA1: bc556ddcb85c564ee1914893e50290f44fedcbbf MD5sum: ea3b557c98a55ec394e8519d7578c8ac Description: Haskell automatic testing library for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. . QuickCheck is a library for testing Haskell programs automatically. The programmer provides a specification of the program, in the form of properties which functions should satisfy, and QuickCheck then tests that the properties hold in a large number of randomly generated cases. Specifications are expressed in Haskell, using combinators defined in the QuickCheck library. QuickCheck provides combinators to define properties, observe the distribution of test data, and define test data generators. . This package contains version 1 of the library, whose API differs from version 2's. Package: libghc-quickcheck2-dev Source: haskell-quickcheck Version: 2.4.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3588 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libghc-random-dev-1.0.1.1-344e2, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-quickcheck2-doc, libghc-quickcheck2-prof Conflicts: libghc-quickcheck-dev (<< 2.1.0.1-6) Replaces: libghc-quickcheck-dev (<< 2.1.0.1-6) Provides: libghc-quickcheck-dev-2.4.2-170f1 Homepage: http://hackage.haskell.org/package/QuickCheck Priority: extra Section: haskell Filename: pool/main/h/haskell-quickcheck/libghc-quickcheck2-dev_2.4.2-1_armhf.deb Size: 697718 SHA256: ed4dce7cd9e9d5f1910b706db85e4cd286ade75cc74c0e8cfba500652c1e28df SHA1: 8d6c79754cde6ed0dcf65052f0398d0560af17ce MD5sum: a5e9cb3b12e0a226715f4a4e0eb1e272 Description: Haskell automatic testing library for GHC This package provides a library for the Haskell programming language. . QuickCheck is a library for testing Haskell programs automatically. The programmer provides a specification of the program, in the form of properties which functions should satisfy, and QuickCheck then tests that the properties hold in a large number of randomly generated cases. Specifications are expressed in Haskell, using combinators defined in the QuickCheck library. QuickCheck provides combinators to define properties, observe the distribution of test data, and define test data generators. Package: libghc-quickcheck2-doc Source: haskell-quickcheck Version: 2.4.2-1 Installed-Size: 1234 Maintainer: Debian Haskell Group Architecture: all Replaces: libghc-quickcheck-doc (<< 2.1.0.1-6) Depends: haddock-interface-19 Recommends: ghc-doc, libghc-random-doc, libghc-quickcheck2-dev Conflicts: libghc-quickcheck-doc (<< 2.1.0.1-6) Size: 135364 SHA256: b7fd580abc835698e018cd3b4774b7d5cc1c695e19c118d072ca037fa7109649 SHA1: dd086d724cd291b1c2f784ca9ab918ac323eebec MD5sum: 616660130da1eca0ec4decf8865a10b4 Description: Haskell automatic testing library for GHC; documentation This package provides the documentation for a library for the Haskell programming language. . QuickCheck is a library for testing Haskell programs automatically. The programmer provides a specification of the program, in the form of properties which functions should satisfy, and QuickCheck then tests that the properties hold in a large number of randomly generated cases. Specifications are expressed in Haskell, using combinators defined in the QuickCheck library. QuickCheck provides combinators to define properties, observe the distribution of test data, and define test data generators. Homepage: http://hackage.haskell.org/package/QuickCheck Tag: devel::doc, devel::lang:haskell, devel::testing-qa, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-quickcheck/libghc-quickcheck2-doc_2.4.2-1_all.deb Package: libghc-quickcheck2-prof Source: haskell-quickcheck Version: 2.4.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3406 Depends: libghc-quickcheck2-dev (= 2.4.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-extensible-exceptions-prof-0.1.1.4-d27a1, libghc-random-prof-1.0.1.1-344e2 Conflicts: libghc-quickcheck-prof (<< 2.1.0.1-6) Replaces: libghc-quickcheck-prof (<< 2.1.0.1-6) Provides: libghc-quickcheck-prof-2.4.2-170f1 Homepage: http://hackage.haskell.org/package/QuickCheck Priority: extra Section: haskell Filename: pool/main/h/haskell-quickcheck/libghc-quickcheck2-prof_2.4.2-1_armhf.deb Size: 679018 SHA256: d9b96244ca69da23a8647fc65bbf814c78326f3e29e90035d21e37ebe880b5b9 SHA1: 1d7dfb27f744c63b2731bb05fa94667c9ae2017b MD5sum: 63b7ddb9582439c5f4db0c34fbfac906 Description: Haskell automatic testing library for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. . QuickCheck is a library for testing Haskell programs automatically. The programmer provides a specification of the program, in the form of properties which functions should satisfy, and QuickCheck then tests that the properties hold in a large number of randomly generated cases. Specifications are expressed in Haskell, using combinators defined in the QuickCheck library. QuickCheck provides combinators to define properties, observe the distribution of test data, and define test data generators. Package: libghc-random-dev Source: haskell-random Version: 1.0.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2092 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-time-dev-1.4-ec63b, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-random-doc, libghc-random-prof Provides: libghc-random-dev-1.0.1.1-344e2 Homepage: http://hackage.haskell.org/package/random Priority: extra Section: haskell Filename: pool/main/h/haskell-random/libghc-random-dev_1.0.1.1-1_armhf.deb Size: 374068 SHA256: 2da61cd9cbe8eb5152d98375830e477c2711dbcb78c8e19f07575ea1ac56e0ab SHA1: 7f50440726a6e7e2d790385b3661a351a000a5fd MD5sum: 4e6b206bb8d74f132b31eeaf8fb94df9 Description: Random number generator for Haskell This package provides a basic random number generation library, including the ability to split random number generators. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-random-doc Source: haskell-random Version: 1.0.1.1-1 Installed-Size: 240 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-random-dev Size: 47098 SHA256: 8a853f09dfda5794298d6722480e4c858b6169067e79e3e895dd64af8aa24e72 SHA1: d3dda7ebd99bd848691f8db17e99b7879475ef32 MD5sum: 9ab7e24f0eed42e8d82c8a918e0decc7 Description: Random number generator for Haskell; documentation This package provides a basic random number generation library, including the ability to split random number generators. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/random Section: doc Priority: extra Filename: pool/main/h/haskell-random/libghc-random-doc_1.0.1.1-1_all.deb Package: libghc-random-prof Source: haskell-random Version: 1.0.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1712 Depends: libghc-random-dev (= 1.0.1.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-time-prof-1.4-ec63b Provides: libghc-random-prof-1.0.1.1-344e2 Homepage: http://hackage.haskell.org/package/random Priority: extra Section: haskell Filename: pool/main/h/haskell-random/libghc-random-prof_1.0.1.1-1_armhf.deb Size: 304352 SHA256: bec9ec63d4af3ef840e84da7601c51c87195ef90ecdb7b57996a6193cfd9c45c SHA1: 5358cfd0e8900e87875865b4c38a3a46ca6139b4 MD5sum: c152180a4ebe7234cc93b93af98b0584 Description: Random number generator for Haskell; profiling libraries This package provides a basic random number generation library, including the ability to split random number generators. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-random-shuffle-dev Source: haskell-random-shuffle Version: 0.0.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 165 Depends: libghc-monadrandom-dev-0.1.6-5fac5, libghc-base-dev-4.5.0.0-d93df, libghc-random-dev-1.0.1.1-344e2, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-random-shuffle-doc, libghc-random-shuffle-prof Provides: libghc-random-shuffle-dev-0.0.3-9d8e1 Homepage: http://hackage.haskell.org/package/haskell-random-shuffle Priority: extra Section: haskell Filename: pool/main/h/haskell-random-shuffle/libghc-random-shuffle-dev_0.0.3-2_armhf.deb Size: 30632 SHA256: f38d98d292a20293b18f7be76d51979dfe16d7fb3c3d91fc547d2a5d9969442e SHA1: ef7d002e371a48442e07a1df59ba95632bf573cf MD5sum: 3b6f2a8ecc338b6ff01ed9cc39a58d83 Description: random shuffle library Random shuffle implementation, on immutable lists. Based on "perfect shuffle" implementation by Oleg Kiselyov, available at http://okmij.org/ftp/Haskell/perfect-shuffle.txt . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-random-shuffle-doc Source: haskell-random-shuffle Version: 0.0.3-2 Installed-Size: 120 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monadrandom-doc, libghc-random-doc, libghc-random-shuffle-dev Size: 30058 SHA256: 170b7607bda183189073c5337018d0134de612467dd9b9919b7976f4257290d8 SHA1: 2b914b870cd1700554067f93e3d5726f41cf4985 MD5sum: f863d2032d474f6a83f2217d908d30d2 Description: random shuffle library; documentation Random shuffle implementation, on immutable lists. Based on "perfect shuffle" implementation by Oleg Kiselyov, available at http://okmij.org/ftp/Haskell/perfect-shuffle.txt . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-random-shuffle Section: doc Priority: extra Filename: pool/main/h/haskell-random-shuffle/libghc-random-shuffle-doc_0.0.3-2_all.deb Package: libghc-random-shuffle-prof Source: haskell-random-shuffle Version: 0.0.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 143 Depends: libghc-random-shuffle-dev (= 0.0.3-2), libghc-monadrandom-prof-0.1.6-5fac5, libghc-base-prof-4.5.0.0-d93df, libghc-random-prof-1.0.1.1-344e2 Provides: libghc-random-shuffle-prof-0.0.3-9d8e1 Homepage: http://hackage.haskell.org/package/haskell-random-shuffle Priority: extra Section: haskell Filename: pool/main/h/haskell-random-shuffle/libghc-random-shuffle-prof_0.0.3-2_armhf.deb Size: 28542 SHA256: 3faa30f8f93ff5154b469ac475a7405eb0503a5d8db37aa670823444c3b4a667 SHA1: 02fea48a6935c89e5502a5a0c9d976c6c09446cb MD5sum: b580e6f1bac9c790ccecee1fb0b9dfb4 Description: random shuffle library; profiling libraries Random shuffle implementation, on immutable lists. Based on "perfect shuffle" implementation by Oleg Kiselyov, available at http://okmij.org/ftp/Haskell/perfect-shuffle.txt . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-ranged-sets-dev Source: haskell-ranged-sets Version: 0.3.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 843 Depends: libghc-hunit-dev-1.2.4.2-6a847, libghc-quickcheck-dev-2.4.2-170f1, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-ranged-sets-doc, libghc-ranged-sets-prof Provides: libghc-ranged-sets-dev-0.3.0-8ee1f Homepage: http://hackage.haskell.org/package/ranged-sets Priority: extra Section: haskell Filename: pool/main/h/haskell-ranged-sets/libghc-ranged-sets-dev_0.3.0-2_armhf.deb Size: 179810 SHA256: 5d6e9665d36bbbcf75967f674f52108dc974cf9665cdeb1526854ca9940e4c25 SHA1: 5d1e5c7a4e5b36c6c7bd1e99bfc43c7db726e3a9 MD5sum: d9e014c6886647588b74690a9c4ca13a Description: Ranged sets library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . A ranged set is an ordered list of ranges. This allows sets such as all reals x such that: . (0.25 < x <= 0.75 or 1.4 <= x < 2.3 or 4.5 < x) . Alternatively you can have all strings s such that: . ("F" <= s < "G") Package: libghc-ranged-sets-doc Source: haskell-ranged-sets Version: 0.3.0-2 Installed-Size: 404 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-quickcheck2-doc, libghc-ranged-sets-dev Size: 65012 SHA256: 5f9c2139196ce57582ff781529c0c4ca9f1bb74c9720148714e272d004c9f372 SHA1: a7c2a57b005d75bd0e5f2ac45759287cc37285df MD5sum: 0c0b619cbe68f4fdb04296bcff39ae5c Description: Ranged sets library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . A ranged set is an ordered list of ranges. This allows sets such as all reals x such that: . (0.25 < x <= 0.75 or 1.4 <= x < 2.3 or 4.5 < x) . Alternatively you can have all strings s such that: . ("F" <= s < "G") Homepage: http://hackage.haskell.org/package/ranged-sets Tag: devel::doc, devel::lang:haskell, role::program Section: doc Priority: extra Filename: pool/main/h/haskell-ranged-sets/libghc-ranged-sets-doc_0.3.0-2_all.deb Package: libghc-ranged-sets-prof Source: haskell-ranged-sets Version: 0.3.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 732 Depends: libghc-ranged-sets-dev (= 0.3.0-2), libghc-hunit-prof-1.2.4.2-6a847, libghc-quickcheck-prof-2.4.2-170f1, libghc-base-prof-4.5.0.0-d93df Provides: libghc-ranged-sets-prof-0.3.0-8ee1f Homepage: http://hackage.haskell.org/package/ranged-sets Priority: extra Section: haskell Filename: pool/main/h/haskell-ranged-sets/libghc-ranged-sets-prof_0.3.0-2_armhf.deb Size: 159726 SHA256: 41a4c323aa2e77736dcc52cbcd0f468378122aff5d08ea5de3a325de9e93226d SHA1: 2f94f6d7e8e1c27d3d6dade5478f5a0c16a72997 MD5sum: 5fca598da9495710c853466882c2e745 Description: Ranged sets library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . A ranged set is an ordered list of ranges. This allows sets such as all reals x such that: . (0.25 < x <= 0.75 or 1.4 <= x < 2.3 or 4.5 < x) . Alternatively you can have all strings s such that: . ("F" <= s < "G") Package: libghc-ranges-dev Source: haskell-ranges Version: 0.2.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 180 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-ranges-doc, libghc-ranges-prof Provides: libghc-ranges-dev-0.2.4-61332 Homepage: http://hackage.haskell.org/package/ranges Priority: extra Section: haskell Filename: pool/main/h/haskell-ranges/libghc-ranges-dev_0.2.4-2_armhf.deb Size: 34166 SHA256: 05fba84f081182716bb6caaf831b70e55e93c3d1e26d51d58047999ebf7915af SHA1: 581e3ab83c89d2052a201c6891c5e3e61ef155e2 MD5sum: ca7ce30d667f22f57115c887abd59f5d Description: ranges and various functions for such This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Ranges and some functions allowing things like fast membership lookup on ranges with holes in them and so on. Package: libghc-ranges-doc Source: haskell-ranges Version: 0.2.4-2 Installed-Size: 122 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-ranges-dev Size: 29374 SHA256: 7433f722dbac5d6a077a3f0e0619d22bf9ec3cb3b3d6a53e60a3124a2e007939 SHA1: b8f08762fe6e3c6731292befaedef2d412aa099c MD5sum: a8e2ef982b49c709fcd55b2521747630 Description: ranges and various functions for such; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Ranges and some functions allowing things like fast membership lookup on ranges with holes in them and so on. Homepage: http://hackage.haskell.org/package/ranges Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-ranges/libghc-ranges-doc_0.2.4-2_all.deb Package: libghc-ranges-prof Source: haskell-ranges Version: 0.2.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 153 Depends: libghc-ranges-dev (= 0.2.4-2), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545 Provides: libghc-ranges-prof-0.2.4-61332 Homepage: http://hackage.haskell.org/package/ranges Priority: extra Section: haskell Filename: pool/main/h/haskell-ranges/libghc-ranges-prof_0.2.4-2_armhf.deb Size: 29924 SHA256: c64c4ab914f047a1b08ffd5d07b5fd4b2000dcf498d7ecea2480666e86ec5b4f SHA1: 6efeaf720a6aa3b659e775405b5f10e3d03b270c MD5sum: a2db1f6162ddbf4f5da1990387509ead Description: ranges and various functions for such; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Ranges and some functions allowing things like fast membership lookup on ranges with holes in them and so on. Package: libghc-reactive-banana-doc Source: haskell-reactive-banana Version: 0.6.0.0-1 Installed-Size: 601 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-transformers-doc, libghc-reactive-banana-dev Size: 88146 SHA256: 911a53c8c7592545486421f28fd884659c69fe5294f4ed705a4cecef275e33b2 SHA1: f625bb44e914ecd9e6397c3ea92dd1d9dee447b1 MD5sum: bf2b1aa649859709909c4bf6d8e4e505 Description: small but solid library for FRP; documentation This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The current focus of this library is to implement a subset of the semantic model for functional reactive programming pioneered by Conal Elliott. . Moreover, this library can hooked into any existing event-based framework. It is especially useful in conjunction with existing GUI frameworks like wxHaskell or gtk2hs. . This also means that your code can be a mix of FRP and imperative parts. Bored of programming imperative GUIs? Write some parts with FRP! Don't know how to express something with FRP? Switch back to imperative style! . In the spectrum of possible FRP implementations, this one features simple semantics but modest expressivity. Predicting space & time usage should be easy. . Stability forecast: Known inefficiencies that will be addressed. No semantic bugs expected. Significant API changes are likely in future versions. Homepage: http://hackage.haskell.org/package/reactive-banana Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-reactive-banana/libghc-reactive-banana-doc_0.6.0.0-1_all.deb Package: libghc-readline-dev Source: haskell-readline Version: 1.0.1.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 844 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-process-dev-1.1.0.1-75c65, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libtinfo5 Suggests: libghc-readline-doc, libghc-readline-prof Provides: libghc-readline-dev-1.0.1.0-bea3b Homepage: http://hackage.haskell.org/package/readline Priority: extra Section: haskell Filename: pool/main/h/haskell-readline/libghc-readline-dev_1.0.1.0-3_armhf.deb Size: 164554 SHA256: 89218762bb2f5ba59ff4380a16e99570c6fe5cf3cf4394637be0e54a7ed1f24a SHA1: 477b0dea267bd0ef744aecffcbf74407a07f15e1 MD5sum: 3255f84c9fa3a5058fdb420edba73410 Description: Interface to the GNU readline library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is an interface to the GNU readline library. More information on readline can be found at http://www.gnu.org/directory/readline.html. Package: libghc-readline-doc Source: haskell-readline Version: 1.0.1.0-3 Installed-Size: 566 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-readline-dev Size: 65154 SHA256: 201e4fc50a3e48111bda99a32b552e9183d833d7de923b725c25add5b4a45335 SHA1: 525a20402b3bc379e9200b898e54a3755e81df16 MD5sum: 08b48128af1d62cfa9c014936e270277 Description: Interface to the GNU readline library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is an interface to the GNU readline library. More information on readline can be found at http://www.gnu.org/directory/readline.html. Homepage: http://hackage.haskell.org/package/readline Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-readline/libghc-readline-doc_1.0.1.0-3_all.deb Package: libghc-readline-prof Source: haskell-readline Version: 1.0.1.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 700 Depends: libghc-readline-dev (= 1.0.1.0-3), libghc-base-prof-4.5.0.0-d93df, libghc-process-prof-1.1.0.1-75c65 Provides: libghc-readline-prof-1.0.1.0-bea3b Homepage: http://hackage.haskell.org/package/readline Priority: extra Section: haskell Filename: pool/main/h/haskell-readline/libghc-readline-prof_1.0.1.0-3_armhf.deb Size: 139480 SHA256: 1d95934a0ce7eecde706acc178832a619642842486d02362ff7acef2bb9b055f SHA1: 76df794fb567d6f8d56041932c39dd189d1e1bcf MD5sum: 7500058aff780b4f83de0e872ed207f7 Description: Interface to the GNU readline library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is an interface to the GNU readline library. More information on readline can be found at http://www.gnu.org/directory/readline.html. Package: libghc-recaptcha-dev Source: haskell-recaptcha Version: 0.1-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 181 Depends: libghc-http-dev-4000.2.3-55fae, libghc-base-dev-4.5.0.0-d93df, libghc-network-dev-2.3.0.13-9c99a, libghc-xhtml-dev-3000.2.1-4e39f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-recaptcha-doc, libghc-recaptcha-prof Provides: libghc-recaptcha-dev-0.1-f4389 Homepage: http://hackage.haskell.org/package/recaptcha Priority: extra Section: haskell Filename: pool/main/h/haskell-recaptcha/libghc-recaptcha-dev_0.1-4_armhf.deb Size: 30704 SHA256: fc8f6cddf25086a80f10fa42f9052c04e944fd445483225af689c17df781ee12 SHA1: 4af8cb1453f5bea8ec525356da9b43aa0b22da7a MD5sum: 13dc5485c883f6d85ebbe6dfbe5dda50 Description: Haskell interface to reCAPTCHA service - GHC libraries reCAPTCHA (http://recaptcha.net/) is a service that provides captchas for preventing automated spam in web applications, using the data people submit to digitize old books and newspapers. haskell-recaptcha provides functions for using reCAPTCHA in Haskell web applications. . This package contains the libraries compiled for GHC. Package: libghc-recaptcha-doc Source: haskell-recaptcha Version: 0.1-4 Installed-Size: 112 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-xhtml-doc, libghc-recaptcha-dev Size: 31446 SHA256: b6932251a65508807701b70aed990abd68f29e4718c5692ec3e5933c0afbed32 SHA1: eb8ce707f12c910fb2fa08d2189de63ed6aaa12f MD5sum: 7ee62d57147f09084e6f42efe12056b5 Description: Haskell interface to reCAPTCHA service - documentation reCAPTCHA (http://recaptcha.net/) is a service that provides captchas for preventing automated spam in web applications, using the data people submit to digitize old books and newspapers. haskell-recaptcha provides functions for using reCAPTCHA in Haskell web applications. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/recaptcha Tag: devel::doc, devel::lang:haskell, devel::web, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-recaptcha/libghc-recaptcha-doc_0.1-4_all.deb Package: libghc-recaptcha-prof Source: haskell-recaptcha Version: 0.1-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 165 Depends: libghc-recaptcha-dev (= 0.1-4), libghc-http-prof-4000.2.3-55fae, libghc-base-prof-4.5.0.0-d93df, libghc-network-prof-2.3.0.13-9c99a, libghc-xhtml-prof-3000.2.1-4e39f Provides: libghc-recaptcha-prof-0.1-f4389 Homepage: http://hackage.haskell.org/package/recaptcha Priority: extra Section: haskell Filename: pool/main/h/haskell-recaptcha/libghc-recaptcha-prof_0.1-4_armhf.deb Size: 30738 SHA256: 0ac5d0c24a191253d32459cbab7f45cc41dbef4245972055d8822e0b130e8f24 SHA1: 537312acbd30d6a1debc36a7b8b0950c74316295 MD5sum: 5fb9c0f05565999afc176e8991eb8af6 Description: Haskell interface to reCAPTCHA service - GHC profiling libraries reCAPTCHA (http://recaptcha.net/) is a service that provides captchas for preventing automated spam in web applications, using the data people submit to digitize old books and newspapers. haskell-recaptcha provides functions for using reCAPTCHA in Haskell web applications. . This package contains the profiling libraries compiled for GHC. Package: libghc-regex-base-dev Source: haskell-regex-base Version: 0.93.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 666 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-mtl-dev-2.1.1-87121 Suggests: libghc-regex-base-doc, libghc-regex-base-prof Provides: libghc-regex-base-dev-0.93.2-f7342 Homepage: http://sourceforge.net/projects/lazy-regex Priority: extra Section: haskell Filename: pool/main/h/haskell-regex-base/libghc-regex-base-dev_0.93.2-2_armhf.deb Size: 120482 SHA256: 7c5b6956f1be7ef2e012b1295066bea4fc97b37fb97c811b7c4aa5e1906867f9 SHA1: dc066075de7de9f221a80cbb21cc0fa14ac59941 MD5sum: b0f7c02e18846f331d443d9145958c21 Description: GHC library providing an API for regular expressions A library containing the interface API for the Haskell regular expressions library packages regex-posix, regex-pcre, regex-parsec, regex-tdfs, regex-dfa. . This package contains the libraries for use with GHC. Package: libghc-regex-base-doc Source: haskell-regex-base Version: 0.93.2-2 Installed-Size: 329 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-regex-base-doc (<< 0.93.1-7) Depends: haddock-interface-19 Recommends: ghc-doc, libghc-regex-base-dev Conflicts: haskell-regex-base-doc (<< 0.93.1-7) Size: 55670 SHA256: fb15b0c52be72effcb5f0b7f0a3287bad9614c98fbaa99213f13361a52704e0f SHA1: e1af53282106c6cdca6a87233109a0b7367da84f MD5sum: 66319f3e7d3ea6b130fd0ecfb6a61eff Description: API documentation for regex-base Haskell library A library containing the interface API for the Haskell regular expressions library packages regex-posix, regex-pcre, regex-parsec, regex-tdfs, regex-dfa. . This package contains the API documentation of the library. Homepage: http://sourceforge.net/projects/lazy-regex Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-regex-base/libghc-regex-base-doc_0.93.2-2_all.deb Package: libghc-regex-base-prof Source: haskell-regex-base Version: 0.93.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 573 Depends: libghc-regex-base-dev (= 0.93.2-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-mtl-prof-2.1.1-87121 Provides: libghc-regex-base-prof-0.93.2-f7342 Homepage: http://sourceforge.net/projects/lazy-regex Priority: extra Section: haskell Filename: pool/main/h/haskell-regex-base/libghc-regex-base-prof_0.93.2-2_armhf.deb Size: 108792 SHA256: 68f04a35871e4bb3df8ebb32bbea9efd7f52abca3487ac1693f1a9867b2346ad SHA1: 821100a77c32991915288eade90c2ddb2bbe2c52 MD5sum: d9688bac124e1be665a1f0adbce864a4 Description: GHC profiling library providing an API for regular expressions A library containing the interface API for the Haskell regular expressions library packages regex-posix, regex-pcre, regex-parsec, regex-tdfs, regex-dfa. . This package contains additional profiling libraries which can be used with ghc-prof. Package: libghc-regex-compat-dev Source: haskell-regex-compat Version: 0.95.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 154 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-regex-base-dev-0.93.2-f7342, libghc-regex-posix-dev-0.95.1-307a3, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-regex-compat-doc, libghc-regex-compat-prof Provides: libghc-regex-compat-dev-0.95.1-e5a39 Homepage: http://sourceforge.net/projects/lazy-regex Priority: extra Section: haskell Filename: pool/main/h/haskell-regex-compat/libghc-regex-compat-dev_0.95.1-2_armhf.deb Size: 31152 SHA256: 9819e450cd64910f219e4b4b86ebde1c51b552c99bb3c2dd5151cec71be96c8a SHA1: c2fb30f3aee51cd881fc7d41b6beba68058b522f MD5sum: d65923010cd153f2be7229fe4c7dc18c Description: GHC library providing old Text.Regex interface A library providing the old Text.Regex interface to the POSIX regular expression backend. . This package contains the libraries for use with GHC. Package: libghc-regex-compat-doc Source: haskell-regex-compat Version: 0.95.1-2 Installed-Size: 146 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-regex-compat-doc (<< 0.92-5) Provides: haskell-regex-compat-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-regex-posix-doc, libghc-regex-compat-dev Conflicts: haskell-regex-compat-doc (<< 0.92-5) Size: 35776 SHA256: 0ac06e9cdfd67b76fe171650e105d20cdee1561854866092bf1e22e4aaf4c0f1 SHA1: 66910ef54ae0b7e98b3c126d366c141beb278bb0 MD5sum: 32fb2bafdf54de08f46fc88a8f39636f Description: API documentation for regex-compat Haskell library A library containing a POSIX regular expression backend conforming to the interface API of regex-base. . This package contains the API documentation of the library. Homepage: http://sourceforge.net/projects/lazy-regex Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-regex-compat/libghc-regex-compat-doc_0.95.1-2_all.deb Package: libghc-regex-compat-prof Source: haskell-regex-compat Version: 0.95.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 125 Depends: libghc-regex-compat-dev (= 0.95.1-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-regex-base-prof-0.93.2-f7342, libghc-regex-posix-prof-0.95.1-307a3 Provides: libghc-regex-compat-prof-0.95.1-e5a39 Homepage: http://sourceforge.net/projects/lazy-regex Priority: extra Section: haskell Filename: pool/main/h/haskell-regex-compat/libghc-regex-compat-prof_0.95.1-2_armhf.deb Size: 27456 SHA256: 260da3daaf9b0b1b185c60aa7023c75e58c94a68d4c675b28adbc066a120c49e SHA1: beb7ad70d4f999af8ab5bebf202866b11ff58b28 MD5sum: 1fe838f3a64c3b9ad010bc29abb65d2c Description: GHC profiling library providing old Text.Regex interface A library providing the old Text.Regex interface to the POSIX regular expression backend. . This package contains additional profiling libraries which can be used with ghc-prof. Package: libghc-regex-pcre-dev Source: haskell-regex-pcre Version: 0.94.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1061 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-regex-base-dev-0.93.2-f7342 Suggests: libghc-regex-pcre-doc, libghc-regex-pcre-prof Provides: libghc-regex-pcre-dev-0.94.2-49128 Homepage: http://sourceforge.net/projects/lazy-regex Priority: extra Section: haskell Filename: pool/main/h/haskell-regex-pcre/libghc-regex-pcre-dev_0.94.2-2_armhf.deb Size: 217670 SHA256: 934c8c0be1bf246416c8dc3c3c8b2d8d859330ed07b6dd385c1dec6cfed1534f SHA1: a041f733db7b4350dcbf7df59d387ad04e9eb020 MD5sum: 4d2c7c11b3569ac0d6378c45341e1fa4 Description: Perl-compatible regular expressions A library containing the PCRE backend to accompany regex-base. . This package contains the libraries for use with GHC. Package: libghc-regex-pcre-doc Source: haskell-regex-pcre Version: 0.94.2-2 Installed-Size: 582 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-regex-pcre-doc (<< 0.93.1-7) Depends: haddock-interface-19 Recommends: ghc-doc, libghc-regex-base-doc, libghc-regex-pcre-dev Conflicts: haskell-regex-pcre-doc (<< 0.93.1-7) Size: 71556 SHA256: 3796a2f7548a68dcf65e92493e6407de2405ce0768eb550dff53df53634162a0 SHA1: 78e12f2b3cf31abcdc1d343231fbb3155f1a7889 MD5sum: e83e34e836edf824d3345d67bd24806a Description: Perl-compatible regular expressions; documentation A library containing the PCRE backend to accompany regex-base. . This package contains the API documentation of the library. Homepage: http://sourceforge.net/projects/lazy-regex Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-regex-pcre/libghc-regex-pcre-doc_0.94.2-2_all.deb Package: libghc-regex-pcre-prof Source: haskell-regex-pcre Version: 0.94.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 909 Depends: libghc-regex-pcre-dev (= 0.94.2-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-regex-base-prof-0.93.2-f7342 Provides: libghc-regex-pcre-prof-0.94.2-49128 Homepage: http://sourceforge.net/projects/lazy-regex Priority: extra Section: haskell Filename: pool/main/h/haskell-regex-pcre/libghc-regex-pcre-prof_0.94.2-2_armhf.deb Size: 195312 SHA256: 1279adf40dd57af11d006b90af76871a2c679c593293740509d978d8d39ebcda SHA1: 9590f9408d0fda1c772fc2df7c3143019d1196e3 MD5sum: ebd17910ba0c321c656b22ad32141469 Description: Perl-compatible regular expressions; profiling libraries A library containing the PCRE backend to accompany regex-base. . This package contains additional profiling libraries which can be used with ghc-prof. Package: libghc-regex-posix-dev Source: haskell-regex-posix Version: 0.95.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1067 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-regex-base-dev-0.93.2-f7342, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-regex-posix-doc, libghc-regex-posix-prof Provides: libghc-regex-posix-dev-0.95.1-307a3 Homepage: http://sourceforge.net/projects/lazy-regex Priority: extra Section: haskell Filename: pool/main/h/haskell-regex-posix/libghc-regex-posix-dev_0.95.1-2_armhf.deb Size: 229554 SHA256: 810a77cc45db93a601c1d134a01d2323841cb47fc91a304adec207581725f5b1 SHA1: 930b0b13b5519473aebffea82ef3c1196b4267cf MD5sum: a7163aa8d43c3d9d5ddb266a903f3f6e Description: GHC library of the POSIX regex backend for regex-base A library containing a POSIX regular expression backend conforming to the interface API of regex-base. . This package contains the libraries for use with GHC. Package: libghc-regex-posix-doc Source: haskell-regex-posix Version: 0.95.1-2 Installed-Size: 590 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-regex-posix-doc (<< 0.93.2-6) Provides: haskell-regex-posix-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-regex-posix-dev Conflicts: haskell-regex-posix-doc (<< 0.93.2-6) Size: 79574 SHA256: fc9fdf9c9907fed319d59f127bcce0c823668ef5c4fa6d322f41e8c61a5f94de SHA1: 12917577f4647f3a12b8c71c81d9bba8f18bb721 MD5sum: cb517bf8b0ab14b8bd6074797b3e8893 Description: API documentation for regex-posix Haskell library A library containing a POSIX regular expression backend conforming to the interface API of regex-base. . This package contains the API documentation of the library. Homepage: http://sourceforge.net/projects/lazy-regex Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-regex-posix/libghc-regex-posix-doc_0.95.1-2_all.deb Package: libghc-regex-posix-prof Source: haskell-regex-posix Version: 0.95.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 912 Depends: libghc-regex-posix-dev (= 0.95.1-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-regex-base-prof-0.93.2-f7342 Provides: libghc-regex-posix-prof-0.95.1-307a3 Homepage: http://sourceforge.net/projects/lazy-regex Priority: extra Section: haskell Filename: pool/main/h/haskell-regex-posix/libghc-regex-posix-prof_0.95.1-2_armhf.deb Size: 202410 SHA256: c5104cb16c5406e00fde106eec184a3f1cb44a1d484d873fcd3f2db7b8f51ecf SHA1: 40e9d6e3794dbe95d09487db788496dcb0af326b MD5sum: c2a56069aa70deb7f775a8d483196872 Description: GHC profiling library of the POSIX regex backend for regex-base A library containing a POSIX regular expression backend conforming to the interface API of regex-base. . This package contains additional profiling libraries which can be used with ghc-prof. Package: libghc-regex-tdfa-dev Source: haskell-regex-tdfa Version: 1.1.8-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 32717 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-ghc-prim-dev-0.2.0.0-bd29c, libghc-mtl-dev-2.1.1-87121, libghc-parsec-dev-3.1.2-aa52f, libghc-regex-base-dev-0.93.2-f7342 Suggests: libghc-regex-tdfa-doc, libghc-regex-tdfa-prof Provides: libghc-regex-tdfa-dev-1.1.8-b8281 Homepage: http://hackage.haskell.org/package/regex-tdfa Priority: extra Section: haskell Filename: pool/main/h/haskell-regex-tdfa/libghc-regex-tdfa-dev_1.1.8-2_armhf.deb Size: 6846954 SHA256: 9058fb8f9756be032b3c1d38b685398893ebcc6e3978cdd09d20294344df7cdd SHA1: 44f6a19274decdddbeb8b0018850c7da5154ed9d MD5sum: e5462609aba628c048ae3648ec1547ee Description: Haskell library for a tagged DFA regex engine A pure Haskell alternative to GHC's Text.Regex module (with some enhancements). The Text.Regex module marshalls the data back and forth to c-arrays to call libc and this is far too slow (and strict). . This module parses regular expression Strings using a Parsec parser and creates an internal data structure (Text.Regex.Lazy.Pattern). This is then transformed a DFA table for matching against the input String or FastPackedString. The input string is consumed lazily, so it may be an arbitrarily long or infinite source. . This package contains the libraries compiled for GHC. Package: libghc-regex-tdfa-doc Source: haskell-regex-tdfa Version: 1.1.8-2 Installed-Size: 2305 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-parsec3-doc, libghc-regex-base-doc, libghc-regex-tdfa-dev Size: 227850 SHA256: 2c178111160fcd59cccf97ae05ea1169aefff58dc488431c9617d1b617ffdbad SHA1: a6b4c3a04bf8b6e42b59ff26d64e10d2e4ccd6a4 MD5sum: 93c57932b207c1d188e342a43a8da6f5 Description: Documentation for the tagged DFA regex engine A pure Haskell alternative to GHC's Text.Regex module (with some enhancements). The Text.Regex module marshalls the data back and forth to c-arrays to call libc and this is far too slow (and strict). . This module parses regular expression Strings using a Parsec parser and creates an internal data structure (Text.Regex.Lazy.Pattern). This is then transformed a DFA table for matching against the input String or FastPackedString. The input string is consumed lazily, so it may be an arbitrarily long or infinite source. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/regex-tdfa Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-regex-tdfa/libghc-regex-tdfa-doc_1.1.8-2_all.deb Package: libghc-regex-tdfa-prof Source: haskell-regex-tdfa Version: 1.1.8-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 14752 Depends: libghc-regex-tdfa-dev (= 1.1.8-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-ghc-prim-prof-0.2.0.0-bd29c, libghc-mtl-prof-2.1.1-87121, libghc-parsec-prof-3.1.2-aa52f, libghc-regex-base-prof-0.93.2-f7342 Provides: libghc-regex-tdfa-prof-1.1.8-b8281 Homepage: http://hackage.haskell.org/package/regex-tdfa Priority: extra Section: haskell Filename: pool/main/h/haskell-regex-tdfa/libghc-regex-tdfa-prof_1.1.8-2_armhf.deb Size: 3418694 SHA256: 688a01034e62e89bbb85420043c06c4ab4af93023a298427aa1cd144d3d85878 SHA1: 1ed9508d859b4139ec0ddb4553d871f2bbc9bc3d MD5sum: 34b0efd291d3266537ef754f1aa43a4d Description: Profiling libraries for the tagged DFA regex engine A pure Haskell alternative to GHC's Text.Regex module (with some enhancements). The Text.Regex module marshalls the data back and forth to c-arrays to call libc and this is far too slow (and strict). . This module parses regular expression Strings using a Parsec parser and creates an internal data structure (Text.Regex.Lazy.Pattern). This is then transformed a DFA table for matching against the input String or FastPackedString. The input string is consumed lazily, so it may be an arbitrarily long or infinite source. . This package contains the profiling libraries compiled for GHC. Package: libghc-regex-tdfa-utf8-dev Source: haskell-regex-tdfa-utf8 Version: 1.0-5 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 260 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-regex-base-dev-0.93.2-f7342, libghc-regex-tdfa-dev-1.1.8-b8281, libghc-utf8-string-dev-0.3.7-c7280 Suggests: libghc-regex-tdfa-utf8-doc, libghc-regex-tdfa-utf8-prof Provides: libghc-regex-tdfa-utf8-dev-1.0-5e99b Homepage: http://hackage.haskell.org/package/regex-tdfa Priority: extra Section: haskell Filename: pool/main/h/haskell-regex-tdfa-utf8/libghc-regex-tdfa-utf8-dev_1.0-5_armhf.deb Size: 51762 SHA256: 8dc0679498426cd680c7f0f5f9159cb8c2e24ee1318fa06ed31cef1fec261e31 SHA1: 181e8da993fef0097675b20355d1438152d6f4c9 MD5sum: 9e57dd8bb1d6a1ff3cf48c531e848d47 Description: Haskell library for the UTF8 version of the tagged DFA regex engine This combines regex-tdfa with utf8-string to allow searching over UTF8 encoded lazy bytestrings. . This package contains the libraries compiled for GHC. Package: libghc-regex-tdfa-utf8-doc Source: haskell-regex-tdfa-utf8 Version: 1.0-5 Installed-Size: 114 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-regex-tdfa-doc, libghc-regex-tdfa-utf8-dev Size: 30020 SHA256: a51bff7947daac04af43001117a18eb753ff23411d805d33f0679ea7a2d9b005 SHA1: f0777ac381aa8fbfe97eb3764f5e8573fbe025bd MD5sum: 6dbb628d6f9e54c2af01ac10877d0c11 Description: Documentation for the utf-8 version of the tagged DFA regex engine This combines regex-tdfa with utf8-string to allow searching over UTF8 encoded lazy bytestrings. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/regex-tdfa Tag: devel::doc, devel::lang:haskell, role::documentation, works-with::unicode Section: doc Priority: extra Filename: pool/main/h/haskell-regex-tdfa-utf8/libghc-regex-tdfa-utf8-doc_1.0-5_all.deb Package: libghc-regex-tdfa-utf8-prof Source: haskell-regex-tdfa-utf8 Version: 1.0-5 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 217 Depends: libghc-regex-tdfa-utf8-dev (= 1.0-5), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-regex-base-prof-0.93.2-f7342, libghc-regex-tdfa-prof-1.1.8-b8281, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-regex-tdfa-utf8-prof-1.0-5e99b Homepage: http://hackage.haskell.org/package/regex-tdfa Priority: extra Section: haskell Filename: pool/main/h/haskell-regex-tdfa-utf8/libghc-regex-tdfa-utf8-prof_1.0-5_armhf.deb Size: 43220 SHA256: b592dc9a512346a1cc5455cd0168b07d73569ae8adf465949429270855445717 SHA1: 1c36995042c66f06da22923566b26ff8686c0641 MD5sum: 36f11a4fd66369c067b2c8f7ab668bcc Description: Profiling libraries for the UTF8 version of the tagged DFA regex engine This combines regex-tdfa with utf8-string to allow searching over UTF8 encoded lazy bytestrings. . This package contains the profiling libraries compiled for GHC. Package: libghc-regexpr-dev Source: haskell-regexpr Version: 0.5.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1082 Depends: libghc-hunit-dev-1.2.4.2-6a847, libghc-base-dev-4.5.0.0-d93df, libghc-mtl-dev-2.1.1-87121, libghc-mtlparse-dev-0.1.2-f698b, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-regexpr-doc, libghc-regexpr-prof Provides: libghc-regexpr-dev-0.5.4-0ce3b Homepage: http://hackage.haskell.org/package/regexpr Priority: extra Section: haskell Filename: pool/main/h/haskell-regexpr/libghc-regexpr-dev_0.5.4-2_armhf.deb Size: 242022 SHA256: 796e38308161ce1370532b47ae0fac4bd423a250ef0b3733990db5e581e496a8 SHA1: d0934e1ec8da88fd3c669e6cf505d36b61ddd937 MD5sum: e4726019cb855634f54d619032cca43d Description: Regular expression library like Perl/Ruby This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package contains Haskell functions for regular expressions. Package: libghc-regexpr-doc Source: haskell-regexpr Version: 0.5.4-2 Installed-Size: 284 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-regexpr-dev Size: 42640 SHA256: a5b8079288899945ed7986af7833721428694a023e8967123a949f7b8f6c89c2 SHA1: 6e88d4cf87ed27541d79bab3b284e24514e2182d MD5sum: 0812187d7f287a1181d34fa730644f59 Description: Regular expression library like Perl/Ruby; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package contains Haskell functions for regular expressions. Homepage: http://hackage.haskell.org/package/regexpr Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-regexpr/libghc-regexpr-doc_0.5.4-2_all.deb Package: libghc-regexpr-prof Source: haskell-regexpr Version: 0.5.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1082 Depends: libghc-regexpr-dev (= 0.5.4-2), libghc-hunit-prof-1.2.4.2-6a847, libghc-base-prof-4.5.0.0-d93df, libghc-mtl-prof-2.1.1-87121, libghc-mtlparse-prof-0.1.2-f698b Provides: libghc-regexpr-prof-0.5.4-0ce3b Homepage: http://hackage.haskell.org/package/regexpr Priority: extra Section: haskell Filename: pool/main/h/haskell-regexpr/libghc-regexpr-prof_0.5.4-2_armhf.deb Size: 240910 SHA256: d826b0735a723052d1b2f78584f99c8a0da81fbe97f0ab0e89dae6ce8c5817bc SHA1: 9cc1c46ceab540d31c8280fa00a89bce0b43d1d4 MD5sum: b2c9face1d503db6a4cedb0fe6ee86b2 Description: Regular expression library like Perl/Ruby; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package contains Haskell functions for regular expressions. Package: libghc-representable-functors-dev Source: haskell-representable-functors Version: 2.4.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1463 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-comonad-dev-1.1.1.5-6e6e5, libghc-comonad-transformers-dev-2.1.1.1-b7523, libghc-comonads-fd-dev-2.1.1.2-a184c, libghc-containers-dev-0.4.2.1-7c545, libghc-contravariant-dev-0.2.0.2-d421c, libghc-data-lens-dev-2.10.0-24571, libghc-distributive-dev-0.2.2-34503, libghc-free-dev-2.1.1.1-4b400, libghc-keys-dev-2.1.3.2-5ecb6, libghc-mtl-dev-2.1.1-87121, libghc-semigroupoids-dev-1.3.1.2-c6021, libghc-semigroups-dev-0.8.3.2-01002, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-representable-functors-doc, libghc-representable-functors-prof Provides: libghc-representable-functors-dev-2.4.0.2-0ad71 Homepage: http://hackage.haskell.org/package/representable-functors Priority: extra Section: haskell Filename: pool/main/h/haskell-representable-functors/libghc-representable-functors-dev_2.4.0.2-1_armhf.deb Size: 235406 SHA256: 88e581733e8222181b3aef5df6144db64a8f1e442273bc84d3b27a156b5b8693 SHA1: d8388bf087a15a43333d0d01a8527b0eced736e3 MD5sum: 217df67e13786c594ebf04d674f7d806 Description: Representable functors This package provides a generalized Store comonad, parameterized by a Representable functor (the representation of that functor serves as the index of the store) and a generalized State monad, parameterized by a Representable functor (the representation of that functor serves as the state). . Representable functors on Hask all monads, being isomorphic to a reader monad. . Representable contravariant endofunctors over the category of Haskell types are isomorphic to (_ -> r) and resemble mappings to a fixed range. . Representable endofunctors over the category of Haskell types are isomorphic to the reader monad and so inherit a very large number of properties for free. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-representable-functors-doc Source: haskell-representable-functors Version: 2.4.0.2-1 Installed-Size: 433 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-comonad-doc, libghc-comonads-fd-doc, libghc-comonad-transformers-doc, libghc-contravariant-doc, libghc-data-lens-doc, libghc-distributive-doc, libghc-free-doc, libghc-keys-doc, libghc-mtl-doc, libghc-semigroupoids-doc, libghc-semigroups-doc, libghc-transformers-doc, libghc-representable-functors-dev Size: 58582 SHA256: 34c17c4675c1bfd2d3636638fa0371124b808653c655f50250528993909e4d19 SHA1: bedd1dc9cb617a9169c4bfb8e8239e5be552eb86 MD5sum: 6625a0ad834d472192639dabcacb703a Description: Representable functors; documentation This package provides a generalized Store comonad, parameterized by a Representable functor (the representation of that functor serves as the index of the store) and a generalized State monad, parameterized by a Representable functor (the representation of that functor serves as the state). . Representable functors on Hask all monads, being isomorphic to a reader monad. . Representable contravariant endofunctors over the category of Haskell types are isomorphic to (_ -> r) and resemble mappings to a fixed range. . Representable endofunctors over the category of Haskell types are isomorphic to the reader monad and so inherit a very large number of properties for free. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/representable-functors Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-representable-functors/libghc-representable-functors-doc_2.4.0.2-1_all.deb Package: libghc-representable-functors-prof Source: haskell-representable-functors Version: 2.4.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1449 Depends: libghc-representable-functors-dev (= 2.4.0.2-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-comonad-prof-1.1.1.5-6e6e5, libghc-comonad-transformers-prof-2.1.1.1-b7523, libghc-comonads-fd-prof-2.1.1.2-a184c, libghc-containers-prof-0.4.2.1-7c545, libghc-contravariant-prof-0.2.0.2-d421c, libghc-data-lens-prof-2.10.0-24571, libghc-distributive-prof-0.2.2-34503, libghc-free-prof-2.1.1.1-4b400, libghc-keys-prof-2.1.3.2-5ecb6, libghc-mtl-prof-2.1.1-87121, libghc-semigroupoids-prof-1.3.1.2-c6021, libghc-semigroups-prof-0.8.3.2-01002, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-representable-functors-prof-2.4.0.2-0ad71 Homepage: http://hackage.haskell.org/package/representable-functors Priority: extra Section: haskell Filename: pool/main/h/haskell-representable-functors/libghc-representable-functors-prof_2.4.0.2-1_armhf.deb Size: 253978 SHA256: 2a4994a772f4700f8dd4ccdfcdf9171ef3e322e5bb3199ba70125529590918a3 SHA1: 7008cf5c7c702e1a64d80ac6cbcebed991bd624c MD5sum: 912159eb40a3d9c7233f98d54fbef359 Description: Representable functors; profiling data This package provides a generalized Store comonad, parameterized by a Representable functor (the representation of that functor serves as the index of the store) and a generalized State monad, parameterized by a Representable functor (the representation of that functor serves as the state). . Representable functors on Hask all monads, being isomorphic to a reader monad. . Representable contravariant endofunctors over the category of Haskell types are isomorphic to (_ -> r) and resemble mappings to a fixed range. . Representable endofunctors over the category of Haskell types are isomorphic to the reader monad and so inherit a very large number of properties for free. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-representable-tries-dev Source: haskell-representable-tries Version: 2.4.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3208 Depends: libghc-adjunctions-dev-2.4.0.2-c39a0, libghc-base-dev-4.5.0.0-d93df, libghc-bifunctors-dev-0.1.3.3-19b58, libghc-comonad-dev-1.1.1.5-6e6e5, libghc-comonad-transformers-dev-2.1.1.1-b7523, libghc-containers-dev-0.4.2.1-7c545, libghc-distributive-dev-0.2.2-34503, libghc-keys-dev-2.1.3.2-5ecb6, libghc-mtl-dev-2.1.1-87121, libghc-representable-functors-dev-2.4.0.2-0ad71, libghc-semigroupoids-dev-1.3.1.2-c6021, libghc-semigroups-dev-0.8.3.2-01002, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-representable-tries-doc, libghc-representable-tries-prof Provides: libghc-representable-tries-dev-2.4.0.2-09808 Homepage: http://hackage.haskell.org/package/representable-tries Priority: extra Section: haskell Filename: pool/main/h/haskell-representable-tries/libghc-representable-tries-dev_2.4.0.2-1_armhf.deb Size: 532178 SHA256: 2d1e2a7e0582a6e4539bedc0a8629de82de591e5a4d63068340ffc49bfbd2608 SHA1: c5674c02f094d1d34afabfdd81a83e8714970cd4 MD5sum: 7a47528d78707b22227f2c915f810527 Description: Tries from representations of polynomial functors This package provides a representable Trie-based Reader monad transformer and also representations of polynomial functors. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-representable-tries-doc Source: haskell-representable-tries Version: 2.4.0.2-1 Installed-Size: 580 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-adjunctions-doc, libghc-comonad-doc, libghc-distributive-doc, libghc-keys-doc, libghc-mtl-doc, libghc-representable-functors-doc, libghc-semigroupoids-doc, libghc-semigroups-doc, libghc-transformers-doc, libghc-representable-tries-dev Size: 63456 SHA256: 82a162f9982bf87784a49dbc778ab51e166aefc55301e023694aaafc2f67c408 SHA1: f243b9be22f1d57a7dc2d472a0d7f32aaa0e824e MD5sum: c26bfe02b3b6e55bf0a4ccfcefe53ea7 Description: Tries from representations of polynomial functors; documentation This package provides a representable Trie-based Reader monad transformer and also representations of polynomial functors. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/representable-tries Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-representable-tries/libghc-representable-tries-doc_2.4.0.2-1_all.deb Package: libghc-representable-tries-prof Source: haskell-representable-tries Version: 2.4.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3109 Depends: libghc-representable-tries-dev (= 2.4.0.2-1), libghc-adjunctions-prof-2.4.0.2-c39a0, libghc-base-prof-4.5.0.0-d93df, libghc-bifunctors-prof-0.1.3.3-19b58, libghc-comonad-prof-1.1.1.5-6e6e5, libghc-comonad-transformers-prof-2.1.1.1-b7523, libghc-containers-prof-0.4.2.1-7c545, libghc-distributive-prof-0.2.2-34503, libghc-keys-prof-2.1.3.2-5ecb6, libghc-mtl-prof-2.1.1-87121, libghc-representable-functors-prof-2.4.0.2-0ad71, libghc-semigroupoids-prof-1.3.1.2-c6021, libghc-semigroups-prof-0.8.3.2-01002, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-representable-tries-prof-2.4.0.2-09808 Homepage: http://hackage.haskell.org/package/representable-tries Priority: extra Section: haskell Filename: pool/main/h/haskell-representable-tries/libghc-representable-tries-prof_2.4.0.2-1_armhf.deb Size: 543092 SHA256: 96e280b56168918eb83e2b99bdfd2c32e086c0e0509e82f0f8c70153a60ab193 SHA1: b2e8df8173538900cd431ae79024a123189809f5 MD5sum: 5654017488012947556eeb7392b3e216 Description: Tries from representations of polynomial functors; profiling data This package provides a representable Trie-based Reader monad transformer and also representations of polynomial functors. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-resource-pool-dev Source: haskell-resource-pool Version: 0.2.1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 223 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-hashable-dev-1.1.2.3-ea6fe, libghc-monad-control-dev-0.3.1.3-371c1, libghc-stm-dev-2.3-2735f, libghc-time-dev-1.4-ec63b, libghc-transformers-dev-0.3.0.0-e8222, libghc-transformers-base-dev-0.4.1-9d46f, libghc-vector-dev-0.9.1-8e782, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-resource-pool-doc, libghc-resource-pool-prof Provides: libghc-resource-pool-dev-0.2.1.0-51b49 Homepage: http://hackage.haskell.org/package/resource-pool Priority: extra Section: haskell Filename: pool/main/h/haskell-resource-pool/libghc-resource-pool-dev_0.2.1.0-2_armhf.deb Size: 50262 SHA256: 260f857c96c79d7151d1da53c614cab3bd8c831128405be399f7e7b441ff7d77 SHA1: fa560ab67eb7daf85c8558e5c9e384fa71d46612 MD5sum: ea4088d3a8c549bbd26bc8597fddd7e3 Description: high-performance striped resource-pooling implementation A high-performance striped pooling abstraction for managing flexibly-sized collections of resources such as database connections. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-resource-pool-doc Source: haskell-resource-pool Version: 0.2.1.0-2 Installed-Size: 155 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monad-control-doc, libghc-resource-pool-dev Size: 36414 SHA256: bda04cff60c4e9c22cf6b1077894295de14da6ed8b98264311d06683e7d75e78 SHA1: 243ebc48cf5dc4109f6256af830363f7161a7310 MD5sum: f560eab0988b4ca48e23f87f9ad93bdf Description: high-performance striped resource-pooling implementation; documentation A high-performance striped pooling abstraction for managing flexibly-sized collections of resources such as database connections. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/resource-pool Section: doc Priority: extra Filename: pool/main/h/haskell-resource-pool/libghc-resource-pool-doc_0.2.1.0-2_all.deb Package: libghc-resource-pool-prof Source: haskell-resource-pool Version: 0.2.1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 194 Depends: libghc-resource-pool-dev (= 0.2.1.0-2), libghc-base-prof-4.5.0.0-d93df, libghc-hashable-prof-1.1.2.3-ea6fe, libghc-monad-control-prof-0.3.1.3-371c1, libghc-stm-prof-2.3-2735f, libghc-time-prof-1.4-ec63b, libghc-transformers-prof-0.3.0.0-e8222, libghc-transformers-base-prof-0.4.1-9d46f, libghc-vector-prof-0.9.1-8e782 Provides: libghc-resource-pool-prof-0.2.1.0-51b49 Homepage: http://hackage.haskell.org/package/resource-pool Priority: extra Section: haskell Filename: pool/main/h/haskell-resource-pool/libghc-resource-pool-prof_0.2.1.0-2_armhf.deb Size: 44136 SHA256: 6257c855dc2584405993f595e2fb750dab8e6787f7a78bc539b1eef3d330e271 SHA1: 2531bd707aa218379afe16b69ffb3488447a2be5 MD5sum: 9e8bc039466039a6d9986eed92613db1 Description: high-performance striped resource-pooling implementation; profiling libraries A high-performance striped pooling abstraction for managing flexibly-sized collections of resources such as database connections. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-resourcet-dev Source: haskell-resourcet Version: 0.3.2.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1180 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-lifted-base-dev-0.1.1-863d2, libghc-monad-control-dev-0.3.1.3-371c1, libghc-transformers-dev-0.3.0.0-e8222, libghc-transformers-base-dev-0.4.1-9d46f, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-resourcet-doc, libghc-resourcet-prof Provides: libghc-resourcet-dev-0.3.2.1-e4216 Homepage: http://hackage.haskell.org/package/haskell-resourcet Priority: extra Section: haskell Filename: pool/main/h/haskell-resourcet/libghc-resourcet-dev_0.3.2.1-1_armhf.deb Size: 188852 SHA256: 037e5f05c0859b4870aa06d026e3f6aa027892caa6264b75a072253e135e2455 SHA1: 62bfb2fa6f98e3f36800337b8c3e63b9a4e9cc5d MD5sum: 6fe84b963fc4a6db15f40024c322956e Description: deterministic allocation and freeing of scarce resources Control.Monad.Trans.Resource allocates resources which are guaranteed to be released. One point to note: all register cleanup actions live in the IO monad, not the main monad. This allows both more efficient code, and for monads to be transformed. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-resourcet-doc Source: haskell-resourcet Version: 0.3.2.1-1 Installed-Size: 286 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monad-control-doc, libghc-transformers-base-doc, libghc-transformers-doc, libghc-resourcet-dev Size: 48292 SHA256: 77fa921c3aa853499281ed9d86f67fdca819b88b433c7bded3c2ae2e4a953a94 SHA1: 59a9aa6150c38fdfda0a8e6a4b9aceb2f6ca9647 MD5sum: 2290f2bbbd310f69d522de41ea184500 Description: deterministic allocation and freeing of scarce resources; documentation Control.Monad.Trans.Resource allocates resources which are guaranteed to be released. One point to note: all register cleanup actions live in the IO monad, not the main monad. This allows both more efficient code, and for monads to be transformed. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-resourcet Section: doc Priority: extra Filename: pool/main/h/haskell-resourcet/libghc-resourcet-doc_0.3.2.1-1_all.deb Package: libghc-resourcet-prof Source: haskell-resourcet Version: 0.3.2.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1185 Depends: libghc-resourcet-dev (= 0.3.2.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-lifted-base-prof-0.1.1-863d2, libghc-monad-control-prof-0.3.1.3-371c1, libghc-transformers-prof-0.3.0.0-e8222, libghc-transformers-base-prof-0.4.1-9d46f Provides: libghc-resourcet-prof-0.3.2.1-e4216 Homepage: http://hackage.haskell.org/package/haskell-resourcet Priority: extra Section: haskell Filename: pool/main/h/haskell-resourcet/libghc-resourcet-prof_0.3.2.1-1_armhf.deb Size: 201392 SHA256: fd777140e6595fccc7e2ef00e1c0f42185dd7e9840678e3b78dbec7eee9c4cd7 SHA1: 3ea69ba29b19b20e4b10f963f14e5ac60448fb18 MD5sum: 9072f2c8c4b9a4c706e4818403f8deb4 Description: deterministic allocation and freeing of scarce resources; profiling libraries Control.Monad.Trans.Resource allocates resources which are guaranteed to be released. One point to note: all register cleanup actions live in the IO monad, not the main monad. This allows both more efficient code, and for monads to be transformed. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-rsa-dev Source: haskell-rsa (1.2.1.0-1) Version: 1.2.1.0-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 666 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-crypto-api-dev-0.10.2-7a3b7, libghc-crypto-pubkey-types-dev-0.1.1-d7c2e, libghc-monadcryptorandom-dev-0.4.1-67a86, libghc-puremd5-dev-2.1.0.3-f0aa0, libghc-sha-dev-1.5.0.1-7d85d, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-rsa-doc, libghc-rsa-prof Provides: libghc-rsa-dev-1.2.1.0-6e000 Homepage: http://hackage.haskell.org/package/RSA Priority: extra Section: haskell Filename: pool/main/h/haskell-rsa/libghc-rsa-dev_1.2.1.0-1+b1_armhf.deb Size: 161544 SHA256: 95b256c55f8d985e4d78920d81f489bd1224814c8c81d4f8d8170fc3855ebe7f SHA1: a9395f9f4bd559269bc0b2c25e1962e0b63e7a1d MD5sum: abab9735cd7f4d374563698bd0086ec8 Description: implementation of RSA, using the padding schemes of PKCS#1 v2.1. This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library implements the RSA encryption and signature algorithms for arbitrarily-sized ByteStrings. While the implementations work, they are not necessarily the fastest ones on the planet. Particularly key generation. The algorithms included are based of RFC 3447, or the Public-Key Cryptography Standard for RSA, version 2.1 (a.k.a, PKCS#1 v2.1). Package: libghc-rsa-doc Source: haskell-rsa Version: 1.2.1.0-1 Installed-Size: 270 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-crypto-api-doc, libghc-crypto-pubkey-types-doc, libghc-rsa-dev Size: 51448 SHA256: 71883758faa3c040bbcc0f78d2ae7b29556a19b9a1bd2a22c8b2a8886b9335a6 SHA1: 1a47c8aeabbe8eead8b60c1d42fd16b5aa31ec33 MD5sum: e96a64011f9f49569cf2acf3efeadf67 Description: implementation of RSA, using padding schemes of PKCS#1 v2.1.; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library implements the RSA encryption and signature algorithms for arbitrarily-sized ByteStrings. While the implementations work, they are not necessarily the fastest ones on the planet. Particularly key generation. The algorithms included are based of RFC 3447, or the Public-Key Cryptography Standard for RSA, version 2.1 (a.k.a, PKCS#1 v2.1). Homepage: http://hackage.haskell.org/package/RSA Tag: devel::doc, devel::lang:haskell, role::documentation, security::cryptography Section: doc Priority: extra Filename: pool/main/h/haskell-rsa/libghc-rsa-doc_1.2.1.0-1_all.deb Package: libghc-rsa-prof Source: haskell-rsa (1.2.1.0-1) Version: 1.2.1.0-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 555 Depends: libghc-rsa-dev (= 1.2.1.0-1+b1), libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-crypto-api-prof-0.10.2-7a3b7, libghc-crypto-pubkey-types-prof-0.1.1-d7c2e, libghc-monadcryptorandom-prof-0.4.1-67a86, libghc-puremd5-prof-2.1.0.3-f0aa0, libghc-sha-prof-1.5.0.1-7d85d Provides: libghc-rsa-prof-1.2.1.0-6e000 Homepage: http://hackage.haskell.org/package/RSA Priority: extra Section: haskell Filename: pool/main/h/haskell-rsa/libghc-rsa-prof_1.2.1.0-1+b1_armhf.deb Size: 128744 SHA256: 3e1327963cab6508638b5636bd7c30f1a6ce37d7c48976c1228a87d1103f1b21 SHA1: bca7050448b2d4fd7cb538d5dc31982d89dc56e6 MD5sum: d2e03f8d8279056c92b0aeae77042fb1 Description: implementation of RSA, using padding schemes of PKCS#1; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library implements the RSA encryption and signature algorithms for arbitrarily-sized ByteStrings. While the implementations work, they are not necessarily the fastest ones on the planet. Particularly key generation. The algorithms included are based of RFC 3447, or the Public-Key Cryptography Standard for RSA, version 2.1 (a.k.a, PKCS#1 v2.1). Package: libghc-safe-dev Source: haskell-safe Version: 0.3.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 268 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-safe-doc, libghc-safe-prof Provides: libghc-safe-dev-0.3.3-3fec0 Homepage: http://hackage.haskell.org/package/safe Priority: extra Section: haskell Filename: pool/main/h/haskell-safe/libghc-safe-dev_0.3.3-1_armhf.deb Size: 52324 SHA256: 23cfcdc5e0af9adce676463c75e57cf39bff0b881a04d4c0550935db7886bd39 SHA1: 778386156a25a0c2b2a072de2444066eca443110 MD5sum: 9eaace8defc9754fdbcfbe916cd20842 Description: GHC Library for safe (pattern match free) functions . Partial functions from the base library, such as head and !!, modified to return more descriptive error messages, programmer defined error messages, Maybe wrapped results and default values. These functions can be used to reduce the number of unsafe pattern matches in your code. . This package contains the libraries compiled for GHC. Package: libghc-safe-doc Source: haskell-safe Version: 0.3.3-1 Installed-Size: 222 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-safe-dev Size: 39310 SHA256: b0c27e638a3c2e3433adfff14ed1c668ef225993f72aafdba61f5af13b314d0c SHA1: 434369399d6afb108bd93b4091628d056a384bee MD5sum: ee7fdc4148e73b877d5b6ddaaf5ad756 Description: Documentation for safe library for (pattern match free) functions . Partial functions from the base library, such as head and !!, modified to return more descriptive error messages, programmer defined error messages, Maybe wrapped results and default values. These functions can be used to reduce the number of unsafe pattern matches in your code. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/safe Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-safe/libghc-safe-doc_0.3.3-1_all.deb Package: libghc-safe-prof Source: haskell-safe Version: 0.3.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 229 Depends: libghc-safe-dev (= 0.3.3-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-safe-prof-0.3.3-3fec0 Homepage: http://hackage.haskell.org/package/safe Priority: extra Section: haskell Filename: pool/main/h/haskell-safe/libghc-safe-prof_0.3.3-1_armhf.deb Size: 47840 SHA256: b755d8bdc4daa1ba01d8f45d4fce00470dc2a1cb0f74c9c6c4c3ee549fb4a04b SHA1: a09f1e694ff1160cbc114e7b2024dde69811e0dd MD5sum: 86a7a4f3cdb4356f68ac9364c25037f4 Description: Profiling library for safe (pattern match free) functions . Partial functions from the base library, such as head and !!, modified to return more descriptive error messages, programmer defined error messages, Maybe wrapped results and default values. These functions can be used to reduce the number of unsafe pattern matches in your code. . This package contains the profiling libraries compiled for GHC. Package: libghc-safecopy-doc Source: haskell-safecopy Version: 0.6.1-1 Installed-Size: 416 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cereal-doc, libghc-text-doc, libghc-safecopy-dev Size: 60668 SHA256: 8d0277b1cd5bd5f8078b7cb89b55ba54cee9328d641281794a0498ca5a50c605 SHA1: 9d36b01c8e97ff5f91f9b5a8c0cc1816f1d03857 MD5sum: 7c5341450b85a4ff9965dc6af28e41be Description: Haskell serialization library with version control - documentation SafeCopy is an extension to cereal, a Haskell serialization library: it adds the ability to define new versions of some data tpe and automatically convert variables serialized with an old format to a newer one. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/safecopy Section: doc Priority: extra Filename: pool/main/h/haskell-safecopy/libghc-safecopy-doc_0.6.1-1_all.deb Package: libghc-sdl-dev Source: haskell-sdl Version: 0.6.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3547 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libsdl1.2debian (>= 1.2.11), libgl1-mesa-dev | libgl-dev, libglu1-mesa-dev | libglu-dev, libx11-dev, libsdl1.2-dev Suggests: libghc-sdl-doc, libghc-sdl-prof Provides: libghc-sdl-dev-0.6.3-51a1c Homepage: http://hackage.haskell.org/package/SDL Priority: extra Section: haskell Filename: pool/main/h/haskell-sdl/libghc-sdl-dev_0.6.3-1_armhf.deb Size: 701916 SHA256: 0a09300ca6260e2f4c1372d8437c9c24ce9c8b3a59c733fd71fe606064a1025f SHA1: 20164b97be84f4bafb45ac6080b15afae09a8919 MD5sum: be7a37c353835f5c43fe885f309134aa Description: Haskell SDL binding for GHC This package provides the SDL library bindings for the Haskell programming language. SDL is a library that allows programs portable low level access to a video framebuffer, audio output, mouse, and keyboard. Package: libghc-sdl-doc Source: haskell-sdl Version: 0.6.3-1 Installed-Size: 1362 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-sdl-dev Size: 136992 SHA256: 830f46a0a4d177bbf9f274155db8824770fba07de241a1c539257df775ba101b SHA1: 67c5cca43763e1d8a1992ce342011924c852bcc4 MD5sum: 09bc95df5a3b4d30efedb699c730344a Description: Haskell SDL binding for GHC - documentation This package provides the documentation for the SDL library bindings for the Haskell programming language. SDL is a library that allows programs portable low level access to a video framebuffer, audio output, mouse, and keyboard. Homepage: http://hackage.haskell.org/package/SDL Tag: devel::doc, devel::lang:haskell, role::documentation, uitoolkit::sdl Section: doc Priority: extra Filename: pool/main/h/haskell-sdl/libghc-sdl-doc_0.6.3-1_all.deb Package: libghc-sdl-gfx-dev Source: haskell-sdl-gfx Version: 0.6.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 292 Depends: libghc-sdl-dev-0.6.3-51a1c, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libsdl-gfx1.2-4 (>= 2.0.22), libsdl1.2debian (>= 1.2.11) Suggests: libghc-sdl-gfx-doc, libghc-sdl-gfx-prof Homepage: http://hackage.haskell.org/package/SDL-gfx Priority: extra Section: haskell Filename: pool/main/h/haskell-sdl-gfx/libghc-sdl-gfx-dev_0.6.0-3_armhf.deb Size: 47156 SHA256: 8b0d367a831dc1e792e11423cb67935a79f881e4d1d1e82a8b3547db4eeaa8fc SHA1: 61ef829b5705e6efc277167a174e783f169f4083 MD5sum: 3403b337559175a109066a44f270626c Description: Haskell SDL gfx binding for GHC This package provides the SDL gfx library bindings for the Haskell programming language. SDL gfx is an extension to the SDL library which provides basic antialiased drawing routines such as lines, circles or polygons, an interpolating rotozoomer for SDL surfaces, framerate control and MMX image filters. Package: libghc-sdl-gfx-doc Source: haskell-sdl-gfx Version: 0.6.0-3 Installed-Size: 181 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-sdl-gfx-dev Size: 37474 SHA256: 6ea94c3a99a3d5ddbbce9d099ef06cd56076884baed10ccbfd67ba87f6333db4 SHA1: 514f7c417a242148c65a094c80b6b6b28aa21f10 MD5sum: f6fcdcf9325977606ebe61638c9f39ac Description: Haskell SDL gfx binding for GHC - documentation This package provides the documentation for the SDL gfx library bindings for the Haskell programming language. SDL gfx is an extension to the SDL library which provides basic antialiased drawing routines such as lines, circles or polygons, an interpolating rotozoomer for SDL surfaces, framerate control and MMX image filters. Homepage: http://hackage.haskell.org/package/SDL-gfx Tag: devel::doc, devel::lang:haskell, role::documentation, uitoolkit::sdl Section: doc Priority: extra Filename: pool/main/h/haskell-sdl-gfx/libghc-sdl-gfx-doc_0.6.0-3_all.deb Package: libghc-sdl-gfx-prof Source: haskell-sdl-gfx Version: 0.6.0-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 239 Depends: libghc-sdl-gfx-dev (= 0.6.0-3), libghc-sdl-prof-0.6.3-51a1c, libghc-base-prof-4.5.0.0-d93df Homepage: http://hackage.haskell.org/package/SDL-gfx Priority: extra Section: haskell Filename: pool/main/h/haskell-sdl-gfx/libghc-sdl-gfx-prof_0.6.0-3_armhf.deb Size: 41456 SHA256: 6d6cf736c0d49ddfa798cfe3466b8b76d6650e0e9bbe82c5f2cd295b67d09dd7 SHA1: 12370854853d8cc7e1534ae1a82feba5eff3d4f6 MD5sum: 5c24a3beb35518995df5038d87166f41 Description: Haskell SDL gfx binding for GHC - profiling libraries This package provides the SDL gfx library bindings for the Haskell programming language, compiled for profiling. SDL gfx is an extension to the SDL library which provides basic antialiased drawing routines such as lines, circles or polygons, an interpolating rotozoomer for SDL surfaces, framerate control and MMX image filters. Package: libghc-sdl-image-dev Source: haskell-sdl-image Version: 0.6.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 238 Depends: libghc-sdl-dev-0.6.3-51a1c, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libsdl1.2-dev, libsdl-image1.2-dev, libsdl-gfx1.2-dev Suggests: libghc-sdl-image-doc, libghc-sdl-image-prof Homepage: http://hackage.haskell.org/package/SDL-image Priority: extra Section: haskell Filename: pool/main/h/haskell-sdl-image/libghc-sdl-image-dev_0.6.1-3_armhf.deb Size: 38090 SHA256: bd1d61ebf288a29ab289be24ff8861030195caace8dbcc2b860bf6ab76b55edf SHA1: 362d90c3bff9fdba3963355db71424e9557b2de1 MD5sum: 8177cf167d5e834de4bbcc6aa3d97adb Description: Haskell SDL Image binding for GHC This package provides the SDL Image library bindings for the Haskell programming language. SDL Image is a simple library to load images of various formats as SDL surfaces. Package: libghc-sdl-image-doc Source: haskell-sdl-image Version: 0.6.1-3 Installed-Size: 121 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-sdl-image-dev Size: 35860 SHA256: 00d41a8f7c47ee7e18c15d0b9d7bef145ee6899df6d431bca9152ecc8f05cc81 SHA1: 849365fed78c1288297d12c14811e6823193187a MD5sum: e23eeac14378d41bf13c5fe81c4c52da Description: Haskell SDL Image binding for GHC - documentation This package provides the documentation for the SDL Image library bindings for the Haskell programming language. SDL Image is a simple library to load images of various formats as SDL surfaces. Homepage: http://hackage.haskell.org/package/SDL-image Tag: devel::doc, devel::lang:haskell, role::documentation, uitoolkit::sdl Section: doc Priority: extra Filename: pool/main/h/haskell-sdl-image/libghc-sdl-image-doc_0.6.1-3_all.deb Package: libghc-sdl-image-prof Source: haskell-sdl-image Version: 0.6.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 200 Depends: libghc-sdl-image-dev (= 0.6.1-3), libghc-sdl-prof-0.6.3-51a1c, libghc-base-prof-4.5.0.0-d93df Homepage: http://hackage.haskell.org/package/SDL-image Priority: extra Section: haskell Filename: pool/main/h/haskell-sdl-image/libghc-sdl-image-prof_0.6.1-3_armhf.deb Size: 35816 SHA256: 2ef5b3bcef8ce54830c376b708b17b056673945c98b058c26cb79fd105dcb2c4 SHA1: 5c51b35e7e7d04af7b2c703b8cab05a542814457 MD5sum: 91c6589887a3342ae7db4ed56ab9e08c Description: Haskell SDL Image binding for GHC - profiling libraries This package provides the SDL Image library bindings for the Haskell programming language, compiled for profiling. SDL Image is a simple library to load images of various formats as SDL surfaces. Package: libghc-sdl-mixer-dev Source: haskell-sdl-mixer Version: 0.6.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 712 Depends: libghc-sdl-dev-0.6.3-51a1c, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libgl1-mesa-dev | libgl-dev, libglu1-mesa-dev | libglu-dev, libx11-dev, libsdl1.2-dev, libsdl-mixer1.2-dev, libghc-sdl-dev Suggests: libghc-sdl-mixer-prof, libghc-sdl-mixer-doc Homepage: http://hackage.haskell.org/package/SDL-mixer Priority: extra Section: haskell Filename: pool/main/h/haskell-sdl-mixer/libghc-sdl-mixer-dev_0.6.1-3_armhf.deb Size: 125982 SHA256: 9738e7406743f7822f0f697aa39c26de4438603c31cf75b0a92d29312836d72e SHA1: 3cfe19ff1d4e14282c1573ac1cf19c7db6f7677a MD5sum: 679d111e0a1ccc401b2680ccec627c43 Description: Haskell SDL Mixer binding for GHC This package provides the SDL Mixer library bindings for the Haskell programming language. SDL Mixer is sample multi-channel audio mixer library. Package: libghc-sdl-mixer-doc Source: haskell-sdl-mixer Version: 0.6.1-3 Installed-Size: 228 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc Suggests: libghc-sdl-mixer-dev, libghc-sdl-mixer-prof Size: 44360 SHA256: b45167b30e60e1e463e641e3a95c0704d107f37f909e74e8e6b7806ac4095279 SHA1: a4e714059c31139e71d90965dc14639cb1da590a MD5sum: 05cfb4b1b457ed89e7a98371644c356d Description: Haskell SDL Mixer binding for GHC - documentation This package provides the documentation for the SDL Mixer library bindings for the Haskell programming language. SDL Mixer is a sample multi-channel audio mixer library. Homepage: http://hackage.haskell.org/package/SDL-mixer Tag: devel::doc, devel::lang:haskell, role::documentation, uitoolkit::sdl Section: doc Priority: extra Filename: pool/main/h/haskell-sdl-mixer/libghc-sdl-mixer-doc_0.6.1-3_all.deb Package: libghc-sdl-mixer-prof Source: haskell-sdl-mixer Version: 0.6.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 631 Depends: libghc-sdl-mixer-dev (= 0.6.1-3), libghc-sdl-prof-0.6.3-51a1c, libghc-base-prof-4.5.0.0-d93df, libghc-sdl-prof Suggests: libghc-sdl-mixer-doc Homepage: http://hackage.haskell.org/package/SDL-mixer Priority: extra Section: haskell Filename: pool/main/h/haskell-sdl-mixer/libghc-sdl-mixer-prof_0.6.1-3_armhf.deb Size: 117478 SHA256: 4a464bef83b8ccb7c64cc74897d984fcd14c41bddfb801765e20f10cbfc6b4d6 SHA1: 388a4a30d33f6530e318cac8b6064617eada642d MD5sum: 87660e5a2c5a0e3ce9e52839109b1838 Description: Haskell SDL Mixer binding for GHC - profiling libraries This package provides the SDL Mixer library bindings for the Haskell programming language, compiled for profiling. SDL Mixer is a sample multi-channel audio mixer library. Package: libghc-sdl-prof Source: haskell-sdl Version: 0.6.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3088 Depends: libghc-sdl-dev (= 0.6.3-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-sdl-prof-0.6.3-51a1c Homepage: http://hackage.haskell.org/package/SDL Priority: extra Section: haskell Filename: pool/main/h/haskell-sdl/libghc-sdl-prof_0.6.3-1_armhf.deb Size: 632972 SHA256: e65f74aa3300e9fe57780f2256a313a2d5f89f5c7c3d1a552fc4572d116c1b9e SHA1: e957d3e71f30e272b0a994f108abda8b537b8673 MD5sum: 4e2a393e908bd58fd1abf5e00fe95526 Description: Haskell SDL binding for GHC - profiling libraries This package provides the SDL library bindings for the Haskell programming language, compiled for profiling. SDL is a library that allows programs portable low level access to a video framebuffer, audio output, mouse, and keyboard. Package: libghc-sdl-ttf-dev Source: haskell-sdl-ttf Version: 0.6.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 449 Depends: libghc-sdl-dev-0.6.3-51a1c, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libgl1-mesa-dev | libgl-dev, libglu1-mesa-dev | libglu-dev, libx11-dev, libsdl1.2-dev, libsdl-ttf2.0-dev, libghc-sdl-dev Suggests: libghc-sdl-ttf-prof, libghc-sdl-ttf-doc Homepage: http://hackage.haskell.org/package/SDL-ttf Priority: extra Section: haskell Filename: pool/main/h/haskell-sdl-ttf/libghc-sdl-ttf-dev_0.6.1-3_armhf.deb Size: 82096 SHA256: 0cb13975ea2a17f77fa2c66e04bb7d0e71686eb398a821c4f29d2d33b5608732 SHA1: 4d33914758bb6bcbbfeca1fe5b84a591d5d59194 MD5sum: 8a42b03a3d501723ae1086d0d1039ce1 Description: Haskell SDL TTF binding for GHC This package provides the SDL TTF library bindings for the Haskell programming language. SDL TTF allows you to use TrueType fonts in SDL applications. Package: libghc-sdl-ttf-doc Source: haskell-sdl-ttf Version: 0.6.1-3 Installed-Size: 212 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc Suggests: libghc-sdl-ttf-dev, libghc-sdl-ttf-prof Size: 42140 SHA256: 8e5b8fc524110cbc20c879cea4ab898f7efd4a7b9fdcbf533afddc0c960c7155 SHA1: e94bcbee62fa19eb8e243633d1e15794efa3085c MD5sum: b4a71b0d760c1d880571fbc764a851b1 Description: Haskell SDL TTF binding for GHC - documentation This package provides the documentation for the SDL TTF library bindings for the Haskell programming language. SDL TTF allows you to use TrueType fonts in SDL applications. Homepage: http://hackage.haskell.org/package/SDL-ttf Tag: devel::doc, devel::lang:haskell, role::documentation, uitoolkit::sdl Section: doc Priority: extra Filename: pool/main/h/haskell-sdl-ttf/libghc-sdl-ttf-doc_0.6.1-3_all.deb Package: libghc-sdl-ttf-prof Source: haskell-sdl-ttf Version: 0.6.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 381 Depends: libghc-sdl-ttf-dev (= 0.6.1-3), libghc-sdl-prof-0.6.3-51a1c, libghc-base-prof-4.5.0.0-d93df, libghc-sdl-prof Suggests: libghc-sdl-ttf-doc Homepage: http://hackage.haskell.org/package/SDL-ttf Priority: extra Section: haskell Filename: pool/main/h/haskell-sdl-ttf/libghc-sdl-ttf-prof_0.6.1-3_armhf.deb Size: 73316 SHA256: 60b83a371ae3b7541657ae8ec9cb02524dd41c55bd3b777e4ff0cde45fb158eb SHA1: b801be6f0943c55368543cecfffb878148b3512a MD5sum: 4bdfcf98d3fba8de6d6cfadda0b3e799 Description: Haskell SDL TTF binding for GHC - profiling libraries This package provides the SDL TTF library bindings for the Haskell programming language, compiled for profiling. SDL TTF allows you to use TrueType fonts in SDL applications. Package: libghc-semigroupoids-dev Source: haskell-semigroupoids Version: 1.3.1.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1952 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-comonad-dev-1.1.1.5-6e6e5, libghc-containers-dev-0.4.2.1-7c545, libghc-contravariant-dev-0.2.0.2-d421c, libghc-semigroups-dev-0.8.3.2-01002, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-semigroupoids-doc, libghc-semigroupoids-prof Provides: libghc-semigroupoids-dev-1.3.1.2-c6021 Homepage: http://hackage.haskell.org/package/semigroupoids Priority: extra Section: haskell Filename: pool/main/h/haskell-semigroupoids/libghc-semigroupoids-dev_1.3.1.2-1_armhf.deb Size: 328980 SHA256: f544da762a88014cfd4abd50b5af0369f8338f1a2feaba4df778dda42288e9c6 SHA1: b9e5f0d7d5a87cceb6d49b33ea7fa159e2fb52e4 MD5sum: 7bc7bc1a81d783a3b13c6e137459263e Description: Haskell 98 semigroupoids: Category sans id A Semigroupoid is a Category without the requirement of identity arrows for every object in the category. . When working with comonads you often have the <*> portion of an Applicative, but not the pure. This was captured in Uustalu and Vene's "Essence of Dataflow Programming" in the form of the ComonadZip class in the days before Applicative. Apply provides a weaker invariant, but for the comonads used for data flow programming (found in the streams package), this invariant is preserved. Applicative function composition forms a semigroupoid. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-semigroupoids-doc Source: haskell-semigroupoids Version: 1.3.1.2-1 Installed-Size: 560 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-comonad-doc, libghc-contravariant-doc, libghc-semigroups-doc, libghc-transformers-doc, libghc-semigroupoids-dev Size: 66808 SHA256: c6b7abf289a20c7b17ec0720d33568025188ac4a5f695f4b93d65c28b43346a2 SHA1: 3c778fbef45b1ef2d4145ab07f4f7260f514a2a1 MD5sum: f27a4fddcf038185057fbaf2ebb8c60b Description: Haskell 98 semigroupoids: Category sans id; documentation A Semigroupoid is a Category without the requirement of identity arrows for every object in the category. . When working with comonads you often have the <*> portion of an Applicative, but not the pure. This was captured in Uustalu and Vene's "Essence of Dataflow Programming" in the form of the ComonadZip class in the days before Applicative. Apply provides a weaker invariant, but for the comonads used for data flow programming (found in the streams package), this invariant is preserved. Applicative function composition forms a semigroupoid. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/semigroupoids Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-semigroupoids/libghc-semigroupoids-doc_1.3.1.2-1_all.deb Package: libghc-semigroupoids-prof Source: haskell-semigroupoids Version: 1.3.1.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1901 Depends: libghc-semigroupoids-dev (= 1.3.1.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-comonad-prof-1.1.1.5-6e6e5, libghc-containers-prof-0.4.2.1-7c545, libghc-contravariant-prof-0.2.0.2-d421c, libghc-semigroups-prof-0.8.3.2-01002, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-semigroupoids-prof-1.3.1.2-c6021 Homepage: http://hackage.haskell.org/package/semigroupoids Priority: extra Section: haskell Filename: pool/main/h/haskell-semigroupoids/libghc-semigroupoids-prof_1.3.1.2-1_armhf.deb Size: 350348 SHA256: 41a9b64268727fb8324e8428193c20d779ebecc77e39eeafbf64949084e5bf3c SHA1: 0717bd704b8eca66aee1c6773813b233e9b07542 MD5sum: 53073f722f15f007f9b2e062bccbecd7 Description: Haskell 98 semigroupoids: Category sans id; profiling data A Semigroupoid is a Category without the requirement of identity arrows for every object in the category. . When working with comonads you often have the <*> portion of an Applicative, but not the pure. This was captured in Uustalu and Vene's "Essence of Dataflow Programming" in the form of the ComonadZip class in the days before Applicative. Apply provides a weaker invariant, but for the comonads used for data flow programming (found in the streams package), this invariant is preserved. Applicative function composition forms a semigroupoid. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-semigroups-dev Source: haskell-semigroups Version: 0.8.3.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2014 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-semigroups-doc, libghc-semigroups-prof Provides: libghc-semigroups-dev-0.8.3.2-01002 Homepage: http://hackage.haskell.org/package/semigroups Priority: extra Section: haskell Filename: pool/main/h/haskell-semigroups/libghc-semigroups-dev_0.8.3.2-1_armhf.deb Size: 357460 SHA256: fa2036fc8ea9453b175efe11a9809871e3d918cc991486c102e107c8014ac6e8 SHA1: 077b400f39f95bc1e238524a541b9444f5745631 MD5sum: 1de05fc2c0ebacb6774d4b55560a520e Description: Haskell98 semigroups This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains a Haskell 98 logically-uninhabited data type used to indicate that a given term should not exist. Package: libghc-semigroups-doc Source: haskell-semigroups Version: 0.8.3.2-1 Installed-Size: 446 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-semigroups-dev Size: 62228 SHA256: fd6bd9630874d0de4958189e6fe561227ca80b41096e2ee6e964ff85637b0898 SHA1: 9a851a7e7507587877dac293cc436c10d53860ff MD5sum: 2a4e16bb74c5037c7229ec85fbf17b63 Description: Haskell98 semigroups; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains a Haskell 98 logically-uninhabited data type used to indicate that a given term should not exist. Homepage: http://hackage.haskell.org/package/semigroups Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-semigroups/libghc-semigroups-doc_0.8.3.2-1_all.deb Package: libghc-semigroups-prof Source: haskell-semigroups Version: 0.8.3.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1954 Depends: libghc-semigroups-dev (= 0.8.3.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545 Provides: libghc-semigroups-prof-0.8.3.2-01002 Homepage: http://hackage.haskell.org/package/semigroups Priority: extra Section: haskell Filename: pool/main/h/haskell-semigroups/libghc-semigroups-prof_0.8.3.2-1_armhf.deb Size: 359460 SHA256: 7455eb4fa7527a1e45bc676a6c67503a2ba181b11f256195d1f780523bb8a3b3 SHA1: 4ae951a4460e927bb4f3d8a2943f972ae9426239 MD5sum: 656b59e77185dd0f082d5ffa535b0c31 Description: Haskell98 semigroups; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It contains a Haskell 98 logically-uninhabited data type used to indicate that a given term should not exist. Package: libghc-sendfile-dev Source: haskell-sendfile Version: 0.7.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 336 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-network-dev-2.3.0.13-9c99a, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-sendfile-doc, libghc-sendfile-prof Provides: libghc-sendfile-dev-0.7.6-c7ecc Homepage: http://hackage.haskell.org/package/sendfile Priority: extra Section: haskell Filename: pool/main/h/haskell-sendfile/libghc-sendfile-dev_0.7.6-1_armhf.deb Size: 67418 SHA256: 039681fdb8cece917570a1539d325de8b0ee277cf2639fc331fa0e58fa5b9670 SHA1: 6901de970ed6d7c128e91090df036160ac2cf731 MD5sum: f1ab27501a53054214094d955e05c94a Description: Haskell portable sendfile library - GHC libraries This Haskell library exposes zero-copy sendfile functionality in a portable way. sendfile is a non standard system call that copies data between one file descriptor and another. This library uses the native implementations where possible (for example, under Linux or FreeBSD) and provides an Haskell replacement in other cases, thus providing a portable interface. . This package contains the libraries compiled for GHC. Package: libghc-sendfile-doc Source: haskell-sendfile Version: 0.7.6-1 Installed-Size: 358 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-network-doc, libghc-sendfile-dev Size: 54126 SHA256: d26f844a54d7d16ff3d931d5c68f66512305dd4e4b36dd81df58d5211d72b495 SHA1: 94c2a8caf3f5022feee01b2c4c342f358227a17a MD5sum: 09c0c1fd4ba580681299635687119ec9 Description: Haskell portable sendfile library - documentation This Haskell library exposes zero-copy sendfile functionality in a portable way. sendfile is a non standard system call that copies data between one file descriptor and another. This library uses the native implementations where possible (for example, under Linux or FreeBSD) and provides an Haskell replacement in other cases, thus providing a portable interface. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/sendfile Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-sendfile/libghc-sendfile-doc_0.7.6-1_all.deb Package: libghc-sendfile-prof Source: haskell-sendfile Version: 0.7.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 300 Depends: libghc-sendfile-dev (= 0.7.6-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-network-prof-2.3.0.13-9c99a Provides: libghc-sendfile-prof-0.7.6-c7ecc Homepage: http://hackage.haskell.org/package/sendfile Priority: extra Section: haskell Filename: pool/main/h/haskell-sendfile/libghc-sendfile-prof_0.7.6-1_armhf.deb Size: 63580 SHA256: 615f4f8403b3ca4c69bbe35ec26381dbd1c7f064c4c4eb4c4cc1a7727cec417b SHA1: e0dec3968b6aa8f1664cb2c22925767eee7a7550 MD5sum: dc036f5e03af8cd0d49e90fc18ff7863 Description: Haskell portable sendfile library - GHC profiling libraries This Haskell library exposes zero-copy sendfile functionality in a portable way. sendfile is a non standard system call that copies data between one file descriptor and another. This library uses the native implementations where possible (for example, under Linux or FreeBSD) and provides an Haskell replacement in other cases, thus providing a portable interface. . This package contains the profiling libraries compiled for GHC. Package: libghc-sfml-audio-dev Source: haskell-sfml-audio Version: 0.4.0.1816-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 500 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libopenal1, libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.1.1), libopenal-dev, libsndfile1-dev Suggests: libghc-sfml-audio-doc, libghc-sfml-audio-prof Provides: libghc-sfml-audio-dev-0.4.0.1816-f6820 Homepage: http://hackage.haskell.org/package/sfml-audio Priority: extra Section: haskell Filename: pool/main/h/haskell-sfml-audio/libghc-sfml-audio-dev_0.4.0.1816-1_armhf.deb Size: 111586 SHA256: 178651b6ec020ed74ebf2326fc41c4bc0bf8130428131b1682c3712c7c1459a2 SHA1: 6430c3268afc21c2e8ef4d453f5f8e227e106aa2 MD5sum: 130d3bf37f46addf85fcc088f032a738 Description: minimal bindings to SFML-Audio This package provides minimal Haskell bindings to the audio module of SFML. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-sfml-audio-doc Source: haskell-sfml-audio Version: 0.4.0.1816-1 Installed-Size: 163 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-sfml-audio-dev Size: 33738 SHA256: df864f53193b1093df9430e2e0085d68ec19202a9a05b79fc4987e6f9f43e71f SHA1: 027da699afe7c057796ad0a5f22cabd1201f7170 MD5sum: 9f7b6c65b573dd1124abae0d7092818a Description: minimal bindings to SFML-Audio; documentation This package provides minimal Haskell bindings to the audio module of SFML. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/sfml-audio Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-sfml-audio/libghc-sfml-audio-doc_0.4.0.1816-1_all.deb Package: libghc-sfml-audio-prof Source: haskell-sfml-audio Version: 0.4.0.1816-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 392 Depends: libghc-sfml-audio-dev (= 0.4.0.1816-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-sfml-audio-prof-0.4.0.1816-f6820 Homepage: http://hackage.haskell.org/package/sfml-audio Priority: extra Section: haskell Filename: pool/main/h/haskell-sfml-audio/libghc-sfml-audio-prof_0.4.0.1816-1_armhf.deb Size: 84396 SHA256: 6577209c1a438e9480b9a4b21da879d2e530b13045e42d9f54b6929c8cd777e9 SHA1: 8ac05fe7a444b0af1379f99872150c99fbd3b2c5 MD5sum: dc3f5d11eb8557db27b706ac989cc041 Description: minimal bindings to SFML-Audio; profiling libraries This package provides minimal Haskell bindings to the audio module of SFML. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-sha-dev Source: haskell-sha Version: 1.5.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 826 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-sha-doc, libghc-sha-prof Provides: libghc-sha-dev-1.5.0.1-7d85d Homepage: http://hackage.haskell.org/package/SHA Priority: extra Section: haskell Filename: pool/main/h/haskell-sha/libghc-sha-dev_1.5.0.1-1_armhf.deb Size: 190784 SHA256: ba90acdcb72b2efcc8fd8be74c3c3caa310ac1d48c80b22aa7c15bbf09aec321 SHA1: b750e2e68c7bc9e2cd704f8909f3d1a00f681076 MD5sum: 49ab2c63b86c7b3b509f8eb554c0f296 Description: Haskell SHA suite of message digest functions - GHC libraries This library implements the SHA suite of message digest functions, according to NIST FIPS 180-2 (with the SHA-224 addendum), as well as the SHA-based HMAC routines. . The functions have been tested against most of the NIST and RFC test vectors for the various functions. While some attention has been paid to performance, these do not presently reach the speed of well-tune libraries, like OpenSSL. . This package contains the libraries compiled for GHC. Package: libghc-sha-doc Source: haskell-sha Version: 1.5.0.1-1 Installed-Size: 386 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-sha-dev Size: 49480 SHA256: d4962a6d4e36cd657817ce05763370069dc1b00045db98dd1ed25cd4e59703ca SHA1: 4eeab1f1fffc3fa9e0a3fdfbaf625401bf6d93a1 MD5sum: cd8b36e96aced81ba097b109483f6aba Description: Haskell SHA suite of message digest functions - documentation This library implements the SHA suite of message digest functions, according to NIST FIPS 180-2 (with the SHA-224 addendum), as well as the SHA-based HMAC routines. . The functions have been tested against most of the NIST and RFC test vectors for the various functions. While some attention has been paid to performance, these do not presently reach the speed of well-tune libraries, like OpenSSL. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/SHA Tag: devel::doc, devel::lang:haskell, role::documentation, security::cryptography Section: doc Priority: extra Filename: pool/main/h/haskell-sha/libghc-sha-doc_1.5.0.1-1_all.deb Package: libghc-sha-prof Source: haskell-sha Version: 1.5.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 568 Depends: libghc-sha-dev (= 1.5.0.1-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-sha-prof-1.5.0.1-7d85d Homepage: http://hackage.haskell.org/package/SHA Priority: extra Section: haskell Filename: pool/main/h/haskell-sha/libghc-sha-prof_1.5.0.1-1_armhf.deb Size: 127208 SHA256: 96ef3732360db0706bafa490757a9a6025258fb4dcca2f5489a4446dada99b35 SHA1: f6695769fd8ef142a6b7d07351668acb7a78fe2a MD5sum: 5803f57dbf6c1d57fa0e6a9a78b00d37 Description: Haskell SHA suite of message digest functions - GHC profiling libraries This library implements the SHA suite of message digest functions, according to NIST FIPS 180-2 (with the SHA-224 addendum), as well as the SHA-based HMAC routines. . The functions have been tested against most of the NIST and RFC test vectors for the various functions. While some attention has been paid to performance, these do not presently reach the speed of well-tune libraries, like OpenSSL. . This package contains the profiling libraries compiled for GHC. Package: libghc-shakespeare-css-doc Source: haskell-shakespeare-css Version: 1.0.1.2-1 Installed-Size: 334 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-shakespeare-css-dev Size: 52404 SHA256: 02dc8f89028c5095a46db5b0429d35e2191a89637ce0fea5b96da71a71ec9125 SHA1: 1b72ea66eb1d0b8c87f027a3b47639fb9779df0e MD5sum: 8dc30fc3e0f2a8f8e835ec3c43584b36 Description: compile-time CSS templates; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Shakespeare is a template family for type-safe, efficient templates with simple variable interpolation . Shakespeare templates can be used inline with a quasi-quoter or in an external file. Shakespeare interpolates variables according to the type being inserted. . In this case, the variable type needs a ToCss instance. . This package contains 2 CSS template languages. The Cassius language uses whitespace to avoid the need for closing brackets and semi-colons. Lucius does not care about whitespace and is a strict superset of CSS. There are also some significant conveniences added for CSS. Homepage: http://hackage.haskell.org/package/shakespeare-css Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-shakespeare-css/libghc-shakespeare-css-doc_1.0.1.2-1_all.deb Package: libghc-shakespeare-doc Source: haskell-shakespeare Version: 1.0.0.2-1 Installed-Size: 280 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-parsec3-doc, libghc-text-doc, libghc-shakespeare-dev Size: 46296 SHA256: ebe714784d14d44e09106776ebbf70b2105ec215bec73433430c6b0cc73a2e44 SHA1: 59f7bf73b23fe025f853ff5613d85082cd3aee93 MD5sum: e313391c0c3c3e8d4c8c15efcb5f2487 Description: toolkit for making compile-time interpolated templates; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Shakespeare is a template family for type-safe, efficient templates with simple variable interpolation . Shakespeare templates can be used inline with a quasi-quoter or in an external file. Shakespeare interpolates variables according to the type being inserted. Homepage: http://hackage.haskell.org/package/shakespeare Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-shakespeare/libghc-shakespeare-doc_1.0.0.2-1_all.deb Package: libghc-shakespeare-i18n-doc Source: haskell-shakespeare-i18n Version: 1.0.0.2-1 Installed-Size: 145 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-shakespeare-i18n-dev Size: 39052 SHA256: 023bdaf93104d6c94952620a00ada8ea44ca9cbeba61f27be69ca9c694cccb6d SHA1: df950754a8c92187c53924d8f49bd64fe521386c MD5sum: 8a367a30b4dc9f6d1abd36422a01c322 Description: type-based approach to internationalization; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package uses the same approach of type-safe URLs to create translated content. It has a simple syntax for translators, while allowing the full power of Haskell for applying complex grammar rules. . This package was spun off from yesod-core, and therefore the Yesod documentation is a good place to start in understanding this package. Please see http://www.yesodweb.com/book/i18n for more information. Homepage: http://hackage.haskell.org/package/shakespeare-i18n Section: doc Priority: extra Filename: pool/main/h/haskell-shakespeare-i18n/libghc-shakespeare-i18n-doc_1.0.0.2-1_all.deb Package: libghc-shakespeare-js-doc Source: haskell-shakespeare-js Version: 1.0.0.2-1 Installed-Size: 119 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-shakespeare-doc, libghc-shakespeare-js-dev Size: 36644 SHA256: f2b59416c104ff5aeaf46e986ee77cd45ee480550458ae9cddb3ca79b10e276f SHA1: 3e1f017feec6c4a49f559ed040015a49fc7bb49e MD5sum: 41d4db12beee3ed8898791e881091e71 Description: compile-time JavaScript templates; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Shakespeare is a template family for type-safe, efficient templates with simple variable interpolation . Shakespeare templates can be used inline with a quasi-quoter or in an external file. Shakespeare interpolates variables according to the type being inserted. . In this case, the variable type needs a ToJavascript instance. Homepage: http://hackage.haskell.org/package/shakespeare-js Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-shakespeare-js/libghc-shakespeare-js-doc_1.0.0.2-1_all.deb Package: libghc-shakespeare-text-doc Source: haskell-shakespeare-text Version: 1.0.0.2-1 Installed-Size: 86 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-shakespeare-doc, libghc-shakespeare-text-dev Size: 31906 SHA256: f1f2074a72830f7d1cb76f1b7f559bbc6cc4cfbdf7892a5d33c33b01ac0f6006 SHA1: 6ac1e29a6015e4c840582160a4e2c36b14553003 MD5sum: eeaa681a17bb0125680ccd76c225d5f9 Description: interpolation with quasi-quotation; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Interpolation with quasi-quotation: stick Haskell variables into Haskell strings. Homepage: http://hackage.haskell.org/package/shakespeare-text Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-shakespeare-text/libghc-shakespeare-text-doc_1.0.0.2-1_all.deb Package: libghc-shellac-dev Source: haskell-shellac Version: 0.9.5.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1317 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-directory-dev-1.1.0.2-57272, libghc-mtl-dev-2.1.1-87121, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-shellac-doc, libghc-shellac-prof Provides: libghc-shellac-dev-0.9.5.1-c56f3 Homepage: http://hackage.haskell.org/package/Shellac Priority: extra Section: haskell Filename: pool/main/h/haskell-shellac/libghc-shellac-dev_0.9.5.1-2_armhf.deb Size: 264074 SHA256: 0452cf3742ecb9842bffe6804437702489cba20cbb82a959ea6f3c522502f1f3 SHA1: eb19b598bde636aa46c2c339b82e5dc380713989 MD5sum: e781190a57ede58bfcb8d3f4b9d59d93 Description: Framework for creating shell environments This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Shellac is a framework for building read-eval-print style shells. Shells are created by declaratively defining a set of shell commands and an evaluation function. Shellac supports multiple shell backends, including a basic backend which uses only Haskell IO primitives and a full featured readline backend based on the Haskell readline bindings found in the standard libraries. This library attempts to allow users to write shells at a high level and still enjoy the advanced features that may be available from a powerful line editing package like readline. Package: libghc-shellac-doc Source: haskell-shellac Version: 0.9.5.1-2 Installed-Size: 636 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-shellac-dev Size: 85696 SHA256: ee753e6744d277118ea5c05e5c94acbd74f2af0628e9c14f67095ad47b02ee11 SHA1: de0327f2f949c22f6eaf48b807cacd9e1e579950 MD5sum: 2c9d85c6172cd7d4f4adde4bc0f64c5a Description: Framework for creating shell environments; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Shellac is a framework for building read-eval-print style shells. Shells are created by declaratively defining a set of shell commands and an evaluation function. Shellac supports multiple shell backends, including a basic backend which uses only Haskell IO primitives and a full featured readline backend based on the Haskell readline bindings found in the standard libraries. This library attempts to allow users to write shells at a high level and still enjoy the advanced features that may be available from a powerful line editing package like readline. Homepage: http://hackage.haskell.org/package/Shellac Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-shellac/libghc-shellac-doc_0.9.5.1-2_all.deb Package: libghc-shellac-prof Source: haskell-shellac Version: 0.9.5.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1176 Depends: libghc-shellac-dev (= 0.9.5.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-directory-prof-1.1.0.2-57272, libghc-mtl-prof-2.1.1-87121, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-shellac-prof-0.9.5.1-c56f3 Homepage: http://hackage.haskell.org/package/Shellac Priority: extra Section: haskell Filename: pool/main/h/haskell-shellac/libghc-shellac-prof_0.9.5.1-2_armhf.deb Size: 249684 SHA256: 0fcdfa3f2e6ec6162fcc1cfc3e65cfa74c873c0a136826f0879cb174257439ff SHA1: 10526de5619c49dccff31fcfc0d03839560074c1 MD5sum: a10570a7433996948be53555279092c0 Description: Framework for creating shell environments; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Shellac is a framework for building read-eval-print style shells. Shells are created by declaratively defining a set of shell commands and an evaluation function. Shellac supports multiple shell backends, including a basic backend which uses only Haskell IO primitives and a full featured readline backend based on the Haskell readline bindings found in the standard libraries. This library attempts to allow users to write shells at a high level and still enjoy the advanced features that may be available from a powerful line editing package like readline. Package: libghc-show-dev Source: haskell-show Version: 0.4.1.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 808 Depends: libghc-quickcheck-dev-2.4.2-170f1, libghc-base-dev-4.5.0.0-d93df, libghc-random-dev-1.0.1.1-344e2, libghc-smallcheck-dev-0.6-dc466, libghc-syb-dev-0.3.6.1-ad588, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-show-doc, libghc-show-prof Provides: libghc-show-dev-0.4.1.2-c4dda Homepage: http://hackage.haskell.org/package/show Priority: extra Section: haskell Filename: pool/main/h/haskell-show/libghc-show-dev_0.4.1.2-1_armhf.deb Size: 155940 SHA256: 8b8d3fef7df518da868b64f0f4f65fc22699dda0bdfa586087336f4fef5cec68 SHA1: 8175a626ea6079d484782bb881178b50a0378d03 MD5sum: b673513373644146b03882fdac6e622d Description: Show instances for lambdabot This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains ShowQ, ShowFun, and SimpleReflect. . ShowFun gives us Typeable instances for neutering IO expressions. . ShowQ adds SmallCheck & QuickCheck support. . SimpleReflect allows us to literally see how functions expand, through appropriate Show magic. Package: libghc-show-doc Source: haskell-show Version: 0.4.1.2-1 Installed-Size: 188 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-quickcheck2-doc, libghc-smallcheck-doc, libghc-show-dev Size: 35346 SHA256: d693a1dbd250262ea1a3ab204025da7a5348569a400c66413761226c0a11d636 SHA1: 4d39d8b596c85d2818a63616e650ce6da0f88bcb MD5sum: 89e78ac79530f6c6e36c9cddcbcd0f20 Description: Show instances for lambdabot; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains ShowQ, ShowFun, and SimpleReflect. . ShowFun gives us Typeable instances for neutering IO expressions. . ShowQ adds SmallCheck & QuickCheck support. . SimpleReflect allows us to literally see how functions expand, through appropriate Show magic. Homepage: http://hackage.haskell.org/package/show Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-show/libghc-show-doc_0.4.1.2-1_all.deb Package: libghc-show-prof Source: haskell-show Version: 0.4.1.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 876 Depends: libghc-show-dev (= 0.4.1.2-1), libghc-quickcheck-prof-2.4.2-170f1, libghc-base-prof-4.5.0.0-d93df, libghc-random-prof-1.0.1.1-344e2, libghc-smallcheck-prof-0.6-dc466, libghc-syb-prof-0.3.6.1-ad588 Provides: libghc-show-prof-0.4.1.2-c4dda Homepage: http://hackage.haskell.org/package/show Priority: extra Section: haskell Filename: pool/main/h/haskell-show/libghc-show-prof_0.4.1.2-1_armhf.deb Size: 172682 SHA256: 073e736026b29e44b7caaa7ab139900ec574fb877e385bf03e2500b9f556b1dd SHA1: 8f01de3f25057b874f7cf81e96fd956a37620bf7 MD5sum: 27d04753492c03b6dd167828da292bcd Description: Show instances for lambdabot; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It contains ShowQ, ShowFun, and SimpleReflect. . ShowFun gives us Typeable instances for neutering IO expressions. . ShowQ adds SmallCheck & QuickCheck support. . SimpleReflect allows us to literally see how functions expand, through appropriate Show magic. Package: libghc-silently-dev Source: haskell-silently Version: 1.1.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 121 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-directory-dev-1.1.0.2-57272, libghc-ghc-dev-7.4.1-79b6c, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-silently-doc, libghc-silently-prof Provides: libghc-silently-dev-1.1.4-961cd Homepage: http://hackage.haskell.org/package/haskell-silently Priority: extra Section: haskell Filename: pool/main/h/haskell-silently/libghc-silently-dev_1.1.4-1_armhf.deb Size: 17026 SHA256: d7612a6a2d1f1a600803e2b9901b7b48f0324974b7e44a1b1c6933c97471e1f2 SHA1: 34027b0669ef4193b346e174b91807fd8addc36d MD5sum: 64a7cd2ca5f2af879d83e41954eb1bb2 Description: prevent or capture writing to stdout and other handles Need to prevent output to the terminal, a file, or stderr? Need to capture it and use it for your own means? Now you can, with silence and capture. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-silently-doc Source: haskell-silently Version: 1.1.4-1 Installed-Size: 108 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-silently-dev Size: 28200 SHA256: 460590a9e51c25407735b1db8566030b95ce1ebc2fd8a131879f9da038380229 SHA1: 1ae6d6b3e67de8c78d6f42625bbbfa4a7a7c9904 MD5sum: 04e52cf11c6547d3ed4589dcae1fcff5 Description: prevent or capture writing to stdout and other handles; documentation Need to prevent output to the terminal, a file, or stderr? Need to capture it and use it for your own means? Now you can, with silence and capture. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-silently Section: doc Priority: extra Filename: pool/main/h/haskell-silently/libghc-silently-doc_1.1.4-1_all.deb Package: libghc-silently-prof Source: haskell-silently Version: 1.1.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 102 Depends: libghc-silently-dev (= 1.1.4-1), libghc-base-prof-4.5.0.0-d93df, libghc-directory-prof-1.1.0.2-57272, libghc-ghc-prof-7.4.1-79b6c Provides: libghc-silently-prof-1.1.4-961cd Homepage: http://hackage.haskell.org/package/haskell-silently Priority: extra Section: haskell Filename: pool/main/h/haskell-silently/libghc-silently-prof_1.1.4-1_armhf.deb Size: 17758 SHA256: 3d3b467902ceca0afe6eae5e7c9824c199cd926a7ee0a917adc88e7ee99983d6 SHA1: 4bc2fe96b761b5a7245b839b877b14442da1c6ae MD5sum: 3f5636861f0c1b656a104227c8911064 Description: prevent or capture writing to stdout and other handles; profiling libraries Need to prevent output to the terminal, a file, or stderr? Need to capture it and use it for your own means? Now you can, with silence and capture. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-simple-sendfile-dev Source: haskell-simple-sendfile Version: 0.2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 119 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-network-dev-2.3.0.13-9c99a, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-simple-sendfile-doc, libghc-simple-sendfile-prof Provides: libghc-simple-sendfile-dev-0.2.3-177d4 Homepage: http://hackage.haskell.org/package/simple-sendfile Priority: extra Section: haskell Filename: pool/main/h/haskell-simple-sendfile/libghc-simple-sendfile-dev_0.2.3-1_armhf.deb Size: 16834 SHA256: 84346740fab1737b9b77cce6b7089e8b90922c4a54ff7137203bb81d6ba9dbc0 SHA1: 52647e19ae6020ff7995e3bef9bcbd801616148d MD5sum: 069dbdb9053b6c7163b4501d5a66b690 Description: Cross platform library for the sendfile system call Cross platform library for the sendfile system call. This library tries to call minimum system calls which are the bottleneck of web servers. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-simple-sendfile-doc Source: haskell-simple-sendfile Version: 0.2.3-1 Installed-Size: 115 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-network-doc, libghc-simple-sendfile-dev Size: 30702 SHA256: 97bdd9f16606c01a5b22c8910f63af56328c46b856e0b2b86981d42cfea91a04 SHA1: b4b47cf0d88aae3d3dea35705e1c8751b41f1eae MD5sum: 359ab138f4be040b680a79b092f80f63 Description: Cross platform library for the sendfile system call; documentation Cross platform library for the sendfile system call. This library tries to call minimum system calls which are the bottleneck of web servers. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/simple-sendfile Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-simple-sendfile/libghc-simple-sendfile-doc_0.2.3-1_all.deb Package: libghc-simple-sendfile-prof Source: haskell-simple-sendfile Version: 0.2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 98 Depends: libghc-simple-sendfile-dev (= 0.2.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-network-prof-2.3.0.13-9c99a, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-simple-sendfile-prof-0.2.3-177d4 Homepage: http://hackage.haskell.org/package/simple-sendfile Priority: extra Section: haskell Filename: pool/main/h/haskell-simple-sendfile/libghc-simple-sendfile-prof_0.2.3-1_armhf.deb Size: 16818 SHA256: 86a0fb17a8f4f70d333d5e17af62a50d285a10533aa89c02a83437ff45511428 SHA1: 89741b56be6639e27851142e3d3ed89dd16d721d MD5sum: e31137fbf3771bab80e75d8eccd612a3 Description: Cross platform library for the sendfile system call; profiling data Cross platform library for the sendfile system call. This library tries to call minimum system calls which are the bottleneck of web servers. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-simpleea-dev Source: haskell-simpleea Version: 0.1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 210 Depends: libghc-monadrandom-dev-0.1.6-5fac5, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-simpleea-doc, libghc-simpleea-prof Provides: libghc-simpleea-dev-0.1.1-037b6 Homepage: http://hackage.haskell.org/package/SimpleEA Priority: extra Section: haskell Filename: pool/main/h/haskell-simpleea/libghc-simpleea-dev_0.1.1-2_armhf.deb Size: 44148 SHA256: 9e5fdce664fcbbe7d502f1fce7db056e5eb99b72317d371616c0658aaf022224 SHA1: 2b1c6eea9b4a54d667f7b9e65cf92cfef076818a MD5sum: b8fe3ee462fa24ea61bc8a9618f6cc7e Description: Simple evolutionary algorithm framework This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a simple framework for running an evolutionary algorithm by providing selection, recombination, and mutation operators. Package: libghc-simpleea-doc Source: haskell-simpleea Version: 0.1.1-2 Installed-Size: 172 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-monadrandom-doc, libghc-simpleea-dev Size: 39422 SHA256: 83a7617641d4d87c7c1e193a09a250a4d08b9b3dc13efc3b70f4980eb8c0efa6 SHA1: e781a0415eadc8bb39e55ca5682c351d52393fea MD5sum: 8a3f55f632b2d52e268b4fb76b81b722 Description: Simple evolutionary algorithm framework; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a simple framework for running an evolutionary algorithm by providing selection, recombination, and mutation operators. Homepage: http://hackage.haskell.org/package/SimpleEA Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-simpleea/libghc-simpleea-doc_0.1.1-2_all.deb Package: libghc-simpleea-prof Source: haskell-simpleea Version: 0.1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 184 Depends: libghc-simpleea-dev (= 0.1.1-2), libghc-monadrandom-prof-0.1.6-5fac5, libghc-base-prof-4.5.0.0-d93df Provides: libghc-simpleea-prof-0.1.1-037b6 Homepage: http://hackage.haskell.org/package/SimpleEA Priority: extra Section: haskell Filename: pool/main/h/haskell-simpleea/libghc-simpleea-prof_0.1.1-2_armhf.deb Size: 40682 SHA256: 032bd13b93fb4f17e56cd005bf21568e18339d30f4789361b12402d95c7bc4dd SHA1: d45e75656b20a9f28b2f2d7392a71c0565ea753a MD5sum: 17e2433c59818a147772cfb469eb2562 Description: Simple evolutionary algorithm framework; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is a simple framework for running an evolutionary algorithm by providing selection, recombination, and mutation operators. Package: libghc-simpleirc-dev Source: haskell-simpleirc Version: 0.2.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1391 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-network-dev-2.3.0.13-9c99a, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-time-dev-1.4-ec63b, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-simpleirc-doc, libghc-simpleirc-prof Provides: libghc-simpleirc-dev-0.2.1-3f904 Homepage: http://hackage.haskell.org/package/simpleirc Priority: extra Section: haskell Filename: pool/main/h/haskell-simpleirc/libghc-simpleirc-dev_0.2.1-2_armhf.deb Size: 296674 SHA256: 0a29df7deefe6728d2184d706fdc2a5287e8ec3c47d1b4c81371b0e45f6bc655 SHA1: 3d96f5e099d7ec890cdcf3b2c48e6c586b2d87d8 MD5sum: 27b25701d58f3d386afe9e579a44229e Description: simple IRC library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This is meant to be a simple library for creating IRC bots. Package: libghc-simpleirc-doc Source: haskell-simpleirc Version: 0.2.1-2 Installed-Size: 328 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-simpleirc-dev Size: 48330 SHA256: aba5bbe6dbe7ff39d56e50fd709e359252cdc9ede21ada4ba4a11ee22cb87efb SHA1: 00fc2895b678c0cecd65250c073faa02520adc9a MD5sum: 053957e1953ac0c2185ce4b2ce814918 Description: simple IRC library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This is meant to be a simple library for creating IRC bots. Homepage: http://hackage.haskell.org/package/simpleirc Section: doc Priority: extra Filename: pool/main/h/haskell-simpleirc/libghc-simpleirc-doc_0.2.1-2_all.deb Package: libghc-simpleirc-prof Source: haskell-simpleirc Version: 0.2.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1209 Depends: libghc-simpleirc-dev (= 0.2.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-network-prof-2.3.0.13-9c99a, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-time-prof-1.4-ec63b Provides: libghc-simpleirc-prof-0.2.1-3f904 Homepage: http://hackage.haskell.org/package/simpleirc Priority: extra Section: haskell Filename: pool/main/h/haskell-simpleirc/libghc-simpleirc-prof_0.2.1-2_armhf.deb Size: 253692 SHA256: 3eaef7e5cc1164ea29b4292f5f60e947ef9b79cc8ff7a5f4632daee062340f05 SHA1: 29914514ba1b782a49022ef4872dbe28ee0cc68f MD5sum: 3e5a29a8c06bc6f8c580f0fe65634222 Description: simple IRC library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This is meant to be a simple library for creating IRC bots. Package: libghc-skein-dev Source: haskell-skein Version: 0.1.0.7-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 874 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-cereal-dev-0.3.5.1-9f6b7, libghc-crypto-api-dev-0.10.2-67d28, libghc-tagged-dev-0.4.2.1-27a41, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-skein-doc, libghc-skein-prof Provides: libghc-skein-dev-0.1.0.7-d9180 Homepage: http://hackage.haskell.org/package/skein Priority: extra Section: haskell Filename: pool/main/h/haskell-skein/libghc-skein-dev_0.1.0.7-2_armhf.deb Size: 164986 SHA256: 7bfcb5626210c1490ec236eba175b1a2c19ce8849144586530da85b5c5addecf SHA1: 1eacb5992125e3ad0934ce6d942aa24ba5469724 MD5sum: bcfc65676a61cb7c14714303c8cfdc00 Description: family of cryptographic hash functions This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Skein is a family of fast secure cryptographic hash functions designed by Niels Ferguson, Stefan Lucks, Bruce Schneier, Doug Whiting, Mihir Bellare, Tadayoshi Kohno, Jon Callas and Jesse Walker. . This package uses bindings to an optimized C implementation of Skein. It provides a high-level interface to some of the Skein use cases. It also provides a low-level interface in the module "Crypto.Skein.Internal" should you need to use Skein in a different way. Package: libghc-skein-doc Source: haskell-skein Version: 0.1.0.7-2 Installed-Size: 367 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cereal-doc, libghc-crypto-api-doc, libghc-skein-dev Size: 56954 SHA256: 4defc1cad72fb62f2265fe45b90affe48ae7f2b5023a5ecac20286501f84f892 SHA1: ba2ab63e894d9d597d92c97be0c80d3569978ade MD5sum: 7a4328533376f46c20c172a3d30d815d Description: family of cryptographic hash functions; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Skein is a family of fast secure cryptographic hash functions designed by Niels Ferguson, Stefan Lucks, Bruce Schneier, Doug Whiting, Mihir Bellare, Tadayoshi Kohno, Jon Callas and Jesse Walker. . This package uses bindings to an optimized C implementation of Skein. It provides a high-level interface to some of the Skein use cases. It also provides a low-level interface in the module "Crypto.Skein.Internal" should you need to use Skein in a different way. Homepage: http://hackage.haskell.org/package/skein Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-skein/libghc-skein-doc_0.1.0.7-2_all.deb Package: libghc-skein-prof Source: haskell-skein Version: 0.1.0.7-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 798 Depends: libghc-skein-dev (= 0.1.0.7-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-cereal-prof-0.3.5.1-9f6b7, libghc-crypto-api-prof-0.10.2-67d28, libghc-tagged-prof-0.4.2.1-27a41 Provides: libghc-skein-prof-0.1.0.7-d9180 Homepage: http://hackage.haskell.org/package/skein Priority: extra Section: haskell Filename: pool/main/h/haskell-skein/libghc-skein-prof_0.1.0.7-2_armhf.deb Size: 145814 SHA256: c0c5a960f3926b162b4f9bbb824d55198f8e262a5d8c9f400e08bd4a8824c2ad SHA1: 113cd071be34a222f49d88a076d8809b01175249 MD5sum: d82d88dd9e5ddb0d19dacb72f80fdc16 Description: family of cryptographic hash functions; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Skein is a family of fast secure cryptographic hash functions designed by Niels Ferguson, Stefan Lucks, Bruce Schneier, Doug Whiting, Mihir Bellare, Tadayoshi Kohno, Jon Callas and Jesse Walker. . This package uses bindings to an optimized C implementation of Skein. It provides a high-level interface to some of the Skein use cases. It also provides a low-level interface in the module "Crypto.Skein.Internal" should you need to use Skein in a different way. Package: libghc-smallcheck-dev Source: haskell-smallcheck Version: 0.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 623 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-dlist-dev-0.5-2bfbb, libghc-ghc-prim-dev-0.2.0.0-bd29c, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-smallcheck-doc, libghc-smallcheck-prof Provides: libghc-smallcheck-dev-0.6-dc466 Homepage: http://hackage.haskell.org/package/smallcheck Priority: extra Section: haskell Filename: pool/main/h/haskell-smallcheck/libghc-smallcheck-dev_0.6-1_armhf.deb Size: 126784 SHA256: df1da0e744bbbf7eef47c061ba07f0edb9d935b787bb519a07f1fd6d8839b909 SHA1: 216c74601ec0aaec44095fca0fb5a901c018f970 MD5sum: dad1851373525510ee03bbe7dc0f1ab6 Description: Another lightweight testing library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . SmallCheck is similar to QuickCheck (Claessen and Hughes 2000-) but instead of testing for a sample of randomly generated values, SmallCheck tests properties for all the finitely many values up to some depth, progressively increasing the depth used. Package: libghc-smallcheck-doc Source: haskell-smallcheck Version: 0.6-1 Installed-Size: 346 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-smallcheck-dev Size: 54490 SHA256: 48f8351b28eda4495c4706e40ccee59013f32b63a4e4ab1dc4cd559d62696dd9 SHA1: dce2f151810e278a010d7146dbc6721c8f37398a MD5sum: 1f6f128169f61e9137ac7704da8d5aa4 Description: Another lightweight testing library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . SmallCheck is similar to QuickCheck (Claessen and Hughes 2000-) but instead of testing for a sample of randomly generated values, SmallCheck tests properties for all the finitely many values up to some depth, progressively increasing the depth used. Homepage: http://hackage.haskell.org/package/smallcheck Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-smallcheck/libghc-smallcheck-doc_0.6-1_all.deb Package: libghc-smallcheck-prof Source: haskell-smallcheck Version: 0.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 600 Depends: libghc-smallcheck-dev (= 0.6-1), libghc-base-prof-4.5.0.0-d93df, libghc-dlist-prof-0.5-2bfbb, libghc-ghc-prim-prof-0.2.0.0-bd29c Provides: libghc-smallcheck-prof-0.6-dc466 Homepage: http://hackage.haskell.org/package/smallcheck Priority: extra Section: haskell Filename: pool/main/h/haskell-smallcheck/libghc-smallcheck-prof_0.6-1_armhf.deb Size: 128210 SHA256: e4bdc2c4bb3d10346002eef652f245bb60079085ab60ee941c0f88482fd69ceb SHA1: bc8665d307950cd718e2e5a699ab6725a1f8cbc6 MD5sum: 2b4f31437cef8fa5098991e4141423e1 Description: Another lightweight testing library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . SmallCheck is similar to QuickCheck (Claessen and Hughes 2000-) but instead of testing for a sample of randomly generated values, SmallCheck tests properties for all the finitely many values up to some depth, progressively increasing the depth used. Package: libghc-smtpclient-dev Source: haskell-smtpclient Version: 1.0.4-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 493 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libghc-hsemail-dev-1.7.1-e2f6f, libghc-network-dev-2.3.0.13-9c99a, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-smtpclient-doc, libghc-smtpclient-prof Provides: libghc-smtpclient-dev-1.0.4-f6485 Homepage: http://hackage.haskell.org/package/SMTPClient Priority: extra Section: haskell Filename: pool/main/h/haskell-smtpclient/libghc-smtpclient-dev_1.0.4-3_armhf.deb Size: 109008 SHA256: a0e8da985faae6edf60216703f4ebf86e6bae5b3a2c489db88ceb3a5f3884e2e SHA1: ee336dd2454580f0dab1f53a0e8d6d57b6eeb943 MD5sum: 5dba2ef556337a5b3a637b73aafdafc3 Description: Simple Haskell SMTP client library - GHC libraries This Haskell library is a simple SMTP client, making the task of sending an email as easy as calling a function. . This package contains the libraries compiled for GHC. Package: libghc-smtpclient-doc Source: haskell-smtpclient Version: 1.0.4-3 Installed-Size: 340 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hsemail-doc, libghc-network-doc, libghc-smtpclient-dev Size: 55456 SHA256: 78380dac9a0c8fbf2acf7f198c3fca8acbdf5fb0badcf5691e8ff4ad86554022 SHA1: 193820edcb7bcf9c983c1268925c71a90fba7c99 MD5sum: 5d6091ca6e16f8efe88e8c740039760d Description: Simple Haskell SMTP client library - documentation This Haskell library is a simple SMTP client, making the task of sending an email as easy as calling a function. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/SMTPClient Tag: devel::doc, devel::lang:haskell, protocol::smtp, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-smtpclient/libghc-smtpclient-doc_1.0.4-3_all.deb Package: libghc-smtpclient-prof Source: haskell-smtpclient Version: 1.0.4-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 459 Depends: libghc-smtpclient-dev (= 1.0.4-3), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-extensible-exceptions-prof-0.1.1.4-d27a1, libghc-hsemail-prof-1.7.1-e2f6f, libghc-network-prof-2.3.0.13-9c99a, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba Provides: libghc-smtpclient-prof-1.0.4-f6485 Homepage: http://hackage.haskell.org/package/SMTPClient Priority: extra Section: haskell Filename: pool/main/h/haskell-smtpclient/libghc-smtpclient-prof_1.0.4-3_armhf.deb Size: 102136 SHA256: 9d11528dfd31e864fe04c5b8f22889b0ad83a21a874ac88712a44728f8b79922 SHA1: 8fb9c9ccb9383686400db2d3152a013df4682fc6 MD5sum: 6ad768148fa1fc4feed1276aba9810d0 Description: Simple Haskell SMTP client library - GHC profiling libraries This Haskell library is a simple SMTP client, making the task of sending an email as easy as calling a function. . This package contains the profiling libraries compiled for GHC. Package: libghc-snap-core-dev Source: haskell-snap-core Version: 0.8.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 8352 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4), libghc-hunit-dev-1.2.4.2-6a847, libghc-monadcatchio-transformers-dev-0.3.0.0-03d9d, libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-attoparsec-enumerator-dev-0.3-cc5c3, libghc-base-dev-4.5.0.0-d93df, libghc-base16-bytestring-dev-0.1.1.4-fe252, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-blaze-builder-enumerator-dev-0.2.0.4-0fbfd, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-bytestring-mmap-dev-0.2.2-c8a23, libghc-bytestring-nums-dev-0.3.5-f328e, libghc-case-insensitive-dev-0.4.0.1-fae0c, libghc-containers-dev-0.4.2.1-7c545, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-directory-dev-1.1.0.2-57272, libghc-dlist-dev-0.5-2bfbb, libghc-enumerator-dev-0.4.19-c0ca3, libghc-filepath-dev-1.3.0.0-163d9, libghc-mtl-dev-2.1.1-87121, libghc-mwc-random-dev-0.11.0.0-da9cd, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-regex-posix-dev-0.95.1-307a3, libghc-text-dev-0.11.2.0-05a46, libghc-time-dev-1.4-ec63b, libghc-transformers-dev-0.3.0.0-e8222, libghc-unix-dev-2.5.1.0-77272, libghc-unix-compat-dev-0.3.0.1-4cc6c, libghc-unordered-containers-dev-0.2.1.0-eabe0, libghc-vector-dev-0.9.1-8e782, libghc-zlib-enum-dev-0.2.2.1-57ea5 Suggests: libghc-snap-core-doc, libghc-snap-core-prof Provides: libghc-snap-core-dev-0.8.1-b3b2a Homepage: http://snapframework.com/ Priority: extra Section: haskell Filename: pool/main/h/haskell-snap-core/libghc-snap-core-dev_0.8.1-1_armhf.deb Size: 1857108 SHA256: 335fce08e82fb3953e9a3a2c037d961d86ecda7a4289753b3504f2d6a62b7149 SHA1: 98cbf048b21c733e1508021c2945cda4485c0582 MD5sum: 94b2257579a65239e1ae0849fc3fb208 Description: Snap: A Haskell Web Framework (Core) Snap is a simple and fast web development framework and server written in Haskell. For more information or to download the latest version, you can visit the Snap project website at . . This library contains the core definitions and types for the Snap framework, including: . 1. Primitive types and functions for HTTP (requests, responses, cookies, post/query parameters, etc) . 2. Type aliases and helper functions for Iteratee I/O . 3. A monad for programming web handlers called \"Snap\", inspired by happstack's (), which allows: . o Stateful access to the HTTP request and response objects . o Monadic failure (i.e. MonadPlus/Alternative instances) for declining to handle requests and chaining handlers together . o Early termination of the computation if you know early what you want to return and want to prevent further monadic processing . This package contains the normal library files. Package: libghc-snap-core-doc Source: haskell-snap-core Version: 0.8.1-1 Installed-Size: 2225 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-attoparsec-doc, libghc-blaze-builder-doc, libghc-case-insensitive-doc, libghc-enumerator-doc, libghc-hunit-doc, libghc-monadcatchio-transformers-doc, libghc-mtl-doc, libghc-text-doc, libghc-transformers-doc, libghc-snap-core-dev Size: 249990 SHA256: c8b69e4c8a9b042ff5276a1e1e67a450a04d17dc229025475eadce2ef9354e66 SHA1: 6cec21043a9d48329db97472112c714ad5bebbe2 MD5sum: cd2df1b34cdd21add6145b42c07d5ee3 Description: Snap: A Haskell Web Framework (Core); documentation Snap is a simple and fast web development framework and server written in Haskell. For more information or to download the latest version, you can visit the Snap project website at . . This library contains the core definitions and types for the Snap framework, including: . 1. Primitive types and functions for HTTP (requests, responses, cookies, post/query parameters, etc) . 2. Type aliases and helper functions for Iteratee I/O . 3. A monad for programming web handlers called \"Snap\", inspired by happstack's (), which allows: . o Stateful access to the HTTP request and response objects . o Monadic failure (i.e. MonadPlus/Alternative instances) for declining to handle requests and chaining handlers together . o Early termination of the computation if you know early what you want to return and want to prevent further monadic processing . This package contains the documentation files. Homepage: http://snapframework.com/ Section: doc Priority: extra Filename: pool/main/h/haskell-snap-core/libghc-snap-core-doc_0.8.1-1_all.deb Package: libghc-snap-core-prof Source: haskell-snap-core Version: 0.8.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 8298 Depends: libghc-snap-core-dev (= 0.8.1-1), libghc-hunit-prof-1.2.4.2-6a847, libghc-monadcatchio-transformers-prof-0.3.0.0-03d9d, libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-attoparsec-enumerator-prof-0.3-cc5c3, libghc-base-prof-4.5.0.0-d93df, libghc-base16-bytestring-prof-0.1.1.4-fe252, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-blaze-builder-enumerator-prof-0.2.0.4-0fbfd, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-bytestring-mmap-prof-0.2.2-c8a23, libghc-bytestring-nums-prof-0.3.5-f328e, libghc-case-insensitive-prof-0.4.0.1-fae0c, libghc-containers-prof-0.4.2.1-7c545, libghc-deepseq-prof-1.3.0.0-6c19e, libghc-directory-prof-1.1.0.2-57272, libghc-dlist-prof-0.5-2bfbb, libghc-enumerator-prof-0.4.19-c0ca3, libghc-filepath-prof-1.3.0.0-163d9, libghc-mtl-prof-2.1.1-87121, libghc-mwc-random-prof-0.11.0.0-da9cd, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-regex-posix-prof-0.95.1-307a3, libghc-text-prof-0.11.2.0-05a46, libghc-time-prof-1.4-ec63b, libghc-transformers-prof-0.3.0.0-e8222, libghc-unix-prof-2.5.1.0-77272, libghc-unix-compat-prof-0.3.0.1-4cc6c, libghc-unordered-containers-prof-0.2.1.0-eabe0, libghc-vector-prof-0.9.1-8e782, libghc-zlib-enum-prof-0.2.2.1-57ea5 Provides: libghc-snap-core-prof-0.8.1-b3b2a Homepage: http://snapframework.com/ Priority: extra Section: haskell Filename: pool/main/h/haskell-snap-core/libghc-snap-core-prof_0.8.1-1_armhf.deb Size: 1836424 SHA256: c6ee9d8ac6b1b8e5f03b61871af94d1eeb2afe12ede9d2e480f24e1d87bab0c4 SHA1: 701654be007edb99871cec47157db57831ebe991 MD5sum: 2bc9083f5ded9db3d482722acdec2bfd Description: Snap: A Haskell Web Framework (Core); profiling libraries Snap is a simple and fast web development framework and server written in Haskell. For more information or to download the latest version, you can visit the Snap project website at . . This library contains the core definitions and types for the Snap framework, including: . 1. Primitive types and functions for HTTP (requests, responses, cookies, post/query parameters, etc) . 2. Type aliases and helper functions for Iteratee I/O . 3. A monad for programming web handlers called \"Snap\", inspired by happstack's (), which allows: . o Stateful access to the HTTP request and response objects . o Monadic failure (i.e. MonadPlus/Alternative instances) for declining to handle requests and chaining handlers together . o Early termination of the computation if you know early what you want to return and want to prevent further monadic processing . This package contains the libraries compiled with profiling enabled. Package: libghc-snap-server-dev Source: haskell-snap-server Version: 0.8.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3610 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4), libghc-monadcatchio-transformers-dev-0.3.0.0-03d9d, libghc-psqueue-dev-1.1-f4bc6, libghc-array-dev-0.4.0.0-3cf1b, libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-attoparsec-enumerator-dev-0.3-cc5c3, libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-blaze-builder-enumerator-dev-0.2.0.4-0fbfd, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-bytestring-nums-dev-0.3.5-f328e, libghc-case-insensitive-dev-0.4.0.1-fae0c, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-tree-dev-0.10.0-a0eff, libghc-enumerator-dev-0.4.19-c0ca3, libghc-filepath-dev-1.3.0.0-163d9, libghc-mtl-dev-2.1.1-87121, libghc-murmur-hash-dev-0.1.0.5-f23b5, libghc-network-dev-2.3.0.13-9c99a, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-snap-core-dev-0.8.1-b3b2a, libghc-template-haskell-dev-2.7.0.0-e9ca7, libghc-text-dev-0.11.2.0-05a46, libghc-time-dev-1.4-ec63b, libghc-transformers-dev-0.3.0.0-e8222, libghc-unix-dev-2.5.1.0-77272, libghc-unix-compat-dev-0.3.0.1-4cc6c, libghc-vector-dev-0.9.1-8e782, libghc-vector-algorithms-dev-0.5.4-31462 Suggests: libghc-snap-server-doc, libghc-snap-server-prof Provides: libghc-snap-server-dev-0.8.1.1-47baa Homepage: http://snapframework.com/ Priority: extra Section: haskell Filename: pool/main/h/haskell-snap-server/libghc-snap-server-dev_0.8.1.1-1_armhf.deb Size: 830808 SHA256: ac9b167b6406a59becd3dd1c0c9aba4f1ae650b5746d30b816d5f5a979161fe7 SHA1: 467025f7c8363395228f14a0840a519da5fdb69b MD5sum: e69992e5d47efcb849975f390aec5ee3 Description: iteratee-based, epoll-enabled web server for the Snap Framework Snap is a simple and fast web development framework and server written in Haskell. For more information or to download the latest version, you can visit the Snap project website at . . The Snap HTTP server is a high performance, epoll-enabled, iteratee-based web server library written in Haskell. Together with the @snap-core@ library upon which it depends, it provides a clean and efficient Haskell programming interface to the HTTP protocol. . Higher-level facilities for building web applications (like user/session management, component interfaces, data modeling, etc.) are planned but not yet implemented, so this release will mostly be of interest for those who: . o need a fast and minimal HTTP API at roughly the same level of abstraction as Java servlets, or . o are interested in contributing to the Snap Framework project. . This package contains the normal library files. Package: libghc-snap-server-doc Source: haskell-snap-server Version: 0.8.1.1-1 Installed-Size: 1036 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-snap-core-doc, libghc-snap-server-dev Size: 119126 SHA256: 59f03a7855a11c8a8e04c90ad89daa6934977a99c174449564f6ea33408adbf7 SHA1: 3587bb446a95c7ae82bed8f0c2be885e7aedc6cf MD5sum: ecd0d62567d1156aa1f15fed4895a5fd Description: iteratee-based, epoll-enabled web server for the Snap Framework; documentation Snap is a simple and fast web development framework and server written in Haskell. For more information or to download the latest version, you can visit the Snap project website at . . The Snap HTTP server is a high performance, epoll-enabled, iteratee-based web server library written in Haskell. Together with the @snap-core@ library upon which it depends, it provides a clean and efficient Haskell programming interface to the HTTP protocol. . Higher-level facilities for building web applications (like user/session management, component interfaces, data modeling, etc.) are planned but not yet implemented, so this release will mostly be of interest for those who: . o need a fast and minimal HTTP API at roughly the same level of abstraction as Java servlets, or . o are interested in contributing to the Snap Framework project. . This package contains the documentation files. Homepage: http://snapframework.com/ Section: doc Priority: extra Filename: pool/main/h/haskell-snap-server/libghc-snap-server-doc_0.8.1.1-1_all.deb Package: libghc-snap-server-prof Source: haskell-snap-server Version: 0.8.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3928 Depends: libghc-snap-server-dev (= 0.8.1.1-1), libghc-monadcatchio-transformers-prof-0.3.0.0-03d9d, libghc-psqueue-prof-1.1-f4bc6, libghc-array-prof-0.4.0.0-3cf1b, libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-attoparsec-enumerator-prof-0.3-cc5c3, libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-blaze-builder-enumerator-prof-0.2.0.4-0fbfd, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-bytestring-nums-prof-0.3.5-f328e, libghc-case-insensitive-prof-0.4.0.1-fae0c, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-tree-prof-0.10.0-a0eff, libghc-enumerator-prof-0.4.19-c0ca3, libghc-filepath-prof-1.3.0.0-163d9, libghc-mtl-prof-2.1.1-87121, libghc-murmur-hash-prof-0.1.0.5-f23b5, libghc-network-prof-2.3.0.13-9c99a, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-snap-core-prof-0.8.1-b3b2a, libghc-template-haskell-prof-2.7.0.0-e9ca7, libghc-text-prof-0.11.2.0-05a46, libghc-time-prof-1.4-ec63b, libghc-transformers-prof-0.3.0.0-e8222, libghc-unix-prof-2.5.1.0-77272, libghc-unix-compat-prof-0.3.0.1-4cc6c, libghc-vector-prof-0.9.1-8e782, libghc-vector-algorithms-prof-0.5.4-31462 Provides: libghc-snap-server-prof-0.8.1.1-47baa Homepage: http://snapframework.com/ Priority: extra Section: haskell Filename: pool/main/h/haskell-snap-server/libghc-snap-server-prof_0.8.1.1-1_armhf.deb Size: 904546 SHA256: c995c87f1cf41a64f1cc2802f4491ccf8e0b409fdb4cdf1747fefffa97ad4cf0 SHA1: 3015eb22762543c8ad0ef19d19c8da8f05df18ca MD5sum: 399bfbac1cb42f39a1bcb58f439f7470 Description: iteratee-based, epoll-enabled web server for the Snap Framework; profiling lib Snap is a simple and fast web development framework and server written in Haskell. For more information or to download the latest version, you can visit the Snap project website at . . The Snap HTTP server is a high performance, epoll-enabled, iteratee-based web server library written in Haskell. Together with the @snap-core@ library upon which it depends, it provides a clean and efficient Haskell programming interface to the HTTP protocol. . Higher-level facilities for building web applications (like user/session management, component interfaces, data modeling, etc.) are planned but not yet implemented, so this release will mostly be of interest for those who: . o need a fast and minimal HTTP API at roughly the same level of abstraction as Java servlets, or . o are interested in contributing to the Snap Framework project. . This package contains the libraries compiled with profiling enabled. Package: libghc-socks-dev Source: haskell-socks Version: 0.4.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1375 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-cereal-dev-0.3.5.1-9f6b7, libghc-network-dev-2.3.0.13-9c99a, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-socks-doc, libghc-socks-prof Provides: libghc-socks-dev-0.4.1-b3b30 Homepage: http://hackage.haskell.org/package/haskell-socks Priority: extra Section: haskell Filename: pool/main/h/haskell-socks/libghc-socks-dev_0.4.1-1_armhf.deb Size: 262940 SHA256: faf81ad1bab881087900d7effca7e34bc8830650099079fb78a5f381904ad5cc SHA1: 95fe3f4a9a543ddf0ca3effaaaf6888f9dcb0a0e MD5sum: eb198cc964d63b5e43b263f6c10ec4ce Description: SOCKS proxy library This is a native Haskell implementation of a SOCKS5 proxy. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-socks-doc Source: haskell-socks Version: 0.4.1-1 Installed-Size: 182 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-network-doc, libghc-socks-dev Size: 35860 SHA256: 50f4153edbf97ed2747b5d7b3246845ba0e2231b9ed55a064d4c7d5d04645555 SHA1: 05883b93842fbee948f20262838ddceba76c61e2 MD5sum: dc81dc0aaad763726ddd0ba038277fb7 Description: SOCKS proxy library; documentation This is a native Haskell implementation of a SOCKS5 proxy. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-socks Section: doc Priority: extra Filename: pool/main/h/haskell-socks/libghc-socks-doc_0.4.1-1_all.deb Package: libghc-socks-prof Source: haskell-socks Version: 0.4.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1558 Depends: libghc-socks-dev (= 0.4.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-cereal-prof-0.3.5.1-9f6b7, libghc-network-prof-2.3.0.13-9c99a Provides: libghc-socks-prof-0.4.1-b3b30 Homepage: http://hackage.haskell.org/package/haskell-socks Priority: extra Section: haskell Filename: pool/main/h/haskell-socks/libghc-socks-prof_0.4.1-1_armhf.deb Size: 295498 SHA256: c7fe86ba13292783d601e84a40ab3671141ba41ee7ae4e9ad95a95ad2bf8384a SHA1: 09fa8b16dd18841a9b7202ec85760cce50cea690 MD5sum: 34642f348b734150e095fcbdd7ab8cec Description: SOCKS proxy library; profiling libraries This is a native Haskell implementation of a SOCKS5 proxy. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-split-dev Source: haskell-split Version: 0.1.4.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 362 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-split-doc, libghc-split-prof Provides: libghc-split-dev-0.1.4.2-ccb3b Homepage: http://hackage.haskell.org/package/split Priority: extra Section: haskell Filename: pool/main/h/haskell-split/libghc-split-dev_0.1.4.2-2_armhf.deb Size: 67458 SHA256: 3f223333fcca1db0de114d318d987f84db6f4c779aac39233e5fea7b1cc1d882 SHA1: 7bcaba78d07a75bda1fbf3347e57e0637ed3bd58 MD5sum: 7ac45b5a05d3fef7fe81378293d27fd7 Description: Haskell library for splitting lists - GHC libraries This Haskell library provides a wide range of strategies and a unified combinator framework for splitting lists with respect to some sort of delimiter. . For example, it can split a list every N elements, or on elements that satisfy some condition. . This package contains the libraries compiled for GHC. Package: libghc-split-doc Source: haskell-split Version: 0.1.4.2-2 Installed-Size: 375 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-split-dev Size: 65400 SHA256: 89ff632b5dc042d5130e0de959075d974d108a3fc14a274f16cc03b57b33739f SHA1: 5be17a5dca943a0240d2e9d9589f7c293cf016d5 MD5sum: 07abddfb9cf4e342babbf228a92c9863 Description: Haskell library for splitting lists - documentation This Haskell library provides a wide range of strategies and a unified combinator framework for splitting lists with respect to some sort of delimiter. . For example, it can split a list every N elements, or on elements that satisfy some condition. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/split Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-split/libghc-split-doc_0.1.4.2-2_all.deb Package: libghc-split-prof Source: haskell-split Version: 0.1.4.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 314 Depends: libghc-split-dev (= 0.1.4.2-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-split-prof-0.1.4.2-ccb3b Homepage: http://hackage.haskell.org/package/split Priority: extra Section: haskell Filename: pool/main/h/haskell-split/libghc-split-prof_0.1.4.2-2_armhf.deb Size: 60838 SHA256: 1a12a060cebdd38777775f6d68a6d8a92f3a1a903b29b80bbd1078f8fca01d83 SHA1: 0a6be4899b238ee83870db676730f3479a30acfe MD5sum: 40bc4988c12af19f1017cb4f9dd3c146 Description: Haskell library for splitting lists - GHC profiling libraries This Haskell library provides a wide range of strategies and a unified combinator framework for splitting lists with respect to some sort of delimiter. . For example, it can split a list every N elements, or on elements that satisfy some condition. . This package contains the profiling libraries compiled for GHC. Package: libghc-src-exts-dev Source: haskell-src-exts Version: 1.11.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 44936 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-cpphs-dev-1.13.3-58bde, libghc-pretty-dev-1.1.1.0-7e118, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-src-exts-doc, libghc-src-exts-prof Provides: libghc-haskell-src-exts-dev-1.11.1-8957f Homepage: http://www.cs.chalmers.se/~d00nibro/haskell-src-exts/ Priority: extra Section: haskell Filename: pool/main/h/haskell-src-exts/libghc-src-exts-dev_1.11.1-3_armhf.deb Size: 7709468 SHA256: e1e054a0d40599cf7b1d9c556664291fa8197297d74c586c36ddd92fedfb7952 SHA1: b6a43160ef4a39ac06ca6c6d37120bc6f6956d59 MD5sum: 4ab5c0cf35d3f443300ecf261c4c2ede Description: Haskell-Source with eXtensions library for GHC haskell-src-exts (HSX, haskell-source with extensions) is an extension of the standard haskell-src package, and handles most common syntactic extensions to Haskell, including: * Multi-parameter type classes with functional dependencies * Indexed type families (including associated types) * Empty data declarations * GADTs * Implicit parameters (ghc and hugs style) * Template Haskell . This package contains the libraries compiled for GHC. Package: libghc-src-exts-doc Source: haskell-src-exts Version: 1.11.1-3 Installed-Size: 7250 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-src-exts-doc (<< 1.8.2-2) Provides: haskell-src-exts-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-src-exts-dev Conflicts: haskell-src-exts-doc (<< 1.8.2-2) Size: 502364 SHA256: 63c969a407fb3e8f8a7581af007b83f0287e607201c6ff79e05c5e708e176438 SHA1: 87f8952c33812c972733f09880a09a24929ba7ef MD5sum: b1fcde1a0c72dfb569c0072efa7e67dc Description: API documentation of the haskell-src-exts library haskell-src-exts (HSX, haskell-source with extensions) is an extension of the standard haskell-src package, and handles most common syntactic extensions to Haskell, including: * Multi-parameter type classes with functional dependencies * Indexed type families (including associated types) * Empty data declarations * GADTs * Implicit parameters (ghc and hugs style) * Template Haskell . This package contains the library documentation. Homepage: http://www.cs.chalmers.se/~d00nibro/haskell-src-exts/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-src-exts/libghc-src-exts-doc_1.11.1-3_all.deb Package: libghc-src-exts-prof Source: haskell-src-exts Version: 1.11.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 43516 Depends: libghc-src-exts-dev (= 1.11.1-3), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-cpphs-prof-1.13.3-58bde, libghc-pretty-prof-1.1.1.0-7e118 Provides: libghc-haskell-src-exts-prof-1.11.1-8957f Homepage: http://www.cs.chalmers.se/~d00nibro/haskell-src-exts/ Priority: extra Section: haskell Filename: pool/main/h/haskell-src-exts/libghc-src-exts-prof_1.11.1-3_armhf.deb Size: 7493548 SHA256: f4e07fcd82aab1e19baa4eb3b9d12ce12b939d1ead16cfae8c316f1251303c89 SHA1: d132a7aa8b8d2f71aa6215a8d87ffcacf49551e5 MD5sum: 27a6ae6f2225d4f79e111ef01c396709 Description: Haskell-Source with eXtensions library for GHC; profiling libraries haskell-src-exts (HSX, haskell-source with extensions) is an extension of the standard haskell-src package, and handles most common syntactic extensions to Haskell, including: * Multi-parameter type classes with functional dependencies * Indexed type families (including associated types) * Empty data declarations * GADTs * Implicit parameters (ghc and hugs style) * Template Haskell . This package contains the profiling libraries compiled for GHC. Package: libghc-statevar-dev Source: haskell-statevar Version: 1.0.0.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 107 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-statevar-doc, libghc-statevar-prof Provides: libghc-statevar-dev-1.0.0.0-a951f Homepage: http://hackage.haskell.org/package/StateVar Priority: extra Section: haskell Filename: pool/main/h/haskell-statevar/libghc-statevar-dev_1.0.0.0-2_armhf.deb Size: 11674 SHA256: 62a4bc5b0a24e607df1dbbaa0a6148e8c57b3b821769f14ef1ece320490ae6bc SHA1: 586cbbe9e3501edcc3c60e8049b4dcd7c5ac70f6 MD5sum: 3dd46cb353eacdf99f2fd5e34cfa85f5 Description: state variables in Haskell This library provides a common abstraction of stateful variables, e.g. IORef and OpenGL's StateVar. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-statevar-doc Source: haskell-statevar Version: 1.0.0.0-2 Installed-Size: 130 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-statevar-dev Size: 30708 SHA256: 7c889c86d6b0cb7cc755394a5a08d7386a458bee69e1beb27761fa0a89edc669 SHA1: 8078a9a13ea9f3535f801d62b6e9fda71e052a7f MD5sum: 8ab92990f6e8023a1cc3880b051aa04f Description: state variables in Haskell; documentation This library provides a common abstraction of stateful variables, e.g. IORef and OpenGL's StateVar. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/StateVar Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-statevar/libghc-statevar-doc_1.0.0.0-2_all.deb Package: libghc-statevar-prof Source: haskell-statevar Version: 1.0.0.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 86 Depends: libghc-statevar-dev (= 1.0.0.0-2), libghc-base-prof-4.5.0.0-d93df Provides: libghc-statevar-prof-1.0.0.0-a951f Homepage: http://hackage.haskell.org/package/StateVar Priority: extra Section: haskell Filename: pool/main/h/haskell-statevar/libghc-statevar-prof_1.0.0.0-2_armhf.deb Size: 11842 SHA256: 4496b30713d20a27ebc45aac08f5718b4752ad03aee321662e33ae1ff787c945 SHA1: ce0b1ddb7d5e5cd8e6f5d951c38c7d00efcccd08 MD5sum: ad66eedeee96d2356822b40eade09d98 Description: state variables in Haskell; profiling libraries This library provides a common abstraction of stateful variables, e.g. IORef and OpenGL's StateVar. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-static-hash-dev Source: haskell-static-hash Version: 0.0.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 162 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-hashable-dev-1.1.2.3-ea6fe, libghc-primes-dev-0.2.1.0-d5fe6, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-static-hash-doc, libghc-static-hash-prof Provides: libghc-static-hash-dev-0.0.1-083be Homepage: http://hackage.haskell.org/package/haskell-static-hash Priority: extra Section: haskell Filename: pool/main/h/haskell-static-hash/libghc-static-hash-dev_0.0.1-3_armhf.deb Size: 32930 SHA256: 998fb2a9597afe503b40142d9c72cd5279906d9e159f1989d73dcf26c686d3e4 SHA1: 7a02bffcd86a74970592eabd0c00cf2566b72b39 MD5sum: ea2d93281b47872daef9161c3bc6af61 Description: pure immutable hash Pure immutable hash whose lookup is O(1) on the average, but O(N) in the worst case. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-static-hash-doc Source: haskell-static-hash Version: 0.0.1-3 Installed-Size: 119 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hashable-doc, libghc-static-hash-dev Size: 29100 SHA256: a04fdd5962de1af73056f66b0d357f81d75334be7afc53a35b2117a8d1bf52a0 SHA1: b9efca7dc3a6164898c6f9dc413adb0343e5ea84 MD5sum: 5a56776a2eba8459fd9278e251499473 Description: pure immutable hash; documentation Pure immutable hash whose lookup is O(1) on the average, but O(N) in the worst case. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-static-hash Section: doc Priority: extra Filename: pool/main/h/haskell-static-hash/libghc-static-hash-doc_0.0.1-3_all.deb Package: libghc-static-hash-prof Source: haskell-static-hash Version: 0.0.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 139 Depends: libghc-static-hash-dev (= 0.0.1-3), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-hashable-prof-1.1.2.3-ea6fe, libghc-primes-prof-0.2.1.0-d5fe6 Provides: libghc-static-hash-prof-0.0.1-083be Homepage: http://hackage.haskell.org/package/haskell-static-hash Priority: extra Section: haskell Filename: pool/main/h/haskell-static-hash/libghc-static-hash-prof_0.0.1-3_armhf.deb Size: 29468 SHA256: 23cecdc863c551507029bd112ba01b70554f613fd01499a620fcd9128bad3f57 SHA1: 794cd01285db778d101c05215b03d889883652e8 MD5sum: bf97392df4cfc84a13010f5f227c389e Description: pure immutable hash; profiling libraries Pure immutable hash whose lookup is O(1) on the average, but O(N) in the worst case. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-statistics-dev Source: haskell-statistics Version: 0.10.1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 6698 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-erf-dev-2.0.0.0-c8dd2, libghc-math-functions-dev-0.1.1.0-c7296, libghc-monad-par-dev-0.1.0.3-11a5b, libghc-mwc-random-dev-0.11.0.0-da9cd, libghc-primitive-dev-0.4.1-342b9, libghc-vector-dev-0.9.1-8e782, libghc-vector-algorithms-dev-0.5.4-31462, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-statistics-doc, libghc-statistics-prof Provides: libghc-statistics-dev-0.10.1.0-1e316 Homepage: http://hackage.haskell.org/package/statistics Priority: extra Section: haskell Filename: pool/main/h/haskell-statistics/libghc-statistics-dev_0.10.1.0-2_armhf.deb Size: 1529116 SHA256: 6ca9bac1ed41d7c51178d247b5633f411d6ab8635ba9ec22accffc7cfc8ac7dc SHA1: 5ca4ce34592d382e08c3c137fa6761b0a85a80eb MD5sum: eb6e885c676c3101303c79cfff3b0bd4 Description: A library of statistical types, data, and functions This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides a number of common functions and types useful in statistics. Our focus is on high performance, numerical robustness, and use of good algorithms. Where possible, we provide references to the statistical literature. . The library's facilities can be divided into three broad categories: . Working with widely used discrete and continuous probability distributions. (There are dozens of exotic distributions in use; we focus on the most common.) . Computing with sample data: quantile estimation, kernel density estimation, bootstrap methods, and autocorrelation analysis. . Random variate generation under several different distributions. Package: libghc-statistics-doc Source: haskell-statistics Version: 0.10.1.0-2 Installed-Size: 1492 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-math-functions-doc, libghc-mwc-random-doc, libghc-primitive-doc, libghc-vector-algorithms-doc, libghc-vector-doc, libghc-statistics-dev Size: 178208 SHA256: d3e9620377be11557cf4a4ad06f5455fd8c7eefbf02caffafca51914cdece2e5 SHA1: 970b3daee612cfbd8f90109defe3372884dfcb1a MD5sum: 8b5e0b2e2dc0b3fe031da5ecfcc3e1ee Description: A library of statistical types, data, and functions; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides a number of common functions and types useful in statistics. Our focus is on high performance, numerical robustness, and use of good algorithms. Where possible, we provide references to the statistical literature. . The library's facilities can be divided into three broad categories: . Working with widely used discrete and continuous probability distributions. (There are dozens of exotic distributions in use; we focus on the most common.) . Computing with sample data: quantile estimation, kernel density estimation, bootstrap methods, and autocorrelation analysis. . Random variate generation under several different distributions. Homepage: http://hackage.haskell.org/package/statistics Tag: devel::doc, devel::lang:haskell, field::statistics, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-statistics/libghc-statistics-doc_0.10.1.0-2_all.deb Package: libghc-statistics-prof Source: haskell-statistics Version: 0.10.1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 6780 Depends: libghc-statistics-dev (= 0.10.1.0-2), libghc-base-prof-4.5.0.0-d93df, libghc-deepseq-prof-1.3.0.0-6c19e, libghc-erf-prof-2.0.0.0-c8dd2, libghc-math-functions-prof-0.1.1.0-c7296, libghc-monad-par-prof-0.1.0.3-11a5b, libghc-mwc-random-prof-0.11.0.0-da9cd, libghc-primitive-prof-0.4.1-342b9, libghc-vector-prof-0.9.1-8e782, libghc-vector-algorithms-prof-0.5.4-31462 Provides: libghc-statistics-prof-0.10.1.0-1e316 Homepage: http://hackage.haskell.org/package/statistics Priority: extra Section: haskell Filename: pool/main/h/haskell-statistics/libghc-statistics-prof_0.10.1.0-2_armhf.deb Size: 1554568 SHA256: 243d4ad38154d3df2086fb34f84fc43d9502092d1d3fbeecfc6d33ce564b8cbe SHA1: e8c3a232e129c0d1ffbd05c430dfb65a48e2bc89 MD5sum: e5bc99c6f3a3f476b6161b4dc517802f Description: A library of statistical types, data, and functions; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides a number of common functions and types useful in statistics. Our focus is on high performance, numerical robustness, and use of good algorithms. Where possible, we provide references to the statistical literature. . The library's facilities can be divided into three broad categories: . Working with widely used discrete and continuous probability distributions. (There are dozens of exotic distributions in use; we focus on the most common.) . Computing with sample data: quantile estimation, kernel density estimation, bootstrap methods, and autocorrelation analysis. . Random variate generation under several different distributions. Package: libghc-stm-dev Source: haskell-stm Version: 2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 349 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-stm-doc, libghc-stm-prof Provides: libghc-stm-dev-2.3-2735f Homepage: http://hackage.haskell.org/package/stm Priority: extra Section: haskell Filename: pool/main/h/haskell-stm/libghc-stm-dev_2.3-1_armhf.deb Size: 61730 SHA256: a373cf6a0f0d7ad28193d75bac040d694b861822d8729aaed8ad7ca781c4ea73 SHA1: ad8cb2bacd98db757ca583ecb591539b6be9b628 MD5sum: 38924f0abe7add033bba3b97f22c9450 Description: Haskell Software Transactional Memory library for GHC This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Provides a Haskell Software Transactional Memory (STM) library. STM is a modular composable concurrency abstraction. Package: libghc-stm-doc Source: haskell-stm Version: 2.3-1 Installed-Size: 281 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-stm-dev Size: 47628 SHA256: ac51a9ac72b20e04fb0753721251da4eb186e6b2da888736963ff3626de16245 SHA1: 6ebb6e5f0ecd7b4a8d16e62048a9ff357593c6f1 MD5sum: 1756b51c72c93625dee9571a2a127107 Description: Haskell Software Transactional Memory library for GHC; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Provides a Haskell Software Transactional Memory (STM) library. STM is a modular composable concurrency abstraction. Homepage: http://hackage.haskell.org/package/stm Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-stm/libghc-stm-doc_2.3-1_all.deb Package: libghc-stm-prof Source: haskell-stm Version: 2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 304 Depends: libghc-stm-dev (= 2.3-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df Provides: libghc-stm-prof-2.3-2735f Homepage: http://hackage.haskell.org/package/stm Priority: extra Section: haskell Filename: pool/main/h/haskell-stm/libghc-stm-prof_2.3-1_armhf.deb Size: 57608 SHA256: a830b03a4aea6eac3ff53e35baec2c9ae71b9de4ef60edd29c1fcdd8d09abdd7 SHA1: 5461c764d05b22f81ecc2ebc98f4f162f4e8d48d MD5sum: b9caffc94521f5aee95ce4e243a93e97 Description: Haskell Software Transactional Memory library for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Provides a Haskell Software Transactional Memory (STM) library. STM is a modular composable concurrency abstraction. Package: libghc-stream-dev Source: haskell-stream Version: 0.4.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 324 Depends: libghc-quickcheck-dev-2.4.2-170f1, libghc-base-dev-4.5.0.0-d93df, libghc-lazysmallcheck-dev-0.6-4541a, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-stream-doc, libghc-stream-prof Provides: libghc-stream-dev-0.4.6-b51d2 Homepage: http://hackage.haskell.org/package/Stream Priority: extra Section: haskell Filename: pool/main/h/haskell-stream/libghc-stream-dev_0.4.6-1_armhf.deb Size: 63130 SHA256: 44d5e63281f1a5966addbc28050d174d2c0af69a56046de44d0ae5096bd57e99 SHA1: fa44d0bc927919b3816e4158993ffec17bc2d0a7 MD5sum: 93a43ec0d430ba0f40e3d7268ec6db78 Description: Haskell stream (infinite list) library for GHC This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Provides functions, analogous to those from Data.List, to create and manipulate infinite lists. Package: libghc-stream-doc Source: haskell-stream Version: 0.4.6-1 Installed-Size: 248 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-quickcheck2-doc, libghc-stream-dev Size: 45098 SHA256: 3518114f70ad79cda9d2e2b80282dc30c4d8ce0e83c31623d9e7682c92150f65 SHA1: e08275499ecc76d9cd14e0feea2caaea53ac285c MD5sum: 40928679553e51a53014e9379c9b7c30 Description: Haskell stream (infinite list) library for GHC; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Provides functions, analogous to those from Data.List, to create and manipulate infinite lists. Homepage: http://hackage.haskell.org/package/Stream Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-stream/libghc-stream-doc_0.4.6-1_all.deb Package: libghc-stream-prof Source: haskell-stream Version: 0.4.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 286 Depends: libghc-stream-dev (= 0.4.6-1), libghc-quickcheck-prof-2.4.2-170f1, libghc-base-prof-4.5.0.0-d93df, libghc-lazysmallcheck-prof-0.6-4541a Provides: libghc-stream-prof-0.4.6-b51d2 Homepage: http://hackage.haskell.org/package/Stream Priority: extra Section: haskell Filename: pool/main/h/haskell-stream/libghc-stream-prof_0.4.6-1_armhf.deb Size: 59108 SHA256: f37565340b35414122c4afb3616a457d3bff893b048e2a3451c58e7ac0e2b35b SHA1: c4f82fd3b84d9a3c71f9b31f3104f9a117f17fc8 MD5sum: 8aa71fd4c13b1d051a32848ca5336ebe Description: Haskell stream (infinite list) library for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Provides functions, analogous to those from Data.List, to create and manipulate infinite lists. Package: libghc-strict-concurrency-dev Source: haskell-strict-concurrency Version: 0.2.4.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 215 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-deepseq-dev-1.3.0.0-6c19e, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-strict-concurrency-doc, libghc-strict-concurrency-prof Provides: libghc-strict-concurrency-dev-0.2.4.1-08a3b Homepage: http://hackage.haskell.org/package/strict-concurrency Priority: extra Section: haskell Filename: pool/main/h/haskell-strict-concurrency/libghc-strict-concurrency-dev_0.2.4.1-2_armhf.deb Size: 35116 SHA256: 266fd080bc1b428af6fac7e8648bc4d84215696fcf2c8f8868579711998bb7f1 SHA1: 403049231964f330438e38e62e37dc0a831b6623 MD5sum: 79164a36f2881f1b4331876005f58fc2 Description: Haskell strict concurrency abstractions - GHC libraries This package provides head normal form strict versions of some standard Haskell concurrency abstractions (MVars,Chans), which provide control over where evaluation takes place not offered by the default lazy types. This may be useful for deciding when and where evaluation occurs, leading to improved time or space use, depending on the circumstances. . This package contains the libraries compiled for GHC. Package: libghc-strict-concurrency-doc Source: haskell-strict-concurrency Version: 0.2.4.1-2 Installed-Size: 185 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-strict-concurrency-dev Size: 38898 SHA256: 8569d6549cb979902c9cf9ba745b3d9be798987869f39df8c3baee9eb6f4d7a0 SHA1: 5d994a9875be49d9865679d29e3f35424c78feb0 MD5sum: c072bbc62708239e2b1162453bcafb9f Description: Haskell strict concurrency abstractions - documentation This package provides head normal form strict versions of some standard Haskell concurrency abstractions (MVars,Chans), which provide control over where evaluation takes place not offered by the default lazy types. This may be useful for deciding when and where evaluation occurs, leading to improved time or space use, depending on the circumstances. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/strict-concurrency Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-strict-concurrency/libghc-strict-concurrency-doc_0.2.4.1-2_all.deb Package: libghc-strict-concurrency-prof Source: haskell-strict-concurrency Version: 0.2.4.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 185 Depends: libghc-strict-concurrency-dev (= 0.2.4.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-deepseq-prof-1.3.0.0-6c19e Provides: libghc-strict-concurrency-prof-0.2.4.1-08a3b Homepage: http://hackage.haskell.org/package/strict-concurrency Priority: extra Section: haskell Filename: pool/main/h/haskell-strict-concurrency/libghc-strict-concurrency-prof_0.2.4.1-2_armhf.deb Size: 32790 SHA256: 38867459a0f834fd059464eac5e54704dbe5fb38ffafff7fbd60aa2ab2e77973 SHA1: ed7a679d123d99d009e8fedc40ca52be178dcd20 MD5sum: 015cd9774ac3d85b29a7ad3b5184c72e Description: Haskell strict concurrency abstractions - GHC profiling libraries This package provides head normal form strict versions of some standard Haskell concurrency abstractions (MVars,Chans), which provide control over where evaluation takes place not offered by the default lazy types. This may be useful for deciding when and where evaluation occurs, leading to improved time or space use, depending on the circumstances. . This package contains the profiling libraries compiled for GHC. Package: libghc-strict-dev Source: haskell-strict Version: 0.3.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 435 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-strict-doc, libghc-strict-prof Provides: libghc-strict-dev-0.3.2-8fa90 Homepage: http://hackage.haskell.org/package/strict Priority: extra Section: haskell Filename: pool/main/h/haskell-strict/libghc-strict-dev_0.3.2-2_armhf.deb Size: 86640 SHA256: 0e8504310f78de99d52dca469ebdfb1da045de926ef321c1b4ed387de318c01d SHA1: c2de24b811d62bf31684a5652bf83b031070d0f2 MD5sum: b909fc688b587aff3db83b4b2f3f6033 Description: Strict variants of standard Haskell datatypes This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides strict versions of some standard Haskell data types (pairs, Maybe and Either). It also contains strict IO operations. Package: libghc-strict-doc Source: haskell-strict Version: 0.3.2-2 Installed-Size: 179 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-strict-dev Size: 36720 SHA256: 8071b4d39590520be9088c6c4582e9aa6ee4bc717fd7ed5ac271623397ed7255 SHA1: 9a2174cdde88f58f4509220cce6c4d7df44dd9e6 MD5sum: e34fde08578fe4e658300f2e165318f7 Description: Strict variants of standard Haskell datatypes; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides strict versions of some standard Haskell data types (pairs, Maybe and Either). It also contains strict IO operations. Homepage: http://hackage.haskell.org/package/strict Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-strict/libghc-strict-doc_0.3.2-2_all.deb Package: libghc-strict-prof Source: haskell-strict Version: 0.3.2-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 397 Depends: libghc-strict-dev (= 0.3.2-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df Provides: libghc-strict-prof-0.3.2-8fa90 Homepage: http://hackage.haskell.org/package/strict Priority: extra Section: haskell Filename: pool/main/h/haskell-strict/libghc-strict-prof_0.3.2-2_armhf.deb Size: 83674 SHA256: e2ba9ed58c560340b8aab7a8ac2fc8c6f258be3e3947221a97faa5fa96e99156 SHA1: 441f08816c0d02f87a70f9c81dc15a75312f0404 MD5sum: 8f8c4e21aec1f275e7ea60550b2101eb Description: Strict variants of standard Haskell datatypes; profiling data This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package provides strict versions of some standard Haskell data types (pairs, Maybe and Either). It also contains strict IO operations. Package: libghc-strptime-dev Source: haskell-strptime Version: 1.0.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 175 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-time-dev-1.4-ec63b, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-strptime-doc, libghc-strptime-prof Provides: libghc-strptime-dev-1.0.6-4df05 Homepage: http://hackage.haskell.org/package/strptime Priority: extra Section: haskell Filename: pool/main/h/haskell-strptime/libghc-strptime-dev_1.0.6-1_armhf.deb Size: 37514 SHA256: 0ee78722a7d9fcfc195629f024fab3e6d290f5454d663b4653f1f1fa37888da1 SHA1: 2a00bbd1ada457033f5fc152aac1e8e1aa9f625d MD5sum: 54c0af35588afcfcf498786804ad9998 Description: Efficient parsing of LocalTime using C's strptime This library provides a binding to strptime, that allows one to parse dates and times from strings and strict/lazy bytestrings. The library creates LocalTime values. It provides some extra features which the original strptime lacks - parsing of fractional seconds and parsing of ten-powers of seconds since epoch (for example milliseconds since epoch). . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-strptime-doc Source: haskell-strptime Version: 1.0.6-1 Installed-Size: 123 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-strptime-dev Size: 31948 SHA256: 67ad8b39333f0165bec5c0d3ffce4e54225600445d32ac09fe1096a41aff7f74 SHA1: b4055a29a46d62c9ae30b6f13bd149e8c5e9b47c MD5sum: f77385804e1859687ac0d362f07c9438 Description: Efficient parsing of LocalTime using C's strptime; documentation This library provides a binding to strptime, that allows one to parse dates and times from strings and strict/lazy bytestrings. The library creates LocalTime values. It provides some extra features which the original strptime lacks - parsing of fractional seconds and parsing of ten-powers of seconds since epoch (for example milliseconds since epoch). . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/strptime Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-strptime/libghc-strptime-doc_1.0.6-1_all.deb Package: libghc-strptime-prof Source: haskell-strptime Version: 1.0.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 138 Depends: libghc-strptime-dev (= 1.0.6-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-time-prof-1.4-ec63b Provides: libghc-strptime-prof-1.0.6-4df05 Homepage: http://hackage.haskell.org/package/strptime Priority: extra Section: haskell Filename: pool/main/h/haskell-strptime/libghc-strptime-prof_1.0.6-1_armhf.deb Size: 28490 SHA256: 0a39d7edfe3f2627acb6c7c70185dfd70a8c9edd55f11d979f83358722093596 SHA1: 97bb130663b4053b593389faf2034e505f059395 MD5sum: ad32ff3a83a5861d8899f77a8af45f14 Description: Efficient parsing of LocalTime using C's strptime; profiling libraries This library provides a binding to strptime, that allows one to parse dates and times from strings and strict/lazy bytestrings. The library creates LocalTime values. It provides some extra features which the original strptime lacks - parsing of fractional seconds and parsing of ten-powers of seconds since epoch (for example milliseconds since epoch). . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-svgcairo-dev Source: haskell-svgcairo Version: 0.12.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 174 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-cairo-dev-0.12.3-e5398, libghc-glib-dev-0.12.2-64951, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgmp10, librsvg2-2 (>= 2.14.4), zlib1g (>= 1:1.1.4), librsvg2-dev Suggests: svgcairo, libghc-svgcairo-doc, libghc-svgcairo-prof Provides: libghc-svgcairo-dev-0.12.1-ff817 Homepage: http://hackage.haskell.org/package/svgcairo Priority: extra Section: haskell Filename: pool/main/h/haskell-svgcairo/libghc-svgcairo-dev_0.12.1-1_armhf.deb Size: 32256 SHA256: 4bffff721ac09c4f1d1c682daa07c9bdb0d5efbda972ec17cdf76187c21adeff SHA1: cb64327ab7de16e89f6a97690dbbce72df33ea53 MD5sum: 20b2893a55fbdbdc26f812033fc3f16c Description: Binding to the libsvg-cairo library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Svgcairo is used to render SVG with cairo. Package: libghc-svgcairo-doc Source: haskell-svgcairo Version: 0.12.1-1 Installed-Size: 157 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-cairo-doc, libghc-glib-doc, libghc-svgcairo-dev Size: 36362 SHA256: 965163c2beefad0cd4e558dc43fef5e11f325bc13e73388f4ad4c7e5cfae7ce3 SHA1: 9772077b92ceb9a010fcaa0e01148f8cea9735b0 MD5sum: f9e6bc014cfa9a8c6c279d2afebf1a40 Description: Binding to the libsvg-cairo library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Svgcairo is used to render SVG with cairo. Homepage: http://hackage.haskell.org/package/svgcairo Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-svgcairo/libghc-svgcairo-doc_0.12.1-1_all.deb Package: libghc-svgcairo-prof Source: haskell-svgcairo Version: 0.12.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 144 Depends: libghc-svgcairo-dev (= 0.12.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-cairo-prof-0.12.3-e5398, libghc-glib-prof-0.12.2-64951, libghc-mtl-prof-2.1.1-87121 Provides: libghc-svgcairo-prof-0.12.1-ff817 Homepage: http://hackage.haskell.org/package/svgcairo Priority: extra Section: haskell Filename: pool/main/h/haskell-svgcairo/libghc-svgcairo-prof_0.12.1-1_armhf.deb Size: 27858 SHA256: 237ce590d334e94040a811466692de09536d11cd6a7b934dd9688d72d5f8e755 SHA1: 7c86db5c3af3cb616e23df5b68f984f79b6ae8a7 MD5sum: b837757215d3d1049a41ed392b54b897 Description: Binding to the libsvg-cairo library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Svgcairo is used to render SVG with cairo. Package: libghc-syb-dev Source: haskell-syb Version: 0.3.6.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 893 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-syb-doc, libghc-syb-prof Provides: libghc-syb-dev-0.3.6.1-ad588 Homepage: http://hackage.haskell.org/package/syb Priority: extra Section: haskell Filename: pool/main/h/haskell-syb/libghc-syb-dev_0.3.6.1-1_armhf.deb Size: 166812 SHA256: 8c844ef7da9258701c492e78faff7b81560360c56abe25206ed8ce69fff73dbb SHA1: 80e8ce9fafd243c4220c0fa669f065fc73e1d809 MD5sum: b3d36bd25a0385fa66a8430e0aa47666 Description: Generic programming library for Haskell This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package contains the generics system known as "Scrap Your Boilerplate". It defines the "Data" class of types permitting folding and unfolding of constructor applications, instances of this class for primitive types, and a variety of traversals. Package: libghc-syb-doc Source: haskell-syb Version: 0.3.6.1-1 Installed-Size: 556 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-syb-dev Size: 70082 SHA256: c104969fe5ca8dbb5370527f2737d9f5550b608924b57da44a6eed0fa39805b8 SHA1: 4b19ade9bb1737fca7996a9340ce7abad841c14f MD5sum: b7e038926a63b9d5390d99ef49ded803 Description: Generic programming library for Haskell; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package contains the generics system known as "Scrap Your Boilerplate". It defines the "Data" class of types permitting folding and unfolding of constructor applications, instances of this class for primitive types, and a variety of traversals. Homepage: http://hackage.haskell.org/package/syb Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-syb/libghc-syb-doc_0.3.6.1-1_all.deb Package: libghc-syb-prof Source: haskell-syb Version: 0.3.6.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 834 Depends: libghc-syb-dev (= 0.3.6.1-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-syb-prof-0.3.6.1-ad588 Homepage: http://hackage.haskell.org/package/syb Priority: extra Section: haskell Filename: pool/main/h/haskell-syb/libghc-syb-prof_0.3.6.1-1_armhf.deb Size: 170092 SHA256: f6fa9a985f2133eb52e535c6d6bfc600149231f26576a1b1756522c18e6f7a40 SHA1: 05e368e6160c726a6a32b9dfadfb0b4f43ecc75f MD5sum: b7ed51ed1b37536ed10215a48e0b53a6 Description: Generic programming library for Haskell; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package contains the generics system known as "Scrap Your Boilerplate". It defines the "Data" class of types permitting folding and unfolding of constructor applications, instances of this class for primitive types, and a variety of traversals. Package: libghc-syb-with-class-doc Source: haskell-syb-with-class Version: 0.6.1.3-1 Installed-Size: 540 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-syb-with-class-dev Size: 65864 SHA256: a820586cda4dad4ac25622c453fe17358f88e2508f7a91eea72de6d28ec01a4d SHA1: 07435807b71be23f9de126ac377b1c6059e4f036 MD5sum: a40602ca5bf760a1271b857d123da73a Description: Haskell library for generic programming; documentation The "Scrap your boilerplate" approach is a lightweight generic programming approach for Haskell. Using this approach, you can write generic functions such as traversal schemes (e.g., everywhere and everything), as well as generic read, generic show and generic equality (i.e., gread, gshow, and geq). This approach is based on just a few primitives for type-safe cast and processing constructor applications. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/syb-with-class Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-syb-with-class/libghc-syb-with-class-doc_0.6.1.3-1_all.deb Package: libghc-syb-with-class-instances-text-doc Source: haskell-syb-with-class-instances-text Version: 0.0.1-3 Installed-Size: 95 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: libghc-syb-with-class-instances-text-dev Size: 27242 SHA256: 96bcde58b59c29ee6c100f515777a1dfbd1c6c10caa0f1b48800aca04428843e SHA1: f2f8691e368ae16a9da916bfae2f31acbae533e4 MD5sum: fc66913aad5333037ab2a22327844479 Description: Haskell Text instance for SYB with Class - documentation This package provides Haskell instances of the class Text for the Scrap Your Boilerplate with Class system. . The Scrap Your Boilerplate approach is a lightweight generic programming approach for Haskell. See package haskell-syb-with-class for more information. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/syb-with-class-instances-text Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-syb-with-class-instances-text/libghc-syb-with-class-instances-text-doc_0.0.1-3_all.deb Package: libghc-system-fileio-dev Source: haskell-system-fileio Version: 0.3.8-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 516 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-system-filepath-dev-0.4.6-0b7ed, libghc-text-dev-0.11.2.0-05a46, libghc-time-dev-1.4-ec63b, libghc-unix-dev-2.5.1.0-77272 Suggests: libghc-system-fileio-doc, libghc-system-fileio-prof Provides: libghc-system-fileio-dev-0.3.8-b4bce Homepage: http://hackage.haskell.org/package/system-fileio Priority: extra Section: haskell Filename: pool/main/h/haskell-system-fileio/libghc-system-fileio-dev_0.3.8-1_armhf.deb Size: 113024 SHA256: 4b6b41e3f7f9cf19653766df8bdaa4bc7a823662074d84380ff4e5f7516e795c SHA1: ca76e5185f9191013bb1307126f17cb3b86520f4 MD5sum: d1c4fd752ac8b6c3a80fdc1e3f57f457 Description: consistent filesystem interaction across GHC versions This is a small wrapper around the "directory", "unix", and "Win32" packages, for use with "system-filepath". It provides a consistent API to the various versions of these packages distributed with different versions of GHC. . In particular, this library supports working with POSIX files that have paths which can't be decoded in the current locale encoding. . This package contains the normal library files. Package: libghc-system-fileio-doc Source: haskell-system-fileio Version: 0.3.8-1 Installed-Size: 308 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-system-filepath-doc, libghc-text-doc, libghc-system-fileio-dev Size: 51152 SHA256: 6bd400dfa27ebebbcee7a974f3e37f7a6afa8b30011f7afac75dcb3976801e63 SHA1: 43c0c6dadec59fa8a62c86e0a22291a27fbd6be9 MD5sum: 4de6ffada2cc70f7764006bfac946e77 Description: consistent filesystem interaction across GHC versions; documentation This is a small wrapper around the "directory", "unix", and "Win32" packages, for use with "system-filepath". It provides a consistent API to the various versions of these packages distributed with different versions of GHC. . In particular, this library supports working with POSIX files that have paths which can't be decoded in the current locale encoding. . This package contains the documentation files. Homepage: http://hackage.haskell.org/package/system-fileio Section: doc Priority: extra Filename: pool/main/h/haskell-system-fileio/libghc-system-fileio-doc_0.3.8-1_all.deb Package: libghc-system-fileio-prof Source: haskell-system-fileio Version: 0.3.8-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 430 Depends: libghc-system-fileio-dev (= 0.3.8-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-system-filepath-prof-0.4.6-0b7ed, libghc-text-prof-0.11.2.0-05a46, libghc-time-prof-1.4-ec63b, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-system-fileio-prof-0.3.8-b4bce Homepage: http://hackage.haskell.org/package/system-fileio Priority: extra Section: haskell Filename: pool/main/h/haskell-system-fileio/libghc-system-fileio-prof_0.3.8-1_armhf.deb Size: 92514 SHA256: 0a86cbeb12cc9b3692186615bd51e86956807304e6d907bfd38d5fcc76d54e50 SHA1: 137c67d5ae353686ffc42e7d4ffc45fb4160aab5 MD5sum: 4dc97803ebd15e04453612dea6c4a52e Description: consistent filesystem interaction across GHC versions; profiling libraries This is a small wrapper around the "directory", "unix", and "Win32" packages, for use with "system-filepath". It provides a consistent API to the various versions of these packages distributed with different versions of GHC. . In particular, this library supports working with POSIX files that have paths which can't be decoded in the current locale encoding. . This package contains the libraries compiled with profiling enabled. Package: libghc-system-filepath-dev Source: haskell-system-filepath Version: 0.4.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1729 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-system-filepath-doc, libghc-system-filepath-prof Provides: libghc-system-filepath-dev-0.4.6-0b7ed Homepage: http://hackage.haskell.org/package/haskell-system-filepath Priority: extra Section: haskell Filename: pool/main/h/haskell-system-filepath/libghc-system-filepath-dev_0.4.6-1_armhf.deb Size: 349052 SHA256: fe44c41786a5d9c54b78c6148d99742eee35754a8b33b2db3721802dffef8dc1 SHA1: 3f3a172c033e9d86806478a31a1268b5b27f6968 MD5sum: 4eaf19f3533821b8835c1a2dd24955f9 Description: high-level, byte-based file and directory path manipulations Functions for portable file path manipulation. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-system-filepath-doc Source: haskell-system-filepath Version: 0.4.6-1 Installed-Size: 373 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-system-filepath-dev Size: 53758 SHA256: b90b69b9cdbce011f8c9a94c21c0ee21c2bc126e9004385d8c4b431171fc246f SHA1: 5e1d92ddb08299f5689b615e61a2b89b0838be98 MD5sum: f8c8de9e6cb39e563ea13abe76e7a3e5 Description: high-level, byte-based file and directory path manipulations; documentation Functions for portable file path manipulation. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-system-filepath Section: doc Priority: extra Filename: pool/main/h/haskell-system-filepath/libghc-system-filepath-doc_0.4.6-1_all.deb Package: libghc-system-filepath-prof Source: haskell-system-filepath Version: 0.4.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1415 Depends: libghc-system-filepath-dev (= 0.4.6-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-deepseq-prof-1.3.0.0-6c19e, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-system-filepath-prof-0.4.6-0b7ed Homepage: http://hackage.haskell.org/package/haskell-system-filepath Priority: extra Section: haskell Filename: pool/main/h/haskell-system-filepath/libghc-system-filepath-prof_0.4.6-1_armhf.deb Size: 295444 SHA256: 003f930bc022451ff625ef95ebdb1ed742a3a25cfe436a959d5c33a4c71130bc SHA1: 66ec74effe1281b3353a7f7d8fd7dc701f13bc9e MD5sum: 341100c4ae3d9919dbfd98df18008740 Description: high-level, byte-based file and directory path manipulations; profiling libs Functions for portable file path manipulation. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-tagged-dev Source: haskell-tagged Version: 0.4.2.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 641 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-tagged-doc, libghc-tagged-prof Provides: libghc-tagged-dev-0.4.2.1-27a41 Homepage: http://hackage.haskell.org/package/tagged Priority: extra Section: haskell Filename: pool/main/h/haskell-tagged/libghc-tagged-dev_0.4.2.1-1_armhf.deb Size: 113822 SHA256: ed3ed22c09adf1e5bd6fa2d75821c469bb6f9a5c12f03efeeff3226144241dab SHA1: 3ed4a50ada0021cde6f30f26a4003a66736f4ac7 MD5sum: 2f04f3465e344d50692340581610d4de Description: newtype wrappers for phantom types This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides newtype wrappers for phantom types to avoid unsafely passing dummy arguments. Package: libghc-tagged-doc Source: haskell-tagged Version: 0.4.2.1-1 Installed-Size: 186 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-tagged-dev Size: 36666 SHA256: c40e3aae89199c61d95e09be6dd8417c3672863ddeaec6311ba4558dec2d71e1 SHA1: 313252515c79d653247ec9179ffba06a636778d3 MD5sum: 7c7d3d39cb9538366ad99d64d26db2ad Description: newtype wrappers for phantom types; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides newtype wrappers for phantom types to avoid unsafely passing dummy arguments. Homepage: http://hackage.haskell.org/package/tagged Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-tagged/libghc-tagged-doc_0.4.2.1-1_all.deb Package: libghc-tagged-prof Source: haskell-tagged Version: 0.4.2.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 586 Depends: libghc-tagged-dev (= 0.4.2.1-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-tagged-prof-0.4.2.1-27a41 Homepage: http://hackage.haskell.org/package/tagged Priority: extra Section: haskell Filename: pool/main/h/haskell-tagged/libghc-tagged-prof_0.4.2.1-1_armhf.deb Size: 112252 SHA256: 5d2fa225b988361e60f237fd153cb3aaecadf4b2f655ce4a5a9f4be867520323 SHA1: c5122e8383fef396ddedc48732daa81e7b895cab MD5sum: 545444cf31c9040d960960542349fcfb Description: newtype wrappers for phantom types; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It provides newtype wrappers for phantom types to avoid unsafely passing dummy arguments. Package: libghc-tagsoup-dev Source: haskell-tagsoup Version: 0.12.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2106 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-network-dev-2.3.0.13-9c99a, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-tagsoup-doc, libghc-tagsoup-prof Provides: libghc-tagsoup-dev-0.12.6-48a49 Homepage: http://www-users.cs.york.ac.uk/~ndm/tagsoup/ Priority: extra Section: haskell Filename: pool/main/h/haskell-tagsoup/libghc-tagsoup-dev_0.12.6-1_armhf.deb Size: 435830 SHA256: e737bbe2192159955e1875d32aa783febd5c89b3e1a69a9a17e84a9c28c7e1bf SHA1: 81649f43d4435b62fb2455a8e364977051232e7a MD5sum: 4d7ab9839a2cd63123f954b278cb4421 Description: Haskell library to robustly parse unstructured HTML TagSoup extracts information out of unstructured HTML code, sometimes known as tag soup. TagSoup does not require well-formed or standards-compliant HTML, or HTML that renders correctly in any particular rendering engine. TagSoup transforms HTML into a list of open tags with attributes, close tags, and text, but makes no attempt to group these together into any kind of structure. . This package it not related to the Java TagSoup library. Package: libghc-tagsoup-doc Source: haskell-tagsoup Version: 0.12.6-1 Installed-Size: 655 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-tagsoup-dev Size: 93864 SHA256: 52a2e211d81019e8b4e0383f915b223d36e18bfd3a4695120177220c2b278c20 SHA1: 20a551e76f816c7c6f7a67bfb13f9d53365843e2 MD5sum: fb95289a7ca676b8a03d7b68d93e982d Description: Documentation for Haskell TagSoup library TagSoup extracts information out of unstructured HTML code, sometimes known as tag soup. TagSoup does not require well-formed or standards-compliant HTML, or HTML that renders correctly in any particular rendering engine. TagSoup transforms HTML into a list of open tags with attributes, close tags, and text, but makes no attempt to group these together into any kind of structure. . This package contains the API documentation and example for the TagSoup library. Homepage: http://www-users.cs.york.ac.uk/~ndm/tagsoup/ Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::html Section: doc Priority: extra Filename: pool/main/h/haskell-tagsoup/libghc-tagsoup-doc_0.12.6-1_all.deb Package: libghc-tagsoup-prof Source: haskell-tagsoup Version: 0.12.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1899 Depends: libghc-tagsoup-dev (= 0.12.6-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-network-prof-2.3.0.13-9c99a, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-tagsoup-prof-0.12.6-48a49 Homepage: http://www-users.cs.york.ac.uk/~ndm/tagsoup/ Priority: extra Section: haskell Filename: pool/main/h/haskell-tagsoup/libghc-tagsoup-prof_0.12.6-1_armhf.deb Size: 408908 SHA256: 7e339092353a2cb2e294fb72ecc798a7205f869711d8a2aafd59b7865a942433 SHA1: 7b30eeb76d5359e2785bcc30ca26f572f48a586b MD5sum: 368fad12d66dff37c3da019390cdd061 Description: Profiling support for TagSoup Haskell library TagSoup extracts information out of unstructured HTML code, sometimes known as tag soup. TagSoup does not require well-formed or standards-compliant HTML, or HTML that renders correctly in any particular rendering engine. TagSoup transforms HTML into a list of open tags with attributes, close tags, and text, but makes no attempt to group these together into any kind of structure. . This package contains the profiling support for the TagSoup library. Package: libghc-tagstream-conduit-dev Source: haskell-tagstream-conduit Version: 0.3.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 658 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-blaze-builder-conduit-dev-0.4.0.2-11a67, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-conduit-dev-0.4.2-2f3e1 Suggests: libghc-tagstream-conduit-doc, libghc-tagstream-conduit-prof Provides: libghc-tagstream-conduit-dev-0.3.2-09611 Homepage: http://hackage.haskell.org/package/tagstream-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-tagstream-conduit/libghc-tagstream-conduit-dev_0.3.2-1_armhf.deb Size: 147890 SHA256: 0a145574137ac4fdca2457507b53a60e073e0c69588723ea9994aff481826e4d SHA1: 0621d5e1a706ea2d5ea7d6d934f3637af33b5a31 MD5sum: c66f4f0b1c96e23e3bb810a5514f9685 Description: streamlined HTML tag parser Tag-stream is a library for parsing HTMLXML to a token stream. It can parse unstructured and malformed HTML from the web. It also provides an Enumeratee which can parse streamline html, which means it consumes constant memory. . This package contains the normal library files. Package: libghc-tagstream-conduit-doc Source: haskell-tagstream-conduit Version: 0.3.2-1 Installed-Size: 161 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-attoparsec-doc, libghc-blaze-builder-doc, libghc-conduit-doc, libghc-tagstream-conduit-dev Size: 38758 SHA256: 01ecab993710fcb950c729ab0f3ade9992c444c02d5aa95f3d7d7c72b20d9bfc SHA1: be8a9cfd59965b76ee3f34acd3ad43c2791b019f MD5sum: 5bdea3fdb369174ce1ee1045cafe180c Description: streamlined HTML tag parser; documentation Tag-stream is a library for parsing HTMLXML to a token stream. It can parse unstructured and malformed HTML from the web. It also provides an Enumeratee which can parse streamline html, which means it consumes constant memory. . This package contains the documentation files. Homepage: http://hackage.haskell.org/package/tagstream-conduit Section: doc Priority: extra Filename: pool/main/h/haskell-tagstream-conduit/libghc-tagstream-conduit-doc_0.3.2-1_all.deb Package: libghc-tagstream-conduit-prof Source: haskell-tagstream-conduit Version: 0.3.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 604 Depends: libghc-tagstream-conduit-dev (= 0.3.2-1), libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-blaze-builder-conduit-prof-0.4.0.2-11a67, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-conduit-prof-0.4.2-2f3e1 Provides: libghc-tagstream-conduit-prof-0.3.2-09611 Homepage: http://hackage.haskell.org/package/tagstream-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-tagstream-conduit/libghc-tagstream-conduit-prof_0.3.2-1_armhf.deb Size: 136222 SHA256: 23aebf9b5a6ea16c622b2c63e1c7348f4eaa333f457134d9b7e5f466f3639b56 SHA1: 6cb20ac2e32232cfdac57f872485ac643383a33b MD5sum: 2f59805f387fb674e46fb5c89eb499fc Description: streamlined HTML tag parser; profiling libraries Tag-stream is a library for parsing HTMLXML to a token stream. It can parse unstructured and malformed HTML from the web. It also provides an Enumeratee which can parse streamline html, which means it consumes constant memory. . This package contains the libraries compiled with profiling enabled. Package: libghc-tar-dev Source: haskell-tar Version: 0.3.2.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 645 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-old-time-dev-1.1.0.0-2a9ba, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-tar-doc, libghc-tar-prof Provides: libghc-tar-dev-0.3.2.0-5d15e Homepage: http://hackage.haskell.org/package/tar Priority: extra Section: haskell Filename: pool/main/h/haskell-tar/libghc-tar-dev_0.3.2.0-2_armhf.deb Size: 145120 SHA256: 286fa81554013e997eecef2fa24af4df58390987b7f5529a60680bad2f0eb317 SHA1: a066af017faa41fac6617ce25415e77ca64d7c11 MD5sum: b37543cfd5db5f590fc6fcd55377eb4b Description: reading, writing and manipulating ".tar" archive files This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library is for working with ".tar" archive files. It can read and write a range of common variations of archive format including V7, USTAR, POSIX and GNU formats. It provides support for packing and unpacking portable archives. This makes it suitable for distribution but not backup because details like file ownership and exact permissions are not preserved. Package: libghc-tar-doc Source: haskell-tar Version: 0.3.2.0-2 Installed-Size: 476 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-tar-dev Size: 77856 SHA256: dd568445946226aa359fa37cfa0149379da38873d1b6ad06a1ff665e26884fba SHA1: 40855ad6faa35f78a7cc2fd4edaa56c8316e74c3 MD5sum: 71d4ad03e9f8339f7d0bf8aa6eb83e33 Description: reading, writing and manipulating ".tar" archive files; documentation This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library is for working with ".tar" archive files. It can read and write a range of common variations of archive format including V7, USTAR, POSIX and GNU formats. It provides support for packing and unpacking portable archives. This makes it suitable for distribution but not backup because details like file ownership and exact permissions are not preserved. Homepage: http://hackage.haskell.org/package/tar Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::tar, works-with::archive Section: doc Priority: extra Filename: pool/main/h/haskell-tar/libghc-tar-doc_0.3.2.0-2_all.deb Package: libghc-tar-prof Source: haskell-tar Version: 0.3.2.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 568 Depends: libghc-tar-dev (= 0.3.2.0-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-old-time-prof-1.1.0.0-2a9ba Provides: libghc-tar-prof-0.3.2.0-5d15e Homepage: http://hackage.haskell.org/package/tar Priority: extra Section: haskell Filename: pool/main/h/haskell-tar/libghc-tar-prof_0.3.2.0-2_armhf.deb Size: 130366 SHA256: cf96fc6fa923004b12dbff8f3a60a2af8c556293ca2f31b3989be347bbc4e177 SHA1: d56847b4d0919c24d509a07ac7a98f133225c5ec MD5sum: 27be5262633eb6ce8baa7ebd9996812b Description: reading, writing and manipulating ".tar" archive files; profiling libraries This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library is for working with ".tar" archive files. It can read and write a range of common variations of archive format including V7, USTAR, POSIX and GNU formats. It provides support for packing and unpacking portable archives. This makes it suitable for distribution but not backup because details like file ownership and exact permissions are not preserved. Package: libghc-template-dev Source: haskell-template Version: 0.2.0.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 362 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-mtl-dev-2.1.1-87121, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-template-doc, libghc-template-prof Provides: libghc-template-dev-0.2.0.7-bb9e4 Homepage: http://hackage.haskell.org/package/template Priority: extra Section: haskell Filename: pool/main/h/haskell-template/libghc-template-dev_0.2.0.7-1_armhf.deb Size: 78714 SHA256: ffdc2982cc4c25ce4369283fa643c47db6bfdfa0354c1a5fd59d3990f4830cf2 SHA1: 98b35082bc954477dda56ab95cc15cce8b28ad12 MD5sum: 7b640e6ce7149277bd573a2af3c671d9 Description: string substitution library This is a simple string substitution library that supports "$"-based substitution. It is meant to be used when Text.Printf or string concatenation would lead to code that is hard to read but when a full blown templating system is overkill. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-template-doc Source: haskell-template Version: 0.2.0.7-1 Installed-Size: 178 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-template-dev Size: 37354 SHA256: 66a383b3033363b0f7210f2a4dbc1c2179666766969bea9f5ec23e3b41f57bc2 SHA1: 38c05ad79b87fbef851ff08169dfb79ec082e2f5 MD5sum: f24e05e7733af1d7b1a92fa54eefc5f9 Description: string substitution library; documentation This is a simple string substitution library that supports "$"-based substitution. It is meant to be used when Text.Printf or string concatenation would lead to code that is hard to read but when a full blown templating system is overkill. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/template Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-template/libghc-template-doc_0.2.0.7-1_all.deb Package: libghc-template-prof Source: haskell-template Version: 0.2.0.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 320 Depends: libghc-template-dev (= 0.2.0.7-1), libghc-base-prof-4.5.0.0-d93df, libghc-mtl-prof-2.1.1-87121, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-template-prof-0.2.0.7-bb9e4 Homepage: http://hackage.haskell.org/package/template Priority: extra Section: haskell Filename: pool/main/h/haskell-template/libghc-template-prof_0.2.0.7-1_armhf.deb Size: 68558 SHA256: 2bd9e60cb5ab9cb59aa8198baee34b8e5ed8541d971cd4321f8b226a2f846253 SHA1: 10c3c4e6e55e4cb6e4b45f7c65ee70f57bc09ffc MD5sum: 359c7a2e0026be100f6e46b53c95c008 Description: string substitution library; profiling libraries This is a simple string substitution library that supports "$"-based substitution. It is meant to be used when Text.Printf or string concatenation would lead to code that is hard to read but when a full blown templating system is overkill. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-temporary-dev Source: haskell-temporary Version: 1.1.2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 176 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-temporary-doc, libghc-temporary-prof Provides: libghc-temporary-dev-1.1.2.3-3fccd Homepage: http://hackage.haskell.org/package/temporary Priority: extra Section: haskell Filename: pool/main/h/haskell-temporary/libghc-temporary-dev_1.1.2.3-1_armhf.deb Size: 32380 SHA256: 8b55e5fb0c09377d44ac50077fed89d6220508d536baef8e726c47adeb908c3c SHA1: a149ccd1ccef85c2188b98c9779931fbd368d1cc MD5sum: e42d81c3a979a89d136d6a809f210cf8 Description: Temporary files and directories This library provide functions to create temporary files and directories. The code comes from the Cabal library, and is extracted into this package so that it can be used idependently of Cabal. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-temporary-doc Source: haskell-temporary Version: 1.1.2.3-1 Installed-Size: 169 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-temporary-dev Size: 35258 SHA256: 53e34126cc7112185beb03fcf66db9f0cea234ba4a3948ddbe8f4128871c2f8c SHA1: 41a97af20b891449e1d14df3748435963cd09b36 MD5sum: 95a8739dc2557453d59f87a549e3fc76 Description: Temporary files and directories; documentation This library provide functions to create temporary files and directories. The code comes from the Cabal library, and is extracted into this package so that it can be used idependently of Cabal. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/temporary Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-temporary/libghc-temporary-doc_1.1.2.3-1_all.deb Package: libghc-temporary-prof Source: haskell-temporary Version: 1.1.2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 154 Depends: libghc-temporary-dev (= 1.1.2.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-temporary-prof-1.1.2.3-3fccd Homepage: http://hackage.haskell.org/package/temporary Priority: extra Section: haskell Filename: pool/main/h/haskell-temporary/libghc-temporary-prof_1.1.2.3-1_armhf.deb Size: 28878 SHA256: 928b297d5ceda67ae8ca7930f5070251207d28b642a7c01ae58203dd39e9f5de SHA1: 8ca458295470251b44c6024079bbf8c6e8121582 MD5sum: 75e13005b6f1b980e62d44b4de47981e Description: Temporary files and directories; profiling libraries This library provide functions to create temporary files and directories. The code comes from the Cabal library, and is extracted into this package so that it can be used idependently of Cabal. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-terminfo-dev Source: haskell-terminfo Version: 0.3.2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 849 Depends: libncurses5-dev, libghc-base-dev-4.5.0.0-d93df, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libncurses5 (>= 5.5-5~), libtinfo5 Suggests: libghc-terminfo-doc, libghc-terminfo-prof Provides: libghc-terminfo-dev-0.3.2.3-8ee22 Homepage: http://hackage.haskell.org/cgi-bin/terminfo/package/terminfo Priority: extra Section: haskell Filename: pool/main/h/haskell-terminfo/libghc-terminfo-dev_0.3.2.3-1_armhf.deb Size: 164716 SHA256: 32564a500090942421756e865e8b6a0d1783446834942c1c743609b8b9f2a436 SHA1: 15f2f92b8541f5ac4223d708869e477bca147e66 MD5sum: 216a7d04816ad513b047810168ff865e Description: Haskell bindings to the terminfo library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides an interface to the terminfo database (via bindings to the curses library). Terminfo allows POSIX systems to interact with a variety of terminals using a standard set of capabilities. Package: libghc-terminfo-doc Source: haskell-terminfo Version: 0.3.2.3-1 Installed-Size: 415 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-terminfo-dev Size: 65996 SHA256: 2ed5ebb3286af5f6b72b3ef446ae659e952a02fc50396a53b4d119a47bf91542 SHA1: 69126f803cea40f1a58cf33f1e73a31c70b12e19 MD5sum: b06a5fa06ec8f20c63138168f6bcf874 Description: Haskell bindings to the terminfo library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides an interface to the terminfo database (via bindings to the curses library). Terminfo allows POSIX systems to interact with a variety of terminals using a standard set of capabilities. Homepage: http://hackage.haskell.org/cgi-bin/terminfo/package/terminfo Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-terminfo/libghc-terminfo-doc_0.3.2.3-1_all.deb Package: libghc-terminfo-prof Source: haskell-terminfo Version: 0.3.2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 752 Depends: libghc-terminfo-dev (= 0.3.2.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-extensible-exceptions-prof-0.1.1.4-d27a1 Provides: libghc-terminfo-prof-0.3.2.3-8ee22 Homepage: http://hackage.haskell.org/cgi-bin/terminfo/package/terminfo Priority: extra Section: haskell Filename: pool/main/h/haskell-terminfo/libghc-terminfo-prof_0.3.2.3-1_armhf.deb Size: 155208 SHA256: ca212f8e69dcaee885f8354f30197e8f9a88e766b20716451bf60061a69b1e7e SHA1: 70562c3da28e17732bc8c5f9f1722c8c97ce789d MD5sum: 1d0bf29038d18446b081618f8de5521c Description: Haskell bindings to the terminfo library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides an interface to the terminfo database (via bindings to the curses library). Terminfo allows POSIX systems to interact with a variety of terminals using a standard set of capabilities. Package: libghc-test-framework-dev Source: haskell-test-framework Version: 0.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1140 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-ansi-terminal-dev-0.5.5-3c75c, libghc-ansi-wl-pprint-dev-0.6.4-e1463, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libghc-hostname-dev-1.0-70057, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-random-dev-1.0.1.1-344e2, libghc-regex-posix-dev-0.95.1-307a3, libghc-time-dev-1.4-ec63b, libghc-xml-dev-1.3.12-ef89d Suggests: libghc-test-framework-doc, libghc-test-framework-prof Provides: libghc-test-framework-dev-0.6-9eda3 Homepage: http://batterseapower.github.com/test-framework/ Priority: extra Section: haskell Filename: pool/main/h/haskell-test-framework/libghc-test-framework-dev_0.6-1_armhf.deb Size: 258886 SHA256: ba182510efe08cc9a66dc8a9fa1e965a894b93f1905820b8bea855eda3bfbd26 SHA1: 8eef046b387827808013d6437c9d27fbb03c1611 MD5sum: 6cd80f59a465d47eb1319213959e1ddd Description: Framework for running and organising tests Allows tests such as QuickCheck properties and HUnit test cases to be assembled into test groups, run in parallel (but reported in deterministic order, to aid diff interpretation) and filtered and controlled by command line options. All of this comes with colored test output, progress reporting and test statistics output. . You should install libghc-test-framework-{hunit,quickcheck2}-* package to use this with {HUnit,QuickCheck2}. . This package contains the normal library files. Package: libghc-test-framework-doc Source: haskell-test-framework Version: 0.6-1 Installed-Size: 532 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-random-doc, libghc-test-framework-dev Size: 75284 SHA256: b1ba89250790bffd249817927755ee0b5bb356e7b84e5387c457fe0f8c15e7b0 SHA1: 8e12cc7d46efce3881fc0737ca0f859c89e507b3 MD5sum: 4cca4ef7da55022c426cf9a4936507d9 Description: Framework for running and organising tests; documentation Allows tests such as QuickCheck properties and HUnit test cases to be assembled into test groups, run in parallel (but reported in deterministic order, to aid diff interpretation) and filtered and controlled by command line options. All of this comes with colored test output, progress reporting and test statistics output. . You should install libghc-test-framework-{hunit,quickcheck2}-* package to use this with {HUnit,QuickCheck2}. . This package contains the documentation files. Homepage: http://batterseapower.github.com/test-framework/ Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-test-framework/libghc-test-framework-doc_0.6-1_all.deb Package: libghc-test-framework-hunit-dev Source: haskell-test-framework-hunit Version: 0.2.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 156 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-hunit-dev-1.2.4.2-6a847, libghc-base-dev-4.5.0.0-d93df, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libghc-test-framework-dev-0.6-9eda3 Suggests: libghc-test-framework-hunit-doc, libghc-test-framework-hunit-prof Provides: libghc-test-framework-hunit-dev-0.2.7-04df9 Homepage: http://batterseapower.github.com/test-framework/ Priority: extra Section: haskell Filename: pool/main/h/haskell-test-framework-hunit/libghc-test-framework-hunit-dev_0.2.7-1_armhf.deb Size: 22832 SHA256: 7c916f322076d42aba49ffdb1b8bc316f5cde945d01f96c76c38e8a22c6da1f1 SHA1: d5a92d3ad4ff4e96d98053f0f16a9c34c0744bdb MD5sum: 00be0cd04101c0ad2ba3b9bbc4104102 Description: HUnit support for the test-framework package. Allows HUnit test cases to be used with the test-framework package. . This package contains the normal library files. Package: libghc-test-framework-hunit-doc Source: haskell-test-framework-hunit Version: 0.2.7-1 Installed-Size: 61 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: libghc-hunit-doc, libghc-test-framework-doc, libghc-test-framework-hunit-dev Size: 29602 SHA256: 3f19b7e439dc7ded49db30388f6d290bea49aa2e5b431d2b2696a680b4e1e7b0 SHA1: cba1fb2074cd2469e1682823fe8aae5bc9e9921c MD5sum: 9f8ec537bdcf25d032339602799009c7 Description: HUnit support for the test-framework package.; documentation Allows HUnit test cases to be used with the test-framework package. . This package contains the documentation files. Homepage: http://batterseapower.github.com/test-framework/ Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-test-framework-hunit/libghc-test-framework-hunit-doc_0.2.7-1_all.deb Package: libghc-test-framework-hunit-prof Source: haskell-test-framework-hunit Version: 0.2.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 129 Depends: libghc-test-framework-hunit-dev (= 0.2.7-1), libghc-hunit-prof-1.2.4.2-6a847, libghc-base-prof-4.5.0.0-d93df, libghc-extensible-exceptions-prof-0.1.1.4-d27a1, libghc-test-framework-prof-0.6-9eda3 Provides: libghc-test-framework-hunit-prof-0.2.7-04df9 Homepage: http://batterseapower.github.com/test-framework/ Priority: extra Section: haskell Filename: pool/main/h/haskell-test-framework-hunit/libghc-test-framework-hunit-prof_0.2.7-1_armhf.deb Size: 20998 SHA256: 5f5bfc5cb4274e14dd6518e4913946a3086c8c1bdf3ca5d83a269af742aa670e SHA1: ceef81d98ecf2a56efaf33af51b339dcc6a0f50a MD5sum: f18f8f936dcf9fbebfacf784abd64162 Description: HUnit support for the test-framework package.; profiling libraries Allows HUnit test cases to be used with the test-framework package. . This package contains the libraries compiled with profiling enabled. Package: libghc-test-framework-prof Source: haskell-test-framework Version: 0.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1057 Depends: libghc-test-framework-dev (= 0.6-1), libghc-ansi-terminal-prof-0.5.5-3c75c, libghc-ansi-wl-pprint-prof-0.6.4-e1463, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-extensible-exceptions-prof-0.1.1.4-d27a1, libghc-hostname-prof-1.0-70057, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-random-prof-1.0.1.1-344e2, libghc-regex-posix-prof-0.95.1-307a3, libghc-time-prof-1.4-ec63b, libghc-xml-prof-1.3.12-ef89d Provides: libghc-test-framework-prof-0.6-9eda3 Homepage: http://batterseapower.github.com/test-framework/ Priority: extra Section: haskell Filename: pool/main/h/haskell-test-framework/libghc-test-framework-prof_0.6-1_armhf.deb Size: 250932 SHA256: 0f141b30da0ae6f06248defa3f2761263487414c499b41697f7bc42d5f325fd8 SHA1: ee8cec39494d6e9a3d48abcc99d26dbc33d11f25 MD5sum: 932342d04597c55dff5ac359354aac20 Description: Framework for running and organising tests; profiling libraries Allows tests such as QuickCheck properties and HUnit test cases to be assembled into test groups, run in parallel (but reported in deterministic order, to aid diff interpretation) and filtered and controlled by command line options. All of this comes with colored test output, progress reporting and test statistics output. . You should install libghc-test-framework-{hunit,quickcheck2}-* package to use this with {HUnit,QuickCheck2}. . This package contains the libraries compiled with profiling enabled. Package: libghc-test-framework-quickcheck2-dev Source: haskell-test-framework-quickcheck2 Version: 0.2.12.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 237 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libghc-quickcheck-dev-2.4.2-170f1, libghc-base-dev-4.5.0.0-d93df, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libghc-random-dev-1.0.1.1-344e2, libghc-test-framework-dev-0.6-9eda3 Suggests: libghc-test-framework-quickcheck2-doc, libghc-test-framework-quickcheck2-prof Provides: libghc-test-framework-quickcheck2-dev-0.2.12.1-b5902 Homepage: http://batterseapower.github.com/test-framework/ Priority: extra Section: haskell Filename: pool/main/h/haskell-test-framework-quickcheck2/libghc-test-framework-quickcheck2-dev_0.2.12.1-1_armhf.deb Size: 47570 SHA256: bedc6a924a79ba146919f06107194293d41d31adf816aa5724ec4ad6435e6747 SHA1: 9de8b48e8d95e0d847b50308208f7b155328334b MD5sum: 476091be6bf3016bd00d0a5dc38eed74 Description: QuickCheck2 support for the test-framework package. Allows QuickCheck2 properties to be used with the test-framework package. . This package contains the normal library files. Package: libghc-test-framework-quickcheck2-doc Source: haskell-test-framework-quickcheck2 Version: 0.2.12.1-1 Installed-Size: 150 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: libghc-quickcheck2-doc, libghc-test-framework-doc, libghc-test-framework-quickcheck2-dev Size: 34158 SHA256: 4770747454315b4c233921d2fff8580211b97ae20f7ad24c556d9ab3e264b148 SHA1: 963a69500673c39ee5a669fa75c3968a9cb3848e MD5sum: b451c80a7b939bec88368f8f518f3521 Description: QuickCheck2 support for the test-framework package.; documentation Allows QuickCheck2 properties to be used with the test-framework package. . This package contains the documentation files. Homepage: http://batterseapower.github.com/test-framework/ Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-test-framework-quickcheck2/libghc-test-framework-quickcheck2-doc_0.2.12.1-1_all.deb Package: libghc-test-framework-quickcheck2-prof Source: haskell-test-framework-quickcheck2 Version: 0.2.12.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 204 Depends: libghc-test-framework-quickcheck2-dev (= 0.2.12.1-1), libghc-quickcheck-prof-2.4.2-170f1, libghc-base-prof-4.5.0.0-d93df, libghc-extensible-exceptions-prof-0.1.1.4-d27a1, libghc-random-prof-1.0.1.1-344e2, libghc-test-framework-prof-0.6-9eda3 Provides: libghc-test-framework-quickcheck2-prof-0.2.12.1-b5902 Homepage: http://batterseapower.github.com/test-framework/ Priority: extra Section: haskell Filename: pool/main/h/haskell-test-framework-quickcheck2/libghc-test-framework-quickcheck2-prof_0.2.12.1-1_armhf.deb Size: 41116 SHA256: 76a98d6315e9dd43bc42cd4923931e6a9f4e8485e4a04473e67515b64fb39e4c SHA1: 175073d03af51d385239cf583db2d9df58175ab3 MD5sum: 49941916ea5a879a14c58032d25968b6 Description: QuickCheck2 support for the test-framework package.; profiling libraries Allows QuickCheck2 properties to be used with the test-framework package. . This package contains the libraries compiled with profiling enabled. Package: libghc-test-framework-th-doc Source: haskell-test-framework-th Version: 0.2.2-5 Installed-Size: 116 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-test-framework-th-dev Size: 31652 SHA256: 9240ddd4c8dd153abbb42cfecc6e3504d335e6aa760b534b5ccb247d2dfe4441 SHA1: 3fc7b0f17e81465e1e9e94055dbee86a2af18f08 MD5sum: ddbe1c372be54af8b02f9e15f9a55944 Description: Automagically generate the {HUnit,Quickcheck}-bulk-code; documentation test-framework-th contains two interesting functions: defaultMainGenerator and testGroupGenerator. . defaultMainGenerator will extract all functions beginning with "case_" or "prop_" in the module and put them in a testGroup. . testGroupGenerator is like defaultMainGenerator but without defaultMain. It is useful if you need a function for the testgroup (e.g. if you want to be able to call the testgroup from another module). . This package contains the documentation files. Homepage: http://github.com/finnsson/test-generator Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-test-framework-th/libghc-test-framework-th-doc_0.2.2-5_all.deb Package: libghc-test-framework-th-prime-doc Source: haskell-test-framework-th-prime Version: 0.0.5-1 Installed-Size: 131 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-test-framework-doc, libghc-test-framework-th-prime-dev Size: 32900 SHA256: 72fa459b98aed11f641f586e5fe10e1e6c7136ee878692877d3739e7ddd40490 SHA1: fd4ff65a2754c5564cf941002037aabc739ebb1c MD5sum: 03a595138f5a8d2bf24356944e2af06d Description: TH for test frameworks; documentation This library automatically generates a Test list for HUnit, doctest and QuickCheck2. . This package contains the documentation files. Homepage: http://hackage.haskell.org/package/test-framework-th-prime Section: doc Priority: extra Filename: pool/main/h/haskell-test-framework-th-prime/libghc-test-framework-th-prime-doc_0.0.5-1_all.deb Package: libghc-testpack-dev Source: haskell-testpack Version: 2.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 206 Depends: libghc-hunit-dev-1.2.4.2-6a847, libghc-quickcheck-dev-2.4.2-170f1, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-mtl-dev-2.1.1-87121, libghc-random-dev-1.0.1.1-344e2, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-testpack-doc, libghc-testpack-prof Provides: libghc-testpack-dev-2.1.1-ca76f Homepage: http://hackage.haskell.org/cgi-bin/hackage-scripts/package/testpack Priority: extra Section: haskell Filename: pool/main/h/haskell-testpack/libghc-testpack-dev_2.1.1-1_armhf.deb Size: 48066 SHA256: fe08e30f6d0f61fd00a42240d5315f9b1f57ed44fb207030602308d265983443 SHA1: ddce1210fead8d1c3b398707e5c071ef5c8990fa MD5sum: 3ab33c49267658eebc4a629b94b0b8d5 Description: Haskell Test Utility Pack for HUnit and QuickCheck testpack provides utilities for both HUnit and QuickCheck. These include tools for running QuickCheck properties as HUnit test cases, allowing you to combine both approaches in a single program. It also includes tools for more helpful displays of running progress in both HUnit and QuickCheck, additional generators for other types for QuickCheck, and shortcuts for quickly defining new test cases. . This package contains the development libraries. Package: libghc-testpack-doc Source: haskell-testpack Version: 2.1.1-1 Installed-Size: 143 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-testpack-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hunit-doc, libghc-quickcheck2-doc, libghc-testpack-dev Conflicts: haskell-testpack-doc Size: 44726 SHA256: 9b4f8a014fa343d76b88e6914b83eeb3edab9f4d230eec0a0ee61fe9738fbfae SHA1: a3d978fadfaa05d2bf01025e3554d4dbcc0cc49b MD5sum: 71fb0bca0949d6628aa5c1564b63e8e7 Description: Documentation for Haskell Test Utility Pack testpack provides utilities for both HUnit and QuickCheck. These include tools for running QuickCheck properties as HUnit test cases, allowing you to combine both approaches in a single program. It also includes tools for more helpful displays of running progress in both HUnit and QuickCheck, additional generators for other types for QuickCheck, and shortcuts for quickly defining new test cases. . This package contains the documentation. Homepage: http://hackage.haskell.org/cgi-bin/hackage-scripts/package/testpack Tag: devel::doc, devel::lang:haskell, devel::testing-qa, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-testpack/libghc-testpack-doc_2.1.1-1_all.deb Package: libghc-testpack-prof Source: haskell-testpack Version: 2.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 175 Depends: libghc-testpack-dev (= 2.1.1-1), libghc-hunit-prof-1.2.4.2-6a847, libghc-quickcheck-prof-2.4.2-170f1, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-mtl-prof-2.1.1-87121, libghc-random-prof-1.0.1.1-344e2 Provides: libghc-testpack-prof-2.1.1-ca76f Homepage: http://hackage.haskell.org/cgi-bin/hackage-scripts/package/testpack Priority: extra Section: haskell Filename: pool/main/h/haskell-testpack/libghc-testpack-prof_2.1.1-1_armhf.deb Size: 43412 SHA256: 023293d6ff98e932a4e493fe466a80d9ea3ec0f43b7946d6ec7707ccfe81a300 SHA1: 86e6462d2a64a977aeaf28b32673f1f5d51538d9 MD5sum: a10b68c6e40be34842ec19083b0e126f Description: Haskell Test Utility Pack for HUnit and QuickCheck estpack provides utilities for both HUnit and QuickCheck. These include tools for running QuickCheck properties as HUnit test cases, allowing you to combine both approaches in a single program. It also includes tools for more helpful displays of running progress in both HUnit and QuickCheck, additional generators for other types for QuickCheck, and shortcuts for quickly defining new test cases. . This package contains the profiling libraries. Package: libghc-texmath-dev Source: haskell-texmath Version: 0.6.0.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3470 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-parsec-dev-3.1.2-aa52f, libghc-syb-dev-0.3.6.1-ad588, libghc-xml-dev-1.3.12-ef89d, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-texmath-doc, libghc-texmath-prof Provides: libghc-texmath-dev-0.6.0.6-f65d2 Homepage: http://hackage.haskell.org/package/texmath Priority: extra Section: haskell Filename: pool/main/h/haskell-texmath/libghc-texmath-dev_0.6.0.6-1_armhf.deb Size: 688018 SHA256: 21af611abc8c65a91964f4c6f93b038c67c96d2f6644af95565631e2ed7c3c2a SHA1: 546ad7958d45812a0709bf2e16f6bace8cefd654 MD5sum: 632e51ac6811842f4e87293c1bbeb93c Description: Haskell library to convert LaTeX to MathML - GHC libraries The texmath Haskell library provides functions to convert LaTeX math formulas to presentation MathML. It supports basic LaTeX and AMS extensions, but not macros. . This package contains the libraries compiled for GHC. Package: libghc-texmath-doc Source: haskell-texmath Version: 0.6.0.6-1 Installed-Size: 524 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-xml-doc, libghc-texmath-dev Size: 63008 SHA256: 8c43a8adae4559b90c94475af6a24da4a44f6ec55ff78f31b24ed067fae6f08a SHA1: af343494807a744d4209ec35be22b2f5ba90032e MD5sum: 9c7fc81025d007128579f6c8418d4043 Description: Haskell library to convert LaTeX to MathML - documentation The texmath Haskell library provides functions to convert LaTeX math formulas to presentation MathML. It supports basic LaTeX and AMS extensions, but not macros. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/texmath Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::tex Section: doc Priority: extra Filename: pool/main/h/haskell-texmath/libghc-texmath-doc_0.6.0.6-1_all.deb Package: libghc-texmath-prof Source: haskell-texmath Version: 0.6.0.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4800 Depends: libghc-texmath-dev (= 0.6.0.6-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-parsec-prof-3.1.2-aa52f, libghc-syb-prof-0.3.6.1-ad588, libghc-xml-prof-1.3.12-ef89d Provides: libghc-texmath-prof-0.6.0.6-f65d2 Homepage: http://hackage.haskell.org/package/texmath Priority: extra Section: haskell Filename: pool/main/h/haskell-texmath/libghc-texmath-prof_0.6.0.6-1_armhf.deb Size: 875676 SHA256: 38070cc9e67dcba859068ef3e18264d31594c6bcf6bb004b631fc5cee3576e4f SHA1: d7bb6faee134828b102ca0f24cfcec5985fbfeb2 MD5sum: 9276fb5df11885d64997c8a7d576ebf2 Description: Haskell library to convert LaTeX to MathML - GHC profiling libraries The texmath Haskell library provides functions to convert LaTeX math formulas to presentation MathML. It supports basic LaTeX and AMS extensions, but not macros. . This package contains the profiling libraries compiled for GHC. Package: libghc-text-dev Source: haskell-text Version: 0.11.2.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 13388 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-ghc-prim-dev-0.2.0.0-bd29c, libghc-integer-gmp-dev-0.4.0.0-ec87c, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-text-doc, libghc-text-prof Provides: libghc-text-dev-0.11.2.0-05a46 Homepage: http://hackage.haskell.org/package/text Priority: extra Section: haskell Filename: pool/main/h/haskell-text/libghc-text-dev_0.11.2.0-1_armhf.deb Size: 2976458 SHA256: 92256546804fddfcfef422e60da701725f404ec1b0e89d80466656b2b5d8b856 SHA1: 64defa5a725bfeb3c6c4c34a242227f409a4560a MD5sum: 3b064e066db39915674ccd0c562615d9 Description: efficient packed Unicode text type for Haskell - GHC libraries This is a Haskell time and space-efficient implementation of Unicode text using packed Word16 arrays. Suitable for performance critical use, both in terms of large data quantities and high speed. . It supports many basic string operations and has some substring search tools. . This package contains the libraries compiled for GHC. Package: libghc-text-doc Source: haskell-text Version: 0.11.2.0-1 Installed-Size: 2808 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-dev Size: 293418 SHA256: 69d18a0d6585fe5325a5afeec09805fd558f79586a05717431787bcc8169a9cc SHA1: 13a9ca32cd51cb5518439239269244fee333885e MD5sum: fa0c1c292b0215d756edfbd1c6b82fde Description: efficient packed Unicode text type for Haskell - documentation This is a Haskell time and space-efficient implementation of Unicode text using packed Word16 arrays. Suitable for performance critical use, both in terms of large data quantities and high speed. . It supports many basic string operations and has some substring search tools. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/text Tag: devel::doc, devel::lang:haskell, role::documentation, works-with::unicode Section: doc Priority: extra Filename: pool/main/h/haskell-text/libghc-text-doc_0.11.2.0-1_all.deb Package: libghc-text-icu-dev Source: haskell-text-icu Version: 0.6.3.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 5557 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libicu48 (>= 4.8-1) Suggests: libghc-text-icu-doc, libghc-text-icu-prof Provides: libghc-text-icu-dev-0.6.3.4-4e490 Homepage: http://hackage.haskell.org/package/text-icu Priority: extra Section: haskell Filename: pool/main/h/haskell-text-icu/libghc-text-icu-dev_0.6.3.4-2_armhf.deb Size: 981130 SHA256: bdd5b8bce83c19df2702fb5e50ea0bff428dbe3ffc3a822637c232c2050af816 SHA1: e3376928c135ea9114da746063ac700cb0e594d5 MD5sum: c9bb4a6a1df0a9c3b6758cc7c45f2687 Description: bindings to the ICU library Haskell bindings to the International Components for Unicode (ICU) libraries. These libraries provide robust and full-featured Unicode services on a wide variety of platforms. . Features include: . Both pure and impure bindings, to allow for fine control over efficiency and ease of use. . Breaking of strings on character, word, sentence, and line boundaries. . Access to the Unicode Character Database (UCD) of character metadata. . String collation functions, for locales where the conventions for lexicographic ordering differ from the simple numeric ordering of character codes. . Character set conversion functions, allowing conversion between Unicode and over 220 character encodings. . Unicode normalization. (When implementations keep strings in a normalized form, they can be assured that equivalent strings have a unique binary representation.) . Regular expression search and replace. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-text-icu-doc Source: haskell-text-icu Version: 0.6.3.4-2 Installed-Size: 1679 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-text-icu-dev Size: 213456 SHA256: f9d4220f4243c67890534d82dce929d21007430df765edcaeea8a7398bf31508 SHA1: 573dd204961e60833d66613cf25f43b1f97a93c0 MD5sum: ec9d9e8a2d0dd07b41318458e9570b06 Description: bindings to the ICU library; documentation Haskell bindings to the International Components for Unicode (ICU) libraries. These libraries provide robust and full-featured Unicode services on a wide variety of platforms. . Features include: . Both pure and impure bindings, to allow for fine control over efficiency and ease of use. . Breaking of strings on character, word, sentence, and line boundaries. . Access to the Unicode Character Database (UCD) of character metadata. . String collation functions, for locales where the conventions for lexicographic ordering differ from the simple numeric ordering of character codes. . Character set conversion functions, allowing conversion between Unicode and over 220 character encodings. . Unicode normalization. (When implementations keep strings in a normalized form, they can be assured that equivalent strings have a unique binary representation.) . Regular expression search and replace. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/text-icu Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-text-icu/libghc-text-icu-doc_0.6.3.4-2_all.deb Package: libghc-text-icu-prof Source: haskell-text-icu Version: 0.6.3.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4993 Depends: libghc-text-icu-dev (= 0.6.3.4-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-text-icu-prof-0.6.3.4-4e490 Homepage: http://hackage.haskell.org/package/text-icu Priority: extra Section: haskell Filename: pool/main/h/haskell-text-icu/libghc-text-icu-prof_0.6.3.4-2_armhf.deb Size: 927566 SHA256: f41a1ad3448c05afe1a30569efd75acc2d8c7dc6803e828872168baaa24c1790 SHA1: 41964cf4b4ad669b46a0c69d93e11da852ce25c3 MD5sum: 1926b1c36a594689f36a0cbc79729a38 Description: bindings to the ICU library; profiling libraries Haskell bindings to the International Components for Unicode (ICU) libraries. These libraries provide robust and full-featured Unicode services on a wide variety of platforms. . Features include: . Both pure and impure bindings, to allow for fine control over efficiency and ease of use. . Breaking of strings on character, word, sentence, and line boundaries. . Access to the Unicode Character Database (UCD) of character metadata. . String collation functions, for locales where the conventions for lexicographic ordering differ from the simple numeric ordering of character codes. . Character set conversion functions, allowing conversion between Unicode and over 220 character encodings. . Unicode normalization. (When implementations keep strings in a normalized form, they can be assured that equivalent strings have a unique binary representation.) . Regular expression search and replace. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-text-prof Source: haskell-text Version: 0.11.2.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 11137 Depends: libghc-text-dev (= 0.11.2.0-1), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-deepseq-prof-1.3.0.0-6c19e, libghc-ghc-prim-prof-0.2.0.0-bd29c, libghc-integer-gmp-prof-0.4.0.0-ec87c Provides: libghc-text-prof-0.11.2.0-05a46 Homepage: http://hackage.haskell.org/package/text Priority: extra Section: haskell Filename: pool/main/h/haskell-text/libghc-text-prof_0.11.2.0-1_armhf.deb Size: 2452006 SHA256: 1d02ccf34b4c62144192c6c1a84c91a7afb4412b2839196a90c5bd48265d70ca SHA1: 4132023662046768588c02fc2e165559b3791f6b MD5sum: 31ebd75ae60b6de11f144feb83d3d617 Description: efficient packed Unicode text type for Haskell - GHC profiling libraries This is a Haskell time and space-efficient implementation of Unicode text using packed Word16 arrays. Suitable for performance critical use, both in terms of large data quantities and high speed. . It supports many basic string operations and has some substring search tools. . This package contains the profiling libraries compiled for GHC. Package: libghc-tinyurl-dev Source: haskell-tinyurl Version: 0.1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 93 Depends: libghc-http-dev-4000.2.3-55fae, libghc-base-dev-4.5.0.0-d93df, libghc-network-dev-2.3.0.13-9c99a, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-tinyurl-doc, libghc-tinyurl-prof Provides: libghc-tinyurl-dev-0.1.0-5091d Homepage: http://hackage.haskell.org/package/TinyURL Priority: extra Section: haskell Filename: pool/main/h/haskell-tinyurl/libghc-tinyurl-dev_0.1.0-2_armhf.deb Size: 11280 SHA256: 7a5033241e312cd39c03aeee59bb54a82c3cc5a24c55c10c06386ede70ee37d0 SHA1: fd394ff5cfe0f54c34e70d6f69071ac94e6942ad MD5sum: 982d105ad4c1791d21d3befa92932ee5 Description: interface to TinyURL web service This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It exports a single function that uses the TinyURL API to compress a given URL. Package: libghc-tinyurl-doc Source: haskell-tinyurl Version: 0.1.0-2 Installed-Size: 94 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-tinyurl-dev Size: 27642 SHA256: 922215ba5f7e5daf42d901dcb8f9720aeddc9ca0fd306183af3697a2b355793e SHA1: 388cabffe2f039e9ed45fcfe04d4a7cb93a0559f MD5sum: 80b5edf01dc182f20c9de299ed1c7ead Description: interface to TinyURL web service; documentation This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It exports a single function that uses the TinyURL API to compress a given URL. Homepage: http://hackage.haskell.org/package/TinyURL Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-tinyurl/libghc-tinyurl-doc_0.1.0-2_all.deb Package: libghc-tinyurl-prof Source: haskell-tinyurl Version: 0.1.0-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 74 Depends: libghc-tinyurl-dev (= 0.1.0-2), libghc-http-prof-4000.2.3-55fae, libghc-base-prof-4.5.0.0-d93df, libghc-network-prof-2.3.0.13-9c99a Provides: libghc-tinyurl-prof-0.1.0-5091d Homepage: http://hackage.haskell.org/package/TinyURL Priority: extra Section: haskell Filename: pool/main/h/haskell-tinyurl/libghc-tinyurl-prof_0.1.0-2_armhf.deb Size: 11134 SHA256: a68ced3fa205de1bfd27acf709c46dff5c956fdb8fd8ab27038968b35ec1baea SHA1: 944d6f3b2e5e7151cc687ab33def0991b2c7df8f MD5sum: 672e2f7850af88ba824aaed1d1931733 Description: interface to TinyURL web service; profiling libraries This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It exports a single function that uses the TinyURL API to compress a given URL. Package: libghc-tls-doc Source: haskell-tls Version: 0.9.5-1 Installed-Size: 851 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-certificate-doc, libghc-crypto-api-doc, libghc-crypto-pubkey-types-doc, libghc-mtl-doc, libghc-transformers-doc, libghc-tls-dev Size: 103192 SHA256: 68a67cb989a0dd7590cd2030b1a9f71116303322d913e3186b1c072db9956e28 SHA1: 4f2040134d024de39a62caf2ffd7e893c985286e MD5sum: 447a4ceac44501a23bc819ea4bfc9a97 Description: native Haskell implementation of TLS/SSL protocol; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a native TLS protocol implementation, focusing on purity and more type-checking. . Currently implements the SSL3.0, TLS1.0 and TLS1.1 protocol. Not yet properly secure and missing some features. Do not yet use as replacement to more mature implementations. . Only RSA supported as Key exchange for now. Homepage: http://hackage.haskell.org/package/tls Tag: devel::doc, devel::lang:haskell, protocol::ssl, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-tls/libghc-tls-doc_0.9.5-1_all.deb Package: libghc-tls-extra-doc Source: haskell-tls-extra Version: 0.4.6.1-2 Installed-Size: 251 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-certificate-doc, libghc-crypto-api-doc, libghc-tls-doc, libghc-tls-extra-dev Size: 47330 SHA256: 28af3908a5d491ef9f9274470e88675030e962871f39b9362e3428b39d632254 SHA1: 0e7bd39dd9a3487a8f104359ed434e0919bf59d9 MD5sum: 878459aec0f28b24af771fd83b38bcd4 Description: TLS extra default values and helpers; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides a set of extra definitions, default values and helpers for the Haskell tls package. Homepage: http://hackage.haskell.org/package/tls-extra Tag: devel::doc, devel::lang:haskell, protocol::ssl, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-tls-extra/libghc-tls-extra-doc_0.4.6.1-2_all.deb Package: libghc-tokyocabinet-dev Source: tokyocabinet-haskell Version: 0.0.5-5 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 5539 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-mtl-dev-2.1.1-87121, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libtokyocabinet9 (>= 1.4.47), libtokyocabinet-dev Suggests: libghc-tokyocabinet-doc, libghc-tokyocabinet-prof Provides: libghc-tokyocabinet-haskell-dev-0.0.5-72333 Homepage: http://hackage.haskell.org/package/tokyocabinet-haskell Priority: optional Section: haskell Filename: pool/main/t/tokyocabinet-haskell/libghc-tokyocabinet-dev_0.0.5-5_armhf.deb Size: 989816 SHA256: 6c313a6626585131eb88344e089e08b9e3ff6dd3493d99d4c68399d232019fab SHA1: 2d2b330bcd236c49903fba258f800c11c2ab677a MD5sum: 9a2cfdc24f42d71715cce27f8b1f2e18 Description: Haskell binding of Tokyo Cabinet Bindings to Tokyo Cabinet library. Tokyo Cabinet is a modern implementation of DBM. . This package contains the profiling libraries compiled for GHC. Package: libghc-tokyocabinet-doc Source: tokyocabinet-haskell Version: 0.0.5-5 Installed-Size: 2077 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-transformers-doc, libghc-tokyocabinet-dev Size: 189910 SHA256: ad4a3b9da47a64c0255042ea25a1e3a94710feae576f0b04b063c479c8dc709c SHA1: 6c7107f7c0e1b88f4d379834918cd009db0c5dda MD5sum: aeca93380b3da5925ee75788706c7687 Description: Haskell binding of Tokyo Cabinet; documentation Bindings to Tokyo Cabinet library. Tokyo Cabinet is a modern implementation of DBM. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/tokyocabinet-haskell Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: optional Filename: pool/main/t/tokyocabinet-haskell/libghc-tokyocabinet-doc_0.0.5-5_all.deb Package: libghc-tokyocabinet-prof Source: tokyocabinet-haskell Version: 0.0.5-5 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4757 Depends: libghc-tokyocabinet-dev (= 0.0.5-5), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-mtl-prof-2.1.1-87121 Provides: libghc-tokyocabinet-haskell-prof-0.0.5-72333 Homepage: http://hackage.haskell.org/package/tokyocabinet-haskell Priority: optional Section: haskell Filename: pool/main/t/tokyocabinet-haskell/libghc-tokyocabinet-prof_0.0.5-5_armhf.deb Size: 905034 SHA256: c32abf29406984e723349a83f31a432c8916b5a38341bbce6c3f2915f0c20995 SHA1: eb5a84d36f4cfac3fa098554b76320e8c963f6e7 MD5sum: 8786fe3c4689c005ba4af6478c41b524 Description: Haskell binding of Tokyo Cabinet; profiling library Bindings to Tokyo Cabinet library. Tokyo Cabinet is a modern implementation of DBM. . This package contains the profiling libraries compiled for GHC. Package: libghc-transformers-base-dev Source: haskell-transformers-base Version: 0.4.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 286 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-transformers-dev-0.3.0.0-e8222, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-transformers-base-doc, libghc-transformers-base-prof Provides: libghc-transformers-base-dev-0.4.1-9d46f Homepage: http://hackage.haskell.org/package/transformers-base Priority: extra Section: haskell Filename: pool/main/h/haskell-transformers-base/libghc-transformers-base-dev_0.4.1-2_armhf.deb Size: 41074 SHA256: 2492ebf6d6e6613bd273b9af7503597ae981ed2d4a9d3d69b43eb8bb49bdfaa7 SHA1: 689b21c36e71d37727cadde34f9f466aec1b572c MD5sum: ac58370cf43ee236dbffbf74bb419e76 Description: lift computations from the bottom of a transformer stack This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides a straightforward port of monadLib's BaseM typeclass to transformers. Package: libghc-transformers-base-doc Source: haskell-transformers-base Version: 0.4.1-2 Installed-Size: 120 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-transformers-doc, libghc-transformers-base-dev Size: 29236 SHA256: 5c917875edfab4ed0c39495a8823f0e865c017df54d171d94cd717d6be699cf5 SHA1: a3b9237d397acd6d274d2b9c7fb673be9f24e611 MD5sum: a0879df1a4ea4a3e5ea1ecb44b696b68 Description: lift computations from the bottom of a transformer stack; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides a straightforward port of monadLib's BaseM typeclass to transformers. Homepage: http://hackage.haskell.org/package/transformers-base Section: doc Priority: extra Filename: pool/main/h/haskell-transformers-base/libghc-transformers-base-doc_0.4.1-2_all.deb Package: libghc-transformers-base-prof Source: haskell-transformers-base Version: 0.4.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 264 Depends: libghc-transformers-base-dev (= 0.4.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-transformers-prof-0.3.0.0-e8222 Provides: libghc-transformers-base-prof-0.4.1-9d46f Homepage: http://hackage.haskell.org/package/transformers-base Priority: extra Section: haskell Filename: pool/main/h/haskell-transformers-base/libghc-transformers-base-prof_0.4.1-2_armhf.deb Size: 42156 SHA256: c914ca152c5a06c877cd1b5f6ce5056d2d7dfabf6ef21feab172a915b1470c11 SHA1: 3d6a6fb88cc0cc43a8d04c34d0faf40f62d61f0e MD5sum: bc2a3cb0bb252f5fc5cb4c949347dbce Description: lift computations from the bottom of a transformer stack; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It provides a straightforward port of monadLib's BaseM typeclass to transformers. Package: libghc-transformers-dev Source: haskell-transformers Version: 0.3.0.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3074 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-transformers-doc, libghc-transformers-prof Provides: libghc-transformers-dev-0.3.0.0-e8222 Homepage: http://hackage.haskell.org/package/transformers Priority: extra Section: haskell Filename: pool/main/h/haskell-transformers/libghc-transformers-dev_0.3.0.0-1_armhf.deb Size: 529386 SHA256: 91788f62b3f0ee658135f30d226541f5978ee4512089ecccb40b6196450e89ba SHA1: e22314cdc55481a35f021966b90f5538ed8c293a MD5sum: 6d306998e928e6d81470be16c955d96c Description: Haskell monad transformer library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Haskell 98 part of a monad transformer library, inspired by the paper "Functional Programming with Overloading and Higher-Order Polymorphism", by Mark P Jones, in Advanced School of Functional Programming, 1995 (http://web.cecs.pdx.edu/~mpj/pubs/springschool.html). . This part contains the monad transformer class, the concrete monad transformers, operations and liftings. Package: libghc-transformers-doc Source: haskell-transformers Version: 0.3.0.0-1 Installed-Size: 1294 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-transformers-dev Size: 138600 SHA256: 20bc22b518f66029cce31cfc94e17b203825556498d06ccd1b42108167889b8e SHA1: 6b5402c4989b56894516bf4afae6390c042fa530 MD5sum: 36238fe3c2bf54e609a8b928146b20b0 Description: Haskell monad transformer library; documentation This package provides documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Haskell 98 part of a monad transformer library, inspired by the paper "Functional Programming with Overloading and Higher-Order Polymorphism", by Mark P Jones, in Advanced School of Functional Programming, 1995 (http://web.cecs.pdx.edu/~mpj/pubs/springschool.html). . This part contains the monad transformer class, the concrete monad transformers, operations and liftings. Homepage: http://hackage.haskell.org/package/transformers Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-transformers/libghc-transformers-doc_0.3.0.0-1_all.deb Package: libghc-transformers-prof Source: haskell-transformers Version: 0.3.0.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3120 Depends: libghc-transformers-dev (= 0.3.0.0-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-transformers-prof-0.3.0.0-e8222 Homepage: http://hackage.haskell.org/package/transformers Priority: extra Section: haskell Filename: pool/main/h/haskell-transformers/libghc-transformers-prof_0.3.0.0-1_armhf.deb Size: 603490 SHA256: 8d757ade67d0d8974f5726b078cc6d55a3f4ef0868c3789c47a02bbe8fedafad SHA1: 0758940c39e4de2f5c772ec2fbd5a558700604d2 MD5sum: 7b2716040b5c7db4c970fa12141878c6 Description: Haskell monad transformer library; profiling libraries This package provides a library for the Haskell programming language compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Haskell 98 part of a monad transformer library, inspired by the paper "Functional Programming with Overloading and Higher-Order Polymorphism", by Mark P Jones, in Advanced School of Functional Programming, 1995 (http://web.cecs.pdx.edu/~mpj/pubs/springschool.html). . This part contains the monad transformer class, the concrete monad transformers, operations and liftings. Package: libghc-type-level-doc Source: haskell-type-level Version: 0.2.4-5 Installed-Size: 12392 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-type-level-dev Size: 975580 SHA256: 26d2a0ff72432640c97690ba734e84540db2053d12df447b18c9cc499d2772e7 SHA1: 52d54acda1536f85b1df799c672715131228206a MD5sum: 535088d8ea650bba162b16b69ae0044c Description: Documentation for type-level programming in Haskell A haskell library for performing computations on the type-level. Type-level functions are implemented using functional dependencies of multi parameter type classes. To date, Booleans and Numerals (Naturals and Positives) are supported. With regard to Numerals, there is support for common arithmetic operations (addition, substraction, multiplication, division, exponientation, logarithm, maximum, comparison, GCD) over natural numbers (using a decimal representation to make compile-time errors friendlier). Although making use of type-level computations might seem devious and obfuscated at first sight, it is indeed useful in practice to implement lightweight dependent types such as number-parameterized types (e.g. an array type parameterized by the array's size or a modular group type Zn parameterized by the modulus). . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/type-level Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-type-level/libghc-type-level-doc_0.2.4-5_all.deb Package: libghc-uniplate-dev Source: haskell-uniplate Version: 1.6.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3212 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-hashable-dev-1.1.2.3-ea6fe, libghc-syb-dev-0.3.6.1-ad588, libghc-unordered-containers-dev-0.2.1.0-eabe0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-uniplate-doc, libghc-uniplate-prof Provides: libghc-uniplate-dev-1.6.7-747a5 Homepage: http://hackage.haskell.org/package/uniplate Priority: extra Section: haskell Filename: pool/main/h/haskell-uniplate/libghc-uniplate-dev_1.6.7-1_armhf.deb Size: 644216 SHA256: a24a13b4f5dd284675d597426b1c9d93249b28bffefceabe2fb9b9834317c52b SHA1: 0830687f442e884875638234023477a688feeef6 MD5sum: 5cdf6d7cdd3324ee62edd23f7947d463 Description: A Haskell library for uniform type generic traversals . The Haskell Uniplate library abstracts over common traversals and queries in a simple manner allowing the user to scrap their boilerplate code. . A more complete document on the Uniplate class was published at the Haskell Workshop 2007 (http://www-users.cs.york.ac.uk/~ndm/uniplate/), along with a video presentation, and the associated thesis chapter. . This package contains the libraries compiled for GHC. Package: libghc-uniplate-doc Source: haskell-uniplate Version: 1.6.7-1 Installed-Size: 1095 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: libghc-syb-doc, libghc-uniplate-dev Size: 131884 SHA256: ccb6fe1f0cdfec18b46598d40bfa0a67625c86e908aa7f7cd2c0821ef1cea2a8 SHA1: 7b26a58f4d9746b04b9bec091de7c6d04655ceaf MD5sum: 513748748ab1dec5bb3c0810866021e6 Description: Documentation for uniform type generic traversals . The Haskell Uniplate library abstracts over common traversals and queries in a simple manner allowing the user to scrap their boilerplate code. . A more complete document on the Uniplate class was published at the Haskell Workshop 2007 (http://www-users.cs.york.ac.uk/~ndm/uniplate/), along with a video presentation, and the associated thesis chapter. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/uniplate Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-uniplate/libghc-uniplate-doc_1.6.7-1_all.deb Package: libghc-uniplate-prof Source: haskell-uniplate Version: 1.6.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3060 Depends: libghc-uniplate-dev (= 1.6.7-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-hashable-prof-1.1.2.3-ea6fe, libghc-syb-prof-0.3.6.1-ad588, libghc-unordered-containers-prof-0.2.1.0-eabe0 Provides: libghc-uniplate-prof-1.6.7-747a5 Homepage: http://hackage.haskell.org/package/uniplate Priority: extra Section: haskell Filename: pool/main/h/haskell-uniplate/libghc-uniplate-prof_1.6.7-1_armhf.deb Size: 640486 SHA256: da144d17f80fb5a89872c4570267ea743b9b99aadb1cf7a3f3f2ac83121ed3bd SHA1: 3dc2ab2ca8b4b10e17b79f5b5c92d366a6a6e905 MD5sum: 6dd5107175b964f6da16d5eabae1d8db Description: Profiling libraries for uniform type generic traversals . The Haskell Uniplate library abstracts over common traversals and queries in a simple manner allowing the user to scrap their boilerplate code. . A more complete document on the Uniplate class was published at the Haskell Workshop 2007 (http://www-users.cs.york.ac.uk/~ndm/uniplate/), along with a video presentation, and the associated thesis chapter. . This package contains the profiling libraries compiled for GHC. Package: libghc-unix-bytestring-dev Source: haskell-unix-bytestring Version: 0.3.5-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 450 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-unix-bytestring-doc, libghc-unix-bytestring-prof Provides: libghc-unix-bytestring-dev-0.3.5-10af8 Homepage: http://hackage.haskell.org/package/haskell-unix-bytestring Priority: extra Section: haskell Filename: pool/main/h/haskell-unix-bytestring/libghc-unix-bytestring-dev_0.3.5-2_armhf.deb Size: 78424 SHA256: a851ccdba740d08a9150eea015857a7dfca8d3952be24f8a6c06316cb97fe66a SHA1: 8736fa1d21c5deedf9e60a33b9838d299afc8d90 MD5sum: a2a04dd4d7191a974ce3a032de58197d Description: Unix/POSIX-specific functions for ByteStrings Provides ByteString file-descriptor based I/O API, designed loosely after the String file-descriptor based I/O API in System.Posix.IO. The functions here wrap standard C implementations of the functions specified by the ISO/IEC 9945-1:1990 (`POSIX.1') and X/Open Portability Guide Issue 4, Version 2 (`XPG4.2') specifications. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-unix-bytestring-doc Source: haskell-unix-bytestring Version: 0.3.5-2 Installed-Size: 438 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-unix-bytestring-dev Size: 63108 SHA256: 5dc068c183c602ecd0462d679eb8754be8c5c01855901128aaad3467380852c1 SHA1: 3b0266dc1cce3af38b6139f9ad7156f4dafb6488 MD5sum: ea7fef29c6d59f71fd700e2655c6a10f Description: Unix/POSIX-specific functions for ByteStrings; documentation Provides ByteString file-descriptor based I/O API, designed loosely after the String file-descriptor based I/O API in System.Posix.IO. The functions here wrap standard C implementations of the functions specified by the ISO/IEC 9945-1:1990 (`POSIX.1') and X/Open Portability Guide Issue 4, Version 2 (`XPG4.2') specifications. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-unix-bytestring Section: doc Priority: extra Filename: pool/main/h/haskell-unix-bytestring/libghc-unix-bytestring-doc_0.3.5-2_all.deb Package: libghc-unix-bytestring-prof Source: haskell-unix-bytestring Version: 0.3.5-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 383 Depends: libghc-unix-bytestring-dev (= 0.3.5-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-unix-bytestring-prof-0.3.5-10af8 Homepage: http://hackage.haskell.org/package/haskell-unix-bytestring Priority: extra Section: haskell Filename: pool/main/h/haskell-unix-bytestring/libghc-unix-bytestring-prof_0.3.5-2_armhf.deb Size: 69084 SHA256: 89a158126d1f13ba522719c289f6c657e5eafea0ea1d247e18a721fb485794db SHA1: 82cead542c6eece6239b258624dd5d3d9aa07a75 MD5sum: 025be254652e43d4fac18e0f31718b89 Description: Unix/POSIX-specific functions for ByteStrings; profiling libraries Provides ByteString file-descriptor based I/O API, designed loosely after the String file-descriptor based I/O API in System.Posix.IO. The functions here wrap standard C implementations of the functions specified by the ISO/IEC 9945-1:1990 (`POSIX.1') and X/Open Portability Guide Issue 4, Version 2 (`XPG4.2') specifications. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-unix-compat-dev Source: haskell-unix-compat Version: 0.3.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 118 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-unix-compat-doc, libghc-unix-compat-prof Provides: libghc-unix-compat-dev-0.3.0.1-4cc6c Homepage: http://hackage.haskell.org/package/unix-compat Priority: extra Section: haskell Filename: pool/main/h/haskell-unix-compat/libghc-unix-compat-dev_0.3.0.1-1_armhf.deb Size: 13966 SHA256: f1d6932e9796a0b430bb173d6c290eee5b95621d9b8239ef1c44328157de1217 SHA1: b6aa570839ea68f3f2c5f0c7aa2679edc300b038 MD5sum: 85404b74b60a24b4f23e4d4446a68d4d Description: Haskell portable POSIX-compatible layer - GHC libraries This package provides portable Haskell implementations of some POSIX system calls contained in the unix package. This package re-exports the unix package when available. When it isn't available, portable implementations are used. . This package contains the libraries compiled for GHC. Package: libghc-unix-compat-doc Source: haskell-unix-compat Version: 0.3.0.1-1 Installed-Size: 257 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-unix-compat-dev Size: 49988 SHA256: af4003b1e9477f0c29caa4385aeb9bf6495e6affe2f86d1ebeb0627f0bf91768 SHA1: d666979ce1057a9c8514d8932c7ca5fde0c30db4 MD5sum: 3b91ec5db24bddbdfa0d556271787078 Description: Haskell portable POSIX-compatible layer - documentation This package provides portable Haskell implementations of some POSIX system calls contained in the unix package. This package re-exports the unix package when available. When it isn't available, portable implementations are used. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/unix-compat Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-unix-compat/libghc-unix-compat-doc_0.3.0.1-1_all.deb Package: libghc-unix-compat-prof Source: haskell-unix-compat Version: 0.3.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 105 Depends: libghc-unix-compat-dev (= 0.3.0.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-unix-compat-prof-0.3.0.1-4cc6c Homepage: http://hackage.haskell.org/package/unix-compat Priority: extra Section: haskell Filename: pool/main/h/haskell-unix-compat/libghc-unix-compat-prof_0.3.0.1-1_armhf.deb Size: 14028 SHA256: c65badef9b6fc321ebce65ce48b9bfd8e27988cf06a2d88a86e0996038629a65 SHA1: 3aa89f5893b91c7b22cce47bf94acb3e56dc92e2 MD5sum: dc77adbd7766b4b60cf276ad84a11e18 Description: Haskell portable POSIX-compatible layer - GHC profiling libraries This package provides portable Haskell implementations of some POSIX system calls contained in the unix package. This package re-exports the unix package when available. When it isn't available, portable implementations are used. . This package contains the profiling libraries compiled for GHC. Package: libghc-unixutils-dev Source: haskell-unixutils (1.50-1) Version: 1.50-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 708 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-process-dev-1.1.0.1-75c65, libghc-puremd5-dev-2.1.0.3-f0aa0, libghc-regex-tdfa-dev-1.1.8-b8281, libghc-unix-dev-2.5.1.0-77272, libghc-zlib-dev-0.5.3.3-9ed15, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4) Suggests: libghc-unixutils-doc, libghc-unixutils-prof Provides: libghc-unixutils-dev-1.50-953de Homepage: http://hackage.haskell.org/package/Unixutils Priority: extra Section: haskell Filename: pool/main/h/haskell-unixutils/libghc-unixutils-dev_1.50-1+b1_armhf.deb Size: 168238 SHA256: f429edd75c3cd1261305ced875abf26a693073c5bf5d748cd434ef9c2ca726ef SHA1: f797461a3b5aa8d0a59a98343851a45aeea9ec73 MD5sum: 93d763b23dc9964ef7ca2f3c76d44e4b Description: An interface between Haskell and Unix-like operating systems A collection of useful and mildly useful functions that you might expect to find in System.* with a heavy bias towards Unix-type operating systems. . This package contains the libraries compiled for GHC. Package: libghc-unixutils-doc Source: haskell-unixutils Version: 1.50-1 Installed-Size: 308 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-unixutils-dev Size: 57538 SHA256: 19fa45c079168ce23d0dbee38076487006f56763a60474539a85e16fc2c462fd SHA1: 112f66c14db998408b6a116be84963fb1d276f1e MD5sum: c26ec4796fc534b49d64f5fbad256d07 Description: Documentation for the haskell-unixutils package A collection of useful and mildly useful functions that you might expect to find in System.* with a heavy bias towards Unix-type operating systems. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/Unixutils Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-unixutils/libghc-unixutils-doc_1.50-1_all.deb Package: libghc-unixutils-prof Source: haskell-unixutils (1.50-1) Version: 1.50-1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 608 Depends: libghc-unixutils-dev (= 1.50-1+b1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-process-prof-1.1.0.1-75c65, libghc-puremd5-prof-2.1.0.3-f0aa0, libghc-regex-tdfa-prof-1.1.8-b8281, libghc-unix-prof-2.5.1.0-77272, libghc-zlib-prof-0.5.3.3-9ed15 Provides: libghc-unixutils-prof-1.50-953de Homepage: http://hackage.haskell.org/package/Unixutils Priority: extra Section: haskell Filename: pool/main/h/haskell-unixutils/libghc-unixutils-prof_1.50-1+b1_armhf.deb Size: 147072 SHA256: efe2cffc5fc3337c9edcecaa1d446cd4a3309786f03c8efe12ba99600404e36c SHA1: e9261a5eaeadf9362877cb3d01566829d413c968 MD5sum: 720d329e97f776e483e8d94ec5d00329 Description: Profiling libraries for the haskell-unixutils package A collection of useful and mildly useful functions that you might expect to find in System.* with a heavy bias towards Unix-type operating systems. . This package contains the profiling libraries compiled for GHC. Package: libghc-unlambda-dev Source: haskell-unlambda Version: 0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 171 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-mtl-dev-2.1.1-87121, libghc-unix-dev-2.5.1.0-77272, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-unlambda-doc, libghc-unlambda-prof Provides: libghc-unlambda-dev-0.1-1a9b1 Homepage: http://hackage.haskell.org/package/unlambda Priority: extra Section: haskell Filename: pool/main/h/haskell-unlambda/libghc-unlambda-dev_0.1-2_armhf.deb Size: 30512 SHA256: eb9d4284321af14f7d6a362c057531e7e70eaa8bc7722c0071ba79a3ebdd86c1 SHA1: 4951c94a5662523b85e0a581c579382aa5474dec MD5sum: 5c9de666a506a34cd07f9d4fa6564a26 Description: Unlambda interpreter library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is an interpreter of the Unlambda language, written in the pure, lazy, functional language Haskell. Package: libghc-unlambda-doc Source: haskell-unlambda Version: 0.1-2 Installed-Size: 135 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-unlambda-dev Size: 30028 SHA256: 3561b50df8d11a333cafb58c0f19649bf943f6e1460a72693345f5580dc1cd06 SHA1: b80853b60ed4ec104c412fad15715fc6a48b4bc2 MD5sum: 5ff9286c94280f02e3ed4e9a4aaec19b Description: Unlambda interpreter library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is an interpreter of the Unlambda language, written in the pure, lazy, functional language Haskell. Homepage: http://hackage.haskell.org/package/unlambda Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-unlambda/libghc-unlambda-doc_0.1-2_all.deb Package: libghc-unlambda-prof Source: haskell-unlambda Version: 0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 199 Depends: libghc-unlambda-dev (= 0.1-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-mtl-prof-2.1.1-87121, libghc-unix-prof-2.5.1.0-77272 Provides: libghc-unlambda-prof-0.1-1a9b1 Homepage: http://hackage.haskell.org/package/unlambda Priority: extra Section: haskell Filename: pool/main/h/haskell-unlambda/libghc-unlambda-prof_0.1-2_armhf.deb Size: 39884 SHA256: 95c0c1cdfa3c7fb5abe9a448c76d3f282c81bd599bd02110a6a32a968ba86ef7 SHA1: 52479fece7e2913cf65a847745c0cc1bf8bd5a26 MD5sum: 1fd0580ae89a40520a6a1000a05a6dd9 Description: Unlambda interpreter library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is an interpreter of the Unlambda language, written in the pure, lazy, functional language Haskell. Package: libghc-unordered-containers-dev Source: haskell-unordered-containers Version: 0.2.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1363 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-hashable-dev-1.1.2.3-ea6fe, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-unordered-containers-doc, libghc-unordered-containers-prof Provides: libghc-unordered-containers-dev-0.2.1.0-eabe0 Homepage: http://hackage.haskell.org/package/unordered-containers Priority: extra Section: haskell Filename: pool/main/h/haskell-unordered-containers/libghc-unordered-containers-dev_0.2.1.0-1_armhf.deb Size: 300046 SHA256: 9929ef0aef19e76124ac002bf677b766b85e646ab6b8512cfcef3c156824e6ea SHA1: 332c0377e1cc4a348b0061047d7d1d14ee77e621 MD5sum: d5298d0fbeb7428f85a83feac37e6b0c Description: Efficient hashing-based container types This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains efficient hashing-based container types. The containers have been optimized for performance critical use, both in terms of large data quantities and high speed. . The declared cost of each operation is either worst-case or amortized, but remains valid even if structures are shared. Package: libghc-unordered-containers-doc Source: haskell-unordered-containers Version: 0.2.1.0-1 Installed-Size: 729 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hashable-doc, libghc-unordered-containers-dev Size: 78588 SHA256: dbd3ca664b12b7f1a1fbd2d11f6c4fe8061b479f4acece3b43ed45b061f661e4 SHA1: 1c4b9752138e04dfebec7f116690bd1786e339fb MD5sum: 60e8d313a740ae10a292240b63db1383 Description: Efficient hashing-based container types; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains efficient hashing-based container types. The containers have been optimized for performance critical use, both in terms of large data quantities and high speed. . The declared cost of each operation is either worst-case or amortized, but remains valid even if structures are shared. Homepage: http://hackage.haskell.org/package/unordered-containers Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-unordered-containers/libghc-unordered-containers-doc_0.2.1.0-1_all.deb Package: libghc-unordered-containers-prof Source: haskell-unordered-containers Version: 0.2.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1215 Depends: libghc-unordered-containers-dev (= 0.2.1.0-1), libghc-base-prof-4.5.0.0-d93df, libghc-deepseq-prof-1.3.0.0-6c19e, libghc-hashable-prof-1.1.2.3-ea6fe Provides: libghc-unordered-containers-prof-0.2.1.0-eabe0 Homepage: http://hackage.haskell.org/package/unordered-containers Priority: extra Section: haskell Filename: pool/main/h/haskell-unordered-containers/libghc-unordered-containers-prof_0.2.1.0-1_armhf.deb Size: 259716 SHA256: 9dac20270a20d421e19582a47fdd79f80d272eceaceff9c93b251e7dc342977d SHA1: a4448bac75ed23736ebd7ba23625e7db3f631cf3 MD5sum: 9cb54405ba1e68d61b8ee642439c0a63 Description: Efficient hashing-based container types; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It contains efficient hashing-based container types. The containers have been optimized for performance critical use, both in terms of large data quantities and high speed. . The declared cost of each operation is either worst-case or amortized, but remains valid even if structures are shared. Package: libghc-uri-dev Source: haskell-uri Version: 0.1.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1401 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-parsec-dev-3.1.2-aa52f, libghc-safe-dev-0.3.3-3fec0, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-uri-doc, libghc-uri-prof Provides: libghc-uri-dev-0.1.6-71219 Homepage: http://hackage.haskell.org/package/uri Priority: extra Section: haskell Filename: pool/main/h/haskell-uri/libghc-uri-dev_0.1.6-1_armhf.deb Size: 274010 SHA256: a3050863359b2e66ce3da33b3a5d373f5344e42bb0ed408b5778453476b0b735 SHA1: c4b814f608edf2a0acc2814e6d1715854cf81012 MD5sum: 667a5dfa731b695002980317f880e145 Description: Haskell Text.URI library - GHC libraries This is a Haskell library for working with URIs, including parsing, rendering, merging, escaping . This package contains the libraries compiled for GHC. Package: libghc-uri-doc Source: haskell-uri Version: 0.1.6-1 Installed-Size: 217 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-uri-dev Size: 38760 SHA256: 225c86a517d9d80adf179d54ef555cdc9d9c6bee391b4e9fd944742fcbdb66a2 SHA1: cab5c19d4ed7ccb3f86278b439ad21c1e2c8bf93 MD5sum: 57243beaf2450b8caf0faeec2951249e Description: Haskell Text.URI library - documentation This is a Haskell library for working with URIs, including parsing, rendering, merging, escaping . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/uri Section: doc Priority: extra Filename: pool/main/h/haskell-uri/libghc-uri-doc_0.1.6-1_all.deb Package: libghc-uri-prof Source: haskell-uri Version: 0.1.6-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1356 Depends: libghc-uri-dev (= 0.1.6-1), libghc-base-prof-4.5.0.0-d93df, libghc-parsec-prof-3.1.2-aa52f, libghc-safe-prof-0.3.3-3fec0, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-uri-prof-0.1.6-71219 Homepage: http://hackage.haskell.org/package/uri Priority: extra Section: haskell Filename: pool/main/h/haskell-uri/libghc-uri-prof_0.1.6-1_armhf.deb Size: 267604 SHA256: e78b14f340fe3238952908f62eb172fc79b586559c7c34eaae5903eebc089137 SHA1: fbcb4c6ba1882d0432715b74e689f710e2e3c175 MD5sum: eaece8c1899d7bfcda2c0c34fdc998b0 Description: Haskell Text.URI library - GHC profiling libraries This is a Haskell library for working with URIs, including parsing, rendering, merging, escaping . This package contains the profiling libraries compiled for GHC. Package: libghc-url-dev Source: haskell-url Version: 2.1.2-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 468 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-url-doc, libghc-url-prof Provides: libghc-url-dev-2.1.2-97b0b Homepage: http://hackage.haskell.org/package/url Priority: extra Section: haskell Filename: pool/main/h/haskell-url/libghc-url-dev_2.1.2-4_armhf.deb Size: 97268 SHA256: b0b2f013763771a230f2aca785b62a3a359e38afd14787a8400b1b45fe0d1cc4 SHA1: 7c20eb5937a4d72d59efe969a73ee92ae2884eaf MD5sum: 933f29926f0b301bfc8cd1ec18d1d633 Description: Haskell library for working with URLs - GHC libraries This library provides simple tools to parse and build URL strings. . This package contains the libraries compiled for GHC. Package: libghc-url-doc Source: haskell-url Version: 2.1.2-4 Installed-Size: 173 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-url-dev Size: 36986 SHA256: c36ee7e53776956af293f3faa37303b89eb7cfea690deb0731a27a443025681a SHA1: 09d9733b9e5ba56dc456499cdf9083d595be4ec0 MD5sum: e67651c0ccf99f0bb35481630865646d Description: Haskell library for working with URLs - documentation This library provides simple tools to parse and build URL strings. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/url Tag: devel::doc, devel::lang:haskell, devel::web, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-url/libghc-url-doc_2.1.2-4_all.deb Package: libghc-url-prof Source: haskell-url Version: 2.1.2-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 371 Depends: libghc-url-dev (= 2.1.2-4), libghc-base-prof-4.5.0.0-d93df, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-url-prof-2.1.2-97b0b Homepage: http://hackage.haskell.org/package/url Priority: extra Section: haskell Filename: pool/main/h/haskell-url/libghc-url-prof_2.1.2-4_armhf.deb Size: 76508 SHA256: cec57cbe1653f70e92d3d232de9446c4e90dfd94b3271efe381a427587765820 SHA1: 2740620dc84e9ed39138625703920bb58d02c912 MD5sum: e68f1b2e1fe4155b4cbc3e918deb05b0 Description: Haskell library for working with URLs - GHC profiling libraries This library provides simple tools to parse and build URL strings. . This package contains the profiling libraries compiled for GHC. Package: libghc-utf8-light-dev Source: haskell-utf8-light Version: 0.4.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 309 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-ghc-prim-dev-0.2.0.0-bd29c, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-utf8-light-doc, libghc-utf8-light-prof Provides: libghc-utf8-light-dev-0.4.0.1-eb100 Homepage: http://hackage.haskell.org/package/utf8-light Priority: extra Section: haskell Filename: pool/main/h/haskell-utf8-light/libghc-utf8-light-dev_0.4.0.1-2_armhf.deb Size: 55786 SHA256: a119e46a9e907ee3f9ee2bf03d1b25df2adee9a433cb820b3eb42495c0792d8d SHA1: 6fd8548d20e3fba4bc6925d6e5971f286ddfd918 MD5sum: 6d62331f0cab50bdadecca152a303409 Description: Lightweight UTF-8 handling library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a fast parser combinator library, aimed particularly at dealing efficiently with network protocols and complicated text/binary file formats. . It provides Codec.Binary.UTF8.Light for lightweight UTF-8 handling. Package: libghc-utf8-light-doc Source: haskell-utf8-light Version: 0.4.0.1-2 Installed-Size: 259 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-utf8-light-dev Size: 39902 SHA256: 3bc50100be465a091979582879464472e637c6106a80b9a560ec5f4f33dd61c9 SHA1: 66fe4ae095c20f03132c9b5c6d31aeabf1e0d3ac MD5sum: 5f087296d8f34ad414cb96593e5a2dd2 Description: Lightweight UTF-8 handling library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a fast parser combinator library, aimed particularly at dealing efficiently with network protocols and complicated text/binary file formats. . It provides Codec.Binary.UTF8.Light for lightweight UTF-8 handling. Homepage: http://hackage.haskell.org/package/utf8-light Tag: devel::doc, devel::lang:haskell, role::documentation, works-with::unicode Section: doc Priority: extra Filename: pool/main/h/haskell-utf8-light/libghc-utf8-light-doc_0.4.0.1-2_all.deb Package: libghc-utf8-light-prof Source: haskell-utf8-light Version: 0.4.0.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 254 Depends: libghc-utf8-light-dev (= 0.4.0.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-ghc-prim-prof-0.2.0.0-bd29c Provides: libghc-utf8-light-prof-0.4.0.1-eb100 Homepage: http://hackage.haskell.org/package/utf8-light Priority: extra Section: haskell Filename: pool/main/h/haskell-utf8-light/libghc-utf8-light-prof_0.4.0.1-2_armhf.deb Size: 48282 SHA256: d74ea0503f4eb4ed90932efe4bc3c9420bc087677916491ab832da5e9476b002 SHA1: 219c5b100b024a8e3d4912b4baa4fa1aa14e49d6 MD5sum: 196a611801a7237f10288b23440068b5 Description: Lightweight UTF-8 handling library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is a fast parser combinator library, aimed particularly at dealing efficiently with network protocols and complicated text/binary file formats. . It provides Codec.Binary.UTF8.Light for lightweight UTF-8 handling. Package: libghc-utf8-string-dev Source: haskell-utf8-string Version: 0.3.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 867 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-utf8-string-doc, libghc-utf8-string-prof Provides: libghc-utf8-string-dev-0.3.7-c7280 Homepage: http://github.com/glguy/utf8-string/ Priority: extra Section: haskell Filename: pool/main/h/haskell-utf8-string/libghc-utf8-string-dev_0.3.7-1_armhf.deb Size: 198518 SHA256: cbd39e4f255ae2cef330275773af2170321aa3f69180c35d4e7683d293baf8e8 SHA1: 121761fa276b37486df374c8f1f4ea8d219b1756 MD5sum: a9d213426d8921588af6a0a2f0162417 Description: GHC libraries for the Haskell UTF-8 library UTF8 layer for IO and Strings. The utf8-string package provides operations for encoding UTF8 strings to Word8 lists and back, and for reading and writing UTF8 without truncation. . This package contains the libraries compiled for GHC. Package: libghc-utf8-string-doc Source: haskell-utf8-string Version: 0.3.7-1 Installed-Size: 531 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-utf8-string-dev Size: 68328 SHA256: 2633ceb8e5fd55bbe4db51ce07ac173633c09aaf844ad6f30d8068741cd529f1 SHA1: a459b7a5b404a453e9d13cefecd50a72a68f650e MD5sum: 1b29e992e0078b8a9072a21f8acef853 Description: GHC libraries for the Haskell UTF-8 library (documentation) UTF8 layer for IO and Strings. The utf8-string package provides operations for encoding UTF8 strings to Word8 lists and back, and for reading and writing UTF8 without truncation. . This package contains the GHC library documentation. Homepage: http://github.com/glguy/utf8-string/ Tag: devel::doc, devel::lang:haskell, role::documentation, works-with::unicode Section: doc Priority: extra Filename: pool/main/h/haskell-utf8-string/libghc-utf8-string-doc_0.3.7-1_all.deb Package: libghc-utf8-string-prof Source: haskell-utf8-string Version: 0.3.7-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 732 Depends: libghc-utf8-string-dev (= 0.3.7-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-utf8-string-prof-0.3.7-c7280 Homepage: http://github.com/glguy/utf8-string/ Priority: extra Section: haskell Filename: pool/main/h/haskell-utf8-string/libghc-utf8-string-prof_0.3.7-1_armhf.deb Size: 168640 SHA256: dd782998b915c56c86f98ea83e7cd0302d54bfe073f1863c7b26ed7273ce8867 SHA1: 01ca0016f1ef4ba17f6fb496242ed8f5eac25f6b MD5sum: dceb5e72804d25b4676cd4580b4f5923 Description: Profiling support for the GHC Haskell UTF-8 library UTF8 layer for IO and Strings. The utf8-string package provides operations for encoding UTF8 strings to Word8 lists and back, and for reading and writing UTF8 without truncation. . This package contains additional GHC profiling libraries which can be used with libghc-utf8-string-dev. Package: libghc-utility-ht-dev Source: haskell-utility-ht Version: 0.0.5.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 951 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-utility-ht-doc, libghc-utility-ht-prof Provides: libghc-utility-ht-dev-0.0.5.1-a8f53 Homepage: http://hackage.haskell.org/package/utility-ht Priority: extra Section: haskell Filename: pool/main/h/haskell-utility-ht/libghc-utility-ht-dev_0.0.5.1-3_armhf.deb Size: 186990 SHA256: 93ac15598db1873c6aa60dc8d2bbc381a02e6eb1ac34fb0d534bec99e5a60074 SHA1: 14612e96b6bd53c478d368956ec0fd34b603b175 MD5sum: 5ec676eaa944bb3011a8e38e4d4e85a8 Description: Small helper functions for Lists, Maybes, Tuples and Functions This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides various small helper functions for Lists, Maybes, Tuples and Functions. Some of these functions are improved implementations of standard functions. They have the same name as their standard counterparts. Package: libghc-utility-ht-doc Source: haskell-utility-ht Version: 0.0.5.1-3 Installed-Size: 553 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-utility-ht-dev Size: 80684 SHA256: c06e9089b7a6e3e9b91efbd9987c1555c493dec5801aabcf570932ceaf91ed2d SHA1: 168300f6af5415667cac323d8bd0cdb8d12a02f1 MD5sum: 3fa1e675960401bdc4e5812c5a6c75ac Description: Small helper functions for Lists, Maybes, Tuples and Functions; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides various small helper functions for Lists, Maybes, Tuples and Functions. Some of these functions are improved implementations of standard functions. They have the same name as their standard counterparts. Homepage: http://hackage.haskell.org/package/utility-ht Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-utility-ht/libghc-utility-ht-doc_0.0.5.1-3_all.deb Package: libghc-utility-ht-prof Source: haskell-utility-ht Version: 0.0.5.1-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 916 Depends: libghc-utility-ht-dev (= 0.0.5.1-3), libghc-base-prof-4.5.0.0-d93df Provides: libghc-utility-ht-prof-0.0.5.1-a8f53 Homepage: http://hackage.haskell.org/package/utility-ht Priority: extra Section: haskell Filename: pool/main/h/haskell-utility-ht/libghc-utility-ht-prof_0.0.5.1-3_armhf.deb Size: 188238 SHA256: 4d8d8c6a723bbcaa1872470eb756d6cdd6c0f0442abe7aeac75f9a4d44d91a06 SHA1: 2213adb9337c6b458575e34d123cf33e218e68d0 MD5sum: 2d246c63e3aa38b661e7b9acff899c4b Description: profiling libraries for libghc-utility-ht-dev This package provides a library for the Haskell programming language compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides various small helper functions for Lists, Maybes, Tuples and Functions. Some of these functions are improved implementations of standard functions. They have the same name as their standard counterparts. Package: libghc-uuagc-cabal-dev Source: haskell-uuagc-cabal Version: 1.0.2.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2358 Depends: libghc-cabal-dev-1.14.0-3e0a6, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-mtl-dev-2.1.1-87121, libghc-process-dev-1.1.0.1-75c65, libghc-uulib-dev-0.9.14-679a1, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-uuagc-cabal-doc, libghc-uuagc-cabal-prof Provides: libghc-uuagc-cabal-dev-1.0.2.0-0c075 Homepage: http://hackage.haskell.org/package/uuagc-cabal Priority: extra Section: haskell Filename: pool/main/h/haskell-uuagc-cabal/libghc-uuagc-cabal-dev_1.0.2.0-1_armhf.deb Size: 408058 SHA256: d6af4e3eb44cd8ef19e6203afca37ad238fae11e188617d25bbba9ec0bbdd263 SHA1: f9d35da6dbd3f8ed319dd1750283ad23641c4d00 MD5sum: 976ca96bf2712962f1fc627bf106e9c7 Description: Cabal plugin for UUAGC Cabal plugin for the Universiteit Utrecht Attribute Grammar System. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-uuagc-cabal-doc Source: haskell-uuagc-cabal Version: 1.0.2.0-1 Installed-Size: 377 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-uuagc-cabal-dev Size: 52582 SHA256: 97488dddf8b36f710e91abe3c78f867d4b5bf45721fa00dab39499a37aab4e4a SHA1: b6dd1d8e1f51f4c4f9c4a50e710a9598a612957a MD5sum: b377bcfcdf28bd21e5b0de7501b17c09 Description: Cabal plugin for UUAGC; documentation Cabal plugin for the Universiteit Utrecht Attribute Grammar System. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/uuagc-cabal Section: doc Priority: extra Filename: pool/main/h/haskell-uuagc-cabal/libghc-uuagc-cabal-doc_1.0.2.0-1_all.deb Package: libghc-uuagc-cabal-prof Source: haskell-uuagc-cabal Version: 1.0.2.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1908 Depends: libghc-uuagc-cabal-dev (= 1.0.2.0-1), libghc-cabal-prof-1.14.0-3e0a6, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-mtl-prof-2.1.1-87121, libghc-process-prof-1.1.0.1-75c65, libghc-uulib-prof-0.9.14-679a1 Provides: libghc-uuagc-cabal-prof-1.0.2.0-0c075 Homepage: http://hackage.haskell.org/package/uuagc-cabal Priority: extra Section: haskell Filename: pool/main/h/haskell-uuagc-cabal/libghc-uuagc-cabal-prof_1.0.2.0-1_armhf.deb Size: 341662 SHA256: 0818df2bf759eca51f9e23ce06bdd0be5105c3c27fabd5d43c9710e2697c21fb SHA1: 2ee9a2a4b05de33eddfbc418e53a66fd0a7a6f59 MD5sum: 69a2bb6beca5eb20d56623e956e010a5 Description: Cabal plugin for UUAGC; profiling libraries Cabal plugin for the Universiteit Utrecht Attribute Grammar System. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-uuid-dev Source: haskell-uuid Version: 1.2.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 522 Depends: libghc-crypto-dev-4.2.4-7b77a, libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-maccatcher-dev-2.1.5-bfe27, libghc-random-dev-1.0.1.1-344e2, libghc-time-dev-1.4-ec63b, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-uuid-doc, libghc-uuid-prof Provides: libghc-uuid-dev-1.2.3-eae1d Homepage: http://hackage.haskell.org/package/uuid Priority: extra Section: haskell Filename: pool/main/h/haskell-uuid/libghc-uuid-dev_1.2.3-2_armhf.deb Size: 113884 SHA256: 2d5facefe9f7abc24e94fb5e4be31e31bc8bf75210e124cb68ef846adf2400bd SHA1: 27e10e46bdad5f8d163c3b40b231b8b82f99498d MD5sum: 48274290c3ed6a1a5f91d2172d5c2a2d Description: create, compare, parse and print Universally Unique Identifiers This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library is useful for creating, comparing, parsing and printing Universally Unique Identifiers. See http://en.wikipedia.org/wiki/UUID for the general idea. Package: libghc-uuid-doc Source: haskell-uuid Version: 1.2.3-2 Installed-Size: 253 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-random-doc, libghc-uuid-dev Size: 46080 SHA256: f15b67fd3b75f4ed8c7910ab991a68bf06c6a536520ebeba3dd2cd922c6bb552 SHA1: 9c94bd6d6e78586e5c4c8e6d0a6b8b1beaeb858c MD5sum: d7198626b49685331028d3a960c4d622 Description: create, compare, parse and print UUIDs; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library is useful for creating, comparing, parsing and printing Universally Unique Identifiers. See http://en.wikipedia.org/wiki/UUID for the general idea. Homepage: http://hackage.haskell.org/package/uuid Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-uuid/libghc-uuid-doc_1.2.3-2_all.deb Package: libghc-uuid-prof Source: haskell-uuid Version: 1.2.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 666 Depends: libghc-uuid-dev (= 1.2.3-2), libghc-crypto-prof-4.2.4-7b77a, libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-maccatcher-prof-2.1.5-bfe27, libghc-random-prof-1.0.1.1-344e2, libghc-time-prof-1.4-ec63b Provides: libghc-uuid-prof-1.2.3-eae1d Homepage: http://hackage.haskell.org/package/uuid Priority: extra Section: haskell Filename: pool/main/h/haskell-uuid/libghc-uuid-prof_1.2.3-2_armhf.deb Size: 149324 SHA256: fe113c3ba099a8afc8a0b44f72b294082d75e20ed51c3794d5a950f82b649afe SHA1: 48eb8cb15b2105698090c227d521a2ded2696a45 MD5sum: 176d432e11165e6437dab90260faca42 Description: create, compare, parse and print UUIDs; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library is useful for creating, comparing, parsing and printing Universally Unique Identifiers. See http://en.wikipedia.org/wiki/UUID for the general idea. Package: libghc-uulib-dev Source: haskell-uulib Version: 0.9.14-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2520 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-ghc-prim-dev-0.2.0.0-bd29c Suggests: libghc-uulib-doc, libghc-uulib-prof Provides: libghc-uulib-dev-0.9.14-679a1 Homepage: http://www.cs.uu.nl/wiki/HUT/WebHome Priority: extra Section: haskell Filename: pool/main/h/haskell-uulib/libghc-uulib-dev_0.9.14-2_armhf.deb Size: 617488 SHA256: 2cd2057fff80f1586968d2bd737d3bd6863ee980e6a323dc4dfbf4863d42ce2d SHA1: e3abe3f1d2cc2e2d2811b63f85874ccffaccc221 MD5sum: 713729b7277509d849d1a0af6912e1b5 Description: parser and pretty print combinator library for GHC The uulib Haskell library contains fast, error repairing parser combinators (UU.Parsing), pretty print combinators (UU.Pretty) and a set of data structures and algorithms for working with sets and sequences (UU.DData) for Haskell. . The library is developed at Utrecht University and is part of the Haskell Utrecht Tools. Package: libghc-uulib-doc Source: haskell-uulib Version: 0.9.14-2 Installed-Size: 1731 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-uulib-doc (<< 0.9.10-2) Provides: haskell-uulib-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-uulib-dev Conflicts: haskell-uulib-doc (<< 0.9.10-2) Size: 175188 SHA256: 25e09414b89be0d71285b8ca70dc6c888007865d9826f8c049ff8d76c9d34753 SHA1: 5f52bdf86a1f7a65a5922e07a480a76d2c400594 MD5sum: 92b4558b5b4040b74c7909ab44acace9 Description: API documentation for uulib Haskell library The API documentation of the uulib parser and pretty print combinator library. . The uulib Haskell library contains fast, error repairing parser combinators (UU.Parsing), pretty print combinators (UU.Pretty) and a set of data structures and algorithms for working with sets and sequences (UU.DData) for Haskell. . The library is developed at Utrecht University and is part of the Haskell Utrecht Tools. Homepage: http://www.cs.uu.nl/wiki/HUT/WebHome Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-uulib/libghc-uulib-doc_0.9.14-2_all.deb Package: libghc-uulib-prof Source: haskell-uulib Version: 0.9.14-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2624 Depends: libghc-uulib-dev (= 0.9.14-2), libghc-base-prof-4.5.0.0-d93df, libghc-ghc-prim-prof-0.2.0.0-bd29c Provides: libghc-uulib-prof-0.9.14-679a1 Homepage: http://www.cs.uu.nl/wiki/HUT/WebHome Priority: extra Section: haskell Filename: pool/main/h/haskell-uulib/libghc-uulib-prof_0.9.14-2_armhf.deb Size: 615636 SHA256: d2767b704100063296c84a2c2f0cd1266017418908a05e699b0e2925c5aa9674 SHA1: 2532736d6845166886581a7d6fc4eb3f2fa3c835 MD5sum: 5fa1341220b0a2f7d3743951e79b507e Description: profiling parser and pretty print combinator library for GHC The uulib Haskell library contains fast, error repairing parser combinators (UU.Parsing), pretty print combinators (UU.Pretty) and a set of data structures and algorithms for working with sets and sequences (UU.DData) for Haskell. . The library is developed at Utrecht University and is part of the Haskell Utrecht Tools. . This package contains additional profiling libraries which can be used with ghcprof. Package: libghc-vault-dev Source: haskell-vault Version: 0.2.0.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 401 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-hashable-dev-1.1.2.3-ea6fe, libghc-unordered-containers-dev-0.2.1.0-eabe0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-vault-doc, libghc-vault-prof Provides: libghc-vault-dev-0.2.0.0-2cbca Homepage: http://hackage.haskell.org/package/vault Priority: extra Section: haskell Filename: pool/main/h/haskell-vault/libghc-vault-dev_0.2.0.0-1_armhf.deb Size: 91744 SHA256: 1917580be0c2dcf6f5f9b75fcd4d8ff43620fa468a542e7a9772a78fb16c518a SHA1: d3eab1bcc778d9a00320431c4625718810e43a7d MD5sum: b127681d125e5c8b0c9a6df4b3e280d2 Description: typed, persistent store for values of arbitrary types This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . A vault is a typed, persistent store for values of arbitrary types. It's like having first-class access to the storage space behind IORefs. . The data structure is analogous to a bank vault, where you can access different bank boxes with different keys; hence the name. Package: libghc-vault-doc Source: haskell-vault Version: 0.2.0.0-1 Installed-Size: 146 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hashable-doc, libghc-vault-dev Size: 37260 SHA256: f000794a70dbedca9a6964315487f261bb46e8030dbe1d9b657c073e1d4afb60 SHA1: 980b1bb6ae554f3a46c4dbf99460229950a1eee0 MD5sum: bb301c296a4f501ab47695b9812f24d3 Description: typed, persistent store for values of arbitrary types; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . A vault is a typed, persistent store for values of arbitrary types. It's like having first-class access to the storage space behind IORefs. . The data structure is analogous to a bank vault, where you can access different bank boxes with different keys; hence the name. Homepage: http://hackage.haskell.org/package/vault Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-vault/libghc-vault-doc_0.2.0.0-1_all.deb Package: libghc-vault-prof Source: haskell-vault Version: 0.2.0.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 335 Depends: libghc-vault-dev (= 0.2.0.0-1), libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-hashable-prof-1.1.2.3-ea6fe, libghc-unordered-containers-prof-0.2.1.0-eabe0 Provides: libghc-vault-prof-0.2.0.0-2cbca Homepage: http://hackage.haskell.org/package/vault Priority: extra Section: haskell Filename: pool/main/h/haskell-vault/libghc-vault-prof_0.2.0.0-1_armhf.deb Size: 75934 SHA256: 104c80f7505a7044df4c9d70e4d6768ac8871cb19e22666badef764c39d79903 SHA1: 3d0acb0b0732fec114c8c5777a3913c7530f1df5 MD5sum: 11ccb69cedc0a3b885fcb4a0cd70ff2e Description: typed, persistent store for values of arbitrary types; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . A vault is a typed, persistent store for values of arbitrary types. It's like having first-class access to the storage space behind IORefs. . The data structure is analogous to a bank vault, where you can access different bank boxes with different keys; hence the name. Package: libghc-vector-algorithms-dev Source: haskell-vector-algorithms Version: 0.5.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 5301 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-primitive-dev-0.4.1-342b9, libghc-vector-dev-0.9.1-8e782, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-vector-algorithms-doc, libghc-vector-algorithms-prof Provides: libghc-vector-algorithms-dev-0.5.4-31462 Homepage: http://hackage.haskell.org/package/vector-algorithms Priority: extra Section: haskell Filename: pool/main/h/haskell-vector-algorithms/libghc-vector-algorithms-dev_0.5.4-1_armhf.deb Size: 981934 SHA256: 4faed2c982aaccaeea2b66f906670a154c3b64030d4f9937ef875484445e8c8d SHA1: 8fc07d8ebfb06c2f192a7efc2de9c9bba31188d0 MD5sum: 7cb289b424a29f52a5d47b4135d56dbc Description: Efficient algorithms for vector array This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Efficient algorithms for vector arrays Package: libghc-vector-algorithms-doc Source: haskell-vector-algorithms Version: 0.5.4-1 Installed-Size: 616 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-primitive-doc, libghc-vector-doc, libghc-vector-algorithms-dev Size: 67806 SHA256: 4bd91c4b9679ac9f7a0736d71baa63111641598e6a78715d1563f23fc8950292 SHA1: 894cb168721fda496683c14b4cd2c7de78886473 MD5sum: 7e7dd4c7323fe5dd0e4f9a6109373644 Description: Efficient algorithms for vector arrays; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Efficient algorithms for vector arrays Homepage: http://hackage.haskell.org/package/vector-algorithms Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-vector-algorithms/libghc-vector-algorithms-doc_0.5.4-1_all.deb Package: libghc-vector-algorithms-prof Source: haskell-vector-algorithms Version: 0.5.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 5650 Depends: libghc-vector-algorithms-dev (= 0.5.4-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-primitive-prof-0.4.1-342b9, libghc-vector-prof-0.9.1-8e782 Provides: libghc-vector-algorithms-prof-0.5.4-31462 Homepage: http://hackage.haskell.org/package/vector-algorithms Priority: extra Section: haskell Filename: pool/main/h/haskell-vector-algorithms/libghc-vector-algorithms-prof_0.5.4-1_armhf.deb Size: 1104906 SHA256: 2ca7a4a6260a5903d64df06ef5ca92b6fa54277738805704d61893794590e45b SHA1: 08b7fbb657b03aac71d6fa925acd398670ff97dc MD5sum: aa69173ac654a62e85eb788feeff62c5 Description: Efficient algorithms for vector arrays; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Efficient algorithms for vector arrays Package: libghc-vector-dev Source: haskell-vector Version: 0.9.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 12492 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-ghc-prim-dev-0.2.0.0-bd29c, libghc-primitive-dev-0.4.1-342b9, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-vector-doc, libghc-vector-prof Provides: libghc-vector-dev-0.9.1-8e782 Homepage: http://hackage.haskell.org/package/vector Priority: extra Section: haskell Filename: pool/main/h/haskell-vector/libghc-vector-dev_0.9.1-2_armhf.deb Size: 2542308 SHA256: 23817ff648e4d2a6b3e9c05fb4680e76a8d4960a6f453e1c275e7a43da7fde4e SHA1: e566639713da1d4bab528de993e4322ddbd3b637 MD5sum: 9771758a57964f2cca0505bde3018754 Description: Efficient Arrays for Haskell This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . An efficient implementation of Int-indexed arrays (both mutable and immutable), with a powerful loop fusion optimization framework. Package: libghc-vector-doc Source: haskell-vector Version: 0.9.1-2 Installed-Size: 6415 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-primitive-doc, libghc-vector-dev Size: 515722 SHA256: 950ef7708d6934654a1e06b1cef58e5db6f0a1f28d7870b14cf26b7d92e88352 SHA1: ad0f86c76307702fd5cb7b712f47b807a065b390 MD5sum: a3a547fc988e90f5656756cd20e183df Description: Efficient Arrays for Haskell; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . An efficient implementation of Int-indexed arrays (both mutable and immutable), with a powerful loop fusion optimization framework. Homepage: http://hackage.haskell.org/package/vector Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-vector/libghc-vector-doc_0.9.1-2_all.deb Package: libghc-vector-prof Source: haskell-vector Version: 0.9.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 11641 Depends: libghc-vector-dev (= 0.9.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-ghc-prim-prof-0.2.0.0-bd29c, libghc-primitive-prof-0.4.1-342b9 Provides: libghc-vector-prof-0.9.1-8e782 Homepage: http://hackage.haskell.org/package/vector Priority: extra Section: haskell Filename: pool/main/h/haskell-vector/libghc-vector-prof_0.9.1-2_armhf.deb Size: 2331272 SHA256: c15cf5dc9999e59b5068da77261d12edf8e2db81b365537ccc7082995493f445 SHA1: 413de8ec79a272ff6b638aef392f74e4677d5f45 MD5sum: e4f120a78756946df8b62fc7511433a1 Description: Efficient Arrays for Haskell; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . An efficient implementation of Int-indexed arrays (both mutable and immutable), with a powerful loop fusion optimization framework. Package: libghc-vector-space-dev Source: haskell-vector-space Version: 0.8.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1356 Depends: libghc-boolean-dev-0.0.1-b1d16, libghc-memotrie-dev-0.5-8d65d, libghc-numinstances-dev-1.0-a7781, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-vector-space-doc, libghc-vector-space-prof Provides: libghc-vector-space-dev-0.8.1-23447 Homepage: http://hackage.haskell.org/package/vector-space Priority: extra Section: haskell Filename: pool/main/h/haskell-vector-space/libghc-vector-space-dev_0.8.1-1_armhf.deb Size: 286738 SHA256: 75536771092236c60f6d2b5e93a44c8a9a3d8168f0819985269bde2784347a1f SHA1: 07233632e2e318ad8e9c914497b936dd41891617 MD5sum: 07cf114ac20fd1cec0ada0150c449979 Description: Vector & affine spaces, linear maps, and derivatives library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . vector-space provides classes and generic operations for vector spaces and affine spaces. It also defines a type of infinite towers of generalized derivatives. A generalized derivative is a linear transformation rather than one of the common concrete representations (scalars, vectors, matrices, ...). Package: libghc-vector-space-doc Source: haskell-vector-space Version: 0.8.1-1 Installed-Size: 515 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-boolean-doc, libghc-memotrie-doc, libghc-vector-space-dev Size: 69754 SHA256: ea731c56ab8c2e0d61c7ae8a131a765b5a780978c09cfc2b2ceff9954f139489 SHA1: 3048c7ea756e913e1fcdd6c73d2f57d469614c70 MD5sum: 257a709c54219224c3fec796febed792 Description: Vector & affine spaces, linear maps, and derivatives library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . vector-space provides classes and generic operations for vector spaces and affine spaces. It also defines a type of infinite towers of generalized derivatives. A generalized derivative is a linear transformation rather than one of the common concrete representations (scalars, vectors, matrices, ...). Homepage: http://hackage.haskell.org/package/vector-space Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-vector-space/libghc-vector-space-doc_0.8.1-1_all.deb Package: libghc-vector-space-points-dev Source: haskell-vector-space-points Version: 0.1.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 238 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-newtype-dev-0.2-0c5fe, libghc-vector-space-dev-0.8.1-23447, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-vector-space-points-doc, libghc-vector-space-points-prof Provides: libghc-vector-space-points-dev-0.1.1.0-0c2ef Homepage: http://hackage.haskell.org/package/vector-space-points Priority: extra Section: haskell Filename: pool/main/h/haskell-vector-space-points/libghc-vector-space-points-dev_0.1.1.0-1_armhf.deb Size: 39968 SHA256: 168a22f271c3a49608646d6c2afe4adc1da71840878b866f4ce2dc16e74e2765 SHA1: b1effbe26bdbc3143b3b6ccb655d128db293cefe MD5sum: 16ed714c432f055718ac5d913886dec9 Description: A type for points in a vector space A type for points, as distinct from vectors, built on top of Data.AffineSpace. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-vector-space-points-doc Source: haskell-vector-space-points Version: 0.1.1.0-1 Installed-Size: 106 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-newtype-doc, libghc-vector-space-doc, libghc-vector-space-points-dev Size: 29032 SHA256: aa2b9f70a234f6ba8db4d2f243a07a0a738e6730cff675a3fdb19300065a9b57 SHA1: 436651489332b160584e39a5f6159c109528d635 MD5sum: e538021fb9c8aa03aade45433d697caf Description: A type for points in a vector space; documentation A type for points, as distinct from vectors, built on top of Data.AffineSpace. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/vector-space-points Section: doc Priority: extra Filename: pool/main/h/haskell-vector-space-points/libghc-vector-space-points-doc_0.1.1.0-1_all.deb Package: libghc-vector-space-points-prof Source: haskell-vector-space-points Version: 0.1.1.0-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 215 Depends: libghc-vector-space-points-dev (= 0.1.1.0-1), libghc-base-prof-4.5.0.0-d93df, libghc-newtype-prof-0.2-0c5fe, libghc-vector-space-prof-0.8.1-23447 Provides: libghc-vector-space-points-prof-0.1.1.0-0c2ef Homepage: http://hackage.haskell.org/package/vector-space-points Priority: extra Section: haskell Filename: pool/main/h/haskell-vector-space-points/libghc-vector-space-points-prof_0.1.1.0-1_armhf.deb Size: 38478 SHA256: d2acba007dacd207786b6d98281660f6d9351e093720b0616aa1b08c468070d2 SHA1: ef69c206049831a3513fddc5bbc7fdce75104a64 MD5sum: e06a1fc5de71fdd819f460572e3e8ed6 Description: A type for points in a vector space; profiling libraries A type for points, as distinct from vectors, built on top of Data.AffineSpace. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-vector-space-prof Source: haskell-vector-space Version: 0.8.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1333 Depends: libghc-vector-space-dev (= 0.8.1-1), libghc-boolean-prof-0.0.1-b1d16, libghc-memotrie-prof-0.5-8d65d, libghc-numinstances-prof-1.0-a7781, libghc-base-prof-4.5.0.0-d93df Provides: libghc-vector-space-prof-0.8.1-23447 Homepage: http://hackage.haskell.org/package/vector-space Priority: extra Section: haskell Filename: pool/main/h/haskell-vector-space/libghc-vector-space-prof_0.8.1-1_armhf.deb Size: 288702 SHA256: 820ffbefdd77af7f7c444524d51e9418fd4206a71e3178b99985b8162702be9c SHA1: 34cad65c4cdde0acfb91b9baaf6688c9d9ec5190 MD5sum: d09db3c6bd969f6b27188aa4032b7ba1 Description: Vector & affine spaces, linear maps, and derivatives library; profiling libs This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . vector-space provides classes and generic operations for vector spaces and affine spaces. It also defines a type of infinite towers of generalized derivatives. A generalized derivative is a linear transformation rather than one of the common concrete representations (scalars, vectors, matrices, ...). Package: libghc-void-dev Source: haskell-void Version: 0.5.5.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 196 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-semigroups-dev-0.8.3.2-01002, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-void-doc, libghc-void-prof Provides: libghc-void-dev-0.5.5.1-172a3 Homepage: http://hackage.haskell.org/package/void Priority: extra Section: haskell Filename: pool/main/h/haskell-void/libghc-void-dev_0.5.5.1-2_armhf.deb Size: 36488 SHA256: ce611f05f97db47bd82e1cd1f4ac3c1771d8a4841dfb88d2c410b009418e7e06 SHA1: e61e1de47b0840492e76c5f6146f746a2d9572c9 MD5sum: 334f33193d2ff4a2548cfccdb5e4f457 Description: Haskell 98 logically uninhabited data type Haskell 98 logically uninhabited data type. Used to indicate that a given term should not exist. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-void-doc Source: haskell-void Version: 0.5.5.1-2 Installed-Size: 100 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-semigroups-doc, libghc-void-dev Size: 28298 SHA256: 9084e62a447e2a977d2b9ae575580fedfe02ba39d704ae962f605cc3aa1f0051 SHA1: 0aacd08a613d6a0a7b2669a38f070181c3b2f32b MD5sum: 81290976763fae9a115abd189bf43717 Description: Haskell 98 logically uninhabited data type; documentation Haskell 98 logically uninhabited data type. Used to indicate that a given term should not exist. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/void Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-void/libghc-void-doc_0.5.5.1-2_all.deb Package: libghc-void-prof Source: haskell-void Version: 0.5.5.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 171 Depends: libghc-void-dev (= 0.5.5.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-semigroups-prof-0.8.3.2-01002 Provides: libghc-void-prof-0.5.5.1-172a3 Homepage: http://hackage.haskell.org/package/void Priority: extra Section: haskell Filename: pool/main/h/haskell-void/libghc-void-prof_0.5.5.1-2_armhf.deb Size: 33526 SHA256: 1ccfd129036784735659e0800e7122e6fbe43690fa12e27c269cfb22f724de3a SHA1: 5221edd26d056ad74ecd30d809eb882451cbe586 MD5sum: 033dcc3df73f83322c42c34db05c6735 Description: Haskell 98 logically uninhabited data type; profiling data Haskell 98 logically uninhabited data type. Used to indicate that a given term should not exist. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-vte-dev Source: haskell-vte Version: 0.12.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1613 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-glib-dev-0.12.2-64951, libghc-gtk-dev-0.12.3-8d42f, libghc-pango-dev-0.12.2-70f76, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgmp10, libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libvte9 (>= 1:0.24.0), libx11-6, zlib1g (>= 1:1.1.4), libvte-dev Suggests: libghc-vte-doc, libghc-vte-prof Provides: libghc-vte-dev-0.12.1-7829a Homepage: http://hackage.haskell.org/package/vte Priority: extra Section: haskell Filename: pool/main/h/haskell-vte/libghc-vte-dev_0.12.1-1_armhf.deb Size: 285230 SHA256: 37a2f5931aacd19de5d20ffa8086a36d09d6b3ccc9fd60f424fb3665c7ff95a1 SHA1: 301589185783f24093fb1779ada96dded272c876 MD5sum: 2e6676cfe3b8698261eabfddd727cc7a Description: Binding to the VTE library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The VTE library inserts terminal capability strings into a trie, and then uses it to determine if data received from a pseudo-terminal is a control sequence or just random data. The sample program interpret illustrates more or less what the widget sees after it filters incoming data. Package: libghc-vte-doc Source: haskell-vte Version: 0.12.1-1 Installed-Size: 1064 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-glib-doc, libghc-gtk-doc, libghc-pango-doc, libghc-vte-dev Size: 123300 SHA256: 465933c877c6ea5ec0a5e7da762f7bfa2a6c99733c38109d8a01c41a6b31d82d SHA1: ec83a2d49aa88149e1057f09fbd073a087da53d8 MD5sum: 034154e0e2f106a71fc26826fc693195 Description: Binding to the VTE library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The VTE library inserts terminal capability strings into a trie, and then uses it to determine if data received from a pseudo-terminal is a control sequence or just random data. The sample program interpret illustrates more or less what the widget sees after it filters incoming data. Homepage: http://hackage.haskell.org/package/vte Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-vte/libghc-vte-doc_0.12.1-1_all.deb Package: libghc-vte-prof Source: haskell-vte Version: 0.12.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1383 Depends: libghc-vte-dev (= 0.12.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-glib-prof-0.12.2-64951, libghc-gtk-prof-0.12.3-8d42f, libghc-pango-prof-0.12.2-70f76 Provides: libghc-vte-prof-0.12.1-7829a Homepage: http://hackage.haskell.org/package/vte Priority: extra Section: haskell Filename: pool/main/h/haskell-vte/libghc-vte-prof_0.12.1-1_armhf.deb Size: 252414 SHA256: 75267e27d7e35534b548f0656a96dc360a05f851473a6a0eaf46f5b7e5b66585 SHA1: 9615d10cad2a23594a467c4ef96ffc5bd3f61cf0 MD5sum: d30cb93007ce05ae8b1997bea38d4ac6 Description: Binding to the VTE library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . The VTE library inserts terminal capability strings into a trie, and then uses it to determine if data received from a pseudo-terminal is a control sequence or just random data. The sample program interpret illustrates more or less what the widget sees after it filters incoming data. Package: libghc-vty-dev Source: haskell-vty Version: 4.7.0.14-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3684 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-deepseq-dev-1.3.0.0-6c19e, libghc-ghc-prim-dev-0.2.0.0-bd29c, libghc-mtl-dev-2.1.1-87121, libghc-parallel-dev-3.2.0.2-e513f, libghc-parsec-dev-3.1.2-aa52f, libghc-terminfo-dev-0.3.2.3-8ee22, libghc-unix-dev-2.5.1.0-77272, libghc-utf8-string-dev-0.3.7-c7280, libghc-vector-dev-0.9.1-8e782, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libncurses5 (>= 5.5-5~), libtinfo5 Suggests: libghc-vty-doc, libghc-vty-prof Provides: libghc-vty-dev-4.7.0.14-e36c5 Homepage: http://hackage.haskell.org/package/vty Priority: extra Section: haskell Filename: pool/main/h/haskell-vty/libghc-vty-dev_4.7.0.14-1_armhf.deb Size: 817660 SHA256: 36255d0ac50ed9063724a43aa6e541e10bc46969c378b8534da8db5d113f93eb SHA1: f7d4862b3c69f81a9738e6ba3882a1b1750970a2 MD5sum: 3d02639912a5569c076462e77ab12e00 Description: Terminal interface library for ghc This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Vty is a terminal interface/control library for Haskell. It has a very easy API. Package: libghc-vty-doc Source: haskell-vty Version: 4.7.0.14-1 Installed-Size: 1026 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-terminfo-doc, libghc-transformers-doc, libghc-vty-dev Size: 131070 SHA256: c8ceebac91eb767e7530aa3e499f88c492446273eff97d20044a9a7bf0f0c99b SHA1: 716fca7d3652f0649376ce8a88dc08c5f6c8ec00 MD5sum: fafa962955b4ef65912c9ce8b66baf6c Description: Terminal interface library for ghc; API documentation This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Vty is a terminal interface/control library for Haskell. It has a very easy API. Homepage: http://hackage.haskell.org/package/vty Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-vty/libghc-vty-doc_4.7.0.14-1_all.deb Package: libghc-vty-prof Source: haskell-vty Version: 4.7.0.14-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3321 Depends: libghc-vty-dev (= 4.7.0.14-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-deepseq-prof-1.3.0.0-6c19e, libghc-ghc-prim-prof-0.2.0.0-bd29c, libghc-mtl-prof-2.1.1-87121, libghc-parallel-prof-3.2.0.2-e513f, libghc-parsec-prof-3.1.2-aa52f, libghc-terminfo-prof-0.3.2.3-8ee22, libghc-unix-prof-2.5.1.0-77272, libghc-utf8-string-prof-0.3.7-c7280, libghc-vector-prof-0.9.1-8e782 Provides: libghc-vty-prof-4.7.0.14-e36c5 Homepage: http://hackage.haskell.org/package/vty Priority: extra Section: haskell Filename: pool/main/h/haskell-vty/libghc-vty-prof_4.7.0.14-1_armhf.deb Size: 727006 SHA256: 85af9897a5ff2c8a3c7c61b616aec2c0de26142ee284a33d933b94656aecd13c SHA1: fd9b53e050516f0650d1a741b7113569acc4b418 MD5sum: cf17316f7219c4e91b2db524f7da15fa Description: Terminal interface library for ghc; profiling libraries This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Vty is a terminal interface/control library for Haskell. It has a very easy API. Package: libghc-wai-app-file-cgi-doc Source: haskell-wai-app-file-cgi Version: 0.5.8-1 Installed-Size: 366 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-http-conduit-doc, libghc-http-date-doc, libghc-http-types-doc, libghc-wai-doc, libghc-wai-logger-doc, libghc-wai-app-file-cgi-dev Size: 61400 SHA256: 6eb226f518e3460561beca46c730115a7f0fc9e1268c7ad793c350c9e8ad0403 SHA1: 98e936264755aa1e5798bff8f5cba78626322766 MD5sum: bf78947950f217148f196735c03ba1df Description: file/CGI app of WAI; documentation This WAI application handles static files and executes CGI scripts. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-wai-app-file-cgi Section: doc Priority: extra Filename: pool/main/h/haskell-wai-app-file-cgi/libghc-wai-app-file-cgi-doc_0.5.8-1_all.deb Package: libghc-wai-app-static-doc Source: haskell-wai-app-static Version: 1.2.0.3-1 Installed-Size: 276 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-http-types-doc, libghc-wai-doc, libghc-wai-app-static-dev Size: 50110 SHA256: 9814bebda494de9f5488d64f5580e3d27e0a98e84750a1d5d151e062955f6d9d SHA1: f429dfadc2daa5fe68ebeff3c4a216cb943d3044 MD5sum: 935249edba7a8f6b592fcc80256bd731 Description: framework for type-safe, RESTful web applications; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. Homepage: http://hackage.haskell.org/package/wai-app-static Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-wai-app-static/libghc-wai-app-static-doc_1.2.0.3-1_all.deb Package: libghc-wai-dev Source: haskell-wai Version: 1.2.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 176 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-conduit-dev-0.4.2-2f3e1, libghc-http-types-dev-0.6.11-d91ec, libghc-network-dev-2.3.0.13-9c99a, libghc-text-dev-0.11.2.0-05a46, libghc-transformers-dev-0.3.0.0-e8222, libghc-vault-dev-0.2.0.0-2cbca, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-wai-doc, libghc-wai-prof Provides: libghc-wai-dev-1.2.0.2-c2018 Homepage: http://hackage.haskell.org/package/wai Priority: extra Section: haskell Filename: pool/main/h/haskell-wai/libghc-wai-dev_1.2.0.2-1_armhf.deb Size: 32844 SHA256: ce349d0fd8bc83c12089bb2aa4721419e61df541f8108ea62759e030e720ff82 SHA1: aa36f647a1078dc8a311799f811ac4ba72999253 MD5sum: 36055aeb6ccb1edf02947102db3f1c01 Description: web application interface This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides a common protocol for communication between web applications and web servers. Package: libghc-wai-doc Source: haskell-wai Version: 1.2.0.2-1 Installed-Size: 112 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-builder-doc, libghc-conduit-doc, libghc-http-types-doc, libghc-vault-doc, libghc-wai-dev Size: 39372 SHA256: ca4f2bb0c94522416d3b78a09aaea36ecdbb11488196716e5b2c9b871e411fbe SHA1: f94ee99c35b5579873021cfe2d93678d86eb43f1 MD5sum: bcfc1d6af49e5b9334c409538ee440d5 Description: web application interface; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides a common protocol for communication between web applications and web servers. Homepage: http://hackage.haskell.org/package/wai Tag: devel::doc, devel::lang:haskell, devel::web, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-wai/libghc-wai-doc_1.2.0.2-1_all.deb Package: libghc-wai-extra-dev Source: haskell-wai-extra Version: 1.2.0.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1355 Depends: libghc-ansi-terminal-dev-0.5.5-3c75c, libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-blaze-builder-conduit-dev-0.4.0.2-11a67, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-case-insensitive-dev-0.4.0.1-fae0c, libghc-conduit-dev-0.4.2-2f3e1, libghc-data-default-dev-0.4.0-329c5, libghc-directory-dev-1.1.0.2-57272, libghc-fast-logger-dev-0.0.2-3cb93, libghc-http-types-dev-0.6.11-d91ec, libghc-network-dev-2.3.0.13-9c99a, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-resourcet-dev-0.3.2.1-e4216, libghc-text-dev-0.11.2.0-05a46, libghc-time-dev-1.4-ec63b, libghc-transformers-dev-0.3.0.0-e8222, libghc-wai-dev-1.2.0.2-c2018, libghc-zlib-conduit-dev-0.4.0.1-27784, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4) Suggests: libghc-wai-extra-doc, libghc-wai-extra-prof Provides: libghc-wai-extra-dev-1.2.0.4-f4583 Homepage: http://hackage.haskell.org/package/wai-extra Priority: extra Section: haskell Filename: pool/main/h/haskell-wai-extra/libghc-wai-extra-dev_1.2.0.4-1_armhf.deb Size: 317624 SHA256: 4db16a89e8e38e5652f87c5c9a98fa61d9f26fc050dfad1801c5b3247d3e63eb SHA1: 2533445277155bfb744b6bf180ccae72e3b97352 MD5sum: db06faf99930b9207a96442e8256073a Description: some basic WAI handlers and middleware This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . wai is a common protocol for communication between web aplications and web servers. . This library provides some common features not tied to a particular web application or web server. Package: libghc-wai-extra-doc Source: haskell-wai-extra Version: 1.2.0.4-1 Installed-Size: 402 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-conduit-doc, libghc-data-default-doc, libghc-http-types-doc, libghc-wai-doc, libghc-wai-extra-dev Size: 64478 SHA256: 30211402849196f799d7cea51a1d8f37c4a838107c22b92dfa0243324911ced9 SHA1: a233ccc6e8d6e7948e766426b9764f6946b10000 MD5sum: 34280bfb96a6a9f6ef8f0f424349c14a Description: some basic WAI handlers and middleware; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . wai is a common protocol for communication between web aplications and web servers. . This library provides some common features not tied to a particular web application or web server. Homepage: http://hackage.haskell.org/package/wai-extra Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-wai-extra/libghc-wai-extra-doc_1.2.0.4-1_all.deb Package: libghc-wai-extra-prof Source: haskell-wai-extra Version: 1.2.0.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1223 Depends: libghc-wai-extra-dev (= 1.2.0.4-1), libghc-ansi-terminal-prof-0.5.5-3c75c, libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-blaze-builder-conduit-prof-0.4.0.2-11a67, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-case-insensitive-prof-0.4.0.1-fae0c, libghc-conduit-prof-0.4.2-2f3e1, libghc-data-default-prof-0.4.0-329c5, libghc-directory-prof-1.1.0.2-57272, libghc-fast-logger-prof-0.0.2-3cb93, libghc-http-types-prof-0.6.11-d91ec, libghc-network-prof-2.3.0.13-9c99a, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-resourcet-prof-0.3.2.1-e4216, libghc-text-prof-0.11.2.0-05a46, libghc-time-prof-1.4-ec63b, libghc-transformers-prof-0.3.0.0-e8222, libghc-wai-prof-1.2.0.2-c2018, libghc-zlib-conduit-prof-0.4.0.1-27784 Provides: libghc-wai-extra-prof-1.2.0.4-f4583 Homepage: http://hackage.haskell.org/package/wai-extra Priority: extra Section: haskell Filename: pool/main/h/haskell-wai-extra/libghc-wai-extra-prof_1.2.0.4-1_armhf.deb Size: 291518 SHA256: e2884ec33ec4b599f6f786597c4fd863303bfbf1c97932b8287b476515668a10 SHA1: 3dbe439ec74d4c6c59c4fa65eab85ec6f25f7864 MD5sum: 906e681375e0de6f2d6d387440ea7363 Description: some basic WAI handlers and middleware; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . wai is a common protocol for communication between web aplications and web servers. . This library provides some common features not tied to a particular web application or web server. Package: libghc-wai-logger-dev Source: haskell-wai-logger Version: 0.1.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 338 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-byteorder-dev-1.0.3-f3599, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-case-insensitive-dev-0.4.0.1-fae0c, libghc-fast-logger-dev-0.0.2-3cb93, libghc-http-types-dev-0.6.11-d91ec, libghc-network-dev-2.3.0.13-9c99a, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-time-dev-1.4-ec63b, libghc-unix-dev-2.5.1.0-77272, libghc-wai-dev-1.2.0.2-c2018, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-wai-logger-doc, libghc-wai-logger-prof Provides: libghc-wai-logger-dev-0.1.4-1737b Homepage: http://hackage.haskell.org/package/haskell-wai-logger Priority: extra Section: haskell Filename: pool/main/h/haskell-wai-logger/libghc-wai-logger-dev_0.1.4-1_armhf.deb Size: 71280 SHA256: d63136955f6c0a418c6455e0b98701dee8a2551b6f522d358f5c97a51b51a032 SHA1: adfe130dc3d6299cfc878646501129300dbdcbce MD5sum: 1bec2c860731caed1837259dce02c72a Description: logging system for WAI This is a logging system for WAI application. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-logger-doc Source: haskell-wai-logger Version: 0.1.4-1 Installed-Size: 121 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-builder-doc, libghc-fast-logger-doc, libghc-http-types-doc, libghc-wai-doc, libghc-wai-logger-dev Size: 36344 SHA256: d2223bfe658c75033c2d2e039471392e02486aaa68ddb765722a8306e09347fa SHA1: d0c9f74addebd51d68c385dfa0ac0f023bd8a005 MD5sum: 6edb31030d37fd612f02dcabeab1d08f Description: logging system for WAI; documentation This is a logging system for WAI application. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-wai-logger Section: doc Priority: extra Filename: pool/main/h/haskell-wai-logger/libghc-wai-logger-doc_0.1.4-1_all.deb Package: libghc-wai-logger-prefork-dev Source: haskell-wai-logger-prefork Version: 0.1.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 174 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-fast-logger-dev-0.0.2-3cb93, libghc-http-types-dev-0.6.11-d91ec, libghc-unix-dev-2.5.1.0-77272, libghc-wai-dev-1.2.0.2-c2018, libghc-wai-logger-dev-0.1.4-1737b, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-wai-logger-prefork-doc, libghc-wai-logger-prefork-prof Provides: libghc-wai-logger-prefork-dev-0.1.3-f17f9 Homepage: http://hackage.haskell.org/package/haskell-wai-logger-prefork Priority: extra Section: haskell Filename: pool/main/h/haskell-wai-logger-prefork/libghc-wai-logger-prefork-dev_0.1.3-1_armhf.deb Size: 27748 SHA256: 7dd8c31d7a3b5e6ec01d642437f0e75a30c6466e4d2434baa69bc980bacd417d SHA1: 5b4458e73a353a26fc1713d8f7a079cbcf99c355 MD5sum: e693c15772e073b54a1a7afb566cb107 Description: logging system for preforked WAI apps This is a logging system for preforked WAI applications. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-logger-prefork-doc Source: haskell-wai-logger-prefork Version: 0.1.3-1 Installed-Size: 125 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-wai-logger-doc, libghc-wai-logger-prefork-dev Size: 30992 SHA256: 6405759099dcb23571ab4d7e55cbb728ab7f1b28819eb944b6f4c692b6f6dede SHA1: 5c6ac277ecd5dfc87b7e3ab7317ec322737f4203 MD5sum: 3490dc6fcdc349967e5311241bd996e6 Description: logging system for preforked WAI apps; documentation This is a logging system for preforked WAI applications. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-wai-logger-prefork Section: doc Priority: extra Filename: pool/main/h/haskell-wai-logger-prefork/libghc-wai-logger-prefork-doc_0.1.3-1_all.deb Package: libghc-wai-logger-prefork-prof Source: haskell-wai-logger-prefork Version: 0.1.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 147 Depends: libghc-wai-logger-prefork-dev (= 0.1.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-fast-logger-prof-0.0.2-3cb93, libghc-http-types-prof-0.6.11-d91ec, libghc-unix-prof-2.5.1.0-77272, libghc-wai-prof-1.2.0.2-c2018, libghc-wai-logger-prof-0.1.4-1737b Provides: libghc-wai-logger-prefork-prof-0.1.3-f17f9 Homepage: http://hackage.haskell.org/package/haskell-wai-logger-prefork Priority: extra Section: haskell Filename: pool/main/h/haskell-wai-logger-prefork/libghc-wai-logger-prefork-prof_0.1.3-1_armhf.deb Size: 25824 SHA256: 475e9905ad76a86fefa1c6c790935a405276264751ef7444e68dcef0bab4a42b SHA1: 769be38189ca0bb33a67a2a61758619f1d838528 MD5sum: 33aeb3723b7ab946548778e567ac1592 Description: logging system for preforked WAI apps; profiling libraries This is a logging system for preforked WAI applications. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-logger-prof Source: haskell-wai-logger Version: 0.1.4-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 301 Depends: libghc-wai-logger-dev (= 0.1.4-1), libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-byteorder-prof-1.0.3-f3599, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-case-insensitive-prof-0.4.0.1-fae0c, libghc-fast-logger-prof-0.0.2-3cb93, libghc-http-types-prof-0.6.11-d91ec, libghc-network-prof-2.3.0.13-9c99a, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-time-prof-1.4-ec63b, libghc-unix-prof-2.5.1.0-77272, libghc-wai-prof-1.2.0.2-c2018 Provides: libghc-wai-logger-prof-0.1.4-1737b Homepage: http://hackage.haskell.org/package/haskell-wai-logger Priority: extra Section: haskell Filename: pool/main/h/haskell-wai-logger/libghc-wai-logger-prof_0.1.4-1_armhf.deb Size: 63854 SHA256: 25894a09ddee78eb6446715e1e1211f6afa65997872322767df3e2e7feeb34b4 SHA1: cbf30727d06a697e54ce5d6a38c8bbcd6fa33689 MD5sum: 8eb0d242543a093ca71dbbe033d30024 Description: logging system for WAI; profiling libraries This is a logging system for WAI application. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-wai-prof Source: haskell-wai Version: 1.2.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 146 Depends: libghc-wai-dev (= 1.2.0.2-1), libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-conduit-prof-0.4.2-2f3e1, libghc-http-types-prof-0.6.11-d91ec, libghc-network-prof-2.3.0.13-9c99a, libghc-text-prof-0.11.2.0-05a46, libghc-transformers-prof-0.3.0.0-e8222, libghc-vault-prof-0.2.0.0-2cbca Provides: libghc-wai-prof-1.2.0.2-c2018 Homepage: http://hackage.haskell.org/package/wai Priority: extra Section: haskell Filename: pool/main/h/haskell-wai/libghc-wai-prof_1.2.0.2-1_armhf.deb Size: 29378 SHA256: 462729ef2e519a0f6c073d3b0b583de3cd8a5042f6f19a2293e47b0dc416c990 SHA1: dbfa743efb5ab5339ed2e98759b66359a5417268 MD5sum: 100dc5f0675668e719c74759c685dc8f Description: web application interface; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It provides a common protocol for communication between web applications and web servers. Package: libghc-wai-test-dev Source: haskell-wai-test Version: 1.2.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 307 Depends: libghc-hunit-dev-1.2.4.2-6a847, libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-blaze-builder-conduit-dev-0.4.0.2-11a67, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-case-insensitive-dev-0.4.0.1-fae0c, libghc-conduit-dev-0.4.2-2f3e1, libghc-containers-dev-0.4.2.1-7c545, libghc-cookie-dev-0.4.0-5cff5, libghc-http-types-dev-0.6.11-d91ec, libghc-text-dev-0.11.2.0-05a46, libghc-transformers-dev-0.3.0.0-e8222, libghc-wai-dev-1.2.0.2-c2018, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-wai-test-doc, libghc-wai-test-prof Provides: libghc-wai-test-dev-1.2.0.2-09d5a Homepage: http://hackage.haskell.org/package/wai-test Priority: extra Section: haskell Filename: pool/main/h/haskell-wai-test/libghc-wai-test-dev_1.2.0.2-1_armhf.deb Size: 63624 SHA256: cdd9bb95e3d488bf92ac867ed662537de4d55f97fd22711a51cf26a380d3732e SHA1: d0d5a0682070e6342168bb6a2c20b092f1b4f377 MD5sum: e98ec41cc1f2dbf40bf10b86d1d372fe Description: unit test framework (built on HUnit) for WAI applications This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides a HUnit-based unit test framework for WAI applications. Package: libghc-wai-test-doc Source: haskell-wai-test Version: 1.2.0.2-1 Installed-Size: 104 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-case-insensitive-doc, libghc-http-types-doc, libghc-mtl-doc, libghc-wai-doc, libghc-wai-test-dev Size: 33372 SHA256: 56f2d844bb7dac213289f3fd96bd497d94a492430e6a4dc44c0504f22d398313 SHA1: 140eaa4b7cb6f222e9496eb2e49fb13e9dcbb365 MD5sum: 8309d533377148082dc6d3446544e119 Description: unit test framework (built on HUnit) for WAI applications; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides a HUnit-based unit test framework for WAI applications. Homepage: http://hackage.haskell.org/package/wai-test Section: doc Priority: extra Filename: pool/main/h/haskell-wai-test/libghc-wai-test-doc_1.2.0.2-1_all.deb Package: libghc-wai-test-prof Source: haskell-wai-test Version: 1.2.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 260 Depends: libghc-wai-test-dev (= 1.2.0.2-1), libghc-hunit-prof-1.2.4.2-6a847, libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-blaze-builder-conduit-prof-0.4.0.2-11a67, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-case-insensitive-prof-0.4.0.1-fae0c, libghc-conduit-prof-0.4.2-2f3e1, libghc-containers-prof-0.4.2.1-7c545, libghc-cookie-prof-0.4.0-5cff5, libghc-http-types-prof-0.6.11-d91ec, libghc-text-prof-0.11.2.0-05a46, libghc-transformers-prof-0.3.0.0-e8222, libghc-wai-prof-1.2.0.2-c2018 Provides: libghc-wai-test-prof-1.2.0.2-09d5a Homepage: http://hackage.haskell.org/package/wai-test Priority: extra Section: haskell Filename: pool/main/h/haskell-wai-test/libghc-wai-test-prof_1.2.0.2-1_armhf.deb Size: 54566 SHA256: 899875ab95710441199ed5c6dd50c63503ba32d40c3e16dad1dee8ffcdf16bf3 SHA1: 5c8b9ae688a7a387d186a6fdcf2b8de7d1ce1f53 MD5sum: 73de7ba69ab12be8625d98d76daa42bb Description: unit test framework (built on HUnit) for WAI applications; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It provides a HUnit-based unit test framework for WAI applications. Package: libghc-warp-dev Source: haskell-warp Version: 1.2.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 718 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-blaze-builder-conduit-dev-0.4.0.2-11a67, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-case-insensitive-dev-0.4.0.1-fae0c, libghc-conduit-dev-0.4.2-2f3e1, libghc-ghc-prim-dev-0.2.0.0-bd29c, libghc-http-types-dev-0.6.11-d91ec, libghc-lifted-base-dev-0.1.1-863d2, libghc-network-dev-2.3.0.13-9c99a, libghc-network-conduit-dev-0.4.0.1-d3305, libghc-simple-sendfile-dev-0.2.3-177d4, libghc-transformers-dev-0.3.0.0-e8222, libghc-unix-compat-dev-0.3.0.1-4cc6c, libghc-wai-dev-1.2.0.2-c2018, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-warp-doc, libghc-warp-prof Provides: libghc-warp-dev-1.2.1.1-00499 Homepage: http://hackage.haskell.org/package/warp Priority: extra Section: haskell Filename: pool/main/h/haskell-warp/libghc-warp-dev_1.2.1.1-1_armhf.deb Size: 179434 SHA256: cac562740a4bdc1e6934270223dc4ab907bb3e634c6c22c3741a26ec21b96bee SHA1: 5ba8e54978baf5486d424171b8a119d12c9a1c65 MD5sum: 264f0d4ba8d264ac1a8aeb39e3b58750 Description: fast, light-weight web server for WAI applications This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains the premier WAI handler. For more information, see http://steve.vinoski.net/blog/2011/05/01/warp-a-haskell-web-server/ . Package: libghc-warp-doc Source: haskell-warp Version: 1.2.1.1-1 Installed-Size: 307 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-conduit-doc, libghc-wai-doc, libghc-warp-dev Size: 55476 SHA256: 920b133067744ebe60c55e59fb2ecd5fc910d38067fa30ee996eed6a323644e7 SHA1: 95b802850562c5a10b802ae773e926bef8a573ba MD5sum: 56d8a94ef391479cc2b976b1e57d8670 Description: fast, light-weight web server for WAI applications; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains the premier WAI handler. For more information, see http://steve.vinoski.net/blog/2011/05/01/warp-a-haskell-web-server/ . Homepage: http://hackage.haskell.org/package/warp Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-warp/libghc-warp-doc_1.2.1.1-1_all.deb Package: libghc-warp-prof Source: haskell-warp Version: 1.2.1.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 631 Depends: libghc-warp-dev (= 1.2.1.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-blaze-builder-conduit-prof-0.4.0.2-11a67, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-case-insensitive-prof-0.4.0.1-fae0c, libghc-conduit-prof-0.4.2-2f3e1, libghc-ghc-prim-prof-0.2.0.0-bd29c, libghc-http-types-prof-0.6.11-d91ec, libghc-lifted-base-prof-0.1.1-863d2, libghc-network-prof-2.3.0.13-9c99a, libghc-network-conduit-prof-0.4.0.1-d3305, libghc-simple-sendfile-prof-0.2.3-177d4, libghc-transformers-prof-0.3.0.0-e8222, libghc-unix-compat-prof-0.3.0.1-4cc6c, libghc-wai-prof-1.2.0.2-c2018 Provides: libghc-warp-prof-1.2.1.1-00499 Homepage: http://hackage.haskell.org/package/warp Priority: extra Section: haskell Filename: pool/main/h/haskell-warp/libghc-warp-prof_1.2.1.1-1_armhf.deb Size: 155072 SHA256: c4c6f07468e993a43e8b5def21f46694162bc75da708291ecfa30aae3a27bd5d SHA1: 454263f1c9d29aaf257ef75de14a901c72369890 MD5sum: 07a801e48d9e2d6674f0a60412a38b04 Description: fast, light-weight web server for WAI applications; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It contains the premier WAI handler. For more information, see http://steve.vinoski.net/blog/2011/05/01/warp-a-haskell-web-server/ . Package: libghc-warp-tls-doc Source: haskell-warp-tls Version: 1.2.0.4-1 Installed-Size: 72 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-wai-doc, libghc-warp-doc, libghc-warp-tls-dev Size: 31556 SHA256: 3a26b64a8002510775bacd40029947b43d763155dd91a1fafba751c8167c3ed4 SHA1: 7bcf5bb4ba36918decd791d78373173beae80bd4 MD5sum: 93f94a685904e6484198ee45cf053bfe Description: SSL/TLS support for Warp; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains SSL/TLS support for Warp, the premier WAI handler, via the native Haskell TLS implementation. Homepage: http://hackage.haskell.org/package/warp-tls Section: doc Priority: extra Filename: pool/main/h/haskell-warp-tls/libghc-warp-tls-doc_1.2.0.4-1_all.deb Package: libghc-wash-doc Source: washngo Version: 2.12.0.1-6 Installed-Size: 10920 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-16 Recommends: ghc-doc Suggests: libghc-wash-dev Size: 744016 SHA256: ca11fb519e24ea95eafaf8ced66cbdee255bb067b8b06a692a025b2f090680d5 SHA1: eacd52a4f2da06de0d23840a8acc98ca42f45995 MD5sum: 5866b3ef644a2a62ab5bb57bfd4dedb1 Description: Web Authoring System for Haskell; documentation WASH is a family of embedded domain specific languages (EDSL) for programming Web applications. Each language is embedded in the functional language Haskell, which means that it is implemented as a combinator library. . This package provides the documentation for the library. Homepage: http://www.informatik.uni-freiburg.de/~thiemann/haskell/WASH/ Tag: devel::doc, devel::lang:haskell, devel::web, role::documentation Section: doc Priority: extra Filename: pool/main/w/washngo/libghc-wash-doc_2.12.0.1-6_all.deb Package: libghc-web-routes-dev Source: haskell-web-routes Version: 0.25.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 514 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-mtl-dev-2.1.1-87121, libghc-network-dev-2.3.0.13-9c99a, libghc-parsec-dev-3.1.2-aa52f, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-web-routes-doc, libghc-web-routes-prof Provides: libghc-web-routes-dev-0.25.3-699fd Homepage: http://hackage.haskell.org/package/web-routes Priority: extra Section: haskell Filename: pool/main/h/haskell-web-routes/libghc-web-routes-dev_0.25.3-2_armhf.deb Size: 103210 SHA256: 1ea5ddf0d8a0ce5133f75146e40343ae7f1aa1dc109bd11db138cc614b347847 SHA1: f5ad7d860fc2535019c35e4d0c101bf435449d59 MD5sum: 98e9e1c01e14099cb48b7f0ed6d9959a Description: library for correctness/composability of URLs This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a collection of types and functions that ensure that URLs generated by an application are valid. Package: libghc-web-routes-doc Source: haskell-web-routes Version: 0.25.3-2 Installed-Size: 286 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-parsec3-doc, libghc-transformers-doc, libghc-utf8-string-doc, libghc-web-routes-dev Size: 53078 SHA256: d10a9b0bcd288d1b691960ab5edd0d41367110cfe2bbb96b0aaf205ae240c9e6 SHA1: 304b05cabbd2506a876bcd1a35a73551e9c163e6 MD5sum: 4671db5540da18a105f16320f4ff9a87 Description: library for correctness/composability of URLs; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a collection of types and functions that ensure that URLs generated by an application are valid. Homepage: http://hackage.haskell.org/package/web-routes Tag: devel::doc, devel::lang:haskell, protocol::http, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-web-routes/libghc-web-routes-doc_0.25.3-2_all.deb Package: libghc-web-routes-prof Source: haskell-web-routes Version: 0.25.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 486 Depends: libghc-web-routes-dev (= 0.25.3-2), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-mtl-prof-2.1.1-87121, libghc-network-prof-2.3.0.13-9c99a, libghc-parsec-prof-3.1.2-aa52f, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-web-routes-prof-0.25.3-699fd Homepage: http://hackage.haskell.org/package/web-routes Priority: extra Section: haskell Filename: pool/main/h/haskell-web-routes/libghc-web-routes-prof_0.25.3-2_armhf.deb Size: 101934 SHA256: 6c05bcc1597efb01f6e5ab4ad3f24f09c69746c844bbf1f8cd765e156f4f8be2 SHA1: d366a09876758c0716220c318bb5e38bd9b60344 MD5sum: 6792d0f5b8ae1623961b606ab76c42b3 Description: library for correctness/composability of URLs; profiling libs This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is a collection of types and functions that ensure that URLs generated by an application are valid. Package: libghc-webkit-dev Source: haskell-webkit Version: 0.12.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 4340 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-cairo-dev-0.12.3-e5398, libghc-glib-dev-0.12.2-64951, libghc-gtk-dev-0.12.3-8d42f, libghc-pango-dev-0.12.2-70f76, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgmp10, libgtk2.0-0 (>= 2.8.0), libjavascriptcoregtk-1.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libwebkitgtk-1.0-0 (>= 1.3.10), zlib1g (>= 1:1.1.4), libwebkitgtk-dev Suggests: libghc-webkit-doc, libghc-webkit-prof Provides: libghc-webkit-dev-0.12.3-08fb0 Homepage: http://hackage.haskell.org/package/webkit Priority: extra Section: haskell Filename: pool/main/h/haskell-webkit/libghc-webkit-dev_0.12.3-2_armhf.deb Size: 715068 SHA256: 0583cc2529c35b19b4c7f6d9323d76c7192e02d07a0ee118bda317ff18b3707d SHA1: 5eb83b6cfd8a73ddc3df3f834de1fc1abd684550 MD5sum: 0a25c0076450dc150e46f53e94402150 Description: Binding to the Webkit library This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . WebKit is a web content engine, derived from KHTML and KJS from KDE, and used primarily in Apple's Safari browser. It is made to be embedded in other applications, such as mail readers, or web browsers. It is able to display content such as HTML, SVG, XML, and others. It also supports DOM, XMLHttpRequest, XSLT, CSS, Javascript/ECMAscript and more. Package: libghc-webkit-doc Source: haskell-webkit Version: 0.12.3-2 Installed-Size: 2190 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-glib-doc, libghc-gtk-doc, libghc-webkit-dev Size: 226876 SHA256: 4e956c0d9da0b397cbd6831373a4269bc1ecb8e8192d3db3d04473a369a19698 SHA1: 57af0e84519beff76658839754d68645468c4c9a MD5sum: 3507799402f7bc31b561dbe61e8023a5 Description: Binding to the Webkit library; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . WebKit is a web content engine, derived from KHTML and KJS from KDE, and used primarily in Apple's Safari browser. It is made to be embedded in other applications, such as mail readers, or web browsers. It is able to display content such as HTML, SVG, XML, and others. It also supports DOM, XMLHttpRequest, XSLT, CSS, Javascript/ECMAscript and more. Homepage: http://hackage.haskell.org/package/webkit Tag: devel::doc, devel::lang:haskell, role::documentation, web::browser Section: doc Priority: extra Filename: pool/main/h/haskell-webkit/libghc-webkit-doc_0.12.3-2_all.deb Package: libghc-webkit-prof Source: haskell-webkit Version: 0.12.3-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3304 Depends: libghc-webkit-dev (= 0.12.3-2), libghc-base-prof-4.5.0.0-d93df, libghc-cairo-prof-0.12.3-e5398, libghc-glib-prof-0.12.2-64951, libghc-gtk-prof-0.12.3-8d42f, libghc-pango-prof-0.12.2-70f76 Provides: libghc-webkit-prof-0.12.3-08fb0 Homepage: http://hackage.haskell.org/package/webkit Priority: extra Section: haskell Filename: pool/main/h/haskell-webkit/libghc-webkit-prof_0.12.3-2_armhf.deb Size: 595954 SHA256: 2c50717460b2b1cb1093bf304ffec2c79b3d5ddcb5a31ce9c47ba7bcf942ad4f SHA1: 66d7136177634afcb267c406abb4b8f6a0b7f4d8 MD5sum: 92fe4f111f106c916d766d45a5e20f05 Description: Binding to the Webkit library; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . WebKit is a web content engine, derived from KHTML and KJS from KDE, and used primarily in Apple's Safari browser. It is made to be embedded in other applications, such as mail readers, or web browsers. It is able to display content such as HTML, SVG, XML, and others. It also supports DOM, XMLHttpRequest, XSLT, CSS, Javascript/ECMAscript and more. Package: libghc-weighted-regexp-dev Source: haskell-weighted-regexp Version: 0.3.1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1303 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-weighted-regexp-doc, libghc-weighted-regexp-prof Provides: libghc-weighted-regexp-dev-0.3.1.1-add2c Homepage: http://sebfisch.github.com/haskell-regexp/ Priority: extra Section: haskell Filename: pool/main/h/haskell-weighted-regexp/libghc-weighted-regexp-dev_0.3.1.1-2_armhf.deb Size: 268682 SHA256: 71ad1b953125344e37ef2f5c67fce198849fffd7fc137b3d4ab12b4bad237be4 SHA1: 65c8dcf439c6614005b31fa9173a86d7bcaeb28b MD5sum: 5a9613fdf156a98b58a1af4351d31614 Description: Weighted Regular Expression Matcher Haskell implementation of a weighted regular expression matcher with linear worst-case time and space bounds. More specifically, the worst-case run time for matching a word against a regular expression is linearly bounded by the length of the word and the size of the regular expression. The memory requirements are independent of the length of the word and linear in the size of the regular expression. This makes this library suitable when processing untrusted data, e.g. in a web service, without risking an unexpected runtime blow-up. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-weighted-regexp-doc Source: haskell-weighted-regexp Version: 0.3.1.1-2 Installed-Size: 463 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-weighted-regexp-dev Size: 68802 SHA256: 1c8a2727bf7a888996c5c6dbd353cc4e79b980824bc04b879f7e08917ed4f605 SHA1: 0d057c784a18e4070d939e8b2158201173c5b4da MD5sum: 06e5df7e0d47ee046ef57028975cf439 Description: Weighted Regular Expression Matcher; documentation Haskell implementation of a weighted regular expression matcher with linear worst-case time and space bounds. More specifically, the worst-case run time for matching a word against a regular expression is linearly bounded by the length of the word and the size of the regular expression. The memory requirements are independent of the length of the word and linear in the size of the regular expression. This makes this library suitable when processing untrusted data, e.g. in a web service, without risking an unexpected runtime blow-up. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://sebfisch.github.com/haskell-regexp/ Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-weighted-regexp/libghc-weighted-regexp-doc_0.3.1.1-2_all.deb Package: libghc-weighted-regexp-prof Source: haskell-weighted-regexp Version: 0.3.1.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1156 Depends: libghc-weighted-regexp-dev (= 0.3.1.1-2), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df Provides: libghc-weighted-regexp-prof-0.3.1.1-add2c Homepage: http://sebfisch.github.com/haskell-regexp/ Priority: extra Section: haskell Filename: pool/main/h/haskell-weighted-regexp/libghc-weighted-regexp-prof_0.3.1.1-2_armhf.deb Size: 250418 SHA256: c191c3633f5de7b1f7d041f31a1c71be182dea580f5be1d378362cdd3c530ebe SHA1: 2caade5393f0f905531bb40083a883e57cdadb2a MD5sum: dd90386ce93604ed1062d21c89c48692 Description: Weighted Regular Expression Matcher; profiling libraries Haskell implementation of a weighted regular expression matcher with linear worst-case time and space bounds. More specifically, the worst-case run time for matching a word against a regular expression is linearly bounded by the length of the word and the size of the regular expression. The memory requirements are independent of the length of the word and linear in the size of the regular expression. This makes this library suitable when processing untrusted data, e.g. in a web service, without risking an unexpected runtime blow-up. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-x11-dev Source: haskell-x11 Version: 1.5.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 6508 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-syb-dev-0.3.6.1-ad588, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libx11-6, libxext6, libxinerama1, libx11-dev, libxt-dev, libxinerama-dev Suggests: libghc-x11-doc, libghc-x11-prof Provides: libghc-x11-dev-1.5.0.1-52cf6 Homepage: http://hackage.haskell.org/package/X11 Priority: extra Section: haskell Filename: pool/main/h/haskell-x11/libghc-x11-dev_1.5.0.1-1_armhf.deb Size: 1264224 SHA256: 88443d319aa187ecc18a71166254c77a5b396288be7a76304a236eb627177adc SHA1: f7ba6d187a4a366ec733656d1b3d5ce7e9a4aa26 MD5sum: 45afc3c6a0c5e5e5fb14218bd93ae83f Description: Haskell X11 binding for GHC This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library is a binding to the X11 graphics library. The binding is a direct translation of the C binding; for documentation of these calls, refer to "The Xlib Programming Manual", available online at . Package: libghc-x11-doc Source: haskell-x11 Version: 1.5.0.1-1 Installed-Size: 6900 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-x11-dev Size: 538030 SHA256: 008b5b26a097a6986d01df09595ba418dc9e1c255e61637e113d4eade36621a1 SHA1: ecdaaca64552f17a51887c5af53150cb086b2e40 MD5sum: 75bc6507ad3b8fbbb99274d2837e532f Description: Haskell X11 binding for GHC; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library is a binding to the X11 graphics library. The binding is a direct translation of the C binding; for documentation of these calls, refer to "The Xlib Programming Manual", available online at . Homepage: http://hackage.haskell.org/package/X11 Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-x11/libghc-x11-doc_1.5.0.1-1_all.deb Package: libghc-x11-prof Source: haskell-x11 Version: 1.5.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 11003 Depends: libghc-x11-dev (= 1.5.0.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-syb-prof-0.3.6.1-ad588 Provides: libghc-x11-prof-1.5.0.1-52cf6 Homepage: http://hackage.haskell.org/package/X11 Priority: extra Section: haskell Filename: pool/main/h/haskell-x11/libghc-x11-prof_1.5.0.1-1_armhf.deb Size: 1933398 SHA256: 9595dc528fc3786a1baebf95996a736e003553782e1ff73c448e5f40d95ddfa5 SHA1: 6005b24154e2c7b8371b72600e6943d7a24fa622 MD5sum: 9784777a98027d4f0b8a9ae431d17951 Description: Haskell X11 binding for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library is a binding to the X11 graphics library. The binding is a direct translation of the C binding; for documentation of these calls, refer to "The Xlib Programming Manual", available online at . Package: libghc-x11-xft-dev Source: haskell-x11-xft Version: 0.3.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 358 Depends: libghc-x11-dev-1.5.0.1-52cf6, libghc-base-dev-4.5.0.0-d93df, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libx11-6, libxext6, libxft2 (>> 2.1.1), libxinerama1, libxft-dev Suggests: libghc-x11-xft-doc, libghc-x11-xft-prof Provides: libghc-x11-xft-dev-0.3.1-46800 Homepage: http://hackage.haskell.org/package/X11-xft Priority: extra Section: haskell Filename: pool/main/h/haskell-x11-xft/libghc-x11-xft-dev_0.3.1-1_armhf.deb Size: 64096 SHA256: a5841eebbd8e02453d6383708899ab71185ff334d39b349b0f1fbdc13e560b59 SHA1: 40528509ebb0ee6e2bb726705a083217f5041f89 MD5sum: 756ef8bed8ddd39e380f19dc9012dfe3 Description: Haskell Xft binding for GHC This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library is a binding to the Xft library. . Xft provides a client-side font API for X applications, making the FreeType font rasterizer available to X clients. Fontconfig is used for font specification resolution. Where available, the RENDER extension handles glyph drawing; otherwise, the core X protocol is used. Package: libghc-x11-xft-doc Source: haskell-x11-xft Version: 0.3.1-1 Installed-Size: 216 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-x11-doc, libghc-x11-xft-dev Size: 38752 SHA256: 74c481f96607331885f43a53df2d9a5d8bd9cfe9455c017772e82cdc89b81f7b SHA1: 1a410a0174436344c770763b42f1ef0e00bf9889 MD5sum: 523067067c647384f65377823c668351 Description: Haskell Xft binding for GHC; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library is a binding to the Xft library. . Xft provides a client-side font API for X applications, making the FreeType font rasterizer available to X clients. Fontconfig is used for font specification resolution. Where available, the RENDER extension handles glyph drawing; otherwise, the core X protocol is used. Homepage: http://hackage.haskell.org/package/X11-xft Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-x11-xft/libghc-x11-xft-doc_0.3.1-1_all.deb Package: libghc-x11-xft-prof Source: haskell-x11-xft Version: 0.3.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 296 Depends: libghc-x11-xft-dev (= 0.3.1-1), libghc-x11-prof-1.5.0.1-52cf6, libghc-base-prof-4.5.0.0-d93df, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-x11-xft-prof-0.3.1-46800 Homepage: http://hackage.haskell.org/package/X11-xft Priority: extra Section: haskell Filename: pool/main/h/haskell-x11-xft/libghc-x11-xft-prof_0.3.1-1_armhf.deb Size: 56358 SHA256: 7386ac12d4be947b8739c3b4606c91a9df8e05594ef9f46b494f2c836f76d392 SHA1: 2f4f70c662533eeba61eed9e59adf687f6c2e740 MD5sum: b39bcb9f7e701ec1592520b42b6ef1f3 Description: Haskell Xft binding for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library is a binding to the Xft library. . Xft provides a client-side font API for X applications, making the FreeType font rasterizer available to X clients. Fontconfig is used for font specification resolution. Where available, the RENDER extension handles glyph drawing; otherwise, the core X protocol is used. Package: libghc-xdg-basedir-dev Source: haskell-xdg-basedir Version: 0.2.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 174 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-xdg-basedir-doc, libghc-xdg-basedir-prof Provides: libghc-xdg-basedir-dev-0.2.1-4df56 Homepage: http://hackage.haskell.org/package/xdg-basedir Priority: extra Section: haskell Filename: pool/main/h/haskell-xdg-basedir/libghc-xdg-basedir-dev_0.2.1-2_armhf.deb Size: 23554 SHA256: 014e7533ab2d39e99c4877e39796a1c731678611cd4e49ed16727d283f4c61a9 SHA1: ea48c0a666314f119f342e9f22baaf95279433ea MD5sum: a6d231d2934e5acaf5e038ed8d082428 Description: basic implementation of the XDG Base Directory specification This should be a very straightforward implementation of the XDG Base Directory spec. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xdg-basedir-doc Source: haskell-xdg-basedir Version: 0.2.1-2 Installed-Size: 134 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-xdg-basedir-dev Size: 29830 SHA256: b2d3d186dfd8ef637e4195215942e5c0581681c1a7958ba5a2fe5fa20a92387f SHA1: a2201b2dba3d6599f0ef0857843dd6a4a9a24c35 MD5sum: 05b87fee513b10a2006dea9e7e067cd0 Description: basic implementation of the XDG Base Directory specification; documentation This should be a very straightforward implementation of the XDG Base Directory spec. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/xdg-basedir Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-xdg-basedir/libghc-xdg-basedir-doc_0.2.1-2_all.deb Package: libghc-xdg-basedir-prof Source: haskell-xdg-basedir Version: 0.2.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 146 Depends: libghc-xdg-basedir-dev (= 0.2.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9 Provides: libghc-xdg-basedir-prof-0.2.1-4df56 Homepage: http://hackage.haskell.org/package/xdg-basedir Priority: extra Section: haskell Filename: pool/main/h/haskell-xdg-basedir/libghc-xdg-basedir-prof_0.2.1-2_armhf.deb Size: 21448 SHA256: 755f54d6aa51a35dc7c195dedb77e9d9b8543bce229883d1432ba5b451290c71 SHA1: 870279f5e98b965f5ae2103f78447dafd6a7a2b4 MD5sum: 695b30755e6a9349cee9830bf720eb32 Description: basic implementation of the XDG Base Directory spec; profiling libraries This should be a very straightforward implementation of the XDG Base Directory spec. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xhtml-dev Source: haskell-xhtml Version: 3000.2.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1405 Depends: libghc-base-dev-4.5.0.0-d93df, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-xhtml-doc, libghc-xhtml-prof Provides: libghc-xhtml-dev-3000.2.1-4e39f Homepage: http://hackage.haskell.org/package/xhtml Priority: extra Section: haskell Filename: pool/main/h/haskell-xhtml/libghc-xhtml-dev_3000.2.1-1_armhf.deb Size: 270148 SHA256: 89c9da9371f8ff9d23d62f03ac7d4bb309ddec11edc75df591701622cd2707d9 SHA1: 031e514cd3b6f4b97e8a18363f80808b186657a3 MD5sum: c0461fa2a3102dd9b918e19338a63333 Description: Haskell xhtml library for GHC This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides combinators for constructing HTML documents. Package: libghc-xhtml-doc Source: haskell-xhtml Version: 3000.2.1-1 Installed-Size: 1003 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-xhtml-dev Size: 110814 SHA256: 774ff008a9d31eb3d58f75da8ddecaffa5d8a0f072f5e03392ec2a68f2b8ccfe SHA1: bfa0cb8b35b5ac9b85ac1c263e38c59c91fc0db6 MD5sum: e99f0e365903fadfb2a74c5562df854f Description: Haskell xhtml library for GHC; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This library provides combinators for constructing HTML documents. Homepage: http://hackage.haskell.org/package/xhtml Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::html Section: doc Priority: extra Filename: pool/main/h/haskell-xhtml/libghc-xhtml-doc_3000.2.1-1_all.deb Package: libghc-xhtml-prof Source: haskell-xhtml Version: 3000.2.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1268 Depends: libghc-xhtml-dev (= 3000.2.1-1), libghc-base-prof-4.5.0.0-d93df Provides: libghc-xhtml-prof-3000.2.1-4e39f Homepage: http://hackage.haskell.org/package/xhtml Priority: extra Section: haskell Filename: pool/main/h/haskell-xhtml/libghc-xhtml-prof_3000.2.1-1_armhf.deb Size: 265858 SHA256: 6c05f420aa1a5136ddf52714a1c84e49fee747eee080f6948922347544974e22 SHA1: e46880a3eaba614c7f1c78a42c3b2cc7ad607a65 MD5sum: 0eb102b7c3ef3698d0df63901338c997 Description: Haskell xhtml library for GHC; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This library provides combinators for constructing HTML documents. Package: libghc-xml-conduit-dev Source: haskell-xml-conduit Version: 0.7.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3847 Depends: libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-attoparsec-conduit-dev-0.4.0.1-32468, libghc-base-dev-4.5.0.0-d93df, libghc-blaze-builder-dev-0.3.1.0-32f2b, libghc-blaze-builder-conduit-dev-0.4.0.2-11a67, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-conduit-dev-0.4.2-2f3e1, libghc-containers-dev-0.4.2.1-7c545, libghc-data-default-dev-0.4.0-329c5, libghc-failure-dev-0.2.0.1-49a74, libghc-monad-control-dev-0.3.1.3-371c1, libghc-resourcet-dev-0.3.2.1-e4216, libghc-system-filepath-dev-0.4.6-0b7ed, libghc-text-dev-0.11.2.0-05a46, libghc-transformers-dev-0.3.0.0-e8222, libghc-xml-types-dev-0.3.1-f9412, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-xml-conduit-doc, libghc-xml-conduit-prof Provides: libghc-xml-conduit-dev-0.7.0.2-dd1bc Homepage: http://hackage.haskell.org/package/haskell-xml-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-xml-conduit/libghc-xml-conduit-dev_0.7.0.2-1_armhf.deb Size: 795558 SHA256: faccacd8cbdcc2b638ae0e9a18e349e1875fc579915ac40445e87b121dd16ce7 SHA1: 7153e93226cc69f710e77cf4047541ef3ed662a1 MD5sum: 352a97310cafcb4e9f394593b8952d62 Description: HTTP client package with conduit interface and HTTPS support This package uses attoparsec for parsing the actual contents of the HTTP connection. It also provides higher-level functions which allow you to avoid direct usage of conduits. See http://www.yesodweb.com/book/xml-conduit for more information. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xml-conduit-doc Source: haskell-xml-conduit Version: 0.7.0.2-1 Installed-Size: 834 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-builder-conduit-doc, libghc-blaze-builder-doc, libghc-conduit-doc, libghc-data-default-doc, libghc-failure-doc, libghc-system-filepath-doc, libghc-xml-types-doc, libghc-xml-conduit-dev Size: 103196 SHA256: 57e96a82ceb884563bbba7e855b2cff941ad4b7837f8bcb2c676122522fa3ae2 SHA1: 6e10da3dc7db728502e801f0ffee48b4d41e7fc1 MD5sum: 8819f0d6e84b2d8868d6f1a985b206f2 Description: HTTP client package with conduit interface and HTTPS support; documentation This package uses attoparsec for parsing the actual contents of the HTTP connection. It also provides higher-level functions which allow you to avoid direct usage of conduits. See http://www.yesodweb.com/book/xml-conduit for more information. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-xml-conduit Section: doc Priority: extra Filename: pool/main/h/haskell-xml-conduit/libghc-xml-conduit-doc_0.7.0.2-1_all.deb Package: libghc-xml-conduit-prof Source: haskell-xml-conduit Version: 0.7.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3388 Depends: libghc-xml-conduit-dev (= 0.7.0.2-1), libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-attoparsec-conduit-prof-0.4.0.1-32468, libghc-base-prof-4.5.0.0-d93df, libghc-blaze-builder-prof-0.3.1.0-32f2b, libghc-blaze-builder-conduit-prof-0.4.0.2-11a67, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-conduit-prof-0.4.2-2f3e1, libghc-containers-prof-0.4.2.1-7c545, libghc-data-default-prof-0.4.0-329c5, libghc-failure-prof-0.2.0.1-49a74, libghc-monad-control-prof-0.3.1.3-371c1, libghc-resourcet-prof-0.3.2.1-e4216, libghc-system-filepath-prof-0.4.6-0b7ed, libghc-text-prof-0.11.2.0-05a46, libghc-transformers-prof-0.3.0.0-e8222, libghc-xml-types-prof-0.3.1-f9412 Provides: libghc-xml-conduit-prof-0.7.0.2-dd1bc Homepage: http://hackage.haskell.org/package/haskell-xml-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-xml-conduit/libghc-xml-conduit-prof_0.7.0.2-1_armhf.deb Size: 707946 SHA256: 4c0ff81e7df738e4204f1615115dee199e9cfdaead74dcd502be7129c4601078 SHA1: c4b50c0d465c1dd6f2d5ccf12098f6cb2740a1a7 MD5sum: cf0d186217e599bc30ddfc37c96ffb58 Description: HTTP/HTTPS client package with conduit interface, profiling libraries This package uses attoparsec for parsing the actual contents of the HTTP connection. It also provides higher-level functions which allow you to avoid direct usage of conduits. See http://www.yesodweb.com/book/xml-conduit for more information. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xml-dev Source: haskell-xml Version: 1.3.12-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1670 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-xml-doc, libghc-xml-prof Provides: libghc-xml-dev-1.3.12-ef89d Homepage: http://hackage.haskell.org/package/xml Priority: extra Section: haskell Filename: pool/main/h/haskell-xml/libghc-xml-dev_1.3.12-1_armhf.deb Size: 344714 SHA256: a57cd82b9b3b75c425460e8b12b7fb4cd982ac382991737799e11798fbeb8955 SHA1: 1a2e17e570a3fec2436740a6a6cacd495384aabf MD5sum: 949231da7aa967b04f86383ef8b2acff Description: A simple Haskell XML library - GHC libraries This library provides lightweight tools to parse, filter and generate XML. . This package contains the libraries compiled for GHC. Package: libghc-xml-doc Source: haskell-xml Version: 1.3.12-1 Installed-Size: 612 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-xml-dev Size: 74548 SHA256: 329a4de6964924a339d49b8135205900b218a819ccee627a80ada7d32f6e3a50 SHA1: 1e96461f95f19ecd3984a6827c3c2e79b9b56620 MD5sum: eb7170495c82ebd8a6fae322ec5f98a0 Description: A simple Haskell XML library - documentation This library provides lightweight tools to parse, filter and generate XML. . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/xml Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::xml Section: doc Priority: extra Filename: pool/main/h/haskell-xml/libghc-xml-doc_1.3.12-1_all.deb Package: libghc-xml-prof Source: haskell-xml Version: 1.3.12-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1486 Depends: libghc-xml-dev (= 1.3.12-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-xml-prof-1.3.12-ef89d Homepage: http://hackage.haskell.org/package/xml Priority: extra Section: haskell Filename: pool/main/h/haskell-xml/libghc-xml-prof_1.3.12-1_armhf.deb Size: 309832 SHA256: c8d1eada3049fee1de49e21312fafcadd7d5bbc90cfee5ec050b2c55f9432ab2 SHA1: 981f65b164ded496769938cab64b5933a49b5acd MD5sum: c749dd2f0ac78bc099386ca84e52fe3c Description: A simple Haskell XML library - GHC profiling libraries This library provides lightweight tools to parse, filter and generate XML. . This package contains the profiling libraries compiled for GHC. Package: libghc-xml-types-dev Source: haskell-xml-types Version: 0.3.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1055 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-text-dev-0.11.2.0-05a46, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-xml-types-doc, libghc-xml-types-prof Provides: libghc-xml-types-dev-0.3.1-f9412 Homepage: http://hackage.haskell.org/package/xml-types Priority: extra Section: haskell Filename: pool/main/h/haskell-xml-types/libghc-xml-types-dev_0.3.1-2_armhf.deb Size: 188120 SHA256: 8ee07e3144284c3fa04ba334a5b3310b5c13126d0a285b89dbcd35f0b7f0bec7 SHA1: b02195258ab7882eb1d22d68a090b951328d6280 MD5sum: 8e6134e9241ddaf98c8a3fee366b8894 Description: basic types for representing XML The idea is to have a full set of appropriate types, which various XML libraries can share. Instead of having equivalent-but-incompatible types for every binding, parser, or client, they all share the same types can thus interoperate easily. . This library contains complete types for most parts of an XML document, including the prologue, node tree, and doctype. Some basic combinators are included for common tasks, including traversing the node tree and filtering children. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xml-types-doc Source: haskell-xml-types Version: 0.3.1-2 Installed-Size: 198 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-xml-types-dev Size: 39412 SHA256: dbd96f9b21ccb0fa598c56e001b3a3af6069f8d173cf0e199c926647ec456a39 SHA1: 6870f9641693fe6008679acf338cb9d218a70cc0 MD5sum: d6719d750f0f6e015088988e9c66fb94 Description: basic types for representing XML; documentation The idea is to have a full set of appropriate types, which various XML libraries can share. Instead of having equivalent-but-incompatible types for every binding, parser, or client, they all share the same types can thus interoperate easily. . This library contains complete types for most parts of an XML document, including the prologue, node tree, and doctype. Some basic combinators are included for common tasks, including traversing the node tree and filtering children. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/xml-types Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-xml-types/libghc-xml-types-doc_0.3.1-2_all.deb Package: libghc-xml-types-prof Source: haskell-xml-types Version: 0.3.1-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 867 Depends: libghc-xml-types-dev (= 0.3.1-2), libghc-base-prof-4.5.0.0-d93df, libghc-text-prof-0.11.2.0-05a46 Provides: libghc-xml-types-prof-0.3.1-f9412 Homepage: http://hackage.haskell.org/package/xml-types Priority: extra Section: haskell Filename: pool/main/h/haskell-xml-types/libghc-xml-types-prof_0.3.1-2_armhf.deb Size: 157038 SHA256: 72da323862f46c7fc3e9c457a0e8f6397efd97dc9cd9938afe6549fb556ce903 SHA1: a5dc987bbef8f8d6b0a142fdc1f42b67d8a723b1 MD5sum: 6ea2ddc3d05d6aa86df0ef93785d42b4 Description: basic types for representing XML; profiling libraries The idea is to have a full set of appropriate types, which various XML libraries can share. Instead of having equivalent-but-incompatible types for every binding, parser, or client, they all share the same types can thus interoperate easily. . This library contains complete types for most parts of an XML document, including the prologue, node tree, and doctype. Some basic combinators are included for common tasks, including traversing the node tree and filtering children. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-xml2html-dev Source: haskell-xml2html Version: 0.1.2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 165 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-blaze-html-dev-0.4.3.1-0edb5, libghc-containers-dev-0.4.2.1-7c545, libghc-text-dev-0.11.2.0-05a46, libghc-xml-conduit-dev-0.7.0.2-dd1bc, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-xml2html-doc, libghc-xml2html-prof Provides: libghc-xml2html-dev-0.1.2.3-58c01 Homepage: http://hackage.haskell.org/package/xml2html Priority: extra Section: haskell Filename: pool/main/h/haskell-xml2html/libghc-xml2html-dev_0.1.2.3-1_armhf.deb Size: 32240 SHA256: a994d90fd3ae97fbece06943327c6789dda83bade4fb9878195095bd0545a645 SHA1: 5c758494de6e6fa76f8a6eb29a26b71fff1ed81a MD5sum: 55f9a925681e2d565c30773679c94ab3 Description: blaze-html instances for xml-conduit types This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains blaze-html instances for xml-conduit types (Document, Element, Node). Package: libghc-xml2html-doc Source: haskell-xml2html Version: 0.1.2.3-1 Installed-Size: 60 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: libghc-xml2html-dev Size: 28790 SHA256: f62ff3247503cfa5164831116bacbcf04532a2c34086cb58354f0c07e482f298 SHA1: c38a34b95ab5bba6f1428b0ad830b2538db021dc MD5sum: 999bd398081f14998cd86f0171db02de Description: blaze-html instances for xml-conduit types; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It contains blaze-html instances for xml-conduit types (Document, Element, Node). Homepage: http://hackage.haskell.org/package/xml2html Section: doc Priority: extra Filename: pool/main/h/haskell-xml2html/libghc-xml2html-doc_0.1.2.3-1_all.deb Package: libghc-xml2html-prof Source: haskell-xml2html Version: 0.1.2.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 139 Depends: libghc-xml2html-dev (= 0.1.2.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-blaze-html-prof-0.4.3.1-0edb5, libghc-containers-prof-0.4.2.1-7c545, libghc-text-prof-0.11.2.0-05a46, libghc-xml-conduit-prof-0.7.0.2-dd1bc Provides: libghc-xml2html-prof-0.1.2.3-58c01 Homepage: http://hackage.haskell.org/package/xml2html Priority: extra Section: haskell Filename: pool/main/h/haskell-xml2html/libghc-xml2html-prof_0.1.2.3-1_armhf.deb Size: 28182 SHA256: 59bf53ddc9b582023ef20161157e4fd3f1c6a8025df92d2c7727f6f1a4ca62bc SHA1: 68c38fafd78ea4a07819ed79d163854b9dc8c03e MD5sum: 67421f805382b813506de33b604772e7 Description: blaze-html instances for xml-conduit types; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It contains blaze-html instances for xml-conduit types (Document, Element, Node). Package: libghc-xmonad-contrib-dev Source: xmonad-contrib (0.10-4~deb7u1) Version: 0.10-4~deb7u1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 31454 Depends: libghc-x11-dev-1.5.0.1-52cf6, libghc-x11-xft-dev-0.3.1-46800, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libghc-mtl-dev-2.1.1-87121, libghc-old-locale-dev-1.0.0.4-29bd5, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-process-dev-1.1.0.1-75c65, libghc-random-dev-1.0.1.1-344e2, libghc-unix-dev-2.5.1.0-77272, libghc-utf8-string-dev-0.3.7-c7280, libghc-xmonad-dev-0.10-6a8cb, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libx11-6, libxext6, libxft2 (>> 2.1.1), libxinerama1, libx11-dev, libxinerama-dev Suggests: libghc-xmonad-contrib-doc, libghc-xmonad-contrib-prof Conflicts: xmonadcontrib Replaces: xmonadcontrib Provides: libghc-xmonad-contrib-dev-0.10-b9282 Homepage: http://xmonad.org/ Priority: extra Section: haskell Filename: pool/main/x/xmonad-contrib/libghc-xmonad-contrib-dev_0.10-4~deb7u1+b1_armhf.deb Size: 6953260 SHA256: 802a8c3e659a1ce032070d84dac2f131c7c8815aa3426f665654a16975175131 SHA1: 3e1f74ba19c3539bb36664f366b0effc51c34394 MD5sum: f3a3c4ffba81169d401f1b3843e899aa Description: Extensions to xmonad This is a huge extensions library for xmonad, providing alternative layout algorithms, key bindings, extended operations, and much more. . Xmonad is a minimalist tiling window manager for X, written in Haskell. Windows are managed using automatic layout algorithms, which can be dynamically reconfigured. At any time windows are arranged so as to maximise the use of screen real estate. All features of the window manager are accessible purely from the keyboard: a mouse is entirely optional. Xmonad is configured in Haskell, and custom layout algorithms may be implemented by the user in config files. A principle of Xmonad is predictability: the user should know in advance precisely the window arrangement that will result from any action. . This package is what you need to build your custom configured xmonad binary. Package: libghc-xmonad-contrib-doc Source: xmonad-contrib Version: 0.10-4~deb7u1 Installed-Size: 10993 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-x11-doc, libghc-x11-xft-doc, libghc-xmonad-doc, libghc-xmonad-contrib-dev Size: 1183440 SHA256: 97dd05ccc73b01920ef0613993d71356be168e539eed7021d328626aeea8df9b SHA1: 60dd16f6f39616b23cc2504e9f136ea6807a1f88 MD5sum: c58ec19399ad3ee8b54e0be60d4c3d3a Description: Extensions to xmonad; documentation This is a huge extensions library for xmonad, providing alternative layout algorithms, key bindings, extended operations, and much more. . Xmonad is a minimalist tiling window manager for X, written in Haskell. Windows are managed using automatic layout algorithms, which can be dynamically reconfigured. At any time windows are arranged so as to maximise the use of screen real estate. All features of the window manager are accessible purely from the keyboard: a mouse is entirely optional. Xmonad is configured in Haskell, and custom layout algorithms may be implemented by the user in config files. A principle of Xmonad is predictability: the user should know in advance precisely the window arrangement that will result from any action. . This package contains the documentation for building your custom configured xmonad binary as well as example configuration files. Homepage: http://xmonad.org/ Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/x/xmonad-contrib/libghc-xmonad-contrib-doc_0.10-4~deb7u1_all.deb Package: libghc-xmonad-contrib-prof Source: xmonad-contrib (0.10-4~deb7u1) Version: 0.10-4~deb7u1+b1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 30457 Depends: libghc-xmonad-contrib-dev (= 0.10-4~deb7u1+b1), libghc-x11-prof-1.5.0.1-52cf6, libghc-x11-xft-prof-0.3.1-46800, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-extensible-exceptions-prof-0.1.1.4-d27a1, libghc-mtl-prof-2.1.1-87121, libghc-old-locale-prof-1.0.0.4-29bd5, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-process-prof-1.1.0.1-75c65, libghc-random-prof-1.0.1.1-344e2, libghc-unix-prof-2.5.1.0-77272, libghc-utf8-string-prof-0.3.7-c7280, libghc-xmonad-prof-0.10-6a8cb Provides: libghc-xmonad-contrib-prof-0.10-b9282 Homepage: http://xmonad.org/ Priority: extra Section: haskell Filename: pool/main/x/xmonad-contrib/libghc-xmonad-contrib-prof_0.10-4~deb7u1+b1_armhf.deb Size: 6920406 SHA256: d7a89a4e92e4fd6a94a1f46ac2e1d68fb77250301d0821387ecc37dff3352d61 SHA1: 0dc1bf28331aaef44809cdc1abb5a515a6427474 MD5sum: 92f962dc0adbe77f4cef714d4f628d19 Description: Extensions to xmonad; profiling libraries This is a huge extensions library for xmonad, providing alternative layout algorithms, key bindings, extended operations, and much more. . Xmonad is a minimalist tiling window manager for X, written in Haskell. Windows are managed using automatic layout algorithms, which can be dynamically reconfigured. At any time windows are arranged so as to maximise the use of screen real estate. All features of the window manager are accessible purely from the keyboard: a mouse is entirely optional. Xmonad is configured in Haskell, and custom layout algorithms may be implemented by the user in config files. A principle of Xmonad is predictability: the user should know in advance precisely the window arrangement that will result from any action. . This package is what you need to profile your custom configured xmonad binary. Package: libghc-xmonad-dev Source: xmonad Version: 0.10-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 2931 Depends: libghc-x11-dev-1.5.0.1-52cf6, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-directory-dev-1.1.0.2-57272, libghc-extensible-exceptions-dev-0.1.1.4-d27a1, libghc-filepath-dev-1.3.0.0-163d9, libghc-mtl-dev-2.1.1-87121, libghc-process-dev-1.1.0.1-75c65, libghc-unix-dev-2.5.1.0-77272, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libx11-6, libxext6, libxinerama1, libx11-dev, libxinerama-dev Recommends: libghc-xmonad-contrib-dev Suggests: libghc-xmonad-doc, libghc-xmonad-prof Provides: libghc-xmonad-dev-0.10-6a8cb Homepage: http://xmonad.org/ Priority: extra Section: haskell Filename: pool/main/x/xmonad/libghc-xmonad-dev_0.10-4_armhf.deb Size: 689786 SHA256: 0397d64ee5b285cc6e3b1fb2efaead4c76a2614c602ba6609e1251f53746a40f SHA1: 13d2d45ba7cde6f5c31901b4c25281ce60be90fc MD5sum: e61aab9de057fc8664ffde03631d3411 Description: Lightweight X11 window manager; libraries This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Xmonad is a minimalist tiling window manager for X, written in Haskell. Windows are managed using automatic layout algorithms, which can be dynamically reconfigured. At any time windows are arranged so as to maximise the use of screen real estate. All features of the window manager are accessible purely from the keyboard: a mouse is entirely optional. Xmonad is configured in Haskell, and custom layout algorithms may be implemented by the user in config files. A principle of Xmonad is predictability: the user should know in advance precisely the window arrangement that will result from any action. . This package is what you need to build your custom configured xmonad binary. Package: libghc-xmonad-doc Source: xmonad Version: 0.10-4 Installed-Size: 1475 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-mtl-doc, libghc-transformers-doc, libghc-x11-doc, libghc-xmonad-dev, libghc-xmonad-contrib-doc Size: 192134 SHA256: e95e879f689d3dc14ab67d716510113f19cbc4fffb569da5cc005f9b20238af1 SHA1: 95c9f24b1ff9c21bdebd425c121255c8821868a0 MD5sum: c0cee9cc8d01d376c25423e5ceb5a352 Description: Lightweight X11 window manager; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Xmonad is a minimalist tiling window manager for X, written in Haskell. Windows are managed using automatic layout algorithms, which can be dynamically reconfigured. At any time windows are arranged so as to maximise the use of screen real estate. All features of the window manager are accessible purely from the keyboard: a mouse is entirely optional. Xmonad is configured in Haskell, and custom layout algorithms may be implemented by the user in config files. A principle of Xmonad is predictability: the user should know in advance precisely the window arrangement that will result from any action. . This package contains the documentation for building your custom configured xmonad binary as well as example configuration files. Homepage: http://xmonad.org/ Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/x/xmonad/libghc-xmonad-doc_0.10-4_all.deb Package: libghc-xmonad-prof Source: xmonad Version: 0.10-4 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 3531 Depends: libghc-xmonad-dev (= 0.10-4), libghc-x11-prof-1.5.0.1-52cf6, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-directory-prof-1.1.0.2-57272, libghc-extensible-exceptions-prof-0.1.1.4-d27a1, libghc-filepath-prof-1.3.0.0-163d9, libghc-mtl-prof-2.1.1-87121, libghc-process-prof-1.1.0.1-75c65, libghc-unix-prof-2.5.1.0-77272, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-xmonad-prof-0.10-6a8cb Homepage: http://xmonad.org/ Priority: extra Section: haskell Filename: pool/main/x/xmonad/libghc-xmonad-prof_0.10-4_armhf.deb Size: 825636 SHA256: fbea7c2bb6b3e8d627a89938b7810f15890715d87ea81584a99633c99121d5d9 SHA1: dba0d7a69b1895e54469fbf4d113f0a32677a755 MD5sum: efbf799bd01c36f8a24911d3462945cd Description: Lightweight X11 window manager; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Xmonad is a minimalist tiling window manager for X, written in Haskell. Windows are managed using automatic layout algorithms, which can be dynamically reconfigured. At any time windows are arranged so as to maximise the use of screen real estate. All features of the window manager are accessible purely from the keyboard: a mouse is entirely optional. Xmonad is configured in Haskell, and custom layout algorithms may be implemented by the user in config files. A principle of Xmonad is predictability: the user should know in advance precisely the window arrangement that will result from any action. . This package is what you need to profile your custom configured xmonad binary. Package: libghc-xss-sanitize-dev Source: haskell-xss-sanitize Version: 0.3.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1164 Depends: libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-base-dev-4.5.0.0-d93df, libghc-containers-dev-0.4.2.1-7c545, libghc-css-text-dev-0.1.1-ab348, libghc-network-dev-2.3.0.13-9c99a, libghc-tagsoup-dev-0.12.6-48a49, libghc-text-dev-0.11.2.0-05a46, libghc-utf8-string-dev-0.3.7-c7280, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-xss-sanitize-doc, libghc-xss-sanitize-prof Provides: libghc-xss-sanitize-dev-0.3.2-4a654 Homepage: http://hackage.haskell.org/package/xss-sanitize Priority: extra Section: haskell Filename: pool/main/h/haskell-xss-sanitize/libghc-xss-sanitize-dev_0.3.2-1_armhf.deb Size: 233276 SHA256: b723f73a6e2d2aa518481f6911a20b2f808ca0c7034e0ccc874de8d895c0cdd3 SHA1: 52c020aba24560faf721b666f8e5e2e0bf6a9f6b MD5sum: 1949c7c9bd97b5ff7247b2c050cf1d77 Description: Library to sanitize HTML and prevent XSS attacks - GHC libraries This library is able to parse strings that contain HTML code and check for the presence of potentially malicious tags that could trigger XSS (Cross-Site Scripting). It can be used in a Web application when using HTML code coming from an untrusted party. . Moreover, this library is also able to check for unbalanced tags and tries to fix them (even though it could produce invalid HTML). . This package contains the libraries compiled for GHC. Package: libghc-xss-sanitize-doc Source: haskell-xss-sanitize Version: 0.3.2-1 Installed-Size: 202 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-tagsoup-doc, libghc-text-doc, libghc-xss-sanitize-dev Size: 39032 SHA256: d49712eae071feb1a6db7ea402fd303be9f631f264181aaa5cc03bf730f555e7 SHA1: 61ae7b8aff11f053563f9899ef5431574c7dc2f8 MD5sum: 4e61c3b67de493fcd6312b9687241f8d Description: Library to sanitize HTML and prevent XSS attacks - documentation This library is able to parse strings that contain HTML code and check for the presence of potentially malicious tags that could trigger XSS (Cross-Site Scripting). It can be used in a Web application when using HTML code coming from an untrusted party. . Moreover, this library is also able to check for unbalanced tags and tries to fix them (even though it could produce invalid HTML). . This package contains the library documentation. Homepage: http://hackage.haskell.org/package/xss-sanitize Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::html Section: doc Priority: extra Filename: pool/main/h/haskell-xss-sanitize/libghc-xss-sanitize-doc_0.3.2-1_all.deb Package: libghc-xss-sanitize-prof Source: haskell-xss-sanitize Version: 0.3.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1024 Depends: libghc-xss-sanitize-dev (= 0.3.2-1), libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-base-prof-4.5.0.0-d93df, libghc-containers-prof-0.4.2.1-7c545, libghc-css-text-prof-0.1.1-ab348, libghc-network-prof-2.3.0.13-9c99a, libghc-tagsoup-prof-0.12.6-48a49, libghc-text-prof-0.11.2.0-05a46, libghc-utf8-string-prof-0.3.7-c7280 Provides: libghc-xss-sanitize-prof-0.3.2-4a654 Homepage: http://hackage.haskell.org/package/xss-sanitize Priority: extra Section: haskell Filename: pool/main/h/haskell-xss-sanitize/libghc-xss-sanitize-prof_0.3.2-1_armhf.deb Size: 204648 SHA256: 2b472889faee4a171c118e1bdc7a297617480de679854890f58f257da3688514 SHA1: 2e54e61d87c5bb8358bf5dbb8b9248d0563a3ff4 MD5sum: 9cec14541df4b2c136f7d926520056a2 Description: Library to sanitize HTML and prevent XSS attacks - GHC profiling libraries This library is able to parse strings that contain HTML code and check for the presence of potentially malicious tags that could trigger XSS (Cross-Site Scripting). It can be used in a Web application when using HTML code coming from an untrusted party. . Moreover, this library is also able to check for unbalanced tags and tries to fix them (even though it could produce invalid HTML). . This package contains the profiling libraries compiled for GHC. Package: libghc-yaml-dev Source: haskell-yaml Version: 0.7.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1305 Depends: libghc-aeson-dev-0.6.0.2-0ddd3, libghc-attoparsec-dev-0.10.1.1-d26e0, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-conduit-dev-0.4.2-2f3e1, libghc-containers-dev-0.4.2.1-7c545, libghc-resourcet-dev-0.3.2.1-e4216, libghc-text-dev-0.11.2.0-05a46, libghc-transformers-dev-0.3.0.0-e8222, libghc-unordered-containers-dev-0.2.1.0-eabe0, libghc-vector-dev-0.9.1-8e782, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libyaml-0-2, libyaml-dev Suggests: libghc-yaml-doc, libghc-yaml-prof Provides: libghc-yaml-dev-0.7.0.2-f06d8 Homepage: http://hackage.haskell.org/package/yaml Priority: extra Section: haskell Filename: pool/main/h/haskell-yaml/libghc-yaml-dev_0.7.0.2-1_armhf.deb Size: 290902 SHA256: 81e95889a02ae71cad8bc431a5866576c2968f0bb073bc1e3e289a259edb2ba3 SHA1: 6a189fb259b3fde01ca092ecb81baa007d1300ea MD5sum: 5fe516aef7c0d82cd45403af0021e814 Description: interface to LibYAML This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Provides support for parsing and emitting Yaml documents. Package: libghc-yaml-doc Source: haskell-yaml Version: 0.7.0.2-1 Installed-Size: 293 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-aeson-doc, libghc-attoparsec-doc, libghc-conduit-doc, libghc-hashable-doc, libghc-primitive-doc, libghc-unordered-containers-doc, libghc-vector-doc, libghc-yaml-dev Size: 50814 SHA256: 3562107a85490f01423786d84326649f6debdd245c93d97a4d4f239c03ee54f5 SHA1: 5e97d4d949a77e0e282ceb3a3a19456936b49a15 MD5sum: ee852c2935c5ff16d3bf31b537d15748 Description: interface to LibYAML; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Provides support for parsing and emitting Yaml documents. Homepage: http://hackage.haskell.org/package/yaml Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-yaml/libghc-yaml-doc_0.7.0.2-1_all.deb Package: libghc-yaml-light-dev Source: haskell-yaml-light Version: 0.1.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 202 Depends: libghc-hssyck-dev-0.50-44ee2, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10 Suggests: libghc-yaml-light-doc, libghc-yaml-light-prof Provides: libghc-yaml-light-dev-0.1.4-1362f Homepage: http://hackage.haskell.org/package/yaml-light Priority: extra Section: haskell Filename: pool/main/h/haskell-yaml-light/libghc-yaml-light-dev_0.1.4-2_armhf.deb Size: 37200 SHA256: 1b0e4769a0e9d040b9e793667a9e7636ba31ae979a57482ec95b29789e26c1c5 SHA1: d127d7e7369b86ac55e3e56f54ef53a6ebe884c3 MD5sum: 44cd1bb3054a852f7774327050a3df70 Description: lightweight algebraic data type representing parsed YAML This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a wrapper around HsSyck and provides utility functions. Package: libghc-yaml-light-doc Source: haskell-yaml-light Version: 0.1.4-2 Installed-Size: 157 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-hssyck-doc, libghc-yaml-light-dev Size: 35750 SHA256: c2931c3341caa0b9b950f9674f7c6bf8f70a7e4454645d578c0136dbd7f40772 SHA1: 686d329661e08475fbac9bab72fa6045e3d15ea4 MD5sum: dadf66b9956b6f6bf43d0064a5c85b96 Description: lightweight algebraic data type representing parsed YAML; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It is a wrapper around HsSyck and provides utility functions. Homepage: http://hackage.haskell.org/package/yaml-light Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-yaml-light/libghc-yaml-light-doc_0.1.4-2_all.deb Package: libghc-yaml-light-prof Source: haskell-yaml-light Version: 0.1.4-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 169 Depends: libghc-yaml-light-dev (= 0.1.4-2), libghc-hssyck-prof-0.50-44ee2, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545 Provides: libghc-yaml-light-prof-0.1.4-1362f Homepage: http://hackage.haskell.org/package/yaml-light Priority: extra Section: haskell Filename: pool/main/h/haskell-yaml-light/libghc-yaml-light-prof_0.1.4-2_armhf.deb Size: 32256 SHA256: df8286e31da188803e7cce2fa85239fe8e19a1b2886b76fdc84442a72eb7986e SHA1: d5c12512b521dae9bc633826242c7aded44314af MD5sum: dac6447c2f9fde7e319a8898001fb172 Description: lightweight algebraic data type representing parsed YAML; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It is a wrapper around HsSyck and provides utility functions. Package: libghc-yaml-prof Source: haskell-yaml Version: 0.7.0.2-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1146 Depends: libghc-yaml-dev (= 0.7.0.2-1), libghc-aeson-prof-0.6.0.2-0ddd3, libghc-attoparsec-prof-0.10.1.1-d26e0, libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-conduit-prof-0.4.2-2f3e1, libghc-containers-prof-0.4.2.1-7c545, libghc-resourcet-prof-0.3.2.1-e4216, libghc-text-prof-0.11.2.0-05a46, libghc-transformers-prof-0.3.0.0-e8222, libghc-unordered-containers-prof-0.2.1.0-eabe0, libghc-vector-prof-0.9.1-8e782 Provides: libghc-yaml-prof-0.7.0.2-f06d8 Homepage: http://hackage.haskell.org/package/yaml Priority: extra Section: haskell Filename: pool/main/h/haskell-yaml/libghc-yaml-prof_0.7.0.2-1_armhf.deb Size: 255152 SHA256: bc5b9cb99aae5f17ea8263c897352cd834df660c07c04246b4f80ed1c8dcf862 SHA1: aded9931d71f87fdc9eb693ef85baae00631af02 MD5sum: 031f746010b662cf5e41f8a58881e08d Description: interface to LibYAML; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . Provides support for parsing and emitting Yaml documents. Package: libghc-yesod-auth-doc Source: haskell-yesod-auth Version: 1.0.2.1-2 Installed-Size: 502 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-http-conduit-doc, libghc-persistent-doc, libghc-text-doc, libghc-transformers-doc, libghc-yesod-core-doc, libghc-yesod-form-doc, libghc-yesod-persistent-doc, libghc-yesod-auth-dev Size: 75440 SHA256: 9ef82c24f7f72acf9a87932cb038334f78824d8094893226826699a06bbcaf93 SHA1: bbb9f2b80a6acb295626d23d4154a8f4b874b7f5 MD5sum: 996e28f9e0abf6a6e865d93c3e0eea85 Description: authentication for Yesod; documentation yesod-auth is the authentication component of Yesod. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/yesod-auth Tag: devel::doc, devel::lang:haskell, role::documentation, security::authentication Section: doc Priority: extra Filename: pool/main/h/haskell-yesod-auth/libghc-yesod-auth-doc_1.0.2.1-2_all.deb Package: libghc-yesod-core-doc Source: haskell-yesod-core Version: 1.0.1.2-1 Installed-Size: 1187 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-builder-doc, libghc-blaze-html-doc, libghc-case-insensitive-doc, libghc-clientsession-doc, libghc-conduit-doc, libghc-cookie-doc, libghc-fast-logger-doc, libghc-hamlet-doc, libghc-http-types-doc, libghc-monad-control-doc, libghc-random-doc, libghc-shakespeare-css-doc, libghc-shakespeare-i18n-doc, libghc-shakespeare-js-doc, libghc-transformers-base-doc, libghc-transformers-doc, libghc-wai-doc, libghc-wai-extra-doc, libghc-yesod-routes-doc, libghc-yesod-core-dev Size: 147212 SHA256: eaa5c71bd068a54c50b35b041c207361dc72fd8120d72a81cf9e6870aeb8f101 SHA1: f9b9349d0eee9a3f22bb5ed0baaf14f3e2266d3a MD5sum: 816cb657667cb00ea093daef519526af Description: framework for type-safe, RESTful web applications; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. Homepage: http://hackage.haskell.org/package/yesod-core Tag: devel::doc, devel::lang:haskell, devel::web, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-yesod-core/libghc-yesod-core-doc_1.0.1.2-1_all.deb Package: libghc-yesod-default-doc Source: haskell-yesod-default Version: 1.0.1.1-1 Installed-Size: 191 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-aeson-doc, libghc-network-conduit-doc, libghc-wai-doc, libghc-yesod-core-doc, libghc-yesod-default-dev Size: 46236 SHA256: 0474b49902d4ee35b0dc109ebb1e32e25d806734d8f4cabf83f4bc8e1432f6cc SHA1: c3f2ccfaabc19e13b376c8820444fe13cf0a789e MD5sum: 3db4f4928d6d954588f9b9c9bac255a7 Description: default config and main functions for your Yesod application; documentation This provides convenient wrappers for the configuration and execution of Yesod applications, including per-environment (development, testing, staging, production) configs. . This package contains the documentation files. Homepage: http://www.yesodweb.com/ Section: doc Priority: extra Filename: pool/main/h/haskell-yesod-default/libghc-yesod-default-doc_1.0.1.1-1_all.deb Package: libghc-yesod-doc Source: haskell-yesod Version: 1.0.1.6-2 Installed-Size: 284 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-html-doc, libghc-conduit-doc, libghc-mtl-doc, libghc-persistent-doc, libghc-resourcet-doc, libghc-shakespeare-css-doc, libghc-shakespeare-js-doc, libghc-text-doc, libghc-transformers-base-doc, libghc-transformers-doc, libghc-wai-doc, libghc-yesod-core-doc, libghc-yesod-form-doc, libghc-yesod-json-doc, libghc-yesod-persistent-doc, libghc-yesod-dev Size: 55024 SHA256: 5ea61de3a8affd2ad648bdf73b63f62bbccc947c8c4775234a0b54e1ec9d4753 SHA1: 7e595092c0018652a056f84151fe45a9804f41d3 MD5sum: 151deaa55f3008e030e59959c5122c1c Description: framework for type-safe, RESTful web applications; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. Homepage: http://hackage.haskell.org/package/yesod Tag: devel::doc, devel::lang:haskell, devel::web, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-yesod/libghc-yesod-doc_1.0.1.6-2_all.deb Package: libghc-yesod-form-doc Source: haskell-yesod-form Version: 1.0.0.4-1 Installed-Size: 683 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-html-doc, libghc-dlist-doc, libghc-mtl-doc, libghc-path-pieces-doc, libghc-persistent-doc, libghc-shakespeare-i18n-doc, libghc-yesod-core-doc, libghc-yesod-persistent-doc, libghc-yesod-form-dev Size: 84314 SHA256: 6d9a2c310ff3495ec79a616e0a1eac46c48d22f5f6751a1d49237f547902fedc SHA1: d89fafd3ca81f9b6fe31ee07d5df13f2944a5b83 MD5sum: 7e04407a84502647af2c3e57a2c01089 Description: framework for type-safe, RESTful web applications; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. Homepage: http://hackage.haskell.org/package/yesod-form Tag: devel::doc, devel::lang:haskell, devel::web, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-yesod-form/libghc-yesod-form-doc_1.0.0.4-1_all.deb Package: libghc-yesod-json-doc Source: haskell-yesod-json Version: 1.0.0.1-1 Installed-Size: 91 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-aeson-doc, libghc-attoparsec-doc, libghc-hashable-doc, libghc-shakespeare-js-doc, libghc-yesod-core-doc, libghc-yesod-json-dev Size: 35766 SHA256: 0362b57785f5c8a26eabea868476b35da323a28289fb9627764ea84bd7af4043 SHA1: c3f8ed5a0aa849513727c4a4dc7e51e2f784fb76 MD5sum: 0ca445d22e48aa631c3867a4a7bf0f9b Description: framework for type-safe, RESTful web applications; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. Homepage: http://hackage.haskell.org/package/yesod-json Tag: devel::doc, devel::lang:haskell, devel::web, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-yesod-json/libghc-yesod-json-doc_1.0.0.1-1_all.deb Package: libghc-yesod-markdown-doc Source: haskell-yesod-markdown Version: 0.4.0-1 Installed-Size: 129 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-blaze-html-doc, libghc-pandoc-doc, libghc-persistent-doc, libghc-yesod-form-doc, libghc-yesod-markdown-dev Size: 34272 SHA256: effcdeec56a0dead548cf6510caec62ab723f4c4c2dd4e06b417dda7e3cbbe49 SHA1: e48c34c493f7f0d1a1882ba42b9e6ee611c5ecce MD5sum: 147470549911fbd9ecffda0bde046156 Description: tools for using Markdown in a Yesod application; documentation yesod-markdown provides a subset of Pandoc functionality useful for Markdown processing in Yesod applications. . This package contains the documentation files. Homepage: http://hackage.haskell.org/package/yesod-markdown Section: doc Priority: extra Filename: pool/main/h/haskell-yesod-markdown/libghc-yesod-markdown-doc_0.4.0-1_all.deb Package: libghc-yesod-persistent-doc Source: haskell-yesod-persistent Version: 1.0.0.1-1 Installed-Size: 71 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-persistent-doc, libghc-persistent-template-doc, libghc-transformers-doc, libghc-yesod-core-doc, libghc-yesod-persistent-dev Size: 32268 SHA256: 5b04e018a37aa954c06333d741da533dd34dc08c4f6966a8204302829677df0a SHA1: 7748932009ca0c44ae97ede94dbe8213c0be6a75 MD5sum: 9beeaf44d6f5418e604ef3972952f45d Description: framework for type-safe, RESTful web applications; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. Homepage: http://hackage.haskell.org/package/yesod-persistent Tag: devel::doc, devel::lang:haskell, devel::web, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-yesod-persistent/libghc-yesod-persistent-doc_1.0.0.1-1_all.deb Package: libghc-yesod-routes-doc Source: haskell-yesod-routes Version: 1.0.1.2-1 Installed-Size: 343 Maintainer: Clint Adams Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-text-doc, libghc-yesod-routes-dev Size: 56816 SHA256: 2dca333f3fb022b22a82a2e45d10d43e9aa695e0e74d616ef6545435fb4d9b60 SHA1: 2458a0adf16c25c83577c0bdfbeb0e0fcead3df8 MD5sum: ced47d6149a774cffdfaee5bba0d7647 Description: efficient routing for Yesod; documentation Provides an efficient routing system, a parser and TH code generation. . This package contains the documentation files. Homepage: http://www.yesodweb.com/ Section: doc Priority: extra Filename: pool/main/h/haskell-yesod-routes/libghc-yesod-routes-doc_1.0.1.2-1_all.deb Package: libghc-yesod-static-doc Source: haskell-yesod-static Version: 1.0.0.2-1 Installed-Size: 133 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-wai-app-static-doc, libghc-yesod-core-doc, libghc-yesod-static-dev Size: 41854 SHA256: dd6ab478311ab9a9697a05babedfa20e6f99be72026304631f259af8c13eed34 SHA1: 1fdc97294e1c1964a789045867cdd5f2eeaf4bbf MD5sum: 6361b48bb3e47a2b4a6fe34297fcea99 Description: Static file serving subsite for Yesod Web Framework; documentation Yesod is a framework designed to foster creation of RESTful web application that have strong compile-time guarantees of correctness. It also affords space efficient code and portability to many deployment backends, from CGI to stand-alone serving. . This package provides static file serving functionality for the Yesod Web Framework. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/yesod-static Tag: devel::doc, devel::lang:haskell, devel::web, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-yesod-static/libghc-yesod-static-doc_1.0.0.2-1_all.deb Package: libghc-yesod-test-doc Source: haskell-yesod-test Version: 0.2.0.6-1 Installed-Size: 265 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-case-insensitive-doc, libghc-http-types-doc, libghc-hxt-doc, libghc-mtl-doc, libghc-persistent-doc, libghc-text-doc, libghc-wai-doc, libghc-wai-test-doc, libghc-xml-conduit-doc, libghc-yesod-test-dev Size: 53690 SHA256: b6ce1023ed25277abdbbf28e0d2ad3eb44c9bfd41a928a3c207a382ae3a68089 SHA1: 8ace3909abdda7f5caecad4e29a06826a230cd6a MD5sum: be055b611639cf20f88dd955f324070b Description: integration testing for WAI/Yesod applications; documentation Behavior-oriented integration testing for Yesod applications. . This package contains the documentation files. Homepage: http://hackage.haskell.org/package/yesod-test Section: doc Priority: extra Filename: pool/main/h/haskell-yesod-test/libghc-yesod-test-doc_0.2.0.6-1_all.deb Package: libghc-zeromq-haskell-dev Source: haskell-zeromq-haskell Version: 0.8-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 1197 Depends: libghc-base-dev-4.3.1.0-97817, libghc-bytestring-dev-0.9.1.10-978b1, libghc-containers-dev-0.4.0.0-ad7e4, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libzmq1, libzmq-dev Suggests: libghc-zeromq-haskell-doc, libghc-zeromq-haskell-prof Provides: libghc-zeromq-haskell-dev-0.8-47819 Homepage: http://hackage.haskell.org/package/zeromq-haskell Priority: extra Section: haskell Filename: pool/main/h/haskell-zeromq-haskell/libghc-zeromq-haskell-dev_0.8-2_armhf.deb Size: 229848 SHA256: d698202da4d0dc608b0e9348959a88f264311f6930175f9caa7b5d5b6ba12ef8 SHA1: 48c4c70e45f55c847f9f7d6a8b0beddf6191d83e MD5sum: abf57eb26b23904d374f25537f91b0ce Description: bindings to ZeroMQ The 0MQ lightweight messaging kernel is a library which extends the standard socket interfaces with features traditionally provided by specialised messaging middleware products. 0MQ sockets provide an abstraction of asynchronous message queues, multiple messaging patterns, message filtering (subscriptions), seamless access to multiple transport protocols and more. This library provides the Haskell language binding to 0MQ. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-zeromq-haskell-doc Source: haskell-zeromq-haskell Version: 0.8-2 Installed-Size: 378 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-16 Recommends: ghc-doc, libghc-zeromq-haskell-dev Size: 59076 SHA256: 007a30aca7a9d0e7dc0091a73478b1ccc58144e82855d28daf62ad0aa5061e3f SHA1: f87883d85a24904bf6bfe0e323e8ea0fc661cdbb MD5sum: 4d9860eec78a7628e017177403660cd1 Description: bindings to ZeroMQ; documentation The 0MQ lightweight messaging kernel is a library which extends the standard socket interfaces with features traditionally provided by specialised messaging middleware products. 0MQ sockets provide an abstraction of asynchronous message queues, multiple messaging patterns, message filtering (subscriptions), seamless access to multiple transport protocols and more. This library provides the Haskell language binding to 0MQ. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/zeromq-haskell Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-zeromq-haskell/libghc-zeromq-haskell-doc_0.8-2_all.deb Package: libghc-zeromq-haskell-prof Source: haskell-zeromq-haskell Version: 0.8-2 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 984 Depends: libghc-zeromq-haskell-dev (= 0.8-2), libghc-base-prof-4.3.1.0-97817, libghc-bytestring-prof-0.9.1.10-978b1, libghc-containers-prof-0.4.0.0-ad7e4 Provides: libghc-zeromq-haskell-prof-0.8-47819 Homepage: http://hackage.haskell.org/package/zeromq-haskell Priority: extra Section: haskell Filename: pool/main/h/haskell-zeromq-haskell/libghc-zeromq-haskell-prof_0.8-2_armhf.deb Size: 194536 SHA256: 7d4bb32f0d0fc0c069009051885fc48efca0759f13d749bf12f27d2b57f490f3 SHA1: 8b62a3297ee990aa3e58635d4ff2addd20319c2a MD5sum: f0944c75959b81a86c163c21e01a7d9a Description: bindings to ZeroMQ; profiling libraries The 0MQ lightweight messaging kernel is a library which extends the standard socket interfaces with features traditionally provided by specialised messaging middleware products. 0MQ sockets provide an abstraction of asynchronous message queues, multiple messaging patterns, message filtering (subscriptions), seamless access to multiple transport protocols and more. This library provides the Haskell language binding to 0MQ. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-zip-archive-dev Source: haskell-zip-archive Version: 0.1.1.7-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 900 Depends: libghc-array-dev-0.4.0.0-3cf1b, libghc-base-dev-4.5.0.0-d93df, libghc-binary-dev-0.5.1.0-d353b, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-containers-dev-0.4.2.1-7c545, libghc-digest-dev-0.0.1.0-4e1ec, libghc-directory-dev-1.1.0.2-57272, libghc-filepath-dev-1.3.0.0-163d9, libghc-mtl-dev-2.1.1-87121, libghc-old-time-dev-1.1.0.0-2a9ba, libghc-pretty-dev-1.1.1.0-7e118, libghc-unix-dev-2.5.1.0-77272, libghc-utf8-string-dev-0.3.7-c7280, libghc-zlib-dev-0.5.3.3-9ed15, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4) Suggests: libghc-zip-archive-doc, libghc-zip-archive-prof Provides: libghc-zip-archive-dev-0.1.1.7-46390 Homepage: http://hackage.haskell.org/package/zip-archive Priority: extra Section: haskell Filename: pool/main/h/haskell-zip-archive/libghc-zip-archive-dev_0.1.1.7-3_armhf.deb Size: 192462 SHA256: 4e33eacf025032fc38ab947dd903a18bbeca69a29ef23b8d0464fdb07fa5241a SHA1: 0c2e5d01fe26b9d6de80411747dad5b839858f3a MD5sum: 0a14f81b8793c4e536a593ad8ba51b9c Description: library to create, modify and extract files from zip archives This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The zip-archive library provides functions for creating, modifying, and extracting files from zip archives. Package: libghc-zip-archive-doc Source: haskell-zip-archive Version: 0.1.1.7-3 Installed-Size: 255 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-zip-archive-dev Size: 45240 SHA256: 7b7d1fbae65d2a9614bc10ffd2f16a48efbdbd9512e5e4831c6688aa4c44e396 SHA1: d3e72cdd35b22c63ad8071f74fcf3ca04aba3f1e MD5sum: 13767010830c89f6ea9d7c81a5d2152a Description: library to create, modify and extract files from zip archives; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . The zip-archive library provides functions for creating, modifying, and extracting files from zip archives. Homepage: http://hackage.haskell.org/package/zip-archive Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::zip, works-with::archive Section: doc Priority: extra Filename: pool/main/h/haskell-zip-archive/libghc-zip-archive-doc_0.1.1.7-3_all.deb Package: libghc-zip-archive-prof Source: haskell-zip-archive Version: 0.1.1.7-3 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 813 Depends: libghc-zip-archive-dev (= 0.1.1.7-3), libghc-array-prof-0.4.0.0-3cf1b, libghc-base-prof-4.5.0.0-d93df, libghc-binary-prof-0.5.1.0-d353b, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-containers-prof-0.4.2.1-7c545, libghc-digest-prof-0.0.1.0-4e1ec, libghc-directory-prof-1.1.0.2-57272, libghc-filepath-prof-1.3.0.0-163d9, libghc-mtl-prof-2.1.1-87121, libghc-old-time-prof-1.1.0.0-2a9ba, libghc-pretty-prof-1.1.1.0-7e118, libghc-unix-prof-2.5.1.0-77272, libghc-utf8-string-prof-0.3.7-c7280, libghc-zlib-prof-0.5.3.3-9ed15 Provides: libghc-zip-archive-prof-0.1.1.7-46390 Homepage: http://hackage.haskell.org/package/zip-archive Priority: extra Section: haskell Filename: pool/main/h/haskell-zip-archive/libghc-zip-archive-prof_0.1.1.7-3_armhf.deb Size: 168934 SHA256: 37499a93e635397ec271496a335d0d12b01f3fdc9baeadf36d674706637a618d SHA1: ed5c9fc8990ce376d7f23203c3b6d8decf99b889 MD5sum: 0aaccca6f6e733a796dbe4dee564bc68 Description: library to create and modify zip archives; profiling library This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . The zip-archive library provides functions for creating, modifying, and extracting files from zip archives. Package: libghc-zlib-bindings-dev Source: haskell-zlib-bindings Version: 0.1.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 404 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-zlib-dev-0.5.3.3-9ed15, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4) Suggests: libghc-zlib-bindings-doc, libghc-zlib-bindings-prof Provides: libghc-zlib-bindings-dev-0.1.0.1-b3d84 Homepage: http://hackage.haskell.org/package/zlib-bindings Priority: extra Section: haskell Filename: pool/main/h/haskell-zlib-bindings/libghc-zlib-bindings-dev_0.1.0.1-1_armhf.deb Size: 76642 SHA256: 9d567278af2fd5aa0ab39b34e6547696f0f5dd344bddc04f5840b96d3486313e SHA1: 4aa0a5e47b034d846eb0a705a5b687f910bb21bd MD5sum: 7f44f7b3611abf949c97ea8cbe053ed1 Description: low-level bindings to zlib This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides necessary functions for producing a streaming interface. This is used, for example, by zlib-conduit and zlib-enum. Package: libghc-zlib-bindings-doc Source: haskell-zlib-bindings Version: 0.1.0.1-1 Installed-Size: 208 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-zlib-doc, libghc-zlib-bindings-dev Size: 40884 SHA256: 2e890da6b797144c3cde8e3d6c074ab7142efbdaa4b2bf16582c41c3d588efec SHA1: e8ab0985b98bb4c16a64ca1f339661c0e6a7092c MD5sum: 6dc315d72e293e3308def5e736b2b1e9 Description: low-level bindings to zlib; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . It provides necessary functions for producing a streaming interface. This is used, for example, by zlib-conduit and zlib-enum. Homepage: http://hackage.haskell.org/package/zlib-bindings Tag: devel::doc, devel::lang:haskell, role::documentation, works-with-format::zip Section: doc Priority: extra Filename: pool/main/h/haskell-zlib-bindings/libghc-zlib-bindings-doc_0.1.0.1-1_all.deb Package: libghc-zlib-bindings-prof Source: haskell-zlib-bindings Version: 0.1.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 350 Depends: libghc-zlib-bindings-dev (= 0.1.0.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-zlib-prof-0.5.3.3-9ed15 Provides: libghc-zlib-bindings-prof-0.1.0.1-b3d84 Homepage: http://hackage.haskell.org/package/zlib-bindings Priority: extra Section: haskell Filename: pool/main/h/haskell-zlib-bindings/libghc-zlib-bindings-prof_0.1.0.1-1_armhf.deb Size: 68554 SHA256: d9217bcdfa4a487c151a634ca0bc2b0d465baf270d8999bf5215928754ee5266 SHA1: 836a02ec9f32a592592e610fe80d1be11d209c7b MD5sum: ae9f6294b72bf8d18c41672532414f2c Description: low-level bindings to zlib; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . It provides necessary functions for producing a streaming interface. This is used, for example, by zlib-conduit and zlib-enum. Package: libghc-zlib-conduit-dev Source: haskell-zlib-conduit Version: 0.4.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 153 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-conduit-dev-0.4.2-2f3e1, libghc-containers-dev-0.4.2.1-7c545, libghc-transformers-dev-0.3.0.0-e8222, libghc-zlib-bindings-dev-0.1.0.1-b3d84, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4) Suggests: libghc-zlib-conduit-doc, libghc-zlib-conduit-prof Provides: libghc-zlib-conduit-dev-0.4.0.1-27784 Homepage: http://hackage.haskell.org/package/haskell-zlib-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-zlib-conduit/libghc-zlib-conduit-dev_0.4.0.1-1_armhf.deb Size: 24918 SHA256: 001362b6ab43276b441f18b85c854027571e8a4f8467d4377f7ba94bbd04982d SHA1: 84561341abb90be5b4b6643df853f4e078d43597 MD5sum: 9a8a33187f4e2fd57b7db72e48f45631 Description: streaming compression/decompression via conduits Streaming compression/decompression via conduits. . This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Package: libghc-zlib-conduit-doc Source: haskell-zlib-conduit Version: 0.4.0.1-1 Installed-Size: 100 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-conduit-doc, libghc-zlib-doc, libghc-zlib-conduit-dev Size: 32694 SHA256: 6aaf2541ecd994ebf9c98d3217840bbfe559859b44c4d74e1fdf0f8a6380c825 SHA1: c25cca5151475a14a887c63b0588118b5b2be3aa MD5sum: 402c845911750b6f6f492fe4d6096dcc Description: streaming compression/decompression via conduits; documentation Streaming compression/decompression via conduits. . This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. Homepage: http://hackage.haskell.org/package/haskell-zlib-conduit Section: doc Priority: extra Filename: pool/main/h/haskell-zlib-conduit/libghc-zlib-conduit-doc_0.4.0.1-1_all.deb Package: libghc-zlib-conduit-prof Source: haskell-zlib-conduit Version: 0.4.0.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 146 Depends: libghc-zlib-conduit-dev (= 0.4.0.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-conduit-prof-0.4.2-2f3e1, libghc-containers-prof-0.4.2.1-7c545, libghc-transformers-prof-0.3.0.0-e8222, libghc-zlib-bindings-prof-0.1.0.1-b3d84 Provides: libghc-zlib-conduit-prof-0.4.0.1-27784 Homepage: http://hackage.haskell.org/package/haskell-zlib-conduit Priority: extra Section: haskell Filename: pool/main/h/haskell-zlib-conduit/libghc-zlib-conduit-prof_0.4.0.1-1_armhf.deb Size: 29740 SHA256: 181198cfdc67e96750ab7876e61e22f8df2a0592b0a55b7a1c3c55281b00cae7 SHA1: e3fdb0aa61070c7d4392ec40e2436dd8d8bdf55f MD5sum: c153ccb5af8d3c668430c92ec34fe319 Description: streaming compression/decompression via conduits; profiling libraries Streaming compression/decompression via conduits. . This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. Package: libghc-zlib-dev Source: haskell-zlib Version: 0.5.3.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 536 Depends: zlib1g-dev, libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4) Suggests: libghc-zlib-doc, libghc-zlib-prof Provides: libghc-zlib-dev-0.5.3.3-9ed15 Homepage: http://hackage.haskell.org/package/zlib Priority: extra Section: haskell Filename: pool/main/h/haskell-zlib/libghc-zlib-dev_0.5.3.3-1_armhf.deb Size: 106152 SHA256: 364db3843e6f07ad52b627721bb50aa473f33f6a792910bc658a279396218a82 SHA1: af9f856763428835a375af9c1943fa2293f0bd12 MD5sum: 44ac9aa2069b38fbb17a0967a3121459 Description: Compression and decompression in the gzip and zlib formats This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides a pure interface for compressing and decompressing streams of data represented as lazy ByteStrings. It uses the zlib C library so it has high performance. It supports the \"zlib\", \"gzip\" and \"raw\" compression formats. . It provides a convenient high level API suitable for most tasks and for the few cases where more control is needed it provides access to the full zlib feature set. Package: libghc-zlib-doc Source: haskell-zlib Version: 0.5.3.3-1 Installed-Size: 577 Maintainer: Debian Haskell Group Architecture: all Replaces: haskell-zlib-doc (<< 0.5.2.0-3) Provides: haskell-zlib-doc Depends: haddock-interface-19 Recommends: ghc-doc, libghc-zlib-dev Conflicts: haskell-zlib-doc (<< 0.5.2.0-3) Size: 86398 SHA256: 706f4899a95bc17b6597eb0ba40bbd77f59b17bea060366bb6d593e89ee08ccd SHA1: 822a47b28d8c5572abda2f9a7a1629e74fb687b3 MD5sum: 4d473d03c00a009104817770ed8f4b3d Description: Compression and decompression in the gzip and zlib formats; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . This package provides a pure interface for compressing and decompressing streams of data represented as lazy ByteStrings. It uses the zlib C library so it has high performance. It supports the \"zlib\", \"gzip\" and \"raw\" compression formats. . It provides a convenient high level API suitable for most tasks and for the few cases where more control is needed it provides access to the full zlib feature set. Homepage: http://hackage.haskell.org/package/zlib Tag: devel::doc, devel::lang:haskell, role::documentation, use::compressing, works-with-format::zip Section: doc Priority: extra Filename: pool/main/h/haskell-zlib/libghc-zlib-doc_0.5.3.3-1_all.deb Package: libghc-zlib-enum-dev Source: haskell-zlib-enum Version: 0.2.2.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 127 Depends: libghc-base-dev-4.5.0.0-d93df, libghc-bytestring-dev-0.9.2.1-e17f0, libghc-enumerator-dev-0.4.19-c0ca3, libghc-transformers-dev-0.3.0.0-e8222, libghc-zlib-bindings-dev-0.1.0.1-b3d84, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, zlib1g (>= 1:1.1.4) Suggests: libghc-zlib-enum-doc, libghc-zlib-enum-prof Provides: libghc-zlib-enum-dev-0.2.2.1-57ea5 Homepage: http://hackage.haskell.org/package/zlib-enum Priority: extra Section: haskell Filename: pool/main/h/haskell-zlib-enum/libghc-zlib-enum-dev_0.2.2.1-1_armhf.deb Size: 17764 SHA256: 7e6d070eb9d3a6be6b15ae4c58a75c5a8f6fdbe5bca2029c66a45a1bb156f0a8 SHA1: 6d247c0b863a6684542c69cff4e1a5fd732003b0 MD5sum: 85ede5890bf2197175813010824028f6 Description: enumerator interface for zlib compression This package provides a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . zlib-enum is a stop-gap package to provide enumeratees for zlib compression/decompression. Package: libghc-zlib-enum-doc Source: haskell-zlib-enum Version: 0.2.2.1-1 Installed-Size: 118 Maintainer: Debian Haskell Group Architecture: all Depends: haddock-interface-19 Recommends: ghc-doc, libghc-enumerator-doc, libghc-transformers-doc, libghc-zlib-enum-dev Size: 30518 SHA256: ad63516869752b59d8cbb001e0eaf5bd14f0992df7ed23d7b428357497af555d SHA1: a9be05f62ed56dd5e55600a4e5e5ce313b1d1e7c MD5sum: 6356b9df37b303e74e887264fce77005 Description: enumerator interface for zlib compression; documentation This package provides the documentation for a library for the Haskell programming language. See http://www.haskell.org/ for more information on Haskell. . zlib-enum is a stop-gap package to provide enumeratees for zlib compression/decompression. Homepage: http://hackage.haskell.org/package/zlib-enum Tag: devel::doc, devel::lang:haskell, role::documentation, use::compressing, works-with-format::zip Section: doc Priority: extra Filename: pool/main/h/haskell-zlib-enum/libghc-zlib-enum-doc_0.2.2.1-1_all.deb Package: libghc-zlib-enum-prof Source: haskell-zlib-enum Version: 0.2.2.1-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 109 Depends: libghc-zlib-enum-dev (= 0.2.2.1-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0, libghc-enumerator-prof-0.4.19-c0ca3, libghc-transformers-prof-0.3.0.0-e8222, libghc-zlib-bindings-prof-0.1.0.1-b3d84 Provides: libghc-zlib-enum-prof-0.2.2.1-57ea5 Homepage: http://hackage.haskell.org/package/zlib-enum Priority: extra Section: haskell Filename: pool/main/h/haskell-zlib-enum/libghc-zlib-enum-prof_0.2.2.1-1_armhf.deb Size: 18826 SHA256: 5c2fa8fb47a68f3fa15024961a6af312fccaf0aedbe3504edd664e63e341c441 SHA1: 85bad079ee58f4cdf94a5ba34aaed81004b5065a MD5sum: 8af97adf5d549d8fa8d5b45800aa8cd5 Description: enumerator interface for zlib compression; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . zlib-enum is a stop-gap package to provide enumeratees for zlib compression/decompression. Package: libghc-zlib-prof Source: haskell-zlib Version: 0.5.3.3-1 Architecture: armhf Maintainer: Debian Haskell Group Installed-Size: 455 Depends: libghc-zlib-dev (= 0.5.3.3-1), libghc-base-prof-4.5.0.0-d93df, libghc-bytestring-prof-0.9.2.1-e17f0 Provides: libghc-zlib-prof-0.5.3.3-9ed15 Homepage: http://hackage.haskell.org/package/zlib Priority: extra Section: haskell Filename: pool/main/h/haskell-zlib/libghc-zlib-prof_0.5.3.3-1_armhf.deb Size: 93336 SHA256: c7fb90e198e837bf8768e98a0187da6dc1adcf591be1213c8446264733cfdc0b SHA1: 11a212633f50aeb145ef5a8320320fd3082679dd MD5sum: 1d785478642f94526f5c97d75f58c8fe Description: Compression and decompression in the gzip and zlib formats; profiling libraries This package provides a library for the Haskell programming language, compiled for profiling. See http://www.haskell.org/ for more information on Haskell. . This package provides a pure interface for compressing and decompressing streams of data represented as lazy ByteStrings. It uses the zlib C library so it has high performance. It supports the \"zlib\", \"gzip\" and \"raw\" compression formats. . It provides a convenient high level API suitable for most tasks and for the few cases where more control is needed it provides access to the full zlib feature set. Package: libghc6-agda-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-agda-dev Size: 4078 SHA256: 23643d5a5cfe85ad0f6682e3c22b82f6e53d1e0027db3bb442d1f61b0e903947 SHA1: 2dffe9897c24522b482c57eb2e0933e077332b8d MD5sum: b922c4d08838787e3736b2e03f96d887 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, role::devel-lib, role::dummy Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-agda-dev_8_all.deb Package: libghc6-agda-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-agda-doc Size: 4072 SHA256: adecfa4378af63406adabff44c8bd7c0742ef64bf63dff369ac862f1a4282ca9 SHA1: 24948e92747057c83d1cec05251fcb61172cbe94 MD5sum: ff832d665450202df7718e11050de588 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-agda-doc_8_all.deb Package: libghc6-alut-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-alut-dev Size: 4076 SHA256: 26a35384eb475c4e36f19fde905d2404a1ae49b73631747396b5be59596d8141 SHA1: 9e6a8509b1839832b0e7467281cd3fcac2686805 MD5sum: 958b8137e19bf6ec4aabfe7895a6614e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib, role::dummy Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-alut-dev_8_all.deb Package: libghc6-alut-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-alut-doc Size: 4074 SHA256: 07414144fa21afe09526d9c6912aceebb663d9e984b95f400aa2312e91b3c130 SHA1: 5158f46d49f00701d8f5298d9d70000448ff39f6 MD5sum: ca5d4d4b50a3e40b0b38ec8d48c16b8f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation, role::dummy Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-alut-doc_8_all.deb Package: libghc6-alut-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-alut-prof Size: 4076 SHA256: cff3f56150b4820bf9d2819ca2672a914606c1e09d5f116123659595445ec54e SHA1: 848e941c7cf5ad87c6334eedab7634131f30c82d MD5sum: 8d401f53089a09089f369c5f10d1295c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-alut-prof_8_all.deb Package: libghc6-arrows-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-arrows-dev Size: 4074 SHA256: 41db58510aacebdf1e15ffb46abcfae4fa7ea0227f1911630b9603298f85bc23 SHA1: 8713c511e369634f4cae84fa4a5574041668e91f MD5sum: 6a50922a022ca31f4d1bf07707a3f5df Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-arrows-dev_8_all.deb Package: libghc6-arrows-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-arrows-doc Size: 4072 SHA256: 6a5f7e94bedbfa4cb41db8133628e476d7c0e019b7589601c034d1192addf2ff SHA1: e19f639c20f50f778ade93259f099770db7e4bb6 MD5sum: 50f234c8724af8457df8c6b29e0ba3a8 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-arrows-doc_8_all.deb Package: libghc6-arrows-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-arrows-prof Size: 4076 SHA256: b38cf4ffdba096900059c3c15e044a0158475ce09d4a07ce104d3d60139d6855 SHA1: f173e0e19e9c3d02160d099c43f6149d9800db1a MD5sum: 53b780f083ce972aae14e88179b44af7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-arrows-prof_8_all.deb Package: libghc6-binary-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-binary-dev Size: 4078 SHA256: 6c292342974c686112248b6d998f7b9dece11019ad34db3689d3b36341894a15 SHA1: 8c83df3e4cf3d39c42c06f41be7d47550a50d38e MD5sum: 03915bc236b3325a123dcb75e4493d82 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib, scope::utility, use::storing Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-binary-dev_8_all.deb Package: libghc6-binary-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-binary-doc Size: 4076 SHA256: 02e358ad042732ee9c3035a1a97e07c0467bc9b79c20812f16ff8d8ff71c5388 SHA1: f9e90324ac2dc433b57823b853d22f01c5134753 MD5sum: 0b807de67806e99a07bc3821a7d7bd79 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::examples, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-binary-doc_8_all.deb Package: libghc6-binary-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-binary-prof Size: 4080 SHA256: c0ddc13934dcf0f48ee30eb7cb61a3dbd90d4bcc134446b905cefb73f205fd22 SHA1: 33cc4c02867f6b0154ec7abeea62cb0f51f90467 MD5sum: 66af36733c530fb48ff491f1c49fbd77 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::profiler, role::TODO Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-binary-prof_8_all.deb Package: libghc6-binary-shared-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-binary-shared-dev Size: 4084 SHA256: c793c6c2673934828ffd7c66ec84d967e47fbaf5de725cb311d1bff2aba56a92 SHA1: 23d95fb131146a5787e7048e4de7d6345884b008 MD5sum: 2b65151973c7f319aab1eb65aa05e6db Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-binary-shared-dev_8_all.deb Package: libghc6-binary-shared-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-binary-shared-doc Size: 4082 SHA256: f856b2499c2aa9056e87c222c4090b648d6e75fe1b41e1aecf519cd6cc7b9425 SHA1: 9d653fb34fa09a355c6cc38b2a94246189b45f40 MD5sum: 783c9a4e5cb73fbf0b503e6079b1c4df Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-binary-shared-doc_8_all.deb Package: libghc6-binary-shared-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-binary-shared-prof Size: 4088 SHA256: ffd7911cd9eeead9d7f914e9941857ce0de62b2714071a156a629a82ffeb87e6 SHA1: a94f555b258325ae3e00cd20222d504597cb37b2 MD5sum: 5964aa412d1c15d7be0359c546e57675 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-binary-shared-prof_8_all.deb Package: libghc6-bzlib-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-bzlib-dev Size: 4074 SHA256: d691282835bc60be37b811f1ab8862f391717672e4fe1282713a10e4232d8f54 SHA1: 54ba35dc64ed3e6f92c04dc5b8d947a436663c47 MD5sum: 27b79be6e60dfdd6fb08a42697dc1a86 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-bzlib-dev_8_all.deb Package: libghc6-bzlib-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-bzlib-doc Size: 4074 SHA256: 71c91c7dd906994d4ad1ffd4d5061a3d559b3a105146bb56ce27e3da21250064 SHA1: ad3cf38fad544e59d1b6679744b4647bab41b5ec MD5sum: 8d9b52af0e84b59070e7a74db44c1f68 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-bzlib-doc_8_all.deb Package: libghc6-bzlib-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-bzlib-prof Size: 4076 SHA256: 06ab881f7c2b043733cfb748fc9bcac8bd9ed15cba6a580a7fe00dd875976387 SHA1: 8e54a078e6f61a3abee7e34119f80dff901ebc80 MD5sum: 6dbc4b657863a84bf2c030e957661ae1 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-bzlib-prof_8_all.deb Package: libghc6-cairo-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-cairo-dev Size: 4076 SHA256: cc1a7be1c1529925279b9aebd0ab3afec2bcbcd0863b9c3999914d8ed555b861 SHA1: d6da9d3d44b7d44ea04688ec2c70e9263551e1d9 MD5sum: c56e81cc352756894ada34bbd44dfe13 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, interface::x11, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-cairo-dev_8_all.deb Package: libghc6-cairo-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-cairo-doc Size: 4074 SHA256: 173dde478e5bbee3e7aa782d7c234f26c10a9d7d2b0ea1640f5bbd4987126d99 SHA1: f076862ccdef7d94db215f86dd21a60d5e7bfc44 MD5sum: 909d21a4f4094d4411cec534cdc329b9 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-cairo-doc_8_all.deb Package: libghc6-cairo-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-cairo-prof Size: 4076 SHA256: 61ed29d4ad10123e67458b6be916a2acf0c21ac3a2c2efa5183fe0a186c12586 SHA1: 4b8ed87d94c44d2a32d1cc62d03d53e577f76080 MD5sum: 2faa0bd7b3a6514d08038f2158eca2d8 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-cairo-prof_8_all.deb Package: libghc6-cautious-file-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-cautious-file-dev Size: 4086 SHA256: 81d635f76ab620c4a529491c676a6a6c9d7265f9c9d27c5ba0ecf689d21795b6 SHA1: 68212eca8c16e991cdfa15bcf5a741e2fdfbfcf7 MD5sum: d06f0139e23c1ca34cf68cf77d1da97f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-cautious-file-dev_8_all.deb Package: libghc6-cautious-file-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-cautious-file-doc Size: 4086 SHA256: 5679b05bb746e7e479f08701b65571226a6c5feef1171d1eccc9f1d168c3c28c SHA1: e7191523f2639cd395408912025f33f7b3692699 MD5sum: 822196a48e9767874dd1aa2e6beb2f28 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-cautious-file-doc_8_all.deb Package: libghc6-cautious-file-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-cautious-file-prof Size: 4090 SHA256: c42501546703f87f6e39dc81c20b34b9050e101f62687fbeb0e09c25f165adba SHA1: 658a236f99fa9099b540aa42d14d036994eb5f34 MD5sum: 71fbcd7b22ae639c1e8acf5a7a0d5202 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-cautious-file-prof_8_all.deb Package: libghc6-cgi-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-cgi-dev Size: 4072 SHA256: c921ac072a1654ead53cd7392eeac695c44d036676e13be5c09cd2b157b5e167 SHA1: 5372ea9adf87893d96048cb875be839264abd051 MD5sum: 0ecb008458306013632407effc5711db Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, role::devel-lib, role::dummy, web::cgi Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-cgi-dev_8_all.deb Package: libghc6-cgi-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-cgi-doc Size: 4070 SHA256: f494a10a705487ceefcea9430f3de2b02fae371ae23d00cd29a81f96a8618592 SHA1: 8178cf37f8047afebd5a39e41133681cf4328ea1 MD5sum: cb6913ef8e175a9ff8fb4c9360b6952b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-cgi-doc_8_all.deb Package: libghc6-cgi-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-cgi-prof Size: 4074 SHA256: 3a7768c75848684e37dff9a0d828a3eb33b4164892dd9ab2a5c338880bbb7de7 SHA1: d375d08574660d53d2d97cba2e067a15861618d0 MD5sum: 8d7ef64dc5f80bc9e7e7a179d587b61f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, role::shared-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-cgi-prof_8_all.deb Package: libghc6-colour-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-colour-dev Size: 4078 SHA256: 8122eecce646a6e0989433cff573068bc775d779e9565deb24b2872a9fd64e93 SHA1: 13018658afb6b615467f89925b60af7ffd19a528 MD5sum: 7d6d1a12c87f9bf5bca91fdc6e601deb Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-colour-dev_8_all.deb Package: libghc6-colour-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-colour-doc Size: 4074 SHA256: 79656aa1d854ccabc5c75efb6aa58e6fa5c0f34b22d658ae9c4e641b8ebefcca SHA1: 255535e16fa0efcae1014fa8284cb9f53248a0c1 MD5sum: 88c2afc9ec70860fe6c64e16caa662a7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-colour-doc_8_all.deb Package: libghc6-colour-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-colour-prof Size: 4078 SHA256: 7ebb26480c0d5294b7b176970c59fb3d91ffa729e1843d6c52b877e12262da25 SHA1: 494c7512246b0ecdece0181196814aa67e18af42 MD5sum: a5e0e1a6e54901a796dc08424a12dbe8 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-colour-prof_8_all.deb Package: libghc6-configfile-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-configfile-dev Size: 4086 SHA256: 4ed145b9d08c1357ddacc3e2615dacbe678117d60c87e970ee4e0b8054e7005f SHA1: f34605bda1743e2dae8461c5d21e3dd17f8cbdc0 MD5sum: 0adfcc3763879663be09e0e54f51f96b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-configfile-dev_8_all.deb Package: libghc6-configfile-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-configfile-doc Size: 4080 SHA256: 4e4fa613a0a052c41e0134afa277124c4b97b7d43f9ed8ede1f80c68bc00278e SHA1: 8d350cf69f4599216c826b78f334cb7ab0bfbedc MD5sum: fab8eb28b1aa082e8d6fdd39ea15eca1 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-configfile-doc_8_all.deb Package: libghc6-configfile-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-configfile-prof Size: 4082 SHA256: 4a343e7b87ce42581d81d109f1f488e283d0c315e9f6409b912d53ba01785358 SHA1: f8862b2bec6791e0ef5f560a27cdb54b8caed353 MD5sum: d04ecd4094b1b0df27bf9fc66a14e84c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-configfile-prof_8_all.deb Package: libghc6-convertible-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-convertible-dev Size: 4084 SHA256: 068bfa1497143b2c229c5dbf4e1faeee7c9f7e71ea82fc8a73c11ff8ef67b365 SHA1: 7c2979a101ceb789b30b2d38d1e0437ff5f8540c MD5sum: c31f7639199a0cc79a429e7f17665289 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-convertible-dev_8_all.deb Package: libghc6-convertible-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-convertible-doc Size: 4082 SHA256: c9a025abccb37c731e8f275fd3ccff06a4116a98368fcb6fced19485f13785f0 SHA1: 205b37e9f18b1a7989a48923e7ffdeb672a5bfab MD5sum: a474290cac1556fa369a146858705386 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-convertible-doc_8_all.deb Package: libghc6-convertible-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-convertible-prof Size: 4086 SHA256: 70dea282724925f240fdbd4da924c977c1f3f63a4fcb82f14c62d0b5449fae94 SHA1: c8470035523a05324f088bdd2e1ba78db1d1b21f MD5sum: 302322c18ad776d9ce09d501a1e9445e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-convertible-prof_8_all.deb Package: libghc6-cpphs-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-cpphs-dev Size: 4072 SHA256: ed9a25b44dbe97489e2fc534c8d2a8fe84fc45de0f5558bc158f9a14cd0d1f56 SHA1: cbfdb010d0cd20f79523e16f6ef35741b338b6b9 MD5sum: 6ad58a1eea25e148aad4ecbad75157f5 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, role::devel-lib, role::dummy Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-cpphs-dev_8_all.deb Package: libghc6-cpphs-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-cpphs-doc Size: 4074 SHA256: 6115bbb80d61e5a2e7967d82185e826acba038f82bfa4186c72987426bb01e53 SHA1: 9580ae82d6faa59948dc5edb5d9787833561e07d MD5sum: 583c63590edf17b84fee709ebe51a8da Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-cpphs-doc_8_all.deb Package: libghc6-cpphs-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-cpphs-prof Size: 4074 SHA256: faf6514177ec248e6ddce17506b6d9a8ff1c14a005835557c342d85f81b5d61f SHA1: d33979131ac15d0d595983056f4201098de50d33 MD5sum: 1909b5ae6568f29e47d844b0f002c031 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-cpphs-prof_8_all.deb Package: libghc6-criterion-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-criterion-dev Size: 4082 SHA256: 47bee0c1799784dc92c2cf3b7e5e61f408f7ff5ae97aced9ba278a9f60e4154b SHA1: 2d264f27d5d3888b37133e9aba9de9e01bde7fb5 MD5sum: 3a7ec7fc5c87a688aa8e522fc9c840aa Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-criterion-dev_8_all.deb Package: libghc6-criterion-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-criterion-doc Size: 4078 SHA256: cb13b3b27009bd5f5f37dcb0df89fa2ae1e9251a17858ee6b466e5429b3024b3 SHA1: f1aacbc99120531c2e4a3a1e9861135b1da00549 MD5sum: aa8fbb9b034092e849543f38a809c948 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-criterion-doc_8_all.deb Package: libghc6-criterion-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-criterion-prof Size: 4086 SHA256: d546618aca86670f72fe725cbcc189cc71428fbe79c3266e088cec385c5732aa SHA1: 984dfe849d9854556840d44fc20240585392481f MD5sum: 6d94729169022817fc12867b9fb6f396 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-criterion-prof_8_all.deb Package: libghc6-csv-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-csv-dev Size: 4078 SHA256: 6e11ce6433c250ae2edd6ca54ddf4638bcf1a32b7873ec531cfaa3723fe546a2 SHA1: a2f104bcf84475216bba6796cc4ebc44a33c2a69 MD5sum: 6e1c1c80b8bde4665851fa28217d79b8 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-csv-dev_8_all.deb Package: libghc6-csv-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-csv-doc Size: 4072 SHA256: c4fee11ebb432a07d0a72f2abd5ee2a2ea05949db197c9a8e95f2a9cfa2548a5 SHA1: 44374b4447b63a6860173f88d3a08bb836c13fb8 MD5sum: 651756245351308574653f14b52e1576 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-csv-doc_8_all.deb Package: libghc6-csv-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-csv-prof Size: 4078 SHA256: d2a1e47fe319a78ea49cbda831e04a9b5362ab2bfd2c243ec64d2779209998a8 SHA1: 1a3b03913baa18cadef7acd8287dd113b1fbb60a MD5sum: 9e206ff68d8f2b8b090268b775f60d54 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-csv-prof_8_all.deb Package: libghc6-curl-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-curl-dev Size: 4074 SHA256: 01d6a49b14db4d44f700e80d0257d9dffedf463262401b052e6568b810176526 SHA1: 1308a7632584044541d6457348874a2e37e74e40 MD5sum: 929015abc2f58c40a4e6a28663559736 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::c, network::client, protocol::ftp, protocol::http, protocol::ssl, role::devel-lib, use::downloading Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-curl-dev_8_all.deb Package: libghc6-curl-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-curl-doc Size: 4072 SHA256: 1ac831a605a331e4f4e7573f97c5235c216d28f356058ad0e55111e061c954d6 SHA1: b4458453d7c9ac82e5ba040c963d6546bbc2a4e8 MD5sum: 5333857d8155182cad6f215ae7d1f186 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-curl-doc_8_all.deb Package: libghc6-curl-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-curl-prof Size: 4076 SHA256: a977bd96c99c84f5ed877dcca2a5ceb54c7d7f3c8bf599f44755588fa9ecfdd3 SHA1: 759417bcda93334cbc8bb1ac28967098e85a377d MD5sum: 1fff15e7a7128d373f7689b481f673d5 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-curl-prof_8_all.deb Package: libghc6-data-accessor-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-data-accessor-dev Size: 4086 SHA256: 9036a55e284ea5b75942893d8a063fc85933efec0ed5a584e6d6ab09008c9406 SHA1: 213d4bc2bbae54fb28ecd0ddac553cf1412c2124 MD5sum: e588739df6d2a6de627fefa65a5f0d2c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-data-accessor-dev_8_all.deb Package: libghc6-data-accessor-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-data-accessor-doc Size: 4086 SHA256: c06a566db2330799772a669e8ef805267e993df4e1ba0df1a0ee734b1ba2903f SHA1: d1160e488f409fcb844089dbffea3a95e4ce4694 MD5sum: f00176eef9cdeb966b4bcc525246baa3 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-data-accessor-doc_8_all.deb Package: libghc6-data-accessor-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-data-accessor-prof Size: 4092 SHA256: 56983cf3735b6568c31582ff11256a8fbbd8fbb077e36b7da71b61473c3c7f76 SHA1: 7c86aa72e73303fe1316dbc7c22593d87f047c4b MD5sum: 1fcab2ae168db83cafeee89742866a60 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-data-accessor-prof_8_all.deb Package: libghc6-dataenc-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-dataenc-dev Size: 4080 SHA256: 97c5cd3ab2a3e5b402d23286ee8544557f92eafc3fc34e9bbde32825df073e42 SHA1: 3811d66a1e15a2034c7a1f78f29a0d572a9c7114 MD5sum: c0c8112b78769011f3391071487bc9f7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib, use::converting Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-dataenc-dev_8_all.deb Package: libghc6-dataenc-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-dataenc-doc Size: 4080 SHA256: cf1b01f9f0a6290a89e1973c2094c611a4aaf3161bac2bf5b4d9738e061bade9 SHA1: 9b434b964ee328db5ca3755de072134e8c93bd0f MD5sum: 76f65d2fe7c6c9b861799db99f252e0e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-dataenc-doc_8_all.deb Package: libghc6-dataenc-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-dataenc-prof Size: 4082 SHA256: 071ed232cc5685a964412e96e43c66b2a0b18c6fc0dc1c614c9bcb986e3182ef SHA1: a868b52be5cd42ad49b0cf44c009aeecb844548f MD5sum: ef2af4c2bce16302ae55eb51210ae8bf Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-dataenc-prof_8_all.deb Package: libghc6-datetime-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-datetime-dev Size: 4084 SHA256: 9706dfb63eb10de53ec0b51a5590983a9db4f2e8db667eb6ba6d2f5850952aa4 SHA1: 74834f1772de15326acfe4b76e41d67e01286911 MD5sum: 3dba20643b82450852105dc88fa4e160 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-datetime-dev_8_all.deb Package: libghc6-datetime-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-datetime-doc Size: 4082 SHA256: dd68a3e058097cd42b2b587266a85866d41d3a2ad8a9f06fca8eac5b403026fd SHA1: 9e298ee4d6954f5a0d2d8b0d8ebdd929b654df2c MD5sum: aba1d330123ca846e4b550887bd78cef Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-datetime-doc_8_all.deb Package: libghc6-datetime-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-datetime-prof Size: 4086 SHA256: bd42b89d1cd280fde6b078a909fa9f8b46d03694b356f92d6c321bca48c15350 SHA1: 49d8a533510c50d9f20a3a81db566cfa3df4a1d1 MD5sum: e622ce3eefbf0d8dc1c55841dd578fed Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-datetime-prof_8_all.deb Package: libghc6-debian-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-debian-dev Size: 4072 SHA256: 729460dc9248aa820f17010e937433788e5648bd81f3c24b3c7951804074d618 SHA1: 8bbbb1876ad8c1b2929bc773885ef23646c3c98b MD5sum: b94fc9ef388f99a5c8cd3117605ff0b4 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-debian-dev_8_all.deb Package: libghc6-debian-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-debian-doc Size: 4072 SHA256: 4fa884d88a332bd3c4b4b13782e2bcba7c1157a89455b8305bc067a69bb333df SHA1: b9f2aee78c690752aece74a8582da490a5c4152e MD5sum: d7e9cde72d6c444869d2a1157019a76d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, devel::packaging, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-debian-doc_8_all.deb Package: libghc6-debian-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-debian-prof Size: 4078 SHA256: e829fe4566dd4fdb9f4ea049f38c62f55c4e3da0fcb3cdc7b76f4cdcdd002016 SHA1: 635f2ecd1f562c121a351743bd0b266e371ef55f MD5sum: 85d5edec129bc73420c93b11e13caee7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-debian-prof_8_all.deb Package: libghc6-deepseq-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-deepseq-dev Size: 4078 SHA256: dcc254c1e6e1e6ea1b1737b76998c06acdd8cc093dc268827bbf5be89b428520 SHA1: 3e9224168da4d97f4f4452e3f2727981a5a2c400 MD5sum: 05eb9da2b3f4eaf227bc2a37fea2a326 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, role::devel-lib, role::dummy Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-deepseq-dev_8_all.deb Package: libghc6-deepseq-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-deepseq-doc Size: 4080 SHA256: 40c0c002b0bc38b13bd91395b431fc11fdac41d16c172f6545dcec9e648c1664 SHA1: 9bc113b97b04702ce00046380c8100f119a01bd5 MD5sum: 1e0534eac1a9288793601edb13573698 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-deepseq-doc_8_all.deb Package: libghc6-deepseq-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-deepseq-prof Size: 4080 SHA256: cec5f9dc9ef8e457f66af62a614690a18dd704b34368d8655b70eb5784232f5b SHA1: fe772dd2fe0a9c7f5e7f1b792a6961c11540494f MD5sum: 62a285d9044336dfff25480609559b53 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-deepseq-prof_8_all.deb Package: libghc6-diagrams-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-diagrams-dev Size: 4082 SHA256: 85192004210289a0827939bef6a8c147258b1a6bea87d837ef8949ab1469c8ba SHA1: cab115972f5a7e1c1e65428b3cd0349fb8cf2efb MD5sum: d21ee898598f60e6ab04d7d622c8236c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-diagrams-dev_8_all.deb Package: libghc6-diagrams-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-diagrams-doc Size: 4080 SHA256: d2092c496773d91836c650eb2340c3cf42872cb6212c08515ee900bebe1c9c61 SHA1: 169435c30f1209451a234dd68787b44286c8692c MD5sum: 51130ecfcc12cb4090d06e6e483943d6 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-diagrams-doc_8_all.deb Package: libghc6-diagrams-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-diagrams-prof Size: 4084 SHA256: 74b67ca42bb345bc111adb0842f8be21a352f844eef9c0c63a720d6be233d448 SHA1: 09a0208b3307e5f8428f1fea63ae41355cf039ef MD5sum: 20aa3ab263b47b9e83bd2a12e0009b42 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-diagrams-prof_8_all.deb Package: libghc6-diff-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-diff-dev Size: 4078 SHA256: 10ebe24a2c6d868afcea211c048e4f56385567f92e71b737621588ec7523c5cd SHA1: 87ec2d410bb4b0327163bce35c9e4edbf6c64c9c MD5sum: 92a43955ed484711201cf4dc70dd2ae4 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-diff-dev_8_all.deb Package: libghc6-diff-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-diff-doc Size: 4076 SHA256: 3355f3e4bd693f39cf9563c604f187c45a08954c5fe4ca28ac16a1d44f8be1d3 SHA1: 693d61765f59c72da087c144c5260248065d2939 MD5sum: d73376af6c2dd6914a65bd3a730d1cb0 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-diff-doc_8_all.deb Package: libghc6-diff-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-diff-prof Size: 4078 SHA256: dd63af0032843b8a85f97e0ef2bd86e9f904edff883094b24e396ab73861d9ac SHA1: 62c3e0705b8db2cabaa1d11ca58f7a8f9d622449 MD5sum: 9e029607987ff11914c98adb10c47146 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-diff-prof_8_all.deb Package: libghc6-digest-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-digest-dev Size: 4080 SHA256: 54f9b4eb87bcb84b5c5f4f40357659319bef97d6836ec1aaf3ce0e9630b72554 SHA1: b28a6a52bf65ad8d93e1b7cfd7ec5795f821434d MD5sum: 37107cd579d01a57a5a51899d483e9be Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-digest-dev_8_all.deb Package: libghc6-digest-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-digest-doc Size: 4078 SHA256: a311221a16379fc105a9fab627ff71386b1f3fbab06bd47c250262add458b31f SHA1: efc180db44cf25f026f1d80cc6c92b1726eb47bb MD5sum: 2d4f3ee765528441ae725e9d4fc2b3aa Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-digest-doc_8_all.deb Package: libghc6-digest-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-digest-prof Size: 4080 SHA256: 7f1e65f67a6a08d61570d348687743dc01b602927dab8c43e2c08eb669c6ddbe SHA1: 8547f8a684f73b28f1d5f5e40300520095fedb68 MD5sum: c10c5cb01496414243c49e6a293720b1 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-digest-prof_8_all.deb Package: libghc6-edison-api-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-edison-api-dev Size: 4086 SHA256: 19bcefde854c0bda228f3c0525dd03959861e241d655c4722f3ae1c8795e002e SHA1: 182532bf257d5056d1fff1f424d64176fb44bb24 MD5sum: 090809e52c6f3c18fe3ffd12536edbf3 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-edison-api-dev_8_all.deb Package: libghc6-edison-api-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-edison-api-doc Size: 4084 SHA256: 9004c652e7abbda58b47c910df83a9673a6f1b6ca73b02f1e577ec89b4a4e148 SHA1: e5b9e2934548400710acfab275a74ffe1b94edcb MD5sum: 52f8280eac2a047a0ba6fc8ff1f58e9a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-edison-api-doc_8_all.deb Package: libghc6-edison-api-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-edison-api-prof Size: 4086 SHA256: 02aa488e55b2d466d8cc3c2fa0dc5b951449c3b05cec732d6d950f69d01e34c3 SHA1: 07400e68e3a6e16cb5ff2ff89271bf9616da195c MD5sum: 327b839211d55de49f6bd5589d5920b4 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-edison-api-prof_8_all.deb Package: libghc6-edison-core-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-edison-core-dev Size: 4088 SHA256: b094ed8efac2508825cc06b8f7118d27ed00022ac44f9aaa5f8e8659eae99b42 SHA1: 871344e1a15d81fda66c391b92d94c924f43e51c MD5sum: 4e863aa3d2ab2098e803c7e32f8a4e22 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-edison-core-dev_8_all.deb Package: libghc6-edison-core-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-edison-core-doc Size: 4086 SHA256: 6e36aa4857b32b88e6b26acb239772fbb3824342c3f0199379562ec58d3ddfc9 SHA1: b5ae4d01d5dc2200d0b0b2f792aeec362332f73f MD5sum: 60d92cee4d45e9fe041d132f7e359589 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-edison-core-doc_8_all.deb Package: libghc6-edison-core-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-edison-core-prof Size: 4088 SHA256: 5f140651858a25568590f04c052773c34e3420fd8ee18d566a6431dcb3b4e0a1 SHA1: b9acf9a528774e4f19cf81931c7898917e5f5ed7 MD5sum: b2f698ee9cc7b29e881dbae9cb167540 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-edison-core-prof_8_all.deb Package: libghc6-editline-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-editline-dev Size: 4084 SHA256: f581d3667c2e9c585381f87e072116103fe6cf2a062b7903b3d280f7a51f1322 SHA1: 4362b0f6bb178171beeadacca08f7631f0599422 MD5sum: 9b4ce37a8ec2ee96b95d24d202f7d350 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: uitoolkit::ncurses Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-editline-dev_8_all.deb Package: libghc6-editline-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-editline-doc Size: 4082 SHA256: b9481836aebed1b2c5e98b8348b6bffa0dbc6cd170665d0f185486e105e63670 SHA1: e026011e48808b52ba8100c35e599de90256a983 MD5sum: 1febf51c78e5623a6a310fffc0927c54 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-editline-doc_8_all.deb Package: libghc6-erf-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-erf-dev Size: 4074 SHA256: a74d1ba6a1055b9d3e409e024de9ed4d646809d1fae4e9bbe00e3f09fbbe1471 SHA1: 45792c09a1a622fe0200113698dbde606b43b05b MD5sum: c4419ac7c0ea776eddee87feec1c29a7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-erf-dev_8_all.deb Package: libghc6-erf-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-erf-doc Size: 4074 SHA256: 1be1b1ca715ea5ca1976c94e9cff9c739894b59da24e531b4aaebd16f6bad21e SHA1: 3e27b66dca8ba0e0f4d9190b6b5d88096202cff7 MD5sum: 02c827804bdf3f54d2e7ac27c7033f87 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-erf-doc_8_all.deb Package: libghc6-erf-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-erf-prof Size: 4078 SHA256: ba60fd62913094eb95cd8e52c0d4176007e4b30bb2d8f0c136e9c753f8c6a7f8 SHA1: 1cd135c606ae1dc1a7507e372be5f3dfa71fed38 MD5sum: e800b02b29ede94d5cf965f6a5cfd707 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-erf-prof_8_all.deb Package: libghc6-event-list-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-event-list-dev Size: 4086 SHA256: 1aebc9fded3a198e21017a61ef6b03008010890ad8a0cb8e69449b9859ab4176 SHA1: 1005becb9292b47915688f479cc04745a4f4f9c2 MD5sum: 0b75755b316939d9ea7653c412faece9 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-event-list-dev_8_all.deb Package: libghc6-event-list-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-event-list-doc Size: 4082 SHA256: d030e3b22f6ddd28d147bbb3e5dbad93a1868826503c2162e628fbc134d8f402 SHA1: dc3a3212e1c653ff7f66916fa31c28e439ef4504 MD5sum: 196795efc1bf84381eede3c70c5ca0fd Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-event-list-doc_8_all.deb Package: libghc6-event-list-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-event-list-prof Size: 4086 SHA256: 4f6b6bcd68ab06f684dd145c3c5c7baf049a25020680d7acb030f8b77479ebd1 SHA1: 77fafc5faa0017248196e183c9a4caaca25a12aa MD5sum: 7399cdace1dcf96f087c7b974bf84ac5 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-event-list-prof_8_all.deb Package: libghc6-explicit-exception-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-explicit-exception-dev Size: 4094 SHA256: 21dd978a7d47850a65df9c6be66f7d8af1642a8714c54a3fec86c7ceabdad7f0 SHA1: 6dc690feb09b5a9642ba51231ed0ce1c3e1405d2 MD5sum: f2e1d5ae462c5d7bb6b13265d5fd6e26 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-explicit-exception-dev_8_all.deb Package: libghc6-explicit-exception-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-explicit-exception-doc Size: 4088 SHA256: 23cfe43e580925907c6269a95a8879337be301ed47d28429aa79fea8b335d60d SHA1: f4aa99260388b9ccb97a5f6a82bc568809f430d8 MD5sum: 2acc6712cafb13e151d269595834d585 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-explicit-exception-doc_8_all.deb Package: libghc6-explicit-exception-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-explicit-exception-prof Size: 4094 SHA256: 20fdc397d61d44f305e4ce8414b6cd25d3ac76eef8d995351e5a139447ab6155 SHA1: 6226c4e393f817def4f537d7656ccd29ad3e6d0a MD5sum: 3b7f3424780e36d3d487ee7c741231f7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-explicit-exception-prof_8_all.deb Package: libghc6-fastcgi-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-fastcgi-dev Size: 4078 SHA256: f5bdd16d0768ea8f24793b96ee604869399e7aae38f698d27bc53b0802f93b1e SHA1: 224bee0d407ca4355e8b077b38358c40553e0d52 MD5sum: b692dfa5d987c24836ecd20bf92a1c07 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-fastcgi-dev_8_all.deb Package: libghc6-fastcgi-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-fastcgi-doc Size: 4080 SHA256: 250b4333ab5f02cb10e986b8bdf9a35971e18ad1befcc9c1aed1981e2181de3a SHA1: fe96852f844ec46c93088971a5958082f0d9efe0 MD5sum: f057ce793530bcb6426aa56ec7508987 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation, web::cgi Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-fastcgi-doc_8_all.deb Package: libghc6-fastcgi-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-fastcgi-prof Size: 4080 SHA256: 4fac311eb53120c53916f3fa26489dd45ddc60b12973216e64fbe9e2da17ba93 SHA1: c5e5c1eb7d6719ab1d1600c37f47099eb91a4595 MD5sum: 7c8ddeef5505251066c4f2c767f3348d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, role::devel-lib, role::shared-lib, web::cgi Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-fastcgi-prof_8_all.deb Package: libghc6-feed-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-feed-dev Size: 4076 SHA256: 79a761958c0b85e81c8a6197cc8e703279f8897721887124a64c0e9663f1da8d SHA1: 9d79ad841b05709d84a73bed9e9accd2113005de MD5sum: 309235aa0825aab5c6c5d333579a92b0 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-feed-dev_8_all.deb Package: libghc6-feed-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-feed-doc Size: 4072 SHA256: 3bd66ace467c8947617911bc933819fe43560990e406adf5c9cfedbec878f807 SHA1: 3c8499d85f0829b86ba1c10d65106d2ccaf41267 MD5sum: 74c1a3bd4e51ce36471c350f880f148f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-feed-doc_8_all.deb Package: libghc6-feed-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-feed-prof Size: 4078 SHA256: 75aa9266a3a400c9b732b2901190c0e2b84d2d83e937d8e7a41c161a4ab272b4 SHA1: 1645712fa9c7690aa7d1186cc2b8a907d811f1b8 MD5sum: a9ebad88200b9c152359b395d8f98a00 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-feed-prof_8_all.deb Package: libghc6-fgl-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-fgl-dev Size: 4072 SHA256: a7b898e45e98d1cce9807aa08181da2fa08102145dd75d9ef7b833827dab297b SHA1: d94e91d40f429eba93e65eb24e2898b207a72fa7 MD5sum: c0940b035e7a24d6b2a6d053aebb3519 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, role::devel-lib, role::dummy Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-fgl-dev_8_all.deb Package: libghc6-fgl-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-fgl-doc Size: 4068 SHA256: 61f48529e77e128830448f7fb75da48777512d8fce02d41f41c620b895ce9d30 SHA1: 9dde46d5b4e900be3fe13a768fc1d0ab06a819de MD5sum: 64532ca45df237ada12d6477ce3c1ba1 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-fgl-doc_8_all.deb Package: libghc6-fgl-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-fgl-prof Size: 4078 SHA256: 0f354905caa2e97992f147198225165dcba5c2e37c290fa23faba522da1b0743 SHA1: 318b618b4b07aa5c5fa4059b93638b4278318e28 MD5sum: 0d176828ac8bc1add47f0d5075db6f6d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-fgl-prof_8_all.deb Package: libghc6-filemanip-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-filemanip-dev Size: 4084 SHA256: ba3da624dfa1ff4efc3f334a16997764f63b4294069bfeecce8c88a67c872e0a SHA1: 1c7a01a8aa08a6e4efbe9f5b616eac80322828fb MD5sum: 9b64c48ae5cfea32ad5ad1c5e155dd1e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-filemanip-dev_8_all.deb Package: libghc6-filemanip-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-filemanip-doc Size: 4082 SHA256: 7737a25f229269241b8b4304289616c3c67fb84a79ba0fa6885c556a179b1361 SHA1: d33955a3948d7146c29451257fb601ee539cdd22 MD5sum: 8be018ca824f39d1ae271ddcf3a98fd6 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-filemanip-doc_8_all.deb Package: libghc6-filemanip-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-filemanip-prof Size: 4086 SHA256: 6922ac321037b7d67f4b619c314cdaee6dff7e82007ee2673850e40770dcc9cd SHA1: 0260e39dd0faf5112a0f74ce994a68b2416d2f1c MD5sum: 7624a2789b56a527c27f0fdcdbcaef1c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-filemanip-prof_8_all.deb Package: libghc6-filestore-data Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-filestore-data Size: 4084 SHA256: 602e019973fd987f8958c4fee736c70d5bd4816fc31719c661198d2a97db0688 SHA1: 943d0d6a7395d830c5659e4378171f756c6cdc92 MD5sum: bfe91b84cd5f02681b7623cda28f9500 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-filestore-data_8_all.deb Package: libghc6-filestore-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-filestore-dev Size: 4082 SHA256: d63093de04fdcbcda95e05e87bce745afcab74262dde1ab22834961a766388ce SHA1: 84d8352e065451625561328dc732697b18f41e70 MD5sum: 10ca867328897f52de40072fa7509873 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-filestore-dev_8_all.deb Package: libghc6-filestore-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-filestore-doc Size: 4082 SHA256: ee36f82091041e9763691433dc64034dc868270cdbe12ea980fe46af8ac825f1 SHA1: 02e35d4b3af0f513c744695f34874a7c1fc73d1c MD5sum: ccabe2054bc150af1ed88963ddb90d40 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, devel::rcs, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-filestore-doc_8_all.deb Package: libghc6-filestore-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-filestore-prof Size: 4084 SHA256: 190e3f8295cb6dd51840de3f9258b2fa3a2c9904b0627dc4c98270b63119736c SHA1: 18eb6ec8b3f9fe837ba0e950b8ef11fce72c91ca MD5sum: c32709fe089b4366f6fcb94a01ec4f11 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-filestore-prof_8_all.deb Package: libghc6-ftphs-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ftphs-dev Size: 4076 SHA256: 5aa40cc1ee8a1556f1f8bbcbfb937a1a5ea6c6544f6702027c5de626f1f51c59 SHA1: cd0a2b955c6eea352c94ba46cb286b954862e095 MD5sum: 78be1595c01c3c17696dcc74ff2a5a4c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ftphs-dev_8_all.deb Package: libghc6-ftphs-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ftphs-doc Size: 4076 SHA256: 78c58c890fe042f7b4a1c817724df8ec75342311a7c906cc90a9aeab866e0491 SHA1: be3569a799a66429d76f68009f1249088406fbb5 MD5sum: 711ade861f7f154068a8144f570b9d4b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, protocol::ftp, role::documentation Section: doc Priority: optional Filename: pool/main/h/haskell-dummy/libghc6-ftphs-doc_8_all.deb Package: libghc6-gconf-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gconf-dev Size: 4080 SHA256: ea7dd7a803724b411d3913699dc7c90c30b24a7ca26ad223e45db9b86a69d56b SHA1: 71f24de04960c78281559396e691ba62446c3401 MD5sum: af8d325b5a763b7a71e46202b8c1f2a9 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gconf-dev_8_all.deb Package: libghc6-gconf-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gconf-doc Size: 4076 SHA256: 2b61ff299835731ab4152b6d37e9f4bcfdcf019840a6b3d9c47cffeac020d876 SHA1: bc27cb3fdee096cc44ac9a4f62532c609e8e9cb7 MD5sum: cb43c9c70fd8704e0125ecf815796c6c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gconf-doc_8_all.deb Package: libghc6-gconf-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gconf-prof Size: 4078 SHA256: 035c30f5ef7984a2d6af7c07c11b52b0eb29f10849ba4483aeed9813f3d97c03 SHA1: 317923db2a398ff87195490a6196f03e021c3bd7 MD5sum: 89eb635d8bd87333826f97bb6e27060b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gconf-prof_8_all.deb Package: libghc6-ghc-events-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ghc-events-dev Size: 4084 SHA256: 0a8bf74170de4eeac89c83e4ecb620587eb92c89eb6ce241fc1f132e84c7e916 SHA1: 4164626c0b7ea4512555ff51aee4f5bc4cb5929d MD5sum: 4eb4ba62d7b33da2eab00d777a748d79 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ghc-events-dev_8_all.deb Package: libghc6-ghc-events-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ghc-events-doc Size: 4080 SHA256: 56299b0c4c92b108eb85030a5a54911ac4ee37069165232649f5e71d3d02759e SHA1: c6138b027878fd71cf47879b16a9c08b137ae44d MD5sum: 02caf83b7a1747e9fc248ef6d5ef232c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ghc-events-doc_8_all.deb Package: libghc6-ghc-events-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ghc-events-prof Size: 4086 SHA256: 063d8e807c6668e4229f2b93b2d220e19ab6e5eb029b4da74507f6fccb8bd088 SHA1: 2a5889906cb7518d35af149ba961782f680a4778 MD5sum: 0c5750ec06eac03c231c0c963f5fd21f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ghc-events-prof_8_all.deb Package: libghc6-ghc-mtl-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ghc-mtl-dev Size: 4080 SHA256: 30ec2eb5b9a571b677443a6545f2af84417b5f4a059ef913630bae6ca47b31a9 SHA1: 8fd9bdac51560f1679cd96474175e2a0a6a2f88d MD5sum: 7f9be15ca2e20b26315db6d092effda7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ghc-mtl-dev_8_all.deb Package: libghc6-ghc-mtl-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ghc-mtl-doc Size: 4076 SHA256: 18e9bb684a4e8f16fbc3514b6867ec30d980296bc209a787b1a1e84559224e8c SHA1: 0a0b8921694dd868b666b82fa301a340f6b4200b MD5sum: 849e2c58d4445e3e93f312bf7e620365 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ghc-mtl-doc_8_all.deb Package: libghc6-ghc-mtl-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ghc-mtl-prof Size: 4084 SHA256: 5dfb604fae9a7a72f3e989a8eb3cb7ca59cc43f2fd0123b740c95f3f0ee776f9 SHA1: 425718f0e712e8a0d262905866f0732bfc2821e1 MD5sum: bc24cb8459491c1df83f4a7c219c98ea Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ghc-mtl-prof_8_all.deb Package: libghc6-ghc-paths-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ghc-paths-dev Size: 4086 SHA256: 101d4c722a0789f9cbb873e10870e6240394b63bd0036acd933157aebda1afa6 SHA1: db199b99915ec41f7c85f94e6c39de760a2767b8 MD5sum: 215f88b77863c65fcb5284ee9088af57 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ghc-paths-dev_8_all.deb Package: libghc6-ghc-paths-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ghc-paths-doc Size: 4082 SHA256: c9fd386d22e21fc1a5240791bf0fdfdaba98a6011ebacf88be0b52f21178331a SHA1: 0d47298b8a3154302eccd5bef678ddbc18d69653 MD5sum: c5957a2a4d24d5c39642ced2e68c0df7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ghc-paths-doc_8_all.deb Package: libghc6-ghc-paths-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ghc-paths-prof Size: 4086 SHA256: cb75ffc18d696ec431c450b8552941ddbae863ac8e92a28cd6d6f4d2cf8f873f SHA1: 3decab055ff50b1788fd104892a58cf774bcf731 MD5sum: 149210a2df2328441c312af5c22de370 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ghc-paths-prof_8_all.deb Package: libghc6-gio-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gio-dev Size: 4074 SHA256: 62104ad414a2bf0d72274b1352b7a9997b2c1dbe0503e438c856ee3b6b691c9e SHA1: 529f8a6dda35bb5b0ccf3adadf1c19068532df15 MD5sum: e414cfcc0c68889fe08774306329984b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib, role::dummy Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gio-dev_8_all.deb Package: libghc6-gio-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gio-doc Size: 4074 SHA256: da21cd1fe90f6ac151b1a152bfe438b51e4e3d5d765fd28054ee2a8d2e00eac1 SHA1: 548adcb8b4861e361e407af358924e4eba329ebd MD5sum: 54576e3038034582ffdc96919ba2c4ed Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gio-doc_8_all.deb Package: libghc6-gio-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gio-prof Size: 4076 SHA256: 0ee4dca7edc20efef42097142bc7e8652b6c8c628c9ee01b98bcb5d221c550b6 SHA1: 257f61ba17d2be8059ca190235dee192430dac8f MD5sum: 38398561040f9e1be7006480f559c777 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gio-prof_8_all.deb Package: libghc6-gitit-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gitit-dev Size: 4076 SHA256: 4ada8f3892e22a083d6f24c24f0b7283db8f6db353ae655b19a16e89657c5133 SHA1: dfa6489d6d944a5b3ed69373b1646a785ecd6ff2 MD5sum: 4b17d278b30687da981d6a551687583c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gitit-dev_8_all.deb Package: libghc6-gitit-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gitit-doc Size: 4072 SHA256: 4c45cdc3c2a8b03b0bb155132cc5d2c27db0b74189573a29c208c01115b37ae8 SHA1: 1980a5e2c02be6af99befee3016d8b18cb794499 MD5sum: 7b14c096194f8ac27e81e67b96237ffb Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation, web::wiki Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gitit-doc_8_all.deb Package: libghc6-glade-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-glade-dev Size: 4080 SHA256: 641462cd86e95179c5cff7ce5fae8769ebf5c4a30001659c8c0083c075d8a5da SHA1: 7d405afd6081aecab02d3c93a623b376c6912a39 MD5sum: 76be53262b710f3da671aa3e76695ae9 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, interface::x11, role::devel-lib, uitoolkit::gtk Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-glade-dev_8_all.deb Package: libghc6-glade-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-glade-doc Size: 4076 SHA256: bd992b4cff8a58c6cedd7c0f8a45a348a2e24459b5b0fb08f3e1f65e2fa5b819 SHA1: d44bc1167fd1d906735a29664a5d066047e7d048 MD5sum: 414259f0d327587078cd8073373a84d0 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-glade-doc_8_all.deb Package: libghc6-glfw-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-glfw-dev Size: 4078 SHA256: 18fffcf9577c31aa20125a5f3c154069505f27757bf2525a70059ad401b9b222 SHA1: ede292e20a94cb55612f0275c6a23fd1140c3188 MD5sum: cf1ab800271bb4f4eccd50e1f078d7e0 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-glfw-dev_8_all.deb Package: libghc6-glfw-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-glfw-doc Size: 4076 SHA256: 1119e882ea5ce016982f3b91b76855485258de4f696ef4cc8c15b5603e314fed SHA1: 7e9ff1a718f2201f6dd19867e93b734f78b1e0f5 MD5sum: 6a636e30a9e9993757847638f8f0cfc6 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-glfw-doc_8_all.deb Package: libghc6-glfw-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-glfw-prof Size: 4080 SHA256: c209cc156a683b8e7e6b6ffa07d1a25896666d3aa48697f6d7abd4fe70d8c0a3 SHA1: fd1e8af359d21d1ccd4aff454e8562a7672f3a9a MD5sum: c052ce34206db42f4fceba344fce58f8 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-glfw-prof_8_all.deb Package: libghc6-glib-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-glib-dev Size: 4078 SHA256: a70e8e77f1f7be8b64c570706a9cfa02a48894938a324c3c8ce2af3d87832d2c SHA1: 78317edab51c472f221291d3b72f46c51a8d8863 MD5sum: 8fe7f32d9706c2f999834b197a83bfa0 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-glib-dev_8_all.deb Package: libghc6-glib-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-glib-doc Size: 4076 SHA256: 0eaa91566a93a85326e392a940e6e1bc0e4b770a1588ae176bc4e902b21669c8 SHA1: 093230452dd341b2eeeda57b04edbcb82c5cebb4 MD5sum: 13de7da6810a05b9b5198f8554cb223d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-glib-doc_8_all.deb Package: libghc6-glib-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-glib-prof Size: 4080 SHA256: beae87bdc9d6051bee67d8c26438ac0116a49ead1da30b3a48b24eb799174cea SHA1: f5773df8d3ecedc509003ec6b2cfeb20d7e94502 MD5sum: a5b29fd5ea9a1060741f772ee3f6e4b8 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-glib-prof_8_all.deb Package: libghc6-glut-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-glut-dev Size: 4080 SHA256: 20397685c0aa823a57dffea942b0f0aaa3e097f132204fb53f1e4eef2eccd421 SHA1: fe862e70bfae11399b46ae368a7a0fd219a031ee MD5sum: 139bc9ed0e3c9a690eb558fa154de276 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-glut-dev_8_all.deb Package: libghc6-glut-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-glut-doc Size: 4074 SHA256: 4e998870507df84eec919d790eb23ca9ce87a758cc66f0639669236b507192a5 SHA1: ef83b539fda679d907366823b7c216199ec7bba3 MD5sum: c1c9bacb3ba9f2c8750f2ad5e1905ef3 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-glut-doc_8_all.deb Package: libghc6-glut-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-glut-prof Size: 4080 SHA256: 6bd393b489692d9fccd1ef6a2828647568ea79ae34855a5948914f83e9e084ca SHA1: 0ddf5b0c1c704436307511c76a857d880dcf921e MD5sum: 1f3e98eecd37cd226d6bb8bd68aaaf52 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-glut-prof_8_all.deb Package: libghc6-gstreamer-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gstreamer-dev Size: 4086 SHA256: 5fe11705deabc61dea0de8f01ee5311384eb63ff278a4c21f1b59aa0444ca867 SHA1: 561b01ded5fd334d6e8b0eba011742f78f35336c MD5sum: 48fa77bd11b73c4a374543455fe773c7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib, role::dummy Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gstreamer-dev_8_all.deb Package: libghc6-gstreamer-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gstreamer-doc Size: 4084 SHA256: b95564921700ab0685e6759e6875ecd091d0610d01e80f0a85d12b6945790679 SHA1: b4bf77462908b0847e63793f993fbd6c6bdf0035 MD5sum: fa82c5635059fc0950f22b705ab179f1 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gstreamer-doc_8_all.deb Package: libghc6-gstreamer-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gstreamer-prof Size: 4090 SHA256: 12ba61cc0d0fb7ad8403a445f9719a06caddc236b92a03eb48cef25b7d67cde5 SHA1: 2a44c771b3d0c9ae4c10394cb28c6e5186ff3fb3 MD5sum: 18c519026e39e9a955e1da9a88cf6481 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gstreamer-prof_8_all.deb Package: libghc6-gtk-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gtk-dev Size: 4076 SHA256: bcb50020d437f03dcdfd78d85a7aaa33be8cc5fee41e78df202c953af87007e7 SHA1: 96478aac497ab79b080c3833173b053cf67505be MD5sum: 234adcf14755573e32bbc9da9425e0ce Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, interface::x11, role::devel-lib, uitoolkit::gtk Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gtk-dev_8_all.deb Package: libghc6-gtk-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gtk-doc Size: 4074 SHA256: 0639133429ce5003ef7847552d1e9de9477aefdf4719be3a3c93b18e213dd5ed SHA1: 07ab2ae4e34a351863a97a4c6dbd47bfdf49e63d MD5sum: 38c19d4f8ab1634eea09037301e32cb8 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gtk-doc_8_all.deb Package: libghc6-gtkglext-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gtkglext-dev Size: 4082 SHA256: 1b5961c31213968ec8f0c3504b61408865e1bbbe4faee5db588465e17d2158c8 SHA1: 418784b51201c1d8fb86104f253b391c05131718 MD5sum: 424fd1afc0f47ff6ac05a51fcc5f307d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, interface::x11, role::devel-lib, uitoolkit::gtk Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gtkglext-dev_8_all.deb Package: libghc6-gtkglext-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gtkglext-doc Size: 4080 SHA256: c4c4c61d08f1d5d3274d36c33850b38d5c8db9aed553a54da4a9b1ad86fac543 SHA1: 1cf6d64458c09d9ae5e3ba708610f82f756f6588 MD5sum: bb4dda83e97fd413fc273a4349b38671 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gtkglext-doc_8_all.deb Package: libghc6-gtksourceview2-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gtksourceview2-dev Size: 4094 SHA256: 2825d6618492ddf969d56c26062bc3c54d6da36309a72797142538a0732b6483 SHA1: c220dc34f6a588ae684fe4005cee6b29af2d5eee MD5sum: 37896b671eb557a4b5c35ff314244f08 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, devel::prettyprint, implemented-in::haskell, role::devel-lib, role::dummy, uitoolkit::gtk Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gtksourceview2-dev_8_all.deb Package: libghc6-gtksourceview2-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-gtksourceview2-doc Size: 4092 SHA256: 588ef10683ab2f6c2cf62da71365490fa548bcf107fd5c1b08820f5d5287568e SHA1: 4ccffbd15feff978352657e2dd0ce2d649010cf2 MD5sum: ea9a91ca7b0471825f5152e2680f7216 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-gtksourceview2-doc_8_all.deb Package: libghc6-haddock-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haddock-dev Size: 4080 SHA256: 1929b8f5ce16583a6a46156a765b340d6f47a87c4e10f61d3252af214d047d77 SHA1: 84b3930d0f235702cad580878a2329bbe7a12ef7 MD5sum: 39f29a5b6ea1a91ad9246f5f73ff6215 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haddock-dev_8_all.deb Package: libghc6-haddock-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haddock-doc Size: 4078 SHA256: b912dcd6a5afe0df8538dad65e64f5b7e46f9684d021ee0085fdb0013d7b4168 SHA1: ad422cd542abb0b109b778122984091b0ae2c355 MD5sum: c85d59722032eb455a407491c60e74ed Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haddock-doc_8_all.deb Package: libghc6-haddock-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haddock-prof Size: 4082 SHA256: 876deda595cee735b99f74b19c7451390f9e4928aabe1e56797308237ce9f220 SHA1: f26939cc1d8967ac0d26c4b566757a3653b18fc2 MD5sum: 50a1734562721d0d9eb9dac64f854aec Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haddock-prof_8_all.deb Package: libghc6-happstack-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-happstack-dev Size: 4086 SHA256: 280a7151ea961fe7eb8cc6687159c028e63ee519b862a48e83d2b353e5f6a7a4 SHA1: 847038c326f4956559a8dd399c163a0c1f23dea7 MD5sum: 53ac151d20e578ef0a1cec71c0cd1376 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-happstack-dev_8_all.deb Package: libghc6-happstack-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-happstack-doc Size: 4086 SHA256: 91bf60e8a0308a20918d0305fffeb891caf53004cb41e73b7f9d2c2ab97d172d SHA1: 6804b56219729ddde3dfe4d53b984872da1a59c7 MD5sum: d42c7b87dc4c0e86c2eeb05ed77c38ac Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-happstack-doc_8_all.deb Package: libghc6-happstack-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-happstack-prof Size: 4086 SHA256: a78aef860ff507d7ac400493d83c8dd1efb6020dbcd56d18bb26659fc383bdc4 SHA1: dee4096caf2a7008364300202323aa9bc0a4b9d4 MD5sum: 39ceed0a55bcef991e813dcff08e0bcf Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-happstack-prof_8_all.deb Package: libghc6-happstack-server-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-happstack-server-dev Size: 4094 SHA256: b80c80618ae9b6831b1097274178563274143c28f84f364427c022056fd2dd0a SHA1: 66ced069efbc403d92289c3338eb762de1105559 MD5sum: a5a9f5f6862905f34920b36c25218926 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-happstack-server-dev_8_all.deb Package: libghc6-happstack-server-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-happstack-server-doc Size: 4092 SHA256: 42d93785c3ea93da84374be01e55f194b4805c9d1bf99a6213d76684693a36d8 SHA1: a3c234253c59aaca0aae6ef7897051e0ff1a00f8 MD5sum: a06f99d8da26cffb5589bbecf036e6b8 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-happstack-server-doc_8_all.deb Package: libghc6-happstack-server-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-happstack-server-prof Size: 4096 SHA256: db56b202b9752d3c5cc761a90fb3860b653d8c233d35dcbf7bd4aa723309897f SHA1: 0670b77899b0ad5e386a78984f2293bbb5517e4f MD5sum: c1227607cab2c05a96f09f8f7243c094 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-happstack-server-prof_8_all.deb Package: libghc6-harp-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-harp-dev Size: 4076 SHA256: bf7f96712075f211f1ce966df54c70f452e89fca58d2a25d7a34df00e3345998 SHA1: 6e48c48b945db76a593c488181f53b3b5e161a34 MD5sum: c870b343bb54f5f204cb38f07478c93f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-harp-dev_8_all.deb Package: libghc6-harp-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-harp-doc Size: 4072 SHA256: 22f39644c302aa6b2c4010173bcfb5103642ade17392d5c3d85db1c5a9de643d SHA1: 0ed4508ee0635e079ba393a5310e321030ecf487 MD5sum: 69f02e09b1c539afa679905cb7d5188f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-harp-doc_8_all.deb Package: libghc6-harp-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-harp-prof Size: 4076 SHA256: 67fd00c9a791cc994d5b5766c2eaed5474c669aa6169702b14f77b3948d0014a SHA1: 82ddba5157db4c875d00e6fe2f81f3a4549dbc77 MD5sum: 6ebf1f0c5d44738cd69a20c43579b152 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-harp-prof_8_all.deb Package: libghc6-hashed-storage-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hashed-storage-dev Size: 4096 SHA256: d8fad6750d4d4803d927e1180f010c088f7826138edb2c2f3b23d24b483bd2cc SHA1: e7d841b1ecb6e31a16088118c236807c42eeb8ef MD5sum: b46dbf2a8f60d424e256538f18b09c12 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib, use::storing Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hashed-storage-dev_8_all.deb Package: libghc6-hashed-storage-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hashed-storage-doc Size: 4094 SHA256: c68feecc6bb5cac1a50cd44ffb0f7ddc6a203c00327a4351720c8736f3a5a70b SHA1: 9c5698838f8829b4205ba911185b2cdd6b791d01 MD5sum: bf8d98b9238eca329956ab2293323de5 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hashed-storage-doc_8_all.deb Package: libghc6-hashed-storage-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hashed-storage-prof Size: 4092 SHA256: 06009fcb30020d49109ee8ef36d0e3eecc07f80256649a2ab68858df151271a2 SHA1: 00dc4ccb3019108e64a61b9635285efcd373e894 MD5sum: cdc0e35ee2e3f7773fdf5ddb031dc439 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, devel::profiler, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hashed-storage-prof_8_all.deb Package: libghc6-haskeline-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskeline-dev Size: 4082 SHA256: 05297da334a842df436706c35ce50832014c73199914b181fda13e3678665878 SHA1: 076ca34982eddcc8ab3cc925f765e7df4fe7fccd MD5sum: 73cbeb904967b2a3ce155c40f17fa8bb Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: uitoolkit::ncurses Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskeline-dev_8_all.deb Package: libghc6-haskeline-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskeline-doc Size: 4078 SHA256: ef2bff253c6c1d964a8ff10f16bc4669f2492e1ae51f5215785f9288d8824174 SHA1: e27241003bdf2af6f41af9e5fc80fcb1daaa7fdb MD5sum: 5db04a19eefca362327f95d436d0712a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskeline-doc_8_all.deb Package: libghc6-haskeline-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskeline-prof Size: 4082 SHA256: a9c755374da296761ae21876cfcf0d701ebb2a547f1ff16cdef628e4a720622a SHA1: 521f4f150299c1be8a650a5d61a76fb27aabaac8 MD5sum: 6da2306743c861286692851f1b6e0b14 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskeline-prof_8_all.deb Package: libghc6-haskell-lexer-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskell-lexer-dev Size: 4082 SHA256: 2dd48faf1e5c6d47271f51b85312274f998a81855112a598261f17c2dfb28d3a SHA1: f99306a86a10838780db32c43a9083d5aa10333e MD5sum: 5943038beb196aee22097f43826d7c64 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskell-lexer-dev_8_all.deb Package: libghc6-haskell-lexer-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskell-lexer-doc Size: 4078 SHA256: 53eed0e827d15899999de6a793f58ba61087109c123eaecc73def02bae7b4d58 SHA1: 379cca19891d51badd6462c9b9d62c0c41596c25 MD5sum: 57b7e135c4cbb72c4d15c51ac1377059 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskell-lexer-doc_8_all.deb Package: libghc6-haskell-lexer-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskell-lexer-prof Size: 4086 SHA256: da92d4b130c272041c53eee7e43ddbf4df3b2a750faa3af265af40bb14c956aa SHA1: 9e4403b23847a2469a7eb03d5d344f33fba5f19d MD5sum: 74721c592b6787adff6a2e287361179b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskell-lexer-prof_8_all.deb Package: libghc6-haskell-src-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskell-src-dev Size: 4078 SHA256: 06800f74044a9f25304348a1037e478674b288a26c973b78582a6b912b1ef4f2 SHA1: aa686936eb2002eba73e2ef6b6d95eae0645057c MD5sum: 4364d184843f4574e724a828f12e9100 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, role::devel-lib, role::dummy Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskell-src-dev_8_all.deb Package: libghc6-haskell-src-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskell-src-doc Size: 4080 SHA256: d94415868e49fb56c505820c20f881ba14675bd2ec0453afef80c91e17a43d1f SHA1: 304ac90a0a6ab9c60db46ce950c8a35878c39ddf MD5sum: 8252e5a2adc2842cd9b64a4b6c0a6e3f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskell-src-doc_8_all.deb Package: libghc6-haskell-src-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskell-src-prof Size: 4080 SHA256: 4144391092fc3d055e51dfb480620a86b7f2991fc42fbab449928a0377ba5ecc SHA1: f9e9d28bce8c488bee5bd55395aafb8835daa568 MD5sum: 7af724434cd1b9432bc186f0ba967cce Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskell-src-prof_8_all.deb Package: libghc6-haskelldb-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskelldb-dev Size: 4076 SHA256: 22b49807ba4d1af591b2b5eae937313b2e58b76d022ae927b47796e7f38cdda1 SHA1: 84715927499b6c7b0298db09eb403dfb7b98c140 MD5sum: a889199d6a1eb234f20574940407020b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::lang:sql, devel::library, implemented-in::haskell, role::devel-lib, works-with::db Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskelldb-dev_8_all.deb Package: libghc6-haskelldb-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskelldb-doc Size: 4076 SHA256: edff2e9c47f4d485fdc9c6a15d233bbaf6d4c9ef064b03edb72aed4b50cf1f6d SHA1: d5057d89f0ea57979323dd487f13aa9e1e7d3d64 MD5sum: 6eca715529ddcb48ace2ad8c45112b10 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskelldb-doc_8_all.deb Package: libghc6-haskelldb-hdbc-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskelldb-hdbc-dev Size: 4086 SHA256: 2ae9ffe5b2daca02edf83376ae25acbee00c5fc4721893a4e651bc0963787bdd SHA1: 0209cd7ea0c349d8cd124207d7c09b9a8d6a6e91 MD5sum: 986328c1f77ffdf700296748a2845780 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskelldb-hdbc-dev_8_all.deb Package: libghc6-haskelldb-hdbc-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskelldb-hdbc-doc Size: 4084 SHA256: d323f96266fa1ed97783de33c5673ff962baa01c7cd2cf580d9d39381f272e0e SHA1: 5b30000678ca3dbc0ce31bc0d84904deca3ec84b MD5sum: 9b8e68b72e5eb37b467a460d3d5bbd9f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskelldb-hdbc-doc_8_all.deb Package: libghc6-haskelldb-hdbc-odbc-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskelldb-hdbc-odbc-dev Size: 4092 SHA256: 3c403bc292a95617b0b4f420f134633cbdd56a39948c4aa07eb8138fd91490a6 SHA1: ad14d74a27459f7d1e72e96d98d4c9b362d864ec MD5sum: eaf54ae213bf91fcabee20ab43beb40d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskelldb-hdbc-odbc-dev_8_all.deb Package: libghc6-haskelldb-hdbc-odbc-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskelldb-hdbc-odbc-doc Size: 4094 SHA256: 1de4f8fd8d05091e23f4cdab6ba69845ce5e241fb40cba238fb7c67686b2e434 SHA1: bb66f8d949c55dd1542f7e1dc621ba1abe2316d6 MD5sum: 277d11965b792678c8573b5d9d51661c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskelldb-hdbc-odbc-doc_8_all.deb Package: libghc6-haskelldb-hdbc-postgresql-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskelldb-hdbc-postgresql-dev Size: 4108 SHA256: 8a14b3cf3a5d8f8bc5c5938563f91445cb5bea1b0b018e68a8c3133b00aaa901 SHA1: bd2bd7f3f5cb0e5a0c544f747b1073bb10b18308 MD5sum: 7b5259cd0a896114539357b7f1d2f944 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskelldb-hdbc-postgresql-dev_8_all.deb Package: libghc6-haskelldb-hdbc-postgresql-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskelldb-hdbc-postgresql-doc Size: 4106 SHA256: 6946420f63d715f93f7b3c9554fce467c7e17ab50ab6a18d1aba6e6e9933a8c9 SHA1: 3222296f6c026f6b07193754cffc0ce9a2cc1722 MD5sum: ff25c57b01a684c7ec3a51cce117216e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskelldb-hdbc-postgresql-doc_8_all.deb Package: libghc6-haskelldb-hdbc-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskelldb-hdbc-prof Size: 4088 SHA256: 38722f4ac6e21c1972ebdd6127b2dc4dbc5edb4628f2a8bf6a2feec11f683959 SHA1: e7c9afd8a04c6e71031ccbbc341220cb58420901 MD5sum: 8729e35d67e90bf92d7017bb996e7ddb Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskelldb-hdbc-prof_8_all.deb Package: libghc6-haskelldb-hdbc-sqlite3-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskelldb-hdbc-sqlite3-dev Size: 4102 SHA256: 650b53c6e1fd36d752e17bfa0725592ce7ad7fed19642497b9e36d85919b693d SHA1: 3c65235d4fb8d5659dbd8bcd8dc5b101c800c2ed MD5sum: 642ec8c43300066487a0214dc1c971aa Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskelldb-hdbc-sqlite3-dev_8_all.deb Package: libghc6-haskelldb-hdbc-sqlite3-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskelldb-hdbc-sqlite3-doc Size: 4098 SHA256: 267fec7cb98cf9d0ad09245a60ff47e1e662197b931e897345fa08c12310eeaa SHA1: 82e483721e20c47bc50ecc3e05dc87324d86bf6f MD5sum: fa1ee58d09d8e0816e30691922feaf35 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskelldb-hdbc-sqlite3-doc_8_all.deb Package: libghc6-haskelldb-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskelldb-prof Size: 4078 SHA256: 80a81814ef67a54ca1ad30ba207d253d3aa4a1af6e3766787511c254e983b2a2 SHA1: e667bea0f3c0e457062553a55302e7dd8ef5070d MD5sum: 6af8c0c99b7359363ead95b393344dd1 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskelldb-prof_8_all.deb Package: libghc6-haskore-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskore-dev Size: 4080 SHA256: 4093e8084bec4c02389ed4a791ab1bdd411bf8b1708a95ec4c397cd28840f114 SHA1: f6d367566902ca102514ebc969e8d3cc7ee33c69 MD5sum: cc8bab906a87ee8e03a82e9dbf1d6f2d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskore-dev_8_all.deb Package: libghc6-haskore-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskore-doc Size: 4076 SHA256: 638e6e9f5aea554457de224342dee72e30d78ee5c68b8271ba7577f8b4a0d792 SHA1: 9f98e6ea2c8aadd05ea7b0141166247bc6cb789e MD5sum: 310f520b549ab3cf305dbf0474e06a96 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskore-doc_8_all.deb Package: libghc6-haskore-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haskore-prof Size: 4080 SHA256: 80fcb7d6988967423d1ec2c99af60d57df08cafb8023e59153a7e7ded78376ee SHA1: a3d0c36c3003c18562894bae3f5477b240a9f92f MD5sum: f188e4f39fd17807e5899b9ee7bf579d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haskore-prof_8_all.deb Package: libghc6-haxml-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haxml-dev Size: 4076 SHA256: 4a668d68bc2b8acbec07aaca7094bc9417536bfdb3d4a15ba41d5418a0c406ec SHA1: 6a875b55d5b696bd511c45eb2fded76f4b9fb011 MD5sum: 00d8b6d11fcc7734eefd28ec0d01528a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, devel::prettyprint, implemented-in::haskell, role::devel-lib, works-with-format::xml Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haxml-dev_8_all.deb Package: libghc6-haxml-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haxml-prof Size: 4078 SHA256: ba67422dc60cf97886de56edfc3597ed47ab15750f0ec9e1ea4bfae9bd1ea5d1 SHA1: e557af37fbbf84509f4f0df1327471f8de31bb33 MD5sum: 4d03e4c560744e9c5f8fe52630310a06 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haxml-prof_8_all.deb Package: libghc6-haxr-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haxr-dev Size: 4078 SHA256: 9342bae30ef9db61d606753311319ef416b5199b28e9b9c304443fd22aad6f46 SHA1: 0d582522358174c4d02a0f9584022070e68c3f5a MD5sum: 4f59478858d847ec5be86ee4f6376ba0 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haxr-dev_8_all.deb Package: libghc6-haxr-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haxr-doc Size: 4074 SHA256: 3e7615d704f29f30cd30aed39e687e565d385a7c58c05dc8ccd81a7add260f70 SHA1: 5f786c529ebb6a5fbb8cbfe66dcb5a05d9737a0a MD5sum: 1b572945cc201220b8d2361267676fdc Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haxr-doc_8_all.deb Package: libghc6-haxr-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-haxr-prof Size: 4076 SHA256: 7f256a942acddc8c5d59f3cf4976fcfb2b71b79d053d2f63f05dc0c4b69cfa81 SHA1: 250e380f54fd20b1013de46f6adbdc8c767354ea MD5sum: 4bb58459e32975013f0cf09e5481db88 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-haxr-prof_8_all.deb Package: libghc6-hdbc-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hdbc-dev Size: 4076 SHA256: cbe6022b1344387b0b7d290ba4d6cc49a1f75422b31d74a25760896bf2f4ac9b SHA1: 0992c4bf85b6143768bf489020da514f0ff0ad06 MD5sum: 9364c19f1cf6712e47653c0309730aaa Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hdbc-dev_8_all.deb Package: libghc6-hdbc-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hdbc-doc Size: 4074 SHA256: cef80f1a719d7605a39852c50bbb50e6a688352d97a1bb0be2c864ed08486648 SHA1: 4d58a3e6bd94bb111282c83f690f766c05bc3458 MD5sum: bb8b7ff6001491835025a98efa53ea1a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hdbc-doc_8_all.deb Package: libghc6-hdbc-odbc-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hdbc-odbc-dev Size: 4080 SHA256: 3db2996f5cc25525e6509f4bf0638a46ea3d471b1db3bac1175b966c970867e6 SHA1: 0417dc4b49612e2a632a9f525a1923bf7e3314fc MD5sum: fe38552680a909936df657fc8a14b97f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:sql, devel::library, works-with::db Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hdbc-odbc-dev_8_all.deb Package: libghc6-hdbc-odbc-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hdbc-odbc-doc Size: 4080 SHA256: be7bca48556c8b2d67cdf8c74a500a0bb812143369a494f76b6c451c718df9b2 SHA1: 97cdb64f2c22fee9e0f45ac33a080882b43da1d1 MD5sum: 87d5178629d54093ab39ecb6d3a96bf4 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hdbc-odbc-doc_8_all.deb Package: libghc6-hdbc-postgresql-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hdbc-postgresql-dev Size: 4092 SHA256: cce82b4c3b85298618ed19cd8b80441f2b722410961faee8212960bf26a0f9a8 SHA1: cba5a6767c84e77ccf67ef387dd7e27401035c7d MD5sum: c1c862ac118c09b4194c15280539dab7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:sql, devel::library, works-with::db Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hdbc-postgresql-dev_8_all.deb Package: libghc6-hdbc-postgresql-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hdbc-postgresql-doc Size: 4088 SHA256: 9c6c58508206e897759ded774648cd7caf21d98846c3e9673d7b441f5a122489 SHA1: 919244aa3adf62ac08e007ff4b525cfeccbf05d6 MD5sum: 6a9ca8a08a30c2858c75fd60bda2d9d3 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hdbc-postgresql-doc_8_all.deb Package: libghc6-hdbc-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hdbc-prof Size: 4074 SHA256: 3163a938de9ee24c622c7f6419488da34e05bda5c6639e8f24758698d2d11a56 SHA1: 5675775af07ecd3eeaeb187ac13cf1c71e6ae980 MD5sum: 2bddda4d2323e77b70b0403abd2e53e0 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hdbc-prof_8_all.deb Package: libghc6-hdbc-sqlite3-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hdbc-sqlite3-dev Size: 4084 SHA256: 8415dd9cf54402a944f6c5f60e6dcdd0b75414cb700b4388347a4b6c84337cdb SHA1: 6ed27c6e04d0ded6fc3e52936b0b75c0b9bbc18e MD5sum: 9ce0d86516c5d0b097930bbc7f33bc19 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:sql, devel::library, works-with::db Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hdbc-sqlite3-dev_8_all.deb Package: libghc6-hdbc-sqlite3-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hdbc-sqlite3-doc Size: 4086 SHA256: 08aabb21813b7ecfeb7a39d3c78cccb14a81f60390bbdea9b37423b4f1335f57 SHA1: 8ec076bc1d65806bb023443f726ba8ee63a71f79 MD5sum: 6a44b57168d2c1bb5f575bee5ca38937 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hdbc-sqlite3-doc_8_all.deb Package: libghc6-highlighting-kate-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-highlighting-kate-dev Size: 4090 SHA256: 0fff69bdf463a086e2e032b1b997360fd7cce675a85388026c02345c99803d4b SHA1: 167d277d6704c0b3f7db5b9fd9f2eee7deb4fd97 MD5sum: 3eed2a4a24b7b4cc104f60e0c06df252 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-highlighting-kate-dev_8_all.deb Package: libghc6-highlighting-kate-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-highlighting-kate-doc Size: 4086 SHA256: 439c084aab895c927e2cede3f9da38693cb7dec6626b76b75f480ca083281483 SHA1: 638387bd2da6e55fdf898bf96a8f781cf943bd0d MD5sum: 88dbbc593e3bec9c40acc14a3013304a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-highlighting-kate-doc_8_all.deb Package: libghc6-highlighting-kate-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-highlighting-kate-prof Size: 4088 SHA256: 8d92882ceda27c527756c446e95990821af82f73879f53711b74be2a1b67a505 SHA1: 1d5f94072fd6f88bb1256a19fbb4a1a006958c40 MD5sum: 31cb3d1239af6577581776bcc270323c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-highlighting-kate-prof_8_all.deb Package: libghc6-hint-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hint-dev Size: 4076 SHA256: 907884830a1448264afe810352e24420409d98d7ffb997de32e7698058752a13 SHA1: 52499d14cb61dda26062b966874acebc007f6679 MD5sum: 8e6be4688670c5afe6fccc172a681d01 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hint-dev_8_all.deb Package: libghc6-hint-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hint-doc Size: 4074 SHA256: b1fa9eab7d3c2b3a1b9fc16c31aa77ca3787b39dbb81e2a8d180f62cde6aafc7 SHA1: a833dff453a7c5f817e1383452ebb41b991cb3bf MD5sum: 133d9e945ebe5baa7b418bfa51d938aa Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hint-doc_8_all.deb Package: libghc6-hint-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hint-prof Size: 4076 SHA256: d55869f15e39cbe04a32929ff66652e0f22f21fcf4847f308d87f3bde50c8108 SHA1: 49550e30a29d25c4de9c4ec8e089c9e00b5644ad MD5sum: 1b5878fe979b9b234e14b5a365dcf34d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hint-prof_8_all.deb Package: libghc6-hjavascript-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hjavascript-dev Size: 4086 SHA256: 2ec583cd9349dbc1c88cbe5c2b86e172bc8a634a773d191984ed97eb60c8b2bb SHA1: e5819bdd9f6c2368046f3b7bf3c90017d43186eb MD5sum: 08f0e1245d4772b4d34ed37b17a2393e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hjavascript-dev_8_all.deb Package: libghc6-hjavascript-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hjavascript-doc Size: 4086 SHA256: 5d0428d3424841ef733e93ab20d092b97a2cc07ee14ec1c210b25490e3702ebc SHA1: 6806d58c24022b78b04e220de9b23d07b39b5f0d MD5sum: d5e4854efa068a19fcc3a7fad221f8d9 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hjavascript-doc_8_all.deb Package: libghc6-hjavascript-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hjavascript-prof Size: 4088 SHA256: 80d67738630a10d2e90663cf992dd579ccbf42be5db6549fa65c1f6fdd621ff6 SHA1: 440ab6dc09e456096569d57a6ad31bb336b94cbf MD5sum: 2a96de0883da609359be803375c0bf29 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hjavascript-prof_8_all.deb Package: libghc6-hjscript-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hjscript-dev Size: 4084 SHA256: c92f809dbebf9e8fe94a861444e0f2f0ae3e608010df5eda5ad1e25d4072793a SHA1: 1439f7c770990d16b3516861d0e6b3b6a111180e MD5sum: efdc316f896bd10a1ddfa89838505c1f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hjscript-dev_8_all.deb Package: libghc6-hjscript-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hjscript-doc Size: 4082 SHA256: 23561bb319e60de41a42ac8ead91c0679f41178651ab1193ff5e6cc4cc7522e1 SHA1: bd0b70bcc911b11252841eb39f473378b53f5463 MD5sum: 0ca7660ff07ec5a8e5946ad0dd430797 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hjscript-doc_8_all.deb Package: libghc6-hjscript-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hjscript-prof Size: 4086 SHA256: 676d60f02ff4132fb1dece6163805c0538debf67b282604d93047085b2d8e2a9 SHA1: 509c99741f6ec5bb3376d67104b2206a1c94c4ae MD5sum: ae52fba8e94bd39c6fbc02b7d1e07a6c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hjscript-prof_8_all.deb Package: libghc6-hoauth-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hoauth-dev Size: 4078 SHA256: 3204c7e2fd8b44bae14aa15266c81198510edd5612f2d370a553ffcd45beaa64 SHA1: 9bd61373f4fda822c96f0c3ac2b35f0a25518713 MD5sum: 218336e8666e19a7e615da2f7f114377 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hoauth-dev_8_all.deb Package: libghc6-hoauth-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hoauth-doc Size: 4074 SHA256: 4e651cba2513d898ee60249071dda1504bf046f0cb57653c38094f00182e6bf5 SHA1: 005c4d4a961a16398ffc0da34c8711d732e9e6ad MD5sum: 19115ea1582e58e850b7f842e88af6c5 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hoauth-doc_8_all.deb Package: libghc6-hscolour-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hscolour-dev Size: 4080 SHA256: a54955239a775c0eec06e83ad592d4cc6f1e2133cf2a74e2b0d6b3468bfb0fc9 SHA1: fb5f9f2f1184b6a0a1c8faf4af5fd6172731164b MD5sum: 8d1329f1d8534e957a4595ca875f3d7e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, devel::prettyprint, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hscolour-dev_8_all.deb Package: libghc6-hscolour-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hscolour-doc Size: 4078 SHA256: b2735e097c653384d2960f337a2d1a7baf6483d202b4b17e8a5596e765cd9139 SHA1: 10414197c332a601ebe3aef10e55a1e5014da8c8 MD5sum: 09d3dc48719dab212b12d764027bd96b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hscolour-doc_8_all.deb Package: libghc6-hscolour-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hscolour-prof Size: 4080 SHA256: fb03fc6862a308f017410b87a2ed4856b9e3faec87c7a11aa65c4a924af3ca38 SHA1: 60ec03820debb609e8c5d0a6e03be74268d0befe MD5sum: 4bfef6bedeb87e44d76c0446d5916f97 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hscolour-prof_8_all.deb Package: libghc6-hscurses-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hscurses-dev Size: 4082 SHA256: 0664c68c222a2f937e2d6d33251b796bf515cf23266d0f75d88c13e322aa9f7e SHA1: 900dfccb3dea4c10aa817ec0935be1153b679561 MD5sum: 2ac5fb7a72ec582c3831abeae067e8c7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, role::devel-lib, role::dummy, uitoolkit::ncurses Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hscurses-dev_8_all.deb Package: libghc6-hscurses-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hscurses-doc Size: 4082 SHA256: e63156fe4ed14ab0cc31571c9cd1664ff8b7b9ee01466a801490a52c3af560ab SHA1: 40e0d561eac0403a4d12892d8f8898003d6ca49b MD5sum: 058b012c30b7de83e1832d66e61c6ace Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hscurses-doc_8_all.deb Package: libghc6-hscurses-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hscurses-prof Size: 4084 SHA256: e79d82aeb4ea9059cfe674f0a5bf234a3450cecc2a50f228d03bd8ae46ad5a44 SHA1: bcbf745b9339db16e02aacb94257a1f024b41ce8 MD5sum: bb3bdb74e173236224449f74ab6ec371 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hscurses-prof_8_all.deb Package: libghc6-hsemail-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsemail-dev Size: 4082 SHA256: b05e406733b72cc6f38e26e37f3fbcf9914dd07c620fcaa57b99846823427ed1 SHA1: 3bafdeddeac65c0f638cfabee84ac41c34ede884 MD5sum: 82e5af2e9e4ef9baf635016c2a0ae4ee Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsemail-dev_8_all.deb Package: libghc6-hsemail-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsemail-doc Size: 4076 SHA256: eb7d496f9d95c1410a829d563952c44888c386625b475fe46a5db92baa4f07e7 SHA1: dc89b1ccd7d653c78a6f789a54a0564566ae76a9 MD5sum: 5c5ed307044ca179b479abd71b12a8c6 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, protocol::smtp, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsemail-doc_8_all.deb Package: libghc6-hsemail-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsemail-prof Size: 4080 SHA256: 7f7d93b31886ee8d0ff2bb1b3e2f36d5c0983af40b98e735ac3f4e10527fbfcb SHA1: bc80f527dfff659390acad498701a2eb86092d65 MD5sum: f3bc50112bbb16b86dc12abc17a2e51d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsemail-prof_8_all.deb Package: libghc6-hsh-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsh-dev Size: 4074 SHA256: e3dc5c5640579b375730a809a53c91564dc84c2410a608fb3f492e46e14018e5 SHA1: d9c415e44c1d78785f1f2440c591e1d928f0b629 MD5sum: e3c0985dbc17ea0611b26bdfc80efca5 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsh-dev_8_all.deb Package: libghc6-hslogger-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hslogger-dev Size: 4078 SHA256: 6cd9018a7e736c9321dc673f9d06ccbc12a565a24244eaf1df30a8f16392e3fa SHA1: bf35394aac58bd6b77bdc5c8e9a785ffa0900651 MD5sum: 0a97207c5e13945b1d66fbddb068e040 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hslogger-dev_8_all.deb Package: libghc6-hslogger-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hslogger-doc Size: 4078 SHA256: 970ba417d81adb2c06d5094ae4901580390a7c66e84b6f9278f99eabced1b79a SHA1: d2f531da111ab542e42334d7169922de6f684e24 MD5sum: 9457921dbf768cce75d525f60c91efa7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hslogger-doc_8_all.deb Package: libghc6-hslogger-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hslogger-prof Size: 4084 SHA256: 8804a852a82a36a886727e7969fe1a452e835a811cc6fb6147741f16c903b3e9 SHA1: 4ab062acdef9c0f952ea7c69495ca6a0d9c360a5 MD5sum: 59e78a032cdd0e793a82260ca9fa9e6c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hslogger-prof_8_all.deb Package: libghc6-hsp-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsp-dev Size: 4074 SHA256: c9859526b392d1a55eada54245ce1be03b17064bccf86faadd6b41bbaf0d7b0e SHA1: b9ed27758c6cecd8d3eff7d01f08d797e6b628c8 MD5sum: bd7875865829a770ca350ba111151644 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsp-dev_8_all.deb Package: libghc6-hsp-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsp-doc Size: 4074 SHA256: b8f519519df78f4b2ad4f995dd218cef04c1cb2c12e289b6eb1ac6bdc45d2839 SHA1: 8aff81bb8e659fa52cc59fd02d111752caa6b7ab MD5sum: c863607a9e41c3977ff1679df4f8e407 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsp-doc_8_all.deb Package: libghc6-hsp-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsp-prof Size: 4076 SHA256: fbfd79710b0dd5cb9e2f000509d383d3cbb7fe0928bec942a6a1152c14560ab6 SHA1: 4716f6b08bec90aaea0ecc8bb67940e915e1d724 MD5sum: 1ad3221bba817742a336cb9c5c909353 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsp-prof_8_all.deb Package: libghc6-hsql-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-dev Size: 4076 SHA256: 5c92aa861e4dfa5db7bcc4777c77f81952a63d2ee6bcdd60c02a28f9232a1b14 SHA1: 022e97810a6e905b1df114403e80fad8c3d5b97f MD5sum: c8ec8b1ba62c97237331c94be065310e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::lang:sql, devel::library, implemented-in::haskell, role::devel-lib, works-with::db Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsql-dev_8_all.deb Package: libghc6-hsql-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-doc Size: 4072 SHA256: 5ebffa68f862042e7b2ca256dc58d284d31e7a06d777539f52c5af4942fb7492 SHA1: 434b9c7431b372684c344b4c4a64632734a9df0c MD5sum: e817292e9a2a8c37dfc3a69824e7c916 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsql-doc_8_all.deb Package: libghc6-hsql-mysql-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-mysql-dev Size: 4082 SHA256: ff0024b64332cc71dbc596d2fea76a03e1f08f607091072ec2e5d92228d3ef5f SHA1: 47c3229d0d578ba9b286ea7f2d2975d327734f23 MD5sum: fb058761badd81afc8f5f13f62f882dd Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsql-mysql-dev_8_all.deb Package: libghc6-hsql-mysql-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-mysql-doc Size: 4078 SHA256: 31c49f31e361d781a6f409ad908ed3badf4a2bf3394e451362c5fc4144d4ccd7 SHA1: 1a81956115104be9b22aa487be1d9a74d285a2e8 MD5sum: 5ac2e9e861ec6b017f8a08b97a55f72e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsql-mysql-doc_8_all.deb Package: libghc6-hsql-mysql-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-mysql-prof Size: 4082 SHA256: 032198cc5111678ee5b3eb13f6c9f12a5dab063b3c215bcc178397d19a219df7 SHA1: 85eb624750ed4644e7edccdcd41f54c2cc1c0a8a MD5sum: d8ddfd452bce6f147820585db6ab534d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsql-mysql-prof_8_all.deb Package: libghc6-hsql-odbc-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-odbc-dev Size: 4082 SHA256: 36d0a8e1b8fd663cc1882c0314355aa9c1b3b8bf2d8d6992af47387a918918d4 SHA1: 47cab741d5dc65e3456f89e2117a45bdcc6d4bfd MD5sum: 129267f725551277654bdceb41516f20 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsql-odbc-dev_8_all.deb Package: libghc6-hsql-odbc-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-odbc-doc Size: 4082 SHA256: 362c06dfee04a54246d7bf56ebc34b55ac81599ba750ab9b6d68856c7af7b718 SHA1: 8f7469d6b58e4e5a3d1961a3314dec5e66029f95 MD5sum: 40b17945e90f25021727ae227c259ade Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsql-odbc-doc_8_all.deb Package: libghc6-hsql-odbc-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-odbc-prof Size: 4088 SHA256: 5204f4c09294e13990f1efc83d9e55905f7840d69cb2350ea15fdceb49ee6a6f SHA1: befc5809277c065c188386e8bf045c72f32d8b23 MD5sum: a4c48248f2f82cdfa8a4855f2f483a8d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsql-odbc-prof_8_all.deb Package: libghc6-hsql-postgresql-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-postgresql-dev Size: 4090 SHA256: f180a92770fcf61f9ee75b851f48d0219ef67d5e2c639177a10750b0dfbc7968 SHA1: 01cdfdfaf9d8081d1ccc1609befc1dc8224c2dcb MD5sum: 8744a0cccbcafb9982a5544055d6374d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsql-postgresql-dev_8_all.deb Package: libghc6-hsql-postgresql-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-postgresql-doc Size: 4088 SHA256: 3a4c8fbc2ecd9e4a3d81180a78f95ffeafc54d52c4bb58f04e17eee2c6627ccd SHA1: 98bffcb48880ce188ceef4e775debc88cb7c570b MD5sum: 112130139d73b29e110935eda2e95d7c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsql-postgresql-doc_8_all.deb Package: libghc6-hsql-postgresql-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-postgresql-prof Size: 4092 SHA256: 3e8fb8aceeae1dd9a026b74c1cb8cc4be13bc82f9a3be88413c59efa1643a626 SHA1: 7afc995ec3b87645afc77edfc3b975ed1a586d4f MD5sum: cc11530453c95207c022f5bd81e24b19 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsql-postgresql-prof_8_all.deb Package: libghc6-hsql-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-prof Size: 4078 SHA256: 184517fc911ec8d6d1f7ed1258ac2b849fb60f834080b5d5ddc2121762e6d488 SHA1: f9fe3da83da5d24e77b14b032a10cc9313c32ad5 MD5sum: 3306a9b880cb371f9b4776ceb14f2262 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsql-prof_8_all.deb Package: libghc6-hsql-sqlite3-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-sqlite3-dev Size: 4086 SHA256: 7f5131d225b48399594e933503a678971a4680530ede9462d9e2f89423fb6770 SHA1: f9e991265cd357c2c7df233c1674bee9e68ae900 MD5sum: fe7c7d7c5b64a1baf9008a642041917b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsql-sqlite3-dev_8_all.deb Package: libghc6-hsql-sqlite3-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-sqlite3-doc Size: 4082 SHA256: c3d8562390f109bbbea6fa7316d59ed1cf4f41af285bd78ac9ce3c4704afe67a SHA1: 68dc4cb5cc35249b151d3fa28a0755a9b6a9d46e MD5sum: 7233085942b22f69781a72a206e31866 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsql-sqlite3-doc_8_all.deb Package: libghc6-hsql-sqlite3-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsql-sqlite3-prof Size: 4088 SHA256: 2e34fd4f8bd3c8531f7026cd893e825b92b5af66f1541301dc41f7067a46b68c SHA1: c25667b4c7fbc886169c8432054f63e5491b44b5 MD5sum: 428940fb6f5473b6db31a8414ff7bfe9 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsql-sqlite3-prof_8_all.deb Package: libghc6-hstringtemplate-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hstringtemplate-dev Size: 4090 SHA256: 81f55dddf26d5f04ad23a597495534863995e28c23dd472544ae91f3ac46911f SHA1: a018ccc6a5e2d99fc6f239fb74238018b26d43e3 MD5sum: 7c2b3b19d9812c27db26db804bbd6ea1 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hstringtemplate-dev_8_all.deb Package: libghc6-hstringtemplate-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hstringtemplate-doc Size: 4088 SHA256: c6b349ba9d432b2dffb7c4a1c1dc0a4ebc92638d09947c9600faaaa4a5f0f0d5 SHA1: 01e564ffc6b636c4434bbd6a5317de3ddee26412 MD5sum: b810824cef50de77f7b6e496c9531309 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hstringtemplate-doc_8_all.deb Package: libghc6-hstringtemplate-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hstringtemplate-prof Size: 4090 SHA256: 90aa6e95d334d49674fcaf90c716f493377409ae43c358f9e9259de5337dc504 SHA1: 3d2fbd1608181beec6d79abadd6e54dd8723651a MD5sum: 4efc4a420545bd55df015775d7d6fb3f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hstringtemplate-prof_8_all.deb Package: libghc6-hsx-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsx-dev Size: 4074 SHA256: 80f389490dd46ec417b061b76aa9f2bef2af94946ce2679a72344952321fa955 SHA1: 3aee04f4c0789b8fde13d85e9efa5b629ffa5b86 MD5sum: 38bd93f6f2fd08973463b8fed246ed9f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsx-dev_8_all.deb Package: libghc6-hsx-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsx-doc Size: 4072 SHA256: 21bd051b2ab4a681d2d3640ccad5d8198c0209bcff2e6fe0866d6169c79df7f2 SHA1: 4790f54780fec90f141dc324cbc9ee871bf9f68e MD5sum: 296dcb4f452e72032c2d7e9987f6c1d2 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsx-doc_8_all.deb Package: libghc6-hsx-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hsx-prof Size: 4078 SHA256: 163d19b82bb1c68c1d39c6615d0fc1ce7269e221a33c3af93c9fc1c329cb7f78 SHA1: 61d40667785a295f5a6040c208534e4c5531d41f MD5sum: f8244c4f5cf745156a8538d6a89b5403 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hsx-prof_8_all.deb Package: libghc6-html-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-html-dev Size: 4076 SHA256: 5540776778e84931a6f7ed28264f9c96491522cbd58b5de82cec2765b1a7ffa4 SHA1: db1c8af7be7db20ca92522a57e266476a20acc46 MD5sum: 0dc773e3a652f8de90424d5f9ef14916 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-html-dev_8_all.deb Package: libghc6-html-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-html-doc Size: 4072 SHA256: 17148539f2f6382034f91acb5b5de73e4a192dc134058b95abd84159cb97149b SHA1: bca9eb90fd9f3bce7c3d6c0815b9fc8c54e06c43 MD5sum: 81a4a4be8d8b2854c886aa71ebcdb900 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-html-doc_8_all.deb Package: libghc6-html-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-html-prof Size: 4074 SHA256: 6943efd3e97ed149746983c66b54548b864649415f5be3984e3a0abce49e9850 SHA1: 19a40a677a78d9f85d16519f9a5dbd41c91c434f MD5sum: 19f5c3a67927582cb51939df1b01cf36 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-html-prof_8_all.deb Package: libghc6-http-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-http-dev Size: 4074 SHA256: 3a5267ee9324dbc61080550217d97f6c0f97917abf369cf0c4ea99ea45943991 SHA1: ff142c3a0abf8e5e1c9ba35cd65de50d000cadfb MD5sum: 936bce3a45a41a12ae491585acb6aa23 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, protocol::http, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-http-dev_8_all.deb Package: libghc6-http-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-http-doc Size: 4072 SHA256: 6f41050fe0ee7537542443a5d15a592ee5367f2d9bb2b918a7a3e1ad88ee6dba SHA1: feccc5e7ab5165335a066bda3cdd187079c910b9 MD5sum: fea753c84810c369bc0677f64b3dfbee Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-http-doc_8_all.deb Package: libghc6-http-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-http-prof Size: 4076 SHA256: 5917f89b409f14ac1b598484817d870ce98ffd11c5818b9f3fb2faa2eb40e651 SHA1: f61ec2f59c9ad7319e37f41883f47e5465a23d0c MD5sum: 924d5d2516b1d4a4a10aa8bb1410ea8d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-http-prof_8_all.deb Package: libghc6-hunit-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hunit-dev Size: 4076 SHA256: a14cf2c07463416e418ecfe896ad9ed08913c77070e69b16224ac2bfa8438b93 SHA1: 639d587d93e145b704851bb7a8d03e6c4e5ea1d3 MD5sum: 097508b34450158523c3654807243849 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hunit-dev_8_all.deb Package: libghc6-hunit-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hunit-doc Size: 4076 SHA256: f13df1af3d0e5fea640276437de4602bc2ea5a0ef881e0860f3fd4068f1e5625 SHA1: 484b52f4a4ebd6bb4e825942e4df4db3822b9f2f MD5sum: 44d976cbadded9e16631b564e3a37e41 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, devel::testing-qa, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hunit-doc_8_all.deb Package: libghc6-hunit-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hunit-prof Size: 4076 SHA256: 0c95b060c90ab74675a908ad89887081f3f9d7465957c489ea5732c18ed9d63f SHA1: af389eee58cb0c60a9b4c70238c42178c6e1a72e MD5sum: 3bc2e72dcd0e00d89f404f54f1500d2e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hunit-prof_8_all.deb Package: libghc6-hxt-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hxt-dev Size: 4076 SHA256: 769c33725cf75f1b314909fe90c3e3e262400f2cbca6cea362ff71d8ec107034 SHA1: b7acf9d51e1d9afd0eda4c71bf3530e936b82bf1 MD5sum: 45e8160b6731bcffb9dbb05d00173db6 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hxt-dev_8_all.deb Package: libghc6-hxt-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hxt-doc Size: 4072 SHA256: 2a0b88915853d7fac5d3a9a9613ef89ffad9e1488e26f51225de68d36f34b53d SHA1: f0b7633a1baf8385db4077376636168513adcb73 MD5sum: a22e58313ea9375348b82ae7351d7ade Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, implemented-in::haskell, role::program, works-with-format::xml Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hxt-doc_8_all.deb Package: libghc6-hxt-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-hxt-prof Size: 4076 SHA256: 5f9b0c074918c0aaa1e7f6b29fd121c97b0478bf13ae3616ed9f7edac8c62d78 SHA1: 65114f86ce50ab87da7be14ffc39eca413a56370 MD5sum: 4e5d34ca3b130a55c8d9543b8237b717 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-hxt-prof_8_all.deb Package: libghc6-ifelse-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ifelse-dev Size: 4076 SHA256: 6c827c939684e111c42b0faa59a7dcf1c5f276d13e7e60c5204fb370f7ddb919 SHA1: 7ce1e247b6f7f98a4e7f18e0dbe5389050306700 MD5sum: 2442a2bc8c7ae4a52981727e40c3ad7d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library, role::devel-lib Section: libdevel Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ifelse-dev_8_all.deb Package: libghc6-ifelse-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ifelse-doc Size: 4076 SHA256: b24b22298361ccb7c89992989c8f7c5545bf9c424455c274f81aaebf37f2645e SHA1: 0f5b01ce4b2e60a64234f413b0ab0886e566e179 MD5sum: ed0ff10455e29812925c1890f3aa526a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ifelse-doc_8_all.deb Package: libghc6-ifelse-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ifelse-prof Size: 4080 SHA256: 0882e9640f8b8d30ed4bd37a4540047b96cf70cd91fbbcca6b94365b9f0dc739 SHA1: 60bf79bc6c00f07d5a05ab8b04e0cd765afe07e5 MD5sum: 59e57a1292625275f4aa8bbc0ba03b0f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library, role::devel-lib Section: libdevel Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ifelse-prof_8_all.deb Package: libghc6-irc-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-irc-dev Size: 4074 SHA256: 0c28e302c4bf5a6a58aafb88d0407984b9acfcb91431cb4e4012d0dc38a9eb35 SHA1: 27ebba051f45c2d728dfa6aa3c9343b996ce8c08 MD5sum: 4b9b59f666bb2d56b8ecb4643ab6c9b8 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-irc-dev_8_all.deb Package: libghc6-irc-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-irc-doc Size: 4072 SHA256: 8880a6267bf4c54f51f51d5703ea2834077d3fd0f476303c942befa1d8c0dfad SHA1: 3b5b16c3aa54851f5e8ed37a52d534aa5cad1586 MD5sum: ebd3c7e156dcddc5c04cdb8e67f69bf8 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, protocol::irc, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-irc-doc_8_all.deb Package: libghc6-json-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-json-dev Size: 4076 SHA256: fca482d79572815d2e24f02d18ae38c7d224130a069734a37bbdee05deed440c SHA1: 5caf871d8589a86345adee2e1aad7502a67659eb MD5sum: ddd4819e3155fbe82f92f12565eafcd4 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib, works-with-format::json Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-json-dev_8_all.deb Package: libghc6-json-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-json-doc Size: 4078 SHA256: dd850c19666fb481590269caa19fe2e17ea86de21afa69344a12756bdb2801ae SHA1: 63dfed1a4ac1b24fd8383474e7536e97b180e97a MD5sum: 86ce78cba4a8f2b85dc4b4db644bea73 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-json-doc_8_all.deb Package: libghc6-json-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-json-prof Size: 4080 SHA256: 895a7c9968b251be416eeb7158101cb60b5415761b76fb910908a090b1041d2e SHA1: b93726750a364f76e001c6eb0bca253a6df8ef09 MD5sum: 189c21ce930826bf3061e93869e9673b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-json-prof_8_all.deb Package: libghc6-language-c-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-language-c-dev Size: 4086 SHA256: 7f1e236c3b0c55d18338f719b7842b9992aae9c07487503bcede3f66457abb6e SHA1: f8fb1e11bd2d6152f4bd502192d72537673e2e10 MD5sum: 6929402207dba9391fd4af9cc4178b3c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, devel::prettyprint, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-language-c-dev_8_all.deb Package: libghc6-language-c-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-language-c-doc Size: 4086 SHA256: 0b94c1b8e110f60351ea5bfdbe537e83679bd9a1297c91b24adfed7981796b44 SHA1: bbe2219d7422d6fdc14f95551c8d0b43cdce5fed MD5sum: 3ded8b51b50ed03dfba566139f3a8dba Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-language-c-doc_8_all.deb Package: libghc6-language-c-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-language-c-prof Size: 4088 SHA256: 12e29bfa90fef107027553bc47e9e6dd9fcc5e3666a5c85d9f04bf3150052a5c SHA1: 59805bdef5ec52c508d7bdc0bd9ff968f0ca5fd1 MD5sum: 32dc65171465b54645f178630503bae6 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-language-c-prof_8_all.deb Package: libghc6-lazysmallcheck-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-lazysmallcheck-dev Size: 4094 SHA256: 0af4360c031243ba6df7f0ff276e9aaa940e2c97e5a0f02ecd4606df6216304a SHA1: 07921a1e90c7d4aa0c4f01db6743ffea1a0fd950 MD5sum: b81254fcb2b4a22f2cf78dffb3579e14 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-lazysmallcheck-dev_8_all.deb Package: libghc6-lazysmallcheck-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-lazysmallcheck-prof Size: 4094 SHA256: 1d13df3e98aa9adc560dc9ae0d60c44889df09c36d6af3d213f2389ff14427e0 SHA1: ac5bf668337104a78f00561a55e45d1c6b59f4f8 MD5sum: 5788e1cc6cde711a53e183906693f278 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-lazysmallcheck-prof_8_all.deb Package: libghc6-ldap-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ldap-dev Size: 4074 SHA256: 5f57bef9b4a43580065c03a8d4eee11f2aeae0ad1ce95d45893d0e45b0c568b1 SHA1: c16183a49fd8b550155d284f8591307977a6a4b9 MD5sum: a92bdbe0fdcfb4341413d83729c84f9e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ldap-dev_8_all.deb Package: libghc6-ldap-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ldap-doc Size: 4074 SHA256: 1adc052c8a2ef2625953a466d2a2951b0a839f2b680c1fda8ce2f8523a517ef4 SHA1: 0c56ef6b6cc74cd5280a3f3eda0a6865023366ae MD5sum: f328cd061a0c97c718013509b9e9ba35 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, protocol::ldap, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ldap-doc_8_all.deb Package: libghc6-ldap-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ldap-prof Size: 4076 SHA256: 0310c705cdfb7bfaabfc6e92bc56ebdd99c8c68eec009977b5610c1d52447f99 SHA1: 85f8ea0aef46143d698e3868924d691bf3a9f943 MD5sum: 9c53a3bdd6d1ff738bab81d93666bf0f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ldap-prof_8_all.deb Package: libghc6-leksah-server-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-leksah-server-dev Size: 4090 SHA256: e4fae857e62f2c6a1e014747f24d0214e5a6ba150b64a63573042449eba95726 SHA1: 0b03a6cdaffae8c639db7c0d05c527b06d34e1ff MD5sum: a80c45d860ad9b33b878b45c964a76a8 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: uitoolkit::gtk Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-leksah-server-dev_8_all.deb Package: libghc6-leksah-server-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-leksah-server-doc Size: 4086 SHA256: 6fd4c4a3c0e757a040219ce778a4884e974381782bc6e0e1b61597902dfaa582 SHA1: e571abd9864ae64d1c385c9d10bb562fdb0b748a MD5sum: 6011fca6393785f104b9fcdb39a8a845 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-leksah-server-doc_8_all.deb Package: libghc6-llvm-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-llvm-dev Size: 4076 SHA256: d64c14b9bc5e4a64f15f6fc56e9d56d5e5395d80f243048e6f77ee70966aa66a SHA1: e570110a0986c2ed8638cde40989d952e44e494d MD5sum: f3eb03df88f6143af0d641007c1dc154 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-llvm-dev_8_all.deb Package: libghc6-llvm-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-llvm-doc Size: 4074 SHA256: 6dd2d5732a1f4a150054e1a7b666da7b8b22f2a1ce4f6a7482f64a6cb93b5f6e SHA1: 2d49b61bd41c1f012c71266d68bc1ea8bdab8507 MD5sum: 3ab89d1255889bfb72c499254ab737eb Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-llvm-doc_8_all.deb Package: libghc6-llvm-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-llvm-prof Size: 4080 SHA256: 790161c457d10c9f2aa1d0c322a0abfc9682d9de1c2ff326c7560871a13bd203 SHA1: 4a73dc066b05aa4495d07e80f1d37622e18e754d MD5sum: cdb89a575d3fa1521917c66387c0d01e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-llvm-prof_8_all.deb Package: libghc6-ltk-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ltk-dev Size: 4082 SHA256: 15c5d72f6e3bdc75033f3604d9b2c9c9fab3c4a7dfb3968eee37c70d0f425e3d SHA1: 75215d2213feb54e071e7192136623c7dbaccccf MD5sum: 21e77e7c7c9ac7708c359a9ab5c6270b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: uitoolkit::gtk Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ltk-dev_8_all.deb Package: libghc6-ltk-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-ltk-doc Size: 4072 SHA256: 36c37c6e3781bf1ad424403d012998d32347499215dc013cc96364f60bcad606 SHA1: 31f6945eccc3f52cd6ad5d13ef0ef4c6c908a9d9 MD5sum: 3f3e0b7cd5351b437606071dbff8c5d2 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-ltk-doc_8_all.deb Package: libghc6-magic-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-magic-dev Size: 4078 SHA256: 96847653e03403119aa4550de1b7677acee3b2bad62b7f1634e222ad860b1adf SHA1: f7f450b8bf5d266d5818b38d03bd2a217bd935a6 MD5sum: 23623ff9d7de675afd02da1a2dfb04d0 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-magic-dev_8_all.deb Package: libghc6-magic-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-magic-doc Size: 4074 SHA256: 85746dba7aedce1a74c8fb103497810270735fab1738576437371e5e595e9940 SHA1: 4f86dc3fb8003b67838e473988afa99ec0ec9d0c MD5sum: 72a418f22e99ede5db6a489a79bb0650 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-magic-doc_8_all.deb Package: libghc6-magic-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-magic-prof Size: 4078 SHA256: fbd3a8bd552795a2b1b67c668bdaf7e0eea32121f3bb920224d915ae9a3bc4d1 SHA1: 934e68d7ec961d82a409eeea473225605265e472 MD5sum: c2c0184c94e52c6a51a7b6e318f2de24 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: optional Filename: pool/main/h/haskell-dummy/libghc6-magic-prof_8_all.deb Package: libghc6-markov-chain-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-markov-chain-dev Size: 4086 SHA256: 1cf137744452875377f7301238f4579149b7499d5e3cc6d48a161d5dec24dff1 SHA1: 71507ac8bc9fdb7b5d53c3717d5477185549cf31 MD5sum: 0da6742cd5a1d7a673764e5c5de794fe Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-markov-chain-dev_8_all.deb Package: libghc6-markov-chain-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-markov-chain-doc Size: 4082 SHA256: 2e05854454f48577b10e6a93c8447a390338fb95bcc18329768a9ddadf3882d1 SHA1: f62c0cb0a9b4b8f593dcd835d89edd5f9449ad03 MD5sum: 870db36d0e9117601df3e97a3a7bfa7c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-markov-chain-doc_8_all.deb Package: libghc6-markov-chain-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-markov-chain-prof Size: 4088 SHA256: 37b97a406e85a86b2ae6d5ab40b5fa6a60328098654fd62751cd7660da5ec41c SHA1: 1045f7e73a04d7fbc58855b3df5af29eb5e5c199 MD5sum: a56de820346adbc227c677767cfec4e1 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-markov-chain-prof_8_all.deb Package: libghc6-maybet-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-maybet-dev Size: 4076 SHA256: 247a6c0a0dfe4efbdbba398b3f2ace552b2ca2e9ad0e8d01a8cf47404ea804d3 SHA1: 791a7a9345cb92ecec0acf92ab414a59247dbb75 MD5sum: 76a975976adbebb3cf6e02516684ecc1 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-maybet-dev_8_all.deb Package: libghc6-maybet-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-maybet-doc Size: 4074 SHA256: b0f99c01a7d0764463009bb2a197eed9d5dc77c122b1368d74802d6a1e7e717f SHA1: 27d20a3950bbec2d1639d6860b06f0f49efaaf16 MD5sum: 38c7bcb20d76481c9fe7ba3f770ccace Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-maybet-doc_8_all.deb Package: libghc6-maybet-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-maybet-prof Size: 4080 SHA256: b89026abbef2f5666e21f95b9a34fbc8c8cfde51eb252a9ef58c739732618b60 SHA1: 22e96aa6c151d029e9284252576bb5f5040e3854 MD5sum: e66710282f0f1a11a8f0f92b25d8d837 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-maybet-prof_8_all.deb Package: libghc6-midi-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-midi-dev Size: 4078 SHA256: 646f70499eb084c9c9e303bfd7adc7303142f5557f912f4afc873cd5a6a26845 SHA1: f7d7138dce17cd1287fdd9b256bdda9d7bd8739a MD5sum: aa8cebe03141420360d5b5e32047b35f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-midi-dev_8_all.deb Package: libghc6-midi-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-midi-doc Size: 4074 SHA256: ded2866be3270f4e51e6790254227e90161b9e2e87f5d57527a4899e95b85033 SHA1: 4f6851101c7d442a8ba4f3d6f388b6c42a724614 MD5sum: 8676fc7869eab70b79976506bd4c3bc7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation, sound::midi Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-midi-doc_8_all.deb Package: libghc6-midi-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-midi-prof Size: 4078 SHA256: b6dc699cc7022c5ea01e44e0de43671d882567f27c65eb62d33daed3860549f7 SHA1: 8c42faaf220b2b599edf16f41ec16e3f66a9a84d MD5sum: 9fb362dbbbdb3962289d2d673c080b5b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-midi-prof_8_all.deb Package: libghc6-missingh-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-missingh-dev Size: 4082 SHA256: 41d78e88be9ab79ad9dd9fdcd005c1a891347d504f7f6cd3e5030cc4740d48fb SHA1: 27b95a589e960bd490e8ccdccd14c80534ae50f6 MD5sum: 65474480e3977aef300265856f807416 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib, role::documentation Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-missingh-dev_8_all.deb Package: libghc6-missingh-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-missingh-doc Size: 4078 SHA256: fd17be570a42305c0feb0b6010833b2271fadb70e32313878174dc75aee3f413 SHA1: 48bf30bc3f8f2da99b07ac6d099e91544578ed98 MD5sum: 501392bc9e274792dbee6ee02e6e6985 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-missingh-doc_8_all.deb Package: libghc6-missingh-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-missingh-prof Size: 4084 SHA256: d1970affe970170201910459a82f164fc20677e050e7e7ef392506855ee09a53 SHA1: 5b27c3e631cabc7968af3036a5d7302ed7b605f1 MD5sum: 8767fbe03943c34d94146c3e478a463e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-missingh-prof_8_all.deb Package: libghc6-mmap-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-mmap-dev Size: 4080 SHA256: 512bdd6dc03f65a44721b0a95ddad82464f911b5252ecf482fe378dca6d177f0 SHA1: 7c7df9649677a9461ff7557e5d77eef7321aa76c MD5sum: 5c51c07eff61d63513213056e9a72604 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-mmap-dev_8_all.deb Package: libghc6-mmap-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-mmap-doc Size: 4072 SHA256: ea65d5f9c53945677583a9f7645816e3eb2f79ee354e3115cfcac81ff4bff0e7 SHA1: f141cf34b2addc85a9fa685eb4e151464ef26ce5 MD5sum: 42598bdf0dadcd29dcc44fa8e2ec969f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-mmap-doc_8_all.deb Package: libghc6-mmap-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-mmap-prof Size: 4076 SHA256: 731ade074edd5058826ba826732ed2800a3e29a8146509bf1a16c093cbb381a5 SHA1: 25852f300f20c87c515bd570ed41cc2833f6009e MD5sum: f386d53e299ed4f0c17fa36c63edef3d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::profiler, implemented-in::haskell Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-mmap-prof_8_all.deb Package: libghc6-monadcatchio-mtl-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-monadcatchio-mtl-dev Size: 4094 SHA256: d1ab007372fb74b08f8ee98b9a46d900cc1272e9b18fd726c147d77d19ddd21b SHA1: 7b9d2fca8046fd7d12483a83c1f138a2fe9bafa8 MD5sum: 900fccda7c72c8741fd00f5dbd401154 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-monadcatchio-mtl-dev_8_all.deb Package: libghc6-monadcatchio-mtl-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-monadcatchio-mtl-doc Size: 4092 SHA256: 805b4c4dc16179e5cb681e191d35ba097cb432dd71cff77ac48e576f6fe5f8e2 SHA1: 7bbad2f9e7ccbd4d056b76c90d7d90051d19ad0a MD5sum: 1514f028ccc6df12191b6076ed6f647d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-monadcatchio-mtl-doc_8_all.deb Package: libghc6-monadcatchio-mtl-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-monadcatchio-mtl-prof Size: 4092 SHA256: fc94e68a7ecc5276792c4172242f6b988550b9a4124439ea04421266e96424eb SHA1: 2a505c3c9a1a1ff9fb3bf7cb94ea1694b284028f MD5sum: 8764587627f230c15709d36995b0d276 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-monadcatchio-mtl-prof_8_all.deb Package: libghc6-monoid-transformer-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-monoid-transformer-dev Size: 4092 SHA256: 529bd8c944a309d79f7bad2c968e335f92e848b415544b8e117c1e4c5a9bf4c8 SHA1: 601c41448e556a7cfcd31b127b2ab9c63b547700 MD5sum: 6bc7321c7b394a6956b17595376eb605 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-monoid-transformer-dev_8_all.deb Package: libghc6-monoid-transformer-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-monoid-transformer-doc Size: 4092 SHA256: 1b4a437edf9e18bda7bebe19a1e9105fe99f5d465db74ab23ea0b47f6c90ad97 SHA1: e774e30d19860eac1b64e93f2b0571469ba448b2 MD5sum: 7d0a55936650572b6f06e78c5e6bc074 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-monoid-transformer-doc_8_all.deb Package: libghc6-monoid-transformer-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-monoid-transformer-prof Size: 4094 SHA256: 5407ad4aa138ce481f7b54a8a72463d7a1498bd1cbadae40a1fd3a4ccc72fe7f SHA1: 7e9c3a26a53c653b50ce4eaa315c71ccb9ca2b54 MD5sum: 1072c31f4a63c6c82ad9351bf32aded3 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-monoid-transformer-prof_8_all.deb Package: libghc6-mtl-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-mtl-dev Size: 4076 SHA256: 26bfc9238178e070389e1eaee08e5ef638e5bf2cb2b91b0b99942a79f7b4b67d SHA1: 4d09a190a2efa9e6e9f7a3b754e27ecf55f7884e MD5sum: 88ee6222d59e54ffce27ba46f9742b81 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-mtl-dev_8_all.deb Package: libghc6-mtl-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-mtl-doc Size: 4070 SHA256: 45f6cf78b6c768f40583a1b30e1490aa1a7bbb9591a6f6524e02407e08f6acaf SHA1: eeb6a67613f2af199777ef7c0c344512da6fdb69 MD5sum: 0da041bbe4b08e91776d344da9080952 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-mtl-doc_8_all.deb Package: libghc6-mtl-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-mtl-prof Size: 4078 SHA256: 6918d227965df9e9a0a2dbacb65387512554d4f2ab7df4b7310ad37c31759269 SHA1: dd6e3dbe3c947b85bf1a86138e43bba5ab26ec2a MD5sum: 7a670420af9a3652e3f24587d6f181ab Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, role::shared-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-mtl-prof_8_all.deb Package: libghc6-mwc-random-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-mwc-random-dev Size: 4090 SHA256: 13feb93bd25056c05a2ef88847f1cc4ea7ef787142975adc878eee71f39ead28 SHA1: 045c57309664d08797f1cf71213e4176e9b8a253 MD5sum: 13b8fe8a3036cc59d794d98f24056ba0 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-mwc-random-dev_8_all.deb Package: libghc6-mwc-random-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-mwc-random-doc Size: 4082 SHA256: 8e450354a0f5da812e2287f206bf6b12b9780c82c3d700efa19b84713fee6fcd SHA1: fc31e4e6407f7c53aaf28cb3e4f2ed459db25be7 MD5sum: 1fe1ee0ccf755f2a498d73ec202e7b90 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-mwc-random-doc_8_all.deb Package: libghc6-mwc-random-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-mwc-random-prof Size: 4090 SHA256: 827e3b28f7a748c7748c354473a0196d548a5d05fac29da2d498e963b550641f SHA1: 46176753970ab530d242218b648b5d6472a81ccf MD5sum: 5e58aa6f6f3e9b3a921ecc8f62b7700c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-mwc-random-prof_8_all.deb Package: libghc6-network-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-network-dev Size: 4080 SHA256: 1e53a328f18df5c0421a359a53a51ba47d970636575dfb4d987a0bd6028cc435 SHA1: 904b5bfe86ca181dd98e07a979c1aeb730982a03 MD5sum: 06f21e24b4dcd0f529726cf9f64569eb Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, role::devel-lib, role::dummy Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-network-dev_8_all.deb Package: libghc6-network-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-network-doc Size: 4080 SHA256: 84998a74a3049f27c952abcb5669ef0e920dac61eec1186103e562074258570c SHA1: 739696a4f8256e1a42fb9ddb0b46f9cbdc5f4c59 MD5sum: 8677eab301ddfd4a878e4f60476f305e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-network-doc_8_all.deb Package: libghc6-network-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-network-prof Size: 4082 SHA256: 2fa1bc03684fd986404d6e239b75d28ed9bfe2542e26d87fad8b8e034c4bf79c SHA1: 6ffd04c5354187f8c3452885097f8842d2350ae4 MD5sum: 3d168c45e6e259a33206433bae354fc4 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-network-prof_8_all.deb Package: libghc6-non-negative-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-non-negative-dev Size: 4090 SHA256: 15291cee38e9024ca818e87deed2baf82bcccb5d41790b3991e109967b6a6415 SHA1: 2cf2a7eba949668a8bdd74aba0aa7651154f4755 MD5sum: 60a12ec9aa82af70176f41b9e4ad2596 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-non-negative-dev_8_all.deb Package: libghc6-non-negative-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-non-negative-doc Size: 4086 SHA256: da463fb9b4beb7e480a44221eb09da410127bea706c552f46cc149179699074b SHA1: 902b6cbaabec3572b6f762f45281e8d5a41a0484 MD5sum: 3d4659ca69fc8793b39471150577cddb Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-non-negative-doc_8_all.deb Package: libghc6-non-negative-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-non-negative-prof Size: 4088 SHA256: 9133730e1adb4dbc38a4478042b11572f67a711f20e51c1f6268fbf6bdcb9b41 SHA1: de317f16408dfbb6a33f8c3c6f4ca29c3b114111 MD5sum: d439e0be8da3dcc18b9a0abd78604332 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-non-negative-prof_8_all.deb Package: libghc6-openal-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-openal-dev Size: 4078 SHA256: e197f9361d502ba673e9d2d8c51f2fdb91f4757f0d58fd0c2db17711293e94d6 SHA1: 6ce7b11e952ae611e4fec28444614ea742aeff6d MD5sum: ed357060338c7b4b3495057419a1aee5 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-openal-dev_8_all.deb Package: libghc6-openal-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-openal-doc Size: 4072 SHA256: e72bbb4972378ce8251db180de33bad1d2a8d51e83a46c4d17c69cd195653a49 SHA1: 6caf745342b2ab6a94882079da162906a19483cf MD5sum: bb6e4949aaf69fce1bdb182f2d342b7e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-openal-doc_8_all.deb Package: libghc6-openal-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-openal-prof Size: 4080 SHA256: 65b5a83ba3cb3c30c6bed361e5b43f9899fdf3b662fcfa96d614cdeb1b0a43e6 SHA1: 3183d8d907d9879a5b0874fad3fcfb4e21c79c6c MD5sum: b26ccbf4ff144bbb0c7b06748c1c5da6 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, role::shared-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-openal-prof_8_all.deb Package: libghc6-opengl-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-opengl-dev Size: 4078 SHA256: bf3e83385447bf58ccfb9245e092d5ab610411c690c0f7d5fa3b32d2bc8e4949 SHA1: 20442c4b05e63fd26f9447f678c42b6ebe49a434 MD5sum: 215acb0620cae8c4fcd46ad1474f9cbf Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-opengl-dev_8_all.deb Package: libghc6-opengl-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-opengl-doc Size: 4074 SHA256: 26ee8272330f2d2cb0cd3f9c9fe4660587c1ead67d2825157c5950602e420ee6 SHA1: 21954d40664e183ee1b1fe65eb6b51aa5a81b62d MD5sum: fc1fdbdb20a010ca3acfc7a3d2079e1a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-opengl-doc_8_all.deb Package: libghc6-opengl-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-opengl-prof Size: 4084 SHA256: ddbd16c46a8e1e90349933a2d216ebcdd943ef40c7a420ea71ae6b13177daf77 SHA1: d3817db9e022200554f3c674f5e8693e6b4f0a31 MD5sum: 1e3cc6212cc9245b62d78b80a54af8b5 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, role::shared-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-opengl-prof_8_all.deb Package: libghc6-pandoc-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-pandoc-dev Size: 4078 SHA256: d050ebe4ba529a2764c28f498570c70579a66ad3c48da288872aab810dc35fc2 SHA1: 8366b2623ff469bf6fc46d1a116304003ed59156 MD5sum: e50455b91d87b672a47870541b186600 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-pandoc-dev_8_all.deb Package: libghc6-pandoc-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-pandoc-doc Size: 4072 SHA256: 4687922c85ad9f05b48051f66dcbbef2a9a1ebeb26c507473a75dd74a47bea3e SHA1: b8d5c09d8fca5a569d5fa95a74f0c36256d45a6c MD5sum: 204e834a1add97b077e1a3adeb522378 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-pandoc-doc_8_all.deb Package: libghc6-pango-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-pango-dev Size: 4076 SHA256: c9dae4281de2f9d46224cdf066d8778276d4e6df6c4dc7bf29b2a3cce98e3550 SHA1: e180c0bba1debb7a65804e9b157b22b8cf583ec3 MD5sum: 43a07ac0cc727b7538327901c30eb9e4 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-pango-dev_8_all.deb Package: libghc6-pango-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-pango-doc Size: 4074 SHA256: 2e7f9ba6af02cfbef7d8816081cdf4a4cda2a41a2daa92cdd61e9ef44232e2e6 SHA1: a486e7db8b04d897415ddbf28d6db4abe9cc2755 MD5sum: 0b69d02cd1dd5ed76460ea31ffd26c9b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-pango-doc_8_all.deb Package: libghc6-pango-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-pango-prof Size: 4082 SHA256: 4b5b5bdf9d8509c972534486a36b2319741fcbf371cc9fbe11ab00415522d0a5 SHA1: 7f551f4f488e99a6fffcd124bff43d8ecb11ef88 MD5sum: fc328b6b24366b202272088d5bc34225 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-pango-prof_8_all.deb Package: libghc6-parallel-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-parallel-dev Size: 4084 SHA256: 6ca8329c9ebed1f8c12dfbca3faf99d3e87203b6bcbe525870db998b2d31e652 SHA1: 9f8e8443220cacccd99d8b6278ff882d14998a64 MD5sum: 0d27d80ff666040784a2b61498264a15 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-parallel-dev_8_all.deb Package: libghc6-parallel-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-parallel-doc Size: 4078 SHA256: dcbe0f4116f02d99a45585581897780a52175a85267e9461668e0edd0f05fecd SHA1: 4d7d32edf34b98620d857bfeae4438e00a13d5b2 MD5sum: b43e5d1c1e68e3db1ef715b282522242 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-parallel-doc_8_all.deb Package: libghc6-parallel-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-parallel-prof Size: 4084 SHA256: 8f427a64119b139dc0d1e945084c96ca692368499b9f0c98c830b9e68c23a9bf SHA1: bd55e6b778e76b6884cf7dad8b6a802280155391 MD5sum: e6662273dd777428fcba8d1f60da343b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-parallel-prof_8_all.deb Package: libghc6-parsec2-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-parsec2-dev Size: 4082 SHA256: 3729c65c62da451c9631e7028e950cc027745ce5b6dd37be61321a09eaa8bbe3 SHA1: d2b57be4b9ae4389892d65d28844e77e9f4d5afd MD5sum: 0a2945bcb1da1b4ce1c51576eef9e137 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, role::devel-lib, role::dummy Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-parsec2-dev_8_all.deb Package: libghc6-parsec2-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-parsec2-doc Size: 4078 SHA256: 789904cb1e3fe2a6275f1e2cd6575026ccd216d04dbcf6670b1beaa7259a6827 SHA1: f742529d4f9ad1044cb0e0322c9e09bf6f960be6 MD5sum: 44eedfb0b760d05744f0d066716f1aed Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-parsec2-doc_8_all.deb Package: libghc6-parsec2-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-parsec2-prof Size: 4084 SHA256: cdfef828ef528999942524eb3a36987f32832acf7d4df840e8be8e4fb9b8d00e SHA1: 9ae44dc081acc1005f911ef3ffb3bf3cf55edca7 MD5sum: 42eccc017ceb699a139405d373e9d20e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-parsec2-prof_8_all.deb Package: libghc6-parsec3-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-parsec3-dev Size: 4080 SHA256: 98c29cd322c730bec82bfc46c073cd35cf81b265f027b86673da519793c53108 SHA1: 684c5a55566b7a80ed7a190efc3beccb04d8386a MD5sum: 423957ddc6463ed386a37db9d1ae63a5 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-parsec3-dev_8_all.deb Package: libghc6-parsec3-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-parsec3-doc Size: 4078 SHA256: 6ebb5efe8cdd8685e71280f5b8b85bc5a20338b633f815aeb7a29be7d23b7e79 SHA1: b7db43502ca6bd7c43251880b9be3ee8db8698e1 MD5sum: 7ae65f09eb613d42ef3331584a6f749e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-parsec3-doc_8_all.deb Package: libghc6-parsec3-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-parsec3-prof Size: 4084 SHA256: c927829b34f1e16b9136f862ea931e10f7f2656b15a4413a8942de7fa1c975ca SHA1: d61b4743642b64dddd3d535afad7f6a5607c99d2 MD5sum: 21c2aeace3625bbb18eda67e8859ba34 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-parsec3-prof_8_all.deb Package: libghc6-pcre-light-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-pcre-light-dev Size: 4084 SHA256: c37dc7c0eaf00bdb40be20ff8d68c2e65bac6c93a77063003a52ff188b7d482d SHA1: 63f6cc225e8c36868573128a89104cef72c3bc7d MD5sum: 5af2733407ae4879a023da1363db4d65 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-pcre-light-dev_8_all.deb Package: libghc6-pcre-light-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-pcre-light-doc Size: 4084 SHA256: f85943cc075a81b763373df7fa0ac6e9691dd11a23e08204a3fca6ae2d09a03e SHA1: db906054d31b89603f6e71c08a3089ba1386ec1d MD5sum: b248c8c5ff8c2a467b966de17c357835 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-pcre-light-doc_8_all.deb Package: libghc6-pcre-light-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-pcre-light-prof Size: 4084 SHA256: 9d879b4ae0ff52e3fe832a48e29b36e6765cd967817c595ddb1d65a308e9ac78 SHA1: 46a4e25808cc5a6e847207d3c9f0d2a37e6bfc40 MD5sum: e4d0a75f2bb03b606cd699899ad527e7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-pcre-light-prof_8_all.deb Package: libghc6-polyparse-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-polyparse-dev Size: 4084 SHA256: d4c4c7b3f4a00c83bbc4d628d5a1fd6476857b50509f2f66d24a5e91d95b3d15 SHA1: bb5dab118fe371b987c8883e845c0169b1f65518 MD5sum: a3569f04be109b61d7909f3c57614f0e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-polyparse-dev_8_all.deb Package: libghc6-polyparse-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-polyparse-doc Size: 4084 SHA256: 55f0b07246fcb505760734c4c78e5c69d179ad0faae417503f94f4bde1da961f SHA1: 50084e6303493f1cc0c381f83b00da22aec723c7 MD5sum: 5597b5784353175b14e3a73765ad2735 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-polyparse-doc_8_all.deb Package: libghc6-polyparse-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-polyparse-prof Size: 4086 SHA256: 8838b76f3a1ad9711c051d9298cc35360005ccd1d8a6f3342aac29c29672a3a0 SHA1: cd03516916097a48e61f447ae2ef65733b37a3ea MD5sum: 3fe72482ee5201e3c5718a3b09ad12d1 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-polyparse-prof_8_all.deb Package: libghc6-pretty-show-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-pretty-show-dev Size: 4090 SHA256: 298bb4875a958e60d610a2b6038b02fdfeea0014ea0d4c403476913bab252c7a SHA1: e9619dac3ff21d42bad10e1b109fa474c5907337 MD5sum: a4c7856591dc381e14f3be493b1b764a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-pretty-show-dev_8_all.deb Package: libghc6-pretty-show-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-pretty-show-doc Size: 4086 SHA256: 0cbff1564640c4c37e8cc6d881859c995dfdb4e56cbd5110b4f6f8c44729e969 SHA1: b2a0b100137b78d60b6e4ff90ed64266c9a1da3e MD5sum: 5935a88c301c2ca581c7de66318fd82d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-pretty-show-doc_8_all.deb Package: libghc6-pretty-show-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-pretty-show-prof Size: 4092 SHA256: bf0bc7294791b95103003360f5dc7ab6e6a2ad6285dde574d94e6c2046c7ca20 SHA1: 5504d8e2e1b23b240dfcb51f6673367b81c6b6db MD5sum: 1ab777a955be7ab409b0497f5d91d1ce Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-pretty-show-prof_8_all.deb Package: libghc6-primitive-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-primitive-dev Size: 4088 SHA256: 558ed40725a7d7127ac39997c8c7737b4c8655169efbbf1ea033cdbe5f7d9baf SHA1: a48b226c16bf7f48c989ee055114fe8a9591eb2e MD5sum: b1a184aaf6bb8cb22a16024973be369f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-primitive-dev_8_all.deb Package: libghc6-primitive-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-primitive-doc Size: 4084 SHA256: e68646c2d3359554aac04ae11bf91c1ff8a97c66a2c5fcfd229e6cf853e63d4f SHA1: b0860056d5e792a03b64be77da64e3993be331c9 MD5sum: d9ef2f0995ab3770dea3add7273eec08 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-primitive-doc_8_all.deb Package: libghc6-primitive-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-primitive-prof Size: 4088 SHA256: 70787889f9cd0bca5b9d9f5530dbc1c0d27e1dfe4fc111e36c2800de38690c54 SHA1: 2d122ff8a029391b83b30dbd5733002c9c59751a MD5sum: aeb67299c23ab4fd2459468cd5e1419a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-primitive-prof_8_all.deb Package: libghc6-quickcheck1-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-quickcheck1-dev Size: 4092 SHA256: 7b21c3803cc80db1099270e711413b22120be8b3308d657bd9351620d7d42bcc SHA1: 2dfe33a4eb9e58fb949fd4e0c3bd452ed3661b3a MD5sum: b022fc3d7c316d740b179448cf27c20a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-quickcheck1-dev_8_all.deb Package: libghc6-quickcheck1-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-quickcheck1-doc Size: 4086 SHA256: cb9703df01770d90c9a9b92f54553cb6f4e14dfa4780cfb02d39bf262fd9960a SHA1: 1583a3dcc987b29cbc5b8771ba5a15ccf159977c MD5sum: 347279256771a290578d9b8af2a16c46 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-quickcheck1-doc_8_all.deb Package: libghc6-quickcheck1-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-quickcheck1-prof Size: 4094 SHA256: 755870fc2f9e0f0e18b25185012ef5b73b32d9f238ed06336f878d51dc35998a SHA1: 6fe342ea6c6d7ac363870067f071a8923135ddb3 MD5sum: d073266039d56c7fe8c52f326056284e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-quickcheck1-prof_8_all.deb Package: libghc6-quickcheck2-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-quickcheck2-dev Size: 4092 SHA256: 06ed984041368252aeaf7539c3bf25807312bb05d74d4e930d9af0c07c1ec259 SHA1: 807f051d92501ebf9d8e744a2e591a475d83fe93 MD5sum: 8a1ed4b4bea27fe9cc319ce58b06a3f1 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, role::devel-lib, role::dummy Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-quickcheck2-dev_8_all.deb Package: libghc6-quickcheck2-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-quickcheck2-doc Size: 4086 SHA256: a3b24357e19767e44c807c9b2b38ef92702fe13f0376223aa58da46864af2fad SHA1: 7a190cfc390f08a314eeb15300933499ccb1caed MD5sum: 423ce3f0dc7e5b7267a71af15fae896f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-quickcheck2-doc_8_all.deb Package: libghc6-quickcheck2-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-quickcheck2-prof Size: 4092 SHA256: 49e2f01e996c9db7894af1b8c62ed27ee446bb2cc7b43c775a1950da0c4e4bee SHA1: c2abf08baa95a238b3128ad691dd4bd0119e81e3 MD5sum: c47e25db6767dd80bff9bba650843e12 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-quickcheck2-prof_8_all.deb Package: libghc6-recaptcha-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-recaptcha-dev Size: 4086 SHA256: 979111068928f98722ef812f0173336c4c6b93fef0a7451caeb94a51d8e9f372 SHA1: 69be3cfd00074618f7e570cd23e0e1ceada6ac11 MD5sum: 017aac80f2793376f06904e554f6751d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-recaptcha-dev_8_all.deb Package: libghc6-recaptcha-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-recaptcha-doc Size: 4080 SHA256: f9ad2b7e4a72f02a87e29ed0c779368561f2bd55913ecea15cc9f9ff687b62fd SHA1: 6b8f8dbfb7f7716de5d1745b38b4fcdde535feb5 MD5sum: e22c1f066d1f559b38ede431bdad639d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-recaptcha-doc_8_all.deb Package: libghc6-recaptcha-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-recaptcha-prof Size: 4088 SHA256: 6611c5a13873cb82105547621bc473fe3a0939c6db6e20714afe217204a8dad3 SHA1: ffb076469016300b7f41181db9ba59d875a34ca2 MD5sum: 73bdf248d50f4108ef8b9cddcfb50cda Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-recaptcha-prof_8_all.deb Package: libghc6-regex-base-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-base-dev Size: 4086 SHA256: e49853ac350b21629ccf2a295e06a1852e0d5031c0fc82198609f1cc6db278cd SHA1: 213e9e80cf08778dcec7fcdbde1c3e1634e539c2 MD5sum: 472e7f909cb451ba2dc4b9860f2a445c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-regex-base-dev_8_all.deb Package: libghc6-regex-base-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-base-doc Size: 4084 SHA256: b80414f3e854b1ffe00bfa59d5bc181f8dd6e89f1f40688b976f2fa29235b112 SHA1: 92fd8c64268a8c516f1087c3b67e1f34dda0ab12 MD5sum: fc0993ed448518c3dee5975d7d5b5f92 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-regex-base-doc_8_all.deb Package: libghc6-regex-base-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-base-prof Size: 4088 SHA256: e5a3c90e56d7f36852e5e4883903878e93f19ea8317c337365a97f3d10cbd7b6 SHA1: 67193f348e70e79f94667c7875f7498a06348700 MD5sum: 8ddb402f8ecd2ebd1a6e1a71c0d902cf Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-regex-base-prof_8_all.deb Package: libghc6-regex-compat-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-compat-dev Size: 4090 SHA256: 6440b6a024651ea7bae57fbd19d40fd5fb63f060b1c0ef1ffa7bc4c3c881cfa4 SHA1: 35bc62438dc31da61587ac4dc5119284544c6a2c MD5sum: 5230cf35de54fac13ae78594f49931dd Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-regex-compat-dev_8_all.deb Package: libghc6-regex-compat-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-compat-doc Size: 4088 SHA256: a3f89c7aa76968e8ed6a39140c98251ad83e26c45fcdf85a5866fa2a409728f3 SHA1: c2c68aac02b335df96eb77fd4ead8383bf869b3a MD5sum: 9755241489a55dfd6647c8b214a7bdfb Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-regex-compat-doc_8_all.deb Package: libghc6-regex-compat-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-compat-prof Size: 4092 SHA256: 53049a007e19783cce31f08a5f71502a1fd38220ad664efadbafc4f70bf6109b SHA1: b039179954945780d30fed7c02f6c1e2a147b191 MD5sum: c806ee86189853be72b7521978f2f69f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-regex-compat-prof_8_all.deb Package: libghc6-regex-posix-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-posix-dev Size: 4088 SHA256: 865b8c9345c881519cb2dacdfa4890ec233d184b67fb44cf237ebf2b7949d863 SHA1: 7b33f66c393f9aac1f9d9a3cd17df655af9ef4c7 MD5sum: f696348f939a5ae1e608fd7c15ea28a5 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-regex-posix-dev_8_all.deb Package: libghc6-regex-posix-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-posix-doc Size: 4090 SHA256: 802f00a9b84cce86826951d49bab689a1513a5a9e7466136eab6c56068ead7a2 SHA1: 32ca9a0f414190256e17dcbd86327ad1f94449d8 MD5sum: 6111ebbff4d522ca00684683c2efad6a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-regex-posix-doc_8_all.deb Package: libghc6-regex-posix-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-posix-prof Size: 4092 SHA256: 39bc508a9e3458e4dd942d5496ce2d99e94d70a0410a1db923fb33fcfc4f55db SHA1: 495686347a6c82851fcb69816a746b2a8c220dbe MD5sum: 390ce957fc8a22373ba90d5c93bbf127 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-regex-posix-prof_8_all.deb Package: libghc6-regex-tdfa-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-tdfa-dev Size: 4088 SHA256: 7895ffefb038c59a5e28a1a0abe9bfb3b17f64fbfd50fc4f3d6afef8155192a0 SHA1: 6ebdfa2e0b368837cea57944908ea742d6382ccf MD5sum: 9e3c16c7409c6d02df319d1fede9400b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-regex-tdfa-dev_8_all.deb Package: libghc6-regex-tdfa-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-tdfa-doc Size: 4088 SHA256: 874cca30e512e6bdcdfd100164f42978c00c63c10c1efefd929b670506c275f6 SHA1: 03352104c04c4809fb68d9d6af56eae9e0d21904 MD5sum: 5172fd2530a2b7d565071c1aeb2041fc Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-regex-tdfa-doc_8_all.deb Package: libghc6-regex-tdfa-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-tdfa-prof Size: 4088 SHA256: 839ad8ffe46068150b8939d6f00dc33520ca92f98adb9127aa000a9d29557abd SHA1: 5b18ea429978eac0686d37436f0ba5f7f61f0964 MD5sum: 057ffcf3f6e2b151b18e136834da2e30 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-regex-tdfa-prof_8_all.deb Package: libghc6-regex-tdfa-utf8-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-tdfa-utf8-dev Size: 4100 SHA256: 4514079de0009a4791ab8da57346a89a72b5fb6471daa8c7b54156b67f801485 SHA1: 281f36a61cefc23d09a706b9fac0c501a93cc24c MD5sum: 8e489ab381fdd9c738b60cc60009dd2e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-regex-tdfa-utf8-dev_8_all.deb Package: libghc6-regex-tdfa-utf8-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-tdfa-utf8-doc Size: 4096 SHA256: 5302594d7f28835989ccf831eb09453594a30dcd8d024a9b4dd7f65d0aa24b5a SHA1: b76cea902951daaf64abc600849c28b4a58d2530 MD5sum: ae2dfa031bab3c53f33dde4741f2303e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-regex-tdfa-utf8-doc_8_all.deb Package: libghc6-regex-tdfa-utf8-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-regex-tdfa-utf8-prof Size: 4100 SHA256: b629a8871ac2f455b7dc4d1fb768487e43ea81586f318bea00ff121c8111d529 SHA1: 90dbd80e340e62a429cf44897d2f768d68475db6 MD5sum: 02ab305ffafa1d6842520e16566fbfc5 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-regex-tdfa-utf8-prof_8_all.deb Package: libghc6-safe-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-safe-dev Size: 4080 SHA256: 240ca828f8dafe7c6c53f35f8ec912fff38cf8ec178e0a20e9c55443385660f3 SHA1: 16ed7ba744919e07f8e4b2d763317e2b7c79e015 MD5sum: 8e6ef5d68e6a82a9b922fe38622f60ec Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-safe-dev_8_all.deb Package: libghc6-safe-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-safe-doc Size: 4078 SHA256: 3eba158ed317fa22d13ec1c7d18a7301c2a189f514a75b7750cd0324f4f1809f SHA1: 380ac8d220b20deec51975aad9cc249db88ec09a MD5sum: 869a57d9d3edab5d2a5d750fe6c2fef6 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-safe-doc_8_all.deb Package: libghc6-safe-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-safe-prof Size: 4078 SHA256: 8d7cfa0619a837a2616c96418921173a391fe2e113689f97782f88ae54d82eba SHA1: fe22b0692c25ecd61d86a8b0d343aa309b90c19e MD5sum: 13ceec75e534874d7998047b1c9de2dc Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-safe-prof_8_all.deb Package: libghc6-sdl-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sdl-dev Size: 4076 SHA256: 8a64868cd3796321b671bfe4c5a867f01de231487183f8cb948f41a604adc0a0 SHA1: 2ef35960dc2365eba0113e1ac02d39e398b07dbc MD5sum: bdd0972d525b171f34c61f5896de76ad Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: uitoolkit::sdl Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sdl-dev_8_all.deb Package: libghc6-sdl-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sdl-doc Size: 4072 SHA256: 4c9bbe26c7a184229544f32941d7976ce77006a8327dd120ede8f8ff30e11f18 SHA1: 2037db499c1ce6f349e4d639cb9a521b6d951dda MD5sum: 360dc414f4724536951e831d6d448605 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sdl-doc_8_all.deb Package: libghc6-sdl-gfx-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sdl-gfx-dev Size: 4086 SHA256: 9daa6bbb8e5aa849674196ace979b557143f027c4bbea55487e3129157dc31f1 SHA1: beb4a187095c771e96720976da19d51a0a9bd7c8 MD5sum: 0f93f63ce6b2a0e965edc44741abe585 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: uitoolkit::sdl Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sdl-gfx-dev_8_all.deb Package: libghc6-sdl-gfx-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sdl-gfx-doc Size: 4082 SHA256: 10fe8944203ccd3d3d45ce9b714792884a5b6e076ded4d8515e0193c01d3adfe SHA1: 0150ad769b9b0b5b2dea77964b13f3df4bf4ed17 MD5sum: eb18ba29db7534f554e5192bd5ea8660 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sdl-gfx-doc_8_all.deb Package: libghc6-sdl-gfx-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sdl-gfx-prof Size: 4086 SHA256: 62580108c02422d40971250023fe11a0ff08afa5a5591e4799037b6aea13abdf SHA1: ad44075e5180140869c8a70d97f057147cbd5bf2 MD5sum: 83d0f6996b58764fa813eec4cdbc8c44 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sdl-gfx-prof_8_all.deb Package: libghc6-sdl-image-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sdl-image-dev Size: 4084 SHA256: 62158c2e7adf1f5747a3813db04d81545f555bdf3c71b58a85e9c34f2edda407 SHA1: e32475bd09e0354aa25930b056d42499201635eb MD5sum: f0fd59f4d5724451fe831e212d7e405c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: uitoolkit::sdl Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sdl-image-dev_8_all.deb Package: libghc6-sdl-image-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sdl-image-doc Size: 4080 SHA256: cc2b37a136ff141a298967cdb78ec2656efda88e0c1477ddadc3bcb2c86ced1d SHA1: a73bbeb205dde633b1e9c28c48305d7ed479711d MD5sum: c0c1de5140012c50c677bfa381224765 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sdl-image-doc_8_all.deb Package: libghc6-sdl-image-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sdl-image-prof Size: 4088 SHA256: ef89852c79970473bc0ff6b9203690f0c617437e9ab20cd8940f931ab2fcf0b3 SHA1: 37537355b80ed82e364b374668d29754a6af46b6 MD5sum: 8f89a219f343b8e7bdd16c523b0337af Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sdl-image-prof_8_all.deb Package: libghc6-sdl-mixer-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sdl-mixer-dev Size: 4086 SHA256: 626cb311bd2fdb84fb60e2b4ab340ef955e45c0993ef2fbef202c6c46b4abb22 SHA1: 16abe278219b445e2641f28b7b722c637fb1ffb3 MD5sum: 27b01fa47ee35fdb5a7348964d37bb08 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: uitoolkit::sdl Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sdl-mixer-dev_8_all.deb Package: libghc6-sdl-mixer-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sdl-mixer-doc Size: 4084 SHA256: 3d29a91b39e3da8e539f2b064bb00e7485026c45ae3815b62dcb8f4e335bf42e SHA1: 2a9bdc231c15390e8ee64531aee9e78a59196cc7 MD5sum: a909b1ba4ef2048998ddb61684e623c4 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sdl-mixer-doc_8_all.deb Package: libghc6-sdl-mixer-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sdl-mixer-prof Size: 4090 SHA256: fbd12bd353dd35cd7c7853aa1fb03761b71bd5958465cc35b85bde02c1450be7 SHA1: 4e0a9f04bd891dc84dcfb5ba568cd657bfbbc0f2 MD5sum: 4816e71e44189753a2ba257f057c1013 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sdl-mixer-prof_8_all.deb Package: libghc6-sdl-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sdl-prof Size: 4078 SHA256: c3e069b430acbfa1a09a7d4b9edfce9a1d42605788af641d396ef68ebfeaa491 SHA1: 571e3c006013cde68dce1cfbc49a753b6ba26dbb MD5sum: d77d36911f3ac094724c99ba5dae4a47 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sdl-prof_8_all.deb Package: libghc6-sdl-ttf-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sdl-ttf-dev Size: 4082 SHA256: 075e8a18de0010ba7d98f928d49181a37ccbc43e738ccb3113e39ee77f729620 SHA1: 2b5a3a4fa198be90fb993365bd3116b108cab97a MD5sum: 0536781d735858c8ae71ac3c8f4f6380 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: uitoolkit::sdl Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sdl-ttf-dev_8_all.deb Package: libghc6-sdl-ttf-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sdl-ttf-doc Size: 4082 SHA256: 352d81882b68c67346656d7b878ba059d2a6db149a235aec08f8730abec4cd86 SHA1: 51b3e30d774c4c20acd8181a30c8e250e8605194 MD5sum: bd38c6ef02a7b35aba9bf15d047cc32c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sdl-ttf-doc_8_all.deb Package: libghc6-sdl-ttf-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sdl-ttf-prof Size: 4084 SHA256: 0a200fa0e1a31f7479e61805a1f17f5f735c5bd9f3b17ae49a1352dbe40d66fd SHA1: 8bbed08e677c4db52e84946bc033ac81354e3ce2 MD5sum: b3c78a46dac6ae4cfa36dee2d01c3510 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sdl-ttf-prof_8_all.deb Package: libghc6-sendfile-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sendfile-dev Size: 4082 SHA256: 0c0d58885d9b2e35a9e4230cfeae5f711204e2640c2d04b4cdd2bfaecf6280d1 SHA1: c4539435a32adf57419c32b825d4fd9b4a3496d4 MD5sum: 466c18553dee5cdbf2d165ca8f8a86f8 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sendfile-dev_8_all.deb Package: libghc6-sendfile-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sendfile-doc Size: 4080 SHA256: c267c9e708e73c4a71f711bca149294196fdc2313e31a7a139e7d0457c3aa79a SHA1: 6644bab1fe1e7b8f23341d6da7bb14554fbfdbf8 MD5sum: e7e4540984eba29ba9324b23e15476f2 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sendfile-doc_8_all.deb Package: libghc6-sendfile-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sendfile-prof Size: 4082 SHA256: adfa6b09f0e939d42988bdcb5471bb453beaa68208f3329f10614fc82a48cfb4 SHA1: 408fb9a8df49472a2c5d73c3709566131a509a48 MD5sum: a6931cf2b84b012235f9edda29608719 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sendfile-prof_8_all.deb Package: libghc6-sha-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sha-dev Size: 4074 SHA256: 201db49c6c1c3f1686c49f800c6c9f752baf5eec48f86e6cda753bba9766d6eb SHA1: d1c9eaa4fe46b4b4fdf4057c1f36ce165710050d MD5sum: ea5d1e604723cb5d42e5bf87ce48ad14 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sha-dev_8_all.deb Package: libghc6-sha-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sha-doc Size: 4076 SHA256: bb587058350aa34e87ecb3458c03bb30851309511eb81867cc364e219fcff606 SHA1: 7c9800aa7926fb0edeec64655dd06d97c32d39aa MD5sum: 51a392f473fe3281d78f36a98c0e072a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sha-doc_8_all.deb Package: libghc6-sha-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-sha-prof Size: 4074 SHA256: a9fc6a04740d8c6c001f810a80fb3155db0e60e4aa74c83b5605925ed5ce45cb SHA1: d10a053a4e3a33fad4a738ad33d925c5306ae882 MD5sum: fb51e077629fb21bf2a4d7918e95ca6d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-sha-prof_8_all.deb Package: libghc6-smtpclient-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-smtpclient-dev Size: 4084 SHA256: a394310e8db01f40a4003327f5c1ead36689bed99fb18473fea816a872954569 SHA1: b7eb5c406104f538e5806f6f04d8db4cd71d134f MD5sum: 83dc13a5e23e3f68f71e396421ddecca Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-smtpclient-dev_8_all.deb Package: libghc6-smtpclient-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-smtpclient-doc Size: 4088 SHA256: 1a21eca279612a082e0213aa4582cc8bab1eefe90dc16736d9385e29ac8de8d4 SHA1: 0ce2b627d3630bc254cf87aa6b2201ee97733fba MD5sum: 1c41a32be85c38945d6028bd25cfe20c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, protocol::smtp, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-smtpclient-doc_8_all.deb Package: libghc6-smtpclient-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-smtpclient-prof Size: 4086 SHA256: 6fc8c0272ade708205ddbcdc73b100b90fa0469d9cb214f90bdd36535911aa20 SHA1: 0e528127efa5b75e0c772de03352b3644dcc2875 MD5sum: 7d30826b8ebe98c16872286527825f43 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-smtpclient-prof_8_all.deb Package: libghc6-split-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-split-dev Size: 4076 SHA256: 4c770c66b79e7aac4411a3c574eb23f5235464f400b3fb6df0a206844c77ba27 SHA1: 205cfe3fdde12d11f2cfc34213b03083ed5b4a76 MD5sum: 94420dbb76c755055120f852508387b6 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-split-dev_8_all.deb Package: libghc6-split-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-split-doc Size: 4072 SHA256: 852711915b3a47c90d2bda0d96ffbe23a034ef1c91f4c6900a261b1e3f58b84e SHA1: 07bf6f91202b911f88fe556ce8b2fbcb0b4a0186 MD5sum: 1fc1f3817f4f519d444fe7139aaff5d4 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-split-doc_8_all.deb Package: libghc6-split-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-split-prof Size: 4076 SHA256: 629fe18c563b8f8d9562bc2ba71c745665e6259e37f2f06befea9d63208ca74b SHA1: 7e6a3d4441211b3833e6caf8acfb8721db4d039b MD5sum: e2949f57cac2ebfede347a54741b473b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-split-prof_8_all.deb Package: libghc6-src-exts-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-src-exts-dev Size: 4084 SHA256: 2cae1f61022c2a160b21f8596e2160939745b02db2bd1894d7f5e76f2ea76523 SHA1: e92d3b08e5bd463acb1899a361b423e9dcb57483 MD5sum: af65e89505a0f4794a5c500233e710ee Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-src-exts-dev_8_all.deb Package: libghc6-src-exts-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-src-exts-doc Size: 4080 SHA256: 16c20fedb68e88e51c5944718b24b4e1344f86533d866a95d8c8c420ee4098c2 SHA1: e6b062399f8fc6a04309e41cf78d49a9f6ee1ea3 MD5sum: eee21138a5ca3c0f31f263615a35588b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-src-exts-doc_8_all.deb Package: libghc6-src-exts-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-src-exts-prof Size: 4084 SHA256: b3fa8edbbd16e3d103c2b8702eef58a08d951d9dd5decb5b2451e2cee621f98b SHA1: 6a1aff27fdbb72e40638f1bcf070cc487a49fa0e MD5sum: d6ff7f8b928f88512feced45c8eee6cf Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-src-exts-prof_8_all.deb Package: libghc6-statistics-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-statistics-dev Size: 4084 SHA256: 8f084edc3906a326fe2df0461a1ca44354caaa6bb28fd3e19c09e5c1af3fbd89 SHA1: 2cb4fa6f0d359ea0e39ad74322b03f08c02dfe34 MD5sum: c39e64249029b411d3c7533a3f6b63c1 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-statistics-dev_8_all.deb Package: libghc6-statistics-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-statistics-doc Size: 4084 SHA256: 6db43fd3fec9a5e43ce7802e2929d8a40dcb10185ee6953e4f6adb573c4f9b62 SHA1: 2709bc1ff89d272b87a247db237fff4e78e01ee4 MD5sum: 9f5c091234e5f685f7a187a9b6fc91b3 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-statistics-doc_8_all.deb Package: libghc6-statistics-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-statistics-prof Size: 4084 SHA256: 8c1bb7b3d1a1878c43d7f06eb2098a874749fdc453b2d6ea6e1a6e955f8f2ae4 SHA1: fd055e3340be23559ed344b6b744b23ff0dd6cf6 MD5sum: 93d2932103abc0b2f6fe75038cd68511 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-statistics-prof_8_all.deb Package: libghc6-stm-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-stm-dev Size: 4074 SHA256: e3d33239ec640ab474ce9d1ba41cbd625fba6560f4f50bc6d289f21c5e06ab2d SHA1: 5d5e5df05abb2b519388f04cb0ae7b993a3269d3 MD5sum: e160b5ceb16dd75ec5ff39e3b15b6a7f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-stm-dev_8_all.deb Package: libghc6-stm-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-stm-doc Size: 4074 SHA256: a83819e439ef0ef79b1d2abffce2536b5dd51777e8d9ee8966935c3cfd0bb47a SHA1: 615d38c4d8a39d4ad2fd52b870532055d182f95e MD5sum: 30702ca38c3265811076477efae52026 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-stm-doc_8_all.deb Package: libghc6-stm-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-stm-prof Size: 4074 SHA256: 60ef6f545c86ac73d3ac2e7696ae18d9aeb2df92fdb9a31b86f4e71d4e21c121 SHA1: 3b9b7d0c958a1d76f4054c979ea850e55937765c MD5sum: e1e3be652b479fc09572ebd44cc2c99f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-stm-prof_8_all.deb Package: libghc6-stream-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-stream-dev Size: 4076 SHA256: ef0f37280e89c5ab8aedf885aa969ee5ea5f385a931c5e2f63b598a2aff88ee3 SHA1: 291cd101aeda9e316c4b8725fdb69deed63f325c MD5sum: 1835e04f017ce0b0f8966205183013d7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-stream-dev_8_all.deb Package: libghc6-stream-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-stream-doc Size: 4074 SHA256: 1993d11fd93cd389fb7171eccd1a3ffab6b330cef91ca538b4e0643acaa510dd SHA1: b3db5dd6d49d43c99afca9a400c2fcb6f340c37b MD5sum: 7527e9defeb1d005bd8afa9d5be502cf Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-stream-doc_8_all.deb Package: libghc6-stream-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-stream-prof Size: 4082 SHA256: e027909e1175678b08cdd9458cdc361d32b9dee3af2220fb775a5396e9253e99 SHA1: 999acca281587e048f1f353bbe722ff6ceaa0a1b MD5sum: 14e225a58be0155a9ef7cdf48e6af178 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-stream-prof_8_all.deb Package: libghc6-strict-concurrency-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-strict-concurrency-dev Size: 4096 SHA256: d99157778bb41806ed856781fb9470ee016a869e6f2fce6075533624f35d3529 SHA1: 0db81d3bfe32c7dbebb6dcc3a669bfa1e4e0fe1e MD5sum: 3d8fa8d4e5999380155e15721780d369 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-strict-concurrency-dev_8_all.deb Package: libghc6-strict-concurrency-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-strict-concurrency-doc Size: 4096 SHA256: ec0d3d36025f0b73f311800cfe8e530b95c907b45e2953a910998c7faacb6672 SHA1: 3be373f3a8948576983cd068a5433fd0b5325ecb MD5sum: 3ccf16dcb42e2ee96b5f7bf74b9481f3 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-strict-concurrency-doc_8_all.deb Package: libghc6-strict-concurrency-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-strict-concurrency-prof Size: 4100 SHA256: 84bd2ba90bf50187cc3138513d1a219862f3a7d94786f393328bc5bb9ff5c178 SHA1: 86f6f4fcf5b389f0c44db6bd76304e1254363fa6 MD5sum: 95577234705f218e8d47f4a1c67f4e92 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-strict-concurrency-prof_8_all.deb Package: libghc6-svgcairo-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-svgcairo-dev Size: 4084 SHA256: 8b1a92160fe3fa56c3430926d3ae08e9cd05452aa5ce77461dfcfd3ca9873456 SHA1: fcfc1ad78af23a7dc5139344c54c1af4a3104afc MD5sum: c160312c267589d3cc60b0e223181f8f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library, uitoolkit::gtk Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-svgcairo-dev_8_all.deb Package: libghc6-svgcairo-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-svgcairo-doc Size: 4080 SHA256: 5cb23578141554279a941ee4b831af96582b0c20a1edfcbcb74ac68cc3d668e5 SHA1: a2cd071b06aa72a1eec1de21f134e81ca7a937de MD5sum: 8f647c63f8caef54936c895554e3e63b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-svgcairo-doc_8_all.deb Package: libghc6-syb-with-class-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-syb-with-class-dev Size: 4096 SHA256: 9e5c9379722cb0a31274e3988f0f1c7045973d4dd47499e98d4e4e83c2ca5757 SHA1: 388432f8a9c57b734be6ccd9c1b72377b70650ff MD5sum: 60462bcb9a2b71cc1437b43a21fc98ba Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-syb-with-class-dev_8_all.deb Package: libghc6-syb-with-class-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-syb-with-class-doc Size: 4096 SHA256: 938d9b3330e2291fa2e7663575937e92500d40cecab48a73f17eba52800bc3b2 SHA1: 022ce0544b925093b60ff43f04a3571be588490c MD5sum: 0d05beebf999c585193d4a1e0734134e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-syb-with-class-doc_8_all.deb Package: libghc6-syb-with-class-instances-text-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-syb-with-class-instances-text-dev Size: 4114 SHA256: a69611c0f050e3f70bacaf4c3cba3202cba29f89f8b2751e2484291e310968c6 SHA1: bb552c44684eced413bc049c123d6dae499f0579 MD5sum: 0194a1114d32a88c939604ee5e1da0e0 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-syb-with-class-instances-text-dev_8_all.deb Package: libghc6-syb-with-class-instances-text-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-syb-with-class-instances-text-doc Size: 4116 SHA256: c47f222395f40d4465554833f837ed35a52639381c5b07560ae8e688ec9ece54 SHA1: a68d005a24dff23731b07eb72d4019a401890ccd MD5sum: bc68ad4ea527a1ed5d602c1a0cec10ee Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-syb-with-class-instances-text-doc_8_all.deb Package: libghc6-syb-with-class-instances-text-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-syb-with-class-instances-text-prof Size: 4118 SHA256: 444a853793d166123cd62d994e4f4ddff1c51461a49584ff0a27d81a22440bfc SHA1: cea11ad97c0a2413083531d85e78128966bda402 MD5sum: 3eb5a4796774a004504c4490a3fe3887 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-syb-with-class-instances-text-prof_8_all.deb Package: libghc6-syb-with-class-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-syb-with-class-prof Size: 4098 SHA256: 952dd93e652ddc66b93cabd7ea8d1a668e95aa04b7cfa30d3b3b011b2fe4bbdc SHA1: c809c1981235ef0e59dff533d8babeeab5ad7b4d MD5sum: a9122ee6be1de57c82be357a51080bde Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-syb-with-class-prof_8_all.deb Package: libghc6-tagsoup-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-tagsoup-dev Size: 4084 SHA256: 38f624f73132a88b3e40b222c4759d21e8bb508f60c620f1cf276876122994d8 SHA1: 35d3f9d61736ff60293e018af96f01aef7f7400c MD5sum: 69a6683748aa9391e7f5774dd1fa9c7e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-tagsoup-dev_8_all.deb Package: libghc6-tagsoup-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-tagsoup-doc Size: 4080 SHA256: db3a284aa648979a535bc5a6a309b32679e54c1b3433efa814704b3a0f47207a SHA1: 179eebb1085494308a2486ee8de31994891c3a87 MD5sum: 6913f2accd482403d212a2319dd28eb5 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-tagsoup-doc_8_all.deb Package: libghc6-tagsoup-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-tagsoup-prof Size: 4084 SHA256: 56520a198500bf442323327e59ea53781a17b5ce872dc754f29abcecff9353bd SHA1: c811649e83b4ac4fb39478eda81c85db5c662be6 MD5sum: 5ad7028fc197f2aaf65231b4469e0940 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-tagsoup-prof_8_all.deb Package: libghc6-tar-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-tar-dev Size: 4076 SHA256: 29ef20b32b0a4565ff56ea009385d09892aeb522e801171593d895d6ac1e59cd SHA1: a2ab38e83ebe7f535f4b78b6a70ff4e3267d5d4c MD5sum: 0500c7bf9cbdbab7dce7067814192efd Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-tar-dev_8_all.deb Package: libghc6-tar-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-tar-doc Size: 4072 SHA256: 92ee8dea426f2609b752b71b4fc9784fdc6d0e01d6d2e97ae8ee64f9221c3984 SHA1: 763e596ae8385f46be894bc73cbe17f28db40e50 MD5sum: 5ba8050b1b407e5fb9982cb01d73a385 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-tar-doc_8_all.deb Package: libghc6-tar-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-tar-prof Size: 4074 SHA256: e4e3ebe09073b2f46164c3b97b93aaa04bde22879dbac3b7cd680032e1ed7fe4 SHA1: 14081589bb3175d72c2418ab98ec9ec433354a30 MD5sum: b1c276083a3c562d8d6949680598ea97 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-tar-prof_8_all.deb Package: libghc6-terminfo-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-terminfo-dev Size: 4080 SHA256: d8a3b93f50eda56249e3ed2cf0e5a0f57c1667cf32dc97631bdb6d5a2a5e3353 SHA1: 1f8778ebda3f81005ff9d2b231d2bd4b5fa6d459 MD5sum: d87baf8dd42a135544943fb96997a268 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, uitoolkit::ncurses Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-terminfo-dev_8_all.deb Package: libghc6-terminfo-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-terminfo-doc Size: 4080 SHA256: d9963d563df9cb1c7c0b6bfa73e2e93ad44e1adf66c0e0f652578d737648c5fb SHA1: 17288a4fade065ecc7e9e85c5cd4a0ced21db78e MD5sum: 69d8ac79954c43afd6404f0ea23f3317 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-terminfo-doc_8_all.deb Package: libghc6-terminfo-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-terminfo-prof Size: 4084 SHA256: 0df5e7f7ddb4fb912a297c82911f778b480ca6dd785bcabf1191fcd02192c5ba SHA1: 20ed3d5fb02697b02ef6da5d7085b3adaf482d6d MD5sum: aae58261722658500612c965209d0856 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-terminfo-prof_8_all.deb Package: libghc6-testpack-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-testpack-dev Size: 4082 SHA256: 8d33ee67a048c33e5c897f4cd04bb28058553b614c4ff6d14a15430f418e6833 SHA1: 66a2bf0bb4063b1af81d05ae46232fb650fcfe9b MD5sum: 6bb14204e1799243b62824aa3cf30248 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-testpack-dev_8_all.deb Package: libghc6-testpack-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-testpack-doc Size: 4080 SHA256: 12080c53fe0170a59045c090c898d08649e9ad677b279b835ad30bebefe40460 SHA1: 69b9302a42f82b58a1328d17cb2ad655e8d95ca8 MD5sum: 7d2592c551bf3b683a63c2861942cbe2 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-testpack-doc_8_all.deb Package: libghc6-testpack-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-testpack-prof Size: 4082 SHA256: 999c76e5e1236188930a2b37a704908db6aff9d264f0dbfa0ff3aeb284b9a869 SHA1: ec3322cddb1775878aa334ee7fff748b798f4784 MD5sum: 78ef26e6ecfafc96abb255d9323f4352 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-testpack-prof_8_all.deb Package: libghc6-texmath-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-texmath-dev Size: 4082 SHA256: baae1bbf4bcc23d665fc5a6ea4cc321e17bf82967a8b433674d0e76198098b6c SHA1: c276f5ce1496908336230caed3a62e98634c4452 MD5sum: 270717163ee0dd03dc33b04a29695048 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-texmath-dev_8_all.deb Package: libghc6-texmath-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-texmath-doc Size: 4082 SHA256: 14453e1ea763bc424d123c60a62c2f65ca7d9e0dce6da8656dc975fd6609486d SHA1: 72042b9eda9b988b29c9e433ca62ae815a17ea3c MD5sum: cce5579b5b4b65f040fac411dad6506c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::examples, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-texmath-doc_8_all.deb Package: libghc6-texmath-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-texmath-prof Size: 4082 SHA256: c2423eacaa2e01a8954f7c18b3718078ed51551b320fb9406a60921d308f53f7 SHA1: 5420896d61cbb6b81b05b5b24930089f12834022 MD5sum: 603fd681b404fb3a1a467ff405ab83da Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-texmath-prof_8_all.deb Package: libghc6-text-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-text-dev Size: 4074 SHA256: 8cce2930ea2b6c33c8a466093e11aa982c22e56a24718528b4b5d32293419672 SHA1: 739c3031e6f9858def3bc9a951ca717ee7ee3205 MD5sum: 7b84ed6a9c4f3b5d91997705406e7d20 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-text-dev_8_all.deb Package: libghc6-text-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-text-doc Size: 4074 SHA256: 279dc64942169a5ebbdce0f6daec59df4cc51bc5e16fbcd0445a75a14645950b SHA1: 30f49fd6ffb2f83e38d6594b310489c26fcff6af MD5sum: aafb49853e8be691e5d235ddb15b950b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-text-doc_8_all.deb Package: libghc6-text-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-text-prof Size: 4074 SHA256: d09128b3a51cddd84e764bc4e82df5db9fbbd4fa2219071ad1a699bdec783dc3 SHA1: 47cab6f4951dc3c000140c09f9c4df96c4af5656 MD5sum: def1c3bfa74afe9b0932b6556e5e0242 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-text-prof_8_all.deb Package: libghc6-tokyocabinet-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-tokyocabinet-dev Size: 4086 SHA256: 64ae384c0c82acd10e2e2c573d96eb7e2278d8a27eab6497fd4f8e1f83bf26a0 SHA1: 791a6f1d16c6321f75cfb020fb6413f855a21885 MD5sum: 1d194636aaa2384f5c8367ee1d7e1d9e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib, works-with::db Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-tokyocabinet-dev_8_all.deb Package: libghc6-tokyocabinet-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-tokyocabinet-doc Size: 4084 SHA256: f60dad1129fd84ccd0a0c697f50388d0f5dd68331db0dbdcefd2bd5484194e83 SHA1: d2f1e36d337ad817d8582b3bb49342fdac9782ef MD5sum: 66fbf1ffd08778aacc5a5fe69e918dac Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, devel::library, made-of::html, role::documentation, works-with::db Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-tokyocabinet-doc_8_all.deb Package: libghc6-tokyocabinet-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-tokyocabinet-prof Size: 4092 SHA256: b028b3da32d8293e0bddb432c8ddf11fe62a03a3aa5e83994b7fa00b374d3a61 SHA1: 97adc0c58ec64e9870bf55cf2e9efa8c2d48fce1 MD5sum: 68ed31d6d554d8aa49fbd6cf517c4adc Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::debug-symbols, role::devel-lib, role::shared-lib, works-with::db Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-tokyocabinet-prof_8_all.deb Package: libghc6-transformers-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-transformers-dev Size: 4084 SHA256: 2dbf31b78bd9a30549033705c7508b5972633a609dc920f6aaa2e4c129732580 SHA1: 8e01ce4badf1643f6be89bbe43074d0a6173492d MD5sum: 31bd13fd643367d278aee42b95f2e8b2 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-transformers-dev_8_all.deb Package: libghc6-transformers-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-transformers-doc Size: 4080 SHA256: cb515a5dee34c86949dfef4446fcfeda3381d52d6f2d191845c8c449c9b4ef20 SHA1: 36cba201af99fd47b0f0b5ac47d1ec5e04ea1862 MD5sum: f909445fffb20772221d7083133b7695 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-transformers-doc_8_all.deb Package: libghc6-transformers-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-transformers-prof Size: 4084 SHA256: 9ece8f65346d09ea27692a6c10b1197e1ce6f159e58895753dad1d40e4985d20 SHA1: 340f0b5eaf02d04c61f104b29f6421250ab954a0 MD5sum: 4b41d6a006f74d7fa3d8229d22466444 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-transformers-prof_8_all.deb Package: libghc6-type-level-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-type-level-dev Size: 4086 SHA256: 3f299c526d02b70752e6f6b70824177c14f02acf7e4c23e4d70509413d61e2d4 SHA1: 8cecbc8507ea270d0750e6a164b785840845c5b2 MD5sum: 5aa57cdd07fcd9f1b629202df2e0eb9a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-type-level-dev_8_all.deb Package: libghc6-type-level-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-type-level-doc Size: 4082 SHA256: db3fcb62131f7f24ce98b7710e249b5b0a56565041410254dc4be5493f7557d7 SHA1: aa8ae8dc0f6a968ca295989a1bd129043de9b697 MD5sum: 82b131efeb1f65c3eb3eb3e00b760fe1 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-type-level-doc_8_all.deb Package: libghc6-type-level-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-type-level-prof Size: 4086 SHA256: 14259d9688be26dbd74ed02eba00b405794bd1610d1b35d964ebcd8bf449bdf0 SHA1: 369556e2677bf5bf8d5d04a59769958e7265a30d MD5sum: 939da6fdf0654a09a2cdd98699e10ca8 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-type-level-prof_8_all.deb Package: libghc6-uniplate-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-uniplate-dev Size: 4082 SHA256: 351323656bd209a6f7f07c6e6029fcd8f45da577d450704453f5ce26f6a70af8 SHA1: 0fcee333f18c70ca94ff8e67402affe2ec912efe MD5sum: d4e49703c40e90186ebda2fdbb5e3a11 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-uniplate-dev_8_all.deb Package: libghc6-uniplate-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-uniplate-doc Size: 4080 SHA256: 84238292237c09bcfa0bd11ec7e9b3fae444408bbb34aa567ab0c7f759b21624 SHA1: ebb9971b203b5b477f4230f3a3b4284e262725cb MD5sum: cd8248b0098538608d2cb5bac04ee6f8 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-uniplate-doc_8_all.deb Package: libghc6-uniplate-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-uniplate-prof Size: 4084 SHA256: 4b5c629be5f5068160fb6beb01396b2dd05316361ff4d26c7191d643c558377c SHA1: 08150f238b982c9d3891ab3cfb0d54f91f6d4377 MD5sum: 060e806539017adf3d25d0ccb3b44ba9 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-uniplate-prof_8_all.deb Package: libghc6-unix-compat-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-unix-compat-dev Size: 4086 SHA256: c8dd4132d320c68a8b0c9e83f1d533b9567737a2ccb2e59f56dcbd24e82a7449 SHA1: 468be376727e4c27b40ecbeceb5a6540660f1456 MD5sum: c04cc6b767ea50153e59096f2c61525d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-unix-compat-dev_8_all.deb Package: libghc6-unix-compat-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-unix-compat-doc Size: 4086 SHA256: 4e1d2130b63ad3742f896814c07f5a007813be99d04b0aab795fb349b7878d73 SHA1: b8653de0dd6823ae2c6141d56863710a81171665 MD5sum: 5a5931f77a6db2fd7da369b47e08033b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-unix-compat-doc_8_all.deb Package: libghc6-unix-compat-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-unix-compat-prof Size: 4088 SHA256: 392066aa145089049e6388631328fd71369e99d24237d9fb19c31f6773e725e7 SHA1: 4e0a520102a04166ba1605c0a271afb363a1561f MD5sum: 397f6589bc2781a701bf9106ab0690d2 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-unix-compat-prof_8_all.deb Package: libghc6-unixutils-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-unixutils-dev Size: 4086 SHA256: c7e99568c0e8c2a212e17db5481167ad61ed8e34fb4f2a2daba6332a57fea35a SHA1: 94ce42e41683684d3864a8ca1ec6e419660b91e0 MD5sum: c7ce96ccf3e368b4ca786dbae991a0cc Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-unixutils-dev_8_all.deb Package: libghc6-unixutils-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-unixutils-doc Size: 4082 SHA256: 0f7c4e3689fb19e488734f33796ea7c8acfdae75c769c4a2b1a5ada769683a10 SHA1: b8da021e117d4bb112d348db6728f3419596f2e5 MD5sum: 653d4bd32f8bd7220e6bc4561163eea5 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-unixutils-doc_8_all.deb Package: libghc6-unixutils-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-unixutils-prof Size: 4088 SHA256: 07d9526b718beea22270e9e2f095511024aac450be00e1998b1658bf7a2397ce SHA1: 744791f8aa2f2832f0968a952b76b550fa4fc143 MD5sum: 28a2ed968f8731f47289a60cbb2468fb Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-unixutils-prof_8_all.deb Package: libghc6-url-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-url-dev Size: 4074 SHA256: edb94559fc2af3342c0a314677a2697d214bdebab0b0aa024f2a1e64b6314bed SHA1: 0c074da9a1a7bb47142224a15a283c4398b97137 MD5sum: 0662f8258d95d5dce7a0cc78614e228f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-url-dev_8_all.deb Package: libghc6-url-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-url-doc Size: 4070 SHA256: 353b03cf453c2890a2c85a2f98e8fb61f180a463c595e1e3af671e63b8a5af9e SHA1: 16f279f59d40ed7e21f4e2127948e9880dfa3365 MD5sum: 58436087b799a941d9c66a06038f2f5d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-url-doc_8_all.deb Package: libghc6-url-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-url-prof Size: 4078 SHA256: 5135ed80d26c535373d902471ea58b69f0c753f3d5febc4e092dea3ee037e068 SHA1: f13959f95cc7608008499b3459f5b0a569788d00 MD5sum: 14359d5d32f940ccf733c5d15b47d457 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-url-prof_8_all.deb Package: libghc6-utility-ht-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-utility-ht-dev Size: 4086 SHA256: a61e23175bae3ddaa8bf891e59393eff2fa8fe07830b913af86d5a22fec2c2d9 SHA1: 463f4c9c401a0e43c866858537560f8d9a6faa1a MD5sum: de1c5f3458e060f78f57f8351ebe0d0a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-utility-ht-dev_8_all.deb Package: libghc6-utility-ht-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-utility-ht-doc Size: 4084 SHA256: 207828346e08b96c31ced88ff8febf148b9dff0b4d0a76c9bd78e202f2670cb8 SHA1: a3c244a6201c492006163ae927c5f8a68da77b3d MD5sum: 4bbb0042a43568c3c12e644b2a8ccadf Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-utility-ht-doc_8_all.deb Package: libghc6-utility-ht-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-utility-ht-prof Size: 4082 SHA256: a15937a74c93b03bdee6572c0403ade6ffa54fcd0beec8f421b24d4873555b3f SHA1: 472948c2270dfcedeec1f32fd92be0b6c664667a MD5sum: 9ba3961b360f9d460ba03820b2cf8d62 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-utility-ht-prof_8_all.deb Package: libghc6-uulib-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-uulib-dev Size: 4076 SHA256: c0824d5f9384c4e61776b0a9370bbacd3912a09bcfbba2c3f914846d60e986f6 SHA1: dec0ee68d433d9171aceb2a3b86fb37d391f5776 MD5sum: eab0640d20108fd0a3f21ddb7af1eb1a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, devel::prettyprint, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-uulib-dev_8_all.deb Package: libghc6-uulib-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-uulib-doc Size: 4074 SHA256: b8fe880d0895e445ad7a6d231f4d432b1556b8d5e271bd8ae130d33cf1bc3a40 SHA1: d439a976893196df0cd2382ee9a749b54d1282bb MD5sum: 2859478c852e698e1929c2c5c413b903 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-uulib-doc_8_all.deb Package: libghc6-uulib-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-uulib-prof Size: 4078 SHA256: e7c20a445368790363872cc37584ed1d7cb17057c63a3290945e2977438048a2 SHA1: 1afb65fdb058cc77a95755f9d1cf5ac3cf50c2b3 MD5sum: f3347584f4666dd9fd5f1b7a151d5caf Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, devel::prettyprint, devel::profiler, implemented-in::haskell, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-uulib-prof_8_all.deb Package: libghc6-vector-algorithms-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-vector-algorithms-dev Size: 4098 SHA256: c748e043d59e003f90b54f1e9d7ffd73af0914a2ddb5f6f5cbd961633b6ec35d SHA1: 5ccf240feffedd6cfc0966f5bdf533737a66e6b9 MD5sum: db784f5444f8ca2666f61fe0eca202e9 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-vector-algorithms-dev_8_all.deb Package: libghc6-vector-algorithms-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-vector-algorithms-doc Size: 4092 SHA256: d9962c6a06dee7f96e2d96f674388f93f159a46258c3a590b643997f4c9bc07b SHA1: df1a435bbb7edbecb573b5aeb62d05fe0f561ac3 MD5sum: 7ced67952a22bc359bd384c127f0d785 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-vector-algorithms-doc_8_all.deb Package: libghc6-vector-algorithms-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-vector-algorithms-prof Size: 4100 SHA256: ad3660e19e5cd70a33c5766372819c078b041cacb7a8a131e4f235a21b54b70e SHA1: 103ba5a34fcdfaba217215f969bfc5aa8a2f7407 MD5sum: 6b55ecb771513e424b70effd197cf053 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-vector-algorithms-prof_8_all.deb Package: libghc6-vector-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-vector-dev Size: 4080 SHA256: a6d94f6446cd2717178fd3b9104bec31661aa41c2b6b4a353691f12d650fce54 SHA1: d54d80264d7b9fc4661354508433004b9d6e94ff MD5sum: fbbd1d7ef4cb8439d19a136d54cc9cf9 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-vector-dev_8_all.deb Package: libghc6-vector-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-vector-doc Size: 4078 SHA256: 0bfbddc3391963c4a818a496836916ff5271df062d24cc9fee2199310463f959 SHA1: e713e6f19c9dec957a02b276a0ee242ac15fc3ea MD5sum: cddc6b3e1778af8895c0f92423557617 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-vector-doc_8_all.deb Package: libghc6-vector-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-vector-prof Size: 4080 SHA256: 4e4bdbe32bce6753b3251ad68af78ce985db5573d7ffb4116b64807b5506be45 SHA1: fde405c17f769b7f838a00c71c03c13dd0081dfc MD5sum: ec5d034a7b9f19ff7c865e32a3df489f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-vector-prof_8_all.deb Package: libghc6-vte-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-vte-dev Size: 4076 SHA256: e0692dfbd4c3ead77aa092886f885fb2cb46af8940921bad34f515bce626d318 SHA1: 767c92a1840db384020b6d99ddcf39ddc6453914 MD5sum: d2bb773266a8a7e4fc9ff21f9e942424 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: uitoolkit::gtk Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-vte-dev_8_all.deb Package: libghc6-vte-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-vte-doc Size: 4076 SHA256: 5845a1acf7b50e12e2f1e7f9db8421d4e29b78693cbb7f06489de620f3e18386 SHA1: 9a2f4a5b03171ce2395776d455bd15dd6ef0e1ed MD5sum: 7c3a1d395c91819dc820e62c9a4fc2a3 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-vte-doc_8_all.deb Package: libghc6-vty-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-vty-dev Size: 4078 SHA256: 8d9d0e73289ce3d6cd65125b68497aa23a2aa0e429b9cb9517f53395682d7042 SHA1: b92bf14527dd79f54c53f09e32640cf20d4ef59d MD5sum: ac72fcfbcfb76addb34da8ad4d229e29 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library, uitoolkit::ncurses Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-vty-dev_8_all.deb Package: libghc6-vty-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-vty-doc Size: 4076 SHA256: 388618fd260a490aed1da91b660b5fb9d9d102019a806a4085a2d7e33c0a8e10 SHA1: 8da2e7f28fab5fac82153bad2ac063c4212f5fe7 MD5sum: 81b7911d9ca6f1a2c22e368f4a629d2b Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-vty-doc_8_all.deb Package: libghc6-vty-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-vty-prof Size: 4078 SHA256: d13e9a478ca5fd8c49972524faffbbdca29c149f5dd19f5f8eafef1b77cf2dfa SHA1: 7e0504cf889309b87c9f5d5850417003375c310f MD5sum: a954f46a35b8143199c74562c3bd432e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-vty-prof_8_all.deb Package: libghc6-webkit-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-webkit-dev Size: 4078 SHA256: 46d1decc7887f6a909771adacac80b978cc409b18b659dbc21594906906cbaf8 SHA1: 9b257e517ebe412beca918a57ce0610d23a0b8b7 MD5sum: 73fb96b4c8587c14bfeb9692c474cd21 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: uitoolkit::gtk Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-webkit-dev_8_all.deb Package: libghc6-webkit-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-webkit-doc Size: 4076 SHA256: ea1c8451278db5645536e29ee566709613943f5fe5f9cad6249a071eb4901986 SHA1: 49c2afa6b88405c37d123cfec82fe8fd2612f131 MD5sum: 36d33705e43981940650cde7521d933a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-webkit-doc_8_all.deb Package: libghc6-x11-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-x11-dev Size: 4074 SHA256: 832f7fb5c0809a912efb6183be802f62793e65bdad70d3e0117799192300f37a SHA1: 4671dab66bc7a2975434129e49b8f926711f7044 MD5sum: 9462a79f4d02574b7a6407df7c6926bd Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, implemented-in::haskell, interface::x11, role::devel-lib, uitoolkit::xlib, x11::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-x11-dev_8_all.deb Package: libghc6-x11-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-x11-doc Size: 4076 SHA256: 6a2d121d59669083f3ec3e9441e38b7dcb08b71602bdc7bdf41bee90e6b5a3e1 SHA1: e381e17623c22fd43c3bc964633a11ce5f32a9dd MD5sum: 4eeaa79b41667513cdb90fa2b824d0c5 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-x11-doc_8_all.deb Package: libghc6-x11-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-x11-prof Size: 4076 SHA256: bc1c4d0ac38d5a00c7ba87befdbf8692ab2e836fd99de30d4fbdeeea0f142723 SHA1: 7be75c9c88e4488a292551b429f497ffa25449cb MD5sum: 0cc0cabb351aede08c1254d5a3801fd0 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-x11-prof_8_all.deb Package: libghc6-x11-xft-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-x11-xft-dev Size: 4084 SHA256: 80cbfd0a01505ff18eb09bfcbc70e8a74d49c386ef3860a7876d24b5f2045ab0 SHA1: 35f077ebd3ba5af84b436e252ee6f07873410a5d MD5sum: cee288aa36babb6094b82dbdf3736f9f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, role::devel-lib, role::dummy Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-x11-xft-dev_8_all.deb Package: libghc6-x11-xft-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-x11-xft-doc Size: 4080 SHA256: 214f7d7219bd509aba032c4d0a5e0bd6d009eb0fb4c8c79d01c532f04050bfad SHA1: 1a6caaa783f19265b1ce80ca715ad44feb71655b MD5sum: 7c5af60a9820504046d608f8c6cdf40d Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-x11-xft-doc_8_all.deb Package: libghc6-x11-xft-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-x11-xft-prof Size: 4084 SHA256: fa58709ed4b3edc6c6ac0dae223091080c2c6e67adca472b371f4c30e6bebf32 SHA1: bce791bd6e2d39d278f505960c6aa1f3013506ee MD5sum: bbd487966583756b82eb5d2da58f292e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-x11-xft-prof_8_all.deb Package: libghc6-xhtml-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-xhtml-dev Size: 4074 SHA256: 5a7c3cc2adb0d758cb621413a4df3b4c7e876e7ff213408c0afa245ab97cf5e9 SHA1: c0a137eebba8d2cce30ce5e3bc87272ec427720e MD5sum: 04b52ccb7928ce94e1101ab14bda8fb0 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, role::devel-lib, role::dummy, works-with-format::html Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-xhtml-dev_8_all.deb Package: libghc6-xhtml-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-xhtml-doc Size: 4074 SHA256: dae566365d3d0420b82aa78eef6122791e74c1c75410952beab4a2b4e6163cf5 SHA1: 72306ab46d7f15d89a9f6b82533e069738c17888 MD5sum: 65d9140e009974bec029d2d95edd553e Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-xhtml-doc_8_all.deb Package: libghc6-xhtml-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-xhtml-prof Size: 4078 SHA256: dfaa486b93651e640dd52eaf8e1c9b329d295aaeba74ebb1ee1ddb325cec9c26 SHA1: 2d72cc63e3ca55b6437a91eb576c49f1b8f32e68 MD5sum: bde6c505883f08296343cd0d2fb8327c Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-xhtml-prof_8_all.deb Package: libghc6-xml-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-xml-dev Size: 4072 SHA256: d481d298e1ed35b309748a6b4e211dcda5eb9e90ade7be7c4facfc82421a2c17 SHA1: 4b716c85b900cf957182099f19e3eaa694a58001 MD5sum: 73304c532b15f431b274b683b46b85a0 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-xml-dev_8_all.deb Package: libghc6-xml-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-xml-doc Size: 4070 SHA256: 3f5f421317968f8bf703e2985d100ce8d70a531335804465f6c9f524de61bd80 SHA1: 28215f58955d6d0ba2bc887575094652e25832be MD5sum: 0d76a548fa6cabefd7f0f21eaba6270a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-xml-doc_8_all.deb Package: libghc6-xml-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-xml-prof Size: 4074 SHA256: c835c164633fe3676075c2dabfdb6cf69f4955465b7569b2a466214378bb2c12 SHA1: 4a2554801763bbbdbf17bae340141a39b9313c5e MD5sum: 491203c5dd62870545ffd557c4d2a2b3 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-xml-prof_8_all.deb Package: libghc6-xmonad-contrib-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-xmonad-contrib-dev Size: 4090 SHA256: 2dd0e154f6d36b0947f0a51fff27bfa722e816792d674c94fd4675297e45e085 SHA1: 88d6e9c4ad96f21e76904800d7ae3f428b667e08 MD5sum: 57da673a2e0c7081044365e59b86975f Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-xmonad-contrib-dev_8_all.deb Package: libghc6-xmonad-contrib-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-xmonad-contrib-doc Size: 4088 SHA256: fce8eb1512b63852abbead39e4893944570718cd834dae36a823c6d12cd3f86f SHA1: 1b82b8f1df283fab7cb0c23bc6d838d4d60134b4 MD5sum: 870e9e009e635ee54994ccc8c4ae2d78 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::examples, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-xmonad-contrib-doc_8_all.deb Package: libghc6-xmonad-contrib-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-xmonad-contrib-prof Size: 4090 SHA256: d71db33e39d7e814ba7029cf3ec58e18c274fc5f87ff0f7188e55c044a39e82d SHA1: 21e29277d615541edeac59fdea46511fcda95fcc MD5sum: e2fb4f411a6191afb32ea4c43d743945 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-xmonad-contrib-prof_8_all.deb Package: libghc6-xmonad-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-xmonad-dev Size: 4076 SHA256: 26cf6d9d7c78997fba18e21102257d82650198b4fbc3f33f47ffe1dc56176432 SHA1: ebfb4255e0ab236961fd242a7b05b29d347ee3fc MD5sum: a3f88a1e9e16fa3aec6038e4e9682723 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::lang:haskell, devel::library, role::devel-lib Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-xmonad-dev_8_all.deb Package: libghc6-xmonad-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-xmonad-doc Size: 4078 SHA256: c7a60040d535474659b6ba4950aeab76d4f2ed8511e1241a84c67cf71a812c73 SHA1: 37f52d0c8af12641fdc3298fe1f9c4376b1bdd73 MD5sum: 11dbd561bace955df7bee03ab5d73217 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::examples, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-xmonad-doc_8_all.deb Package: libghc6-xmonad-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-xmonad-prof Size: 4082 SHA256: 4079136618f9c1ead965aadcf7b04f4a7a43c0797a133e7069d467ff062d25d3 SHA1: 3463f8291d16950bc4c1ba27c8e26bda4464582d MD5sum: 3f6c4c33f39c2fc428e2e78a4ae0beb7 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-xmonad-prof_8_all.deb Package: libghc6-zip-archive-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-zip-archive-dev Size: 4088 SHA256: 5f2431eaf265478984d56f6926aa65adcfc3a6ac64f2a4218252e37ac65e871b SHA1: e70f844d10b4a07f6787887bb1f80dc18b59ebf0 MD5sum: 09d89f6e7bb37c500e7cbe40ac11a6fc Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-zip-archive-dev_8_all.deb Package: libghc6-zip-archive-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-zip-archive-doc Size: 4084 SHA256: d90865580d2ba5e63c71842f6ea5af07aa50e8b34ce7cd7e7e003dde94c899fb SHA1: 12dca13055d866439e80f1250b3b6e5a8bfcf67c MD5sum: 8883c6a62e746a3696a9b6a2ea87db9a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-zip-archive-doc_8_all.deb Package: libghc6-zip-archive-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-zip-archive-prof Size: 4088 SHA256: d918d85b578d70d0c01a41f9e87477b44a35c8e30a8224fbddac8b493a0d867b SHA1: a5bd329cc811516e52aa1a7e489397683b7e49e8 MD5sum: 3e6d6fdf722b6ce8591ccb28e01b38b8 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-zip-archive-prof_8_all.deb Package: libghc6-zlib-dev Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-zlib-dev Size: 4078 SHA256: 2800642eb0a673daf2027ceff252c1d5e9bb40d34016e83d0b11753b9960a890 SHA1: 56455eda8b6a025b19d6b83a0c06a378c82d5c46 MD5sum: bbdb5f1f508bab491cefef3004186612 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-zlib-dev_8_all.deb Package: libghc6-zlib-doc Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-zlib-doc Size: 4072 SHA256: 8e817d9d148f756a14fcde8ac5e2474cb6b9ce1c15ffce9b213e13e0f587a4d1 SHA1: b61dcf13611df75de89b02328d885f1b805c52de MD5sum: e4e8b227455ffefc78120bb9930fab61 Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::doc, devel::lang:haskell, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-zlib-doc_8_all.deb Package: libghc6-zlib-prof Source: haskell-dummy Version: 1:8 Installed-Size: 30 Maintainer: Debian Haskell Group Architecture: all Depends: libghc-zlib-prof Size: 4076 SHA256: 4104ca52afafc1859a11207e62d07af96fe25d4ec5a4f2c869b4c500cb792271 SHA1: 18ba82f122b7e0982108056e530fd1f2111d807a MD5sum: 324432939d4af35763496f78b4f2392a Description: transitional dummy package This is a transitional dummy package. It can safely be removed. Tag: devel::library Section: haskell Priority: extra Filename: pool/main/h/haskell-dummy/libghc6-zlib-prof_8_all.deb Package: libghemical-data Source: libghemical Version: 3.0.0-2 Installed-Size: 861 Maintainer: Debichem Team Architecture: all Size: 166704 SHA256: 426269f7dda3a8288ca372567d7320cb96f01769ac44f798b8a208c29006b16f SHA1: a6880f284c55930b7fe5622d0840615df43f593d MD5sum: bb7b6aea7442ca3ff5304136379f8dce Description: Molecular Modelling Library (data files) Libghemical is the basis of Ghemical, a GNOME Molecular Modelling Application. . This package contains the parameter files for libghemicals semi-empirical methods. Homepage: http://bioinformatics.org/ghemical/ghemical/ Tag: field::chemistry, role::app-data Section: science Priority: optional Filename: pool/main/libg/libghemical/libghemical-data_3.0.0-2_all.deb Package: libghemical-dev Source: libghemical Version: 3.0.0-2 Architecture: armhf Maintainer: Debichem Team Installed-Size: 1840 Depends: libghemical5 (= 3.0.0-2), libmopac7-dev Homepage: http://bioinformatics.org/ghemical/ghemical/ Priority: optional Section: libdevel Filename: pool/main/libg/libghemical/libghemical-dev_3.0.0-2_armhf.deb Size: 618930 SHA256: 1fb4de10fee23c74f827ac93c96a880df48bd6d132b1cb48539d66a619374e2c SHA1: a9b436eac8eba58e2fcca28f1992fcce69013c3c MD5sum: 4c0944d74f462a00a29d58bbc05c04ce Description: Molecular Modelling Library (development files) Libghemical is the basis of Ghemical, a GNOME Molecular Modelling Application. . This package includes the static library and the header files. Package: libghemical5 Source: libghemical Version: 3.0.0-2 Architecture: armhf Maintainer: Debichem Team Installed-Size: 989 Depends: libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libmopac7-1gf, libsc7, libstdc++6 (>= 4.6), libghemical-data (= 3.0.0-2) Homepage: http://bioinformatics.org/ghemical/ghemical/ Priority: optional Section: libs Filename: pool/main/libg/libghemical/libghemical5_3.0.0-2_armhf.deb Size: 454588 SHA256: 4a523f6cb42fbfd0aa469b22d58756d8e1499d3de7e83b9bc12bd87ec806473c SHA1: 93506181e0f74badff6df021abe86cf00b8a9bef MD5sum: c68ce3e8710f4f60eb1e6603394d2de2 Description: Molecular Modelling Library Libghemical is the basis of Ghemical, a GNOME Molecular Modelling Application. . This package includes the dynamically linked library. Package: libgif-dev Source: giflib Version: 4.1.6-10+deb7u1 Architecture: armhf Maintainer: Thibaut Gridel Installed-Size: 75 Depends: libgif4 (= 4.1.6-10+deb7u1) Provides: libungif4-dev Homepage: http://giflib.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/g/giflib/libgif-dev_4.1.6-10+deb7u1_armhf.deb Size: 43426 SHA256: afcc1c53da46ffa03c604c36bd379dc4e6bf8ef558fbd6df092dabb22d8a3401 SHA1: bc2fc932da508223a0074dd64a2b1b2a459c310e MD5sum: 4ecacb31b32feb8d6c850030139f0ee6 Description: library for GIF images (development) GIFLIB is a package of portable tools and library routines for working with GIF images. . This package contains the development files. Package: libgif4 Source: giflib Version: 4.1.6-10+deb7u1 Architecture: armhf Maintainer: Thibaut Gridel Installed-Size: 57 Depends: libc6 (>= 2.13-28) Provides: libungif4g Homepage: http://giflib.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/g/giflib/libgif4_4.1.6-10+deb7u1_armhf.deb Size: 40618 SHA256: f47b08147b768a41675f8c3e5a9f0bee35301d408b725f4f2643563c96d73f82 SHA1: b6a99d2ec2da06c901a7778c505e00c43b439528 MD5sum: 3f78de14b87ccfbe3a361eb859b2116a Description: library for GIF images (library) GIFLIB is a package of portable tools and library routines for working with GIF images. . This package contains the library. Package: libgiftiio-dev Source: gifticlib Version: 1.0.9-1 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 226 Depends: libgiftiio0 (= 1.0.9-1) Homepage: http://www.nitrc.org/projects/gifti Priority: optional Section: libdevel Filename: pool/main/g/gifticlib/libgiftiio-dev_1.0.9-1_armhf.deb Size: 61294 SHA256: 19937a5a8856bdef993807ecf0cd7e073306bd3ea9bc4012b57d6fc03e4034ab SHA1: 39175538d4495bd41dc5a7addb96df3b47eb3af0 MD5sum: 146efee8455b20e11e2663a6e14b7220 Description: IO library for the GIFTI cortical surface data format GIFTI is an XML-based file format for cortical surface data. This reference IO implementation is developed by the Neuroimaging Informatics Technology Initiative (NIfTI). . This package provides the header files and static library. Package: libgiftiio0 Source: gifticlib Version: 1.0.9-1 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 190 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libnifti2, zlib1g (>= 1:1.1.4) Homepage: http://www.nitrc.org/projects/gifti Priority: optional Section: libs Filename: pool/main/g/gifticlib/libgiftiio0_1.0.9-1_armhf.deb Size: 57790 SHA256: 7ed0d6bc14894145695a598f3f90a5e18da795e53f9153e4938ea806abd57f23 SHA1: 4c284cae25aa557adeb2b8e5d21cd30fcf9bb8a9 MD5sum: e29c8dfbba7d06f9e603063eb75966c5 Description: IO library for the GIFTI cortical surface data format GIFTI is an XML-based file format for cortical surface data. This reference IO implementation is developed by the Neuroimaging Informatics Technology Initiative (NIfTI). . This package contains the shared library. Package: libgig-dev Source: libgig Version: 3.3.0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 153 Depends: libgig6 (= 3.3.0-2) Homepage: http://www.linuxsampler.org/libgig/ Priority: optional Section: libdevel Filename: pool/main/libg/libgig/libgig-dev_3.3.0-2_armhf.deb Size: 38688 SHA256: 463337f573160116f3889d890b0be83b483422c3858f81134e0ac75ddec0c834 SHA1: 8162e0f2867c8e3972dd8996a8568f749fbc0d6b MD5sum: 412c2dde77e7cbb066a2e99ab188f1ed Description: development files for libgig libgig is a C++ library for loading, modifying and creating Gigasampler files and DLS (Downloadable Sounds) Level 1/2 files. . This package contains the header files needed for development with libgig. You will need this only if you intend to compile programs that use this library. Package: libgig-doc Source: libgig Version: 3.3.0-2 Installed-Size: 4904 Maintainer: Debian Multimedia Maintainers Architecture: all Replaces: libgig-dev (<< 3.3.0-1) Breaks: libgig-dev (<< 3.3.0-1) Size: 626838 SHA256: 47d98b143334b7e5e8a1a00bb0c2c20a33290928e46dce235e9eab18662110a4 SHA1: 434ad1f147a52b5add7bba1371abd5c641523e90 MD5sum: 087719a668c3d29fd87bcc58b61c73c5 Description: HTML documentation for libgig libgig is a C++ library for loading, modifying and creating Gigasampler files and DLS (Downloadable Sounds) Level 1/2 files. . This package contains exhaustive HTML documentation for the development with libgig. Homepage: http://www.linuxsampler.org/libgig/ Tag: devel::doc, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libgig/libgig-doc_3.3.0-2_all.deb Package: libgig6 Source: libgig Version: 3.3.0-2 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 187 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libuuid1 (>= 2.16) Conflicts: libgig3c2 Replaces: libgig3c2 Homepage: http://www.linuxsampler.org/libgig/ Priority: optional Section: libs Filename: pool/main/libg/libgig/libgig6_3.3.0-2_armhf.deb Size: 79644 SHA256: f1c772695cececfd3c1d2a379f718aa57cc3445397542b292fbea2d1c31ae79a SHA1: 99941de4da74e2ebde15e048c63b7407ead40c5b MD5sum: 61a14f13c0c55b1e3adef525662d1242 Description: library for loading and modifying Gigasampler and DLS files libgig is a C++ library for loading, modifying and creating Gigasampler files and DLS (Downloadable Sounds) Level 1/2 files. . This package contains the shared library files. Package: libgii1 Source: libgii Version: 1:1.0.2-4.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 529 Depends: libc6 (>= 2.7), libx11-6 Recommends: libgii1-target-x (= 1:1.0.2-4.1) Conflicts: libgg0, libggi1, libgii0 Replaces: libgg0, libggi1 (<< 981030) Provides: libgg0 Homepage: http://www.ggi-project.org/ Priority: optional Section: libs Filename: pool/main/libg/libgii/libgii1_1.0.2-4.1_armhf.deb Size: 279200 SHA256: 8a7e7eed819f0be52400ad3ff0340b09d213fbe26db65dde71677b05ebc36571 SHA1: d4284131bf124711878dcdc80740cd1995e717c5 MD5sum: a20989b72b4b2574083c8433667dc759 Description: General Input Interface runtime libraries "General Graphics Interface" - a fast, portable graphics environment. . This package contains the shared libraries for LibGII, the input library developed by the GGI project. Also included is LibGG, the library containing GGI's configuration and target option parsing code. . Install libgii-target packages for specific input modules! . This package contains input modules for these devices: . null - Dummy input device which never generates any input stdin - Characters from standard input file - Input saved by the "save" input filter tcp - Input from a socket linux_kbd - Raw Linux keyboard devices linux_mouse - Linux mouse devices linux_joy - Linux joystick devices linux_evdev - New style HIDs mouse - Mice of all sorts: Microsoft, MouseSystems, Logitech, Sun, - MouseMan, MMSeries, BusMouse and PS2 spaceorb - SpaceOrb 3D input device . Usually, it is not necessary to specify which of these input devices you want to use; LibGGI normally finds an appropriate input device. . These input filter modules are also included: . mouse - Generic mouse event generator save - Save away an event stream for later playback keytrans - Generic key event translator tcp - Send input to a tcp socket Package: libgii1-dev Source: libgii Version: 1:1.0.2-4.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 534 Depends: libc6 (>= 2.4), libgii1 (= 1:1.0.2-4.1), libx11-6 Conflicts: libgg-dev, libggi1, libgii-dev, libgii0 (<< 1:0.8.1), libgii0-dev Replaces: libgg-dev, libgg0-dev, libgii0 (<< 1:0.8.1) Provides: libgg-dev, libgg0-dev, libgii-dev, libgii0-dev Homepage: http://www.ggi-project.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libgii/libgii1-dev_1.0.2-4.1_armhf.deb Size: 196154 SHA256: 24c7b1f52c8a70ccba951a010ee10f110b7988c87ed4a32a6c43793f72769c53 SHA1: 4bd82f98a619b6af02585a8c6713d9c692f188aa MD5sum: 79cd5488c3a27f3d19ed68f5585596ec Description: General Input Interface development package "General Graphics Interface" - a fast, portable graphics environment. . This package contains the development environment for LibGII, the GGI project's General Input Interface, and LibGG, the library containing GGI's configuration and target option parsing code. . Two useful demo programs are also included: mhub - Translate LibGGI events to mouse events xsendbut - Translate mhub to X button events Package: libgii1-target-x Source: libgii Version: 1:1.0.2-4.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 132 Depends: libc6 (>= 2.4), libgii1, libx11-6 Conflicts: libgii0 (<< 1:0.8.1+rc5), libgii0-target-x Replaces: libgii0 (<< 1:0.8.1+rc5) Homepage: http://www.ggi-project.org/ Priority: optional Section: libs Filename: pool/main/libg/libgii/libgii1-target-x_1.0.2-4.1_armhf.deb Size: 83896 SHA256: 4a963082ee560cfe1275c5595b1d6ffb9fc4ff0c84000d4bdd41e76012cb4aa7 SHA1: 271c9df64cdced82421ab31f309817fc80e30be9 MD5sum: 614fa4841b007380d54ecd9388f82194 Description: General Input Interface X input target "General Graphics Interface" - a fast, portable graphics environment. . This package contains input modules that make input sources available for use with LibGII, the input library developed by the GGI project. . Input modules are included for these devices: . x - Keyboard and mouse input from a newly created X window xwin - Keyboard, mouse and expose input from an existing X window . Usually, it is not necessary to specify which of these input devices you want to use; LibGGI normally finds an appropriate input device. Package: libgiigic1 Source: libgiigic Version: 1.1.2-2.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 98 Depends: libc6 (>= 2.13-28), libgii1, libggi2 (>= 1:2.2.1-4) Homepage: http://www.ggi-project.org/ Priority: optional Section: libs Filename: pool/main/libg/libgiigic/libgiigic1_1.1.2-2.1_armhf.deb Size: 37446 SHA256: 7c530d85369f69ab0e126fbce0cf46d0c14a45c265bfb921df04edc5b240746e SHA1: 25c752b73dc97592dbcd7bddd0aa8bbfd2da93e1 MD5sum: 77269fd161891406631d3c1182d7782b Description: ggi library on top of libgii The goal of LibGIIGIC is to provide a single easy to use, but yet powerful API for (re)binding any kind of action to all possible input devices through LibGII at runtime. . You likely know this feature from modern computer games, where you can say which key (= input source) is used to shoot (= action) with your shotgun. Package: libgiigic1-dev Source: libgiigic Version: 1.1.2-2.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 234 Depends: libc6 (>= 2.13-28), libgii1, libgiigic1 (= 1.1.2-2.1), libggi2-dev (>= 1:2.2.1-4) Homepage: http://www.ggi-project.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libgiigic/libgiigic1-dev_1.1.2-2.1_armhf.deb Size: 82510 SHA256: a4dc2442f6391902df794083e8b31e3e8e7f4ed32461c9bbe84f237e6c1ec0d7 SHA1: a2e70e8643a5761c5e5d8037c4f420ab2c4e08ac MD5sum: 7caf103e4cf45a8bcb0dd052d18c9ebc Description: development package for libgiigic The goal of LibGIIGIC is to provide a single easy to use, but yet powerful API for (re)binding any kind of action to all possible input devices through LibGII at runtime. . You likely know this feature from modern computer games, where you can say which key (= input source) is used to shoot (= action) with your shotgun. Package: libgimp2.0 Source: gimp Version: 2.8.2-2+deb7u3 Architecture: armhf Maintainer: Ari Pollak Installed-Size: 4829 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.10.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.2), libgtk2.0-0 (>= 2.24.10), libpango1.0-0 (>= 1.29.4) Recommends: gimp-data (>= 2.8.2), gimp-data (<= 2.8.2-z) Homepage: http://www.gimp.org/ Priority: optional Section: libs Filename: pool/main/g/gimp/libgimp2.0_2.8.2-2+deb7u3_armhf.deb Size: 1482502 SHA256: ee284e72bc706e5ba6294c50a49e3eca72044e30805c0da23f219c463024aad0 SHA1: 8b0ff5ad9897680876db25f1656491c54847a60a MD5sum: dad97a99e18803f8fbeba58ad7d4c505 Description: Libraries for the GNU Image Manipulation Program This package includes the libgimp libraries, which are necessary to run GIMP and third-party GIMP plugins. Package: libgimp2.0-dev Source: gimp Version: 2.8.2-2+deb7u3 Architecture: armhf Maintainer: Ari Pollak Installed-Size: 1652 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.10.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.2), libgtk2.0-0 (>= 2.24.10), libpango1.0-0 (>= 1.29.4), libgimp2.0 (= 2.8.2-2+deb7u3), libgtk2.0-dev (>= 2.12.5), pkg-config Suggests: libgimp2.0-doc Homepage: http://www.gimp.org/ Priority: optional Section: libdevel Filename: pool/main/g/gimp/libgimp2.0-dev_2.8.2-2+deb7u3_armhf.deb Size: 886066 SHA256: b65b1a6a30d21e4e06e92087419ef4e3c90b5a36e295886080317d05b6cb2c8a SHA1: c1309c85dcddddf5270167a047145ff923735142 MD5sum: 38dd6781a98914766ae2675124a65bdb Description: Headers and other files for compiling plugins for GIMP This package contains the header files for the GNU Image Manipulation Program, along with the static versions of libgimp. It also includes the gimptool-2.0 utility. . Install this package if you wish to compile your own plugins, or if you wish to develop packages that use libgimp. Package: libgimp2.0-doc Source: gimp Version: 2.8.2-2+deb7u3 Installed-Size: 7022 Maintainer: Ari Pollak Architecture: all Depends: lynx | www-browser Size: 1144620 SHA256: e87e725ed951713162c097756ce6794613533fb6d2b26fa40c0d6586446a2707 SHA1: 65aae2b5bb7a66ac9c79b6f66d68bb7a2e4759ce MD5sum: b3e8c1fa161d940a977ddaf5eb8ca0ed Description: Developers' Documentation for the GIMP library This package contains the HTML documentation for the GIMP library in /usr/share/gtk-doc/html/ . Homepage: http://www.gimp.org/ Section: doc Priority: optional Filename: pool/main/g/gimp/libgimp2.0-doc_2.8.2-2+deb7u3_all.deb Package: libginac-dev Source: ginac Version: 1.6.2-1 Architecture: armhf Maintainer: Richard Kreckel Installed-Size: 6991 Depends: libginac2 (= 1.6.2-1), dpkg (>= 1.15.4) | install-info, libc6-dev, g++, libcln-dev Recommends: info | info-browser, libginac2-dbg (= 1.6.2-1) Suggests: ginac-tools Homepage: http://www.ginac.de/ Priority: optional Section: libdevel Filename: pool/main/g/ginac/libginac-dev_1.6.2-1_armhf.deb Size: 2033836 SHA256: c54a1da1bfbc9b4b1f7e636bf6e589b3e212aa1f3089804fbe68b4886fd33950 SHA1: 5109d3ad1a762ad783d9b628169d0b9fb143c026 MD5sum: 9dad55a350e350808960b919c3740621 Description: GiNaC symbolic framework development files GiNaC (which stands for "GiNaC is Not a CAS (Computer Algebra System)") is a library for doing symbolic (i.e. non-numeric) computation directly in the C++ programming language. . This package provides header files, a static library plus a tutorial as info file. Package: libginac2 Source: ginac Version: 1.6.2-1 Architecture: armhf Maintainer: Richard Kreckel Installed-Size: 2339 Depends: libc6 (>= 2.4), libcln6, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Suggests: ginac-tools Homepage: http://www.ginac.de/ Priority: optional Section: libs Filename: pool/main/g/ginac/libginac2_1.6.2-1_armhf.deb Size: 1025020 SHA256: fca0fe0a9aa967742fa0b9a0b79e635de2bb4ded23a8ebac7b94a70367a470ad SHA1: 1c9736e5f1d789e3a55db861ecf9edd3b9ade094 MD5sum: 96eef1b191bbc4fd4624f69666e1a73b Description: GiNaC symbolic framework runtime library GiNaC (which stands for "GiNaC is Not a CAS (Computer Algebra System)") is a library for doing symbolic (i.e. non-numeric) computation directly in the C++ programming language. . This package provides the runtime library. Package: libginac2-dbg Source: ginac Version: 1.6.2-1 Architecture: armhf Maintainer: Richard Kreckel Installed-Size: 23788 Depends: libginac2 (= 1.6.2-1) Recommends: gdb (>= 6.3) Homepage: http://www.ginac.de/ Priority: extra Section: debug Filename: pool/main/g/ginac/libginac2-dbg_1.6.2-1_armhf.deb Size: 7790220 SHA256: 4f9d0a78671e9f079fbf3fb653cd15514d0e26377991c1e9b34e945c325b958a SHA1: bb10ce452fb7db100d1e83e3abf52386697febb3 MD5sum: 60a40a0b5f112f4dc683bd8eb9e981e5 Description: GiNaC symbolic framework debugging symbols GiNaC (which stands for "GiNaC is Not a CAS (Computer Algebra System)") is a library for doing symbolic (i.e. non-numeric) computation directly in the C++ programming language. . This package provides an unstripped shared library with debugging symbols. The library is installed in /usr/lib/debug and will be automatically used by gdb while debugging programs using the GiNaC library. Most people will not need this package. Package: libginspx-dev Source: ginspector Version: 20050529-3.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 545 Depends: libginspx0 (= 20050529-3.1) Homepage: http://sourceforge.net/projects/g-inspector/ Priority: extra Section: libdevel Filename: pool/main/g/ginspector/libginspx-dev_20050529-3.1_armhf.deb Size: 95494 SHA256: 521512678b469868b333e8067af0ecc39d052d18679b71fe6c1c6c937647e22c SHA1: 124072906742382586681cb359f6b1db5f7870f7 MD5sum: 99212689287bfbf2d8e74277b553cea9 Description: Development headers of GTK+ object/class inspector G-Inspector invokes a GTK+ application and inspects its objects. It shows widgets hierarchy, properties of widgets, etc. It can blink a specific widget instance so that you can identify it. It can handle Glib objects too. Package: libginspx0 Source: ginspector Version: 20050529-3.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 188 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libglrr-glib0, libglrr-gobject0, libglrr-gtk0, libglrr-widgets0, libgtk2.0-0 (>= 2.10.1-1~), libpango1.0-0 (>= 1.14.0) Homepage: http://sourceforge.net/projects/g-inspector/ Priority: extra Section: libs Filename: pool/main/g/ginspector/libginspx0_20050529-3.1_armhf.deb Size: 56088 SHA256: 567c79f9549299e76f7340f4cbf9250044e47cfd0171bb084991b44341c3680a SHA1: c50b3686d6a9652b66a5f40e0cc890d675e85723 MD5sum: 19499acf0062047c21659e39d13739c2 Description: Shared library of GTK+ object/class inspector G-Inspector invokes a GTK+ application and inspects its objects. It shows widgets hierarchy, properties of widgets, etc. It can blink a specific widget instance so that you can identify it. It can handle Glib objects too. Package: libgio-cil Source: gio-sharp Version: 2.22.3-2 Installed-Size: 222 Maintainer: Debian CLI Libraries Team Architecture: all Depends: libglib2.0-0 (>= 2.30.2), libglib2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.1) Conflicts: libgio2.22-cil (<< 2.22) Size: 68698 SHA256: 1d7b6d562bbbc9781b7b40b2ea6e56fe974e06365fd54c54bd6d13b966265071 SHA1: 44401db9109eac7c5792f4559e11599d94cdb808 MD5sum: f2f29e7cd60380499ec29796f468dfb3 Description: CLI binding for the GIO I/O stack 2.22 This package provides the gio-sharp assembly that allows CLI (.NET) programs to use the GIO I/O stack version 2.22. . This package contains the gio-sharp assembly. Homepage: http://gitorious.org/gio-sharp Tag: devel::ecma-cli, devel::library, role::shared-lib Section: cli-mono Priority: optional Filename: pool/main/g/gio-sharp/libgio-cil_2.22.3-2_all.deb Package: libgio2.0-cil-dev Source: gio-sharp Version: 2.22.3-2 Installed-Size: 283 Maintainer: Debian CLI Libraries Team Architecture: all Depends: libgio-cil (= 2.22.3-2) Size: 23960 SHA256: d99281d8642a36b470e5ea8c95dc73c222a44ab340214a0861205e7e39190def SHA1: 0f14310cfea06d4f7a19cdd8f795a8c60a3deb19 MD5sum: f801fca760f5b93c96c7b7d3acd154af Description: CLI binding for the GIO I/O stack 2.22 This package provides the gio-sharp assembly that allows CLI (.NET) programs to use the GIO I/O stack 2.22. . This package contains development files for the gio-sharp library, and should be used for compilation. Homepage: http://gitorious.org/gio-sharp Tag: devel::ecma-cli, devel::library, role::devel-lib Section: cli-mono Priority: optional Filename: pool/main/g/gio-sharp/libgio2.0-cil-dev_2.22.3-2_all.deb Package: libgirara-dbg Source: girara Version: 0.1.2-3 Architecture: armhf Maintainer: Sebastian Ramacher Installed-Size: 249 Depends: libgirara-gtk2-0 | libgirara-gtk3-0 Breaks: libgirara-gtk2-0 (<< 0.1.2-3), libgirara-gtk3-0 (<< 0.1.2-3) Multi-Arch: same Homepage: http://pwmt.org/projects/girara/ Priority: extra Section: debug Filename: pool/main/g/girara/libgirara-dbg_0.1.2-3_armhf.deb Size: 172524 SHA256: 5ff1a5b6ea2260ca6cd997755e502cf3d0e0269db0e535bb498a56ffc51bf7b3 SHA1: 9c82f450fd6c41d3f119f8ab1a731811e0991919 MD5sum: 070f04b5b3cd583eb36fab1cc17d2297 Description: library for minimalistic user interfaces (debugging symbols) A library focused at implementing minimalistic user interfaces. It provides three main components: a view widget that represents the actual application, an input bar to execute application specific commands and a status bar which provides information to the user. . This package contains the debugging symbols for the shared library. Package: libgirara-dev Source: girara Version: 0.1.2-3 Architecture: armhf Maintainer: Sebastian Ramacher Installed-Size: 421 Depends: libgirara-gtk2-0 (= 0.1.2-3), libgirara-gtk3-0 (= 0.1.2-3), libgtk2.0-dev, libgtk-3-dev Suggests: libgirara-doc (= 0.1.2-3) Multi-Arch: same Homepage: http://pwmt.org/projects/girara/ Priority: optional Section: libdevel Filename: pool/main/g/girara/libgirara-dev_0.1.2-3_armhf.deb Size: 107204 SHA256: 3f55f59d314582a61a521efdb3f55984307e81162ab5c2e650680fadb4693364 SHA1: 9a48ce290044a00eec2f150c8576e76223dc2a63 MD5sum: bb5e6c764e04d4a851d0e79d248cde33 Description: library for minimalistic user interfaces (development files) A library focused at implementing minimalistic user interfaces. It provides three main components: a view widget that represents the actual application, an input bar to execute application specific commands and a status bar which provides information to the user. . This package contains the development files. Package: libgirara-doc Source: girara Version: 0.1.2-3 Installed-Size: 2954 Maintainer: Sebastian Ramacher Architecture: all Depends: libjs-jquery Size: 361370 SHA256: 01d55a04e5993f2046b914089d1a87115379c405105818e1b2f6de4b134f3fc2 SHA1: 877fcae2a68ec32b09189212868260ae3e2b261a MD5sum: 81496905f053cf8675d710948539cb98 Description: library for minimalistic user interfaces (documentation) A library focused at implementing minimalistic user interfaces. It provides three main components: a view widget that represents the actual application, an input bar to execute application specific commands and a status bar which provides information to the user. . This package contains the documentation. Multi-Arch: foreign Homepage: http://pwmt.org/projects/girara/ Section: doc Priority: optional Filename: pool/main/g/girara/libgirara-doc_0.1.2-3_all.deb Package: libgirara-gtk2-0 Source: girara Version: 0.1.2-3 Architecture: armhf Maintainer: Sebastian Ramacher Installed-Size: 178 Pre-Depends: multiarch-support Depends: xdg-utils, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.28.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0) Suggests: libgirara-dbg (= 0.1.2-3) Breaks: libgirara-dbg (<< 0.1.2-3) Multi-Arch: same Homepage: http://pwmt.org/projects/girara/ Priority: optional Section: libs Filename: pool/main/g/girara/libgirara-gtk2-0_0.1.2-3_armhf.deb Size: 41858 SHA256: 166cfa1f6961e1690ee22d2477748f8c8e12add67139e43725adf37f9484263e SHA1: 854092a0e57c50aeb3388e99f67a527e48207fed MD5sum: 983759c2687d4a5a93b40c5d8eef7bc6 Description: library for minimalistic user interfaces (shared libraries, GTK+ 2) A library focused at implementing minimalistic user interfaces. It provides three main components: a view widget that represents the actual application, an input bar to execute application specific commands and a status bar which provides information to the user. . This package contains the shared libraries linked against GTK+ 2. Package: libgirara-gtk3-0 Source: girara Version: 0.1.2-3 Architecture: armhf Maintainer: Sebastian Ramacher Installed-Size: 178 Pre-Depends: multiarch-support Depends: xdg-utils, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0) Suggests: libgirara-dbg (= 0.1.2-3) Breaks: libgirara-dbg (<< 0.1.2-3) Multi-Arch: same Homepage: http://pwmt.org/projects/girara/ Priority: optional Section: libs Filename: pool/main/g/girara/libgirara-gtk3-0_0.1.2-3_armhf.deb Size: 41928 SHA256: 7d43b2b0608d0da30b8968f2a4643743ca93a07fbd1796c87467a62c9a09482c SHA1: 12590b3bb2e5ff0e4b7db96d23c876839c98ccc7 MD5sum: a5fb6e4097778b3865d8af41fbb4f625 Description: library for minimalistic user interfaces (shared libraries, GTK+ 3) A library focused at implementing minimalistic user interfaces. It provides three main components: a view widget that represents the actual application, an input bar to execute application specific commands and a status bar which provides information to the user. . This package contains the shared libraries linked against GTK+ 3. Package: libgirepository-1.0-1 Source: gobject-introspection Version: 1.32.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 226 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8) Conflicts: libgirepository1.0-1 Replaces: libgirepository1.0-1 Provides: libgirepository1.0-1 Homepage: http://live.gnome.org/GObjectIntrospection Priority: optional Section: libs Filename: pool/main/g/gobject-introspection/libgirepository-1.0-1_1.32.1-1_armhf.deb Size: 95968 SHA256: 694eac30ef6d9b90e01769911cc787c7088892c94c206e207f5ee2313298cf0f SHA1: 99064758083cad229f63853ecbd7d362c4ce417a MD5sum: 4168ccb334f1abf689cacc97a4217a66 Description: Library for handling GObject introspection data (runtime library) GObject Introspection is a project for providing machine readable introspection data of the API of C libraries. This introspection data can be used in several different use cases, for example automatic code generation for bindings, API verification and documentation generation. . GObject Introspection contains tools to generate and handle the introspection data. . This package contains a C library for handling the introspection data. Package: libgirepository1.0-dev Source: gobject-introspection Version: 1.32.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 5831 Depends: libgirepository-1.0-1 (= 1.32.1-1), gobject-introspection (= 1.32.1-1), gir1.2-glib-2.0 (= 1.32.1-1), gir1.2-freedesktop (= 1.32.1-1), libglib2.0-dev (>= 2.16.0), libffi-dev Suggests: libgirepository1.0-doc Conflicts: gobject-introspection-freedesktop, gobject-introspection-glib-2.0, libgirepository-dev Replaces: gir-repository-dev, libgirepository-dev Homepage: http://live.gnome.org/GObjectIntrospection Priority: optional Section: libdevel Filename: pool/main/g/gobject-introspection/libgirepository1.0-dev_1.32.1-1_armhf.deb Size: 759156 SHA256: 5ac4721abdea818f256924b9df68612c1969b995abcd2e670d75617954b8b66d SHA1: 4f442a25dc8d60509e985c40cc640bc86d200e10 MD5sum: c0ea657db208e04472f4cde23b99fb11 Description: Library for handling GObject introspection data (development files) GObject Introspection is a project for providing machine readable introspection data of the API of C libraries. This introspection data can be used in several different use cases, for example automatic code generation for bindings, API verification and documentation generation. . GObject Introspection contains tools to generate and handle the introspection data. . This package contains the headers for the C library for handling the introspection data. Package: libgirepository1.0-doc Source: gobject-introspection Version: 1.32.1-1 Installed-Size: 863 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libgirepository1.0-dev (<< 0.6.14-2) Recommends: libglib2.0-doc Breaks: libgirepository1.0-dev (<< 0.6.14-2) Size: 201054 SHA256: 4d4ffa22f920d5f4362f75cb721c4242abcdb8df1ddb22143f0b94f2bcbec082 SHA1: 0a30a6f1b82e3b7fc0c5686aafca1cf3b8a61e3b MD5sum: fc8653b932f6640f3f0d5809e9df5d4b Description: Library for handling GObject introspection data (documentation) GObject Introspection is a project for providing machine readable introspection data of the API of C libraries. This introspection data can be used in several different use cases, for example automatic code generation for bindings, API verification and documentation generation. . GObject Introspection contains tools to generate and handle the introspection data. . This package contains the documentation for the C library for handling the introspection data. Homepage: http://live.gnome.org/GObjectIntrospection Tag: devel::doc, role::documentation, suite::gnome Section: doc Priority: optional Filename: pool/main/g/gobject-introspection/libgirepository1.0-doc_1.32.1-1_all.deb Package: libgit-pure-perl Version: 0.48-2 Installed-Size: 121 Maintainer: Jonas Genannt Architecture: all Depends: perl, libconfig-gitlike-perl, libdata-stream-bulk-perl, libdatetime-perl, libfile-find-rule-perl, libio-digest-perl, libmoose-perl, libmoosex-strictconstructor-perl, libmoosex-types-path-class-perl Size: 19456 SHA256: 447ff095f84aee841183659995b9012ef97ea86ef98af87eb265c2b22332f471 SHA1: 5a1d2241a7f9570bcd096f4263d570ff6c1e6547 MD5sum: 23c1c6952041e2a6a5e787b1d119fc68 Description: Pure Perl interface to Git repositories Git::PurePerl is a Pure Perl interface to Git repositories. . It was mostly based on Grit http://grit.rubyforge.org/. Homepage: http://search.cpan.org/dist/Git-PurePerl/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgit-pure-perl/libgit-pure-perl_0.48-2_all.deb Package: libgit-repository-perl Version: 1.25-1 Installed-Size: 145 Maintainer: Debian Perl Group Architecture: all Depends: perl, git (>= 1:1.7.0.4-2~) | git-core, libsystem-command-perl Size: 55002 SHA256: 8ac6360fc0b304eabc86ee6d4af089159badab56cfe2a4c018eaf617c39c6641 SHA1: 0ab35a71941cff86da096948468a16eeb141e578 MD5sum: 6f14f7a854963a7447b2f0a980bec3ab Description: Perl interface to Git repositories Git::Repository is a Perl interface to Git, for scripted interactions with repositories. It's a low-level interface that allows calling any Git command, whether porcelain or plumbing, including bidirectional commands such as git commit-tree. Homepage: http://search.cpan.org/dist/Git-Repository/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgit-repository-perl/libgit-repository-perl_1.25-1_all.deb Package: libgit-ruby Source: ruby-git Version: 1.2.5-2 Installed-Size: 12 Maintainer: Michael Schutte Architecture: all Depends: ruby-git Size: 3330 SHA256: e58b668f24f07f31f67f8f470c02e71ad1ba0041d91f9350b7d8a00d4b2a48c7 SHA1: ec0c37cf5a4890fee30a1d9cbadce8243396c81a MD5sum: cbe813294f1af307a4001ba3128f0dc3 Description: Transitional package for ruby-git This is a dummy transitional package to facilitate upgrades to ruby-git. It can be safely removed. Homepage: http://github.com/schacon/ruby-git Section: oldlibs Priority: optional Filename: pool/main/r/ruby-git/libgit-ruby_1.2.5-2_all.deb Package: libgit-ruby1.8 Source: ruby-git Version: 1.2.5-2 Installed-Size: 12 Maintainer: Michael Schutte Architecture: all Depends: ruby-git Size: 3330 SHA256: 43d9c3a78aee5960c619e2b358022f38c77a26ee6120b3997da0c97f6100e3c4 SHA1: 0734bc4809940039341837ae7751fdf7a6300c61 MD5sum: dea8480528085e171a71879482e1e215 Description: Transitional package for ruby-git This is a dummy transitional package to facilitate upgrades to ruby-git. It can be safely removed. Homepage: http://github.com/schacon/ruby-git Section: oldlibs Priority: optional Filename: pool/main/r/ruby-git/libgit-ruby1.8_1.2.5-2_all.deb Package: libgit-wrapper-perl Version: 0.023-1 Installed-Size: 94 Maintainer: Debian Perl Group Architecture: all Depends: perl, git (>= 1:1.7.0.4-2~) | git-core, libfile-pushd-perl, libsort-versions-perl Size: 30792 SHA256: 4f3e09c3a0304c86213ee6d85ae7fdc182afc1b58eb7c8ed75f63010a930e706 SHA1: a2755e21860526955743e5d7eb4ec83eed5c9f29 MD5sum: 5a2f9f615619c6234b567ee70970344a Description: Perl module to wrap git command-line interface Git::Wrapper provides an API for git that uses Perl data structures for argument passing, instead of CLI-style --options as Git does. . Except as documented, every git subcommand is available as a method on a Git::Wrapper object. Replace any hyphens in the git command with underscores. Homepage: http://search.cpan.org/dist/Git-Wrapper/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgit-wrapper-perl/libgit-wrapper-perl_0.023-1_all.deb Package: libgivaro-dev Source: givaro Version: 3.7.0-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1503 Depends: libgivaro0 (= 3.7.0-2), make Suggests: givaro-dev-doc, givaro-user-doc Homepage: http://ljk.imag.fr/CASYS/LOGICIELS/givaro/ Priority: optional Section: libdevel Filename: pool/main/g/givaro/libgivaro-dev_3.7.0-2_armhf.deb Size: 322522 SHA256: 946b96772119a533d176b44ad5f40fded9f650632562de994b1fad3b091af18c SHA1: c06535799c95b505ecfef30d21cad0a15b9a936e MD5sum: 8452af1053bbcf03ba73200cdde218fd Description: arithmetic and algebraic computations - development files Givaro is a C++ library for arithmetic and algebraic computations. Its main features are implementations of the basic arithmetic of many mathematical entities: Primes fields, Extensions Fields, Finite Fields, Finite Rings, Polynomials, Algebraic numbers, and Arbitrary precision integers and rationals (C++ wrappers over gmp). . Givaro also provides data-structures and templated classes for the manipulation of basic algebraic objects, such as vectors, matrices (dense, sparse, structured), univariate polynomials (and therefore recursive multivariate). . It contains different program modules and is fully compatible with the LinBox linear algebra library and the Athapascan environment, which permits parallel programming. . This package contains development files for Givaro. Package: libgivaro0 Source: givaro Version: 3.7.0-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 299 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libgmpxx4ldbl, libstdc++6 (>= 4.6) Multi-Arch: same Homepage: http://ljk.imag.fr/CASYS/LOGICIELS/givaro/ Priority: optional Section: libs Filename: pool/main/g/givaro/libgivaro0_3.7.0-2_armhf.deb Size: 90414 SHA256: 992bb6429f10974d4fbd1e9753508fe2c902583c467eeb70a8f6b4a1507203d5 SHA1: 6e3d435148e2d31b97215337b78a6361e4a3ed20 MD5sum: 03ed01889f647ac32bc967ceabe0f77c Description: arithmetic and algebraic computations Givaro is a C++ library for arithmetic and algebraic computations. Its main features are implementations of the basic arithmetic of many mathematical entities: Primes fields, Extensions Fields, Finite Fields, Finite Rings, Polynomials, Algebraic numbers, and Arbitrary precision integers and rationals (C++ wrappers over gmp). . Givaro also provides data-structures and templated classes for the manipulation of basic algebraic objects, such as vectors, matrices (dense, sparse, structured), univariate polynomials (and therefore recursive multivariate). . It contains different program modules and is fully compatible with the LinBox linear algebra library and the Athapascan environment, which permits parallel programming. . This package contains runtime files for Givaro. Package: libgjs-dev Source: gjs Version: 1.32.0-5 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 162 Depends: libgjs0b (= 1.32.0-5), libgirepository1.0-dev, libmozjs185-dev, libdbus-1-dev Homepage: http://live.gnome.org/Gjs Priority: optional Section: libdevel Filename: pool/main/g/gjs/libgjs-dev_1.32.0-5_armhf.deb Size: 24800 SHA256: 18fb50a5754037565329da9d1edf3eb19f8ac730bf8220a764cb8aed1883649b SHA1: 6a02c17587b2e8379dafb11c4d6661a8f0041240 MD5sum: 69ac65f0fb0eedabb1da6813efab1a5b Description: Mozilla-based javascript bindings for the GNOME platform Makes it possible for applications to use all of GNOME's platform libraries using the Javascript language. It's mainly based on the Mozilla javascript engine and the GObject introspection framework. . This package contains the development files applications need to build against. Package: libgjs0b Source: gjs Version: 1.32.0-5 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 624 Depends: libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.6.0), libdbus-1-3 (>= 1.2.16), libdbus-glib-1-2 (>= 0.78), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgirepository-1.0-1 (>= 1.31.22), libglib2.0-0 (>= 2.31.8), libmozjs185-1.0 (>= 1.8.5-1.0.0+dfsg), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libstdc++6 (>= 4.1.1) Conflicts: libgjs0, libgjs0a Replaces: libgjs0, libgjs0a Provides: libgjs0-libmozjs185-1.0 Homepage: http://live.gnome.org/Gjs Priority: optional Section: libs Filename: pool/main/g/gjs/libgjs0b_1.32.0-5_armhf.deb Size: 205728 SHA256: 0a8d0e9170c1d4a1c4927cc3c8a75e03a3206772a59a4c01c424c0310535c059 SHA1: 225ac13f9c4799b977bba0c5763f9d9a637cbaaf MD5sum: 97216835719ee10b28cd311892f919be Description: Mozilla-based javascript bindings for the GNOME platform Makes it possible for applications to use all of GNOME's platform libraries using the Javascript language. It's mainly based on the Mozilla javascript engine and the GObject introspection framework. . This is the shared library applications link to. Package: libgkeyfile-cil-dev Source: gkeyfile-sharp Version: 0.1-4 Installed-Size: 7 Maintainer: Debian CLI Libraries Team Architecture: all Depends: libgkeyfile1.0-cil (= 0.1-4) Size: 5850 SHA256: 5d83954e3cbb0ac6abdcb2576d75d1a7643903a3d164ab79d4cf2475f5df9841 SHA1: 90a355f7acb8de362dc3334b6c8aa4bcc4c8548b MD5sum: 2d0869523910172698b934717865b678 Description: GObject-based wrapper library for GKeyFile -- CLI development files gkeyfile-sharp is a set of CLI bindings for the GKeyFile GObject class found in GLib. GKeyFile is a class that is used for parsing, editing, and creating key files, which are .ini like configuration files. . This package contains the development files needed to compile CLI applications which use this library. Homepage: https://www.launchpad.net/gkeyfile-sharp Tag: devel::ecma-cli, devel::library, role::devel-lib Section: cli-mono Priority: optional Filename: pool/main/g/gkeyfile-sharp/libgkeyfile-cil-dev_0.1-4_all.deb Package: libgkeyfile1.0-cil Source: gkeyfile-sharp Version: 0.1-4 Installed-Size: 22 Maintainer: Debian CLI Libraries Team Architecture: all Depends: cli-common (>= 0.5.1), libglib2.0-0 (>= 2.30.2), libglib2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1) Size: 11826 SHA256: a736a0d0042fa9e35a43e35457b610bf5895fbb7b4187754b921e80805df37ac SHA1: 2e023f72dd6745cf8a8d1523d5ca2b6ec4fd566f MD5sum: b3741ed599e9465a993d6e1b2b28adb7 Description: GObject-based wrapper library for GKeyFile -- CLI bindings gkeyfile-sharp is a set of CLI bindings for the GKeyFile GObject class found in GLib. GKeyFile is a class that is used for parsing, editing, and creating key files, which are .ini like configuration files. . This package contains the managed CLI bindings for gkeyfile-sharp, which are needed to run CLI applications which use this library. Homepage: https://www.launchpad.net/gkeyfile-sharp Tag: devel::ecma-cli, devel::library, role::shared-lib Section: cli-mono Priority: optional Filename: pool/main/g/gkeyfile-sharp/libgkeyfile1.0-cil_0.1-4_all.deb Package: libgksu-polkit-dev Source: gksu-polkit Version: 0.0.3-1 Architecture: armhf Maintainer: Gustavo Noronha Silva Installed-Size: 74 Depends: libgksu-polkit0 (= 0.0.3-1) Homepage: http://live.gnome.org/gksu Priority: extra Section: libdevel Filename: pool/main/g/gksu-polkit/libgksu-polkit-dev_0.0.3-1_armhf.deb Size: 14286 SHA256: 06cfb47da8a098506c06d88fe1718f8edcf269dde6cc866789cf9a7b5b955024 SHA1: 9dde56b28c4232e06941fecf4f101f4cccca634c MD5sum: d2499c19e02a4108b459ab0665eb3762 Description: simple gobject-based API to run programs as root (development files) This library provides a simple gobject-based API to run programs as root, even in X-based environments. . It uses a D-Bus service to actually perform the work, and PolicyKit for authentication. This package holds the development files. Package: libgksu-polkit0 Source: gksu-polkit Version: 0.0.3-1 Architecture: armhf Maintainer: Gustavo Noronha Silva Installed-Size: 149 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpolkit-gobject-1-0 (>= 0.99), libstartup-notification0 (>= 0.4), policykit-1 Homepage: http://live.gnome.org/gksu Priority: extra Section: libs Filename: pool/main/g/gksu-polkit/libgksu-polkit0_0.0.3-1_armhf.deb Size: 34164 SHA256: 8adff236885e0a9a7991c6930159d1b6c738238093420a3d6af409906c35184c SHA1: 4219fc162a6eb7ff91254a131aed9c176a91956f MD5sum: 4b83e10691180ad0fc031ffa4673076f Description: simple gobject-based API to run programs as root This library provides a simple gobject-based API to run programs as root, even in X-based environments. . It uses a D-Bus service to actually perform the work. Package: libgksu2-0 Source: libgksu Version: 2.0.13~pre1-6 Architecture: armhf Maintainer: Gustavo Noronha Silva Installed-Size: 553 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgnome-keyring0 (>= 3.2.2-2~), libgtk2.0-0 (>= 2.12.0), libgtop2-7 (>= 2.22.3), libpango1.0-0 (>= 1.14.0), libstartup-notification0 (>= 0.4), libx11-6, gconf2 (>= 2.28.1-2), xauth | xbase-clients Recommends: sudo Homepage: http://www.nongnu.org/gksu Priority: optional Section: libs Filename: pool/main/libg/libgksu/libgksu2-0_2.0.13~pre1-6_armhf.deb Size: 117978 SHA256: fa938facafe49f595a232028a0e8cd5b4fde4a0dfd40c8391ad88c24dda3719d SHA1: 461cb81dae420a17c4d7be18bd5593a45afcadc1 MD5sum: a96ba64e9c484e5f96772ab13b5527ed Description: library providing su and sudo functionality This library comes from the gksu program. It provides a simple API to use su and sudo in programs that need to execute tasks as other user. It provides X authentication facilities for running programs in an X session. Package: libgksu2-dev Source: libgksu Version: 2.0.13~pre1-6 Architecture: armhf Maintainer: Gustavo Noronha Silva Installed-Size: 196 Depends: libglib2.0-dev, libgksu2-0 (= 2.0.13~pre1-6), libgnome-keyring-dev, libgconf2-dev, libstartup-notification0-dev Homepage: http://www.nongnu.org/gksu Priority: optional Section: libdevel Filename: pool/main/libg/libgksu/libgksu2-dev_2.0.13~pre1-6_armhf.deb Size: 59414 SHA256: 98058fee85c709382694b28cd4dd1d69ff19744814415d51751876bf56839f5f SHA1: fe9c4062e5d6d6ebf524b0bb6767aceda526e306 MD5sum: 0c4cc7449c8805cb4fa136f42bfe9c7b Description: library providing su and sudo functionality (development files) This library comes from the gksu program. It provides a simple API to use su and sudo in programs that need to execute tasks as other user. It provides X authentication facilities for running programs in an X session. . This package contains the development files. Package: libgl-gst Source: gnu-smalltalk Version: 3.2.4-2 Architecture: armhf Maintainer: Debian GNU Smalltalk maintainers Installed-Size: 666 Depends: gnu-smalltalk (= 3.2.4-2), freeglut3, libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libsm6, libx11-6 Homepage: http://smalltalk.gnu.org Priority: extra Section: interpreters Filename: pool/main/g/gnu-smalltalk/libgl-gst_3.2.4-2_armhf.deb Size: 177264 SHA256: 82df469561b48d3a7266b44357f2b3ec5d09192c06a1362a3b4a57681323735d SHA1: 8ad8c0054b9fd7b4f4eaf8e7a84549ef5c384496 MD5sum: cd24cec0bf25f6ae0b35fc2818509019 Description: OpenGL bindings for GNU Smalltalk This package contains the OpenGL bindings for GNU Smalltalk. . For more information on GNU Smalltalk see the gnu-smalltalk package. Package: libgl1-mesa-dev Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 81 Depends: mesa-common-dev (= 8.0.5-4+deb7u2+rpi1), libgl1-mesa-glx (= 8.0.5-4+deb7u2+rpi1), libxext-dev Conflicts: libgl-dev, libgl1-mesa-dri-dev Replaces: libgl-dev, libgl1-mesa-dri-dev Provides: libgl-dev, libgl1-mesa-dri-dev Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/m/mesa/libgl1-mesa-dev_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 32828 SHA256: f467395c0d8978d683827059a40e412f6e328e2f0a35d29e0555bd780e60fec2 SHA1: 8c7ba703dfb006842b212aef04dcae14395c1bad MD5sum: a316fcd72d60a8aa0a0a163e591eab88 Description: free implementation of the OpenGL API -- GLX development files This version of Mesa provides GLX and DRI capabilities: it is capable of both direct and indirect rendering. For direct rendering, it can use DRI modules from the libgl1-mesa-dri package to accelerate drawing. . This package includes headers and static libraries for compiling programs with Mesa. . For a complete description of Mesa, please look at the libgl1-mesa-swx11 package. Package: libgl1-mesa-dri Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 26457 Depends: libc6 (>= 2.13-28), libdrm-nouveau1a (>= 2.4.23), libdrm-radeon1 (>= 2.4.17), libdrm2 (>= 2.4.3), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Suggests: libglide3 Conflicts: xlibmesa-dri (<< 1:7.0.0) Breaks: libgl1-mesa-dri-experimental (<< 7.11.1), libgl1-mesa-glx (<< 7.10.2-4), libgl1-mesa-glx-no-multiarch, xserver-xorg-core (<< 2:1.10.2-2), xserver-xorg-core-no-multiarch Replaces: libgl1-mesa-dri-experimental (<< 7.11.1), xlibmesa-dri (<< 1:7.0.0) Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/m/mesa/libgl1-mesa-dri_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 2472102 SHA256: 0eb61b44885dd8d2b4edec695e3c60042231535f637df5d42a6e3cbe871b60f4 SHA1: c731ff4991f643c65fcda45c212882cf5820a8c6 MD5sum: 82360bee50212c9e4295015a3c3d599c Description: free implementation of the OpenGL API -- DRI modules This version of Mesa provides GLX and DRI capabilities: it is capable of both direct and indirect rendering. For direct rendering, it can use DRI modules from the libgl1-mesa-dri package to accelerate drawing. . This package does not include the OpenGL library itself, only the DRI modules for accelerating direct rendering. . For a complete description of Mesa, please look at the libgl1-mesa-swx11 package. . The tdfx DRI module needs libglide3 to enable direct rendering. Package: libgl1-mesa-dri-dbg Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 120101 Depends: libgl1-mesa-dri (= 8.0.5-4+deb7u2+rpi1) Replaces: libgl1-mesa-dri-experimental-dbg (<< 7.11.1) Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/m/mesa/libgl1-mesa-dri-dbg_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 21968942 SHA256: 125ae0abd384966d32ef50043e1734c58860ccee703b436b008620da297ca093 SHA1: 16eaae8c32e220b8afca504402dce13dfb19fe52 MD5sum: 6fad03caa3f72a6af225e31da9a2d0ec Description: Debugging symbols for the Mesa DRI modules This version of Mesa provides GLX and DRI capabilities: it is capable of both direct and indirect rendering. For direct rendering, it can use DRI modules from the libgl1-mesa-dri package to accelerate drawing. . This package does not include the OpenGL library itself, only the DRI modules for accelerating direct rendering. . For a complete description of Mesa, please look at the libgl1-mesa-swx11 package. . This package contains debugging symbols for the DRI modules. Package: libgl1-mesa-dri-experimental Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 77 Depends: libgl1-mesa-dri (>= 7.11.1) Breaks: libgl1-mesa-glx (<< 7.11.1), libgl1-mesa-glx-no-multiarch, xserver-xorg-core (<< 2:1.10.2-2), xserver-xorg-core-no-multiarch Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/m/mesa/libgl1-mesa-dri-experimental_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 32756 SHA256: a29fd8c6d3865e989750fa2ed345afa8e7f80c87ea95dd84de44d083f3e7d28b SHA1: 80443f501ef24f94bfaf1bbdcc6e80671251bc6e MD5sum: 8435d20f5d3133e7681d04467de25e8e Description: free implementation of the OpenGL API -- Extra DRI modules This version of Mesa provides GLX and DRI capabilities: it is capable of both direct and indirect rendering. For direct rendering, it can use DRI modules from the libgl1-mesa-dri package to accelerate drawing. . This package does not include the OpenGL library itself, only the DRI modules for accelerating direct and indirect rendering. The drivers in this package may provide more features than the drivers in the libgl1-mesa-dri at the cost of less stability. . For a complete description of Mesa, please look at the libgl1-mesa-swx11 package. Package: libgl1-mesa-dri-experimental-dbg Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 68 Depends: libgl1-mesa-dri-experimental (= 8.0.5-4+deb7u2+rpi1) Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/m/mesa/libgl1-mesa-dri-experimental-dbg_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 32520 SHA256: 4fe84e36e25926195fddf60a00e335c32df55ffb63bfdb9b713ca32abe8c6d1a SHA1: e269a627887de09225a4f400a724b15415ab0a0f MD5sum: bd2aa2b855d963d1cb15e8991ffb3188 Description: Debugging symbols for the experimental Mesa DRI modules This version of Mesa provides GLX and DRI capabilities: it is capable of both direct and indirect rendering. For direct rendering, it can use DRI modules from the libgl1-mesa-dri package to accelerate drawing. . This package does not include the OpenGL library itself, only the DRI modules for accelerating direct rendering. . For a complete description of Mesa, please look at the libgl1-mesa-swx11 package. . This package contains debugging symbols for the extra DRI modules. Package: libgl1-mesa-glx Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 436 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdrm2 (>= 2.3.1), libgcc1 (>= 1:4.4.0), libglapi-mesa (= 8.0.5-4+deb7u2+rpi1), libstdc++6 (>= 4.1.1), libx11-6 (>= 2:1.4.99.1), libx11-xcb1, libxcb-glx0 (>= 1.8), libxcb1, libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxxf86vm1 Recommends: libgl1-mesa-dri (>= 7.2) Conflicts: libgl1, libgl1-mesa-dri (<< 6.4.0) Breaks: fglrx-glx (<< 1:11-6-1), libgl1-nvidia-alternatives (<= 275.09.07-1) Replaces: libgl1, libgl1-mesa-dri (<< 6.4.0) Provides: libgl1 Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/m/mesa/libgl1-mesa-glx_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 121958 SHA256: 81a62537c8607f2a570f52573ad54b4e46c98c5d419ef84d0dd86645b8121233 SHA1: d6599aed2316b7bb863f69093019486707d0af57 MD5sum: 8a39699dc9b1b3f57c55d90a680e24bc Description: free implementation of the OpenGL API -- GLX runtime This version of Mesa provides GLX and DRI capabilities: it is capable of both direct and indirect rendering. For direct rendering, it can use DRI modules from the libgl1-mesa-dri package to accelerate drawing. . This package does not include the modules themselves: these can be found in the libgl1-mesa-dri package. . For a complete description of Mesa, please look at the libgl1-mesa-swx11 package. Package: libgl1-mesa-glx-dbg Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 1962 Depends: libgl1-mesa-glx (= 8.0.5-4+deb7u2+rpi1) Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/m/mesa/libgl1-mesa-glx-dbg_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 394396 SHA256: 8938d12bc6f31669cfee8f0321deb2b108264dfc440fe81d3d533f785104b0dc SHA1: 0903c3c0ea8f4ebda8413dc42c153fd217aae81d MD5sum: 84ce0438ae707d3e0d0c967409cc212c Description: Debugging symbols for the Mesa GLX runtime This version of Mesa provides GLX and DRI capabilities: it is capable of both direct and indirect rendering. For direct rendering, it can use DRI modules from the libgl1-mesa-dri package to accelerate drawing. . This package does not include the modules themselves: these can be found in the libgl1-mesa-dri package. . For a complete description of Mesa, please look at the libgl1-mesa-swx11 package. . This package contains debugging symbols for the GL library with GLX and DRI capabilities. Package: libgl1-mesa-swx11 Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 3225 Pre-Depends: multiarch-support Depends: libosmesa6 (>= 6.5.2-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libx11-6, libxext6 Conflicts: libgl1, libgl1-mesa-swrast, mesag3, mesag3+ggi, mesag3-glide, mesag3-glide2, nvidia-glx Replaces: libgl1, libgl1-mesa-swrast, mesag3 Provides: libgl1, libgl1-mesa-swrast, mesag3 Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/m/mesa/libgl1-mesa-swx11_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 803292 SHA256: f22a4765e0307fec9a339738bdcf0ac3b145bc1fa2e7dc891285b095a154717d SHA1: 95aad52f57738d0aadf5b158969201c103a6bd31 MD5sum: 5438aded7d3e4f9033dd94c7bab79bc3 Description: free implementation of the OpenGL API -- runtime Mesa is a 3-D graphics library with an API which is very similar to that of OpenGL. To the extent that Mesa utilizes the OpenGL command syntax or state machine, it is being used with authorization from Silicon Graphics, Inc. However, the author makes no claim that Mesa is in any way a compatible replacement for OpenGL or associated with Silicon Graphics, Inc. . This library provides a pure software rasteriser; it does not provide a direct rendering-capable library, or one which uses GLX. For that, please see libgl1-mesa-glx. . On Linux, this library is also known as libGL or libGL.so.1. Package: libgl1-mesa-swx11-dbg Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 13320 Depends: libgl1-mesa-swx11 (= 8.0.5-4+deb7u2+rpi1) Conflicts: libgl1-mesa-swrast-dbg Replaces: libgl1-mesa-swrast-dbg Provides: libgl1-mesa-swrast-dbg Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/m/mesa/libgl1-mesa-swx11-dbg_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 2369112 SHA256: b481dce25da69cc19ae499351c443fcf1f536eb2f57ef65b008d438c0ebb0b9b SHA1: fc975749f9b6f08ad6fc6276339615e23e817cf8 MD5sum: 8e3351446060c1ab97be9a6179db680c Description: free implementation of the OpenGL API -- debugging symbols Mesa is a 3-D graphics library with an API which is very similar to that of OpenGL. To the extent that Mesa utilizes the OpenGL command syntax or state machine, it is being used with authorization from Silicon Graphics, Inc. However, the author makes no claim that Mesa is in any way a compatible replacement for OpenGL or associated with Silicon Graphics, Inc. . This library provides a pure software rasteriser; it does not provide a direct rendering-capable library, or one which uses GLX. For that, please see libgl1-mesa-glx. . On Linux, this library is also known as libGL or libGL.so.1. . This package contains debugging symbols for the software rasterization GL library. Package: libgl1-mesa-swx11-dev Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 4816 Depends: libgl1-mesa-swx11 (= 8.0.5-4+deb7u2+rpi1), libx11-dev, libxext6, mesa-common-dev (= 8.0.5-4+deb7u2+rpi1) Conflicts: libgl-dev, libgl1-mesa-swrast-dev, mesa-dev, mesag-dev, mesag3 (<< 3.1-1), nvidia-glx-dev Replaces: libgl-dev, libgl1-mesa-swrast-dev, mesag-dev Provides: libgl-dev, libgl1-mesa-swrast-dev, mesag-dev Homepage: http://mesa3d.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/m/mesa/libgl1-mesa-swx11-dev_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 963488 SHA256: 9ba776ffaeb6f801441d90433ac13ac037ebb14568132b8a015b265874d96ee8 SHA1: 062e7fa61968bb3010cbd8787048a61d109d1f52 MD5sum: 946071e1a80ea7a7b6a3b70d128f3403 Description: free implementation of the OpenGL API -- development files This package provides the development environment required for compiling programs with Mesa. For a complete description of Mesa, please look at the libgl1-mesa-swx11 package. . This library provides a pure software rasteriser; it does not provide a direct rendering-capable library, or one which uses GLX. For that, please see libgl1-mesa-dev. Package: libgl2ps-dev Source: gl2ps Version: 1.3.6-1 Architecture: armhf Maintainer: Sylvestre Ledru Installed-Size: 42 Depends: libgl2ps0 (= 1.3.6-1) Homepage: http://geuz.org/gl2ps/ Priority: optional Section: libdevel Filename: pool/main/g/gl2ps/libgl2ps-dev_1.3.6-1_armhf.deb Size: 5200 SHA256: ca46f12734db045e07bb59aac5b4da1bd4d5ee57519688f9dfa7a1c1c8488dc5 SHA1: a22f8e75625b12111b1263aa7ff415e803248eb9 MD5sum: 2546b564015a11cac9a8c69b181d2555 Description: Lib providing high quality vector output for OpenGL application GL2PS is a C library providing high quality vector output for any OpenGL application. The main difference between GL2PS and other similar libraries is the use of sorting algorithms capable of handling intersecting and stretched polygons, as well as non manifold objects. GL2PS provides advanced smooth shading and text rendering, culling of invisible primitives, mixed vector/bitmap output, and much more... . GL2PS can currently create PostScript (PS), Encapsulated PostScript (EPS), Portable Document Format (PDF) and Scalable Vector Graphics (SVG) files, as well as LATEX files for the text fragments. GL2PS also provides limited, experimental support for Portable LaTeX Graphics (PGF). Adding new vector output formats should be relatively easy; you can also use the excellent pstoedit program to transform the PostScript files generated by GL2PS into many other vector formats such as xfig, cgm, wmf, etc. . This package contains the development files needed to compile software to use the libgl2ps API. Package: libgl2ps0 Source: gl2ps Version: 1.3.6-1 Architecture: armhf Maintainer: Sylvestre Ledru Installed-Size: 98 Depends: libc6 (>= 2.13-28) Homepage: http://geuz.org/gl2ps/ Priority: optional Section: libs Filename: pool/main/g/gl2ps/libgl2ps0_1.3.6-1_armhf.deb Size: 36578 SHA256: b796b4ec3321cbd9d9a0491eade66464f5417a662898143a109d5d42a199539b SHA1: cf5ab71584cf451a959341dfec3a85ae418eb5a8 MD5sum: a9af6299f548811211f6a11e3d20e3d4 Description: Lib providing high quality vector output for OpenGL application GL2PS is a C library providing high quality vector output for any OpenGL application. The main difference between GL2PS and other similar libraries is the use of sorting algorithms capable of handling intersecting and stretched polygons, as well as non manifold objects. GL2PS provides advanced smooth shading and text rendering, culling of invisible primitives, mixed vector/bitmap output, and much more... . GL2PS can currently create PostScript (PS), Encapsulated PostScript (EPS), Portable Document Format (PDF) and Scalable Vector Graphics (SVG) files, as well as LATEX files for the text fragments. GL2PS also provides limited, experimental support for Portable LaTeX Graphics (PGF). Adding new vector output formats should be relatively easy; you can also use the excellent pstoedit program to transform the PostScript files generated by GL2PS into many other vector formats such as xfig, cgm, wmf, etc. Package: libgl2ps0-dbg Source: gl2ps Version: 1.3.6-1 Architecture: armhf Maintainer: Sylvestre Ledru Installed-Size: 143 Depends: libgl2ps0 (= 1.3.6-1) Homepage: http://geuz.org/gl2ps/ Priority: extra Section: debug Filename: pool/main/g/gl2ps/libgl2ps0-dbg_1.3.6-1_armhf.deb Size: 47344 SHA256: 4db0337e278f1c221ad3afd11c394158883fff522e05223b33b0999803c4a056 SHA1: e6d1b4b6a37f66bc9e0db141d68a1dbcc9401e9e MD5sum: 4cd904f410e5f46fcc5f4bfb3a8000a2 Description: Lib providing high quality vector output for OpenGL application GL2PS is a C library providing high quality vector output for any OpenGL application. The main difference between GL2PS and other similar libraries is the use of sorting algorithms capable of handling intersecting and stretched polygons, as well as non manifold objects. GL2PS provides advanced smooth shading and text rendering, culling of invisible primitives, mixed vector/bitmap output, and much more... . GL2PS can currently create PostScript (PS), Encapsulated PostScript (EPS), Portable Document Format (PDF) and Scalable Vector Graphics (SVG) files, as well as LATEX files for the text fragments. GL2PS also provides limited, experimental support for Portable LaTeX Graphics (PGF). Adding new vector output formats should be relatively easy; you can also use the excellent pstoedit program to transform the PostScript files generated by GL2PS into many other vector formats such as xfig, cgm, wmf, etc. . This package contains the debugging symbols of the library. Package: libglacier2-34 Source: zeroc-ice Version: 3.4.2-8.2 Architecture: armhf Maintainer: Francisco Moya Installed-Size: 935 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libiceutil34, libstdc++6 (>= 4.4.0), libzeroc-ice34 Homepage: http://www.zeroc.com/ Priority: optional Section: libs Filename: pool/main/z/zeroc-ice/libglacier2-34_3.4.2-8.2_armhf.deb Size: 244026 SHA256: 233a0a26c66e2956c083d12f8e0ac0f576ceb2ce5e3c49fbff90f1b75184cd15 SHA1: 948e3975fa7bd1e62d470e0f276d39a2d320f61e MD5sum: 987e890cee1b94856e1d8b757492a56f Description: Libraries implementing a firewall service for ZeroC Ice Ice, the Internet Communications Engine, is a middleware for the practical programmer developed by ZeroC Inc. A high-performance Internet communications platform, Ice includes a wealth of layered services and plug-ins. . Ice is built on concepts which will be familiar to CORBA programmers, and supports a wide variety of programming languages and runtime platforms. . This package provides the Glacier2 related libraries, a firewall solution for Ice applications. You will find further information in the ZeroC home page (http://www.zeroc.com/ice.html) and in the non-free package zeroc-ice-manual. Package: libglade2-0 Source: libglade2 Version: 1:2.6.4-1 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 172 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4) Priority: optional Section: libs Filename: pool/main/libg/libglade2/libglade2-0_2.6.4-1_armhf.deb Size: 85286 SHA256: e22b1245621851db455f49bbf886a3d7207b7c81e382a6b8f04688b12bf4b2f3 SHA1: f3ff2c4a0127c4a6ae401daa023ee69820ae644c MD5sum: 182cd6c433b44aa638e8c0fc00ecf52a Description: library to load .glade files at runtime This library allows to load externally stored user interfaces into programs. This allows alteration of the interface without recompilation of the program. . The interfaces can also be edited with GLADE. Package: libglade2-dev Source: libglade2 Version: 1:2.6.4-1 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 456 Depends: libglade2-0 (= 1:2.6.4-1), libgtk2.0-dev (>= 2.0.6), libxml2-dev, python (>= 2.0) Suggests: glade | glade-gnome Replaces: libglade2-0 (<< 2.0.1-10) Priority: optional Section: libdevel Filename: pool/main/libg/libglade2/libglade2-dev_2.6.4-1_armhf.deb Size: 132280 SHA256: 823787630c9b7f249015858893caf9b3baca97c46dce39d0b60fe1caf4e98058 SHA1: 3c4e967d236613a225e77dc53878524e69bcd714 MD5sum: 45d9971384fba67d63dafbfd6598ab06 Description: development files for libglade This library allows to load externally stored user interfaces into programs. This allows alteration of the interface without recompilation of the program. . The interfaces can also be edited with GLADE. Package: libglade2.0-cil Source: gtk-sharp2 Version: 2.12.10-5 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 240 Depends: cli-common (>= 0.5.6), libglade2-0 (>= 1:2.6.1), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-corlib4.0-cil (>= 2.10.1), libc6 (>= 2.13-28) Suggests: monodoc-gtk2.0-manual Replaces: gtk-sharp2-gapi (<< 2.10.0-1) Homepage: http://www.mono-project.com/GtkSharp Priority: optional Section: libs Filename: pool/main/g/gtk-sharp2/libglade2.0-cil_2.12.10-5_armhf.deb Size: 159012 SHA256: 0f7a9a427cadf5d227c74e4558a94cb9a32ae5aab617176d590a4c8ba7d806d8 SHA1: 34ea03a831ad588c96a586e47cb72309c653687b MD5sum: d060bed49419d34126a5dabd5e737b0f Description: CLI binding for the Glade libraries 2.6 This package provides the glade-sharp assembly that allows CLI (.NET) programs to use the Glade libraries 2.6. . GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit . This package contains the glade-sharp assembly Package: libglade2.0-cil-dev Source: gtk-sharp2 Version: 2.12.10-5 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 189 Depends: libglade2.0-cil (= 2.12.10-5), libgtk2.0-cil-dev (= 2.12.10-5) Replaces: libglade2.0-cil (<< 2.12.9-2) Homepage: http://www.mono-project.com/GtkSharp Priority: optional Section: libs Filename: pool/main/g/gtk-sharp2/libglade2.0-cil-dev_2.12.10-5_armhf.deb Size: 143402 SHA256: dc15de3b5a8781435618276ba58656c3d946ebc78d68977cbd41f6202ad4ccb1 SHA1: 9bcfb9564965a9f399d93334008f0046208f5443 MD5sum: 81f11b5106bc5165d1ec06391515afe4 Description: CLI binding for the Glade libraries 2.6 This package provides the glade-sharp assembly that allows CLI (.NET) programs to use the Glade libraries 2.6. . GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit . This package contains development files for the glade-sharp library, and should be used for compilation Package: libglademm-2.4-1c2a Source: libglademm2.4 Version: 2.6.7-2 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 74 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.3.0), libxml2 (>= 2.6.27) Conflicts: libglademm-2.4-1, libglademm-2.4-1c2 Replaces: libglademm-2.4-1, libglademm-2.4-1c2 Homepage: http://www.gtkmm.org/ Priority: optional Section: libs Filename: pool/main/libg/libglademm2.4/libglademm-2.4-1c2a_2.6.7-2_armhf.deb Size: 25206 SHA256: e2ab69d06010ecbd7865143070de00ab9a627b6e20991f8e8ee48658909af6ce SHA1: 289725fd4f61b7aad51f068015f7ca33bd02ce2b MD5sum: f955c31d11a5a1b69c85046bf62c4d42 Description: C++ wrappers for libglade2 (shared library) Libglade is a library which enables an application to build its graphical interface from an XML file (generated by Glade) at runtime. libglademm is the C++ wrapper for libglade. . This package contains shared libraries. Package: libglademm-2.4-dbg Source: libglademm2.4 Version: 2.6.7-2 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 248 Depends: libglademm-2.4-1c2a (= 2.6.7-2) Homepage: http://www.gtkmm.org/ Priority: extra Section: debug Filename: pool/main/libg/libglademm2.4/libglademm-2.4-dbg_2.6.7-2_armhf.deb Size: 87338 SHA256: e6b3a6c6d2619514a8f26df8972a3b5dc4b477324f944a25c6624783387e4571 SHA1: 8baf8dd4dc22485be8433249b26a80fd1bb1dac3 MD5sum: 36ab2101af725ea5e552b89af2d36e06 Description: C++ wrappers for libglade2 (debug symbols) Libglade is a library which enables an application to build its graphical interface from an XML file (generated by Glade) at runtime. libglademm is the C++ wrapper for libglade. . This package contains debug symbols for debugging applications using libglademm. Package: libglademm-2.4-dev Source: libglademm2.4 Version: 2.6.7-2 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 136 Depends: libglademm-2.4-1c2a (= 2.6.7-2), libglade2-dev (>= 1:2.6.1), libgtkmm-2.4-dev (>= 1:2.6.0) Suggests: libglademm-2.4-doc Homepage: http://www.gtkmm.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libglademm2.4/libglademm-2.4-dev_2.6.7-2_armhf.deb Size: 31374 SHA256: 337c6862f1f12d02718d18f1eeca065796db75d93c45dff77a2f4bc2eaac673a SHA1: 21d152f022cf3f9309192a532e99646a66f58d4f MD5sum: 0ba89bea8572276c159b9d81d7214e63 Description: C++ wrappers for libglade2 (development files) Libglade is a library which enables an application to build its graphical interface from an XML file (generated by Glade) at runtime. libglademm is the C++ wrapper for libglade. . This package contains development files. Package: libglademm-2.4-doc Source: libglademm2.4 Version: 2.6.7-2 Installed-Size: 408 Maintainer: Deng Xiyue Architecture: all Replaces: libglademm-2.4-dev (<< 2.6.5-1) Depends: doc-base, lynx | www-browser Suggests: libglibmm-2.4-doc (>= 2.14.2-3), libgtkmm-2.4-doc (>= 1:2.12.3-1) Conflicts: libglademm-2.4-dev (<< 2.6.5-1) Size: 42328 SHA256: b02b90748b341e50d59337fc9823ef97ec920cdbc6ef4c45165a86f55876c3ae SHA1: e7cd0fce88f8bd0a881f87b4b017713f7fede1a8 MD5sum: d7b77d83bf028cd4680ef869e95c8ae2 Description: C++ wrappers for libglade2 (documentation) Libglade is a library which enables an application to build its graphical interface from an XML file (generated by Glade) at runtime. libglademm is the C++ wrapper for libglade. . This package contains reference documentation and examples. Homepage: http://www.gtkmm.org/ Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libglademm2.4/libglademm-2.4-doc_2.6.7-2_all.deb Package: libgladeui-1-9 Source: glade-3 Version: 3.6.7-2.1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 4962 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.16.0), libpango1.0-0 (>= 1.18.0), libpython2.7 (>= 2.7), libxml2 (>= 2.7.4) Homepage: http://glade.gnome.org Priority: optional Section: libs Filename: pool/main/g/glade-3/libgladeui-1-9_3.6.7-2.1_armhf.deb Size: 1728514 SHA256: 555814bed0c3f6dda8e2a3f5ecdcdb2d0417697113bbd4a27ef4bab1b545187a SHA1: 474dcc8260e2fece777b1e356ae7e5b8b22fe04d MD5sum: 362c2f89d150cc8a6ce3eba287aa8fab Description: GTK+ User Interface Build core library Glade is a RAD tool to enable quick and easy development of user interfaces for the GTK+ 2 toolkit. . Glade is, since its "3.0" major version, highly modular, and composed of widgets which can be used by other applications to integrate functionality similar to the one provided by the Glade application itself. . This library provides all the widgets and core code, like parsing and saving files. Package: libgladeui-1-dev Source: glade-3 Version: 3.6.7-2.1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1635 Depends: libgladeui-1-9 (= 3.6.7-2.1), libgtk2.0-dev (>= 2.14.0), libxml2-dev (>= 2.4.0) Homepage: http://glade.gnome.org Priority: optional Section: libdevel Filename: pool/main/g/glade-3/libgladeui-1-dev_3.6.7-2.1_armhf.deb Size: 313682 SHA256: 8ef086b50560ef2fe06714339d79f754fbca825c9057200a31c4431ee4140d12 SHA1: 402accda5eae55b94bca4d1665507beafdc4311d MD5sum: 8bd117a8c24ee2bbe1ae4aec3d0fac76 Description: GTK+ User Interface Build core library (development files) Glade is a RAD tool to enable quick and easy development of user interfaces for the GTK+ 2 toolkit. . Glade is, since its "3.0" major version, highly modular, and composed of widgets which can be used by other applications to integrate functionality similar to the one provided by the Glade application itself. . This library provides all the widgets and core code, like parsing and saving files. . This package contains the development files and documentation for the library. Package: libgladeui-2-0 Source: glade Version: 3.12.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1091 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.4.0), libpango1.0-0 (>= 1.18.0), libxml2 (>= 2.7.4), libgladeui-common (= 3.12.1-1) Homepage: http://glade.gnome.org/ Priority: optional Section: libs Filename: pool/main/g/glade/libgladeui-2-0_3.12.1-1_armhf.deb Size: 542222 SHA256: a8449f37332092e6897de386d92777e1443a356aaed024ee083fc216e28cc23f SHA1: 23adbaf6d172f7305437d11f4de0ceaa14ad8983 MD5sum: 6908cbbced4a36894a90a1eb1d0588f2 Description: GTK+ User Interface Build core library Glade is a RAD tool to enable quick and easy development of user interfaces for the GTK+ toolkit. . Glade is, since its "3.0" major version, highly modular, and composed of widgets which can be used by other applications to integrate functionality similar to the one provided by the Glade application itself. . This library provides all the widgets and core code, like parsing and saving files. Package: libgladeui-common Source: glade Version: 3.12.1-1 Installed-Size: 5565 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libgladeui-1-9 Size: 1884984 SHA256: ca5dcac5cf5b11eb849a12e738ad9b7ae845fc39e66d215f19d53b399978eb30 SHA1: ae649cbecd8fb8c158692559d2b8b17832f1d84e MD5sum: ccb35652fcb629dae91e062adc978e03 Description: GTK+ User Interface Build core library (common files) Glade is a RAD tool to enable quick and easy development of user interfaces for the GTK+ toolkit. . Glade is, since its "3.0" major version, highly modular, and composed of widgets which can be used by other applications to integrate functionality similar to the one provided by the Glade application itself. . This library provides all the widgets and core code, like parsing and saving files. . This package contains the common files. Homepage: http://glade.gnome.org/ Tag: role::app-data Section: libs Priority: optional Filename: pool/main/g/glade/libgladeui-common_3.12.1-1_all.deb Package: libgladeui-dev Source: glade Version: 3.12.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 940 Depends: libgladeui-2-0 (= 3.12.1-1), gir1.2-gladeui-2.0 (= 3.12.1-1), libgtk-3-dev (>= 3.4.0), libxml2-dev (>= 2.4.0) Suggests: libgladeui-doc Homepage: http://glade.gnome.org/ Priority: optional Section: libdevel Filename: pool/main/g/glade/libgladeui-dev_3.12.1-1_armhf.deb Size: 260816 SHA256: 81f472879dd99819518b68accdab0b1d8adc47eec098a620ff02b38e93150370 SHA1: 10f56d0b2c7ba8deee1c91f78dc3a626e1615d9e MD5sum: 0c63a49b615c4a47a50644da0ed07c8d Description: GTK+ User Interface Build core library (development files) Glade is a RAD tool to enable quick and easy development of user interfaces for the GTK+ toolkit. . Glade is, since its "3.0" major version, highly modular, and composed of widgets which can be used by other applications to integrate functionality similar to the one provided by the Glade application itself. . This library provides all the widgets and core code, like parsing and saving files. . This package contains the development files and documentation for the library. Package: libgladeui-doc Source: glade Version: 3.12.1-1 Installed-Size: 1291 Maintainer: Debian GNOME Maintainers Architecture: all Size: 288848 SHA256: 7cc420224c94cd94abef027ecd91dc191e286a556beae768c0d86e7332d25081 SHA1: 368397b17aa570ae384f0e958b84cd8d0fa2ae18 MD5sum: e880731e8157c1f8a2ea0b7e9425387e Description: GTK+ User Interface Build core library (documentation) Glade is a RAD tool to enable quick and easy development of user interfaces for the GTK+ toolkit. . Glade is, since its "3.0" major version, highly modular, and composed of widgets which can be used by other applications to integrate functionality similar to the one provided by the Glade application itself. . This library provides all the widgets and core code, like parsing and saving files. . This package contains the documentation for the library. Homepage: http://glade.gnome.org/ Tag: devel::doc, devel::ui-builder, role::documentation, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/g/glade/libgladeui-doc_3.12.1-1_all.deb Package: libglapi-mesa Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 207 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/m/mesa/libglapi-mesa_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 52006 SHA256: 892b434a0d06179ec1580c1fdf5c62c74d980c3e3c30d3f7d4bf452676e07a60 SHA1: 7eccfe16b4ba924da6196e20f87286f2a45eb995 MD5sum: e0940106fc6200812df153adad0f7566 Description: free implementation of the GL API -- shared library The Mesa GL API module is responsible for dispatching all the gl* functions. It is intended to be mainly used by both the libgles1-mesa and libgles2-mesa packages. Package: libglapi-mesa-dbg Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 432 Depends: libglapi-mesa (= 8.0.5-4+deb7u2+rpi1) Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/m/mesa/libglapi-mesa-dbg_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 97020 SHA256: 280a91acb135edeaa14afc51a53da2008185861a95e71d3dc3b29cea0fe99e90 SHA1: 8b4db730796c98088ac552f7e059ee4a94ed39e7 MD5sum: 4f0f1a074d687305526014074ca2b9db Description: free implementation of the GL API -- debugging symbols The Mesa GL API module is responsible for dispatching all the gl* functions. It is intended to be mainly used by both the libgles1-mesa and libgles2-mesa packages. . This package contains debugging symbols for the GL API library. Package: libglazedlists-java Version: 1.8.0.dfsg-4 Installed-Size: 590 Maintainer: Debian Java Maintainers Architecture: all Size: 490362 SHA256: dc03101bb7b695008fc456df247077211eef96c62e40e0c9ec0a2cf9ad72842a SHA1: 27b08d3da42935bfa4fdf18b550f69ac5a8d8b29 MD5sum: c35e76d7a49e7b9117dec2ee0d01b0ae Description: java list transformation library Glazed Lists features: * API Compatibility with ArrayList * Generic TableModels * Easy dynamic filtering & sorting * High performance * Designed for concurrency * Swing or SWT * Free and open Homepage: http://sites.google.com/site/glazedlists/ Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib Section: java Priority: optional Filename: pool/main/libg/libglazedlists-java/libglazedlists-java_1.8.0.dfsg-4_all.deb Package: libglazedlists-java-doc Source: libglazedlists-java Version: 1.8.0.dfsg-4 Installed-Size: 4254 Maintainer: Debian Java Maintainers Architecture: all Suggests: libglazedlists-java Size: 371958 SHA256: e856c0d2fc731d5aa3a926f3be5694e225bdf3ebbd979bed1eb3383cc241c9d5 SHA1: ba04d886d92a0de11c0977ad3350f006a50d48e7 MD5sum: 061517aac41b1f36cfcfd080b83adc11 Description: java list transformation library (documentation) Glazed Lists features: * API Compatibility with ArrayList * Generic TableModels * Easy dynamic filtering & sorting * High performance * Designed for concurrency * Swing or SWT * Free and open . This package contains the documentation for the library. Homepage: http://sites.google.com/site/glazedlists/ Tag: devel::doc, devel::lang:java, devel::library, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libglazedlists-java/libglazedlists-java-doc_1.8.0.dfsg-4_all.deb Package: libglbsp-dev Source: glbsp Version: 2.24-1 Architecture: armhf Maintainer: Darren Salt Installed-Size: 142 Depends: libglbsp3 (>= 2.24-1) Priority: optional Section: libdevel Filename: pool/main/g/glbsp/libglbsp-dev_2.24-1_armhf.deb Size: 47282 SHA256: e526902d7262acc703d7272452ad7c88c2dd8487e1510a013fd7b957593ef9c5 SHA1: 58ec72bc2efafa52069450c027db842d29bd0bad MD5sum: df5b54cbdffb918f3dff1a53cee457cf Description: node builder library for OpenGL-based Doom-style games (headers) glBSP is a node builder specially designed to be used with OpenGL ports of the DOOM game engine. It adheres to the "GL-Friendly Nodes" specification, which means it adds some new special nodes to a WAD file that makes it very easy (and fast!) for an OpenGL DOOM engine to compute the polygons needed for drawing the levels. . There are many DOOM ports that understand the GL Nodes which glBSP creates, including EDGE, the Doomsday engine (JDOOM), Doom3D, PrBoom, and Vavoom. Package: libglbsp3 Source: glbsp Version: 2.24-1 Architecture: armhf Maintainer: Darren Salt Installed-Size: 102 Depends: libc6 (>= 2.4), zlib1g (>= 1:1.1.4) Priority: optional Section: libs Filename: pool/main/g/glbsp/libglbsp3_2.24-1_armhf.deb Size: 43564 SHA256: 638dbaa0a5579696f42e12c79f969bb3b664911b27b8c02fd8890cd01863ed5c SHA1: 0b67afba4c47cb67963a852efacf0b68b49da603 MD5sum: 3630ec46e59ac9d1d2d986c1535bd599 Description: node builder library for OpenGL-based Doom-style games glBSP is a node builder specially designed to be used with OpenGL ports of the DOOM game engine. It adheres to the "GL-Friendly Nodes" specification, which means it adds some new special nodes to a WAD file that makes it very easy (and fast!) for an OpenGL DOOM engine to compute the polygons needed for drawing the levels. . There are many DOOM ports that understand the GL Nodes which glBSP creates, including EDGE, the Doomsday engine (JDOOM), Doom3D, PrBoom, and Vavoom. Package: libglc-dev Source: quesoglc Version: 0.7.2-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 211 Depends: libglc0 (= 0.7.2-5), libfreetype6-dev, libfribidi-dev, libfontconfig1-dev, libgl1-mesa-dev | libgl-dev, libglu1-mesa-dev | libglu-dev, libz-dev Homepage: http://quesoglc.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/q/quesoglc/libglc-dev_0.7.2-5_armhf.deb Size: 75200 SHA256: f0c4fa611377775f5fc0f2fc7fce3b3cbb729f2bb0ce3a6097500f80360cca35 SHA1: 43c73aedf651341d0b86636f4457524807e0e852 MD5sum: 5e79d9f8b7c19cc8407ca027e5e539b0 Description: An implementation of SGI's OpenGL Character Renderer (GLC) The OpenGL Character Renderer (GLC) is a state machine that provides OpenGL programs with character rendering services via an application programming interface (API). . This package contains the development files. Package: libglc0 Source: quesoglc Version: 0.7.2-5 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 153 Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libfribidi0 (>= 0.19.2), libgl1-mesa-glx | libgl1, libglewmx1.7 (>= 1.7.0), libglu1-mesa | libglu1 Homepage: http://quesoglc.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/q/quesoglc/libglc0_0.7.2-5_armhf.deb Size: 64456 SHA256: c9b9c884a752e7e9fa0c94a809581c84ec483e13b34316bd684da666bb5955b1 SHA1: e4112ab28097ecabf86d825d409c499db7d7a555 MD5sum: 98c3cde4ad497be69d0ea7beae6098ff Description: QuesoGLC GLC implementation QuesoGLC OpenGL Character Renderer (GLC) library Package: libgle3 Source: gle Version: 3.1.0-7 Architecture: armhf Maintainer: Jamie Wilkinson Installed-Size: 123 Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, xlibmesa3-gl | libgl1 Conflicts: glutg3 (<< 3.7-13) Priority: optional Section: libs Filename: pool/main/g/gle/libgle3_3.1.0-7_armhf.deb Size: 47644 SHA256: baeab5d40a76f6fd6027eca4079b5dfe688ab88268c3dd16c34dd72cc0e27a99 SHA1: cdfbab42ad215bc942b16cf48387bb846e4ded6b MD5sum: 7881d16d810b96e6c09434f2669e165f Description: OpenGL tubing and extrusion library The GLE Tubing and Extrusion library is an extension to OpenGL for drawing tubing and extrusions, including surfaces of revolution, sweeps, tubes, polycones, polycylinders, and helicoids. Generally the extruded surface is specified with a 2D polyline that is extruded along a 3D path. A local coordinate system allows for additional flexibility in the primitives drawn. Extrusions may be texture mapped in a variety of ways. Package: libgle3-dev Source: gle Version: 3.1.0-7 Architecture: armhf Maintainer: Jamie Wilkinson Installed-Size: 188 Depends: libgle3 (= 3.1.0-7), libc6-dev, libgl1-mesa-dev | libgl-dev Suggests: gle-doc Conflicts: glutg3-dev (<< 3.7-13), libgle-dev (<= 3.0.7-2) Replaces: libgle-dev (<= 3.0.7-2) Provides: libgle-dev Priority: optional Section: libdevel Filename: pool/main/g/gle/libgle3-dev_3.1.0-7_armhf.deb Size: 70504 SHA256: 369b2202e7a8fb9d95632dae82e4705315128a7073d6022aba48f93ad09452f4 SHA1: 30eb558c04901047cde26b6be1b1b3a761c46c4e MD5sum: fc61dc9279e8bc7c8d20923b34418b04 Description: OpenGL tubing and extrusion library development files The GLE Tubing and Extrusion library is an extension to OpenGL for drawing tubing and extrusions, including surfaces of revolution, sweeps, tubes, polycones, polycylinders, and helicoids. Generally the extruded surface is specified with a 2D polyline that is extruded along a 3D path. A local coordinate system allows for additional flexibility in the primitives drawn. Extrusions may be texture mapped in a variety of ways. . This package contains header files and static libraries. Package: libglee0d1 Source: glee Version: 5.4.0-1 Architecture: armhf Maintainer: Miriam Ruiz Installed-Size: 579 Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1 Homepage: http://elf-stone.com/glee.php Priority: optional Section: libs Filename: pool/main/g/glee/libglee0d1_5.4.0-1_armhf.deb Size: 157428 SHA256: 455dbe3038fbb5f869121e924dda05135ecfc5931c90e2d39eb613af18b9dda2 SHA1: c515da3d3cc70aedf91000f5776a93d2af18ce6a MD5sum: 74ec760de3a2abfbb387523090517745 Description: extension loading library for OpenGL GLee (GL Easy Extension library) is a free cross-platform extension loading library for OpenGL. It provides seamless support for OpenGL functions up to version 3.0 and 398 extensions. . This package contains the shared objects needed for runtime. Package: libglee0d1-dbg Source: glee Version: 5.4.0-1 Architecture: armhf Maintainer: Miriam Ruiz Installed-Size: 896 Depends: libglee0d1 (= 5.4.0-1) Homepage: http://elf-stone.com/glee.php Priority: extra Section: debug Filename: pool/main/g/glee/libglee0d1-dbg_5.4.0-1_armhf.deb Size: 251324 SHA256: 8a34975c99ed097c60b430b125f50c29010ba8685bf7efda2825b03b866892f0 SHA1: 9016abf6767496fbeec7420e8f0e75c40c7e5e6a MD5sum: 65c8cfefa14057c6d00944a45091de39 Description: extension loading library for OpenGL - debugging GLee (GL Easy Extension library) is a free cross-platform extension loading library for OpenGL. It provides seamless support for OpenGL functions up to version 3.0 and 398 extensions. . This package contains the debugging symbols. Package: libgles1-mesa Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 116 Pre-Depends: multiarch-support Depends: libglapi-mesa (= 8.0.5-4+deb7u2+rpi1), libc6 (>= 2.13-28), libdrm2 (>= 2.3.1) Conflicts: libgles1 Replaces: libgles1 Provides: libgles1 Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/m/mesa/libgles1-mesa_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 40682 SHA256: ea861a14d7359cc071541f8c85ebfc0e021af7a7822cddc0f21f2de6d8a5e1a4 SHA1: a023a48143e1bb6795bef33d6ef327b9b20a5f01 MD5sum: 95e3fdc527caf918ece90aadcc0a84ff Description: free implementation of the OpenGL|ES 1.x API -- runtime OpenGL|ES is a cross-platform API for full-function 2D and 3D graphics on embedded systems - including consoles, phones, appliances and vehicles. It contains a subset of OpenGL plus a number of extensions for the special needs of embedded systems. . OpenGL|ES 1.x provides an API for fixed-function hardware. Package: libgles1-mesa-dbg Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 161 Depends: libgles1-mesa (= 8.0.5-4+deb7u2+rpi1) Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/m/mesa/libgles1-mesa-dbg_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 49188 SHA256: d70a6a29c4a89f41ddc8d1e2dc87424be08738cd3d06590a14e4cea3b9f067c6 SHA1: 3f425f0a4cb051a5e35c9e5cbcf19e016fb258b0 MD5sum: 69aff69ea9875fb9856d059cd3293b35 Description: free implementation of the OpenGL|ES 1.x API -- debugging symbols OpenGL|ES is a cross-platform API for full-function 2D and 3D graphics on embedded systems - including consoles, phones, appliances and vehicles. It contains a subset of OpenGL plus a number of extensions for the special needs of embedded systems. . OpenGL|ES 1.x provides an API for fixed-function hardware. . This package contains the debugging symbols for the libGLESv1_CM library. Package: libgles1-mesa-dev Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 175 Depends: libgles1-mesa (= 8.0.5-4+deb7u2+rpi1), libegl1-mesa-dev Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/m/mesa/libgles1-mesa-dev_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 44196 SHA256: a2ca7edb19a73a6396555debcce67826a7f788bfb93801fbc89878420c362fd5 SHA1: f63c0a9e1fd1a49dd146de66d5de285e1ae68d28 MD5sum: 6064c2aa3374371158731aefd4584c0b Description: free implementation of the OpenGL|ES 1.x API -- development files OpenGL|ES is a cross-platform API for full-function 2D and 3D graphics on embedded systems - including consoles, phones, appliances and vehicles. It contains a subset of OpenGL plus a number of extensions for the special needs of embedded systems. . OpenGL|ES 1.x provides an API for fixed-function hardware. . This package provides a development environment for building programs using the OpenGL|ES 1.x APIs. Package: libgles2-mesa Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 107 Pre-Depends: multiarch-support Depends: libglapi-mesa (= 8.0.5-4+deb7u2+rpi1), libc6 (>= 2.13-28), libdrm2 (>= 2.3.1) Conflicts: libgles2 Replaces: libgles2 Provides: libgles2 Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/m/mesa/libgles2-mesa_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 39072 SHA256: 0b87de72fb2130c6c6e75d096c27e3ca5c3e55559b65398e6b8a8ddd175e58d9 SHA1: f45798b24bb2a5f15eaf780ec80b80090729be7d MD5sum: 8c5ae9ce463b2d8164f4cfd63b7f35c6 Description: free implementation of the OpenGL|ES 2.x API -- runtime OpenGL|ES is a cross-platform API for full-function 2D and 3D graphics on embedded systems - including consoles, phones, appliances and vehicles. It contains a subset of OpenGL plus a number of extensions for the special needs of embedded systems. . OpenGL|ES 2.x provides an API for programmable hardware including vertex and fragment shaders. Package: libgles2-mesa-dbg Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 141 Depends: libgles2-mesa (= 8.0.5-4+deb7u2+rpi1) Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/m/mesa/libgles2-mesa-dbg_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 45636 SHA256: a7f15a78558ea65bc0e77ead23c25b9438db9f5205386bcb15c487000c2eba3c SHA1: 26392fdc9aa99fd05238b30492320a4441a8af39 MD5sum: 3807204e175e6a0406f917b7c3314d6e Description: free implementation of the OpenGL|ES 2.x API -- debugging symbols OpenGL|ES is a cross-platform API for full-function 2D and 3D graphics on embedded systems - including consoles, phones, appliances and vehicles. It contains a subset of OpenGL plus a number of extensions for the special needs of embedded systems. . OpenGL|ES 2.x provides an API for programmable hardware including vertex and fragment shaders. . This package contains the debugging symbols for the libGLESv2 library. Package: libgles2-mesa-dev Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 168 Depends: libgles2-mesa (= 8.0.5-4+deb7u2+rpi1), libegl1-mesa-dev Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/m/mesa/libgles2-mesa-dev_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 43150 SHA256: 8a3866a5132952d116dac46cae7750e28090bffc3af228969e366517e73865d7 SHA1: ac190b4b3013abc2bdec1ded3d9844355572557e MD5sum: 99ae6b34290104381f223fc1dcac52c8 Description: free implementation of the OpenGL|ES 2.x API -- development files OpenGL|ES is a cross-platform API for full-function 2D and 3D graphics on embedded systems - including consoles, phones, appliances and vehicles. It contains a subset of OpenGL plus a number of extensions for the special needs of embedded systems. . OpenGL|ES 2.x provides an API for programmable hardware including vertex and fragment shaders. . This package provides a development environment for building applications using the OpenGL|ES 2.x APIs. Package: libglew-dev Source: glew Version: 1.7.0-3 Architecture: armhf Maintainer: Matteo F. Vescovi Installed-Size: 992 Depends: libgl1-mesa-dev | libgl-dev, libglew1.7 (= 1.7.0-3), libglu1-mesa-dev | libglu-dev Conflicts: libglew1.6-dev Provides: libglew1.5-dev, libglew1.6-dev Multi-Arch: same Homepage: http://glew.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/glew/libglew-dev_1.7.0-3_armhf.deb Size: 144934 SHA256: ed9b4a8c38f540b0f0e480fd605cd0d04df41d805f02742b48733ab30099a760 SHA1: 35ba059afd9b79a22113c9c6337f444815f7e23a MD5sum: 075d2a6f79d33c1203d281dd4027f5b4 Description: OpenGL Extension Wrangler - development environment The OpenGL Extension Wrangler, GLEW for short, is a library that handles initialization of OpenGL extensions in a portable and simple way. Once the program initializes the library and checks the availability of extensions, it can safely call the entry points defined by the extension. Currently GLEW supports almost all the extensions found in the OpenGL extension registry (http://www.opengl.org/registry). . This package contains the development documentation as well as the required header files. Package: libglew1.7 Source: glew Version: 1.7.0-3 Architecture: armhf Maintainer: Matteo F. Vescovi Installed-Size: 557 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libx11-6, libxext6, libxi6, libxmu6 Suggests: glew-utils Conflicts: libglew1 Multi-Arch: same Homepage: http://glew.sourceforge.net Priority: optional Section: libs Filename: pool/main/g/glew/libglew1.7_1.7.0-3_armhf.deb Size: 153742 SHA256: 5639f4b027fd1eb3ec386ea145b961e094d90929a8e52c840a67fa70a6210471 SHA1: d890a5d103977694264fdd791decb31934f287f4 MD5sum: 96aeef8f2192f25224e0a6da86b20838 Description: OpenGL Extension Wrangler - runtime environment For more information about GLEW please refer to the description of the libglew-dev package. . This package contains the runtime support files. Package: libglewmx-dev Source: glew Version: 1.7.0-3 Architecture: armhf Maintainer: Matteo F. Vescovi Installed-Size: 47 Depends: libglew-dev, libglewmx1.7 (= 1.7.0-3) Conflicts: libglewmx1.6-dev Provides: libglewmx1.5-dev, libglewmx1.6-dev Multi-Arch: same Homepage: http://glew.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/glew/libglewmx-dev_1.7.0-3_armhf.deb Size: 7984 SHA256: 2380aea87c3989bad4a78eb0aecdf921245301041a763131ae2ad0fbbbb6cefa SHA1: 74066ae2751252953862a7cc55683e0060cfc523 MD5sum: 313b538673882b8ff56b23e7a76679bc Description: OpenGL Extension Wrangler MX - development environment The OpenGL Extension Wrangler, GLEW for short, is a library that handles initialization of OpenGL extensions in a portable and simple way. Once the program initializes the library and checks the availability of extensions, it can safely call the entry points defined by the extension. Currently GLEW supports almost all the extensions found in the OpenGL extension registry (http://www.opengl.org/registry). . This package contains the development libraries compiled with GLEW_MX. Package: libglewmx1.7 Source: glew Version: 1.7.0-3 Architecture: armhf Maintainer: Matteo F. Vescovi Installed-Size: 487 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libx11-6, libxext6, libxi6, libxmu6 Conflicts: libglew1 Multi-Arch: same Homepage: http://glew.sourceforge.net Priority: optional Section: libs Filename: pool/main/g/glew/libglewmx1.7_1.7.0-3_armhf.deb Size: 132914 SHA256: 88ee8d65eab43778a8771d7a554d75401e9a1f573d88c6f8578dfd132df7cf08 SHA1: cf71c83a9b4e693ec611d49f6140197f8143e27d MD5sum: bd0205dbe9a6067c3b8b6476ead8a106 Description: OpenGL Extension Wrangler (Multiple Rendering Contexts) For more information about GLEW please refer to the description of the libglewmx-dev package. . This package contains the runtime support files, built with GLEW_MX option, adding support for thread-safe usage of multiple rendering contexts. Package: libglfw-dev Source: glfw Version: 2.7.2-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 144 Depends: libglfw2 (= 2.7.2-1), libglu1-mesa-dev | libglu-dev, mesa-common-dev Homepage: http://www.glfw.org/ Priority: optional Section: libdevel Filename: pool/main/g/glfw/libglfw-dev_2.7.2-1_armhf.deb Size: 38750 SHA256: f1c3836718e60d83a1e15d80fa4c460eda4055e63f274fb5949f04dd52ebca62 SHA1: d02b09bdf0464c326bae30a98000082640efacb0 MD5sum: ee5da285da608b313e7da23be8f756b8 Description: header files and static libraries portable framework for OpenGL application development GLFW is a free, Open Source, framework for OpenGL application development. In short, it is a single library providing a powerful, portable API for otherwise operating system specific tasks such as opening an OpenGL window, and reading keyboard, time, mouse and joystick input. . It also provides functions accessing OpenGL extensions, creating and synchronizing threads and reading textures from files. . This package provides the header files and static libraries. Package: libglfw2 Source: glfw Version: 2.7.2-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 141 Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libx11-6, libxrandr2 (>= 4.3) Homepage: http://www.glfw.org/ Priority: optional Section: libs Filename: pool/main/g/glfw/libglfw2_2.7.2-1_armhf.deb Size: 50830 SHA256: 39c924f6ffa0bbaffd3f2f102a1da0425ef61f207c8e7728e328ae8ebdb98a44 SHA1: 4888c62d6dbca0932d9692f237a7ffcbedffd8e0 MD5sum: 22da595d8897e1374485c72d7ae0f3ff Description: Shared libraries portable framework for OpenGL application development GLFW is a free, Open Source, framework for OpenGL application development. In short, it is a single library providing a powerful, portable API for otherwise operating system specific tasks such as opening an OpenGL window, and reading keyboard, time, mouse and joystick input. . It also provides functions accessing OpenGL extensions, creating and synchronizing threads and reading textures from files. . This package provides the shared libraries. Package: libglib-object-introspection-perl Version: 0.009-1+deb7u1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 161 Depends: perl (>= 5.14.2-21+rpi2), perlapi-5.14.2, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgirepository-1.0-1 (>= 1.31.0), libglib2.0-0 (>= 2.24.0), libglib-perl Homepage: http://search.cpan.org/dist/Glib-Object-Introspection/ Priority: optional Section: perl Filename: pool/main/libg/libglib-object-introspection-perl/libglib-object-introspection-perl_0.009-1+deb7u1_armhf.deb Size: 38204 SHA256: a1b3296193fcad50555f8c4ac07853071df57c51c5fb392b72a4591f17ce1b4f SHA1: ce522fd81bb5fc97cdf681e0e6cc7748bb2b8fca MD5sum: a4aa21756ae7a74541e2eea8a0b0aeda Description: Perl bindings for gobject-introspection libraries GObject is an abstraction layer that allows programming with an object paradigm that is compatible with many languages. It is a part of Glib, the core library used to build GTK+ and GNOME. . This package contains Glib::Object::Introspection, the Perl binding generator for libraries that support gobject-introspection, such as GTK+, WebKit, libsoup and many more. Package: libglib-perl Version: 3:1.260-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 832 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), perl (>= 5.14.2-11), perlapi-5.14.2 Homepage: http://gtk2-perl.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libg/libglib-perl/libglib-perl_1.260-1_armhf.deb Size: 381074 SHA256: 3260b7f574c7a1edce9cb76874e06bfc4ad194503546929eeb14f8d9e7606e41 SHA1: e32152701d4bf069b129dd5fead6ec9970506c4b MD5sum: 21d9e3bd30cdf00b592c98a09fde2a7a Description: interface to the GLib and GObject libraries Glib provides a Perl interface to the GLib and GObject libraries, as part of gtk2-perl. It attempts to provide a perlish interface while remaining as true as possible to the underlying C API, so perusing the reference manual is recommended (see ). Package: libglib2-ruby Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-glib2 Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libglib2-ruby_1.1.3-2_all.deb Size: 10110 SHA256: 0748c9960440d9e098daacc508d72b66522de28977e837642ce10af24841ca3e SHA1: 7e90528d09792431725a73f5627bac2710559f93 MD5sum: e7103cbddc29152851d9394f280c3b18 Description: Transitional package for ruby-glib2 This is a transitional package to ease upgrades to the ruby-glib2 package. It can safely be removed. Package: libglib2-ruby1.8 Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-glib2 Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libglib2-ruby1.8_1.1.3-2_all.deb Size: 10116 SHA256: c7028a5a13dcc30ce7cea337d07666c7c2cbfa58314826816b7edb8e22b76cb6 SHA1: 5e56425105d70d1f4b5007f7801e54673be94a19 MD5sum: 698e6a5de8ab85ff57c8537472242495 Description: Transitional package for ruby-glib2 This is a transitional package to ease upgrades to the ruby-glib2 package. It can safely be removed. Package: libglib2-ruby1.8-dbg Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-glib2 Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libglib2-ruby1.8-dbg_1.1.3-2_all.deb Size: 10122 SHA256: 50a7ab183fa00def6e94231cdcb43c21aa829764b1e58c9a73c9ddec85cf6f7b SHA1: 1675b9dc0fba100e60bbdb592e644b3db9ad16d8 MD5sum: 760628f998bf96641085d8726a87f7ee Description: Transitional package for ruby-glib2 This is a transitional package to ease upgrades to the ruby-glib2 package. It can safely be removed. Package: libglib2.0-0 Source: glib2.0 Version: 2.33.12+really2.32.4-5 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 3529 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libselinux1 (>= 1.32), zlib1g (>= 1:1.2.2) Recommends: libglib2.0-data, shared-mime-info Breaks: gvfs (<< 1.8) Replaces: libglib2.0-dev (<< 2.23.2-2) Multi-Arch: same Homepage: http://www.gtk.org/ Priority: optional Section: libs Filename: pool/main/g/glib2.0/libglib2.0-0_2.33.12+really2.32.4-5_armhf.deb Size: 1689010 SHA256: 57d37202645ef2992c74b2379c7735b8fca0162abd45d7b42f93f59ee0c30982 SHA1: 4d30d6faac15da2e2e88d98466066838ff1c16fd MD5sum: a657767f6643b116a21d3bbfd28b05ce Description: GLib library of C routines GLib is a library containing many useful C routines for things such as trees, hashes, lists, and strings. It is a useful general-purpose C library used by projects such as GTK+, GIMP, and GNOME. . This package contains the shared libraries. Package: libglib2.0-0-dbg Source: glib2.0 Version: 2.33.12+really2.32.4-5 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 6768 Depends: libglib2.0-0 (= 2.33.12+really2.32.4-5) Conflicts: libglib2.0-dbg Replaces: libglib2.0-dbg Homepage: http://www.gtk.org/ Priority: extra Section: debug Filename: pool/main/g/glib2.0/libglib2.0-0-dbg_2.33.12+really2.32.4-5_armhf.deb Size: 2497972 SHA256: 4232dcfdccad8df624b54b67d181d49645cdee4e318b14d2f26c3a9da61f8d83 SHA1: bfdc78d79c779eeceef3d000c8b7cad7dc28c9e1 MD5sum: 7219b4732448e5600b062a91aa8780ab Description: Debugging symbols for the GLib libraries GLib is a library containing many useful C routines for things such as trees, hashes, lists, and strings. It is a useful general-purpose C library used by projects such as GTK+, GIMP, and GNOME. . This package contains detached debugging symbols. . Most people will not need this package. Package: libglib2.0-0-refdbg Source: glib2.0 Version: 2.33.12+really2.32.4-5 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1860 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libglib2.0-0 (= 2.33.12+really2.32.4-5) Multi-Arch: same Homepage: http://www.gtk.org/ Priority: extra Section: debug Filename: pool/main/g/glib2.0/libglib2.0-0-refdbg_2.33.12+really2.32.4-5_armhf.deb Size: 1135942 SHA256: a1266baf2ce0ea1609d16e56b1064cef5cbae85820208a08fc6677e940ff13ba SHA1: 02a120298a65c6ed80a2b9e98cda46bdeba2016f MD5sum: 8b16a390584f8c26e5c6cb70b5d4b9cc Description: GLib library of C routines - refdbg library GLib is a library containing many useful C routines for things such as trees, hashes, lists, and strings. It is a useful general-purpose C library used by projects such as GTK+, GIMP, and GNOME. . This package contains the shared library built with --disable-Bsymbolic so that it can be used with refdbg, a GObject refcount debugger. Package: libglib2.0-bin Source: glib2.0 Version: 2.33.12+really2.32.4-5 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 940 Pre-Depends: dpkg (>= 1.15.7.2) Depends: libc6 (>= 2.13-28), libelf1 (>= 0.142), libgcc1 (>= 1:4.4.0), libglib2.0-0 (= 2.33.12+really2.32.4-5), libglib2.0-data Conflicts: libglib2.0-0 (<< 2.25.11-2) Replaces: libglib2.0-0 (<< 2.25.11-2), libglib2.0-dev (<< 2.25.11-2) Multi-Arch: foreign Homepage: http://www.gtk.org/ Priority: optional Section: misc Filename: pool/main/g/glib2.0/libglib2.0-bin_2.33.12+really2.32.4-5_armhf.deb Size: 866822 SHA256: 6718ad4c73d93ef294a804827d0ba6b89d52f7659f2cf10ab983fbc98a4f2fad SHA1: b81de34d7ad735ce3d4097e8fba7a74dadd7633a MD5sum: 766fa84ec981bbe9ab6ce40d28a6a40c Description: Programs for the GLib library GLib is a library containing many useful C routines for things such as trees, hashes, lists, and strings. It is a useful general-purpose C library used by projects such as GTK+, GIMP, and GNOME. . This package contains the program files which is used for the libraries and others. Package: libglib2.0-cil Source: gtk-sharp2 Version: 2.12.10-5 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 300 Depends: cli-common (>= 0.5.6), libglib2.0-0 (>= 2.32.3), libmono-corlib4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.7), libc6 (>= 2.13-28) Suggests: monodoc-gtk2.0-manual Replaces: gtk-sharp2-gapi (<< 2.10.0-1) Homepage: http://www.mono-project.com/GtkSharp Priority: optional Section: libs Filename: pool/main/g/gtk-sharp2/libglib2.0-cil_2.12.10-5_armhf.deb Size: 185660 SHA256: d068b15274c83c380b7d6d112d4adb56fcd736339dc6b0286ad75bd418caa18b SHA1: fe529ec6b939b10bf0b81d2f5fc8d47572da4619 MD5sum: 711c2cd0744ac550a7adf0e4cd90fbfc Description: CLI binding for the GLib utility library 2.12 This package provides the glib-sharp assembly that allows CLI (.NET) programs to use the GLib utility library 2.12. This is mostly useful for the GTK+ and GNOME bindings. . GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit . This package contains the glib-sharp assembly Package: libglib2.0-cil-dev Source: gtk-sharp2 Version: 2.12.10-5 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 174 Depends: libglib2.0-cil (= 2.12.10-5) Replaces: libglib2.0-cil (<< 2.12.9-2) Homepage: http://www.mono-project.com/GtkSharp Priority: optional Section: libs Filename: pool/main/g/gtk-sharp2/libglib2.0-cil-dev_2.12.10-5_armhf.deb Size: 141140 SHA256: 12954dac90c919f077406533c4a46623fbbb14dfb3db2784167496b74da58068 SHA1: a88221bdfa51e42f74997855148038c7ee43b4fe MD5sum: 138f487f0404a22635f11f9184cc6b0f Description: CLI binding for the GLib utility library 2.12 This package provides the glib-sharp assembly that allows CLI (.NET) programs to use the GLib utility library 2.12. This is mostly useful for the GTK+ and GNOME bindings. . GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit . This package contains development files for the glib-sharp library, and should be used for compilation Package: libglib2.0-data Source: glib2.0 Version: 2.33.12+really2.32.4-5 Installed-Size: 7655 Maintainer: Debian GNOME Maintainers Architecture: all Size: 1607304 SHA256: 463b25f80ff0617e86b4d26ba9e6ccbdb3fec58fd6fe7f7a13609b608266db2d SHA1: 61caaed360904443a7574f1b87334ec128d9ecc0 MD5sum: 65db6eebe09cda1afd54f31d3ffae98d Description: Common files for GLib library GLib is a library containing many useful C routines for things such as trees, hashes, lists, and strings. It is a useful general-purpose C library used by projects such as GTK+, GIMP, and GNOME. . This package is needed for the runtime libraries to display messages in languages other than English. Multi-Arch: foreign Homepage: http://www.gtk.org/ Tag: role::app-data Section: libs Priority: optional Filename: pool/main/g/glib2.0/libglib2.0-data_2.33.12+really2.32.4-5_all.deb Package: libglib2.0-dev Source: glib2.0 Version: 2.33.12+really2.32.4-5 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 6685 Depends: libc6 (>= 2.13-28), libglib2.0-0 (= 2.33.12+really2.32.4-5), libglib2.0-bin (= 2.33.12+really2.32.4-5), libpcre3-dev (>= 8.11), pkg-config (>= 0.16.0), zlib1g-dev Recommends: python Suggests: libglib2.0-doc Homepage: http://www.gtk.org/ Priority: optional Section: libdevel Filename: pool/main/g/glib2.0/libglib2.0-dev_2.33.12+really2.32.4-5_armhf.deb Size: 1888044 SHA256: 0508090fac893cba65d9a628d3a2eeeb5c54eae268ae64903652762616e80506 SHA1: 9bf885e8cde4bd441b20fbb1075f03cd6276be1a MD5sum: 26dd3e156b4bca838bfa7776005e6d7a Description: Development files for the GLib library GLib is a library containing many useful C routines for things such as trees, hashes, lists, and strings. It is a useful general-purpose C library used by projects such as GTK+, GIMP, and GNOME. . This package is needed to compile programs against libglib2.0-0, as only it includes the header files and static libraries (optionally) needed for compiling. Package: libglib2.0-doc Source: glib2.0 Version: 2.33.12+really2.32.4-5 Installed-Size: 17885 Maintainer: Debian GNOME Maintainers Architecture: all Suggests: devhelp Size: 2087426 SHA256: b76258b0ccc2b09e0362345cd14aaea9350ce31b4b624159b0253a421ca1b640 SHA1: 03857de79b0cdf1166b296ed2ee0414adb8a1d72 MD5sum: d2efcc57aafdef7cb70a663de854234e Description: Documentation files for the GLib library GLib is a library containing many useful C routines for things such as trees, hashes, lists, and strings. It is a useful general-purpose C library used by projects such as GTK+, GIMP, and GNOME. . This package contains the HTML documentation for the GLib library in /usr/share/doc/libglib2.0-doc/ . Multi-Arch: foreign Homepage: http://www.gtk.org/ Tag: devel::doc, devel::lang:c, devel::library, made-of::html, role::documentation, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/g/glib2.0/libglib2.0-doc_2.33.12+really2.32.4-5_all.deb Package: libglibmm-2.4-1c2a Source: glibmm2.4 Version: 2.32.1-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 1454 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.18), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6) Multi-Arch: same Homepage: http://www.gtkmm.org/ Priority: optional Section: libs Filename: pool/main/g/glibmm2.4/libglibmm-2.4-1c2a_2.32.1-1_armhf.deb Size: 426116 SHA256: 15e94ed41471d3a8aab294aece36ad4fc6a31d9f3175d4e1617813c1d05241c3 SHA1: 3469ef9d4d9c3cfd325dbea702df036cf0723a9e MD5sum: 175429f1c051540776cf70952756a5c4 Description: C++ wrapper for the GLib toolkit (shared libraries) GLib is a low-level general-purpose library used mainly by GTK+/GNOME applications, but is useful for other programs as well. glibmm is the C++ wrapper for GLib. . This package contains shared libraries. Package: libglibmm-2.4-dbg Source: glibmm2.4 Version: 2.32.1-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 8103 Depends: libglibmm-2.4-1c2a (= 2.32.1-1) Multi-Arch: same Homepage: http://www.gtkmm.org/ Priority: extra Section: debug Filename: pool/main/g/glibmm2.4/libglibmm-2.4-dbg_2.32.1-1_armhf.deb Size: 1990718 SHA256: 1871553ef34030b9776bacc959410081067c9acf98148bbba8e90599e689acd9 SHA1: f3c9352c95b0e861b80820f8423fe222cdccc11d MD5sum: fb828ad9f73eaf8da4e277db797dd4c4 Description: C++ wrapper for the GLib toolkit (debug symbols) GLib is a low-level general-purpose library used mainly by GTK+/GNOME applications, but is useful for other programs as well. glibmm is the C++ wrapper for GLib. . This package contains debug symbols for debugging applications using glibmm. Package: libglibmm-2.4-dev Source: glibmm2.4 Version: 2.32.1-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 4849 Depends: libglibmm-2.4-1c2a (= 2.32.1-1), libglib2.0-dev (>= 2.31.0), libsigc++-2.0-dev (>= 2.2.10), pkg-config Suggests: libglibmm-2.4-doc, libgtkmm-3.0-dev Multi-Arch: same Homepage: http://www.gtkmm.org/ Priority: optional Section: libdevel Filename: pool/main/g/glibmm2.4/libglibmm-2.4-dev_2.32.1-1_armhf.deb Size: 732054 SHA256: 2936d2d37c1e4b0721d0a63e625f4e3618e114520e40b4640c1d8b330f192b0f SHA1: b0f12831c9e637fc7115f58cac1e74d1bbb0443e MD5sum: d8ea483d48ed85d0d266f6772e2a4ee0 Description: C++ wrapper for the GLib toolkit (development files) GLib is a low-level general-purpose library used mainly by GTK+/GNOME applications, but is useful for other programs as well. glibmm is the C++ wrapper for GLib. . This package contains development files. Package: libglibmm-2.4-doc Source: glibmm2.4 Version: 2.32.1-1 Installed-Size: 27814 Maintainer: Deng Xiyue Architecture: all Depends: doc-base, lynx | www-browser Suggests: gtkmm-documentation Size: 4202640 SHA256: e1cdcc43c7750a4bdcca6198f725297e46307015fbd508db70f5398b82b094b9 SHA1: 1dba38c1a9b55c03563442d74e3694671fe9b9ee MD5sum: 7aa398994c4ab1d7e28ba36bd8405e1d Description: C++ wrapper for the GLib toolkit (documentation) GLib is a low-level general-purpose library used mainly by GTK+/GNOME applications, but is useful for other programs as well. glibmm is the C++ wrapper for GLib. . This package contains reference documentation and examples. Multi-Arch: foreign Homepage: http://www.gtkmm.org/ Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/glibmm2.4/libglibmm-2.4-doc_2.32.1-1_all.deb Package: libglm-dev Source: glm Version: 0.9.3.3+dfsg-0.1 Installed-Size: 1677 Maintainer: Guus Sliepen Architecture: all Size: 196234 SHA256: 142fba71520645dd796d68cfce9b06252522419af269992357a802bbdcca35e7 SHA1: b74707efc7a468369b42dafd9fff8bb9b81db969 MD5sum: bc6566adfd5fbc0bfdf6b0696fea4f86 Description: C++ library for OpenGL GLSL type-based mathematics OpenGL Mathematics (GLM) is a header only C++ mathematics library for graphics software based on the OpenGL Shading Language (GLSL) specification. . GLM provides classes and functions designed and implemented with the same naming conventions and functionalities as GLSL, so that when a programmer knows GLSL, he knows GLM as well, which makes it really easy to use. . This project isn't limited to GLSL features. An extension system, based on the GLSL extension conventions, provides extended capabilities: matrix transformations, quaternions, half-based types, random numbers, et cetera. . This library works perfectly together with OpenGL but it also ensures interoperability with other third party libraries and SDKs. It is a good candidate for software rendering (such as raytracing, rasterisation), image processing, physic simulations and any context that requires a simple and convenient mathematics library. Homepage: http://glm.g-truc.net/ Tag: devel::lang:c++, devel::library, field::mathematics, role::devel-lib Section: libdevel Priority: extra Filename: pool/main/g/glm/libglm-dev_0.9.3.3+dfsg-0.1_all.deb Package: libglm-doc Source: glm Version: 0.9.3.3+dfsg-0.1 Installed-Size: 5227 Maintainer: Guus Sliepen Architecture: all Size: 1460146 SHA256: 2b7f6c92f2b2e20e4c2d14b8b84725128cf4e29969de702d466f366a66b10ea6 SHA1: 625c0f7150c978ebc60be803e34e3f7ebbcf124f MD5sum: 137473c1c817cd617345bea576eb4896 Description: documentation for the OpenGL Mathematics (GLM) library OpenGL Mathematics (GLM) is a header only C++ mathematics library for graphics software based on the OpenGL Shading Language (GLSL) specification. . This package contains the OpenGL Mathematics manual in HTML and PDF formats. Homepage: http://glm.g-truc.net/ Tag: devel::doc, devel::lang:c++, field::mathematics, made-of::html, made-of::pdf, role::documentation Section: doc Priority: extra Filename: pool/main/g/glm/libglm-doc_0.9.3.3+dfsg-0.1_all.deb Package: libglobalhotkeys-ruby Source: ruby-globalhotkeys Version: 0.3.2-3 Installed-Size: 36 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-globalhotkeys Size: 3100 SHA256: 682c6b6dcf66e62855b1a05644db43fff9967764bff9197c0f0805e0e3658eff SHA1: 31894f72fdfd0a34e43b488c8a3ad4ff3bca468c MD5sum: 2de112d4aac6f5cba512e484dd5e9726 Description: Transitional package for ruby-globalhotkeys This is a transitional package to ease upgrades to the ruby-globalhotkeys package. It can safely be removed. Homepage: http://zik.rubyforge.org/rghk/ Tag: devel::lang:ruby, role::metapackage Section: oldlibs Priority: extra Filename: pool/main/r/ruby-globalhotkeys/libglobalhotkeys-ruby_0.3.2-3_all.deb Package: libglobalhotkeys-ruby1.8 Source: ruby-globalhotkeys Version: 0.3.2-3 Installed-Size: 36 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-globalhotkeys Size: 3106 SHA256: 9e20045cf1a1fe287de60c9f33f93b3a70f50999afa55d7beffaff0d5bdc5445 SHA1: 71035b8e09534d08ad253e9e4b99b38112f2ec29 MD5sum: d6fbfe401378444045b1043c3d20994b Description: Transitional package for ruby-globalhotkeys This is a transitional package to ease upgrades to the ruby-globalhotkeys package. It can safely be removed. Homepage: http://zik.rubyforge.org/rghk/ Tag: devel::lang:ruby, devel::library, implemented-in::c, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-globalhotkeys/libglobalhotkeys-ruby1.8_0.3.2-3_all.deb Package: libglobus-authz-callout-error-dev Source: globus-authz-callout-error Version: 2.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 59 Depends: libglobus-authz-callout-error0 (= 2.2-1), libglobus-common-dev (>= 14) Recommends: libglobus-authz-callout-error-doc (= 2.2-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-authz-callout-error/libglobus-authz-callout-error-dev_2.2-1_armhf.deb Size: 4520 SHA256: 61bdbad73392056229c3f60e65c4b82a04c7035db5aa5c5f703e514f5d44c6f6 SHA1: 9aafe9df831d44c2cc282007d966ab034fcf7843 MD5sum: 622af42392a93958d82eb8e8f945d4c6 Description: Globus Toolkit - Globus authz error library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-authz-callout-error-dev package contains: Globus authz error library (used by globus authz callouts) Development Files Package: libglobus-authz-callout-error-doc Source: globus-authz-callout-error Version: 2.2-1 Installed-Size: 167 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-authz-callout-error-dev (>= 2.2-1), libjs-jquery Size: 81248 SHA256: a80c9b3555330ae5cc27929689fba614f685e6038cd3f504a3cc0f93142a469b SHA1: 19d195611e9d13ea9ca60c4da0a5259ee1844a65 MD5sum: 16d332b1069372cd6d2ed52b06251567 Description: Globus Toolkit - Globus authz error library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-authz-callout-error-doc package contains: Globus authz error library (used by globus authz callouts) Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-authz-callout-error/libglobus-authz-callout-error-doc_2.2-1_all.deb Package: libglobus-authz-callout-error0 Source: globus-authz-callout-error Version: 2.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 57 Depends: libc6 (>= 2.13-28) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-authz-callout-error/libglobus-authz-callout-error0_2.2-1_armhf.deb Size: 5168 SHA256: cd7d1e968c46ac120502d5c7c228469fcddfa2bdbbe79546738b24dfade3c7f1 SHA1: 11be3d88c5b198a844c30af1d12169d6c669ceb4 MD5sum: 9fe0796575669aee39065a800b134fd2 Description: Globus Toolkit - Globus authz error library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-authz-callout-error0 package contains: Globus authz error library (used by globus authz callouts) Package: libglobus-authz-dev Source: globus-authz Version: 2.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 58 Depends: libglobus-authz0 (= 2.2-1), libglobus-common-dev (>= 14), libglobus-authz-callout-error-dev (>= 2), libglobus-callout-dev (>= 2), libglobus-gssapi-gsi-dev (>= 9) Recommends: libglobus-authz-doc (= 2.2-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-authz/libglobus-authz-dev_2.2-1_armhf.deb Size: 4102 SHA256: f6cfde72bab2fcbb5e0da33ac6a5433b9cbd19d736c3910b503c0c1e58c4c660 SHA1: 92eef40d418c18e7ddae4453f01dd7f76992bc3b MD5sum: eed7489de6efa34088915868b514a48c Description: Globus Toolkit - Globus authz library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-authz-dev package contains: Globus authz library Development Files Package: libglobus-authz-doc Source: globus-authz Version: 2.2-1 Installed-Size: 206 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-authz-dev (>= 2.2-1), libjs-jquery Size: 110758 SHA256: adecc7b8c3c3cfc47ee8ba15e2c4e439178c4b46381828b839e13e5a3d1921e0 SHA1: 51724da3d251790b7accebef60fdd1cbe9649a77 MD5sum: 7c81311d7ec5ea46c9b71db4cc7a316c Description: Globus Toolkit - Globus authz library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-authz-doc package contains: Globus authz library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-authz/libglobus-authz-doc_2.2-1_all.deb Package: libglobus-authz0 Source: globus-authz Version: 2.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 58 Depends: libc6 (>= 2.13-28), libglobus-authz-callout-error0 (>= 2), libglobus-callout0 (>= 2), libglobus-common0 (>= 14), libglobus-gsi-sysconfig1 (>= 5) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-authz/libglobus-authz0_2.2-1_armhf.deb Size: 8162 SHA256: 8c4685567e2471d8c3340b64996c14e2945610791d758c1a5b98fc3af30a0318 SHA1: 0666b7b9cef8d264ac1de8d77807d29f7e9815bb MD5sum: 8afcafa31c2441a5b3daee339b3c9248 Description: Globus Toolkit - Globus authz library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-authz0 package contains: Globus authz library Package: libglobus-callout-dev Source: globus-callout Version: 2.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 63 Depends: libglobus-callout0 (= 2.2-1), libglobus-common-dev (>= 14), globus-core (>= 8) Recommends: libglobus-callout-doc (= 2.2-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-callout/libglobus-callout-dev_2.2-1_armhf.deb Size: 6004 SHA256: c97e8e9c61a75b44f0a1a92877a3698399cc8291c487fd27e3ae8a51aaecb035 SHA1: 395a82040081cdfd7a5042f85bd00e056f73f6fb MD5sum: b8b3dad1354dec22785722a375608561 Description: Globus Toolkit - Globus Callout Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-callout-dev package contains: Globus Callout Library Development Files Package: libglobus-callout-doc Source: globus-callout Version: 2.2-1 Installed-Size: 250 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-callout-dev (>= 2.2-1), libjs-jquery Size: 140826 SHA256: 6f42a05be0808356adda7641466526754aa0857710aa9172995b118420202b55 SHA1: 3735c7463b0f1d5576c3a2db2f67afca3845c31e MD5sum: 7460fa3fb5593e9e62d527f92ff1a416 Description: Globus Toolkit - Globus Callout Library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-callout-doc package contains: Globus Callout Library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-callout/libglobus-callout-doc_2.2-1_all.deb Package: libglobus-callout0 Source: globus-callout Version: 2.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 61 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libltdl7 (>= 2.4.2) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-callout/libglobus-callout0_2.2-1_armhf.deb Size: 10188 SHA256: 5e373ab66cbd28a338a0b1d13b7e7d5400d7eccda55d99d63bb2b77082b7b680 SHA1: ee9851e87321f642599bbaefe2390408a3b09042 MD5sum: 2c5bee79eb69470921c23460ff99d13e Description: Globus Toolkit - Globus Callout Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-callout0 package contains: Globus Callout Library - provides a platform independent way of dealing with runtime loadable functions. Package: libglobus-common-dev Source: globus-common Version: 14.7-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 364 Depends: libglobus-common0 (= 14.7-2), globus-common-progs (= 14.7-2), globus-core (>= 8), perl Recommends: libglobus-common-doc (= 14.7-2) Conflicts: libglobus-libtool-dev (<< 2), libglobus-libxml2-dev (<< 2), libglobus-openssl-dev (<< 6) Replaces: libglobus-libtool-dev (<< 2), libglobus-libxml2-dev (<< 2), libglobus-openssl-dev (<< 6) Provides: libglobus-libtool-dev, libglobus-libxml2-dev, libglobus-openssl-dev Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-common/libglobus-common-dev_14.7-2_armhf.deb Size: 58950 SHA256: 301f4c1b59f6d24b5bdecbb973d26bfbb6e89c6865a73007f3e7416aae46ccc4 SHA1: b706d756b773ac1e3de83a9a9082e4da9caa3de4 MD5sum: 8aa6a4c370cbd8a8d1346b4ccf2e7a96 Description: Globus Toolkit - Common Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-common-dev package contains: Common Library Development Files Package: libglobus-common-doc Source: globus-common Version: 14.7-2 Installed-Size: 1065 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-common-dev (>= 14.7-2), libjs-jquery Size: 535028 SHA256: 174832d575616528832246f5e78a70929cb72dd2f6006acce61cbd6667ecec74 SHA1: ff337ca1326faf05a5e5a30e4f35922bdac0f88b MD5sum: 40ba75635ed791e302e99e61532f04bf Description: Globus Toolkit - Common Library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-common-doc package contains: Common Library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-common/libglobus-common-doc_14.7-2_all.deb Package: libglobus-common0 Source: globus-common Version: 14.7-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 300 Depends: libc6 (>= 2.13-28), libltdl7 (>= 2.4.2), perl Conflicts: libglobus-libtool (<< 2), libglobus-libxml2 (<< 2), libglobus-openssl (<< 6) Breaks: globus-common-progs (<< 14) Replaces: libglobus-libtool (<< 2), libglobus-libxml2 (<< 2), libglobus-openssl (<< 6) Provides: libglobus-libtool, libglobus-libxml2, libglobus-openssl Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-common/libglobus-common0_14.7-2_armhf.deb Size: 108338 SHA256: 7db82d4daaaaff99bf02f9949ca61c0bed60de536d90ce64fd64ec9d123b1905 SHA1: 4844ee283dd130293c8b513e350c989252637e69 MD5sum: 5a374929377246497619370522347f24 Description: Globus Toolkit - Common Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-common0 package contains: Common Library Package: libglobus-ftp-client-dev Source: globus-ftp-client Version: 7.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 182 Depends: libglobus-ftp-client2 (= 7.3-1), libglobus-gsi-credential-dev (>= 5), libglobus-xio-popen-driver-dev (>= 2), libglobus-gsi-callback-dev (>= 4), libglobus-xio-dev (>= 3), libglobus-gssapi-gsi-dev (>= 10), libglobus-ftp-control-dev (>= 4), globus-core (>= 8), libglobus-common-dev (>= 14), libglobus-gsi-sysconfig-dev (>= 5), libssl-dev Recommends: libglobus-ftp-client-doc (= 7.3-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-ftp-client/libglobus-ftp-client-dev_7.3-1_armhf.deb Size: 22516 SHA256: 4b032a410f5c4c2f41c572c792ab1ad99560ab0bddba2827d621afe74aeeda6b SHA1: 3a5c787329b9afbdb792b94c59958391a71b5d3a MD5sum: 8d06bd14bf5ffaefee63f79e31e154cf Description: Globus Toolkit - GridFTP Client Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-ftp-client-dev package contains: GridFTP Client Library Development Files Package: libglobus-ftp-client-doc Source: globus-ftp-client Version: 7.3-1 Installed-Size: 1611 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-ftp-client-dev (>= 7.3-1), libjs-jquery Size: 796626 SHA256: b125705f89c89ac303a7efd7544921c11d6c79beb577fd278d00bb9fe5322cc8 SHA1: 1de0c2244787d497acc759cfe71f4340936c6137 MD5sum: ef45cc960d5cd14a25b9f66587400520 Description: Globus Toolkit - GridFTP Client Library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-ftp-client-doc package contains: GridFTP Client Library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-ftp-client/libglobus-ftp-client-doc_7.3-1_all.deb Package: libglobus-ftp-client2 Source: globus-ftp-client Version: 7.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 294 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglobus-common0 (>= 14), libglobus-ftp-control1 (>= 4), libglobus-gsi-callback0 (>= 4), libglobus-gsi-credential1 (>= 5), libglobus-gsi-sysconfig1 (>= 5), libglobus-gssapi-gsi4 (>= 10), libglobus-xio0 (>= 3), libssl1.0.0 (>= 1.0.0), libglobus-xio-popen-driver0 (>= 2) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-ftp-client/libglobus-ftp-client2_7.3-1_armhf.deb Size: 101776 SHA256: ea550c3f2ad12c4de34f94e7ee017c13c153e86ff94bfec04e2c029f56cd4383 SHA1: 98e5e2356434db8f02ee9ebe6fa3a2bd63474f3e MD5sum: fc5cfdbe4457ae300c668937d1f3ba7d Description: Globus Toolkit - GridFTP Client Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-ftp-client2 package contains: GridFTP Client Library Package: libglobus-ftp-control-dev Source: globus-ftp-control Version: 4.4-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 114 Depends: libglobus-ftp-control1 (= 4.4-1), libglobus-common-dev (>= 14), libglobus-gssapi-error-dev (>= 4), libglobus-xio-dev (>= 3), libglobus-gss-assist-dev (>= 8), libglobus-io-dev (>= 8), libglobus-gssapi-gsi-dev (>= 9), globus-core (>= 8) Recommends: libglobus-ftp-control-doc (= 4.4-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-ftp-control/libglobus-ftp-control-dev_4.4-1_armhf.deb Size: 13532 SHA256: 12bd267393630909875632be9c2ab08baf6da35fcf5902f318353ead7adfbfdb SHA1: ff7b2a27a1fe62422360f898aa6d005c454fab54 MD5sum: 77632b91827b9f4e5e47e1e319422c2f Description: Globus Toolkit - GridFTP Control Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-ftp-control-dev package contains: GridFTP Control Library Development Files Package: libglobus-ftp-control-doc Source: globus-ftp-control Version: 4.4-1 Installed-Size: 779 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-ftp-control-dev (>= 4.4-1), libjs-jquery Size: 340476 SHA256: 4f8964879abd1b17b60640c3d1bc6509c91a7714cfe31e5ef97452c8a8246c40 SHA1: b6fa89804b58abb116c47cf138cbb8643ca72dd5 MD5sum: 5531241d1db0c7bb0681ef1cbd19868d Description: Globus Toolkit - GridFTP Control Library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-ftp-control-doc package contains: GridFTP Control Library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-ftp-control/libglobus-ftp-control-doc_4.4-1_all.deb Package: libglobus-ftp-control1 Source: globus-ftp-control Version: 4.4-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 187 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglobus-common0 (>= 14), libglobus-gss-assist3 (>= 8), libglobus-gssapi-error2 (>= 4), libglobus-gssapi-gsi4 (>= 10), libglobus-io3 (>= 9), libglobus-xio0 (>= 3) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-ftp-control/libglobus-ftp-control1_4.4-1_armhf.deb Size: 66948 SHA256: 2ba208b444bf54bb08350ca9796f7225f5ebc209091eb4191bb35878ea1d4ff0 SHA1: 33db49eec375537c0d67799bac9da2d858057cd7 MD5sum: 9fa33daa0d033952398d07d6e84c3e49 Description: Globus Toolkit - GridFTP Control Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-ftp-control1 package contains: GridFTP Control Library Package: libglobus-gass-cache-dev Source: globus-gass-cache Version: 8.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 74 Depends: libglobus-gass-cache5 (= 8.1-2), libglobus-common-dev (>= 14), globus-core (>= 8), libssl-dev Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gass-cache/libglobus-gass-cache-dev_8.1-2_armhf.deb Size: 7812 SHA256: a4482bf0bc6794ff120d9b17f895d5a59f1831fc476c902565760b238f463508 SHA1: 5039889cacfd46b7ad53dbc7fdf2576bcb2c2a6e MD5sum: dd434f30dc1c959cf17950e42a48a488 Description: Globus Toolkit - Globus Gass Cache Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gass-cache-dev package contains: Globus Gass Cache Development Files Package: libglobus-gass-cache5 Source: globus-gass-cache Version: 8.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 74 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libssl1.0.0 (>= 1.0.0) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gass-cache/libglobus-gass-cache5_8.1-2_armhf.deb Size: 17988 SHA256: db593eae79d7d2ceadbd64dcd518d20e6fcc1c89c6beb05daf5fd5a87ad7248b SHA1: 903a2962e68fb9f6c0a8c2ceb8cfa6c95c57aecb MD5sum: 36ae64866bf4a4177223bc4fd807de51 Description: Globus Toolkit - Globus Gass Cache The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gass-cache5 package contains: Globus Gass Cache Package: libglobus-gass-copy-dev Source: globus-gass-copy Version: 8.4-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 83 Depends: libglobus-gass-copy2 (= 8.4-1), libglobus-ftp-client-dev (>= 7), libglobus-gssapi-gsi-dev (>= 9), libglobus-ftp-control-dev (>= 4), globus-core (>= 8), libglobus-common-dev (>= 14), libglobus-gsi-sysconfig-dev (>= 4), libglobus-gssapi-error-dev (>= 4), libglobus-gass-transfer-dev (>= 7), libglobus-io-dev (>= 8), libssl-dev Recommends: libglobus-gass-copy-doc (= 8.4-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gass-copy/libglobus-gass-copy-dev_8.4-1_armhf.deb Size: 9990 SHA256: 8b7cc84718f13ff0b42e9d32c2bf387b80869cdab44070e149df7d1a2a5aaf5d SHA1: 1695fdc8b877ef12776a94c821d565ec7c25ba3e MD5sum: 1abce9a8e1b62b64d8ec8e7f2226701e Description: Globus Toolkit - Globus Gass Copy Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gass-copy-dev package contains: Globus Gass Copy Development Files Package: libglobus-gass-copy-doc Source: globus-gass-copy Version: 8.4-1 Installed-Size: 456 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gass-copy-dev (>= 8.4-1), libjs-jquery Size: 196364 SHA256: 9c3b1166badfd2098c559503112a54c002a8fd8cddf99c621c483772627d5cce SHA1: 019ca82312d54cfa60f57f3b3ef9fe28104ea432 MD5sum: fb7da056e30d84d43599d560461358fc Description: Globus Toolkit - Globus Gass Copy Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gass-copy-doc package contains: Globus Gass Copy Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gass-copy/libglobus-gass-copy-doc_8.4-1_all.deb Package: libglobus-gass-copy2 Source: globus-gass-copy Version: 8.4-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 103 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-ftp-client2 (>= 7), libglobus-gass-transfer2 (>= 7), libglobus-io3 (>= 9), libssl1.0.0 (>= 1.0.0) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gass-copy/libglobus-gass-copy2_8.4-1_armhf.deb Size: 28816 SHA256: 6b71f771759e694f9365bbf3518fe657a5f43a7c392694d1f3332f3d66be7d10 SHA1: 8daf645bb8bc10f14027657108233320c85c3375 MD5sum: 411e9093d5141fa427d145ee99417a4b Description: Globus Toolkit - Globus Gass Copy The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gass-copy2 package contains: Globus Gass Copy Package: libglobus-gass-server-ez-dev Source: globus-gass-server-ez Version: 4.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 59 Depends: libglobus-gass-server-ez2 (= 4.3-1), libglobus-common-dev (>= 14), libglobus-gss-assist-dev (>= 8), libglobus-gssapi-gsi-dev (>= 10), libglobus-gass-transfer-dev (>= 7), globus-core (>= 8) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gass-server-ez/libglobus-gass-server-ez-dev_4.3-1_armhf.deb Size: 4382 SHA256: 616a8ba67c30c919a2443ba2f0fe6b8b2d45c70e7c21a837c8b7ef7244893a0d SHA1: cf53f259f56caff94861255a4999a24424600f02 MD5sum: 4d785fb2d54d32a845db572e46bf64b9 Description: Globus Toolkit - Globus Gass Server_ez Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gass-server-ez-dev package contains: Globus Gass Server_ez Development Files Package: libglobus-gass-server-ez2 Source: globus-gass-server-ez Version: 4.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 54 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gass-transfer2 (>= 7) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gass-server-ez/libglobus-gass-server-ez2_4.3-1_armhf.deb Size: 7752 SHA256: 9842d5ac1ac1cc5025feafcdc8162373747954559994178772d1450e1042df1f SHA1: 1e6f20e5161cce6d9686bd3fb3a4d715e73cd339 MD5sum: f308a2ade53b042a44132a6803f69388 Description: Globus Toolkit - Globus Gass Server_ez The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gass-server-ez2 package contains: Globus Gass Server_ez Package: libglobus-gass-transfer-dev Source: globus-gass-transfer Version: 7.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 107 Depends: libglobus-gass-transfer2 (= 7.2-1), libglobus-common-dev (>= 14), libglobus-gss-assist-dev (>= 8), libglobus-io-dev (>= 8), libglobus-gssapi-gsi-dev (>= 9), globus-core (>= 8) Recommends: libglobus-gass-transfer-doc (= 7.2-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gass-transfer/libglobus-gass-transfer-dev_7.2-1_armhf.deb Size: 13908 SHA256: 500dd92b846129501fdb31eb0e399736bce421dd11ba92bef998dfe554668801 SHA1: b00f0519ec97692c36ccf85511e0eea075bd0360 MD5sum: d6f13952996d9dedd17a73eea465fef2 Description: Globus Toolkit - Globus Gass Transfer Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gass-transfer-dev package contains: Globus Gass Transfer Development Files Package: libglobus-gass-transfer-doc Source: globus-gass-transfer Version: 7.2-1 Installed-Size: 694 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gass-transfer-dev (>= 7.2-1), libjs-jquery Size: 303928 SHA256: 80e88ef95616f5d96c577fd881fdd38ec9dda6deed4fc9cb327c9962f2b37176 SHA1: 9c3983e23f5641d4f87828aeeefc9b62ac215965 MD5sum: 41cba1fb578f8898eba7bd71586b178c Description: Globus Toolkit - Globus Gass Transfer Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gass-transfer-doc package contains: Globus Gass Transfer Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gass-transfer/libglobus-gass-transfer-doc_7.2-1_all.deb Package: libglobus-gass-transfer2 Source: globus-gass-transfer Version: 7.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 115 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gss-assist3 (>= 8), libglobus-gssapi-gsi4 (>= 10), libglobus-io3 (>= 9) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gass-transfer/libglobus-gass-transfer2_7.2-1_armhf.deb Size: 33614 SHA256: 72c7484bcf3f6562f59098527c4e67a428a6393fa231bb880316910f4268e823 SHA1: 748fecd5a6205391af64394da6d853ce32c3da9e MD5sum: 6797ad790ff4d280e69e3d96ea677e24 Description: Globus Toolkit - Globus Gass Transfer The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gass-transfer2 package contains: Globus Gass Transfer Package: libglobus-gfork-dev Source: globus-gfork Version: 3.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 59 Depends: libglobus-gfork0 (= 3.2-1), libglobus-common-dev (>= 14), libglobus-xio-dev (>= 3) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gfork/libglobus-gfork-dev_3.2-1_armhf.deb Size: 4126 SHA256: 145bc489f8c4a339b6dbaf21c18eb9f2af4c85c907b8ab81e71b2a8e355c213d SHA1: 43c187547d1ecb2cbabff724297b35bce950779d MD5sum: 10865d1fe3cbd1b7fcce7a6528f1e377 Description: Globus Toolkit - GFork Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gfork-dev package contains: GFork Development Files Package: libglobus-gfork0 Source: globus-gfork Version: 3.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 67 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-xio0 (>= 3) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gfork/libglobus-gfork0_3.2-1_armhf.deb Size: 12490 SHA256: a7301004a9d07e6ac00e4a02c24e3fd1aad3c5b5cb42c5d8ace82e24e2e768df SHA1: 025022fc63692d875c1b91cd7e602734eba1ab6c MD5sum: 4bd2efe96152ece3ccd9fef4a45de040 Description: Globus Toolkit - GFork The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gfork0 package contains: GFork Library Package: libglobus-gram-client-dev Source: globus-gram-client Version: 12.4-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 73 Depends: libglobus-gram-client3 (= 12.4-1), libglobus-gram-protocol-dev (>= 11), libglobus-common-dev (>= 14), libglobus-gss-assist-dev (>= 8), libglobus-rsl-dev (>= 9), libglobus-io-dev (>= 9), globus-core (>= 8) Recommends: libglobus-gram-client-doc (= 12.4-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gram-client/libglobus-gram-client-dev_12.4-1_armhf.deb Size: 6584 SHA256: f7ed0921f6cf582f7ddc98a76bbf37179194a3254b621a032cb1f61ac20c8f4e SHA1: 39838b528bee69aa01e02944186ca58dee6a7224 MD5sum: 97288ddca4713e4ffe78e8c4bae5e47f Description: Globus Toolkit - GRAM Client Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gram-client-dev package contains: GRAM Client Library Development Files Package: libglobus-gram-client-doc Source: globus-gram-client Version: 12.4-1 Installed-Size: 529 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gram-client-dev (>= 12.4-1), libjs-jquery Size: 250874 SHA256: 1e687b72d21464c801db02b59b6500e633196a437a88c20b5c022d28f1075136 SHA1: 9043dcc80d0117a417fceab9e42a8e59b377c82d MD5sum: 9ac08303a9a24e32fc18ae167baad150 Description: Globus Toolkit - GRAM Client Library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gram-client-doc package contains: GRAM Client Library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gram-client/libglobus-gram-client-doc_12.4-1_all.deb Package: libglobus-gram-client3 Source: globus-gram-client Version: 12.4-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 70 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gram-protocol3 (>= 11), libglobus-gss-assist3 (>= 8), libglobus-io3 (>= 9), libglobus-rsl2 (>= 9) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gram-client/libglobus-gram-client3_12.4-1_armhf.deb Size: 14092 SHA256: ffc367884742e1d6267a03d95712185f035e244c509fa86fae44327bb14a189d SHA1: a0255e53fe175549fb427576ce73bc5b4cd6b27c MD5sum: f4a6510d46717110692e55e1d68207e4 Description: Globus Toolkit - GRAM Client Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gram-client3 package contains: GRAM Client Library Package: libglobus-gram-job-manager-callout-error-dev Source: globus-gram-job-manager-callout-error Version: 2.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 60 Depends: libglobus-gram-job-manager-callout-error0 (= 2.1-2), libglobus-common-dev (>= 14), globus-core (>= 8) Recommends: libglobus-gram-job-manager-callout-error-doc (= 2.1-2) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gram-job-manager-callout-error/libglobus-gram-job-manager-callout-error-dev_2.1-2_armhf.deb Size: 4790 SHA256: 6e36b6ca7bd8a9ea2df590af329726a701649306aec082a02a949c5ea3eaf99c SHA1: 61bbe914fb1db066eb3a80efec7395aab9823251 MD5sum: 24e3cd6bb763eedb605db4faf871b150 Description: Globus Toolkit - Globus GRAM Jobmanager Callout Errors Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gram-job-manager-callout-error-dev package contains: Globus GRAM Jobmanager Callout Errors Development Files Package: libglobus-gram-job-manager-callout-error-doc Source: globus-gram-job-manager-callout-error Version: 2.1-2 Installed-Size: 189 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gram-job-manager-callout-error-dev (>= 2.1-2), libjs-jquery Size: 97362 SHA256: 7c8943e9d8d87e326105242696411281f868b6b872040a1d271a218cdea24524 SHA1: 2a31827905d8059f73ca8c94e4bf5687082eee75 MD5sum: 2b2d95a75861a44578c8b17e3944f57f Description: Globus Toolkit - Globus GRAM Jobmanager Callout Errors Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gram-job-manager-callout-error-doc package contains: Globus GRAM Jobmanager Callout Errors Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gram-job-manager-callout-error/libglobus-gram-job-manager-callout-error-doc_2.1-2_all.deb Package: libglobus-gram-job-manager-callout-error0 Source: globus-gram-job-manager-callout-error Version: 2.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 49 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gram-job-manager-callout-error/libglobus-gram-job-manager-callout-error0_2.1-2_armhf.deb Size: 5022 SHA256: f88d8a4df85656b4f9ece4ea7804c7ee193fd6ae14d5dfc8fbf0890813285f3d SHA1: d76c8eb27338d9f59b8e3f70ff68c8057f33e761 MD5sum: 1aa45a8a2fda6d4c36e04d4785ae6361 Description: Globus Toolkit - Globus GRAM Jobmanager Callout Errors The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gram-job-manager-callout-error0 package contains: Globus GRAM Jobmanager Callout Errors Package: libglobus-gram-protocol-dev Source: globus-gram-protocol Version: 11.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 85 Depends: libglobus-gram-protocol3 (= 11.3-1), libglobus-common-dev (>= 14), libglobus-gss-assist-dev (>= 8), libglobus-gssapi-gsi-dev (>= 10), libglobus-io-dev (>= 8), globus-core (>= 8) Recommends: libglobus-gram-protocol-doc (= 11.3-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gram-protocol/libglobus-gram-protocol-dev_11.3-1_armhf.deb Size: 9874 SHA256: 4c242a91145ced4296c0200e27b2bbf78e54d81bb6b461bf4d465c3997ccde7c SHA1: b966185539ef333e0e9af91b4082865fd0794a57 MD5sum: e2c8a5d34125d31add635ffc8b82534b Description: Globus Toolkit - GRAM Protocol Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gram-protocol-dev package contains: GRAM Protocol Library Development Files Package: libglobus-gram-protocol-doc Source: globus-gram-protocol Version: 11.3-1 Installed-Size: 852 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gram-protocol-dev (>= 11.3-1), libjs-jquery Size: 539364 SHA256: 7177d63d3e3552d91a63eb38f5372798439897244f39b394e6049cb9732a329b SHA1: ac3de1aec71eeaf048a0a27ecfda7ee0e715fc07 MD5sum: 823cb25ab4335fc7753ad85df0f51e52 Description: Globus Toolkit - GRAM Protocol Library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gram-protocol-doc package contains: GRAM Protocol Library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gram-protocol/libglobus-gram-protocol-doc_11.3-1_all.deb Package: libglobus-gram-protocol3 Source: globus-gram-protocol Version: 11.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 147 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gss-assist3 (>= 8), libglobus-gssapi-gsi4 (>= 10), libglobus-io3 (>= 9) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gram-protocol/libglobus-gram-protocol3_11.3-1_armhf.deb Size: 29706 SHA256: 17e9706354d098f43738f4d9d06210b2050ba14328230d3bc47791463cd572e7 SHA1: c311edcc6a40ad98e449c53dc63afd00f9fcfde2 MD5sum: 756ce9f4866432bd1920c8a850df464d Description: Globus Toolkit - GRAM Protocol Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gram-protocol3 package contains: GRAM Protocol Library Package: libglobus-gridftp-server-control-dev Source: globus-gridftp-server-control Version: 2.5-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 90 Depends: libglobus-gridftp-server-control0 (= 2.5-2), libglobus-gsi-openssl-error-dev (>= 2), libglobus-gss-assist-dev (>= 8), libglobus-xio-dev (>= 3), libglobus-gssapi-gsi-dev (>= 10), libglobus-xio-pipe-driver-dev (>= 2), libglobus-common-dev (>= 14), libglobus-xio-gsi-driver-dev (>= 2), libglobus-gssapi-error-dev (>= 4) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gridftp-server-control/libglobus-gridftp-server-control-dev_2.5-2_armhf.deb Size: 9714 SHA256: 73419ba591c10b3d674469a1db4a3153c8f15ff1d934b6849034bc5fad30fdf6 SHA1: 7ed6bca256e2b22b3b774a5b75a4da4371c4914c MD5sum: db293bb4048c023247c53e80b2a94cf6 Description: Globus Toolkit - Globus GridFTP Server Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gridftp-server-control-dev package contains: Globus GridFTP Server Library Development Files Package: libglobus-gridftp-server-control0 Source: globus-gridftp-server-control Version: 2.5-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 192 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gsi-openssl-error0 (>= 2), libglobus-gss-assist3 (>= 8), libglobus-gssapi-error2 (>= 4), libglobus-gssapi-gsi4 (>= 10), libglobus-xio0 (>= 3), libglobus-xio-pipe-driver0 (>= 2), libglobus-xio-gsi-driver0 (>= 2) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gridftp-server-control/libglobus-gridftp-server-control0_2.5-2_armhf.deb Size: 70072 SHA256: d9ea63b800c95876c0e7311622f169b3cbea2544d3d2d745dd4b12bff4de95af SHA1: 162e26d34c118a4fe8b8af810c75d8a49af3207f MD5sum: d955c396058a11b94abd3f947d43ef2c Description: Globus Toolkit - Globus GridFTP Server Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gridftp-server-control0 package contains: Globus GridFTP Server Library Package: libglobus-gridftp-server-dev Source: globus-gridftp-server Version: 6.10-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 130 Depends: libglobus-gridftp-server6 (= 6.10-2), libglobus-xio-dev (>= 3), libglobus-authz-dev (>= 2), libglobus-gfork-dev (>= 3), libglobus-ftp-control-dev (>= 4), libglobus-gridftp-server-control-dev (>= 2), libglobus-common-dev (>= 14), libglobus-xio-gsi-driver-dev (>= 2), libglobus-usage-dev (>= 3), libssl-dev Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gridftp-server/libglobus-gridftp-server-dev_6.10-2_armhf.deb Size: 17662 SHA256: 0041796335fad705b18a2dc7f51b9f8beabc3e689c2ae1fa44078fc75b969994 SHA1: 5a3ca097d790c818ef6fd5469075a8265a98335e MD5sum: 8f0df2de9b7acad4bdc55f57026d9159 Description: Globus Toolkit - Globus GridFTP Server Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gridftp-server-dev package contains: Globus GridFTP Server Development Files Package: libglobus-gridftp-server6 Source: globus-gridftp-server Version: 6.10-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 405 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglobus-authz0 (>= 2), libglobus-common0 (>= 14), libglobus-ftp-control1 (>= 4), libglobus-gfork0 (>= 3), libglobus-gridftp-server-control0 (>= 2), libglobus-gsi-sysconfig1 (>= 5), libglobus-gss-assist3 (>= 8), libglobus-gssapi-gsi4 (>= 10), libglobus-io3 (>= 9), libglobus-usage0 (>= 3), libglobus-xio0 (>= 3), libssl1.0.0 (>= 1.0.0), libglobus-xio-gsi-driver0 (>= 2) Conflicts: libglobus-gridftp-server0 Replaces: libglobus-gridftp-server0 Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gridftp-server/libglobus-gridftp-server6_6.10-2_armhf.deb Size: 163182 SHA256: b7ea4370d8c53d92b41b6cc294e0cde281439cf3ba6758c8e2b62e82f0a2e685 SHA1: ce30005b65046c2359ef090ed31670addff06d51 MD5sum: 20cf26f4bc641e0599a11901714070ea Description: Globus Toolkit - Globus GridFTP Server The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gridftp-server6 package contains: Globus GridFTP Server Package: libglobus-gridmap-callout-error-dev Source: globus-gridmap-callout-error Version: 1.2-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 61 Depends: libglobus-gridmap-callout-error0 (= 1.2-2), libglobus-common-dev (>= 14), libglobus-gssapi-error-dev (>= 4), globus-core (>= 8) Recommends: libglobus-gridmap-callout-error-doc (= 1.2-2) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gridmap-callout-error/libglobus-gridmap-callout-error-dev_1.2-2_armhf.deb Size: 4600 SHA256: 431e05242baa9bde8d855deddba3fb9545df6fc72a65312ae1403c266046c1d3 SHA1: 21be86fc0bd09521defc79fe4f12b7bf07b1703c MD5sum: b6ecfce8cd111ebfa8c6ab9160221fbe Description: Globus Toolkit - Globus Gridmap Callout Errors Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gridmap-callout-error-dev package contains: Globus Gridmap Callout Errors Development Files Package: libglobus-gridmap-callout-error-doc Source: globus-gridmap-callout-error Version: 1.2-2 Installed-Size: 185 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gridmap-callout-error-dev (>= 1.2-2), libjs-jquery Size: 95538 SHA256: b9c57e7dfa34235c0a8500393077e0eb4f960633aebdf72d7f66a6ea5c50c740 SHA1: 49c26919ea7aa881c820424b434d7f024d182e48 MD5sum: 595ea134841d6d1c39ba23b3aba6a4d8 Description: Globus Toolkit - Globus Gridmap Callout Errors Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gridmap-callout-error-doc package contains: Globus Gridmap Callout Errors Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gridmap-callout-error/libglobus-gridmap-callout-error-doc_1.2-2_all.deb Package: libglobus-gridmap-callout-error0 Source: globus-gridmap-callout-error Version: 1.2-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 49 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gridmap-callout-error/libglobus-gridmap-callout-error0_1.2-2_armhf.deb Size: 4774 SHA256: ef3d1921430ef518178085a0a9988b02fd9ad092aa4d1eb3cd699e475f3bd933 SHA1: 069127ffc52a858027ada6afb7e32e0d00fc0160 MD5sum: 8f4563842c5176c2b3bda7dd54dc5e1e Description: Globus Toolkit - Globus Gridmap Callout Errors The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gridmap-callout-error0 package contains: Globus Gridmap Callout Errors Package: libglobus-gsi-callback-dev Source: globus-gsi-callback Version: 4.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 70 Depends: libglobus-gsi-callback0 (= 4.2-1), libglobus-gsi-proxy-ssl-dev (>= 4), libglobus-gsi-cert-utils-dev (>= 8), libglobus-gsi-sysconfig-dev (>= 5), libglobus-common-dev (>= 14), libglobus-openssl-module-dev (>= 3), libglobus-gsi-openssl-error-dev (>= 2), globus-core (>= 8), libssl-dev Recommends: libglobus-gsi-callback-doc (= 4.2-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gsi-callback/libglobus-gsi-callback-dev_4.2-1_armhf.deb Size: 6756 SHA256: 4d5b4594413a74d35c75e8667b1fa46b313fffd0a011836ba7da4e134e1fe9c2 SHA1: 97d295d13f0e5635ab4bedb4723d9184b67aaa25 MD5sum: 9a1e9be9b1af6a091510ea2ee47caf41 Description: Globus Toolkit - Globus GSI Callback Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-callback-dev package contains: Globus GSI Callback Library Development Files Package: libglobus-gsi-callback-doc Source: globus-gsi-callback Version: 4.2-1 Installed-Size: 336 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gsi-callback-dev (>= 4.2-1), libjs-jquery Size: 161704 SHA256: dbbe156d25533e54b66b19c8b4be41f818ec1e0aee6e13be00c6db31076392ec SHA1: 479fd0d7b06eed4b9e34cbe8934a106b1c1e68f7 MD5sum: 2f7a2f3afc1d529a8fe92bf090c8ea1e Description: Globus Toolkit - Globus GSI Callback Library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-callback-doc package contains: Globus GSI Callback Library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gsi-callback/libglobus-gsi-callback-doc_4.2-1_all.deb Package: libglobus-gsi-callback0 Source: globus-gsi-callback Version: 4.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 117 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gsi-cert-utils0 (>= 8), libglobus-gsi-openssl-error0 (>= 2), libglobus-gsi-proxy-ssl1 (>= 4), libglobus-gsi-sysconfig1 (>= 5), libssl1.0.0 (>= 1.0.0) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gsi-callback/libglobus-gsi-callback0_4.2-1_armhf.deb Size: 30838 SHA256: 77f924363402187b42f39e67844fbbc787c5619b0e66c5990cb05914fe52bbdc SHA1: 9bad1479fda2ab024ab46b10da70c5dd396d31c9 MD5sum: e0c99ed02cbe6f76d9d61f450054b53a Description: Globus Toolkit - Globus GSI Callback Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-callback0 package contains: Globus GSI Callback Library Package: libglobus-gsi-cert-utils-dev Source: globus-gsi-cert-utils Version: 8.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 70 Depends: libglobus-gsi-cert-utils0 (= 8.3-1), libglobus-gsi-proxy-ssl-dev (>= 4), libglobus-common-dev (>= 14), libglobus-openssl-module-dev (>= 3), libglobus-gsi-openssl-error-dev (>= 2), globus-core (>= 8), libssl-dev Recommends: libglobus-gsi-cert-utils-doc (= 8.3-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gsi-cert-utils/libglobus-gsi-cert-utils-dev_8.3-1_armhf.deb Size: 7098 SHA256: 1ff61b9eca183ce25d8bca665cef49223f5a33f79ab12da99d5725b22c31d354 SHA1: fc61c69b8fa74daed078586196fc7e9c59806d31 MD5sum: 7ee864ef2d4d9ee2d64ae2dcfe44cec0 Description: Globus Toolkit - Globus GSI Cert Utils Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-cert-utils-dev package contains: Globus GSI Cert Utils Library Development Files Package: libglobus-gsi-cert-utils-doc Source: globus-gsi-cert-utils Version: 8.3-1 Installed-Size: 256 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gsi-cert-utils-dev (>= 8.3-1), libjs-jquery Size: 136872 SHA256: 6f7623ac9f56afea7c35abfc0e09fdf0662c127277ba6aa9d06a358bd11026fb SHA1: c56acaf32972b4a51c5c6254dc2452a978917b61 MD5sum: 574cc7d718909b408b3f8f83ef9fd737 Description: Globus Toolkit - Globus GSI Cert Utils Library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-cert-utils-doc package contains: Globus GSI Cert Utils Library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gsi-cert-utils/libglobus-gsi-cert-utils-doc_8.3-1_all.deb Package: libglobus-gsi-cert-utils0 Source: globus-gsi-cert-utils Version: 8.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 66 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gsi-openssl-error0 (>= 2), libglobus-gsi-proxy-ssl1 (>= 4), libglobus-openssl-module0 (>= 3), libssl1.0.0 (>= 1.0.0) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gsi-cert-utils/libglobus-gsi-cert-utils0_8.3-1_armhf.deb Size: 12032 SHA256: 1cefc5ecf2704a0057c661a8777ddf75468ba225db30209f266014038b9b834f SHA1: e3b0526ab0b3f36e80e01a387e7221c826b94e1b MD5sum: 18c8a13f33de51b8988e296ee7eba09c Description: Globus Toolkit - Globus GSI Cert Utils Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-cert-utils0 package contains: Globus GSI Cert Utils Library Package: libglobus-gsi-credential-dev Source: globus-gsi-credential Version: 5.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 72 Depends: libglobus-gsi-credential1 (= 5.3-1), libglobus-gsi-cert-utils-dev (>= 8), libglobus-gsi-proxy-ssl-dev (>= 4), libglobus-gsi-callback-dev (>= 4), libglobus-gsi-sysconfig-dev (>= 5), libglobus-common-dev (>= 14), libglobus-gsi-openssl-error-dev (>= 2), globus-core (>= 8), libssl-dev Recommends: libglobus-gsi-credential-doc (= 5.3-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gsi-credential/libglobus-gsi-credential-dev_5.3-1_armhf.deb Size: 7322 SHA256: 33d879723aeb562675722d394ad6afe4b42b5792947fdf34e2193047ce0fe222 SHA1: 5cea40697fd712160a92b045f115a370107dc85d MD5sum: ac20cd1b3c12f1500ffa643c542e9390 Description: Globus Toolkit - Globus GSI Credential Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-credential-dev package contains: Globus GSI Credential Library Development Files Package: libglobus-gsi-credential-doc Source: globus-gsi-credential Version: 5.3-1 Installed-Size: 376 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gsi-credential-dev (>= 5.3-1), libjs-jquery Size: 177408 SHA256: 77c5a8ee0a5650ec73d0f5e550a2fb0aedda073aab3c3079f882c2636c5b466e SHA1: 0b5c311310f1b6b769a7432e33646b4b0972573a MD5sum: 961140585793eb9bb225c7a29478e0c9 Description: Globus Toolkit - Globus GSI Credential Library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-credential-doc package contains: Globus GSI Credential Library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gsi-credential/libglobus-gsi-credential-doc_5.3-1_all.deb Package: libglobus-gsi-credential1 Source: globus-gsi-credential Version: 5.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 107 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gsi-callback0 (>= 4), libglobus-gsi-cert-utils0 (>= 8), libglobus-gsi-openssl-error0 (>= 2), libglobus-gsi-proxy-ssl1 (>= 4), libglobus-gsi-sysconfig1 (>= 5), libssl1.0.0 (>= 1.0.0) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gsi-credential/libglobus-gsi-credential1_5.3-1_armhf.deb Size: 28952 SHA256: ae6092854042996eb19ea7364649eaa343792cc4872f35294bc166d19e0fdbbc SHA1: 0d899b7bf8a5e44d7f1258e29e0f68e81485a3ef MD5sum: 0ed67abbdf01ccf80d087e96b92601ac Description: Globus Toolkit - Globus GSI Credential Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-credential1 package contains: Globus GSI Credential Library Package: libglobus-gsi-openssl-error-dev Source: globus-gsi-openssl-error Version: 2.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 62 Depends: libglobus-gsi-openssl-error0 (= 2.1-2), libglobus-common-dev (>= 14), globus-core (>= 8), libssl-dev Recommends: libglobus-gsi-openssl-error-doc (= 2.1-2) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gsi-openssl-error/libglobus-gsi-openssl-error-dev_2.1-2_armhf.deb Size: 5236 SHA256: de12c45b41d1ac64a6445deb6821ae966251625e005c94b7c45902bfada69bb1 SHA1: 5e47f8497beb1aa2b4acddee43cb783f4d2b51f9 MD5sum: 88d8900217d05beacb1a187c9cea01a4 Description: Globus Toolkit - Globus OpenSSL Error Handling Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-openssl-error-dev package contains: Globus OpenSSL Error Handling Development Files Package: libglobus-gsi-openssl-error-doc Source: globus-gsi-openssl-error Version: 2.1-2 Installed-Size: 412 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gsi-openssl-error-dev (>= 2.1-2), libjs-jquery Size: 299908 SHA256: 5476a3e882a9992e50309572130d06fc6d00f100f444409a1e3820546079a7eb SHA1: 3b647a666e867d7dd8ce75923264195a340ceb2f MD5sum: 8e69a6d9f8a99577ecccd5020321e479 Description: Globus Toolkit - Globus OpenSSL Error Handling Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-openssl-error-doc package contains: Globus OpenSSL Error Handling Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gsi-openssl-error/libglobus-gsi-openssl-error-doc_2.1-2_all.deb Package: libglobus-gsi-openssl-error0 Source: globus-gsi-openssl-error Version: 2.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 70 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libssl1.0.0 (>= 1.0.0) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gsi-openssl-error/libglobus-gsi-openssl-error0_2.1-2_armhf.deb Size: 9080 SHA256: f8e0a6cf1905479e2ca139a8273258807d918f6c822448a6f376e80baf681743 SHA1: e6d5132c93381584db6cf09ac1dee1a26cf1d5d2 MD5sum: 259c00c80cd18f93f77f4ee0a6ebc0dc Description: Globus Toolkit - Globus OpenSSL Error Handling The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-openssl-error0 package contains: Globus OpenSSL Error Handling Package: libglobus-gsi-proxy-core-dev Source: globus-gsi-proxy-core Version: 6.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 74 Depends: libglobus-gsi-proxy-core0 (= 6.2-1), libglobus-gsi-proxy-ssl-dev (>= 4), libglobus-gsi-cert-utils-dev (>= 8), libglobus-gsi-credential-dev (>= 5), libglobus-gsi-sysconfig-dev (>= 5), libglobus-common-dev (>= 14), libglobus-openssl-module-dev (>= 3), libglobus-gsi-openssl-error-dev (>= 2), globus-core (>= 8), libssl-dev Recommends: libglobus-gsi-proxy-core-doc (= 6.2-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gsi-proxy-core/libglobus-gsi-proxy-core-dev_6.2-1_armhf.deb Size: 7322 SHA256: b0b5a8c0d455e9b50c2c067d0fe1498f2148f0c79e1a1bdfa7254bee18fe0615 SHA1: a0bfbe1bbf469571bb563dc36337b919327d36f8 MD5sum: 48cca6c3b00dbaac017bac8b9c245789 Description: Globus Toolkit - Globus GSI Proxy Core Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-proxy-core-dev package contains: Globus GSI Proxy Core Library Development Files Package: libglobus-gsi-proxy-core-doc Source: globus-gsi-proxy-core Version: 6.2-1 Installed-Size: 395 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gsi-proxy-core-dev (>= 6.2-1), libjs-jquery Size: 185662 SHA256: 6fc8726df45c7d1609082e9b1fa2801b91045f70b92934e8c4835bb042753642 SHA1: ffed26805a94c8f4690638788eea64fbde77d926 MD5sum: 5df5724045625d48de393c8d73aede04 Description: Globus Toolkit - Globus GSI Proxy Core Library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-proxy-core-doc package contains: Globus GSI Proxy Core Library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gsi-proxy-core/libglobus-gsi-proxy-core-doc_6.2-1_all.deb Package: libglobus-gsi-proxy-core0 Source: globus-gsi-proxy-core Version: 6.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 107 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gsi-credential1 (>= 5), libglobus-gsi-openssl-error0 (>= 2), libglobus-gsi-proxy-ssl1 (>= 4), libglobus-openssl-module0 (>= 3), libssl1.0.0 (>= 1.0.0) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gsi-proxy-core/libglobus-gsi-proxy-core0_6.2-1_armhf.deb Size: 28830 SHA256: 44396ce2dedccd3a164bc83ef3057198446d83a83ac6746e90c32a2fb3fa8eca SHA1: b865adc9e906e22d9d9dcc9f207ba7d54a47821c MD5sum: 4f247314423779773559fc47a7b6bd5e Description: Globus Toolkit - Globus GSI Proxy Core Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-proxy-core0 package contains: Globus GSI Proxy Core Library Package: libglobus-gsi-proxy-ssl-dev Source: globus-gsi-proxy-ssl Version: 4.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 66 Depends: libglobus-gsi-proxy-ssl1 (= 4.1-2), globus-core (>= 8), libssl-dev Recommends: libglobus-gsi-proxy-ssl-doc (= 4.1-2) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gsi-proxy-ssl/libglobus-gsi-proxy-ssl-dev_4.1-2_armhf.deb Size: 6380 SHA256: e853de6940176ef498883eaf6008c0c34f0a4e4368893483ae1ba674a25e3efa SHA1: b3e288207d5d5796732ec85f5329810e09d492f2 MD5sum: b1e91553c083d157d457d93c3c70bcb0 Description: Globus Toolkit - Globus GSI Proxy SSL Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-proxy-ssl-dev package contains: Globus GSI Proxy SSL Library Development Files Package: libglobus-gsi-proxy-ssl-doc Source: globus-gsi-proxy-ssl Version: 4.1-2 Installed-Size: 276 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gsi-proxy-ssl-dev (>= 4.1-2), libjs-jquery Size: 136830 SHA256: 3b8c7b1a6968ecaf6bea843373632b5d6508213abc5ce0f907a56dad274a1d95 SHA1: 8c8a761e659681d06865aad0b788db25b8eec78a MD5sum: 4ba42a6556587d3393846128a9aa7ed0 Description: Globus Toolkit - Globus GSI Proxy SSL Library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-proxy-ssl-doc package contains: Globus GSI Proxy SSL Library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gsi-proxy-ssl/libglobus-gsi-proxy-ssl-doc_4.1-2_all.deb Package: libglobus-gsi-proxy-ssl1 Source: globus-gsi-proxy-ssl Version: 4.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 66 Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gsi-proxy-ssl/libglobus-gsi-proxy-ssl1_4.1-2_armhf.deb Size: 9806 SHA256: 73ace5fb87ef6f24858f32e14d2adead9571faaef8f97eecc2e9d703fc37deb6 SHA1: c27de946ec9dcf5d0869ac77a86140c20af59ee1 MD5sum: 32f405428e27d288a1d9da945c35e63f Description: Globus Toolkit - Globus GSI Proxy SSL Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-proxy-ssl1 package contains: Globus GSI Proxy SSL Library Package: libglobus-gsi-sysconfig-dev Source: globus-gsi-sysconfig Version: 5.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 84 Depends: libglobus-gsi-sysconfig1 (= 5.2-1), libglobus-common-dev (>= 14), libglobus-openssl-module-dev (>= 3), libglobus-gsi-openssl-error-dev (>= 2), globus-core (>= 8), libssl-dev Recommends: libglobus-gsi-sysconfig-doc (= 5.2-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gsi-sysconfig/libglobus-gsi-sysconfig-dev_5.2-1_armhf.deb Size: 8292 SHA256: c5ed9da142f66b7c712887bc1dab81360628adeef72b8eeeb2dee49eb4e4d43f SHA1: d0ae9815a152e9e4b4ca4da1e81f399a99e43dc4 MD5sum: 816eb993a7cf876edbae52d402bd9cff Description: Globus Toolkit - Globus GSI System Config Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-sysconfig-dev package contains: Globus GSI System Config Library Development Files Package: libglobus-gsi-sysconfig-doc Source: globus-gsi-sysconfig Version: 5.2-1 Installed-Size: 437 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gsi-sysconfig-dev (>= 5.2-1), libjs-jquery Size: 208838 SHA256: f0ace8c0ba4669590cc4ed82d63a81df98f14adef073ac71c3e94bb8ab90bf47 SHA1: 6aa394f196c2d49fc403ad24bc8e9ce19d94432a MD5sum: c6102fbef0a53a8b78e36ddbdd0257fc Description: Globus Toolkit - Globus GSI System Config Library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-sysconfig-doc package contains: Globus GSI System Config Library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gsi-sysconfig/libglobus-gsi-sysconfig-doc_5.2-1_all.deb Package: libglobus-gsi-sysconfig1 Source: globus-gsi-sysconfig Version: 5.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 90 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gsi-openssl-error0 (>= 2), libssl1.0.0 (>= 1.0.0) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gsi-sysconfig/libglobus-gsi-sysconfig1_5.2-1_armhf.deb Size: 22578 SHA256: 3cf9d7b44491f46773412affbc29baebbafdde54a4b5002e0016bd6d78b43bc3 SHA1: b04249fe86bc7da06d6483fa8787ed870b07a0ed MD5sum: 98d1428f087aeb1dcbbbd254710a8bc2 Description: Globus Toolkit - Globus GSI System Config Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gsi-sysconfig1 package contains: Globus GSI System Config Library Package: libglobus-gss-assist-dev Source: globus-gss-assist Version: 8.5-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 73 Depends: libglobus-gss-assist3 (= 8.5-1), libglobus-gsi-cert-utils-dev (>= 8), libglobus-gsi-sysconfig-dev (>= 5), libglobus-common-dev (>= 14), libglobus-callout-dev (>= 2), libglobus-gssapi-gsi-dev (>= 9), globus-core (>= 8) Recommends: libglobus-gss-assist-doc (= 8.5-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gss-assist/libglobus-gss-assist-dev_8.5-1_armhf.deb Size: 7600 SHA256: e0dd7889cd7d1cf2659786021b8346c855706582f48291a0f3e490e83f4f17bf SHA1: ff3ef30e78b6f8c06cc793ee0b88d66246977ce6 MD5sum: 8f7a77263164283e27c325a6099ff8dc Description: Globus Toolkit - GSSAPI Assist library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gss-assist-dev package contains: GSSAPI Assist library Development Files Package: libglobus-gss-assist-doc Source: globus-gss-assist Version: 8.5-1 Installed-Size: 373 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gss-assist-dev (>= 8.5-1), libjs-jquery Size: 197094 SHA256: b27f34e2458c95b31b144f547564de508f6bd456a182af9f36609b947ac8c6b3 SHA1: e5170ac51e7c54d4c1db5c0a5c1ddadfe88b88f8 MD5sum: a2417aede89f63e58e6bc83185b9f6dd Description: Globus Toolkit - GSSAPI Assist library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gss-assist-doc package contains: GSSAPI Assist library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gss-assist/libglobus-gss-assist-doc_8.5-1_all.deb Package: libglobus-gss-assist3 Source: globus-gss-assist Version: 8.5-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 91 Depends: libc6 (>= 2.13-28), libglobus-callout0 (>= 2), libglobus-common0 (>= 14), libglobus-gsi-cert-utils0 (>= 8), libglobus-gsi-sysconfig1 (>= 5), libglobus-gssapi-gsi4 (>= 10) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gss-assist/libglobus-gss-assist3_8.5-1_armhf.deb Size: 25176 SHA256: 21785b9253e3ab8f6d0506c89d40c29dd512f9b6b6f7d814424318dd427c088d SHA1: ac261da0f0c9679b93eaf1f72ca18c21ea732027 MD5sum: 467ecc370e29e8092c5cea5eea4b1d3a Description: Globus Toolkit - GSSAPI Assist library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gss-assist3 package contains: GSSAPI Assist library Package: libglobus-gssapi-error-dev Source: globus-gssapi-error Version: 4.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 61 Depends: libglobus-gssapi-error2 (= 4.1-2), libglobus-common-dev (>= 14), libglobus-gss-assist-dev (>= 8), libglobus-gssapi-gsi-dev (>= 9), globus-core (>= 8) Recommends: libglobus-gssapi-error-doc (= 4.1-2) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gssapi-error/libglobus-gssapi-error-dev_4.1-2_armhf.deb Size: 5064 SHA256: ea7451f7834f5f70bdfcf9a60b7654022aea2bc005c1e6051a4217c4ed938250 SHA1: dc8a790fab42a6dbf103922a0aac1ea9af0f4fdf MD5sum: 28d6522855becf0cbb6234efc67d49fa Description: Globus Toolkit - GSSAPI Error Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gssapi-error-dev package contains: GSSAPI Error Library Development Files Package: libglobus-gssapi-error-doc Source: globus-gssapi-error Version: 4.1-2 Installed-Size: 389 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gssapi-error-dev (>= 4.1-2), libjs-jquery Size: 286388 SHA256: 07f1271a38f85361150d5da349998547cc0f309f079656e3fd7d8289f2baadbe SHA1: f2735468ad979c8bb9a9df6233ed0a84148a76ee MD5sum: f3443d7f31eff2d7a584fea0a59cb73a Description: Globus Toolkit - GSSAPI Error Library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gssapi-error-doc package contains: GSSAPI Error Library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gssapi-error/libglobus-gssapi-error-doc_4.1-2_all.deb Package: libglobus-gssapi-error2 Source: globus-gssapi-error Version: 4.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 54 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gss-assist3 (>= 8), libglobus-gssapi-gsi4 (>= 10) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gssapi-error/libglobus-gssapi-error2_4.1-2_armhf.deb Size: 6716 SHA256: d1a425023e4bf063b0baa1c69af3e04cbc2708fb206bf2e70f9c954995a7d3e9 SHA1: 970552ccc999082c570efcde052ecefd2fa97c7c MD5sum: 58dc59bb24fce25b3c2513b57ff0d88f Description: Globus Toolkit - GSSAPI Error Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gssapi-error2 package contains: GSSAPI Error Library Package: libglobus-gssapi-gsi-dev Source: globus-gssapi-gsi Version: 10.6-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 91 Depends: libglobus-gssapi-gsi4 (= 10.6-1), libglobus-gsi-credential-dev (>= 5), libglobus-gsi-callback-dev (>= 4), libglobus-openssl-module-dev (>= 3), libglobus-gsi-openssl-error-dev (>= 2), libglobus-gsi-proxy-core-dev (>= 6), globus-core (>= 8), libglobus-gsi-cert-utils-dev (>= 8), libglobus-gsi-sysconfig-dev (>= 5), libglobus-common-dev (>= 14), libssl-dev Recommends: libglobus-gssapi-gsi-doc (= 10.6-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-gssapi-gsi/libglobus-gssapi-gsi-dev_10.6-1_armhf.deb Size: 11208 SHA256: 05b72d13bbfd94feda8940a874eb4e9d5f6492c1483bf2fc10675839ff58e2f8 SHA1: 558050f7673f24d5c4994c897100553013388463 MD5sum: 13283de654e42fedf8ce998299bf8758 Description: Globus Toolkit - GSSAPI library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gssapi-gsi-dev package contains: GSSAPI library Development Files Package: libglobus-gssapi-gsi-doc Source: globus-gssapi-gsi Version: 10.6-1 Installed-Size: 471 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-gssapi-gsi-dev (>= 10.6-1), libjs-jquery Size: 274202 SHA256: 3a50ded3b1836a221c1dbcc88bdee3f51c789795b53d256cf34773e6de72fdc3 SHA1: 95423e4e455abc2231b7cad770a71c6ab6575b8f MD5sum: c0c87848b70ba2e4663734cfa669954e Description: Globus Toolkit - GSSAPI library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gssapi-gsi-doc package contains: GSSAPI library Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-gssapi-gsi/libglobus-gssapi-gsi-doc_10.6-1_all.deb Package: libglobus-gssapi-gsi4 Source: globus-gssapi-gsi Version: 10.6-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 148 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gsi-callback0 (>= 4), libglobus-gsi-cert-utils0 (>= 8), libglobus-gsi-credential1 (>= 5), libglobus-gsi-openssl-error0 (>= 2), libglobus-gsi-proxy-core0 (>= 6), libglobus-gsi-sysconfig1 (>= 5), libglobus-openssl-module0 (>= 3), libssl1.0.0 (>= 1.0.0) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-gssapi-gsi/libglobus-gssapi-gsi4_10.6-1_armhf.deb Size: 50180 SHA256: 6154fea1c1542b61c8fe29a357641671cbe8fa2ca61b928f47176519c0af2998 SHA1: ee56a8a8a6f17f32b3ae176451697efa7d3acc5b MD5sum: 0574cf7b5d229f82873a43ade1ba064b Description: Globus Toolkit - GSSAPI library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-gssapi-gsi4 package contains: GSSAPI library Package: libglobus-io-dev Source: globus-io Version: 9.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 118 Depends: libglobus-io3 (= 9.3-1), libglobus-common-dev (>= 14), libglobus-xio-gsi-driver-dev (>= 2), libglobus-gssapi-error-dev (>= 4), libglobus-gss-assist-dev (>= 8), libglobus-xio-dev (>= 3), libglobus-gssapi-gsi-dev (>= 10), globus-core (>= 8) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-io/libglobus-io-dev_9.3-1_armhf.deb Size: 10140 SHA256: c1cb1ca87de67509be24c1445bd24e1b738774633bde115427c7f5a11f9227c9 SHA1: 8c48aaf93df85f3e5130469ec6909afa333b5b3f MD5sum: e31fbe375cdb8d62b92f53a21b706046 Description: Globus Toolkit - uniform I/O interface Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-io-dev package contains: uniform I/O interface to stream and datagram style communications Development Files Package: libglobus-io3 Source: globus-io Version: 9.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 123 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gss-assist3 (>= 8), libglobus-gssapi-error2 (>= 4), libglobus-gssapi-gsi4 (>= 10), libglobus-xio0 (>= 3), libglobus-xio-gsi-driver0 (>= 2) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-io/libglobus-io3_9.3-1_armhf.deb Size: 32696 SHA256: a929015813c5f610557d7d49bc9c9a357949995c32d6194a0c2597868496388a SHA1: 8320e7ea711a0e1a45ef51ca63c6d063920755b7 MD5sum: 72d7aacc29aaed34dbb22fed10d41c68 Description: Globus Toolkit - uniform I/O interface The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-io3 package contains: uniform I/O interface to stream and datagram style communications Package: libglobus-openssl-module-dev Source: globus-openssl-module Version: 3.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 59 Depends: libglobus-openssl-module0 (= 3.2-1), libglobus-gsi-proxy-ssl-dev (>= 4), libglobus-common-dev (>= 14), libglobus-gsi-openssl-error-dev (>= 2), globus-core (>= 8), libssl-dev Recommends: libglobus-openssl-module-doc (= 3.2-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-openssl-module/libglobus-openssl-module-dev_3.2-1_armhf.deb Size: 4912 SHA256: 96b35a4fb7d95dc2e2c45236517e1d45d885c9aa12a80f9afc4f88f03ba5eacf SHA1: 9e68049ace9e401258154ccf8bb4f3a621417154 MD5sum: 830a953d64268671c47797b73162bff1 Description: Globus Toolkit - Globus OpenSSL Module Wrapper Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-openssl-module-dev package contains: Globus OpenSSL Module Wrapper Development Files Package: libglobus-openssl-module-doc Source: globus-openssl-module Version: 3.2-1 Installed-Size: 168 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-openssl-module-dev (>= 3.2-1), libjs-jquery Size: 85362 SHA256: 1c29c678ae38d528f26a6113f01bd8ff33c05a52bc44155b218ceb2e8334e678 SHA1: a5f1c79cf451e4bdc430e6b349dfc5fb4e0ab697 MD5sum: 2e1545ac515f188f4a229ef10926d6fb Description: Globus Toolkit - Globus OpenSSL Module Wrapper Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-openssl-module-doc package contains: Globus OpenSSL Module Wrapper Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-openssl-module/libglobus-openssl-module-doc_3.2-1_all.deb Package: libglobus-openssl-module0 Source: globus-openssl-module Version: 3.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 62 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gsi-openssl-error0 (>= 2), libglobus-gsi-proxy-ssl1 (>= 4), libssl1.0.0 (>= 1.0.0) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-openssl-module/libglobus-openssl-module0_3.2-1_armhf.deb Size: 6830 SHA256: 574118025b233d33916ff6ff2c93669ae2e43bf81233288d6d4091e9ef015d3a SHA1: e69ae6a03bef46a2df1dcdb33158712d8aca0776 MD5sum: 030cc9d28cc215a8484c59abd73431d8 Description: Globus Toolkit - Globus OpenSSL Module Wrapper The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-openssl-module0 package contains: Globus OpenSSL Module Wrapper Package: libglobus-rls-client-dev Source: globus-rls-client Version: 5.2-8 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 119 Depends: libglobus-rls-client5 (= 5.2-8), libglobus-common-dev (>= 14), libglobus-gssapi-gsi-dev (>= 10), libglobus-io-dev (>= 9), globus-core (>= 8) Recommends: libglobus-rls-client-doc (= 5.2-8) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-rls-client/libglobus-rls-client-dev_5.2-8_armhf.deb Size: 15720 SHA256: 0660ed0cd371d450bbaf5416533911b432a36af4dd73d50e079d78c5caf50a98 SHA1: 21db654127ba122177f9bd239a437298d36dc292 MD5sum: 20e789acb7635ff7e9dad7440dcd9cf8 Description: Globus Toolkit - Replica Location Service Client Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-rls-client-dev package contains: Replica Location Service Client Development Files Package: libglobus-rls-client-doc Source: globus-rls-client Version: 5.2-8 Installed-Size: 658 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-rls-client-dev (>= 5.2-8), libjs-jquery Size: 278584 SHA256: 7ec61cf6c4436a7e1e3c3724c6376caafe1d1d38f4e85da261613ef6599a788b SHA1: a9681bf4f70f67e0d2432a8574488cb3e3dffc50 MD5sum: 628fdfee45fcbbb675de8bddbd87b22e Description: Globus Toolkit - Replica Location Service Client Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-rls-client-doc package contains: Replica Location Service Client Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-rls-client/libglobus-rls-client-doc_5.2-8_all.deb Package: libglobus-rls-client5 Source: globus-rls-client Version: 5.2-8 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 86 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-io3 (>= 9) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-rls-client/libglobus-rls-client5_5.2-8_armhf.deb Size: 21350 SHA256: 35c3bc68bbd460625fe10724bb9e947ed658c2a7dc2393fdbdde3ed6bf914752 SHA1: a8ddcd14c7bc48bfe807e2f7fe9043f62164b870 MD5sum: 2e438c97aa00fc318270505d4bc572cf Description: Globus Toolkit - Replica Location Service Client The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-rls-client5 package contains: Replica Location Service Client Package: libglobus-rsl-dev Source: globus-rsl Version: 9.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 68 Depends: libglobus-rsl2 (= 9.1-2), libglobus-common-dev (>= 14), globus-core (>= 8) Recommends: libglobus-rsl-doc (= 9.1-2) Conflicts: libglobus-rsl-assist-dev (<< 3) Replaces: libglobus-rsl-assist-dev (<< 3) Provides: libglobus-rsl-assist-dev Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-rsl/libglobus-rsl-dev_9.1-2_armhf.deb Size: 6376 SHA256: 1bc3454535177165eb9ca72a47b2c4d9646f3d59226b8927597bee87043aa50a SHA1: 129bf83e45a669a71adefda26b2453a5009d5808 MD5sum: df5669df1424ca0a0abd937e5329a9cc Description: Globus Toolkit - Resource Specification Language Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-rsl-dev package contains: Resource Specification Language Library Development Files Package: libglobus-rsl-doc Source: globus-rsl Version: 9.1-2 Installed-Size: 424 Maintainer: Mattias Ellert Architecture: all Replaces: libglobus-rsl-assist-doc (<< 3) Provides: libglobus-rsl-assist-doc Depends: libglobus-rsl-dev (>= 9.1-2), libjs-jquery Conflicts: libglobus-rsl-assist-doc (<< 3) Size: 204594 SHA256: 9c1e7b6f126490a4e97c92ff253b6f2a43f20c5d83ffd2a11fb6b16c4adca4d0 SHA1: 7ad87707b1d61f21086e9d86a353842418fff2c0 MD5sum: 50ba4299a535380afab7f9677782cb27 Description: Globus Toolkit - Resource Specification Language Library Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-rsl-doc package contains: Resource Specification Language Library Documentation Files Homepage: http://www.globus.org/ Tag: made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-rsl/libglobus-rsl-doc_9.1-2_all.deb Package: libglobus-rsl2 Source: globus-rsl Version: 9.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 87 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14) Conflicts: libglobus-rsl-assist2 (<< 3) Replaces: libglobus-rsl-assist2 (<< 3) Provides: libglobus-rsl-assist2 Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-rsl/libglobus-rsl2_9.1-2_armhf.deb Size: 20404 SHA256: ce06ade90dc2b8124556a0913b341c01271686ee052fcac5abb4f3d629edf535 SHA1: bc93a507775627952c7ab0144bf19aa95ab63813 MD5sum: 650bc3916fccf33c2cbcf3b6c1739047 Description: Globus Toolkit - Resource Specification Language Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-rsl2 package contains: Resource Specification Language Library Package: libglobus-scheduler-event-generator-dev Source: globus-scheduler-event-generator Version: 4.6-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 65 Depends: libglobus-scheduler-event-generator0 (= 4.6-1), libglobus-gram-protocol-dev (>= 11), libglobus-common-dev (>= 14), libglobus-xio-gsi-driver-dev (>= 2), libglobus-xio-dev (>= 3), globus-core (>= 8), libltdl-dev Recommends: libglobus-scheduler-event-generator-doc (= 4.6-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-scheduler-event-generator/libglobus-scheduler-event-generator-dev_4.6-1_armhf.deb Size: 5688 SHA256: 476b5d00ae0a3e49910af4fd5a17a76f6836db610948fcbd90f9f00adb276102 SHA1: 945ac881c93716e408b60796603d1236ece1881d MD5sum: 9c1cab1ffea612711bf8af01707852ba Description: Globus Toolkit - Scheduler Event Generator Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-scheduler-event-generator-dev package contains: Scheduler Event Generator Development Files Package: libglobus-scheduler-event-generator-doc Source: globus-scheduler-event-generator Version: 4.6-1 Installed-Size: 238 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-scheduler-event-generator-dev (>= 4.6-1), libjs-jquery Size: 135734 SHA256: 3db910f3abb28639251909cac7c2885035b51ab015b599487834a86a549a27ca SHA1: 3bb2f9c98e5ceb9a26aa3cea8e98cb495691b009 MD5sum: b68e5d33986719080cffff95a30ccea0 Description: Globus Toolkit - Scheduler Event Generator Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-scheduler-event-generator-doc package contains: Scheduler Event Generator Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-scheduler-event-generator/libglobus-scheduler-event-generator-doc_4.6-1_all.deb Package: libglobus-scheduler-event-generator0 Source: globus-scheduler-event-generator Version: 4.6-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 54 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-xio-gsi-driver0 (>= 2) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-scheduler-event-generator/libglobus-scheduler-event-generator0_4.6-1_armhf.deb Size: 7110 SHA256: 2ce3a30805fdabf197df63da9c837483c1e6f8ea460502ed8ce2d3eb7a6d7dea SHA1: fe7bfa22460075766860da3743044f7f5a075840 MD5sum: fa5af5f1d74dea31cc76fe2d9e138501 Description: Globus Toolkit - Scheduler Event Generator The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-scheduler-event-generator0 package contains: Scheduler Event Generator Package: libglobus-usage-dev Source: globus-usage Version: 3.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 58 Depends: libglobus-usage0 (= 3.1-2), libglobus-common-dev (>= 14), libglobus-xio-dev (>= 3), globus-core (>= 8) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-usage/libglobus-usage-dev_3.1-2_armhf.deb Size: 4084 SHA256: 29c649ff6a2d008701375609d7d58523a31b3c19ff5ee70895de9b80ecd156ab SHA1: 04713224d11ef54c46d1882f15d456de1d59c78f MD5sum: e37d4f2bd3245f0e073497da4f2fe05c Description: Globus Toolkit - Usage Library Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-usage-dev package contains: Usage Library Development Files Package: libglobus-usage0 Source: globus-usage Version: 3.1-2 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 58 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-xio0 (>= 3) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-usage/libglobus-usage0_3.1-2_armhf.deb Size: 9382 SHA256: 96fdb0e1316270b9246696fbeb8b3e4f7101dd8719580a8b3fa3ca1898f5c546 SHA1: 91f3a33133234caa0716ca95444b78995c778601 MD5sum: fc7b5a61cb734c6913e9ecc9e808710c Description: Globus Toolkit - Usage Library The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-usage0 package contains: Usage Library - client library for the Globus usage statistics collection service Package: libglobus-xio-dev Source: globus-xio Version: 3.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 293 Depends: libglobus-xio0 (= 3.3-1), libglobus-common-dev (>= 14), globus-core (>= 8) Recommends: libglobus-xio-doc (= 3.3-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-xio/libglobus-xio-dev_3.3-1_armhf.deb Size: 47606 SHA256: 0ca690eeec80ec95d14efd440ea8b80e3ca83f223612d498c83cc0438adf30e0 SHA1: 6706dc710a205a50e5922b3f4da682259b55371b MD5sum: b8334f5423826653511740fa9c816cb1 Description: Globus Toolkit - Globus XIO Framework Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-xio-dev package contains: Globus XIO Framework Development Files Package: libglobus-xio-doc Source: globus-xio Version: 3.3-1 Installed-Size: 3518 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-xio-dev (>= 3.3-1), libjs-jquery Size: 2380786 SHA256: 0e9a4a451ff3a82c30d9013a9e6c88073d044fcb2e31c0eac150f7cb8e570675 SHA1: 8ccfb67cceb78c5cc57ce04d95cbe3758bc94c70 MD5sum: 96a5fabb4d92483cec512831f5959fe5 Description: Globus Toolkit - Globus XIO Framework Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-xio-doc package contains: Globus XIO Framework Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-xio/libglobus-xio-doc_3.3-1_all.deb Package: libglobus-xio-gsi-driver-dev Source: globus-xio-gsi-driver Version: 2.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 77 Depends: libglobus-xio-gsi-driver0 (= 2.3-1), libglobus-common-dev (>= 14), libglobus-gssapi-error-dev (>= 4), libglobus-gss-assist-dev (>= 8), libglobus-xio-dev (>= 3), libglobus-gssapi-gsi-dev (>= 9) Recommends: libglobus-xio-gsi-driver-doc (= 2.3-1) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-xio-gsi-driver/libglobus-xio-gsi-driver-dev_2.3-1_armhf.deb Size: 8280 SHA256: d120b47dcb7fe131f485b12395afd616fcbc14daf3d0da201070b79e436afd89 SHA1: 093465fc1c3f2469723e234b9e85ff3a98e763da MD5sum: 7f4cc3e8d30da422c859736b23f7c2e6 Description: Globus Toolkit - Globus XIO GSI Driver Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-xio-gsi-driver-dev package contains: Globus XIO GSI Driver Development Files Package: libglobus-xio-gsi-driver-doc Source: globus-xio-gsi-driver Version: 2.3-1 Installed-Size: 734 Maintainer: Mattias Ellert Architecture: all Depends: libglobus-xio-gsi-driver-dev (>= 2.3-1), libjs-jquery Size: 510384 SHA256: 0a6a4faa702a70e3b9cd9d64884c41d6952ae2fb9b673443ef03b85c995c559f SHA1: ce314a3d440dd2dff5235866602da19293a7cd7b MD5sum: 4b7698a290e5247fa5d6db46c0910337 Description: Globus Toolkit - Globus XIO GSI Driver Documentation Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-xio-gsi-driver-doc package contains: Globus XIO GSI Driver Documentation Files Homepage: http://www.globus.org/ Tag: devel::doc, made-of::html, made-of::man, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/g/globus-xio-gsi-driver/libglobus-xio-gsi-driver-doc_2.3-1_all.deb Package: libglobus-xio-gsi-driver0 Source: globus-xio-gsi-driver Version: 2.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 99 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-gss-assist3 (>= 8), libglobus-gssapi-error2 (>= 4), libglobus-gssapi-gsi4 (>= 10), libglobus-xio0 (>= 3) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-xio-gsi-driver/libglobus-xio-gsi-driver0_2.3-1_armhf.deb Size: 29636 SHA256: e25895ed13dd8ec754e3536ad37af3161d3be3a56c22af4f01a22e1f2c509fba SHA1: 3d90dace92f427d943e5e27b6f43e9e381ea8094 MD5sum: 286c4a11f5b111bad9ba7a1780c06a56 Description: Globus Toolkit - Globus XIO GSI Driver The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-xio-gsi-driver0 package contains: Globus XIO GSI Driver Package: libglobus-xio-pipe-driver-dev Source: globus-xio-pipe-driver Version: 2.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 56 Depends: libglobus-xio-pipe-driver0 (= 2.2-1), libglobus-common-dev (>= 14), libglobus-xio-dev (>= 3) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-xio-pipe-driver/libglobus-xio-pipe-driver-dev_2.2-1_armhf.deb Size: 3670 SHA256: 8bf04435cd46c179bd840c9698869b82399fccd94e79f6dd0967df14bbf791dc SHA1: 885b26867f05713c7f62e1ee1b4325afef7ca045 MD5sum: 4b5dd18622bf8c523e6e7c032f969875 Description: Globus Toolkit - Globus Pipe Driver Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-xio-pipe-driver-dev package contains: Globus Pipe Driver Development Files Package: libglobus-xio-pipe-driver0 Source: globus-xio-pipe-driver Version: 2.2-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 63 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-xio0 (>= 3) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-xio-pipe-driver/libglobus-xio-pipe-driver0_2.2-1_armhf.deb Size: 10250 SHA256: 6a0966b2c3d05edb9b4c51ab1f1c454c6711484c083f3fea1d7215e5db6a3080 SHA1: 69f2b402a6d6acc97c8912aa6726b06479a6ef61 MD5sum: 8fc70aeaf4d139abc4a82b0561806242 Description: Globus Toolkit - Globus Pipe Driver The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-xio-pipe-driver0 package contains: Globus Pipe Driver Package: libglobus-xio-popen-driver-dev Source: globus-xio-popen-driver Version: 2.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 57 Depends: libglobus-xio-popen-driver0 (= 2.3-1), libglobus-common-dev (>= 14), libglobus-xio-dev (>= 3) Homepage: http://www.globus.org/ Priority: optional Section: libdevel Filename: pool/main/g/globus-xio-popen-driver/libglobus-xio-popen-driver-dev_2.3-1_armhf.deb Size: 4132 SHA256: d694c57b375a68fc8f70e6d1299a47924f206e80228c4b8d5e07918b1aefb74c SHA1: 1c4d39477ba9c76fb183644c44fee23b2289cc2c MD5sum: b534155d1dcf5148ca242820fb8780f8 Description: Globus Toolkit - Globus XIO Pipe Open Driver Development Files The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-xio-popen-driver-dev package contains: Globus XIO Pipe Open Driver Development Files Package: libglobus-xio-popen-driver0 Source: globus-xio-popen-driver Version: 2.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 67 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14), libglobus-xio0 (>= 3) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-xio-popen-driver/libglobus-xio-popen-driver0_2.3-1_armhf.deb Size: 13114 SHA256: a5b3f8f1153975637af6f7af369ba5c41430337ac719eb8f5bacd2d82f4bb293 SHA1: 46eeda7d86ca874809eb438a764741c139722f00 MD5sum: ec8162a5a6b8b81d59fa51d4741576ea Description: Globus Toolkit - Globus XIO Pipe Open Driver The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-xio-popen-driver0 package contains: Globus XIO Pipe Open Driver - allows a user to execute a program and treat it as a transport driver by routing data through pipes Package: libglobus-xio0 Source: globus-xio Version: 3.3-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 413 Depends: libc6 (>= 2.13-28), libglobus-common0 (>= 14) Homepage: http://www.globus.org/ Priority: optional Section: libs Filename: pool/main/g/globus-xio/libglobus-xio0_3.3-1_armhf.deb Size: 163872 SHA256: 21e873fb4472471e006f6ea4eee10d01e8d4d317ff1fac9a7c5d4b36f379bc33 SHA1: 2e8d005760fb9c67fdb8830788eaf1e49353ef03 MD5sum: 547a64ee2e24f0902f4a72dfb64322e9 Description: Globus Toolkit - Globus XIO Framework The Globus Toolkit is an open source software toolkit used for building Grid systems and applications. It is being developed by the Globus Alliance and many others all over the world. A growing number of projects and companies are using the Globus Toolkit to unlock the potential of grids for their cause. . The libglobus-xio0 package contains: Globus XIO Framework Package: libgloox-dbg Source: gloox Version: 1.0-1.1 Architecture: armhf Maintainer: Jose Carlos Garcia Sogo Installed-Size: 9367 Depends: libgloox-dev (= 1.0-1.1) Priority: extra Section: libs Filename: pool/main/g/gloox/libgloox-dbg_1.0-1.1_armhf.deb Size: 3147482 SHA256: 96bdbc0ba1bbbde448c2981b4d84485bbf6dd2060ff9f671ef2923bcf20f42d3 SHA1: 7369086687773e694a6b44c61ed81882b93ba4e0 MD5sum: 87d33862a6d44345fd6c72df56987b12 Description: C++ jabber/xmpp library debug symbols A C++ Jabber/XMPP library that takes care of low level protocol stuff. Additionally, it offers high level interfaces for interaction with an XMPP server. . It is a fully compliant XMPP Core and supports various JEPs, mainly those needed to implement a XMPP IM client. . This package contains symbols for debugging programs using this library. Package: libgloox-dev Source: gloox Version: 1.0-1.1 Architecture: armhf Maintainer: Jose Carlos Garcia Sogo Installed-Size: 2971 Depends: libgloox8 (= 1.0-1.1), libgnutls-dev, libidn11-dev Priority: optional Section: libdevel Filename: pool/main/g/gloox/libgloox-dev_1.0-1.1_armhf.deb Size: 789534 SHA256: ed678dd890df4de2eef3073da4e1e61a74e8ac638bc82f0ad3a7130751be8ed3 SHA1: f000d647459851d9b7300c25c4aa38580a4f4b70 MD5sum: 055712669fd34408c7f7733ca8f00794 Description: C++ jabber/xmpp library devel files A C++ Jabber/XMPP library that takes care of low level protocol stuff. Additionally, it offers high level interfaces for interaction with an XMPP server. . It is a fully compliant XMPP Core and supports various JEPs, mainly those needed to implement a XMPP IM client. . This package contains files needed for development with this library. Package: libgloox-doc Source: gloox Version: 1.0-1.1 Installed-Size: 13988 Maintainer: Jose Carlos Garcia Sogo Architecture: all Size: 2514484 SHA256: 762a33120af44929bc8c358c649ec9e749ce1c2a204a65a1d8564a3261041fa0 SHA1: c8b4dbfa9341357b255cf2eb2c160612a9850842 MD5sum: e9ef393b494af57c90c4971c0809ec2f Description: C++ jabber/xmpp library API documentation A C++ Jabber/XMPP library that takes care of low level protocol stuff. Additionally, it offers high level interfaces for interaction with an XMPP server. . It is a fully compliant XMPP Core and supports various JEPs, mainly those needed to implement a XMPP IM client. . This package contains API documentation for this library. Tag: devel::doc, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/g/gloox/libgloox-doc_1.0-1.1_all.deb Package: libgloox8 Source: gloox Version: 1.0-1.1 Architecture: armhf Maintainer: Jose Carlos Garcia Sogo Installed-Size: 1038 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0), libidn11 (>= 1.13), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Priority: optional Section: libs Filename: pool/main/g/gloox/libgloox8_1.0-1.1_armhf.deb Size: 434882 SHA256: 81ff53231fcca0df04d3d59abbdc5553b1476c2991e737aa4774f62722a0ef19 SHA1: eb4dd6a7c824365e1bf817999554d372e9fabf49 MD5sum: a22ab5aaf806b50ccfd11fe78b23a8d4 Description: C++ jabber/xmpp library A C++ Jabber/XMPP library that takes care of low level protocol stuff. Additionally, it offers high level interfaces for interaction with an XMPP server. . It is a fully compliant XMPP Core and supports various JEPs, mainly those needed to implement a XMPP IM client. Package: libglpk-dev Source: glpk Version: 4.45-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1153 Depends: libglpk0 (= 4.45-1) Conflicts: glpk (<< 4.15) Homepage: http://www.gnu.org/software/glpk/glpk.html Priority: optional Section: libdevel Filename: pool/main/g/glpk/libglpk-dev_4.45-1_armhf.deb Size: 478378 SHA256: 91d47b269ce3d15015b8d3a67f3f4621bbf481542a40f05509b5aae5499f55df SHA1: 1844459a41b448dc3d6a40fe173149b554a7a2dc MD5sum: 2558b84f95f78432d84fbfe55632075a Description: linear programming kit - development files GLPK (GNU Linear Programming Kit) is intended for solving large-scale linear programming (LP), mixed integer programming (MIP), and other related problems. It is a set of routines written in ANSI C and organized in the form of a callable library. . This package contains static library, headers, and the development manpage for libvc. Package: libglpk-java Source: glpk-java Version: 1.0.18-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1510 Depends: libc6 (>= 2.13-28), libglpk0 (>= 4.45) Homepage: http://glpk-java.sourceforge.net Priority: optional Section: java Filename: pool/main/g/glpk-java/libglpk-java_1.0.18-1_armhf.deb Size: 899228 SHA256: 48a001e71b77aebcdffa70550acc9a67465ae1674cd098a273dc4eae18317507 SHA1: 01bd172e92dd613f7106bd9091d305b71308bc09 MD5sum: 00ee10abcf358a01f804994f52977600 Description: Java binding to the GNU Linear Programming Kit GLPK (GNU Linear Programming Kit) is intended for solving large-scale linear programming (LP), mixed integer programming (MIP), and other related problems. It is a set of routines written in ANSI C and organized in the form of a callable library. . GLPK supports the GNU MathProg language, which is a subset of the AMPL language. GLPK also supports the standard MPS and LP formats. . This package contains the Java binding to GLPK. Package: libglpk0 Source: glpk Version: 4.45-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 793 Depends: libc6 (>= 2.13-28), libgmp10, libltdl7 (>= 2.4.2), zlib1g (>= 1:1.2.6) Suggests: libiodbc2-dev, libmysqlclient-dev Homepage: http://www.gnu.org/software/glpk/glpk.html Priority: optional Section: math Filename: pool/main/g/glpk/libglpk0_4.45-1_armhf.deb Size: 408044 SHA256: 7ce36f0d4854eede362ef3314e920bbb3c6ae00bfddccb3dd39a575e1882a880 SHA1: 7e61c985de899be21f6c39a86da42467d7e61074 MD5sum: 50722474d770274c7a8902ede4d06399 Description: linear programming kit with integer (MIP) support GLPK (GNU Linear Programming Kit) is intended for solving large-scale linear programming (LP), mixed integer programming (MIP), and other related problems. It is a set of routines written in ANSI C and organized in the form of a callable library. . GLPK supports the GNU MathProg language, which is a subset of the AMPL language. GLPK also supports the standard MPS and LP formats. . The GLPK package includes the following main components: * Revised simplex method. * Primal-dual interior point method. * Branch-and-bound method. * Translator for GNU MathProg modeling language. * Application program interface (API). . In order to get connections between the internal MathProg model objects and external database tables, please install the libiodbc2-dev (for the iODBC table driver) and libmysqlclient-dev (for the MySQL table driver). Package: libglpk0-dbg Source: glpk Version: 4.45-1 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1529 Depends: libglpk0 (= 4.45-1) Suggests: libiodbc2-dev, libmysqlclient-dev Homepage: http://www.gnu.org/software/glpk/glpk.html Priority: extra Section: debug Filename: pool/main/g/glpk/libglpk0-dbg_4.45-1_armhf.deb Size: 587096 SHA256: 25506101e6d8652099438aa3011a3ab0ba91e406f19c40250cbec04b39b74eeb SHA1: e0af89995863bc2c64d35c1f0faa396c8fa5ce3d MD5sum: 8fcee6decd288865297200e8b89e129f Description: linear programming kit - debugging symbols GLPK (GNU Linear Programming Kit) is intended for solving large-scale linear programming (LP), mixed integer programming (MIP), and other related problems. It is a set of routines written in ANSI C and organized in the form of a callable library. . This package contains debugging symbols for the GLPK library. Package: libglrr-glib-dev Source: glrr Version: 20050529-3.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 67 Depends: libglrr-glib0 (= 20050529-3.1) Homepage: http://sourceforge.net/projects/grift/ Priority: extra Section: libdevel Filename: pool/main/g/glrr/libglrr-glib-dev_20050529-3.1_armhf.deb Size: 7500 SHA256: c24d1c6deace5b9a5a2b3e6f865af7c0dccb360eda831b63d78f6f8407820a84 SHA1: 50222edd756abb16e93ba3903d5c29f179e9bd17 MD5sum: 0fa6ccac6027e77dff61488a1962c34b Description: Development library of Grift (glib) Grift is a library that provides a framework for developing a UI-independent application. Package: libglrr-glib0 Source: glrr Version: 20050529-3.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 38 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0) Homepage: http://sourceforge.net/projects/grift/ Priority: extra Section: libs Filename: pool/main/g/glrr/libglrr-glib0_20050529-3.1_armhf.deb Size: 5860 SHA256: 348238cc315e397a21a564b1022dd3b45dacdb0d14edc26ea56c18ec4233fb34 SHA1: 7aae6ab9992dd866168861d63e179904940811dc MD5sum: 6fabe64540d493b3a04ed5ecd96ef20e Description: Utility functions for glib of Grift Grift is a library that provides a framework for developing a UI-independent application. Package: libglrr-gobject-dev Source: glrr Version: 20050529-3.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 66 Depends: libglrr-gobject0 (= 20050529-3.1) Homepage: http://sourceforge.net/projects/grift/ Priority: extra Section: libdevel Filename: pool/main/g/glrr/libglrr-gobject-dev_20050529-3.1_armhf.deb Size: 8372 SHA256: 15c13f1961ac6e568fa1b05f39cd5ac77088e7ca2ef9956001559efefd626780 SHA1: 1fd737fc9026b747b89d768b364832c488816082 MD5sum: 933347a1ea20464bd750906dca5e36a4 Description: Development library of Grift (gobject) Grift is a library that provides a framework for developing a UI-independent application. Package: libglrr-gobject0 Source: glrr Version: 20050529-3.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 42 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0) Homepage: http://sourceforge.net/projects/grift/ Priority: extra Section: libs Filename: pool/main/g/glrr/libglrr-gobject0_20050529-3.1_armhf.deb Size: 7148 SHA256: 9f7afb1dc0030241d6245466e53334473d4a86a141dadc38a681c84b1525771f SHA1: 6f096c3416a494659631f2314e9fed92fc797f1f MD5sum: cb7491dc9e4f0e779f9ce80b60d3d419 Description: Utility functions for gobject of Grift Grift is a library that provides a framework for developing a UI-independent application. Package: libglrr-gtk-dev Source: glrr Version: 20050529-3.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 67 Depends: libglrr-gtk0 (= 20050529-3.1) Homepage: http://sourceforge.net/projects/grift/ Priority: extra Section: libdevel Filename: pool/main/g/glrr/libglrr-gtk-dev_20050529-3.1_armhf.deb Size: 8552 SHA256: c67bf437841be7456f6253a8ec55074e68d7dc292b6f7d08c37d67ca15a85b1c SHA1: eb35f0df0cc3744daf40f170a4ae95f916ca2ec3 MD5sum: f1ad6c69f75c3dcc37dde17810374d0a Description: Development library of Grift (gtk) Grift is a library that provides a framework for developing a UI-independent application. Package: libglrr-gtk0 Source: glrr Version: 20050529-3.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 41 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://sourceforge.net/projects/grift/ Priority: extra Section: libs Filename: pool/main/g/glrr/libglrr-gtk0_20050529-3.1_armhf.deb Size: 6968 SHA256: 63bc3938edd387cdfc6edb78e0edecd7b5a2eb05eb134b9ada0f47915055de8b SHA1: 09c6cede921de1fdc4ef5718d4709836d7d3f1cd MD5sum: 0acffba330a30912e0bb9e0d7c9637f8 Description: Utility functions for gtk+ of Grift Grift is a library that provides a framework for developing a UI-independent application. Package: libglrr-widgets-dev Source: glrr-widgets Version: 20050529-3.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 152 Depends: libglrr-widgets0 (= 20050529-3.1) Homepage: http://sourceforge.net/projects/grift/ Priority: extra Section: libdevel Filename: pool/main/g/glrr-widgets/libglrr-widgets-dev_20050529-3.1_armhf.deb Size: 33540 SHA256: b3b6a52b6c4a04698cee74659bce20df5b94d642f9967549dfa13077f12a1025 SHA1: ba4916a212fbba8c81e2ee02cb3cf8b13356ac12 MD5sum: 54e13025c1331e369f3f625be7130b6a Description: Development library of Grift's widgets Grift is a library that provides a framework for developing a UI-independent application. Package: libglrr-widgets0 Source: glrr-widgets Version: 20050529-3.1 Architecture: armhf Maintainer: NIIBE Yutaka Installed-Size: 85 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglrr-glib0, libglrr-gobject0, libgtk2.0-0 (>= 2.8.0) Homepage: http://sourceforge.net/projects/grift/ Priority: extra Section: libs Filename: pool/main/g/glrr-widgets/libglrr-widgets0_20050529-3.1_armhf.deb Size: 27188 SHA256: 8fa543622b9d8837b21e6aa0132a5b1fd35e77005bfbd5149d621a61f43bf033 SHA1: e62ecba0ba920c142ea52c790c2a341b2cae17bc MD5sum: 57856729862bdfed5d7354b4ccbd12b1 Description: Miscellaneous gtk+ widgets for Grift Grift is a library that provides a framework for developing a UI-independent application. Package: libglu1-mesa Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 421 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libstdc++6 (>= 4.3.0) Conflicts: libglu1, mesag3 (<< 5.0.0-1), xlibmesa3 Replaces: libglu1 Provides: libglu1 Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/m/mesa/libglu1-mesa_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 152256 SHA256: cccd270fea269cc0c04eb2ec65e8228b809167e4f8f238130da76da8dff0f0f9 SHA1: 87f04c19751b7c9cb10a3a1a2e7d8f1330e9883f MD5sum: 97b1b71ea45827cc902bd1f4c339dba4 Description: Mesa OpenGL utility library (GLU) GLU offers simple interfaces for building mipmaps; checking for the presence of extensions in the OpenGL (or other libraries which follow the same conventions for advertising extensions); drawing piecewise-linear curves, NURBS, quadrics and other primitives (including, but not limited to, teapots); tesselating surfaces; setting up projection matrices and unprojecting screen coordinates to world coordinates. . On Linux, this library is also known as libGLU or libGLU.so.1. . This package provides the SGI implementation of GLU shipped with the Mesa package (ergo the "-mesa" suffix). Package: libglu1-mesa-dev Source: mesa Version: 8.0.5-4+deb7u2+rpi1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 752 Depends: libglu1-mesa (= 8.0.5-4+deb7u2+rpi1), libgl1-mesa-dev | libgl-dev Conflicts: mesa-glide2-dev (<< 5.0.0-1), mesag-dev (<< 5.0.0-1), mesag3+ggi-dev (<< 5.0.0-1), xlibmesa-dev Replaces: libglu-dev Provides: libglu-dev, xlibmesa-glu-dev Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/m/mesa/libglu1-mesa-dev_8.0.5-4+deb7u2+rpi1_armhf.deb Size: 192980 SHA256: 9ec87f21e60b9e7045301f0048c84f1c2968f53086db339d72343528a52f1905 SHA1: cd1245d077346e91ee7cfd53d3ace76c1fe24f41 MD5sum: 53f6044c6d67b27b107ee12c6c6e02d8 Description: Mesa OpenGL utility library -- development files Includes headers and static libraries for compiling programs with GLU. . For a complete description of GLU, please look at the libglu1-mesa package. Package: libgluegen2-build-java Source: gluegen2 Version: 2.0-rc5-4 Installed-Size: 2547 Maintainer: Debian Java Maintainers Architecture: all Depends: antlr Suggests: libgluegen2-doc Size: 875960 SHA256: c73218946d3afc096c329c262340c429b13237827e9cdd489a83f38334ec093f SHA1: cb4145cbb9e9529c69e87db509276dcc4ca5f4b7 MD5sum: c1d13ffd0be262afd692c355a4b1e4b6 Description: Tool to automatically generate the Java and JNI code. Gluegen reads as input ANSI C header files and separate configuration files which provide control over many aspects of the glue code generation. GlueGen uses a complete ANSI C parser and an internal representation (IR) capable of representing all C types to represent the APIs for which it generates interfaces. It has the ability to perform significant transformations on the IR before glue code emission. GlueGen is currently powerful enough to bind even low-level APIs such as the Java Native Interface (JNI) and the AWT Native Interface (JAWT) back up to the Java programming language. . This package contains some Java sources necessary to build gluegen-based packages. Homepage: http://jogamp.org/ Section: java Priority: optional Filename: pool/main/g/gluegen2/libgluegen2-build-java_2.0-rc5-4_all.deb Package: libgluegen2-doc Source: gluegen2 Version: 2.0-rc5-4 Installed-Size: 6944 Maintainer: Debian Java Maintainers Architecture: all Suggests: libgluegen2 Size: 471412 SHA256: 318dd2d45e9674b77dd521723bbfd97a53c07aa23d40035443a41740d3e4ce19 SHA1: d25cf12d3e46d74b77ed820f61eb806b0a88faa8 MD5sum: 63b1a5605457eecf4aa6795e47e6e8ad Description: Tool to automatically generate the Java and JNI code. Gluegen reads as input ANSI C header files and separate configuration files which provide control over many aspects of the glue code generation. GlueGen uses a complete ANSI C parser and an internal representation (IR) capable of representing all C types to represent the APIs for which it generates interfaces. It has the ability to perform significant transformations on the IR before glue code emission. GlueGen is currently powerful enough to bind even low-level APIs such as the Java Native Interface (JNI) and the AWT Native Interface (JAWT) back up to the Java programming language. . This package includes the API documentation for Gluegen package. Homepage: http://jogamp.org/ Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/g/gluegen2/libgluegen2-doc_2.0-rc5-4_all.deb Package: libgluegen2-jni Source: gluegen2 Version: 2.0-rc5-4 Architecture: armhf Maintainer: Debian Java Maintainers Installed-Size: 46 Depends: libc6 (>= 2.13-28) Homepage: http://jogamp.org/ Priority: optional Section: java Filename: pool/main/g/gluegen2/libgluegen2-jni_2.0-rc5-4_armhf.deb Size: 7522 SHA256: 42e2be602b8ba0ad72d5829492c85c6c2cfb3c4e86e256b249c92d228e731ec4 SHA1: a63b4da35c8972789d0c1769d55f732f1fe8df31 MD5sum: d13aeae0c80b83556838b6fb1dca3544 Description: Tool to automatically generate the Java and JNI code. Gluegen reads as input ANSI C header files and separate configuration files which provide control over many aspects of the glue code generation. GlueGen uses a complete ANSI C parser and an internal representation (IR) capable of representing all C types to represent the APIs for which it generates interfaces. It has the ability to perform significant transformations on the IR before glue code emission. GlueGen is currently powerful enough to bind even low-level APIs such as the Java Native Interface (JNI) and the AWT Native Interface (JAWT) back up to the Java programming language. . This package includes the architecture specific java native interface part. Package: libgluegen2-rt-java Source: gluegen2 Version: 2.0-rc5-4 Installed-Size: 199 Maintainer: Debian Java Maintainers Architecture: all Depends: antlr, libgluegen2-jni (>= 2.0-rc5-4) Suggests: libgluegen2-doc Size: 156634 SHA256: 5bd80a7b8eefa8ce0400ec4070c603039172dffbf28cf1d430237dddef2c98aa SHA1: 7e9c63060e7993b7465a3eb818334369429a72f0 MD5sum: f182db8239eb4e4a92d3fc0a641149da Description: Tool to automatically generate the Java and JNI code. Gluegen reads as input ANSI C header files and separate configuration files which provide control over many aspects of the glue code generation. GlueGen uses a complete ANSI C parser and an internal representation (IR) capable of representing all C types to represent the APIs for which it generates interfaces. It has the ability to perform significant transformations on the IR before glue code emission. GlueGen is currently powerful enough to bind even low-level APIs such as the Java Native Interface (JNI) and the AWT Native Interface (JAWT) back up to the Java programming language. . This package contains the gluegen 2 runtime files. Homepage: http://jogamp.org/ Section: java Priority: optional Filename: pool/main/g/gluegen2/libgluegen2-rt-java_2.0-rc5-4_all.deb Package: libglui-dev Source: glui Version: 2.36-4 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 1048 Depends: libglui2c2 (= 2.36-4), freeglut3-dev Homepage: http://glui.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/g/glui/libglui-dev_2.36-4_armhf.deb Size: 243456 SHA256: e3aa8ac9778b12be8de224296573e8e25d3846a1221b7ecfad6c6951139c76be SHA1: 2ab8935bf0a8d4bf18df00889aa424a6b0c38ead MD5sum: e28fc159904e4ec498970b0ce9d7ed80 Description: A GLUT-based C++ user interface library GLUI provides controls such as buttons, checkboxes, radio buttons, and spinners to OpenGL applications. It is window-system independent, relying on GLUT to handle all system-dependent issues, such as window and mouse management. Features of the GLUI User Interface Library include: . - Complete integration with GLUT toolkit - Simple creation of a new user interface window with a single line of code - Support for multiple user interface windows - Standard user interface controls such as: - Buttons - Checkboxes for boolean variables - Radio Buttons for mutually-exclusive options - Editable text boxes for inputting text, integers, and floating-point values - Spinners for interactively manipulating integer and floating-point values - Static text fields - Panels for grouping sets of controls - Separator lines to help visually organize groups of controls - Controls can generate callbacks when their values change - Variables can be linked to controls and automatically updated when the value of the control changes ("live variables") - Controls can be automatically synchronized to reflect changes in live variables - Controls can trigger GLUT redisplay events when their values change - Layout and sizing of controls is automatic - Controls can be grouped into columns - User can cycle through controls using Tab key . This package contains the development files. Package: libglui2c2 Source: glui Version: 2.36-4 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 356 Depends: freeglut3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libstdc++6 (>= 4.4.0) Conflicts: libglui2, libglui2c102 Homepage: http://glui.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/g/glui/libglui2c2_2.36-4_armhf.deb Size: 108830 SHA256: 559f2605354e8b3b72b6c9474d29fed07029b9bc2fc67573400325c76adf3e57 SHA1: a35820641ea339b12d16bcbd3b308436acb975c6 MD5sum: 69fd1211983780f39e2b2b6cdda8bc96 Description: GLUI, a C++ GLUT based GUI library - Runtime support GLUI provides controls such as buttons, checkboxes, radio buttons, and spinners to OpenGL applications. It is window-system independent, relying on GLUT to handle all system-dependent issues, such as window and mouse management. Features of the GLUI User Interface Library include: . - Complete integration with GLUT toolkit - Simple creation of a new user interface window with a single line of code - Support for multiple user interface windows - Standard user interface controls such as: - Buttons - Checkboxes for boolean variables - Radio Buttons for mutually-exclusive options - Editable text boxes for inputting text, integers, and floating-point values - Spinners for interactively manipulating integer and floating-point values - Static text fields - Panels for grouping sets of controls - Separator lines to help visually organize groups of controls - Controls can generate callbacks when their values change - Variables can be linked to controls and automatically updated when the value of the control changes ("live variables") - Controls can be automatically synchronized to reflect changes in live variables - Controls can trigger GLUT redisplay events when their values change - Layout and sizing of controls is automatic - Controls can be grouped into columns - User can cycle through controls using Tab key Package: libglw1-mesa Source: glw Version: 8.0.0-1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 60 Pre-Depends: multiarch-support Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libx11-6, libxt6 Provides: libglw1 Multi-Arch: same Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/g/glw/libglw1-mesa_8.0.0-1_armhf.deb Size: 10076 SHA256: f25367ff97e1ec4f4131716c656ea6b28a80409da17392b1642b914aa2f2c3dd SHA1: 396c8e0d52778556bc740e8728b4bc9d6c73789f MD5sum: 19169b7402bd5cda408bcc1084d32588 Description: GL widget library for Athena and Motif -- runtime This package provides a simple widgets library, libGLw, which allows Motif-based applications to embed an OpenGL drawing context. Package: libglw1-mesa-dev Source: glw Version: 8.0.0-1 Architecture: armhf Maintainer: Debian X Strike Force Installed-Size: 75 Depends: libglw1-mesa (= 8.0.0-1), libx11-dev, libxt-dev, lesstif2-dev | libmotif-dev, mesa-common-dev Conflicts: libgl1-mesa-swx11-dev (<< 6.5.2-4), libglw-dev Replaces: libglw-dev Provides: libglw-dev, mesag-widgets-dev, mesag3-widgets Homepage: http://mesa3d.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/g/glw/libglw1-mesa-dev_8.0.0-1_armhf.deb Size: 11656 SHA256: 21d1b5d95b75dea6d9ea24bc25d93cfd4a0f171b6295f6641438ff95f3349d33 SHA1: fc08e1727d4bb08d6b500687935cd598eb0aec16 MD5sum: d93427bdf9957f2354e2603ce7dfb022 Description: GL widget library for Athena and Motif -- development files This package provides the development environment required for compiling programs with the Mesa widgets library, libGLw, which allows Motif-based applications to embed an OpenGL drawing context. The headers and static libraries for compiling programs that use this library are included. Package: libgme-dev Source: game-music-emu Version: 0.5.5-2+deb7u1 Architecture: armhf Maintainer: Sebastian Dröge Installed-Size: 15 Depends: libgme0 (= 0.5.5-2+deb7u1) Homepage: http://code.google.com/p/game-music-emu Priority: optional Section: libdevel Filename: pool/main/g/game-music-emu/libgme-dev_0.5.5-2+deb7u1_armhf.deb Size: 10752 SHA256: 4e6aace21e9fe5dcb70fb7f8a07535eb275c269535af2c7a9de545a3ef14bde5 SHA1: ba93626030740638dd217129f8bfbf21dfeec911 MD5sum: 6e8ba9e737b51769543e76d107dd72ed Description: Playback library for video game music files - development files game-music-emu is a collection of video game music file emulators that support the following formats and systems: * AY ZX Spectrum/Amstrad CPC * GBS Nintendo Game Boy * GYM Sega Genesis/Mega Drive * HES NEC TurboGrafx-16/PC Engine * KSS MSX Home Computer/other Z80 systems (doesn't support FM sound) * NSF/NSFE Nintendo NES/Famicom (with VRC 6, Namco 106, and FME-7 sound) * SAP Atari systems using POKEY sound chip * SPC Super Nintendo/Super Famicom * VGM/VGZ Sega Master System/Mark III, Sega Genesis/Mega Drive,BBC Micro . This package contains the header files, static libraries and symbolic links that developers using libgme will need. Package: libgme0 Source: game-music-emu Version: 0.5.5-2+deb7u1 Architecture: armhf Maintainer: Sebastian Dröge Installed-Size: 383 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: http://code.google.com/p/game-music-emu Priority: optional Section: libs Filename: pool/main/g/game-music-emu/libgme0_0.5.5-2+deb7u1_armhf.deb Size: 126526 SHA256: 0cd8168e048aa61c77cf97267597483552ba1edcfa5ddbb3e29f4b85cc0d2a52 SHA1: 91880d163403200cb93b48f23032ffa82649429e MD5sum: 55656775d2c81819013aa793dbc2118d Description: Playback library for video game music files - shared library game-music-emu is a collection of video game music file emulators that support the following formats and systems: * AY ZX Spectrum/Amstrad CPC * GBS Nintendo Game Boy * GYM Sega Genesis/Mega Drive * HES NEC TurboGrafx-16/PC Engine * KSS MSX Home Computer/other Z80 systems (doesn't support FM sound) * NSF/NSFE Nintendo NES/Famicom (with VRC 6, Namco 106, and FME-7 sound) * SAP Atari systems using POKEY sound chip * SPC Super Nintendo/Super Famicom * VGM/VGZ Sega Master System/Mark III, Sega Genesis/Mega Drive,BBC Micro . This package contains the shared libraries necessary to run programs using libgme. Package: libgmerlin-avdec-dev Source: gmerlin-avdecoder Version: 1.2.0~dfsg-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 127 Depends: libgavl-dev, libgmerlin-avdec1 (= 1.2.0~dfsg-1) Multi-Arch: same Homepage: http://gmerlin.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/g/gmerlin-avdecoder/libgmerlin-avdec-dev_1.2.0~dfsg-1_armhf.deb Size: 21896 SHA256: 05628db017435abe8ffd51f484ce9367622314cee1c1538c7aa89e322bc3d4df SHA1: 06a84290774ece9302a37286fb7527eddc59f16c MD5sum: 905b1d7a7b68f391761c84a3505a45a1 Description: general multimedia decoding library (development files) Gmerlin_avdecoder is a general purpose media decoding library. It was written as a support library for gmerlin, but it can also be used by other applications. You don't even need gmerlin installed, only gavl. . This package provides the files necessary to compile an application using Gmerlin_avdecoder. Package: libgmerlin-avdec-doc Source: gmerlin-avdecoder Version: 1.2.0~dfsg-1 Installed-Size: 789 Maintainer: Debian Multimedia Maintainers Architecture: all Size: 113314 SHA256: 9a18e13eb1a5342eca6159c486b4c5f142829a75459d520f18b510d06ef92f6d SHA1: 77a64843fb3502aa7f8f9dd948bcf67e5bf18092 MD5sum: 3b4e5af21c8f2b5f0d8624216841a878 Description: general multimedia decoding library (documentation) Gmerlin_avdecoder is a general purpose media decoding library. It was written as a support library for gmerlin, but it can also be used by other applications. You don't even need gmerlin installed, only gavl. . This package provides the documentation about Gmerlin_avdecoder. Homepage: http://gmerlin.sourceforge.net/ Tag: devel::doc, role::documentation Section: doc Priority: extra Filename: pool/main/g/gmerlin-avdecoder/libgmerlin-avdec-doc_1.2.0~dfsg-1_all.deb Package: libgmerlin-avdec1 Source: gmerlin-avdecoder Version: 1.2.0~dfsg-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1011 Pre-Depends: multiarch-support Depends: liba52-0.7.4, libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libc6 (>= 2.13-28), libcdio13 (>= 0.83), libdca0, libdvdread4, libfaad2 (>= 2.7), libflac8 (>= 1.2.1), libgavl1 (>= 1.4.0), libgcc1 (>= 1:4.4.0), libgsm1 (>= 1.0.13), libmad0 (>= 0.15.1b-3), libmpeg2-4, libogg0 (>= 1.0rc3), libopenjpeg2, libpng12-0 (>= 1.2.13-4), libpostproc52 (>= 5:0.8-2~), libschroedinger-1.0-0 (>= 1.0.0), libsmbclient (>= 3.0.24), libspeex1 (>= 1.2~beta3-1), libswscale2 (>= 5:0.8-2~), libtheora0 (>= 1.0), libtiff4 (>> 3.9.5-3~), libvdpau1 (>= 0.2), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libx11-6, libxext6, zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://gmerlin.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/g/gmerlin-avdecoder/libgmerlin-avdec1_1.2.0~dfsg-1_armhf.deb Size: 506328 SHA256: ae13ae725ffae6d0291f9747da0d4d8a20584ced13d0b79e874e443418039d32 SHA1: 1c296f8d26eea897fce1e675c1fe00aa54601c9f MD5sum: 2a5c9c8cca802f32fd180788a49076b9 Description: general multimedia decoding library Gmerlin_avdecoder is a general purpose media decoding library. It was written as a support library for gmerlin, but it can also be used by other applications. You don't even need gmerlin installed, only gavl. . This package provides the shared object necessary to run an application using Gmerlin_avdecoder. Package: libgmerlin-common Source: gmerlin Version: 1.2.0~dfsg+1-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 70 Depends: libc6 (>= 2.13-28), libgavl1 (>= 1.1.0), libgmerlin0 (>= 1.2.0~dfsg+1) Breaks: gmerlin-data (<< 1.0.0~dfsg), libgmerlin0 (<= 1.0.0~dfsg-10) Replaces: gmerlin-data (<< 1.0.0~dfsg), libgmerlin0 (<= 1.0.0~dfsg-10) Multi-Arch: same Homepage: http://gmerlin.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/g/gmerlin/libgmerlin-common_1.2.0~dfsg+1-1_armhf.deb Size: 18400 SHA256: f70fe394f3e8d5e963116838ea12ed241a011d1ba81ecad96cceadb27c842594 SHA1: b4f94ab8d5f23c9ab7588a5f5805608133412d28 MD5sum: de07625af10938ad5fb2b339008ce3bb Description: core library for gmerlin - common runtime files Gmerlin is a multiformat media player with tree-like virtual directory structure, where you can save your files, webstreams or whatever. It handles even large media collections gracefully. Hardware devices appear also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and DVB-broadcasts. . This package contains the common files needed by libgmerlin. Package: libgmerlin-dev Source: gmerlin Version: 1.2.0~dfsg+1-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 491 Depends: libgavl-dev (>= 1.2.0), libgmerlin0 (= 1.2.0~dfsg+1-1), libxml2-dev, pkg-config Breaks: gmerlin-data (<= 1.0.0~dfsg-12), libgmerlin-common (<= 1.0.0~dfsg-12) Replaces: gmerlin-data (<= 1.0.0~dfsg-12), libgmerlin-common (<= 1.0.0~dfsg-12) Multi-Arch: same Homepage: http://gmerlin.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/g/gmerlin/libgmerlin-dev_1.2.0~dfsg+1-1_armhf.deb Size: 86784 SHA256: 5e4ba06f4434fcab7a9526c446621be530da3d1f509f225e8acaa76b60ccf44d SHA1: aaeaab44684fb61276363ab905e7fd0edaf4f643 MD5sum: 19c00186d53b0b63773c2e2063eb9d91 Description: core library for gmerlin - development files Gmerlin is a multiformat media player with tree-like virtual directory structure, where you can save your files, webstreams or whatever. It handles even large media collections gracefully. Hardware devices appear also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and DVB-broadcasts. . This package contains the files needed to compile and statically link against libgmerlin. Package: libgmerlin0 Source: gmerlin Version: 1.2.0~dfsg+1-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 990 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgavl1 (>= 1.4.0), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libvisual-0.4-0 (>= 0.4.0-4), libx11-6, libxext6, libxfixes3, libxinerama1, libxml2 (>= 2.7.4), libxv1 Recommends: libgmerlin-common Multi-Arch: same Homepage: http://gmerlin.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/g/gmerlin/libgmerlin0_1.2.0~dfsg+1-1_armhf.deb Size: 421026 SHA256: 6c354fd994a948ab271fe170598b2d7e8beccd5021669b83376f285fa837d1d1 SHA1: 128f65d17410911608393a2fc75563b7cfb2c28b MD5sum: 900d88dc570eff642c588f0346edf1ca Description: core library for gmerlin - runtime files Gmerlin is a multiformat media player with tree-like virtual directory structure, where you can save your files, webstreams or whatever. It handles even large media collections gracefully. Hardware devices appear also in the tree so you can open Audio-CDs, (S)VCDs, DVDs and DVB-broadcasts. . This package contains the files needed to run a program compiled using libgmerlin. Package: libgmetrics-groovy-java Source: gmetrics Version: 0.5-1 Installed-Size: 678 Maintainer: Debian Java Maintainers Architecture: all Depends: groovy, ant, liblog4j1.2-java Suggests: libgmetrics-groovy-java-doc Size: 589062 SHA256: 5b97295303b3a9d78cfab050c0477281afce9214ecc962185b15e9d8ee21e4b7 SHA1: 9c02625f1901c82a0418ba8c94d0d8ca0c92d033 MD5sum: d7a09dfd9d4ca0287347f54e446b6e1e Description: Groovy library that provides reports and metrics for Groovy code GMetrics provides calculation and reporting of size and complexity metrics for Groovy source code, by scanning the code with an Ant Task, applying a set of metrics, and generating an HTML or XML report of the results. Homepage: http://gmetrics.sourceforge.net/ Section: java Priority: optional Filename: pool/main/g/gmetrics/libgmetrics-groovy-java_0.5-1_all.deb Package: libgmetrics-groovy-java-doc Source: gmetrics Version: 0.5-1 Installed-Size: 1611 Maintainer: Debian Java Maintainers Architecture: all Recommends: libgmetrics-groovy-java Suggests: default-jdk-doc Size: 116386 SHA256: 9042ebfe4e5d22bb74cb438347c689a3006e563693d02fe287c346289baf7d1a SHA1: 7259f406bb8a4613908207ac6449ea60d307d597 MD5sum: 7db914f4fb7f5d6a51622d1a9515e7a1 Description: Documentation for libgmetrics-groovy-java Documentation for GMetrics that is a library providing calculation and reporting of size and complexity metrics for Groovy source code, by scanning the code with an Ant Task, applying a set of metrics, and generating an HTML or XML report of the results. Homepage: http://gmetrics.sourceforge.net/ Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/g/gmetrics/libgmetrics-groovy-java-doc_0.5-1_all.deb Package: libgmime-2.6-0 Source: gmime Version: 2.6.10-1 Architecture: armhf Maintainer: Mirco Bauer Installed-Size: 502 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libgpg-error0 (>= 1.10), libgpgme11 (>= 1.2.0), zlib1g (>= 1:1.1.4) Homepage: http://spruce.sourceforge.net/gmime/ Priority: optional Section: libs Filename: pool/main/g/gmime/libgmime-2.6-0_2.6.10-1_armhf.deb Size: 235430 SHA256: 440fb27da708012fa5b7dd6f19e5d1ed2ee0a770e2fa694a4b3ddb1e20721f14 SHA1: 467362c59728f422d9c0dd08c110fca7e960ef9a MD5sum: f8ca646c401d99539be3c16589bfda6a Description: MIME message parser and creator library - runtime GMime provides a core library and set of utilities which may be used for the creation and parsing of messages using the Multipurpose Internet Mail Extension (MIME). . This package contains the shared library of GMime. Package: libgmime-2.6-0-dbg Source: gmime Version: 2.6.10-1 Architecture: armhf Maintainer: Mirco Bauer Installed-Size: 1054 Depends: libgmime-2.6-0 (= 2.6.10-1) Homepage: http://spruce.sourceforge.net/gmime/ Priority: extra Section: debug Filename: pool/main/g/gmime/libgmime-2.6-0-dbg_2.6.10-1_armhf.deb Size: 437546 SHA256: 637dc5cb1f9c293b25f670dc601303c8ecda18b492e5d777abb21984b7bc28c5 SHA1: 003261e0976557489098bd4d12083f97f7158ca7 MD5sum: 238fce37d9b3f6ab489c19a2cbd15094 Description: MIME message parser and creator library - debugging symbols GMime provides a core library and set of utilities which may be used for the creation and parsing of messages using the Multipurpose Internet Mail Extension (MIME). . This package contains the debugging symbols. Most people will not need this package. Package: libgmime-2.6-dev Source: gmime Version: 2.6.10-1 Architecture: armhf Maintainer: Mirco Bauer Installed-Size: 900 Depends: libgmime-2.6-0 (= 2.6.10-1), libc6-dev, libglib2.0-dev Homepage: http://spruce.sourceforge.net/gmime/ Priority: optional Section: libdevel Filename: pool/main/g/gmime/libgmime-2.6-dev_2.6.10-1_armhf.deb Size: 304882 SHA256: 35a0f5752b61d108dcfc457ea1284643e272b3f141405af569836bdfa4d53c44 SHA1: 0bfae5abaa6db496cf859a120e26a833a66b13e6 MD5sum: ad03a1f535bc0cc1400a4789bf50d821 Description: MIME message parser and creator library - development files GMime provides a core library and set of utilities which may be used for the creation and parsing of messages using the Multipurpose Internet Mail Extension (MIME). . This package contains the development files of GMime. Package: libgmime-2.6-doc Source: gmime Version: 2.6.10-1 Installed-Size: 1552 Maintainer: Mirco Bauer Architecture: all Size: 273360 SHA256: cfce44262fd49c1613e1b7ccfc84400a783140b13ae46021b944b8854e1c0ffd SHA1: 4301031ab019bfc04a7f20ac2ed4c0cb6c5f9a5d MD5sum: 077f458ce30a89d1214abfc22d2f627a Description: MIME message parser and creator library - documentation GMime provides a core library and set of utilities which may be used for the creation and parsing of messages using the Multipurpose Internet Mail Extension (MIME). . This package contains the documentation and examples of GMime. Homepage: http://spruce.sourceforge.net/gmime/ Section: doc Priority: optional Filename: pool/main/g/gmime/libgmime-2.6-doc_2.6.10-1_all.deb Package: libgmime2.6-cil Source: gmime Version: 2.6.10-1 Installed-Size: 234 Maintainer: Mirco Bauer Architecture: all Depends: cli-common (>= 0.5.1), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgmime-2.6-0 (>= 2.6.0), libmono-corlib4.0-cil (>= 2.10.1) Size: 124458 SHA256: 94c4c0e78c4044c8ff3f109c174ee7e1f96138a11444dde3dbf864dca42464c9 SHA1: 72ddc8a789fffca502be30a357badf118efad044 MD5sum: ae4d9a85a47f8b2f63eedc8ea8663d04 Description: CLI binding for the GMime library GMime provides a core library and set of utilities which may be used for the creation and parsing of messages using the Multipurpose Internet Mail Extension (MIME). . This package contains the CLI binding for the GMime library. Homepage: http://spruce.sourceforge.net/gmime/ Section: cli-mono Priority: optional Filename: pool/main/g/gmime/libgmime2.6-cil_2.6.10-1_all.deb Package: libgmime2.6-cil-dev Source: gmime Version: 2.6.10-1 Installed-Size: 116 Maintainer: Mirco Bauer Architecture: all Depends: libgmime2.6-cil (= 2.6.10-1) Size: 84622 SHA256: b21b732ccfd5a688fc35754c8b345fe7fec8338c749f0209d2fb8cd95ecd48da SHA1: b15febbf7214de2292cc87177231e3ce0fb7ef81 MD5sum: c701b9014fa8c4d322f876fb41bcda6b Description: CLI binding for the GMime library GMime provides a core library and set of utilities which may be used for the creation and parsing of messages using the Multipurpose Internet Mail Extension (MIME). . This package contains development files for the gmime-sharp library, and should be used for compilation. Homepage: http://spruce.sourceforge.net/gmime/ Tag: devel::library, role::devel-lib Section: cli-mono Priority: optional Filename: pool/main/g/gmime/libgmime2.6-cil-dev_2.6.10-1_all.deb Package: libgmlib-dev Source: gmtk Version: 1.0.6-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 136 Depends: libgmlib0 (= 1.0.6-1), libglib2.0-dev Multi-Arch: same Homepage: http://code.google.com/p/gmtk/ Priority: optional Section: libdevel Filename: pool/main/g/gmtk/libgmlib-dev_1.0.6-1_armhf.deb Size: 36972 SHA256: e953cd1a6b0c5c7209fd8a9f5b6e84e4aa8baf416977af528c775c11bc3cdbed SHA1: 9648637170ea76d980c625e287482263a52c83a9 MD5sum: b62c3fab55b417822e9cc26479035d14 Description: gnome-mplayer library (development files) A set of functions used by gnome-mplayer and gecko-mediaplyer. It provides functions to manipulate audio devices and manage configuration settings. . This package provides the development files. Package: libgmlib0 Source: gmtk Version: 1.0.6-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 60 Pre-Depends: multiarch-support Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.26.0), libpulse-mainloop-glib0 (>= 0.99.1), libpulse0 (>= 0.99.1) Suggests: libgmlib0-dbg (= 1.0.6-1) Multi-Arch: same Homepage: http://code.google.com/p/gmtk/ Priority: optional Section: libs Filename: pool/main/g/gmtk/libgmlib0_1.0.6-1_armhf.deb Size: 14782 SHA256: 8a5369a6ab3c055fb0204dd41a9d665af3f58efeb7d75b3bb29b3abc250cf8d1 SHA1: 40d28cd98358293d7494db46724b72fa1f03670c MD5sum: c8512cbbf13095ddc46ade72a159f99e Description: gnome-mplayer library (shared library) A set of functions used by gnome-mplayer and gecko-mediaplyer. It provides functions to manipulate audio devices and manage configuration settings. . This package provides the shared library. Package: libgmlib0-dbg Source: gmtk Version: 1.0.6-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 70 Depends: libgmlib0 (= 1.0.6-1) Multi-Arch: same Homepage: http://code.google.com/p/gmtk/ Priority: extra Section: debug Filename: pool/main/g/gmtk/libgmlib0-dbg_1.0.6-1_armhf.deb Size: 23734 SHA256: 6e6558586fa5c79e36510e11be316b4894310c16ba9488ec9581649cbd002dff SHA1: 041c38e487e5cc515450195b27e065e8c13383a1 MD5sum: e4de2c939961d26baf97ecc8f267deec Description: gnome-mplayer library (debugging symbols) A set of functions used by gnome-mplayer and gecko-mediaplyer. It provides functions to manipulate audio devices and manage configuration settings. . This package provides the debugging symbols for the shared library. Package: libgmm++-dev Source: getfem++ Version: 4.1.1+dfsg1-12~deb7u1 Installed-Size: 925 Maintainer: Debian Science Team Architecture: all Depends: libmumps-dev Size: 156862 SHA256: 5ddedc45ae51a789a05dac39ae21bf259e1c9ec630e628c74d4102e2c84dc454 SHA1: a78ac551ca83e4936ec0535321cb57d29e9776f2 MD5sum: 74eb858bb53321f3fd0ca27a9cb9d2b1 Description: Generic C++ template library for sparse, dense and skyline matrices GMM++ is a framework of pre-defined methods for matrix computation. It is built as a set of generic algorithms for any interfaced vector type or matrix type. . It can be viewed as a glue library allowing cooperation between several vector and matrix types. However, basic sparse, dense and skyline matrix/vector types are built-in, hence it can be used as a standalone linear algebra library. Homepage: http://home.gna.org/getfem/ Tag: devel::library, role::devel-lib Section: libdevel Priority: extra Filename: pool/main/g/getfem++/libgmm++-dev_4.1.1+dfsg1-12~deb7u1_all.deb Package: libgmp-dev Source: gmp Version: 2:5.0.5+dfsg-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 1365 Depends: libgmp10 (= 2:5.0.5+dfsg-2), libgmpxx4ldbl (= 2:5.0.5+dfsg-2) Recommends: libstdc++-dev Suggests: libgmp10-doc, libmpfr-dev Conflicts: libgmp10-dev, libgmp3-dev (<< 5.0.1) Breaks: guile-1.8-dev (<< 1.8.8+1-1), libcdd-dev (<< 094b.dfsg-4.2), libcln-dev (<< 1.3.2-1.1), libmpc-dev (<< 0.9-3), libmpfr-dev (<< 3.0.1-5), librep-dev (<< 0.90.2-1.3), regina-normal-dev (<< 4.6-3) Replaces: libgmp10-dev, libgmp3-dev Provides: libgmp10-dev Multi-Arch: same Homepage: http://gmplib.org/ Priority: optional Section: libdevel Filename: pool/main/g/gmp/libgmp-dev_5.0.5+dfsg-2_armhf.deb Size: 552356 SHA256: 6b61d42c1e20b5282575a7817814d3048d273aad62691d15417292800261e4e7 SHA1: 1a528e813621e036eb24a779a709bb8c6189f6e2 MD5sum: d385e5b36c6ac24a919e4111b7a761bd Description: Multiprecision arithmetic library developers tools This development package provides the header files and the symbolic links to allow compilation and linking of programs that use the libraries provided in the libgmp10 package. . Both C and C++ bindings are present in this package. Package libstdc++-dev is required to use the C++ bindings. . The MPFR library (multiple-precision floating-point) used to be included, but has since been moved to package libmpfr-dev. Package: libgmp-ocaml Source: mlgmp Version: 20021123-17 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 103 Depends: libc6 (>= 2.13-28), libgmp10, ocaml-base-nox-3.12.1 Provides: libgmp-ocaml-o7zi2 Homepage: http://www-verimag.imag.fr/~monniaux/programmes.html.en Priority: optional Section: ocaml Filename: pool/main/m/mlgmp/libgmp-ocaml_20021123-17_armhf.deb Size: 21730 SHA256: 54818a64ea7ace2df04a9c94046fc08c58b34b001aa2d3fdeeee110039fce7be SHA1: e51f952457558543b47cb3160bb3700db953b197 MD5sum: 1dbb6e286828611d106273b56d0d102d Description: OCaml bindings for the GNU multiprecision arithmetic library This package provides bindings for the GNU multiprecision library (GNU MP) for the language OCaml (caml.inria.fr). It is mostly a 1-1 mapping of the C functions into the OCaml namespace, but also includes some infix operators to make for a cleaner syntax. . This package provides the shared library for running applications linked against libgmp-ocaml Package: libgmp-ocaml-dev Source: mlgmp Version: 20021123-17 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 641 Depends: libgmp-ocaml-o7zi2, ocaml-nox-3.12.1, libgmp3-dev Provides: libgmp-ocaml-dev-o7zi2 Homepage: http://www-verimag.imag.fr/~monniaux/programmes.html.en Priority: optional Section: ocaml Filename: pool/main/m/mlgmp/libgmp-ocaml-dev_20021123-17_armhf.deb Size: 86492 SHA256: 3b016248524e1a83b02654e9a36402bb4879f74f1d2268ce2a4eba8b3e776817 SHA1: ad21733b11623e4acfd77b86b944377807fed91a MD5sum: d5ab97616404c9460e3b9ad41fe271ec Description: OCaml bindings for the GNU multiprecision arithmetic library This package provides bindings for the GNU multiprecision library (GNU MP) for the language OCaml(caml.inria.fr). It is mostly a 1-1 mapping of the C functions into the OCaml namespace, but also includes some infix operators to make for a cleaner syntax. . This package provides the static library, OCaml library and interface for developing applications to use libgmp-ocaml Package: libgmp10 Source: gmp Version: 2:5.0.5+dfsg-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 398 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://gmplib.org/ Priority: optional Section: libs Filename: pool/main/g/gmp/libgmp10_5.0.5+dfsg-2_armhf.deb Size: 207608 SHA256: 7b9643e8cf78ce843f42093576e15c878a3a1c40fdb68c8c7c21d5cc0e5966ee SHA1: e4d28008782375d23a125c52e977ff3bfd316548 MD5sum: f517016a32ce6020b88250f916ec462c Description: Multiprecision arithmetic library GNU MP is a programmer's library for arbitrary precision arithmetic (ie, a bignum package). It can operate on signed integer, rational, and floating point numeric types. . It has a rich set of functions, and the functions have a regular interface. Package: libgmp10-doc Source: gmp Version: 2:5.0.5+dfsg-2 Installed-Size: 236 Maintainer: Debian Science Team Architecture: all Size: 159874 SHA256: 419598564a2a0d7354a69c1aa7a8aa92e25ae17543b7c5e13440de5a08b75081 SHA1: bae862cfe0fe21495f552cc62ff8526da24a2687 MD5sum: 17cdcae27f2448ab2d06d5b5964e6dfc Description: Multiprecision arithmetic library example code This package provides example code illustrating the use of GMP. Homepage: http://gmplib.org/ Tag: devel::doc, devel::examples, role::documentation, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gmp/libgmp10-doc_5.0.5+dfsg-2_all.deb Package: libgmp3-dev Source: gmp Version: 2:5.0.5+dfsg-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 37 Depends: libgmp-dev (= 2:5.0.5+dfsg-2) Conflicts: libgmp10-dev (<< 2:5.0.1+dfsg-7) Replaces: libgmp10-dev Homepage: http://gmplib.org/ Priority: optional Section: libdevel Filename: pool/main/g/gmp/libgmp3-dev_5.0.5+dfsg-2_armhf.deb Size: 13700 SHA256: 2d0513136893434f18ff7e5d29a10dc66a7d9c4874a917ce8c26d8d1be2b0143 SHA1: 4b6f05cda8e562a77c6f8f76c5b8a08943663e8c MD5sum: b6e3ddeede78a27456c94738372fbb9b Description: Multiprecision arithmetic library developers tools This is a dummy package that simply pulls in libgmp-dev. It can safely be removed. Package: libgmpada-dbg Source: libgmpada Version: 0.0.20120331-1 Architecture: armhf Maintainer: Nicolas Boulenguez Installed-Size: 199 Depends: libgmpada2 (= 0.0.20120331-1) Recommends: libgmpada3-dev (= 0.0.20120331-1) Suggests: gnat Multi-Arch: same Homepage: http://mtn-host.prjek.net/projects/libgmpada Priority: extra Section: debug Filename: pool/main/libg/libgmpada/libgmpada-dbg_0.0.20120331-1_armhf.deb Size: 123206 SHA256: 4590542e5e8b7a0066a183822ced20422002943cf8594d923a7305fe181e7f27 SHA1: 419dbd6a910ceffe6faabccaa3bfb6a1c0c64d3b MD5sum: 34bd5162a020793923aa7803fad9157b Description: Ada binding to the GNU MultiPrecision library: debug symbols GMPAda allows programmers to use the GNU MultiPrecision library within the Ada language: unbounded integers, rationals and floats. Experimental support for mpfr's better float rounding is provided. . This package contains the runtime debug symbols. Package: libgmpada2 Source: libgmpada Version: 0.0.20120331-1 Architecture: armhf Maintainer: Nicolas Boulenguez Installed-Size: 215 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libgnat-4.6 (>= 4.6.3-4+rpi2), libmpfr4 (>= 3.1.0) Multi-Arch: same Homepage: http://mtn-host.prjek.net/projects/libgmpada Priority: extra Section: libs Filename: pool/main/libg/libgmpada/libgmpada2_0.0.20120331-1_armhf.deb Size: 61044 SHA256: 917078ff4b5f578c36fa4fda4024be672198b0945fefbf980f7f52f672690ff7 SHA1: 123e5c2b21ca639f49bc53bde3c624f00c508381 MD5sum: 014c10c72f5c4580b0c83762cf8d4249 Description: Ada binding to the GNU MultiPrecision library: shared library GMPAda allows programmers to use the GNU MultiPrecision library within the Ada language: unbounded integers, rationals and floats. Experimental support for mpfr's better float rounding is provided. . This package contains the runtime shared library. Package: libgmpada3-dev Source: libgmpada Version: 0.0.20120331-1 Architecture: armhf Maintainer: Nicolas Boulenguez Installed-Size: 702 Depends: libgmpada2 (= 0.0.20120331-1), gnat, gnat-4.6, libgmp-dev, libmpfr-dev Homepage: http://mtn-host.prjek.net/projects/libgmpada Priority: extra Section: libdevel Filename: pool/main/libg/libgmpada/libgmpada3-dev_0.0.20120331-1_armhf.deb Size: 161276 SHA256: adac0078b36420bd91331ae799521ca6ad62043ec40ca037a9ef5a4c6ecccb3e SHA1: fb78644880bfa79f89d6e659c5f4d7eb4fd1edc3 MD5sum: b3d7b4a2881d1be866fad749d34e7a2e Description: Ada binding to the GNU MultiPrecision library: development GMPAda allows programmers to use the GNU MultiPrecision library within the Ada language: unbounded integers, rationals and floats. Experimental support for mpfr's better float rounding is provided. . Install this package if you want to write programs that use libgmpada. Package: libgmpxx4ldbl Source: gmp Version: 2:5.0.5+dfsg-2 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 69 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgmp10, libstdc++6 (>= 4.4.0) Conflicts: libgmp3, libgmpxx4 Replaces: libgmp3 Multi-Arch: same Homepage: http://gmplib.org/ Priority: optional Section: libs Filename: pool/main/g/gmp/libgmpxx4ldbl_5.0.5+dfsg-2_armhf.deb Size: 20568 SHA256: 66e66dfa4c4a532ce0f2dc244d5ddea9743695530165c9b2569d0a982a738e1a SHA1: 11f0ec10eef9f2288da2ccc44c08e6f6dc8e5a7c MD5sum: ee56ca863290d39af8e04ae4f3645bac Description: Multiprecision arithmetic library (C++ bindings) GNU MP is a programmer's library for arbitrary precision arithmetic (ie, a bignum package). It can operate on signed integer, rational, and floating point numeric types. Package: libgmt-dev Source: gmt Version: 4.5.7-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 1903 Depends: libgmt4 (= 4.5.7-2), libnetcdf-dev Suggests: gmt Homepage: http://gmt.soest.hawaii.edu/ Priority: extra Section: libdevel Filename: pool/main/g/gmt/libgmt-dev_4.5.7-2_armhf.deb Size: 827238 SHA256: 9f86b16c35992032de22823acd6198de907067015afa1292df8a07a8553abdec SHA1: 260d9acf9e1936726ce2fae79ac834e65a607ea9 MD5sum: 1c7ad737e0e40c4c5c947d0202d3d258 Description: Generic Mapping Tools Library These set of libraries allow manipulating geographic and Cartesian data sets (including filtering, trend fitting, gridding, projecting, etc.) and producing Encapsulated PostScript File (EPS) illustrations ranging from simple x-y plots via contour maps to artificially illuminated surfaces and 3-D perspective views. . This package contains the files used for development of programs that use the GMT libraries (headers, static objects). Package: libgmt4 Source: gmt Version: 4.5.7-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 1390 Depends: libc6 (>= 2.13-28), libnetcdfc7 Suggests: gmt Homepage: http://gmt.soest.hawaii.edu/ Priority: extra Section: libs Filename: pool/main/g/gmt/libgmt4_4.5.7-2_armhf.deb Size: 692430 SHA256: 0fe5fa63641d34474102e1d8347469e324e534c15dd4290de54235ccd23bd0aa SHA1: 3fd5e919bdd5b514c290acb07effaf3d5af8bb38 MD5sum: f5d6b5a980c46249b7b958faac724433 Description: Generic Mapping Tools Library GMT libraries allow manipulating geographic and Cartesian data sets (including filtering, trend fitting, gridding, projecting, etc.) and producing Encapsulated PostScript File (EPS) illustrations ranging from simple x-y plots via contour maps to artificially illuminated surfaces and 3-D perspective views. Package: libgmtk-dev Source: gmtk Version: 1.0.6-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 389 Depends: libgmtk0 (= 1.0.6-1), libgmlib-dev (= 1.0.6-1), libgtk-3-dev, libpulse-dev, libasound2-dev Multi-Arch: same Homepage: http://code.google.com/p/gmtk/ Priority: optional Section: libdevel Filename: pool/main/g/gmtk/libgmtk-dev_1.0.6-1_armhf.deb Size: 146572 SHA256: 44cc54341f50c19bbc2b34e93f195cf5fd2df0385317511e8fcacc13829f933d SHA1: 8e99239e5be66dfddded8ef6414d68fb3a5b359b MD5sum: d7184867ea042a9cfa1f5bb6e714d057 Description: gnome-mplayer toolkit (development files) A set of GTK+ widgets used by gnome-mplayer. It provides, amongst others, gnome-mplayer's media player widget. . This package provides the development files. Package: libgmtk0 Source: gmtk Version: 1.0.6-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 110 Pre-Depends: multiarch-support Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.31.8), libgmlib0 (>= 1.0.5), libgtk-3-0 (>= 3.0.0), libpulse-mainloop-glib0 (>= 0.99.1), libpulse0 (>= 0.99.1), libgmtk0-data (= 1.0.6-1) Suggests: libgmtk0-dbg (= 1.0.6-1) Multi-Arch: same Homepage: http://code.google.com/p/gmtk/ Priority: optional Section: libs Filename: pool/main/g/gmtk/libgmtk0_1.0.6-1_armhf.deb Size: 36808 SHA256: c9373404eead7e0ab41b24198f748bb4896c08baa6307650c94c090e389b85a0 SHA1: 1ef9e7d8d50e04ebd0ff25ad4a759c93a42df72d MD5sum: cbfe9d90d1119b526e728b753a020e75 Description: gnome-mplayer toolkit (shared library) A set of GTK+ widgets used by gnome-mplayer. It provides, amongst others, gnome-mplayer's media player widget. . This package provides the shared library. Package: libgmtk0-data Source: gmtk Version: 1.0.6-1 Installed-Size: 415 Maintainer: Debian Multimedia Maintainers Architecture: all Size: 20070 SHA256: 2793d60b5169a416a33f31bf09ab1a42ea1a6159da54014f48372eae4193ae9d SHA1: 47b6dea5bd7b1882db0f92129abcc5c576fc5d66 MD5sum: 340b38d73ee141c49eff2c2f38b98ae5 Description: gnome-mplayer toolkit (common files) A set of GTK+ widgets used by gnome-mplayer. It provides, amongst others, gnome-mplayer's media player widget. . This package is required for the shared library to display messages in languages other than English. Multi-Arch: foreign Homepage: http://code.google.com/p/gmtk/ Section: libs Priority: optional Filename: pool/main/g/gmtk/libgmtk0-data_1.0.6-1_all.deb Package: libgmtk0-dbg Source: gmtk Version: 1.0.6-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 122 Depends: libgmtk0 (= 1.0.6-1) Multi-Arch: same Homepage: http://code.google.com/p/gmtk/ Priority: extra Section: debug Filename: pool/main/g/gmtk/libgmtk0-dbg_1.0.6-1_armhf.deb Size: 69510 SHA256: ca89834704d908efb28892fc44e3c28b365a4ea7099bb9cd755238e06e75dfd8 SHA1: c1a344e2fada27bf715dacf65ca7095577b636df MD5sum: 33a950d8b6d8e8ac18df701a057fa4f1 Description: gnome-mpayer toolkit (debugging symbols) A set of GTK+ widgets used by gnome-mplayer. It provides, amongst others, gnome-mplayer's media player widget. . This package provides the debugging symbols for the shared library. Package: libgnadecommon-dbg Source: gnade Version: 1.6.2-9 Architecture: armhf Maintainer: Stephen Leake Installed-Size: 514 Depends: libgnadecommon1 (= 1.6.2-9) Suggests: gnat, ada-compiler Priority: extra Section: debug Filename: pool/main/g/gnade/libgnadecommon-dbg_1.6.2-9_armhf.deb Size: 158252 SHA256: 39ca4b9e9de688d62aa77a80c68ac40b49f1ff5c9b1a57e601d69dd26367c75f SHA1: b071b081aafa063d1cfcb788c50444a31d5bb313 MD5sum: 1409e885428e12f490c322a73e44fb29 Description: GNat Ada Database Environment - common debugging symbols GNADE is a complete database development environment for Ada programmers. It consists of: . a. A Thin binding to the ODBC API. With this API, you can write programs that query any ODBC-compliant database server. . b. A thin binding to SQLite3. . This package contains the debugging symbols for the common library. Package: libgnadecommon1 Source: gnade Version: 1.6.2-9 Architecture: armhf Maintainer: Stephen Leake Installed-Size: 292 Depends: libc6 (>= 2.13-28), libgnat-4.6 (>= 4.6.3-4+rpi2) Priority: optional Section: libs Filename: pool/main/g/gnade/libgnadecommon1_1.6.2-9_armhf.deb Size: 118864 SHA256: fa73bc64bbee42e29fdc2bf7dc40787d6b43587a11bbbdf3fed9ff9c009e1fcc SHA1: 0853749fe68cde56017f3f6f8c88a1f31bbb5447 MD5sum: 734aab4dd3b2d503ab3caa4c7b41b05c Description: GNat Ada Database Environment - common subprograms library GNADE is a complete database development environment for Ada programmers. It consists of: . a. A Thin binding to the ODBC API. With this API, you can write programs that query any ODBC-compliant database server. . b. A thin binding to SQLite3. . This package contains the shared library for the common subprograms. Package: libgnadecommon2-dev Source: gnade Version: 1.6.2-9 Architecture: armhf Maintainer: Stephen Leake Installed-Size: 1149 Depends: gnat, gnat-4.6, ada-compiler, libgnadecommon1 (= 1.6.2-9) Suggests: libgnadecommon-dbg Priority: optional Section: libdevel Filename: pool/main/g/gnade/libgnadecommon2-dev_1.6.2-9_armhf.deb Size: 356238 SHA256: c0b1bc5d401cf01a70d0945466e59543aca28e5ee4c95fcaa1995f61e4c9024e SHA1: 47b8624430540fa2a92d04daf8c0b2d88d48227c MD5sum: 3f76b778a3bd06c0d8ec558677d4d472 Description: GNat Ada Database Environment - subprograms common to other GNADE packages GNADE is a complete database development environment for Ada programmers. It consists of: . a. A Thin binding to the ODBC API. With this API, you can write programs that query any ODBC-compliant database server. . b. A thin binding to SQLite3. . This package contains the development files and static library for the common subprograms. Package: libgnadeodbc-dbg Source: gnade Version: 1.6.2-9 Architecture: armhf Maintainer: Stephen Leake Installed-Size: 2135 Depends: libgnadeodbc2 (= 1.6.2-9) Suggests: gnat, ada-compiler Priority: extra Section: debug Filename: pool/main/g/gnade/libgnadeodbc-dbg_1.6.2-9_armhf.deb Size: 525166 SHA256: 7fc89cef7059d309fcfb3818497b13401f37c5383cd3618c12bc19745dc173a6 SHA1: a22650bb3ad843128740dade389b28a4888b9d96 MD5sum: 71fba7a3ce464c230d65c0f82b2c2140 Description: GNat Ada Database Environment - ODBC debugging symbols GNADE is a complete database development environment for Ada programmers. It consists of: . a. A Thin binding to the ODBC API. With this API, you can write programs that query any ODBC-compliant database server. . b. A thin binding to SQLite3. . This package contains the debugging symbols for the ODBC interface. Package: libgnadeodbc2 Source: gnade Version: 1.6.2-9 Architecture: armhf Maintainer: Stephen Leake Installed-Size: 1226 Depends: libc6 (>= 2.13-28), libgnadecommon1, libgnat-4.6 (>= 4.6.3-4+rpi2), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11) Priority: optional Section: libs Filename: pool/main/g/gnade/libgnadeodbc2_1.6.2-9_armhf.deb Size: 308230 SHA256: 51a63f5973d9e4f52ec4cc3df3e34da1b3e8fd2aa09f1feed61eda4b2065a79d SHA1: fe1ce674a49cc85a7de5e0b166afc5e9ea5cb07c MD5sum: 5e26e3e2b7777d50f1818cb3c4c2dbe7 Description: GNat Ada Database Environment - ODBC interface GNADE is a complete database development environment for Ada programmers. It consists of: . a. A Thin binding to the ODBC API. With this API, you can write programs that query any ODBC-compliant database server. . b. A thin binding to SQLite3. . This package contains the shared library for the ODBC interface. Package: libgnadeodbc2-dev Source: gnade Version: 1.6.2-9 Architecture: armhf Maintainer: Stephen Leake Installed-Size: 5097 Depends: gnat, gnat-4.6, ada-compiler, libgnadecommon2-dev (= 1.6.2-9), unixodbc (>= 2.2.11-16), libgnadeodbc2 (= 1.6.2-9) Suggests: libgnadesqlite3-2-dev, libgnadeodbc-dbg Conflicts: gnade-dev, libgnadeodbc-dev Replaces: libgnadeodbc-dev Priority: optional Section: libdevel Filename: pool/main/g/gnade/libgnadeodbc2-dev_1.6.2-9_armhf.deb Size: 1096054 SHA256: 8bae247a8b5542c79cfa1a8d9f7d60acee1752b4ab4ab0c74fde01d6a0a2d617 SHA1: c39b5f9b45391ff88333e1ace402176e4374156f MD5sum: 9ee8c0006a2860803a2b28a90b4f268a Description: GNat Ada Database Environment - ODBC programming interface GNADE is a complete database development environment for Ada programmers. It consists of: . a. A Thin binding to the ODBC API. With this API, you can write programs that query any ODBC-compliant database server. . b. A thin binding to SQLite3. . This package contains the development files and static library for the ODBC interface. Package: libgnadesqlite3-2 Source: gnade Version: 1.6.2-9 Architecture: armhf Maintainer: Stephen Leake Installed-Size: 86 Depends: libc6 (>= 2.13-28), libgnadecommon1, libgnat-4.6 (>= 4.6.3-4+rpi2), libsqlite3-0 (>= 3.5.9) Priority: optional Section: libs Filename: pool/main/g/gnade/libgnadesqlite3-2_1.6.2-9_armhf.deb Size: 22518 SHA256: edb881d4ad1fad4b20eaa29bb6f474db7a3320ade53051188d07a38167d1824f SHA1: c21d18b0efb6e2a711a3b685183a6d4bd41e9981 MD5sum: 21b94c3e627240f944ac2a77e1010f00 Description: GNat Ada Database Environment - SQLite3 interface GNADE is a complete database development environment for Ada programmers. It consists of: . a. A Thin binding to the ODBC API. With this API, you can write programs that query any ODBC-compliant database server. . b. A thin binding to SQLite3. . This package contains the shared library for the SQLite3 interface. Package: libgnadesqlite3-2-dev Source: gnade Version: 1.6.2-9 Architecture: armhf Maintainer: Stephen Leake Installed-Size: 299 Depends: gnat, gnat-4.6, ada-compiler, libgnadecommon2-dev (= 1.6.2-9), libsqlite3-dev, libgnadesqlite3-2 (= 1.6.2-9) Suggests: libgnadesqlite3-dbg Conflicts: libgnadesqlite-dev Replaces: libgnadesqlite-dev Priority: optional Section: libdevel Filename: pool/main/g/gnade/libgnadesqlite3-2-dev_1.6.2-9_armhf.deb Size: 76690 SHA256: e94fdb7fb464f40b63ca0cb1f47cf5f3b7b1b430782d38ec5ed1d6466b01b851 SHA1: 4d0b2565e1e18a431b953694449ab588c6388e5f MD5sum: 748de408d9d9c01ab7ea78013825a7c9 Description: GNat Ada Database Environment - SQLite3 programming interface GNADE is a complete database development environment for Ada programmers. It consists of: . a. A Thin binding to the ODBC API. With this API, you can write programs that query any ODBC-compliant database server. . b. A thin binding to SQLite3. . This package contains the development files and static library for the SQLite3 interface. Package: libgnadesqlite3-dbg Source: gnade Version: 1.6.2-9 Architecture: armhf Maintainer: Stephen Leake Installed-Size: 140 Depends: libgnadesqlite3-2 (= 1.6.2-9) Suggests: gnat, ada-compiler Priority: extra Section: debug Filename: pool/main/g/gnade/libgnadesqlite3-dbg_1.6.2-9_armhf.deb Size: 39366 SHA256: 2138219a145a3b781156abdbd54b82eb139f4ce949095a9d4783e5d7a4b77749 SHA1: dbdc577f6b4c1d91a8a94dd2d42591fa1bb9a768 MD5sum: f86e3b7a104e9b2e84da1583a85870b9 Description: GNat Ada Database Environment - SQLite3 debugging symbols GNADE is a complete database development environment for Ada programmers. It consists of: . a. A Thin binding to the ODBC API. With this API, you can write programs that query any ODBC-compliant database server. . b. A thin binding to SQLite3. . This package contains the debugging symbols for the SQLite3 interface. Package: libgnat-4.6 Source: gnat-4.6 Version: 4.6.3-8+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 2697 Pre-Depends: multiarch-support Depends: gnat-4.6-base (= 4.6.3-8+rpi1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gnat-4.6/libgnat-4.6_4.6.3-8+rpi1_armhf.deb Size: 1005170 SHA256: 76ecd2d5b1cb546aa5f15c670fdba8446e1a37f51776e01e7f5c3217c914eb5c SHA1: 7b7c5990df27a5469a320499e76865908b7754b5 MD5sum: a9d273386ca66beb44d401007c8e7c00 Description: runtime for applications compiled with GNAT (shared library) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . The libgnat library provides runtime components needed by most applications produced with GNAT. . This package contains the runtime shared library. Package: libgnat-4.6-dbg Source: gnat-4.6 Version: 4.6.3-8+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 6385 Pre-Depends: multiarch-support Depends: gnat-4.6-base (= 4.6.3-8+rpi1), libgnat-4.6 (= 4.6.3-8+rpi1) Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: extra Section: debug Filename: pool/main/g/gnat-4.6/libgnat-4.6-dbg_4.6.3-8+rpi1_armhf.deb Size: 1941372 SHA256: 4a7b143d30a6e42959a7f0eb217879648788d6e622b6787f00eba9d4cd30e6b2 SHA1: 540751c1f0033f3cc2682d39674bf46ac51f1009 MD5sum: 635703ba6fc1186c43218909b1e44c6c Description: runtime for applications compiled with GNAT (debugging symbols) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . The libgnat library provides runtime components needed by most applications produced with GNAT. . This package contains the debugging symbols. Package: libgnatprj-dev Source: gnat-4.4 Version: 4.4.6-6 Installed-Size: 1 Maintainer: Debian GCC Maintainers Architecture: all Depends: libgnatprj4.4-dev (= 4.4.6-6), gnat-4.4-base (= 4.4.6-6) Size: 816 SHA256: 08155256065402f8bd611f633294f53f31f17f564dd77e0e4babfa6c23ad9d07 SHA1: 6e2dfd4dfcb9b72cea2c3925665d079b663a006e MD5sum: 90b8a3c979de550f6c67faee1871da21 Description: GNU Ada compiler version library - development files This is a dummy transition package to ease upgrades from Debian 5.0 Lenny. You can safely remove it. Homepage: http://gcc.gnu.org/ Tag: role::devel-lib Section: libdevel Priority: optional Filename: pool/main/g/gnat-4.4/libgnatprj-dev_4.4.6-6_all.deb Package: libgnatprj4.6 Source: gnat-4.6 Version: 4.6.3-8+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 1232 Pre-Depends: multiarch-support Depends: gnat-4.6-base (= 4.6.3-8+rpi1), libgnat-4.6 (= 4.6.3-8+rpi1), libgnatvsn4.6 (= 4.6.3-8+rpi1) Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gnat-4.6/libgnatprj4.6_4.6.3-8+rpi1_armhf.deb Size: 559058 SHA256: b4514db9df4d19a48f143b8682935460fcfde986390b113db563d677e1e3e38e SHA1: 50a719d3d6097370d9d300dc127c5c7d455d61fa MD5sum: 287454aed2345bcd1ea2aa80de3d5240 Description: GNU Ada compiler Project Manager (shared library) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . GNAT uses project files to organise source and object files in large-scale development efforts. The libgnatprj library exports GNAT project files management for use in other packages, most notably ASIS tools (package asis-programs) and GNAT Programming Studio (package gnat-gps). It is licensed under the pure GPL; all programs that use it must also be distributed under the GPL, or not distributed at all. . This package contains the runtime shared library. Package: libgnatprj4.6-dbg Source: gnat-4.6 Version: 4.6.3-8+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 2535 Pre-Depends: multiarch-support Depends: gnat-4.6-base (= 4.6.3-8+rpi1), libgnatprj4.6 (= 4.6.3-8+rpi1) Suggests: gnat Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: extra Section: debug Filename: pool/main/g/gnat-4.6/libgnatprj4.6-dbg_4.6.3-8+rpi1_armhf.deb Size: 944890 SHA256: 97087397a47a6242f3b42079d40ed4763343ce62ec923c10782d99189a25327c SHA1: 78f8308a24a1702906cca43527e4abb05b928846 MD5sum: 207bdeb7ac9ac887c46751e3b6faedbc Description: GNU Ada compiler Project Manager (debugging symbols) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . GNAT uses project files to organise source and object files in large-scale development efforts. The libgnatprj library exports GNAT project files management for use in other packages, most notably ASIS tools (package asis-programs) and GNAT Programming Studio (package gnat-gps). It is licensed under the pure GPL; all programs that use it must also be distributed under the GPL, or not distributed at all. . This package contains the debugging symbols. Package: libgnatprj4.6-dev Source: gnat-4.6 Version: 4.6.3-8+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 8769 Depends: gnat-4.6-base (= 4.6.3-8+rpi1), gnat-4.6 (= 4.6.3-8+rpi1), libgnatprj4.6 (= 4.6.3-8+rpi1), libgnatvsn4.6-dev (= 4.6.3-8+rpi1) Conflicts: libgnatprj-dev (<< 4.6), libgnatprj4.1-dev, libgnatprj4.3-dev, libgnatprj4.4-dev, libgnatprj4.5-dev Homepage: http://gcc.gnu.org/ Priority: extra Section: libdevel Filename: pool/main/g/gnat-4.6/libgnatprj4.6-dev_4.6.3-8+rpi1_armhf.deb Size: 2764310 SHA256: 2b3f41de16fbf46ad7d0e972f54d8d6953ff1a11993f5d2a12fde09e57d8a3ca SHA1: de2d1b20dd7e5d68b4ddf799d00ea3bf69a43a28 MD5sum: c00fab93165fb30bd4f71a2c92eec377 Description: GNU Ada compiler Project Manager (development files) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . GNAT uses project files to organise source and object files in large-scale development efforts. The libgnatprj library exports GNAT project files management for use in other packages, most notably ASIS tools (package asis-programs) and GNAT Programming Studio (package gnat-gps). It is licensed under the pure GPL; all programs that use it must also be distributed under the GPL, or not distributed at all. . This package contains the development files and static library. Package: libgnatvsn-dev Source: gnat-4.4 Version: 4.4.6-6 Installed-Size: 1 Maintainer: Debian GCC Maintainers Architecture: all Depends: libgnatvsn4.4-dev (= 4.4.6-6), gnat-4.4-base (= 4.4.6-6) Size: 814 SHA256: 304ef8a30e420933c0b2e397cc2488a5bd17b5d094b6ae583c546e074ceefaed SHA1: 17257fac331aac1bd1fca64e2079ba3f7c1e6e1d MD5sum: c63db0376e15930c319eff3522837f97 Description: GNU Ada compiler version library - development files This is a dummy transition package to ease upgrades from Debian 5.0 Lenny. You can safely remove it. Homepage: http://gcc.gnu.org/ Tag: role::devel-lib Section: libdevel Priority: optional Filename: pool/main/g/gnat-4.4/libgnatvsn-dev_4.4.6-6_all.deb Package: libgnatvsn4.6 Source: gnat-4.6 Version: 4.6.3-8+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 851 Pre-Depends: multiarch-support Depends: gnat-4.6-base (= 4.6.3-8+rpi1), libgnat-4.6 (= 4.6.3-8+rpi1) Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gnat-4.6/libgnatvsn4.6_4.6.3-8+rpi1_armhf.deb Size: 294368 SHA256: cd6b50b541feae946ffa6f032e3e7a32eddaaa0d46c8e7dcc0edaa4891c50a2b SHA1: 28093e78bc25e7bea454070a35e23ee8bdcd5c59 MD5sum: 986c2c606cf4968717c6ffcfd78afbf3 Description: GNU Ada compiler selected components (shared library) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . The libgnatvsn library exports selected GNAT components for use in other packages, most notably ASIS tools. It is licensed under the GNAT-Modified GPL, allowing to link proprietary programs with it. . This package contains the runtime shared library. Package: libgnatvsn4.6-dbg Source: gnat-4.6 Version: 4.6.3-8+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 1432 Pre-Depends: multiarch-support Depends: gnat-4.6-base (= 4.6.3-8+rpi1), libgnatvsn4.6 (= 4.6.3-8+rpi1) Suggests: gnat Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: extra Section: debug Filename: pool/main/g/gnat-4.6/libgnatvsn4.6-dbg_4.6.3-8+rpi1_armhf.deb Size: 455892 SHA256: 0098d1effa36be0e2b828e6fb823685bb56c36392f0b5e01b623da6cc388e1d3 SHA1: 4721c116aa701a2e2055279dcc344d3cb3fc2dfd MD5sum: 68d639d0891b6c05117c5217e7e48a0d Description: GNU Ada compiler selected components (debugging symbols) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . The libgnatvsn library exports selected GNAT components for use in other packages, most notably ASIS tools. It is licensed under the GNAT-Modified GPL, allowing to link proprietary programs with it. . This package contains the debugging symbols. Package: libgnatvsn4.6-dev Source: gnat-4.6 Version: 4.6.3-8+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 6532 Depends: gnat-4.6-base (= 4.6.3-8+rpi1), gnat-4.6 (= 4.6.3-8+rpi1), libgnatvsn4.6 (= 4.6.3-8+rpi1) Conflicts: libgnatvsn-dev (<< 4.6), libgnatvsn4.1-dev, libgnatvsn4.3-dev, libgnatvsn4.4-dev, libgnatvsn4.5-dev Homepage: http://gcc.gnu.org/ Priority: extra Section: libdevel Filename: pool/main/g/gnat-4.6/libgnatvsn4.6-dev_4.6.3-8+rpi1_armhf.deb Size: 1705678 SHA256: d0d5acd1b93cb6d49aca66b6f65665fff217de4179664129b0d9c070cf239547 SHA1: 35a2de17dc6020df1b3a5090bc32f6621ca51ecc MD5sum: 70df7f8096e7e817edf11a17bf70f979 Description: GNU Ada compiler selected components (development files) GNAT is a compiler for the Ada programming language. It produces optimized code on platforms supported by the GNU Compiler Collection (GCC). . The libgnatvsn library exports selected GNAT components for use in other packages, most notably ASIS tools. It is licensed under the GNAT-Modified GPL, allowing to link proprietary programs with it. . This package contains the development files and static library. Package: libgnelib-dev Source: gnelib Version: 0.75+svn20091130-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 1101 Depends: libgnelib0 (= 0.75+svn20091130-1) Suggests: libgnelib-doc Homepage: http://www.gillius.org/gne/index.htm Priority: optional Section: libdevel Filename: pool/main/g/gnelib/libgnelib-dev_0.75+svn20091130-1_armhf.deb Size: 286758 SHA256: 1ad55f3541da42a9e23bc9b1d5b23ccc4cba314d0e65ff2d9d14d095a315f2b6 SHA1: 54a13cd41393401abc9ca330cf73c9e2ab228af5 MD5sum: 32265558258604d703da472944558681 Description: the Game Networking Engine (development headers) GNE, or the Game Networking Engine, is a cross-platform, multithreaded, C++ networking library with an API specifically addressing the needs of game networking. . There are two parts of GNE, the first being the mid-level classes that handle packeted, error checked, and bandwidth throttled communication between two peers. . This package contains the development libraries and headers. Package: libgnelib-doc Source: gnelib Version: 0.75+svn20091130-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 2622 Homepage: http://www.gillius.org/gne/index.htm Priority: extra Section: doc Filename: pool/main/g/gnelib/libgnelib-doc_0.75+svn20091130-1_armhf.deb Size: 447584 SHA256: f09d329501200b41eb49a4460f5b39b34544cf74b3f31000d3ceb4fc1472895b SHA1: 10ad8fd757a6531e6dd897ae9e6c6869f59c0570 MD5sum: 763eb63d2ca999accaf7a039dc3fb536 Description: the Game Networking Engine (Documentation) GNE, or the Game Networking Engine, is a cross-platform, multithreaded, C++ networking library with an API specifically addressing the needs of game networking. . There are two parts of GNE, the first being the mid-level classes that handle packeted, error checked, and bandwidth throttled communication between two peers. . This package contains the developers documentation. Package: libgnelib0 Source: gnelib Version: 0.75+svn20091130-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 390 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhawknl, libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.6), libtinfo5 Homepage: http://www.gillius.org/gne/index.htm Priority: optional Section: libs Filename: pool/main/g/gnelib/libgnelib0_0.75+svn20091130-1_armhf.deb Size: 138738 SHA256: 2839645045d7e049ba919ecad351a619700ac906329c36f93a4dc48ef50929d7 SHA1: 77c0f3667ba061eaecf3c264bae621fdce93a6ff MD5sum: b022339c89a5a1f3c987b46b91f298e1 Description: the Game Networking Engine GNE, or the Game Networking Engine, is a cross-platform, multithreaded, C++ networking library with an API specifically addressing the needs of game networking. . There are two parts of GNE, the first being the mid-level classes that handle packeted, error checked, and bandwidth throttled communication between two peers. Package: libgnelib0-dbg Source: gnelib Version: 0.75+svn20091130-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 2860 Depends: libgnelib0 (= 0.75+svn20091130-1) Homepage: http://www.gillius.org/gne/index.htm Priority: extra Section: debug Filename: pool/main/g/gnelib/libgnelib0-dbg_0.75+svn20091130-1_armhf.deb Size: 974224 SHA256: ebf590edf3ed4d7ffd4948fc6a43f992991cef9a352ac96e48d7bb8635ebd708 SHA1: 5c64d04b0c926fd06ada208b44d538973692d223 MD5sum: 7a1f3b22f6aab916c1616b6728682fcd Description: the Game Networking Engine GNE, or the Game Networking Engine, is a cross-platform, multithreaded, C++ networking library with an API specifically addressing the needs of game networking. . There are two parts of GNE, the first being the mid-level classes that handle packeted, error checked, and bandwidth throttled communication between two peers. . This package contains the debug symbols. Package: libgnet-dev Source: gnet Version: 2.0.8-2.2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 928 Depends: libgnet2.0-0 (= 2.0.8-2.2), libglib2.0-dev (>= 2.6.0) Priority: optional Section: libdevel Filename: pool/main/g/gnet/libgnet-dev_2.0.8-2.2_armhf.deb Size: 202176 SHA256: c7af0eed371e2de38f08d8b84a5c92be21c6f1753f98f050cb99b2b560b0ab86 SHA1: 3af23e4fc3d8f34c6ed0dd8f18f4b72e7a436df4 MD5sum: 8a2a77f1f513e473a2e4e176a3bcff9c Description: Developer files for GNet network library Development files for GNet . GNet is a simple network library. It is written in C, object-oriented, and built upon glib. It is intended to be small, fast, easy-to-use, and easy to port. The interface is similar to the interface for Java's network library. . Features: * TCP 'client' sockets * TCP 'server' sockets * Non-blocking TCP sockets * UDP * IP Multicast * Internet address abstraction Package: libgnet2.0-0 Source: gnet Version: 2.0.8-2.2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 205 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8) Priority: optional Section: libs Filename: pool/main/g/gnet/libgnet2.0-0_2.0.8-2.2_armhf.deb Size: 108138 SHA256: 3f63cf01df40658ca588ea12b669fec15a6bb46e9db91710dca8619f8b0d8f0e SHA1: 56415f8e8802f9c7616b19a609e8df35ad9d6ad5 MD5sum: fd3e1311f127ffb79b9af7277c646fd4 Description: GNet network library GNet is a simple network library. It is written in C, object-oriented, and built upon glib. It is intended to be small, fast, easy-to-use, and easy to port. The interface is similar to the interface for Java's network library. . Features: * TCP 'client' sockets * TCP 'server' sockets * Non-blocking TCP sockets * UDP * IP Multicast * Internet address abstraction * IPv6 Package: libgnokii-dev Source: gnokii Version: 0.6.30+dfsg-1 Architecture: armhf Maintainer: Leo Costela Installed-Size: 896 Depends: gnokii-common (= 0.6.30+dfsg-1), libgnokii6 (= 0.6.30+dfsg-1), libxpm-dev, libbluetooth-dev, libusb-dev, libpcsclite-dev, libical-dev, libc6-dev Replaces: libgnokii3-dev Provides: libgnokii3-dev Homepage: http://gnokii.org Priority: extra Section: libdevel Filename: pool/main/g/gnokii/libgnokii-dev_0.6.30+dfsg-1_armhf.deb Size: 339044 SHA256: 39ed86f0d8d878ef6100e409b58d2992d0a6d46ea3cc5dcdb8899425f61a8f09 SHA1: 8561c8faa0803e6b2785a742796268adf7c12e68 MD5sum: d3826eb9550bdaf7690c1d065de0e3aa Description: Gnokii mobile phone interface library (development files) Gnokii is a suite of programs that allows communication with mobile phones. It currently supports many Nokia mobile phones, all AT capable ones as well as many Symbian based. For a list of compatible phones, please visit: http://wiki.gnokii.org . This package provides the devel headers used by applications that wish to link against libgnokii. Package: libgnokii6 Source: gnokii Version: 0.6.30+dfsg-1 Architecture: armhf Maintainer: Leo Costela Installed-Size: 525 Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libical0 (>= 0.30), libpcsclite1, libusb-0.1-4 (>= 2:0.1.12), libx11-6, libxpm4, gnokii-common (= 0.6.30+dfsg-1) Homepage: http://gnokii.org Priority: optional Section: libs Filename: pool/main/g/gnokii/libgnokii6_0.6.30+dfsg-1_armhf.deb Size: 241148 SHA256: 629957bc55deaab65543a540e8b184374f55fb48fa55259f9670cb178a0b285f SHA1: 0e85f24595a3ff06711ee9413cf32523cdea0f69 MD5sum: 95422f6d5f79dd56586b6ff4d998a1d4 Description: Gnokii mobile phone interface library Gnokii is a suite of programs that allows communication with mobile phones. It currently supports many Nokia mobile phones, all AT capable ones as well as many Symbian based. For a list of compatible phones, please visit: http://wiki.gnokii.org . This package provides the library used by the gnokii suite to communicate with the phones. Package: libgnome-bluetooth-dev Source: gnome-bluetooth Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 517 Depends: libgnome-bluetooth10 (= 3.4.2-1), gir1.2-gnomebluetooth-1.0 (= 3.4.2-1), libgtk-3-dev, libglib2.0-dev Suggests: pkg-config Homepage: http://live.gnome.org/GnomeBluetooth Priority: extra Section: libdevel Filename: pool/main/g/gnome-bluetooth/libgnome-bluetooth-dev_3.4.2-1_armhf.deb Size: 227924 SHA256: eeee3fd72ddcc512a84268b6da577a9eafa241501759ad2af40ec0c35eefbb28 SHA1: 779024804d9b9b81eeff6a0143adf740e0cb8a1c MD5sum: bf42b1bd90ec80681e75287b311db4f9 Description: GNOME Bluetooth tools - support library development files This package contains tools for managing and manipulating Bluetooth devices using the GNOME desktop. . The libraries included provide support to gnome-bluetooth. . This package contains the development files for libgnome-bluetooth Package: libgnome-bluetooth10 Source: gnome-bluetooth Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 333 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0) Homepage: http://live.gnome.org/GnomeBluetooth Priority: optional Section: libs Filename: pool/main/g/gnome-bluetooth/libgnome-bluetooth10_3.4.2-1_armhf.deb Size: 236430 SHA256: fa1b075ab0c93ed8dcce9d988b6bca525eba062ee272ec4ec1c6fa8eccd88564 SHA1: 675ec67a844a0b9bc34fd56b29b0358bacf939ef MD5sum: 20e9a8ea6be76add704ad43751db067c Description: GNOME Bluetooth tools - support library This package contains tools for managing and manipulating Bluetooth devices using the GNOME desktop. . The libraries included provide support to gnome-bluetooth. Package: libgnome-desktop-2-17 Source: gnome-desktop Version: 2.32.1-2 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 239 Depends: gconf-service, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libstartup-notification0 (>= 0.4), libx11-6, libxrandr2 (>= 2:1.2.99.3) Recommends: hwdata (>= 0.227-1) Priority: optional Section: libs Filename: pool/main/g/gnome-desktop/libgnome-desktop-2-17_2.32.1-2_armhf.deb Size: 130336 SHA256: c5150c7cca57e26e923663f94175bca867136e91376854c6afeb4b0714132d8e SHA1: eee29309aae0c6c2bc951b6fca67613dab2aef95 MD5sum: 7b64b4887ca981bd4574005952af8c12 Description: Utility library for loading .desktop files - runtime files This library is used by GNOME to load the .desktop files. Package: libgnome-desktop-3-2 Source: gnome-desktop3 Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 273 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.3.16), libx11-6, libxext6, libxrandr2 (>= 2:1.2.99.3), gsettings-desktop-schemas (>= 2.91.92), gnome-desktop3-data (= 3.4.2-1) Recommends: hwdata (>= 0.227-1) Priority: optional Section: libs Filename: pool/main/g/gnome-desktop3/libgnome-desktop-3-2_3.4.2-1_armhf.deb Size: 161656 SHA256: f23eaf5b1285c4af82589f99c5d6af2085b0c25531dce6fe68f5091864ddc72a SHA1: e07f85318d8d0159c594e0832dd9960a37bac4ca MD5sum: 1727a1c6e679229c80748d80ce305dea Description: Utility library for loading .desktop files - runtime files This library is used by GNOME to load the .desktop files. Package: libgnome-desktop-3-dev Source: gnome-desktop3 Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 426 Depends: libgnome-desktop-3-2 (= 3.4.2-1), gir1.2-gnomedesktop-3.0 (= 3.4.2-1), libgtk-3-dev (>= 3.3.6), gsettings-desktop-schemas-dev Priority: optional Section: libdevel Filename: pool/main/g/gnome-desktop3/libgnome-desktop-3-dev_3.4.2-1_armhf.deb Size: 133004 SHA256: 7116a501574eae2bf81b33abb78d4d6659d042e3f12b0eb411d46cb9086c17b1 SHA1: d46e48a7947a27e76921b92310627aa3a486ac74 MD5sum: 3c69133c1d80f5e779d8d827c7348277 Description: Utility library for loading .desktop files - development files This package provides the include files and static library for the GNOME desktop library functions. Package: libgnome-desktop-dev Source: gnome-desktop Version: 2.32.1-2 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 682 Depends: libgnome-desktop-2-17 (= 2.32.1-2), libgtk2.0-dev (>= 2.14.0), libstartup-notification0-dev (>= 0.5) Replaces: libpanel-applet2-dev (<= 1.5.4-1) Priority: optional Section: libdevel Filename: pool/main/g/gnome-desktop/libgnome-desktop-dev_2.32.1-2_armhf.deb Size: 179198 SHA256: 3852619d2cb9b05410e5c255bae25cee96c4c6e88750a85a67f9220f9f35dd59 SHA1: 4869baa0dd622715a2eaf36cf30c3c4e3757ab97 MD5sum: 528b21f9ab2e1e61ac27cae8c6579a58 Description: Utility library for loading .desktop files - development files This package provides the include files and static library for the GNOME desktop library functions. Package: libgnome-keyring-common Source: libgnome-keyring Version: 3.4.1-1 Installed-Size: 682 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libgnome-keyring0 (<< 3.2.0-4) Breaks: libgnome-keyring0 (<< 3.2.0-4) Size: 59846 SHA256: b6b36b1669e0ac267247e95557e821e2b01c5dde3b0d91f0175933c7c02aebc8 SHA1: f781f510645bc81c911b8b2bd49ca21b393a1cbd MD5sum: d63208bbd4baa65d4a04a776051d5db3 Description: GNOME keyring services library - data files gnome-keyring is a daemon in the session, similar to ssh-agent, and other applications can use it to store passwords and other sensitive information. . The program can manage several keyrings, each with its own master password, and there is also a session keyring which is never stored to disk, but forgotten when the session ends. . This package contains data files and translations for the GNOME keyring library. Multi-Arch: foreign Homepage: http://live.gnome.org/GnomeKeyring Tag: role::app-data Section: libs Priority: optional Filename: pool/main/libg/libgnome-keyring/libgnome-keyring-common_3.4.1-1_all.deb Package: libgnome-keyring-dev Source: libgnome-keyring Version: 3.4.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 836 Depends: libgnome-keyring0 (= 3.4.1-1), gir1.2-gnomekeyring-1.0 (= 3.4.1-1), libglib2.0-dev (>= 2.16) Homepage: http://live.gnome.org/GnomeKeyring Priority: optional Section: libdevel Filename: pool/main/libg/libgnome-keyring/libgnome-keyring-dev_3.4.1-1_armhf.deb Size: 96694 SHA256: f90af8acbe793836a45f4c105ef55315738e7b4763f69a8321339a47237aa301 SHA1: 70a72d40c5ea4c0d8dbaf0effdbe8d4cc1bc7e2f MD5sum: 4165bad4c7f3a4816c69835f46862c3e Description: Development files for GNOME keyring service gnome-keyring is a daemon in the session, similar to ssh-agent, and other applications can use it to store passwords and other sensitive information. . The program can manage several keyrings, each with its own master password, and there is also a session keyring which is never stored to disk, but forgotten when the session ends. . This package contains development files for GNOME. Package: libgnome-keyring0 Source: libgnome-keyring Version: 3.4.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 189 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.31.8), libgnome-keyring-common (= 3.4.1-1) Suggests: gnome-keyring Breaks: gnome-keyring (<< 3.0) Multi-Arch: same Homepage: http://live.gnome.org/GnomeKeyring Priority: optional Section: libs Filename: pool/main/libg/libgnome-keyring/libgnome-keyring0_3.4.1-1_armhf.deb Size: 84874 SHA256: f3f303d1df13d4c3ea6d4439917a22825453c6f01ced0b22c562946babcacc1c SHA1: fbd2f9b1ccb06cad2a31c72aa69127670ee17d52 MD5sum: 7095283ddd3c7ae42dfd451f84204ea1 Description: GNOME keyring services library gnome-keyring is a daemon in the session, similar to ssh-agent, and other applications can use it to store passwords and other sensitive information. . The program can manage several keyrings, each with its own master password, and there is also a session keyring which is never stored to disk, but forgotten when the session ends. . This package contains shared libraries for GNOME. Package: libgnome-keyring0-dbg Source: libgnome-keyring Version: 3.4.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 207 Depends: libgnome-keyring0 (= 3.4.1-1) Multi-Arch: same Homepage: http://live.gnome.org/GnomeKeyring Priority: extra Section: debug Filename: pool/main/libg/libgnome-keyring/libgnome-keyring0-dbg_3.4.1-1_armhf.deb Size: 138524 SHA256: e56e56eba91826aeb4a37b7d250817a01bdf75699c8aa00cc7c994654da8e56a SHA1: a2412779a724c6b9ed2388d78f21e2c331857555 MD5sum: 63bc43dd7b0025191284d62d995ce2be Description: GNOME keyring services library (debugging symbols) gnome-keyring is a daemon in the session, similar to ssh-agent, and other applications can use it to store passwords and other sensitive information. . The program can manage several keyrings, each with its own master password, and there is also a session keyring which is never stored to disk, but forgotten when the session ends. . This package contains detached debugging symbols for the shared libraries. . Most people should not need this package. Package: libgnome-keyring1.0-cil Source: gnome-keyring-sharp Version: 1.0.0-4 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 73 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgnome-keyring0 (>= 3.2.2-2~), cli-common (>= 0.5.1), libglib2.0-cil (>= 2.12.10-1ubuntu1), libmono-corlib4.0-cil (>= 2.10.1) Priority: optional Section: libs Filename: pool/main/g/gnome-keyring-sharp/libgnome-keyring1.0-cil_1.0.0-4_armhf.deb Size: 16430 SHA256: a23ba619c3321259f145a7e3a7cdfad072e4696788dd6f25e15ce56850ea3f64 SHA1: 23b7b9e05c085b0cf04707f717467e7d6c83e36d MD5sum: 16182ee61973d8302995c589c85f303b Description: CLI library to access the GNOME Keyring daemon GNOME Keyring is a system which allows you to store passwords and other sensitive data across GNOME applications. It provides an API to access this information, as well as a daemon to manage it. . gnome-keyring-sharp is a CIL library for the GNOME Keyring API, written in C#. . This package contains the gnome-keyring-sharp library Package: libgnome-keyring1.0-cil-dev Source: gnome-keyring-sharp Version: 1.0.0-4 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 37 Depends: libgnome-keyring1.0-cil (= 1.0.0-4) Replaces: libgnome-keyring1.0-cil (<< 1.0.0-2) Priority: optional Section: libs Filename: pool/main/g/gnome-keyring-sharp/libgnome-keyring1.0-cil-dev_1.0.0-4_armhf.deb Size: 5734 SHA256: 0b2a96a4dbf9b180478aaf62b7987f72dab01f9eea1727c559647b7bd497d170 SHA1: afb8d52cbd026577aa9eb84182004b06cf6a2067 MD5sum: 67815aeabbe53fa53093ade1c93959b0 Description: CLI library to access the GNOME Keyring daemon GNOME Keyring is a system which allows you to store passwords and other sensitive data across GNOME applications. It provides an API to access this information, as well as a daemon to manage it. . gnome-keyring-sharp is a CIL library for the GNOME Keyring API, written in C#. . This package contains development files for the gnome-keyring-sharp library Package: libgnome-mag-dev Source: gnome-mag Version: 1:0.16.3-1 Architecture: armhf Maintainer: Daniel Ruoso Installed-Size: 6172 Depends: libgnome-mag2 (= 1:0.16.3-1), libglib2.0-dev, liborbit2-dev, libbonobo2-dev Conflicts: libgnome-mag1-dev Replaces: libgnome-mag1-dev Homepage: http://live.gnome.org/GnomeMag Priority: optional Section: libdevel Filename: pool/main/g/gnome-mag/libgnome-mag-dev_0.16.3-1_armhf.deb Size: 690706 SHA256: 7d3a1aad4fcd2fd444e2b3aca5e4c295225f69e60f847281088c3d8102a63fd5 SHA1: 48d55105b89970768d182289bd05857efbf1de2d MD5sum: b6700b7f8fdd9369a0f07eed72ba8f4c Description: screen magnification library for the GNOME desktop (development headers) The GNOME magnification library provides an API for creating and controlling a screen magnifier. . This package provides the development headers. Package: libgnome-mag2 Source: gnome-mag Version: 1:0.16.3-1 Architecture: armhf Maintainer: Daniel Ruoso Installed-Size: 110 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), liborbit2 (>= 1:2.14.10) Conflicts: libgnome-mag1 (= 0.11.10-1) Homepage: http://live.gnome.org/GnomeMag Priority: optional Section: libs Filename: pool/main/g/gnome-mag/libgnome-mag2_0.16.3-1_armhf.deb Size: 35896 SHA256: 53f4a06e9bd60403a65de1daa7f3e80632058c31c67cd1619d7783e7d008a79f SHA1: 97c67d8ec9b80426563a392578a1c74c29743720 MD5sum: bc28659b7ba54d93d95dc10567a93db8 Description: screen magnification library for the GNOME desktop (shared library) The GNOME magnification library provides an API for creating and controlling a screen magnifier. . This package provides the shared libraries. Package: libgnome-media-profiles-3.0-0 Source: libgnome-media-profiles Version: 3.0.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1032 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.27), libgstreamer0.10-0 (>= 0.10.23), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2) Priority: optional Section: libs Filename: pool/main/libg/libgnome-media-profiles/libgnome-media-profiles-3.0-0_3.0.0-1_armhf.deb Size: 263690 SHA256: eb8b982cb583d67747c1db5e2914bdd184c937a9a1d1e6b1732d5eaea9e2fbc8 SHA1: 3ef475f26b251ef71ee7fa4f121a9d900d6b1565 MD5sum: 083626f4585c169ded37c1f334a514ac Description: GNOME Media Profiles library Library that contains code to manage media profiles. Package: libgnome-media-profiles-dev Source: libgnome-media-profiles Version: 3.0.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 62 Depends: libgnome-media-profiles-3.0-0 (= 3.0.0-1), libglib2.0-dev (>= 2.27), libgtk-3-dev (>= 3.0.0) Priority: optional Section: libdevel Filename: pool/main/libg/libgnome-media-profiles/libgnome-media-profiles-dev_3.0.0-1_armhf.deb Size: 6764 SHA256: 21b904413a93f418c819ed769da0d2bb757bd3a4678f7ec2125fccd37a8b53e2 SHA1: 12d695e259ef2146e1d43ae2cf40c41d052be773 MD5sum: acd97e68c38055571cccbd38635ebdd5 Description: GNOME Media Profiles library Library that contains code to manage media profiles. . This package includes development files. Package: libgnome-menu-3-0 Source: gnome-menus Version: 3.4.2-5 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 157 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.30.0) Priority: optional Section: gnome Filename: pool/main/g/gnome-menus/libgnome-menu-3-0_3.4.2-5_armhf.deb Size: 77082 SHA256: f482457fcfc337f4273acd7298499fc2ccdd966da510cb985576951c4e7bf5ff SHA1: 34bd1d44dc5c06f5246b17de57585709516f1e85 MD5sum: 9d4f6df52eb6c8fc7ad863b8b45f6a70 Description: GNOME implementation of the freedesktop menu specification The package contains an implementation of the draft "Desktop Menu Specification" from freedesktop.org: . http://www.freedesktop.org/Standards/menu-spec . Also contained here are the GNOME menu layout configuration files, .directory files and assorted menu related utility programs. . This package contains the shared library. Package: libgnome-menu-3-dev Source: gnome-menus Version: 3.4.2-5 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 232 Depends: libgnome-menu-3-0 (= 3.4.2-5), gir1.2-gmenu-3.0 (= 3.4.2-5), libglib2.0-dev (>= 2.30.0) Replaces: gir-repository-dev (<< 0.6.5-6) Priority: optional Section: libdevel Filename: pool/main/g/gnome-menus/libgnome-menu-3-dev_3.4.2-5_armhf.deb Size: 84584 SHA256: 20e1fbb990f4142b836c5baaa18a2df4805918f263a4c276429370218e5888a6 SHA1: 05e2beb2614d9a6e47aecbe510d0818b21604f37 MD5sum: a1a68684d7cd6808a0f8acbb0ed2b504 Description: GNOME implementation of the freedesktop menu specification The package contains an implementation of the draft "Desktop Menu Specification" from freedesktop.org: . http://www.freedesktop.org/Standards/menu-spec . Also contained here are the GNOME menu layout configuration files, .directory files and assorted menu related utility programs. . This package contains the development headers. Package: libgnome-menu-dev Source: gnome-menus2 Version: 3.0.1-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 189 Depends: libgnome-menu2 (= 3.0.1-4), libglib2.0-dev (>= 2.15.2) Replaces: gir-repository-dev (<< 0.6.5-6) Priority: optional Section: libdevel Filename: pool/main/g/gnome-menus2/libgnome-menu-dev_3.0.1-4_armhf.deb Size: 79196 SHA256: 76278cd3e191c37c0ce0b10cff399e45fb2798a97c525607e5a30511794cabca SHA1: 5ebc0dc638205c5e3470a51e78080f71a6f19886 MD5sum: 7767e7d1150c8f14706fce22fd5f1d13 Description: GNOME implementation of the freedesktop menu specification The package contains an implementation of the draft "Desktop Menu Specification" from freedesktop.org: . http://www.freedesktop.org/Standards/menu-spec . Also contained here are the GNOME menu layout configuration files, .directory files and assorted menu related utility programs. . This package contains the development headers. Package: libgnome-menu2 Source: gnome-menus2 Version: 3.0.1-4 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 134 Depends: libc6 (>= 2.4), libglib2.0-0 (>= 2.24.0) Priority: optional Section: gnome Filename: pool/main/g/gnome-menus2/libgnome-menu2_3.0.1-4_armhf.deb Size: 69106 SHA256: 8bbcf40c42ac891819c3dbd9e7df0d9142ec5e923f0e408cc8c618529cfa991a SHA1: 438727d86d79d9608b2bdf92e91f8c69fb1f2ee1 MD5sum: daec4aad2f8b3c3bd49cdd3968980f24 Description: GNOME implementation of the freedesktop menu specification The package contains an implementation of the draft "Desktop Menu Specification" from freedesktop.org: . http://www.freedesktop.org/Standards/menu-spec . Also contained here are the GNOME menu layout configuration files, .directory files and assorted menu related utility programs. . This package contains the shared library. Package: libgnome-speech-dev Source: gnome-speech Version: 1:0.4.25-5 Architecture: armhf Maintainer: Mario Lang Installed-Size: 159 Depends: libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgnome-speech7, liborbit2 (>= 1:2.14.10), pkg-config Priority: optional Section: libdevel Filename: pool/main/g/gnome-speech/libgnome-speech-dev_0.4.25-5_armhf.deb Size: 49188 SHA256: 45d759c6b13565ae77a6a756c717cc028af63ae0edec93fae04fa5430aea22d2 SHA1: d42da880728ce7195d1fe73c13ab99bd1947e667 MD5sum: 6b8c89da0319dbc1d3f864d37726915c Description: GNOME text-to-speech library (development headers) The GNOME Speech library gives a simple yet general API for programs to convert text into speech, as well as speech input. . See the libgnome-speech7 package description for more information. Package: libgnome-speech7 Source: gnome-speech Version: 1:0.4.25-5 Architecture: armhf Maintainer: Mario Lang Installed-Size: 147 Depends: libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libespeak1 (>= 1.30), libglib2.0-0 (>= 2.31.8), liborbit2 (>= 1:2.14.10) Suggests: festival Priority: optional Section: libs Filename: pool/main/g/gnome-speech/libgnome-speech7_0.4.25-5_armhf.deb Size: 56084 SHA256: 9ce44eb0e2f088b35badcd51f07f968b6be0aca025a43f22ee74fa6244820845 SHA1: 98fa6593c7a92b6bc630395981dcfc12d0331980 MD5sum: 0bd6f08839dd92039ba0b1a842a43946 Description: GNOME text-to-speech library The GNOME Speech library gives a simple yet general API for programs to convert text into speech, as well as speech input. . Multiple backends are supported by the GNOME Speech library. The Festival and Espeak backends are provided by default in this package; other backends that require prorietary software are available via separate packages (gnome-speech-dectalk, gnome-speech-ibmtts and gnome-speech-swift). Package: libgnome-vfs2.0-cil Source: gnome-sharp2 Version: 2.24.2-3 Installed-Size: 312 Maintainer: Debian CLI Libraries Team Architecture: all Replaces: gtk-sharp2-gapi (<< 2.10.0-1), libgnome-vfs2.24-cil, libgnome2.0-cil (<< 2.16.0-4) Depends: cli-common (>= 0.5.1), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgnomevfs2-0 (>= 1:2.17.90), libmono-corlib4.0-cil (>= 2.10.1) Suggests: monodoc-gtk2.0-manual Conflicts: libgnome-vfs2.24-cil Size: 171264 SHA256: 74f42067ec48c79f78085d0700eeb8095d9134e3372c6c19c790de717a678933 SHA1: 1c5ec5800dc5b773014c5d59a0baf3766cc6a5a5 MD5sum: f7aa7aa16aa60d1563bd86320392cefd Description: CLI binding for GnomeVFS 2.24 This package provides the gnome-vfs-sharp assembly that allows CLI (.NET) programs to use the GnomeVFS library 2.24. . GNOME# 2.24 is a CLI (.NET) language binding for the GNOME 2.20 platform libraries. . This package contains the gnome-vfs-sharp assembly itself. Homepage: http://www.mono-project.com/GtkSharp Tag: devel::ecma-cli, devel::library, implemented-in::c-sharp, role::devel-lib, role::shared-lib, suite::gnome Section: cli-mono Priority: optional Filename: pool/main/g/gnome-sharp2/libgnome-vfs2.0-cil_2.24.2-3_all.deb Package: libgnome-vfs2.0-cil-dev Source: gnome-sharp2 Version: 2.24.2-3 Installed-Size: 301 Maintainer: Debian CLI Libraries Team Architecture: all Replaces: libgnome-vfs2.0-cil (<< 2.24.1-5), libgnome-vfs2.24-cil Depends: libgnome-vfs2.0-cil (= 2.24.2-3) Size: 139530 SHA256: b09265eb6950b4127ab1ebdcfaebc994ff1d53c1dfe3c96e1b804bf9e9c71e2c SHA1: 50f5afb00e2cb244f1c4478d118ebe38a380be24 MD5sum: f4a6f5c39fc96e97cb335c8043d94f86 Description: CLI binding for GnomeVFS 2.24 This package provides the gnome-vfs-sharp assembly that allows CLI (.NET) programs to use the GnomeVFS library 2.24. . GNOME# 2.24 is a CLI (.NET) language binding for the GNOME 2.20 platform libraries. . This package contains development files for the gnome-vfs-sharp library, and should be used for compilation. Homepage: http://www.mono-project.com/GtkSharp Tag: devel::ecma-cli, devel::library, role::devel-lib Section: cli-mono Priority: optional Filename: pool/main/g/gnome-sharp2/libgnome-vfs2.0-cil-dev_2.24.2-3_all.deb Package: libgnome-vfsmm-2.6-1c2a Source: gnome-vfsmm2.6 Version: 2.26.0-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 211 Depends: gconf-service, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnomevfs2-0 (>= 1:2.17.90), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6) Conflicts: libgnome-vfsmm-2.6-1, libgnome-vfsmm-2.6-1c2 Replaces: libgnome-vfsmm-2.6-1, libgnome-vfsmm-2.6-1c2 Homepage: http://www.gtkmm.org/ Priority: optional Section: libs Filename: pool/main/g/gnome-vfsmm2.6/libgnome-vfsmm-2.6-1c2a_2.26.0-1_armhf.deb Size: 67532 SHA256: 9536072b8a3a1cfaea9eaab3136e2f77c318a17993aefc16cfff4302eff4c3c4 SHA1: 1a34367854514be39d997daf4c4eb263bf8ca923 MD5sum: 5da197795d6919724bf1d3c38a1473de Description: C++ wrappers for GnomeVFS (shared library) GnomeVFS is the GNOME filesystem abstraction library. Gnome-vfsmm is the C++ wrapper for GnomeVFS. . This package contains shared libraries. Package: libgnome-vfsmm-2.6-dev Source: gnome-vfsmm2.6 Version: 2.26.0-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 567 Depends: libgnome-vfsmm-2.6-1c2a (= 2.26.0-1), libgnomevfs2-dev (>= 2.8.1), libglibmm-2.4-dev (>= 2.4.0) Suggests: libgnome-vfsmm-2.6-doc Homepage: http://www.gtkmm.org/ Priority: optional Section: libdevel Filename: pool/main/g/gnome-vfsmm2.6/libgnome-vfsmm-2.6-dev_2.26.0-1_armhf.deb Size: 112248 SHA256: bc3f2b496a96e2864c094417af400e4da77b545523b315c68a1291f491b9f262 SHA1: 39368c63b4d3aadd3aefe26261e7e11034888f2a MD5sum: 10743d740ee814f1870e345c578b8495 Description: C++ wrappers for GnomeVFS (development files) GnomeVFS is the GNOME filesystem abstraction library. Gnome-vfsmm is the C++ wrapper for GnomeVFS. . This package contains development files and examples. Package: libgnome-vfsmm-2.6-doc Source: gnome-vfsmm2.6 Version: 2.26.0-1 Installed-Size: 4532 Maintainer: Deng Xiyue Architecture: all Replaces: libgnome-vfsmm-2.6-dev (<< 2.20.0-1) Depends: doc-base, lynx | www-browser Conflicts: libgnome-vfsmm-2.6-dev (<< 2.20.0-1) Size: 538626 SHA256: 85bf1580ca654b8ebbb3729ca850c1e8e2f3cac1d969ee7d2ade2704733f682e SHA1: a065aabe58a9a90f56345627855aa245688af751 MD5sum: f7685cfc78b2d6b0fbc283ef5755b69b Description: C++ wrappers for GnomeVFS (documentation) GnomeVFS is the GNOME filesystem abstraction library. Gnome-vfsmm is the C++ wrapper for GnomeVFS. . This package contains reference documentation and examples. Homepage: http://www.gtkmm.org/ Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html, role::documentation, suite::gnome Section: doc Priority: optional Filename: pool/main/g/gnome-vfsmm2.6/libgnome-vfsmm-2.6-doc_2.26.0-1_all.deb Package: libgnome2-0 Source: libgnome Version: 2.32.1-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 598 Depends: gconf-service, libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libcanberra0 (>= 0.2), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.24.0), libgnomevfs2-0 (>= 1:2.17.90), liborbit2 (>= 1:2.14.10), libpopt0 (>= 1.14), libgnome2-common (>= 2.32), libgnome2-common (<< 2.33), gvfs Priority: optional Section: libs Filename: pool/main/libg/libgnome/libgnome2-0_2.32.1-3_armhf.deb Size: 466902 SHA256: 8bd6b8349b897a866ebb6c96a8af173f9278c648108354e3f6cc2eb06a8f66f3 SHA1: 4a131198df23e38a5b115f4dfb66f0199a1b0240 MD5sum: 25d2c8fc754b01b0377e85666a86fb23 Description: The GNOME library - runtime files This package contains the shared library for the base GNOME library functions. Package: libgnome2-canvas-perl Version: 1.002-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 326 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnomecanvas2-0 (>= 2.11.1), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libglib-perl (>= 1.040), libgtk2-perl (>= 1.040) Homepage: http://gtk2-perl.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libg/libgnome2-canvas-perl/libgnome2-canvas-perl_1.002-2_armhf.deb Size: 126292 SHA256: 4812f3db1f8fbb2168b3514d3250563a5ceb04327b66ee5b4143ec3a34b348ce SHA1: 31b1dcaa370ea83c7ccbb06a1f27cc4740d1dbd1 MD5sum: 394bc91c0ee4434b89b577383e062285 Description: Perl interface to the GNOME canvas library libgnome2-canvas-perl allows a perl developer to use the GNOME Canvas widget with Gtk2-Perl. . The GNOME Canvas widget is a powerful and extensible object-oriented display engine. A GnomeCanvasItem is a GtkObject representing some element of the display, such as an image, a rectangle, an ellipse, or some text. You can refer to this architecture as structured graphics; the canvas lets you deal with graphics in terms of items, rather than an undifferentiated grid of pixels. . Find out more about GNOME at http://www.gnome.org. . The perl bindings follow the C API very closely, and the C reference documentation should be considered the canonical source: http://developer.gnome.org/doc/API/2.0/libgnomecanvas/index.html . This module is part of gtk2-perl. . To discuss gtk2-perl, ask questions and flame/praise the authors, join gtk-perl-list@gnome.org at lists.gnome.org. . Also have a look at the gtk2-perl website and sourceforge project page, http://gtk2-perl.sourceforge.net Package: libgnome2-common Source: libgnome Version: 2.32.1-3 Installed-Size: 5713 Maintainer: Josselin Mouette Architecture: all Depends: gconf2 (>= 2.28.1-2) Suggests: desktop-base (>= 0.3.16) Breaks: gnome-panel (<< 2.28) Size: 915726 SHA256: b4d3ee3240934f39ee2d1d15e5c87ada8bc05d56b48c7d908280cbcd9ade2719 SHA1: 5eb1ecc7dd042e64fa9812e40564f4cf3961a8d8 MD5sum: 1fc5f53603a968fe84b53590354690b2 Description: The GNOME library - common files This package contains internationalization files for the base GNOME library functions. Tag: devel::i18n, devel::library, role::app-data, suite::gnome, uitoolkit::gtk Section: libs Priority: optional Filename: pool/main/libg/libgnome/libgnome2-common_2.32.1-3_all.deb Package: libgnome2-dbg Source: libgnome Version: 2.32.1-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 692 Depends: libgnome2-0 (= 2.32.1-3) Priority: extra Section: debug Filename: pool/main/libg/libgnome/libgnome2-dbg_2.32.1-3_armhf.deb Size: 502432 SHA256: 1db80137e77c3d27ba3fe10e6eb2dc8d17762d6bea2ef3bfd3134d19f22bb9ff SHA1: aa32f96c021442023af13b71787230488623b252 MD5sum: 694c55ee82429c27140e65aa8610d92e Description: The GNOME library - debugging symbols This package contains the debugging symbols. Package: libgnome2-dev Source: libgnome Version: 2.32.1-3 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 609 Depends: libgnome2-0 (= 2.32.1-3), libglib2.0-dev, libbonobo2-dev, liborbit2-dev, libgconf2-dev, libgnomevfs2-dev, libcanberra-dev, libpopt-dev Suggests: libgnome2-doc Priority: optional Section: libdevel Filename: pool/main/libg/libgnome/libgnome2-dev_2.32.1-3_armhf.deb Size: 466246 SHA256: 77bb61608d13669d07fbc3d38eaf38d4686ecfa2b6ba623a1634023d66f158b3 SHA1: ea62b44c2ba6246d77d3ede9cddd4a7d37bbee56 MD5sum: aa91ae5de3dc47bd17c43d04d074ad4b Description: The GNOME library - development files This package contains the shared library for the base GNOME library functions. Package: libgnome2-doc Source: libgnome Version: 2.32.1-3 Installed-Size: 861 Maintainer: Josselin Mouette Architecture: all Suggests: devhelp Size: 464712 SHA256: 535041dc704ff1fc7d496cf9f9ae7e909d62c3bdbd81715b7b47fbd85a2ddb95 SHA1: 7281d9da62c106666b35c6de75795a77873d441d MD5sum: 2c2ababb6b6e0cc3ee42bdff03731075 Description: The GNOME library - documentation files This package contains documentation files for the base GNOME library functions. Tag: devel::doc, devel::library, made-of::html, role::documentation, suite::gnome, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/libg/libgnome/libgnome2-doc_2.32.1-3_all.deb Package: libgnome2-gconf-perl Version: 1.044-4 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 290 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.24.0), libglib-perl (>= 1:1.120) Homepage: http://gtk2-perl.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libg/libgnome2-gconf-perl/libgnome2-gconf-perl_1.044-4_armhf.deb Size: 93218 SHA256: 0961f7fd12b68cdcbf29e0c793b901149e1d7459f7b0204d9c6cb87adbd8b9d1 SHA1: f1ef736e47a57874518f962c6a1190780bc7b167 MD5sum: 35074278f35dddcd84f37afa067c32be Description: Perl interface to the GNOME GConf library Gnome2::GConf allows a perl developer to use the GConf configuration system to store/retrieve the configuration of an application. . The GConf system is a powerful configuration manager based on a user daemon that handles a set of key and value pairs, and notifies any changes of the value to every program that monitors those keys. GConf is used by GNOME 2.x. . Find out more about GNOME at http://www.gnome.org. . The perl bindings follow the C API very closely, and the C reference documentation should be considered the canonical source: http://developer.gnome.org/doc/API/2.0/gconf/index.html . This module is part of gtk2-perl. . To discuss gtk2-perl, ask questions and flame/praise the authors, join gtk-perl-list@gnome.org at lists.gnome.org. . Also have a look at the gtk2-perl website and sourceforge project page, http://gtk2-perl.sourceforge.net Package: libgnome2-perl Version: 1.042-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 870 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libglib-perl (>= 1.040), libgtk2-perl (>= 1.00), libgnome2-canvas-perl (>= 1.00), libgnome2-vfs-perl (>= 1.00) Homepage: http://gtk2-perl.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libg/libgnome2-perl/libgnome2-perl_1.042-2_armhf.deb Size: 295220 SHA256: 3a215c7fb4473d2768692619a2bd338aa3fecc0a2ede3a407ec8574bed2f10c2 SHA1: 6ef5504c8578574450a914e8e52570c3decea2d5 MD5sum: 9f548e2bb5d04812c53141fc31687a46 Description: Perl interface to the GNOME libraries libgnome2-perl allows to write programs with a GNOME user interface in Perl. . GNOME is a project to build a complete, user-friendly desktop based entirely on free software. . Find out more about GNOME at http://www.gnome.org. . The perl bindings follow the C API very closely, and the C reference documentation should be considered the canonical source: http://developer.gnome.org/doc/API/2.0/libgnome/index.html . This module is part of gtk2-perl. . To discuss gtk2-perl, ask questions and flame/praise the authors, join gtk-perl-list@gnome.org at lists.gnome.org. . Also have a look at the gtk2-perl website and sourceforge project page, http://gtk2-perl.sourceforge.net Package: libgnome2-vfs-perl Version: 1.081-3 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 665 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.24.0), libgnomevfs2-0 (>= 1:2.17.90), libglib-perl (>= 1:1.120) Recommends: libgnomevfs2-extra Suggests: libswitch-perl | perl (<< 5.10.1-13) Homepage: http://gtk2-perl.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libg/libgnome2-vfs-perl/libgnome2-vfs-perl_1.081-3_armhf.deb Size: 196846 SHA256: c84f738e6e59a81c8f6cb926bca8e7472eb96d375696340d777d8f7c1544916a SHA1: 41bb6cd26653689d18d777296a8d8ff7353974a1 MD5sum: 584d0842e9b6c5ae11d04866fdf3ebfb Description: Perl interface to the 2.x series of the GNOME VFS library libgnome2-vfs-perl provides Perl bindings for the 2.x series of the GNOME VFS library. . GNOME VFS is the GNOME virtual file system. It provides a modular architecture and ships with several modules that implement support for file systems, http, ftp and others. It provides a URI-based API, a backend supporting asynchronous file operations, a MIME type manipulation library and other features. . Find out more about GNOME at http://www.gnome.org. . The perl bindings follow the C API very closely, and the C reference documentation should be considered the canonical source: http://developer.gnome.org/doc/API/2.0/gnome-vfs-2.0/index.html . This module is part of gtk2-perl. . To discuss gtk2-perl, ask questions and flame/praise the authors, join gtk-perl-list@gnome.org at lists.gnome.org. . Also have a look at the gtk2-perl website and sourceforge project page, http://gtk2-perl.sourceforge.net Package: libgnome2-wnck-perl Version: 0.16-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 291 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libwnck22 (>= 2.30.0-3), libglib-perl (>= 1:1.180), libgtk2-perl Homepage: http://gtk2-perl.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libg/libgnome2-wnck-perl/libgnome2-wnck-perl_0.16-2_armhf.deb Size: 78966 SHA256: e4c2a2a1b6c74f58087fcbee4f9f63d66ea4825c83dfac59720004c93a711107 SHA1: 72bf841319e91db2945dce014b173aee2aea8c1c MD5sum: afff5fcad49033817d33e7e8354256dd Description: Perl interface to the Window Navigator Construction Kit Gnome2::Wnck allows a Perl developer to use the Window Navigator Construction Kit library (libwnck for short) to write tasklists and pagers. . The perl bindings follow the C API very closely, and the C reference documentation should be considered the canonical source. . This module is part of gtk2-perl. . To discuss gtk2-perl, ask questions and flame/praise the authors, join gtk-perl-list@gnome.org at lists.gnome.org. . Also have a look at the gtk2-perl website and sourceforge project page, http://gtk2-perl.sourceforge.net Package: libgnome2.0-cil-dev Source: gnome-sharp2 Version: 2.24.2-3 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 387 Depends: libart2.0-cil-dev (= 2.24.2-3), libgnome-vfs2.0-cil-dev (= 2.24.2-3), libgnome2.24-cil (= 2.24.2-3), libgtk2.0-cil-dev Replaces: libgnome2.0-cil, libgnome2.24-cil (<< 2.24.1-5) Homepage: http://www.mono-project.com/GtkSharp Priority: optional Section: cli-mono Filename: pool/main/g/gnome-sharp2/libgnome2.0-cil-dev_2.24.2-3_armhf.deb Size: 148696 SHA256: ee3b3301b6d698398ebbb1a45336d91c5776a0390b8a048bd0fe8bf6af28fbb3 SHA1: afe6f5e2b577944771ba7c0fc7bd9dd8f9f5fadb MD5sum: b7d4f3c1a18ce045388afc9b10771d03 Description: CLI binding for GNOME 2.24 This package provides the gnome-sharp assembly that allows CLI (.NET) programs to use the GNOME and GNOME UI libraries 2.24. . GNOME# 2.24 is a CLI (.NET) language binding for the GNOME 2.24 platform libraries. . This package contains development files for the gnome-sharp library, and should be used for compilation. Package: libgnome2.24-cil Source: gnome-sharp2 Version: 2.24.2-3 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 437 Depends: libart2.0-cil (= 2.24.2-3), libgconf2.0-cil (= 2.24.2-3), libgnome-vfs2.0-cil (= 2.24.2-3), cli-common (>= 0.5.1), libglade2.0-cil (>= 2.12.10-1ubuntu1), libglib2.0-0 (>= 2.32.3), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgtk2.0-0 (>= 2.24.0), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-corlib4.0-cil (>= 2.10.1), libc6 (>= 2.13-28) Suggests: monodoc-gtk2.0-manual Replaces: gtk-sharp2-gapi (<< 2.10.0-1), libgconf2.0-cil (<< 2.16.1), libgnome2.0-cil Homepage: http://www.mono-project.com/GtkSharp Priority: optional Section: cli-mono Filename: pool/main/g/gnome-sharp2/libgnome2.24-cil_2.24.2-3_armhf.deb Size: 215570 SHA256: 8e43d8882d543ca7fb3c55ed1f378cbb45cf0aed5db303e7ca0570bd220da402 SHA1: 26a844b693554c319cad7d597af3eede59647372 MD5sum: 5d1db3cb81151aee65274ae0833acfee Description: CLI binding for GNOME 2.24 This package provides the gnome-sharp assembly that allows CLI (.NET) programs to use the GNOME and GNOME UI libraries 2.24. . GNOME# 2.24 is a CLI (.NET) language binding for the GNOME 2.24 platform libraries . This package contains the gnome-sharp assembly itself.. Package: libgnomeada-dbg Source: libgtkada Version: 2.24.1-7 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 344 Depends: libgnomeada2.24.1 (= 2.24.1-7) Recommends: gdb (>= 6.8), libgnomeada2.24.1-dev (= 2.24.1-7) Suggests: gnat Multi-Arch: same Homepage: http://libre.adacore.com/libre/tools/gtkada Priority: extra Section: debug Filename: pool/main/libg/libgtkada/libgnomeada-dbg_2.24.1-7_armhf.deb Size: 220138 SHA256: 7b7a091109dab3f553f427f6d0cac8030e0cf61f534d939f9c9d109014aacc3c SHA1: c2b94bd706a282cdcb14a0bca10a591d8914b065 MD5sum: 782abe2db2c1fc7fac7a9aec825b71e4 Description: Ada binding for the GNOME GUI (debugging symbols) GtkAda is a library that allows programmers to write GTK+ applications in the Ada programming language. . This package contains the debugging symbols for the GNOME extensions. Package: libgnomeada2.24.1 Source: libgtkada Version: 2.24.1-7 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 341 Pre-Depends: multiarch-support Depends: libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgnat-4.6 (>= 4.6.3-4+rpi2), libgnomeui-0 (>= 2.22.0), libgtkada2.24.1 Multi-Arch: same Homepage: http://libre.adacore.com/libre/tools/gtkada Priority: optional Section: libs Filename: pool/main/libg/libgtkada/libgnomeada2.24.1_2.24.1-7_armhf.deb Size: 90874 SHA256: 2b66e457ba1288b8bcb8d226e21864899ed5aa7df3bf9a0e2d6416363e28147c SHA1: 338898821407160fc8b16c22c676ada3c5bd738a MD5sum: ac95d5dc76ffd015fa8fe4675da1704b Description: Ada binding for the GNOME GUI (dynamic library) GtkAda is a library that allows programmers to write GTK+ applications in the Ada programming language. . This library will let you run Ada applications compiled with GNOME. Package: libgnomeada2.24.1-dev Source: libgtkada Version: 2.24.1-7 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 1577 Depends: gnat, gnat-4.6, libgnomeada2.24.1 (= 2.24.1-7), libgtkada2.24.1-dev (= 2.24.1-7), libgnomeui-dev, libbonoboui2-dev, libgtkada2.24.1 Suggests: libgtkada-doc (= 2.24.1-7) Homepage: http://libre.adacore.com/libre/tools/gtkada Priority: optional Section: libdevel Filename: pool/main/libg/libgtkada/libgnomeada2.24.1-dev_2.24.1-7_armhf.deb Size: 264196 SHA256: e102de37ebea5385d3923efd12baffda3d035692557670d92a18796dae16db48 SHA1: 420cf92e0efcd407fdba25299abe4ab01fd46332 MD5sum: 2c2991823036921d38645ee8d3dd707f Description: Ada binding for the GNOME GUI (development files) GtkAda is a library that allows programmers to write GTK+ applications in the Ada programming language. . This package contains the development files and static libraries for GNOME extensions. Package: libgnomecanvas2-0 Source: libgnomecanvas Version: 2.30.3-1.2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 255 Depends: libart-2.0-2 (>= 2.3.19), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libgail18 (>= 1.18.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.17), libpango1.0-0 (>= 1.14.0), libgnomecanvas2-common (>= 2.30), libgnomecanvas2-common (<< 2.31) Priority: optional Section: libs Filename: pool/main/libg/libgnomecanvas/libgnomecanvas2-0_2.30.3-1.2_armhf.deb Size: 94984 SHA256: 5ce8196c1036cc57d3df03f290eb9c7f11f14c5f46119af78bb22e60e399c7a7 SHA1: bd8c632ee1def3f348beeac29cd7717087d1dd46 MD5sum: 1887a9ba91e19e707514245312eeb00c Description: powerful object-oriented display engine - runtime files The canvas widget is a powerful and extensible object-oriented display engine. A GnomeCanvasItem is a GtkObject representing some element of the display, such as an image, a rectangle, an ellipse, or some text. You can refer to this architecture as structured graphics; the canvas lets you deal with graphics in terms of items, rather than an undifferentiated grid of pixels. Package: libgnomecanvas2-common Source: libgnomecanvas Version: 2.30.3-1.2 Installed-Size: 736 Maintainer: Debian GNOME Maintainers Architecture: all Size: 133416 SHA256: 98b3bbf0d0f00ef23ad33e0936f83d15bae8bb7347f630aa69d44a750d75d0d5 SHA1: 8b84969a3a64a32710436046b6e21c9721e172c4 MD5sum: 8477cfd68a065ac7bddcd879824039a9 Description: powerful object-oriented display engine - common files The canvas widget is a powerful and extensible object-oriented display engine. A GnomeCanvasItem is a GtkObject representing some element of the display, such as an image, a rectangle, an ellipse, or some text. You can refer to this architecture as structured graphics; the canvas lets you deal with graphics in terms of items, rather than an undifferentiated grid of pixels. . This package contains internationalization files. Multi-Arch: foreign Tag: devel::i18n, devel::library, interface::x11, role::app-data, suite::gnome, uitoolkit::gtk Section: x11 Priority: optional Filename: pool/main/libg/libgnomecanvas/libgnomecanvas2-common_2.30.3-1.2_all.deb Package: libgnomecanvas2-dbg Source: libgnomecanvas Version: 2.30.3-1.2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 723 Depends: libgnomecanvas2-0 (= 2.30.3-1.2) Priority: extra Section: debug Filename: pool/main/libg/libgnomecanvas/libgnomecanvas2-dbg_2.30.3-1.2_armhf.deb Size: 204524 SHA256: 20db3c422bbfbd0a5de1cf72bcbe81dd9659d15a68de6682327fdaae362cffb7 SHA1: a595ac90c7c07136aea42d2cc9d823fecc14d87b MD5sum: 6e237019e79724569ece8c3a970133b4 Description: powerful object-oriented display engine - debugging symbols The canvas widget is a powerful and extensible object-oriented display engine. A GnomeCanvasItem is a GtkObject representing some element of the display, such as an image, a rectangle, an ellipse, or some text. You can refer to this architecture as structured graphics; the canvas lets you deal with graphics in terms of items, rather than an undifferentiated grid of pixels. . This package contains detached debugging symbols. Package: libgnomecanvas2-dev Source: libgnomecanvas Version: 2.30.3-1.2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 416 Depends: libgnomecanvas2-0 (= 2.30.3-1.2), libart-2.0-dev (>= 2.3.16), libgtk2.0-dev (>= 2.8.17), libgail-dev (>= 1.9.0) Suggests: libgnomecanvas2-doc Priority: optional Section: libdevel Filename: pool/main/libg/libgnomecanvas/libgnomecanvas2-dev_2.30.3-1.2_armhf.deb Size: 113746 SHA256: c2bf2c13952c93999612a7203fa5c1a2896e02065e95bc46a891ef05b4a308e5 SHA1: 9aafca643d7c0f3a5fee38fc61ed0883f404d086 MD5sum: 6d932e335edd47613ceea0200ed33e21 Description: powerful object-oriented display engine - development files The canvas widget is a powerful and extensible object-oriented display engine. A GnomeCanvasItem is a GtkObject representing some element of the display, such as an image, a rectangle, an ellipse, or some text. You can refer to this architecture as structured graphics; the canvas lets you deal with graphics in terms of items, rather than an undifferentiated grid of pixels. Package: libgnomecanvas2-doc Source: libgnomecanvas Version: 2.30.3-1.2 Installed-Size: 466 Maintainer: Debian GNOME Maintainers Architecture: all Size: 70570 SHA256: e88513930ae5aae9c15cdbe44e5a9199c1231f355a6ee2c90f0aeb15c0a6517b SHA1: dbebb7f0e099dee9f3755df6d8dcd78218ffa6eb MD5sum: 3cfc01a682fa5c4303994d8954426162 Description: powerful object-oriented display engine - documentation files The canvas widget is a powerful and extensible object-oriented display engine. A GnomeCanvasItem is a GtkObject representing some element of the display, such as an image, a rectangle, an ellipse, or some text. You can refer to this architecture as structured graphics; the canvas lets you deal with graphics in terms of items, rather than an undifferentiated grid of pixels. . This package contains documentation files. Tag: devel::doc, devel::library, interface::x11, made-of::html, role::documentation, suite::gnome, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/libg/libgnomecanvas/libgnomecanvas2-doc_2.30.3-1.2_all.deb Package: libgnomecanvasmm-2.6-1c2a Source: libgnomecanvasmm2.6 Version: 2.26.0-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 241 Depends: libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnomecanvas2-0 (>= 2.11.1), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0) Conflicts: libgnomecanvasmm-2.6-1, libgnomecanvasmm-2.6-1c2 Replaces: libgnomecanvasmm-2.6-1, libgnomecanvasmm-2.6-1c2 Homepage: http://www.gtkmm.org/ Priority: optional Section: libs Filename: pool/main/libg/libgnomecanvasmm2.6/libgnomecanvasmm-2.6-1c2a_2.26.0-1_armhf.deb Size: 73208 SHA256: c79e3da8f07965d83d5d45e034962df93f4068059660729139b8ca81af26e19e SHA1: df121b74308628c3a9d541a15559feebeee74643 MD5sum: 6adeedb94742c436c101e29f06479d43 Description: C++ wrappers for libgnomecanvas2 (shared library) libgnomecanvas is a high-level, structured graphics library. libgnomecanvasmm is the C++ wrapper for libgnomecanvas. . This package contains shared libraries. Package: libgnomecanvasmm-2.6-dev Source: libgnomecanvasmm2.6 Version: 2.26.0-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 631 Depends: libgnomecanvasmm-2.6-1c2a (= 2.26.0-1), libgnomecanvas2-dev (>= 2.6.0), libgtkmm-2.4-dev (>= 2.4.0) Suggests: libgnomecanvasmm-2.6-doc Homepage: http://www.gtkmm.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libgnomecanvasmm2.6/libgnomecanvasmm-2.6-dev_2.26.0-1_armhf.deb Size: 107844 SHA256: 264a8249a9148310fdca090c7f979721c203e2a42240e62e3a0a45c81d73f83d SHA1: dac19119bf635d4f7f5af8715c6f110670df9f50 MD5sum: 083935521dab13e79e68604fc41c2e5a Description: C++ wrappers for libgnomecanvas2 (development files) libgnomecanvas is a high-level, structured graphics library. libgnomecanvasmm is the C++ wrapper for libgnomecanvas. . This package contains development files. Package: libgnomecanvasmm-2.6-doc Source: libgnomecanvasmm2.6 Version: 2.26.0-1 Installed-Size: 2716 Maintainer: Deng Xiyue Architecture: all Replaces: libgnomecanvasmm-2.6-dev (<< 2.20.0-1) Depends: doc-base, lynx | www-browser Suggests: libgtkmm-2.4-doc Conflicts: libgnomecanvasmm-2.6-dev (<< 2.20.0-1) Size: 258174 SHA256: ef74f6ec8365a91c925a6bbc6e3b9cbfca71bc6ccc6a73f640da79b37d20b6c9 SHA1: 8ed2384de584229048391c9c0c23e7261b42a745 MD5sum: ed672a584ddf4c658b2e2ab2a9245f0e Description: C++ wrappers for libgnomecanvas2 (documentation) libgnomecanvas is a high-level, structured graphics library. libgnomecanvasmm is the C++ wrapper for libgnomecanvas. . This package contains reference documentation and examples. Homepage: http://www.gtkmm.org/ Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html, role::documentation, suite::gnome Section: doc Priority: optional Filename: pool/main/libg/libgnomecanvasmm2.6/libgnomecanvasmm-2.6-doc_2.26.0-1_all.deb Package: libgnomecups1.0-1 Source: libgnomecups Version: 0.2.3-5 Architecture: armhf Maintainer: Ross Burton Installed-Size: 583 Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0), libgssapi-krb5-2 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), zlib1g (>= 1:1.1.4) Suggests: cups Priority: optional Section: oldlibs Filename: pool/main/libg/libgnomecups/libgnomecups1.0-1_0.2.3-5_armhf.deb Size: 70462 SHA256: 129de26bac949ef1c461c501874324bfba64d74fa1dbdbd254db445f5e2cd70c SHA1: f12187e39b29b6b4a96c5c74366ddca6fc41c539 MD5sum: 6bd83ba6aee488866b552702f3001626 Description: GNOME library for CUPS interaction This library wraps the CUPS API in a GLib fashion, so CUPS code can be cleanly integrated with GLib code. . Although called libgnomecups, this library only depends on GLib. Package: libgnomecups1.0-dev Source: libgnomecups Version: 0.2.3-5 Architecture: armhf Maintainer: Ross Burton Installed-Size: 144 Depends: libgnomecups1.0-1 (= 0.2.3-5), libcups2-dev Priority: optional Section: libdevel Filename: pool/main/libg/libgnomecups/libgnomecups1.0-dev_0.2.3-5_armhf.deb Size: 44470 SHA256: 482c7a3e037dd00d19efde991f89051e4b5b513c9621bf2f367488e2752c16f7 SHA1: 5c384c513d018b930b13ab3aa8a97b730e1e6ea3 MD5sum: cfccdd6d9daf51f78d83f0644cb58b1f Description: GNOME library for CUPS interaction (headers) This library wraps the CUPS API in a GLib fashion, so CUPS code can be cleanly integrated with GLib code. . Although called libgnomecups, this library only depends on GLib. For graphical widgets, see libgnomecupsui1.0-dev. Package: libgnomedesktop2.0-cil-dev Source: gnome-desktop-sharp2 Version: 2.26.0-8 Installed-Size: 28 Maintainer: Debian CLI Libraries Team Architecture: all Replaces: libgnomedesktop2.20-cil (<< 2.26.0-2) Depends: libgnome2.0-cil-dev, libgnomedesktop2.20-cil (= 2.26.0-8) Size: 16330 SHA256: 0e7d50958fc43dafe24df1304f4d4934898bb2abb9018560dd0a7b97de32e12a SHA1: 0d1d8d3dbbc1ef849e9e8446078c096215a02098 MD5sum: f4c837e2d1a4c5cda73580561c287198 Description: CLI binding for GNOME Desktop 2.24 This package provides the gnome-desktop-sharp assembly that allows CLI (.NET) programs to use the GNOME Desktop libraries 2.24. . GNOME Desktop# 2.24 is a CLI (.NET) language binding for the GNOME 2.24 desktop libraries. . This package contains development files for the gnome-desktop-sharp library, and should be used for compilation Homepage: http://www.mono-project.com/GtkSharp Tag: devel::ecma-cli, devel::library, role::devel-lib Section: cli-mono Priority: optional Filename: pool/main/g/gnome-desktop-sharp2/libgnomedesktop2.0-cil-dev_2.26.0-8_all.deb Package: libgnomedesktop2.20-cil Source: gnome-desktop-sharp2 Version: 2.26.0-8 Installed-Size: 28 Maintainer: Debian CLI Libraries Team Architecture: all Depends: cli-common (>= 0.5.1), libglib2.0-cil (>= 2.12.10), libgnome-desktop-2-17, libgtk2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1) Suggests: monodoc-gtk2.0-manual Size: 20328 SHA256: 9f43332bfe34ac8e2e84084d29ff4f471b51e7738c8ebe6d62f8cd05424e42c6 SHA1: baae0784aebba0dd9590fc5613b33fd84d2cfc5d MD5sum: c2421ad6e5f00fae266c2ac2998fcd48 Description: CLI binding for GNOME Desktop 2.24 This package provides the gnome-desktop-sharp assembly that allows CLI (.NET) programs to use the GNOME Desktop libraries 2.24. . GNOME Desktop# 2.24 is a CLI (.NET) language binding for the GNOME 2.24 desktop libraries. . This package contains the gnome-desktop-sharp assembly itself Homepage: http://www.mono-project.com/GtkSharp Tag: role::shared-lib, uitoolkit::gtk Section: cli-mono Priority: optional Filename: pool/main/g/gnome-desktop-sharp2/libgnomedesktop2.20-cil_2.26.0-8_all.deb Package: libgnomekbd-common Source: libgnomekbd Version: 3.4.0.2-1 Installed-Size: 1069 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: gkbd-capplet (<= 2.91.2-1) Depends: dconf-gsettings-backend | gsettings-backend Breaks: gkbd-capplet (<= 2.91.2-1) Size: 110518 SHA256: d6597509ce9e7e0100f3b5333eb60baf2b0c2cadaba86ea44a21da50f3586a22 SHA1: f583116ee3caf564bc7f504f7a0f4762cfc15c3c MD5sum: 3825c3bb0a425a5c8530dc35d5164033 Description: GNOME library to manage keyboard configuration - common files libgnomekbd offers an API to manage the keyboard in GNOME applications. . libgnomekbdui offers an API to display a graphical user interface for libgnomekbd operations. . This package contains files common to the various libgnomekbd packages. Tag: role::app-data Section: libs Priority: optional Filename: pool/main/libg/libgnomekbd/libgnomekbd-common_3.4.0.2-1_all.deb Package: libgnomekbd-dev Source: libgnomekbd Version: 3.4.0.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 249 Depends: libgnomekbd7 (= 3.4.0.2-1), gir1.2-gkbd-3.0 (= 3.4.0.2-1), libglib2.0-dev (>= 2.26), libgtk-3-dev (>= 3.0.0), libxklavier-dev (>= 5.2), libx11-dev Priority: optional Section: libdevel Filename: pool/main/libg/libgnomekbd/libgnomekbd-dev_3.4.0.2-1_armhf.deb Size: 60284 SHA256: 46789bb072749e4b38a7f1982adb4a59c246a9571abf3d5e65e777da63856fa7 SHA1: b7ef24243d35c92a4872bcdfe93aa4b158dae140 MD5sum: 0f2859260bb3408a9f0c5f35ca00c7fb Description: GNOME library to manage keyboard configuration - development files libgnomekbd offers an API to manage the keyboard in GNOME applications. . libgnomekbdui offers an API to display a graphical user interface for libgnomekbd operations. . This package contains the development files. Package: libgnomekbd7 Source: libgnomekbd Version: 3.4.0.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 142 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.22.0), libx11-6, libxklavier16 (>= 5.0), libgnomekbd-common (>= 3.4.0.2-1), iso-codes Priority: optional Section: libs Filename: pool/main/libg/libgnomekbd/libgnomekbd7_3.4.0.2-1_armhf.deb Size: 51560 SHA256: d2d4ec200cb5857bf435f4aa39c003bde929934d605de5f0ccf4308cae3ceb15 SHA1: d1b1e6cb136a32ed543a9b55f4bb56465cfa4afd MD5sum: 6bd3ca2503a5aaaa56cb3d6e44bcb9a0 Description: GNOME library to manage keyboard configuration - shared library libgnomekbd offers an API to manage the keyboard in GNOME applications. . libgnomekbdui offers an API to display a graphical user interface for libgnomekbd operations. . This package contains the shared library. Package: libgnomemm-2.6-1c2 Source: libgnomemm2.6 Version: 2.30.0-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 62 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnome2-0 (>= 2.17.3), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libpopt0 (>= 1.14), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0) Conflicts: libgnomemm-2.6-1, libgnomemm-2.6-1c2a Replaces: libgnomemm-2.6-1, libgnomemm-2.6-1c2a Homepage: http://www.gtkmm.org/ Priority: optional Section: libs Filename: pool/main/libg/libgnomemm2.6/libgnomemm-2.6-1c2_2.30.0-1_armhf.deb Size: 20252 SHA256: 1f0d46e4ca50d59c9baf7a0acbc203586c5f419ebb7d5750325779a2410c98c7 SHA1: 1e74ba5fb59fad277a0a8616beecb8f52995206d MD5sum: 04197f613d4a739553c887567f84b847 Description: C++ wrappers for libgnome (shared library) Gnomemm is a powerful C++ binding for the GNOME libraries. Gnomemm includes bindings for all the popular GNOME interfaces like canvas and MDI as well as useful application templates for constructing good looking apps. . This package contains shared libraries. Package: libgnomemm-2.6-dev Source: libgnomemm2.6 Version: 2.30.0-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 120 Depends: libgnomemm-2.6-1c2 (= 2.30.0-1), libgnome2-dev (>= 2.6.0), libgtkmm-2.4-dev (>= 1:2.8.0) Suggests: libgnomemm-2.6-doc Homepage: http://www.gtkmm.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libgnomemm2.6/libgnomemm-2.6-dev_2.30.0-1_armhf.deb Size: 23978 SHA256: 0be28983da7a31cfa1405731ed2dac84b3cbb106aa34d4d4d0e80ef837a0d7a6 SHA1: 44e8e1694b31f304c926354df5b02ad8044ecde1 MD5sum: 9ddb8681465d69a282343892c8c12107 Description: C++ wrappers for libgnome (development files) Gnomemm is a powerful C++ binding for the GNOME libraries. Gnomemm includes bindings for all the popular GNOME interfaces like canvas and MDI as well as useful application templates for constructing good looking apps. . This package contains development files. Package: libgnomemm-2.6-doc Source: libgnomemm2.6 Version: 2.30.0-1 Installed-Size: 512 Maintainer: Deng Xiyue Architecture: all Replaces: libgnomemm-2.6-dev (<< 2.20.0-1) Depends: doc-base, lynx | www-browser Conflicts: libgnomemm-2.6-dev (<< 2.20.0-1) Size: 96374 SHA256: e026199f42ab424b839eb5d5f2d610292f22ce5db73798cb0cade6962f0d6fb1 SHA1: 055ce28095ec463b61536a1d57af7545f09ef34d MD5sum: 3a432e19212c905a040f4b95c29a803a Description: C++ wrappers for libgnome (documentation) Gnomemm is a powerful C++ binding for the GNOME libraries. Gnomemm includes bindings for all the popular GNOME interfaces like canvas and MDI as well as useful application templates for constructing good looking apps. . This package contains reference documentation. Homepage: http://www.gtkmm.org/ Tag: devel::doc, devel::lang:c++, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libgnomemm2.6/libgnomemm-2.6-doc_2.30.0-1_all.deb Package: libgnomeprint2.2-0 Source: libgnomeprint Version: 2.18.8-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 587 Depends: libart-2.0-2 (>= 2.3.18), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.24.0), libgnomecups1.0-1 (>= 0.2.3), libgnutls26 (>= 2.12.17-0), libgssapi-krb5-2 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), libgnomeprint2.2-data (= 2.18.8-3) Suggests: cups Priority: optional Section: oldlibs Filename: pool/main/libg/libgnomeprint/libgnomeprint2.2-0_2.18.8-3_armhf.deb Size: 271240 SHA256: dd23a84668fe7d6afb27ecc74b865e6743bcbba29a357d04eb35e534442d9a6c SHA1: 015c6958f223d52487ddbf66dbdfce69297f85be MD5sum: 87e206ca128f235d3a467cce9c912acc Description: GNOME print architecture - runtime files The goals of this architecture include: . * Absolutely uncompromised output quality * Speed, memory efficiency, and other related performance goals * Ability to work smoothly with Postscript printers, fonts, and other resources * A screen display derived from the Canvas * An extension path for a wide variety of Unicode scripts * An extension path for a richer set of graphics operators than Postscript supports, especially transparency * To make life as easy as possible for application developers Package: libgnomeprint2.2-data Source: libgnomeprint Version: 2.18.8-3 Installed-Size: 1445 Maintainer: Debian GNOME Maintainers Architecture: all Size: 187166 SHA256: 39bf4ea6745bcd66000634371bf5c5b8bca6f677fa421f40f032f9687a69b6c1 SHA1: d12a95770931a629869e642cbfcd8182f12f0a3d MD5sum: 7d58dd2c4eef86619e9835ed278d2112 Description: GNOME print architecture - data files The goals of this architecture include: . * Absolutely uncompromised output quality * Speed, memory efficiency, and other related performance goals * Ability to work smoothly with Postscript printers, fonts, and other resources * A screen display derived from the Canvas * An extension path for a wide variety of Unicode scripts * An extension path for a richer set of graphics operators than Postscript supports, especially transparency * To make life as easy as possible for application developers Tag: devel::interpreter, devel::library, hardware::printer, role::app-data, suite::gnome, uitoolkit::gtk, use::printing Section: oldlibs Priority: optional Filename: pool/main/libg/libgnomeprint/libgnomeprint2.2-data_2.18.8-3_all.deb Package: libgnomeprint2.2-dev Source: libgnomeprint Version: 2.18.8-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 868 Depends: libgnomeprint2.2-0 (= 2.18.8-3), libart-2.0-dev, libxml2-dev, libglib2.0-dev, zlib1g-dev, libpango1.0-dev Suggests: libgnomeprint2.2-doc Priority: optional Section: libdevel Filename: pool/main/libg/libgnomeprint/libgnomeprint2.2-dev_2.18.8-3_armhf.deb Size: 321560 SHA256: 556259ef5c1f48ddefac52b3ceae9c6663fa856909ce15cb13ddcde29dda1459 SHA1: bd2b22c821a9fa800d27de801617fe544afad6ba MD5sum: 70837797eea4094b5c4eff8358b6f09e Description: GNOME print architecture - development files The goals of this architecture include: . * Absolutely uncompromised output quality * Speed, memory efficiency, and other related performance goals * Ability to work smoothly with Postscript printers, fonts, and other resources * A screen display derived from the Canvas * An extension path for a wide variety of Unicode scripts * An extension path for a richer set of graphics operators than Postscript supports, especially transparency * To make life as easy as possible for application developers Package: libgnomeprint2.2-doc Source: libgnomeprint Version: 2.18.8-3 Installed-Size: 591 Maintainer: Debian GNOME Maintainers Architecture: all Suggests: doc-base Size: 123568 SHA256: ef40475ad37846275c9291faef668834e53fcce243038043d4a567b0e5da01d5 SHA1: 6dcd1751a19647715c7ae052486c47200e32ac6b MD5sum: ca3729f8bd61789833289c3af8049c6c Description: GNOME print architecture - documentation files The goals of this architecture include: . * Absolutely uncompromised output quality * Speed, memory efficiency, and other related performance goals * Ability to work smoothly with Postscript printers, fonts, and other resources * A screen display derived from the Canvas * An extension path for a wide variety of Unicode scripts * An extension path for a richer set of graphics operators than Postscript supports, especially transparency * To make life as easy as possible for application developers Tag: devel::doc, devel::library, hardware::printer, made-of::html, role::documentation, suite::gnome, uitoolkit::gtk, use::printing Section: doc Priority: optional Filename: pool/main/libg/libgnomeprint/libgnomeprint2.2-doc_2.18.8-3_all.deb Package: libgnomeprintui2.2-0 Source: libgnomeprintui Version: 2.18.6-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 312 Depends: libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnomecanvas2-0 (>= 2.11.1), libgnomeprint2.2-0 (>= 2.17.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4), libgnomeprintui2.2-common (= 2.18.6-3) Priority: optional Section: oldlibs Filename: pool/main/libg/libgnomeprintui/libgnomeprintui2.2-0_2.18.6-3_armhf.deb Size: 156896 SHA256: c68a27af4eea2b2ab116053a12430ce0477a765f31cdd092f089aaec1b7bf0f5 SHA1: e006999e4aacf54330dd85e2bdf434767749f452 MD5sum: 4743e1bba3238ecd69b88dc8eabeb91f Description: GNOME print architecture User Interface - runtime files The goals of this architecture include: . * Absolutely uncompromised output quality * Speed, memory efficiency, and other related performance goals * Ability to work smoothly with Postscript printers, fonts, and other resources * A screen display derived from the Canvas * An extension path for a wide variety of Unicode scripts * An extension path for a richer set of graphics operators than Postscript supports, especially transparency * To make life as easy as possible for application developers . This package contains runtime files. Package: libgnomeprintui2.2-common Source: libgnomeprintui Version: 2.18.6-3 Installed-Size: 1705 Maintainer: Debian GNOME Maintainers Architecture: all Recommends: gnome-icon-theme Size: 282620 SHA256: 1aceb0b1a7941bdb5c9676316d587c51190f1f5045014dc2b5376d846cffb3a3 SHA1: a345977ee6972623cbffb12e3ce0967a2ad6aaeb MD5sum: a840e6d1b1681e85024197820b5b4046 Description: GNOME print architecture User Interface - common files The goals of this architecture include: . * Absolutely uncompromised output quality * Speed, memory efficiency, and other related performance goals * Ability to work smoothly with Postscript printers, fonts, and other resources * A screen display derived from the Canvas * An extension path for a wide variety of Unicode scripts * An extension path for a richer set of graphics operators than Postscript supports, especially transparency * To make life as easy as possible for application developers . This package contains internationalization files. Tag: devel::i18n, devel::library, hardware::printer, interface::x11, role::app-data, suite::gnome, uitoolkit::gtk, use::printing Section: oldlibs Priority: optional Filename: pool/main/libg/libgnomeprintui/libgnomeprintui2.2-common_2.18.6-3_all.deb Package: libgnomeprintui2.2-dev Source: libgnomeprintui Version: 2.18.6-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 530 Depends: libgnomeprintui2.2-0 (= 2.18.6-3), libgnomeprint2.2-dev (>= 2.1.7), libgtk2.0-dev (>= 2.0.6), libart-2.0-dev, libgnomecanvas2-dev (>= 1.117.0) Priority: optional Section: libdevel Filename: pool/main/libg/libgnomeprintui/libgnomeprintui2.2-dev_2.18.6-3_armhf.deb Size: 206260 SHA256: 44d9c78c2040758862c6a6fa1e16dd2c5e98ff0161246796f44dd818512ab7ca SHA1: 2d6a8e6c02aa87dabcb758ec0a67fd00c0cbaa71 MD5sum: 6501625f4c302a31c4e7c16411092424 Description: GNOME print architecture User Interface - devel files The goals of this architecture include: . * Absolutely uncompromised output quality * Speed, memory efficiency, and other related performance goals * Ability to work smoothly with Postscript printers, fonts, and other resources * A screen display derived from the Canvas * An extension path for a wide variety of Unicode scripts * An extension path for a richer set of graphics operators than Postscript supports, especially transparency * To make life as easy as possible for application developers . This package contains development files (include headers). Package: libgnomeprintui2.2-doc Source: libgnomeprintui Version: 2.18.6-3 Installed-Size: 276 Maintainer: Debian GNOME Maintainers Architecture: all Suggests: doc-base Size: 82290 SHA256: 96810103409c0fa625ed2e9418a19419a71000298b9c9328b19ae518c4d83e14 SHA1: a405d2e087e45e81df6c56362680f3ca5409f7c4 MD5sum: 47f6b846befc652a11da1cd9ac2d09a2 Description: GNOME print architecture User Interface - doc files The goals of this architecture include: . * Absolutely uncompromised output quality * Speed, memory efficiency, and other related performance goals * Ability to work smoothly with Postscript printers, fonts, and other resources * A screen display derived from the Canvas * An extension path for a wide variety of Unicode scripts * An extension path for a richer set of graphics operators than Postscript supports, especially transparency * To make life as easy as possible for application developers . This package contains documentation files. Tag: devel::doc, devel::library, hardware::printer, interface::x11, made-of::html, role::documentation, suite::gnome, uitoolkit::gtk, use::printing Section: doc Priority: optional Filename: pool/main/libg/libgnomeprintui/libgnomeprintui2.2-doc_2.18.6-3_all.deb Package: libgnomeui-0 Source: libgnomeui Version: 2.24.5-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 716 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libgnome-keyring0 (>= 2.20.3), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.14), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.17.5), libpopt0 (>= 1.14), libsm6, libx11-6, libxml2 (>= 2.6.27), libgnomeui-common (>= 2.24), libgnomeui-common (<< 2.25) Suggests: gnome-icon-theme Priority: optional Section: libs Filename: pool/main/libg/libgnomeui/libgnomeui-0_2.24.5-2_armhf.deb Size: 344970 SHA256: ff410b7fbdd64ea23871d32514ceb61e3ba95311f2dbfc3c0fe82ec4313330f2 SHA1: 936da4dee0887c658577682822f4a4636deffb66 MD5sum: d9c2f110be2860a97308edb33118e4d3 Description: GNOME user interface library - runtime files This package contains the shared library for the base GNOME library functions (User Interface functions). Package: libgnomeui-0-dbg Source: libgnomeui Version: 2.24.5-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1757 Depends: libgnomeui-0 (= 2.24.5-2) Priority: extra Section: debug Filename: pool/main/libg/libgnomeui/libgnomeui-0-dbg_2.24.5-2_armhf.deb Size: 801030 SHA256: 4209cc5d92f1d7d405c18e343106a4707496021bb27aa11261c46e7f888c4a08 SHA1: fbf9b8e19d96c2b6212e115d7491084c21ab5224 MD5sum: ed22f09b7f06c2c86bb2da4647cdefaa Description: GNOME user interface library - debugging symbols This package contains the shared library for the base GNOME library functions (User Interface functions). . This package contains detached debugging symbols. . Most people will not need this package. Package: libgnomeui-common Source: libgnomeui Version: 2.24.5-2 Installed-Size: 3728 Maintainer: Debian GNOME Maintainers Architecture: all Size: 863260 SHA256: d52d052faa44ee3e8d5294ab7f2e82c9f1f9ba18351f52db816c3818b8b94704 SHA1: 5e1000355292d90308c7490d14b9526e8abfc211 MD5sum: 84b1143094b683aea1dacb6a82b7b50c Description: GNOME user interface library - common files This package contains internationalization files for the base GNOME library functions (User Interface functions). Tag: devel::i18n, devel::library, interface::x11, role::app-data, suite::gnome, uitoolkit::gtk Section: libs Priority: optional Filename: pool/main/libg/libgnomeui/libgnomeui-common_2.24.5-2_all.deb Package: libgnomeui-dev Source: libgnomeui Version: 2.24.5-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1196 Depends: libgnomeui-0 (= 2.24.5-2), libgnome2-dev (>= 2.13.7), libgtk2.0-dev (>= 2.12.0), libgnomecanvas2-dev (>= 2.6.0), libgnome-keyring-dev (>= 0.4), libgconf2-dev (>= 2.6.0), libbonoboui2-dev (>= 2.13.1), libgnomevfs2-dev (>= 2.8.4-2), libsm-dev, libice-dev, libpango1.0-dev (>= 1.1.2), libglib2.0-dev (>= 2.16.0) Suggests: libgnomeui-doc Priority: optional Section: libdevel Filename: pool/main/libg/libgnomeui/libgnomeui-dev_2.24.5-2_armhf.deb Size: 432062 SHA256: e911754ace02c7ca99cf717552d57502b8a8e1d648e82ceacdf0a8dd4a9e677f SHA1: 74681b77a0547b88032f78568be1752802621f1e MD5sum: c7b6822196a4c4030c3e8ce486f523fc Description: GNOME user interface library - development files This package contains the shared library for the base GNOME library functions (User Interface functions). Package: libgnomeui-doc Source: libgnomeui Version: 2.24.5-2 Installed-Size: 2784 Maintainer: Debian GNOME Maintainers Architecture: all Size: 403902 SHA256: 2de42f1142dfabd67ee0b2c044f3cce3e371d5be3a3bc16a6d98febae16c02c9 SHA1: 1677f93423a2d5d2d50984940bae44ef048ccbb6 MD5sum: 996bb2f12a47ad5e3240ad4c21726f5c Description: GNOME user interface library - documentation files This package contains documentation files for the base GNOME library functions (User Interface functions). Tag: devel::doc, devel::library, role::documentation, suite::gnome, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/libg/libgnomeui/libgnomeui-doc_2.24.5-2_all.deb Package: libgnomeuimm-2.6-1c2a Source: libgnomeuimm2.6 Version: 2.28.0-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 401 Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgconfmm-2.6-1c2 (>= 2.24.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglademm-2.4-1c2a (>= 2.6.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnome-vfsmm-2.6-1c2a (>= 2.22.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomecanvasmm-2.6-1c2a (>= 2.23.1), libgnomemm-2.6-1c2 (>= 2.16.0), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libpopt0 (>= 1.14), libsigc++-2.0-0c2a (>= 2.0.2), libsm6, libstdc++6 (>= 4.6), libxml2 (>= 2.6.27) Conflicts: libgnomeuimm-2.6-1, libgnomeuimm-2.6-1c2 Replaces: libgnomeuimm-2.6-1, libgnomeuimm-2.6-1c2 Homepage: http://www.gtkmm.org/ Priority: optional Section: libs Filename: pool/main/libg/libgnomeuimm2.6/libgnomeuimm-2.6-1c2a_2.28.0-1_armhf.deb Size: 118848 SHA256: 311aed8c86bf9ec411e4173c44b540a333afdcf1983470d1745d2ea18feb5507 SHA1: 2148cbd69475b7f9b3b5b42777e2f0fc75abe706 MD5sum: 303cc69cc4527bf40bb1075b8daed82f Description: C++ wrappers for libgnomeui (shared library) libgnomeui is the library for the GNOME user interface functions. libgnomeuimm is the C++ wrapper for libgnomeui. . This package contains shared libraries. Package: libgnomeuimm-2.6-dev Source: libgnomeuimm2.6 Version: 2.28.0-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 892 Depends: libgnomeuimm-2.6-1c2a (= 2.28.0-1), libgnomeui-dev (>= 2.7.1), libgnomemm-2.6-dev (>= 2.16.0), libgnomecanvasmm-2.6-dev (>= 2.6.0), libgconfmm-2.6-dev (>= 2.6.0), libglademm-2.4-dev (>= 2.4.0), libgnome-vfsmm-2.6-dev (>= 2.16.1) Suggests: libgnomeuimm-2.6-doc Homepage: http://www.gtkmm.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libgnomeuimm2.6/libgnomeuimm-2.6-dev_2.28.0-1_armhf.deb Size: 183514 SHA256: fcff799a66d82526d8ce3fa2865b341f886c47a77924a304b00eec38238d6e4d SHA1: 6ea2ece7d8c3fff97ae60bbc19229cfb9f108ae2 MD5sum: a32b5b4936d960f64ceb0d7d17f0cdbf Description: C++ wrappers for libgnomeui (development files) libgnomeui is the library for the GNOME user interface functions. libgnomeuimm is the C++ wrapper for libgnomeui. . This package contains development files and examples. Package: libgnomeuimm-2.6-doc Source: libgnomeuimm2.6 Version: 2.28.0-1 Installed-Size: 3512 Maintainer: Deng Xiyue Architecture: all Replaces: libgnomeuimm-2.6-dev (<< 2.20.0-1) Depends: doc-base, lynx | www-browser Suggests: libgtkmm-2.4-doc Conflicts: libgnomeuimm-2.6-dev (<< 2.20.0-1) Size: 386022 SHA256: 7e9fa1a2c745e1105d2a41611aba079f960268447542d3d443377bfbbff1a552 SHA1: f315601c63ba044684516550a32260226dc0d607 MD5sum: fd25ceb98fd6780b3c193efe83be8d59 Description: C++ wrappers for libgnomeui (documentation) libgnomeui is the library for the GNOME user interface functions. libgnomeuimm is the C++ wrapper for libgnomeui. . This package contains reference documentation and examples. Homepage: http://www.gtkmm.org/ Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html, role::documentation, suite::gnome Section: doc Priority: optional Filename: pool/main/libg/libgnomeuimm2.6/libgnomeuimm-2.6-doc_2.28.0-1_all.deb Package: libgnomevfs2-0 Source: gnome-vfs Version: 1:2.24.4-2 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 849 Depends: gconf-service, libacl1 (>= 2.2.51-8), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfam0, libgconf-2-4 (>= 2.31.1), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0), libselinux1 (>= 1.32), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), dbus (>= 0.90), libgnomevfs2-common (>= 1:2.24), libgnomevfs2-common (<< 1:2.25) Recommends: libgnomevfs2-extra, dbus-x11 Suggests: libgnomevfs2-bin, fam Conflicts: libbonobo2-0 (<< 2.15.0) Replaces: libgnomevfs2-common (<< 2.12.2-4) Priority: optional Section: oldlibs Filename: pool/main/g/gnome-vfs/libgnomevfs2-0_2.24.4-2_armhf.deb Size: 466266 SHA256: c406c1991a96420329bea897c366a71ffab51da8301cca7f422ab05d528b33fa SHA1: 66ad3d4ebcbd8b6c923988e836c4f94a0ce1434a MD5sum: ab7ce1179638a10acf5ac608565133fc Description: GNOME Virtual File System (runtime libraries) GNOME VFS is the GNOME virtual file system. It is the foundation of the Nautilus file manager. It provides a modular architecture and ships with several modules that implement support for local files, http, ftp and others. It provides an URI-based API, a backend supporting asynchronous file operations, a MIME type manipulation library and other features. . This package contains the runtime libraries, the daemon, and the default modules. Package: libgnomevfs2-0-dbg Source: gnome-vfs Version: 1:2.24.4-2 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 2540 Depends: libgnomevfs2-0 (= 1:2.24.4-2) Priority: extra Section: debug Filename: pool/main/g/gnome-vfs/libgnomevfs2-0-dbg_2.24.4-2_armhf.deb Size: 928730 SHA256: 7044d616465379e6c62d32ee9c2a462f024cc82665b7b6384a76d168653ce774 SHA1: 8856aaa6a6776851462c1aefb52105b6f80f5f4e MD5sum: cae124108534576b5a8ea85f3ef26a2e Description: GNOME Virtual File System (debugging libraries) GNOME VFS is the GNOME virtual file system. It is the foundation of the Nautilus file manager. It provides a modular architecture and ships with several modules that implement support for local files, http, ftp and others. It provides an URI-based API, a backend supporting asynchronous file operations, a MIME type manipulation library and other features. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, to make it easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libgnomevfs2-bin Source: gnome-vfs Version: 1:2.24.4-2 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 407 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libgnomevfs2-0 (>= 1:2.17.90) Replaces: libgnomevfs2-common (<< 2.12.2-4) Priority: optional Section: oldlibs Filename: pool/main/g/gnome-vfs/libgnomevfs2-bin_2.24.4-2_armhf.deb Size: 315808 SHA256: 2027e5b84db73a8062cc2688c0f86090bf1518110176e2ace2fa9b9d8511a11f SHA1: bf48fdb9b043e288e755a25521ac460d10849a35 MD5sum: bbfc4b4ec39d0a8979383dcd8c9d0140 Description: GNOME Virtual File System (support binaries) GNOME VFS is the GNOME virtual file system. It is the foundation of the Nautilus file manager. It provides a modular architecture and ships with several modules that implement support for local files, http, ftp and others. It provides an URI-based API, a backend supporting asynchronous file operations, a MIME type manipulation library and other features. . This package contains some example binaries using the GNOME VFS library. Package: libgnomevfs2-common Source: gnome-vfs Version: 1:2.24.4-2 Installed-Size: 6330 Maintainer: Josselin Mouette Architecture: all Depends: gconf2 (>= 2.28.1-2), gnome-mime-data, shared-mime-info Conflicts: gnome-panel (<< 2.10.0), libgnomevfs2-0 (<< 2.12.2-4), libgnomevfs2-extra (<< 1:2.16.3-6) Size: 756692 SHA256: d3b33607e753f752200c5993ebcbc7c657d4418bbd26a81af4dc0666a914c77f SHA1: 9f16920c717ca1e9a44a9d24caf35b28665d825f MD5sum: d4b411d418688f6a55d27817617234cd Description: GNOME Virtual File System (common files) GNOME VFS is the GNOME virtual file system. It is the foundation of the Nautilus file manager. It provides a modular architecture and ships with several modules that implement support for local files, http, ftp and others. It provides an URI-based API, a backend supporting asynchronous file operations, a MIME type manipulation library and other features. . This package contains the documentation, data files and locales. Tag: admin::filesystem, role::app-data, suite::gnome, uitoolkit::gtk Section: oldlibs Priority: optional Filename: pool/main/g/gnome-vfs/libgnomevfs2-common_2.24.4-2_all.deb Package: libgnomevfs2-dev Source: gnome-vfs Version: 1:2.24.4-2 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 1164 Depends: libgnomevfs2-0 (= 1:2.24.4-2), libgconf2-dev (>= 2.8.0-1), libgnutls-dev, libxml2-dev, libavahi-client-dev (>= 0.6), libavahi-glib-dev (>= 0.6), libdbus-1-dev, libselinux1-dev Priority: optional Section: libdevel Filename: pool/main/g/gnome-vfs/libgnomevfs2-dev_2.24.4-2_armhf.deb Size: 466502 SHA256: a4c3dc0c48aa288d2ee116c54f2110dab4e3e82d85e03a6076291cca5716e406 SHA1: 61887a7dc43c886e8c2896180f8f0924a7ce1207 MD5sum: da5a3800685cc0f4223489a49d3c2f3c Description: GNOME Virtual File System library (development files) GNOME VFS is the GNOME virtual file system. It is the foundation of the Nautilus file manager. It provides a modular architecture and ships with several modules that implement support for local files, http, ftp and others. It provides an URI-based API, a backend supporting asynchronous file operations, a MIME type manipulation library and other features. . This package provides the necessary development libraries for writing GNOME VFS modules and applications that use the GNOME VFS APIs. Package: libgnomevfs2-extra Source: gnome-vfs Version: 1:2.24.4-2 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 504 Depends: gconf-service, libbz2-1.0, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.31.8), libgnomevfs2-0 (>= 1:2.17.90), libgssapi-krb5-2 (>= 1.10+dfsg~), libsmbclient (>= 3.0.24), libxml2 (>= 2.7.4), libgnomevfs2-common (>= 1:2.24), libgnomevfs2-common (<< 1:2.25) Conflicts: libgnomevfs2-0 (<< 1:2.16.0-1), libgnomevfs2-common (<< 2.12.2-7) Priority: optional Section: oldlibs Filename: pool/main/g/gnome-vfs/libgnomevfs2-extra_2.24.4-2_armhf.deb Size: 359024 SHA256: 2e49eedacafd1e2fa2d21169fdb54b1f889040993b855cea8c745edfba86b23e SHA1: 7ea34418b220fb1e1af944174a6f109de8c80452 MD5sum: 039bb5da8730260def9a9fc148a04cd6 Description: GNOME Virtual File System (extra modules) GNOME VFS is the GNOME virtual file system. It is the foundation of the Nautilus file manager. It provides a modular architecture and ships with several modules that implement support for local files, http, ftp and others. It provides an URI-based API, a backend supporting asynchronous file operations, a MIME type manipulation library and other features. . This package contains extra VFS modules for the GNOME Virtual File System. It includes: * the bzip2 module; * the ftp module; * the http module (which also includes support for WebDAV); * the smb module, to browse Windows shares. Package: libgnu-regexp-java Version: 1.1.4-4 Installed-Size: 596 Maintainer: Debian Java Maintainers Architecture: all Depends: libgetopt-java Size: 112980 SHA256: d404e3515e8bf3a0f15cbf90c0d8df435c2626f8fd8f68ddaaf4fd16cec16780 SHA1: bbb27b588ff2adcbfc793011e99184df0e1feb7a MD5sum: f991d6ad3cc32396be37abed11a3cb86 Description: Regular Expressions for Java The gnu-regexp package is a pure-Java implementation of a traditional (non-POSIX) NFA regular expression engine. Its syntax can emulate many popular development tools, including awk, sed, emacs, perl and grep. Homepage: http://savannah.gnu.org/projects/gnu-regexp Tag: devel::lang:java, devel::library, implemented-in::java, role::app-data, suite::gnu, use::searching Section: java Priority: optional Filename: pool/main/libg/libgnu-regexp-java/libgnu-regexp-java_1.1.4-4_all.deb Package: libgnuift0-dev Source: gnuift Version: 0.1.14-12 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 2836 Depends: libgnuift0c2a (= 0.1.14-12), libexpat1-dev, libmagickcore-dev Conflicts: libgnuift-dev Provides: libgnuift-dev Homepage: http://www.gnu.org/software/gift/ Priority: optional Section: libdevel Filename: pool/main/g/gnuift/libgnuift0-dev_0.1.14-12_armhf.deb Size: 517950 SHA256: a7f8c413615a91d61daecb53b2e40b9c68781f433c461262cd8a7ac626e3cb44 SHA1: ef4fc482f7945928ec6627dda20154c033d9a58d MD5sum: a9b195297071f770f3214cfeab5be872 Description: libgnuift development files The GIFT (the GNU Image-Finding Tool) is a Content Based Image Retrieval System (CBIRS). It enables you to do Query By Example on images, giving you the opportunity to improve query results by relevance feedback. For processing your queries the program relies entirely on the content of the images, freeing you from the need to annotate all images before querying the collection. . The GIFT is an open framework. The communication protocol for client-server communication, MRML, is XML based and fully documented (http://www.mrml.net). . To avoid a name clash with the "gift" package (a fasttrack filesharing client), these packages have been named "gnuift" (also to stress that gnuift is a GNU project). Package: libgnuift0c2a Source: gnuift Version: 0.1.14-12 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 841 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libmrml1c2a (>= 0.1.14), libstdc++6 (>= 4.6) Suggests: libgnuift0-dev (= 0.1.14-12), gnuift, gnuift-doc Conflicts: libgnuift0, libgnuift0c2 Replaces: libgnuift0, libgnuift0c2 Homepage: http://www.gnu.org/software/gift/ Priority: optional Section: libs Filename: pool/main/g/gnuift/libgnuift0c2a_0.1.14-12_armhf.deb Size: 259566 SHA256: a92ec44e5231faf3c84517e3f00893199faf0465c80333f3847db6c1bedd33d8 SHA1: b83ebdca23f7bee25ba4b98957d012273119d252 MD5sum: 75166bc13977fb1cebfeef6e50e17ed1 Description: GNU Image Finding Tool - libraries The GIFT (the GNU Image-Finding Tool) is a Content Based Image Retrieval System (CBIRS). It enables you to do Query By Example on images, giving you the opportunity to improve query results by relevance feedback. For processing your queries the program relies entirely on the content of the images, freeing you from the need to annotate all images before querying the collection. . The GIFT is an open framework. The communication protocol for client-server communication, MRML, is XML based and fully documented (http://www.mrml.net). . To avoid a name clash with the "gift" package (a fasttrack filesharing client), these packages have been named "gnuift" (also to stress that gnuift is a GNU project). Package: libgnuinet-java Version: 1.1.2-2 Installed-Size: 3346 Maintainer: Debian Java Maintainers Architecture: all Size: 397404 SHA256: 5bc6f04ea12fb23711b6080bf5edbd212f038599e4b26c0e17943f0574346d0e SHA1: 8a2057bbb1cd9ba336cbd680542cb627cd6a6ced MD5sum: 621c09cee8101447c7f305ab6725d681 Description: extension library to provide extra network protocol support GNU Classpath inetlib is an extension library to provide extra network protocol support for GNU Classpath and ClasspathX project, but it can also used standalone to make adding http, imap, pop3 and smtp client support to applictions. Homepage: http://savannah.gnu.org/projects/classpath Tag: devel::lang:java, devel::library, implemented-in::java, protocol::http, protocol::imap, protocol::pop3, protocol::smtp, role::shared-lib, suite::gnu Section: java Priority: optional Filename: pool/main/libg/libgnuinet-java/libgnuinet-java_1.1.2-2_all.deb Package: libgnujaf-java Version: 1.1.1-8 Installed-Size: 91 Maintainer: Debian Java Maintainers Architecture: all Size: 35534 SHA256: 0c82a37c0d6c4eb58047380e8b73c2f35ca2584626b065513d3e19bc3606a7ad SHA1: 1f2a8e62a40751c7acb2f299833b3ac6eb29e1fb MD5sum: c5b4c5d24768df9f1ebda56b905c4249 Description: free implementation of the javabeans activation framework With the javabeans activation framework developer can take advantage of standard services to determine the type of an arbitrary piece of data, encapsulate accesss to it, discover operations available and instanciate the appropriate bean to perform operations. . This is the classpathx free implementation of the library Homepage: http://savannah.gnu.org/projects/classpathx Tag: devel::lang:java, devel::library, implemented-in::java, suite::gnu Section: java Priority: optional Filename: pool/main/libg/libgnujaf-java/libgnujaf-java_1.1.1-8_all.deb Package: libgnujaf-java-doc Source: libgnujaf-java Version: 1.1.1-8 Installed-Size: 483 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc Size: 38108 SHA256: ee12bbbf84f3d32cbccf9e09f767395b860cf144153e2ae1baa0ba257f6b7866 SHA1: e47f91b609c485b1a67f1625b7111a24967824e6 MD5sum: 7f76eb47600d282dd1c2407b4a356ec6 Description: free implementation of the javabeans activation framework (docs) With the javabeans activation framework developer can take advantage of standard services to determine the type of an arbitrary piece of data, encapsulate accesss to it, discover operations available and instanciate the appropriate bean to perform operations. . This package contains the javadoc for the classpathx free implementation of the library Homepage: http://savannah.gnu.org/projects/classpathx Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libgnujaf-java/libgnujaf-java-doc_1.1.1-8_all.deb Package: libgnumail-java Version: 1.1.2-7 Installed-Size: 358 Maintainer: Debian Java Maintainers Architecture: all Depends: libgnujaf-java, libgnuinet-java Suggests: libgnumail-java-doc Size: 287360 SHA256: 90907ec6f4fd18cb6773e475c3d44816273dc0288576a45ef62be36466b8648c SHA1: dc6afebfb40b834db48740f84ea5e5b3922fac0a MD5sum: 770d13f010315680e855cab10202c7d5 Description: free implementation of the javamail API The javamail API provides abstract classes that model a mail system. With the GNU free implementation of the javamail API, you can send and read messages using SMTP, IMAP4, POP3, mbox, Maildir and NNTP Homepage: http://savannah.gnu.org/projects/classpathx Tag: devel::lang:java, devel::library, implemented-in::java, mail::imap, mail::pop, mail::smtp, network::client, protocol::imap, protocol::pop3, protocol::smtp, role::shared-lib, suite::gnu, works-with::mail Section: java Priority: optional Filename: pool/main/libg/libgnumail-java/libgnumail-java_1.1.2-7_all.deb Package: libgnumail-java-doc Source: libgnumail-java Version: 1.1.2-7 Installed-Size: 5843 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc, libgnujaf-java-doc, libgnuinet-java Suggests: libgnumail-java Size: 398024 SHA256: c77abae82de459cde1160806c9534184b67b4b7e5f7c7cf27baf97996131abda SHA1: 4467e5c0758b93e2962b3ec5c65125196b5484e5 MD5sum: 97e6dffcd3c6635a5ab85cfafc8dd0cd Description: free implementation of the javamail API (Javadocs) The javamail API provides abstract classes that model a mail system. With the GNU free implementation of the javamail API, you can send and read messages using SMTP, IMAP4, POP3, mbox, Maildir and NNTP. . This package provides the documentation for libgnumail-java Homepage: http://savannah.gnu.org/projects/classpathx Tag: devel::doc, devel::lang:java, made-of::html, role::documentation, suite::gnu Section: doc Priority: optional Filename: pool/main/libg/libgnumail-java/libgnumail-java-doc_1.1.2-7_all.deb Package: libgnupg-interface-perl Version: 0.45-1 Installed-Size: 172 Maintainer: Debian Perl Group Architecture: all Depends: perl, gnupg | gnupg2, libany-moose-perl Size: 75668 SHA256: d05684d180bda23f1ca6d9ec5e66415de44f0fc7e04b49acf5b486c67a979e6d SHA1: 41e6113bfa68ac2f4dfeca9d582486ca60478de2 MD5sum: 3f0fc1b79b667b5a1a2f1aaa1b501284 Description: Perl interface to GnuPG GnuPG::Interface and its associated modules are designed to provide an object-oriented method for interacting with GnuPG, being able to perform functions such as but not limited to encrypting, signing, decryption, verification, and key-listing parsing. Homepage: http://search.cpan.org/dist/GnuPG-Interface/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::cryptography, suite::gnu Section: perl Priority: optional Filename: pool/main/libg/libgnupg-interface-perl/libgnupg-interface-perl_0.45-1_all.deb Package: libgnupg-perl Version: 0.19-1 Installed-Size: 125 Maintainer: Debian Perl Group Architecture: all Depends: perl, gnupg Size: 36392 SHA256: 8a3948430caf26fa3e792f5f1f1d1132734589491e0800f53062fc602d562837 SHA1: 2164ab3617deb1d003812370c8f47748ac12fce5 MD5sum: 6110d95915d62e58c415168e5395f766 Description: interface to GnuPG using its coprocess interface GnuPG is a Perl module that provides a limited programmatic interface to the GNU Privacy Guard program. It uses the coprocess hook system provided by gpg and communicates using shared memory. It attempts to map the interactive interface offered by the gpg command-line tool to a more programmatic API. Homepage: http://search.cpan.org/dist/GnuPG/ Tag: devel::lang:perl, devel::library, implemented-in::perl, security::cryptography, suite::gnu Section: perl Priority: optional Filename: pool/main/libg/libgnupg-perl/libgnupg-perl_0.19-1_all.deb Package: libgnuplot-ocaml-dev Source: ocaml-gnuplot Version: 0.8.3-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 611 Depends: ocaml-nox-3.12.1 Provides: libgnuplot-ocaml-dev-wkrg0 Homepage: http://sourceforge.net/projects/ocaml-gnuplot/ Priority: extra Section: ocaml Filename: pool/main/o/ocaml-gnuplot/libgnuplot-ocaml-dev_0.8.3-3_armhf.deb Size: 79076 SHA256: ffde729a129f6596da804c51cfb4fa7c58ab9b32873019b3f34fc1812f7bf8ec SHA1: c8143472ed6f2413d5bf80d81803e15fd2f130af MD5sum: 8b55b66e4aabfaa96a71f4ab19e732cc Description: OCaml interface to the gnuplot utility Gnuplot is a portable command-line driven interactive data and function plotting utility that supports different output formats. This package provides an interface to Gnuplot from the Objective CAML programming language. All functions talk to gnuplot through a pipe, so crude animations are possible. Package: libgnuplot-ruby Source: ruby-gnuplot Version: 2.4.1-2 Installed-Size: 29 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gnuplot Size: 4820 SHA256: f89299aafd7e02118be8b09a057443c6665eff2f08269efaef32faa415d3fbfc SHA1: c3f519297c0460715d1942698db632cc67b9ddd1 MD5sum: 78e487a2a78782c93489385169c8ef6b Description: Transitional package for ruby-gnuplot This is a transitional package to ease upgrades to the ruby-gnuplot package. It can safely be removed. Homepage: https://github.com/rdp/ruby_gnuplot Tag: devel::lang:ruby Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gnuplot/libgnuplot-ruby_2.4.1-2_all.deb Package: libgnuplot-ruby1.8 Source: ruby-gnuplot Version: 2.4.1-2 Installed-Size: 29 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gnuplot Size: 4828 SHA256: 13d5d2fa62676bb29e48795c91f5e195ecaabc1d0a8fc6276525458ad53ffe1d SHA1: f7ec79e18e24b62d4c3b4a7a699c15abcfe729f0 MD5sum: 6fc82397bffe465fa5b246d52c37fd2a Description: Transitional package for ruby-gnuplot This is a transitional package to ease upgrades to the ruby-gnuplot package. It can safely be removed. Homepage: https://github.com/rdp/ruby_gnuplot Tag: role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gnuplot/libgnuplot-ruby1.8_2.4.1-2_all.deb Package: libgnuspool-dev Source: gnuspool Version: 1.7 Architecture: armhf Maintainer: John M Collins Installed-Size: 177 Depends: libgnuspool0 (= 1.7) Homepage: http://www.gnu.org/software/gnuspool Priority: optional Section: libdevel Filename: pool/main/g/gnuspool/libgnuspool-dev_1.7_armhf.deb Size: 92962 SHA256: be43ee24f26a3e7b67b5f0d232d924e26cb374bdfa0d0a3df9d43633ebb2f78c SHA1: af29c0d2b3c12d40b6353387dea71b8ca40fce7f MD5sum: 9440596fe4718922b3fa03f89d3cacf0 Description: API development library for GNUspool Consists of static API library and include files Package: libgnuspool0 Source: gnuspool Version: 1.7 Architecture: armhf Maintainer: John M Collins Installed-Size: 51 Depends: libc6 (>= 2.13-28), gnuspool (= 1.7) Homepage: http://www.gnu.org/software/gnuspool Priority: optional Section: net Filename: pool/main/g/gnuspool/libgnuspool0_1.7_armhf.deb Size: 10480 SHA256: 16a3059d0624a1786563dd3e32ed243fc1746d33b68970004717fb7e07597a1c SHA1: aa44626cc3295552ced5ec29a445b8649e98f7f4 MD5sum: 9ea81f00cc6af1602b04a74d33c0533d Description: API development library for GNUspool Consists of the shared API library Package: libgnustep-base-dev Source: gnustep-base Version: 1.22.1-4+deb7u1 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 7849 Depends: libgnustep-base1.22 (= 1.22.1-4+deb7u1), gnustep-base-common, gnustep-base-runtime (>= 1.22.1-4+deb7u1), gnustep-make (>= 2.6.1), gnustep-fslayout-fhs Homepage: http://gnustep.org Priority: optional Section: libdevel Filename: pool/main/g/gnustep-base/libgnustep-base-dev_1.22.1-4+deb7u1_armhf.deb Size: 2209432 SHA256: 9fa375cd2445c91e4e32d158fe87f7c53e8c828b33a1ca7e3c520e8f07d9e15e SHA1: 16920f8234cbce71f4c9a0bdbf7384290b2550ed MD5sum: 492df6c591c55d7f22d1d86f559729b4 Description: GNUstep Base header files and development libraries This package contains the header files and static libraries required to build applications against the GNUstep Base library. . Install this package if you wish to develop your own programs using the GNUstep Base Library. Package: libgnustep-base1.22 Source: gnustep-base Version: 1.22.1-4+deb7u1 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 4177 Depends: gnustep-base-common (>= 1.22.1-4+deb7u1), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libicu48 (>= 4.8-1), libobjc3 (>= 4.6), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), zlib1g (>= 1:1.2.3.3), gnustep-fslayout-fhs Recommends: gnustep-base-runtime Homepage: http://gnustep.org Priority: optional Section: libs Filename: pool/main/g/gnustep-base/libgnustep-base1.22_1.22.1-4+deb7u1_armhf.deb Size: 1279694 SHA256: ca17bf05e586ef977cf8e970afbd4aeac469cf387d3eea16a7b1bb763bede9e4 SHA1: 60494fd5646524ff75fb0fcd4ac4daa2957c47bf MD5sum: 979fe4fcd9c35dea6417345b54556fb1 Description: GNUstep Base library The GNUstep Base Library is a powerful fast library of general-purpose, non-graphical Objective C classes, inspired by the OpenStep API but implementing Apple and GNU additions to the API as well. It includes for example classes for unicode strings, arrays, dictionaries, sets, byte streams, typed coders, invocations, notifications, notification dispatchers, scanners, tasks, files, networking, threading, remote object messaging support (distributed objects), event loops, loadable bundles, attributed unicode strings, xml, mime, user defaults. Package: libgnustep-base1.22-dbg Source: gnustep-base Version: 1.22.1-4+deb7u1 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 6227 Depends: gnustep-base-common, libgnustep-base1.22 (= 1.22.1-4+deb7u1), gnustep-base-runtime (= 1.22.1-4+deb7u1), gnustep-fslayout-fhs Recommends: libobjc4-dbg | libobjc3-dbg Conflicts: libgnustep-base1.20-dbg Homepage: http://gnustep.org Priority: extra Section: debug Filename: pool/main/g/gnustep-base/libgnustep-base1.22-dbg_1.22.1-4+deb7u1_armhf.deb Size: 2236080 SHA256: cbfd5993877241d13824684d09fa927774695efb587cf444f88607b4f4af9656 SHA1: 95f18ad8a6c3da758148582e6197b0eb3f715336 MD5sum: 003919d40d592889ef91ff7d5fdfb09d Description: GNUstep Base library - debugging symbols This package contains the debigging symbols of the GNUstep Base Library. This package can be used to provide symbol names to a debugger to aid debugging. Package: libgnustep-dl2-0d Source: gnustep-dl2 Version: 0.12.0-9+nmu1 Architecture: armhf Maintainer: Federico Gimenez Nieto Installed-Size: 1750 Depends: gnustep-dl2-postgresql-adaptor | gnustep-dl2-sqlite-adaptor, gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.6) Conflicts: libgnustep-dl2-0 Replaces: gnustep-dl2 (<< 0.12) Homepage: http://www.gnustep.org/experience/GDL2.html Priority: optional Section: libs Filename: pool/main/g/gnustep-dl2/libgnustep-dl2-0d_0.12.0-9+nmu1_armhf.deb Size: 679828 SHA256: 6db8b142c386a7b3d206624133447c1db48b935bea516b401fc51197ff9ab237 SHA1: b75cce4f938fd4f9c02918e73c8446a1c43cdab5 MD5sum: 02babbdebce74342ed0d2e7d7decada7 Description: bundle of runtime libraries for gnustep-dl2 This package includes the EOAccess, EOInterface and EOControl runtime libraries. . GNUstep Database Library Version 2 is needed by GNUstep applications that communicate with databases. It's an implementation of Apple's Enterprise Objects Framework (EOF) v 3.0 (from NeXT), and Apple's WebObjects 4.5 interface. Package: libgnustep-dl2-dev Source: gnustep-dl2 Version: 0.12.0-9+nmu1 Architecture: armhf Maintainer: Federico Gimenez Nieto Installed-Size: 1947 Depends: libgnustep-dl2-0d (= 0.12.0-9+nmu1), libgnustep-gui-dev Replaces: gnustep-dl2 (<< 0.12) Homepage: http://www.gnustep.org/experience/GDL2.html Priority: optional Section: libdevel Filename: pool/main/g/gnustep-dl2/libgnustep-dl2-dev_0.12.0-9+nmu1_armhf.deb Size: 245020 SHA256: 001b404afbd67cae56e3b16e6ef67336aef1c6a42b8b9e4c2ee339cb39c157af SHA1: 329dd92943bb1b75346eca6dda85c2db52bd5739 MD5sum: 37cafd826a3ada27c1ef6655876c53b2 Description: development files for gnustep-dl2 runtime libraries Beside the headers and so symlinks for EOAccess, EOInterface and EOControl libraries, the package includes the general gdl2.make . GNUstep Database Library Version 2 is needed by GNUstep applications that communicate with databases. It's an implementation of Apple's Enterprise Objects Framework (EOF) v 3.0 (from NeXT), and Apple's WebObjects 4.5 interface. Package: libgnustep-gui-dev Source: gnustep-gui Version: 0.20.0-3 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 8260 Depends: libgnustep-gui0.20 (= 0.20.0-3), gnustep-gui-common, libgnustep-base-dev (>= 1.22.1), gnustep-gui-runtime (>= 0.20.0), gnustep-fslayout-fhs Suggests: gnustep-gui-doc Homepage: http://gnustep.org Priority: optional Section: libdevel Filename: pool/main/g/gnustep-gui/libgnustep-gui-dev_0.20.0-3_armhf.deb Size: 2557040 SHA256: 9047c74c7881d19f7e67814053c7928787c2c88079b4654493fe77dd6850a1dd SHA1: 3764a993a9f2d7dad675390f8d74150ce4c16479 MD5sum: 4452d091ee6080a72767523439d54042 Description: GNUstep GUI header files and static libraries The GNUstep GUI Library is a powerful library of graphical user interface classes written completely in the Objective-C language; the classes are based upon the OpenStep specification, and provide the user with a traditional nextstep-like look and feel. . This package contains the header files and static libraries required to build applications against the GNUstep GUI library. . Install this package if you wish to develop your own programs using the GNUstep GUI Library. Package: libgnustep-gui0.20 Source: gnustep-gui Version: 0.20.0-3 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 3894 Depends: gnustep-gui-common (>= 0.20.0-3), gnustep-base-runtime (>= 1.22.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgif4 (>= 4.1.4), libgnustep-base1.22 (>= 1.22.1), libjpeg8 (>= 8c), libobjc3 (>= 4.6), libpng12-0 (>= 1.2.13-4), libtiff4 (>> 3.9.5-3~), gnustep-fslayout-fhs Homepage: http://gnustep.org Priority: optional Section: libs Filename: pool/main/g/gnustep-gui/libgnustep-gui0.20_0.20.0-3_armhf.deb Size: 1405994 SHA256: bfb2ee774ec677d2ea173106e8074e72d1a72f52f4ca1ced86c55bc72ccd6d55 SHA1: dae4e463621128a63b86734ee5ae6ffe5b9a79a1 MD5sum: dccbf64f4b9e537262119edc0d4238b0 Description: GNUstep GUI Library The GNUstep GUI library is a powerful library of graphical user interface classes written completely in the Objective-C language; the classes are based upon the OpenStep specification, and provide the user with a traditional nextstep-like look and feel. The classes include graphical objects such as windows, menus, buttons, text fields, popup lists, browsers, scrollviews, splitviews, fonts, colors, images, events, pasteboards... You need the corresponding backend library package (gnustep-back) to use this package. Package: libgnustep-gui0.20-dbg Source: gnustep-gui Version: 0.20.0-3 Architecture: armhf Maintainer: Debian GNUstep maintainers Installed-Size: 7673 Depends: gnustep-gui-common, gnustep-gui-runtime (= 0.20.0-3), libgnustep-gui0.20 (= 0.20.0-3), gnustep-fslayout-fhs Recommends: libgnustep-base1.22-dbg Conflicts: libgnustep-gui0.18-dbg Homepage: http://gnustep.org Priority: extra Section: debug Filename: pool/main/g/gnustep-gui/libgnustep-gui0.20-dbg_0.20.0-3_armhf.deb Size: 2854754 SHA256: e940ff57177ab851dcb8d645c17c8d086b0a1b131d61026856d81b358624ae11 SHA1: f8c2f6c32917c46b87643dd0ca48b6c0bc9f7f25 MD5sum: 1b73dc83d39298d0b9beb63b179d7fda Description: GNUstep GUI Library - debugging symbols The GNUstep GUI Library is a powerful library of graphical user interface classes written completely in the Objective-C language; the classes are based upon the OpenStep specification, and provide the user with a traditional nextstep-like look and feel. . This package contains the debugging symbols for the GNUstep GUI library. Package: libgnutls-dev Source: gnutls26 Version: 2.12.20-8+deb7u3 Architecture: armhf Maintainer: Debian GnuTLS Maintainers Installed-Size: 1746 Depends: libgnutls26 (= 2.12.20-8+deb7u3), libgnutlsxx27 (= 2.12.20-8+deb7u3), libgnutls-openssl27 (= 2.12.20-8+deb7u3), libgcrypt11-dev (>= 1.4.0), libc6-dev | libc-dev, zlib1g-dev, libtasn1-3-dev (>= 0.3.4), libp11-kit-dev (>= 0.4) Suggests: gnutls26-doc Conflicts: gnutls-dev Replaces: gnutls-dev Provides: gnutls-dev Homepage: http://www.gnutls.org/ Priority: optional Section: libdevel Filename: pool/main/g/gnutls26/libgnutls-dev_2.12.20-8+deb7u3_armhf.deb Size: 700034 SHA256: b1c9ff90aed2cdb6bbd4831cb9585d61cac8297295d0c18c305143b4e4122d7a SHA1: 1b5d50dc71129fc764dfdfe4abb1f373dd79aa35 MD5sum: 0747ac2c352c489d746710898aa5c95d Description: GNU TLS library - development files GnuTLS is a portable library which implements the Transport Layer Security (TLS 1.0, 1.1, 1.2) and Secure Sockets Layer (SSL) 3.0 protocols. . GnuTLS features support for: - TLS extensions: server name indication, max record size, opaque PRF input, etc. - authentication using the SRP protocol. - authentication using both X.509 certificates and OpenPGP keys. - TLS Pre-Shared-Keys (PSK) extension. - Inner Application (TLS/IA) extension. - X.509 and OpenPGP certificate handling. - X.509 Proxy Certificates (RFC 3820). - all the strong encryption algorithms (including SHA-256/384/512 and Camellia (RFC 4132)). . This package contains the GnuTLS development files. Package: libgnutls-openssl27 Source: gnutls26 Version: 2.12.20-8+deb7u3 Architecture: armhf Maintainer: Debian GnuTLS Maintainers Installed-Size: 265 Pre-Depends: multiarch-support Depends: libgnutls26 (= 2.12.20-8+deb7u3), libc6 (>= 2.13-28), libp11-kit0 (>= 0.11), libtasn1-3 (>= 1.6-0) Multi-Arch: same Homepage: http://www.gnutls.org/ Priority: standard Section: libs Filename: pool/main/g/gnutls26/libgnutls-openssl27_2.12.20-8+deb7u3_armhf.deb Size: 218004 SHA256: eb896056c974afb1dc838c94d5aa61802312a107b9b01721a1ae6f1a1f710682 SHA1: f38790e73a9f76fb54034415da3d533339253f1a MD5sum: fe1c42c2ca983ee2682493da525128e8 Description: GNU TLS library - OpenSSL wrapper GnuTLS is a portable library which implements the Transport Layer Security (TLS 1.0, 1.1, 1.2) and Secure Sockets Layer (SSL) 3.0 protocols. . GnuTLS features support for: - TLS extensions: server name indication, max record size, opaque PRF input, etc. - authentication using the SRP protocol. - authentication using both X.509 certificates and OpenPGP keys. - TLS Pre-Shared-Keys (PSK) extension. - Inner Application (TLS/IA) extension. - X.509 and OpenPGP certificate handling. - X.509 Proxy Certificates (RFC 3820). - all the strong encryption algorithms (including SHA-256/384/512 and Camellia (RFC 4132)). . This package contains the runtime library of the GnuTLS OpenSSL wrapper. Package: libgnutls26 Source: gnutls26 Version: 2.12.20-8+deb7u3 Architecture: armhf Maintainer: Debian GnuTLS Maintainers Installed-Size: 1311 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libp11-kit0 (>= 0.11), libtasn1-3 (>= 1.6-0), zlib1g (>= 1:1.1.4) Conflicts: gnutls0, gnutls0.4 Breaks: ccbuild (<= 2.0.1-1), csync2 (<= 1.34-2.2), freewheeling (<= 0.6-1.1), gkrellm (<= 2.3.4-1), libsoup2.4-1 (= 2.31.2-1), libsoup2.4-1 (<= 2.30.1-1), macopix-gtk2 (<= 1.7.4-3), pokerth (<= 0.8.3-3+b1), pokerth-server (<= 0.8.3-3+b1), sipsak (<= 0.9.6-2.1+b1), slrn (<= 1.0.0~pre18-1.1), slrnpull (<= 1.0.0~pre18-1.1), snowdrop (<= 0.02b-9), ssmtp (<= 2.64-4), tf5 (<= 5.0beta8-4), wput (<= 0.6.2-2), zoneminder (<= 1.24.4-1) Replaces: gnutls0, gnutls0.4, gnutls3 Multi-Arch: same Homepage: http://www.gnutls.org/ Priority: standard Section: libs Filename: pool/main/g/gnutls26/libgnutls26_2.12.20-8+deb7u3_armhf.deb Size: 601620 SHA256: af3e5eccefa2f9c494e31a66fff01729a8c490c310cfd005000f85578596071a SHA1: b6d363150abe2f75c3b377ec81b1a8e81020df27 MD5sum: d5913ddeb3d04853f47aae5c8758449e Description: GNU TLS library - runtime library GnuTLS is a portable library which implements the Transport Layer Security (TLS 1.0, 1.1, 1.2) and Secure Sockets Layer (SSL) 3.0 protocols. . GnuTLS features support for: - TLS extensions: server name indication, max record size, opaque PRF input, etc. - authentication using the SRP protocol. - authentication using both X.509 certificates and OpenPGP keys. - TLS Pre-Shared-Keys (PSK) extension. - Inner Application (TLS/IA) extension. - X.509 and OpenPGP certificate handling. - X.509 Proxy Certificates (RFC 3820). - all the strong encryption algorithms (including SHA-256/384/512 and Camellia (RFC 4132)). . This package contains the runtime libraries. Package: libgnutls26-dbg Source: gnutls26 Version: 2.12.20-8+deb7u3 Architecture: armhf Maintainer: Debian GnuTLS Maintainers Installed-Size: 3247 Depends: libgnutls26 (= 2.12.20-8+deb7u3) Conflicts: libgnutls13-dbg, libgnutls28-dbg Homepage: http://www.gnutls.org/ Priority: extra Section: debug Filename: pool/main/g/gnutls26/libgnutls26-dbg_2.12.20-8+deb7u3_armhf.deb Size: 1344626 SHA256: bf9d42bcc7ec5900d2e8fd095f27deded48c2e3307773b830fc7f38dff68c56b SHA1: 8fc0c5695bf0d8d34d576aafea17c8e5fd67b852 MD5sum: f22d16ba0144e97021b750d1f233c6c7 Description: GNU TLS library - debugger symbols GnuTLS is a portable library which implements the Transport Layer Security (TLS 1.0, 1.1, 1.2) and Secure Sockets Layer (SSL) 3.0 protocols. . This package contains the debugger symbols. Package: libgnutls28 Source: gnutls28 Version: 3.0.20-3 Architecture: armhf Maintainer: Debian GnuTLS Maintainers Installed-Size: 1553 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgmp10, libhogweed2, libnettle4 (>= 2.2), libp11-kit0 (>= 0.11), libtasn1-3 (>= 1.6-0), zlib1g (>= 1:1.1.4) Suggests: gnutls-bin Conflicts: gnutls0, gnutls0.4 Replaces: gnutls0, gnutls0.4, gnutls3 Multi-Arch: same Homepage: http://www.gnutls.org/ Priority: standard Section: libs Filename: pool/main/g/gnutls28/libgnutls28_3.0.20-3_armhf.deb Size: 678406 SHA256: 02e6b3e802f6da9eca8f075ae873186a8d8a86844b3799e3b6fb1a80b8cbada5 SHA1: c6cb023c244eed0ebf750f006d372dc8e6ae97c0 MD5sum: b1e18abec6396bbae7cec54e3fce3165 Description: GNU TLS library - main runtime library GnuTLS is a portable library which implements the Transport Layer Security (TLS 1.0, 1.1, 1.2) and Secure Sockets Layer (SSL) 3.0 protocols. . GnuTLS features support for: - TLS extensions: server name indication, max record size, opaque PRF input, etc. - authentication using the SRP protocol. - authentication using both X.509 certificates and OpenPGP keys. - TLS Pre-Shared-Keys (PSK) extension. - Inner Application (TLS/IA) extension. - X.509 and OpenPGP certificate handling. - X.509 Proxy Certificates (RFC 3820). - all the strong encryption algorithms (including SHA-256/384/512 and Camellia (RFC 4132)). . This package contains the main runtime library. Package: libgnutls28-dbg Source: gnutls28 Version: 3.0.20-3 Architecture: armhf Maintainer: Debian GnuTLS Maintainers Installed-Size: 4281 Depends: libgnutls28 (= 3.0.20-3) Conflicts: libgnutls13-dbg, libgnutls26-dbg Homepage: http://www.gnutls.org/ Priority: extra Section: debug Filename: pool/main/g/gnutls28/libgnutls28-dbg_3.0.20-3_armhf.deb Size: 1730008 SHA256: 5a2690aca05b66a8723c37ea24257e82d5fcce721a61e60635015999bb50d377 SHA1: 9393eb54b6e8dd3208dbde2c4e20e3fa67750c10 MD5sum: 704a443f2c712124c3fa05e04df1cda9 Description: GNU TLS library - debugger symbols GnuTLS is a portable library which implements the Transport Layer Security (TLS 1.0, 1.1, 1.2) and Secure Sockets Layer (SSL) 3.0 protocols. . This package contains the debugger symbols. Package: libgnutls28-dev Source: gnutls28 Version: 3.0.20-3 Architecture: armhf Maintainer: Debian GnuTLS Maintainers Installed-Size: 1984 Depends: libgnutls28 (= 3.0.20-3), libgnutlsxx28 (= 3.0.20-3), nettle-dev (>= 2.2), libc6-dev | libc-dev, zlib1g-dev, libtasn1-3-dev (>= 0.3.4), libp11-kit-dev (>= 0.11) Suggests: gnutls-doc, gnutls-bin, guile-gnutls Conflicts: gnutls-dev Replaces: gnutls-dev Provides: gnutls-dev Homepage: http://www.gnutls.org/ Priority: optional Section: libdevel Filename: pool/main/g/gnutls28/libgnutls28-dev_3.0.20-3_armhf.deb Size: 770472 SHA256: 67c761763ab3d5617e3fad059205c0e572fa996e6e711641131da94cbf476be3 SHA1: 47b91d5e630fbb797c73325a2eccbe71e8a9eca6 MD5sum: 2cc9531d95ee78acd07f36c5ea009cf0 Description: GNU TLS library - development files GnuTLS is a portable library which implements the Transport Layer Security (TLS 1.0, 1.1, 1.2) and Secure Sockets Layer (SSL) 3.0 protocols. . GnuTLS features support for: - TLS extensions: server name indication, max record size, opaque PRF input, etc. - authentication using the SRP protocol. - authentication using both X.509 certificates and OpenPGP keys. - TLS Pre-Shared-Keys (PSK) extension. - Inner Application (TLS/IA) extension. - X.509 and OpenPGP certificate handling. - X.509 Proxy Certificates (RFC 3820). - all the strong encryption algorithms (including SHA-256/384/512 and Camellia (RFC 4132)). . This package contains the GnuTLS development files. Package: libgnutlsxx27 Source: gnutls26 Version: 2.12.20-8+deb7u3 Architecture: armhf Maintainer: Debian GnuTLS Maintainers Installed-Size: 282 Pre-Depends: multiarch-support Depends: libgnutls26 (= 2.12.20-8+deb7u3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libp11-kit0 (>= 0.11), libstdc++6 (>= 4.3.0) Multi-Arch: same Homepage: http://www.gnutls.org/ Priority: extra Section: libs Filename: pool/main/g/gnutls26/libgnutlsxx27_2.12.20-8+deb7u3_armhf.deb Size: 219260 SHA256: 08d000a780ecdd0610357b2f04d3e62b561be15b4d4619e762357a57740b17cc SHA1: ec941e656e497ad5a70ec407667e0f1a7bd980de MD5sum: 99ca6659fa5abbb12678a019136f4014 Description: GNU TLS library - C++ runtime library GnuTLS is a portable library which implements the Transport Layer Security (TLS 1.0, 1.1, 1.2) and Secure Sockets Layer (SSL) 3.0 protocols. . GnuTLS features support for: - TLS extensions: server name indication, max record size, opaque PRF input, etc. - authentication using the SRP protocol. - authentication using both X.509 certificates and OpenPGP keys. - TLS Pre-Shared-Keys (PSK) extension. - Inner Application (TLS/IA) extension. - X.509 and OpenPGP certificate handling. - X.509 Proxy Certificates (RFC 3820). - all the strong encryption algorithms (including SHA-256/384/512 and Camellia (RFC 4132)). . This package contains the C++ runtime libraries. Package: libgnutlsxx28 Source: gnutls28 Version: 3.0.20-3 Architecture: armhf Maintainer: Debian GnuTLS Maintainers Installed-Size: 79 Pre-Depends: multiarch-support Depends: libgnutls28 (= 3.0.20-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Multi-Arch: same Homepage: http://www.gnutls.org/ Priority: extra Section: libs Filename: pool/main/g/gnutls28/libgnutlsxx28_3.0.20-3_armhf.deb Size: 16628 SHA256: 0619515ecc28c6ffa9b297556d658c837599632a82e2a9acf7b8788a82ce0dd2 SHA1: eb3294f8e93b7c114a6992c8507ba1fdb336a972 MD5sum: 5a93f43dbca2916f46219da0dc65bf99 Description: GNU TLS library - C++ runtime library GnuTLS is a portable library which implements the Transport Layer Security (TLS 1.0, 1.1, 1.2) and Secure Sockets Layer (SSL) 3.0 protocols. . GnuTLS features support for: - TLS extensions: server name indication, max record size, opaque PRF input, etc. - authentication using the SRP protocol. - authentication using both X.509 certificates and OpenPGP keys. - TLS Pre-Shared-Keys (PSK) extension. - Inner Application (TLS/IA) extension. - X.509 and OpenPGP certificate handling. - X.509 Proxy Certificates (RFC 3820). - all the strong encryption algorithms (including SHA-256/384/512 and Camellia (RFC 4132)). . This package contains the C++ runtime libraries. Package: libgo-perl Version: 0.13-3 Installed-Size: 944 Maintainer: Debian Med Packaging Team Architecture: all Depends: perl, perl-modules, libdata-stag-perl, libgraphviz-perl Recommends: libxml-libxml-perl, libxml-libxslt-perl, libxml-writer-perl, libxml-checker-perl, xsltproc Suggests: bioperl Size: 358448 SHA256: 16c438fb160bb8bc0f0b379357d536c501f42660fc9ea4a9ee58e5e7a2697983 SHA1: 5079e1a7ab33b506df54c2b4b19e089fe8713852 MD5sum: 8d69faa10bd36fb46fffcdec00c4afe7 Description: perl modules for GO and other OBO ontologies This is a collection of perl code for dealing with Gene Ontologies (GO) and Open Biomedical Ontologies (OBO) style ontologies. It is part of the ‘go-dev’ distribution, but this Debian package is made from the CPAN archive. This package contains both scripts (which can be used with no knowledge of perl), and libraries which will be of use to perl programmers using GO or OBO. Homepage: http://geneontology.sourceforge.net/ Tag: field::biology, field::biology:bioinformatics, implemented-in::perl, interface::commandline, role::devel-lib, role::program, scope::utility, use::analysing, use::converting, works-with-format::plaintext, works-with-format::xml, works-with::TODO Section: perl Priority: optional Filename: pool/main/libg/libgo-perl/libgo-perl_0.13-3_all.deb Package: libgo0 Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 9157 Pre-Depends: multiarch-support Depends: gcc-4.7-base (= 4.7.2-5+rpi1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Provides: libgo0-armhf Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gcc-4.7/libgo0_4.7.2-5+rpi1_armhf.deb Size: 2826416 SHA256: 017c95443b53f4e260e0c4a9d955df1d14eaf9eee6d6125f0adf34f959f2cbb1 SHA1: 9c1a97677aa65f9c8ff697923e5b1c28ebc8c271 MD5sum: ace24c468e0d70ed409e48a6dc063b54 Description: Runtime library for GNU Go applications Library needed for GNU Go applications linked against the shared library. Package: libgo0-dbg Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 9473 Depends: gcc-4.7-base (= 4.7.2-5+rpi1), libgo0 (= 4.7.2-5+rpi1) Provides: libgo0-dbg-armhf Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: extra Section: debug Filename: pool/main/g/gcc-4.7/libgo0-dbg_4.7.2-5+rpi1_armhf.deb Size: 3271250 SHA256: 35f7c175d79cf457f50b78156ba31f0d8a6d0871862853449c51cd924166a63e SHA1: 7d4fd7905a64a9b950fafac9f858e1fe79d69493 MD5sum: cf08a8b7da76c848a9a424325450ac3f Description: Runtime library for GNU Go applications (debug symbols) Library needed for GNU Go applications linked against the shared library. Package: libgoa-1.0-0 Source: gnome-online-accounts Version: 3.4.2-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 268 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome-keyring0 (>= 2.22.2), libgtk-3-0 (>= 3.0.0), libjavascriptcoregtk-3.0-0 (>= 1.5.1), libjson-glib-1.0-0 (>= 0.12.0), libpango1.0-0 (>= 1.14.0), librest-0.7-0 (>= 0.7), libsoup-gnome2.4-1 (>= 2.27.4), libsoup2.4-1 (>= 2.32.2), libwebkitgtk-3.0-0 (>= 1.3.10), libxml2 (>= 2.6.27), libgoa-1.0-common (= 3.4.2-2) Multi-Arch: same Homepage: https://live.gnome.org/OnlineAccounts Priority: optional Section: libs Filename: pool/main/g/gnome-online-accounts/libgoa-1.0-0_3.4.2-2_armhf.deb Size: 61756 SHA256: 55049895af72874f8ec81d1cdf421351b0bb75bd8aada98056b33b6dafb23243 SHA1: 421d10743c070e858534a786bc91e011f06e612b MD5sum: 1305b7dd0f6fc1956c822a834ec2d9f4 Description: library for GNOME Online Accounts This package contains the GNOME Online Accounts service, which provides a centralized place for managing online accounts (Google, etc) for the GNOME desktop. . This package contains the libraries used by GOA applications. Package: libgoa-1.0-common Source: gnome-online-accounts Version: 3.4.2-2 Installed-Size: 836 Maintainer: Debian GNOME Maintainers Architecture: all Size: 57270 SHA256: 5b7dafad49b44d659303e1e356f8d0eb93c95d9c61862c699924d18d2b95b0fc SHA1: 222038a84bce14d19d96aaead5a1c23f18c1d39b MD5sum: 706a9b0e1c84e349cea73bb1ffdb07b4 Description: library for GNOME Online Accounts - common files This package contains the GNOME Online Accounts service, which provides a centralized place for managing online accounts (Google, etc) for the GNOME desktop. . This package contains the translation files for the GOA library and daemon. Multi-Arch: foreign Homepage: https://live.gnome.org/OnlineAccounts Section: libs Priority: optional Filename: pool/main/g/gnome-online-accounts/libgoa-1.0-common_3.4.2-2_all.deb Package: libgoa-1.0-dev Source: gnome-online-accounts Version: 3.4.2-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 515 Depends: libgoa-1.0-0 (= 3.4.2-2), libglib2.0-dev, gir1.2-goa-1.0 (= 3.4.2-2) Suggests: libgoa-1.0-doc Homepage: https://live.gnome.org/OnlineAccounts Priority: optional Section: libdevel Filename: pool/main/g/gnome-online-accounts/libgoa-1.0-dev_3.4.2-2_armhf.deb Size: 29748 SHA256: 56a657a229c8ab236e090b88e05a9c3d89edd133676286aca1021b11ca7e0041 SHA1: efa53d096d4a7a4e2d722316e4ed70a295b44670 MD5sum: 3bb09bb3caa0d76ffaaaa34037952946 Description: library for GNOME Online Accounts - development files This package contains the GNOME Online Accounts service, which provides a centralized place for managing online accounts (Google, etc) for the GNOME desktop. . This package contains the files needed to build applications that access the service. Package: libgoa-1.0-doc Source: gnome-online-accounts Version: 3.4.2-2 Installed-Size: 1371 Maintainer: Debian GNOME Maintainers Architecture: all Recommends: libglib2.0-doc Suggests: devhelp Size: 70264 SHA256: f18eb25d0269cfa8904af579d744722e28e5a3f80e7d9c0b1c6d3f4e7c829cbc SHA1: 7d6d5489f401c1a196427eadffd0540791d950f2 MD5sum: 56bac76e3b421882916ea2e0b39aaa5d Description: library for GNOME Online Accounts - documentation files This package contains the GNOME Online Accounts service, which provides a centralized place for managing online accounts (Google, etc) for the GNOME desktop. . This package contains the HTML documentation for the GOA library. Homepage: https://live.gnome.org/OnlineAccounts Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/g/gnome-online-accounts/libgoa-1.0-doc_3.4.2-2_all.deb Package: libgoffice-0.8-8 Source: goffice Version: 0.8.17-1.2 Architecture: armhf Maintainer: J.H.M. Dassen (Ray) Installed-Size: 3444 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgsf-1-114 (>= 1.14.15), libgtk2.0-0 (>= 2.22.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), libgoffice-0.8-8-common (>= 0.8.17-1.2) Breaks: libgoffice-0-8 Replaces: libgoffice-0-8 Priority: optional Section: libs Filename: pool/main/g/goffice/libgoffice-0.8-8_0.8.17-1.2_armhf.deb Size: 1518806 SHA256: e8c165790c1cfa4a51703b4fcdaaca5f837d59fa959e185ce6fcfef295b602d7 SHA1: f84898506ce76ff3a8ec0ce3d5d61d8e8f5fbbda MD5sum: 5757ef045aab352428f71ceabdcb429f Description: Document centric objects library - runtime files GOffice is a library of document centric objects and utilities building on top of GLib and Gtk+. . These are the files needed to run applications that use GOffice. Package: libgoffice-0.8-8-common Source: goffice Version: 0.8.17-1.2 Installed-Size: 3090 Maintainer: J.H.M. Dassen (Ray) Architecture: all Size: 1321802 SHA256: 121959b6775ef2c0976c365c1bfa680b79e3311722d9bf7f78a462cabf1cdf61 SHA1: 1d47933d9f3beb6ce6ed324d788a29de3fd71052 MD5sum: 0786767e611ea3c70a6722c0bd36dad0 Description: Document centric objects library - common files GOffice is a library of document centric objects and utilities building on top of GLib and Gtk+. . These are the architecture independent files that are part of GOffice, like images and translations of messages. Tag: role::app-data Section: libs Priority: optional Filename: pool/main/g/goffice/libgoffice-0.8-8-common_0.8.17-1.2_all.deb Package: libgoffice-0.8-dev Source: goffice Version: 0.8.17-1.2 Architecture: armhf Maintainer: J.H.M. Dassen (Ray) Installed-Size: 4993 Depends: libgoffice-0.8-8 (= 0.8.17-1.2), libglib2.0-dev (>= 2.16.0), libxml2-dev (>= 2.6.10-2), libgtk2.0-dev (>= 2.8.17), libglade2-dev (>= 1:2.4.0), libcairo2-dev (>= 1.2.0), libgconf2-dev, libgsf-1-dev (>= 1.14.9) Breaks: libgoffice-0-5-dev, libgoffice-0-8-dev, libgoffice-0-dev (<< 0.4.2-2) Replaces: libgoffice-0-5-dev, libgoffice-0-8-dev Priority: optional Section: libdevel Filename: pool/main/g/goffice/libgoffice-0.8-dev_0.8.17-1.2_armhf.deb Size: 1117356 SHA256: 15f6d46ae22992c8099857fad28638768982e97205281bd232c0054950c67b1c SHA1: fb86a201d75ea0d6571759de1a8b27a87111f914 MD5sum: e51574db5b53528622b673e07c9c5631 Description: Document centric objects library - runtime files GOffice is a library of document centric objects and utilities building on top of GLib and Gtk+. . These are the files needed when building applications that use GOffice. Package: libgoffice-dbg Source: goffice Version: 0.8.17-1.2 Architecture: armhf Maintainer: J.H.M. Dassen (Ray) Installed-Size: 4317 Depends: libgoffice-0.8-8 (= 0.8.17-1.2) Breaks: libgoffice-0-6-dbg Replaces: libgoffice-0-6-dbg Priority: extra Section: debug Filename: pool/main/g/goffice/libgoffice-dbg_0.8.17-1.2_armhf.deb Size: 1706082 SHA256: e8ba4305f381ab629c103f7c4401252ff4cfef61199dfba918f3cf0aa4c7145a SHA1: 493b6f2be8c7651e9d89e1c90d527da90df8a5f4 MD5sum: 8a69142d3fc1685338d99dfcc26c88ac Description: Document centric objects library - debugging files GOffice is a library of document centric objects and utilities building on top of GLib and Gtk+. . These are the files used to debug (applications that use) the GOffice library. Package: libgofigure-dev Source: gofigure2 Version: 0.9.0-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 1549 Homepage: http://gofigure2.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gofigure2/libgofigure-dev_0.9.0-1_armhf.deb Size: 262902 SHA256: 08c526b5ed518293067f3d707f63a9abd6896be2cad696fd0cb4765087491500 SHA1: 3b8a6bfbb14497a7e084e8e3b566f10341d25152 MD5sum: 5b972acc7690526dbdd96dc76c2df364 Description: Tool for visualizing, processing and analysing of bioimages Main objectives of GoFigure2 are to provide * interaction/visualization/navigation into 4D multichannels bio-images; * save/retrieve information related to the imaging process, analysis results; * extracting objects from bio-images (e.g. nucleii, cell-membranes...); * tracking sub-cellular structure, cells; * detecting and tracking cell-division through time; * generating and visualizing cell-lineage. . The development libraries Package: libgofigure0 Source: gofigure2 Version: 0.9.0-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 8927 Depends: libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgdcm2.2, libgomp1 (>= 4.2.1), libinsighttoolkit3.20, libpng12-0 (>= 1.2.13-4), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libvtk5.8, libvtk5.8-qt4, zlib1g (>= 1:1.1.4) Homepage: http://gofigure2.sourceforge.net Priority: optional Section: science Filename: pool/main/g/gofigure2/libgofigure0_0.9.0-1_armhf.deb Size: 3590856 SHA256: 0b7efdb0899137b5b31d5c4a5bfecbff1d3050b4df5ef37fbd93a93fb2885d9d SHA1: 21f1dfc40a820957388e52d599d50646360f9a6d MD5sum: 0c45d2ee103d881440f34359a6949cd7 Description: Tool for visualizing, processing and analysing of bioimages Main objectives of GoFigure2 are to provide * interaction/visualization/navigation into 4D multichannels bio-images; * save/retrieve information related to the imaging process, analysis results; * extracting objects from bio-images (e.g. nucleii, cell-membranes...); * tracking sub-cellular structure, cells; * detecting and tracking cell-division through time; * generating and visualizing cell-lineage. . The runtime libraries Package: libgomp1 Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 89 Pre-Depends: multiarch-support Depends: gcc-4.7-base (= 4.7.2-5+rpi1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Breaks: gcc-4.1, gcc-4.3 (<< 4.3.6-1), gcc-4.4 (<< 4.4.6-4), gcc-4.5 (<< 4.5.3-2) Provides: libgomp1-armhf Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: optional Section: libs Filename: pool/main/g/gcc-4.7/libgomp1_4.7.2-5+rpi1_armhf.deb Size: 28288 SHA256: 2c1d80da3aef2463ee02df5eb437efd52afb26901b0a71b3f1efc39667953a39 SHA1: ab3cbc8689a735609ab92fb6bc57b4e67bc9b0b9 MD5sum: 5be98d7f1feb4c2d2fe04512b7c891c4 Description: GCC OpenMP (GOMP) support library GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers in the GNU Compiler Collection. Package: libgomp1-dbg Source: gcc-4.7 Version: 4.7.2-5+rpi1 Architecture: armhf Maintainer: Debian GCC Maintainers Installed-Size: 272 Depends: gcc-4.7-base (= 4.7.2-5+rpi1), libgomp1 (= 4.7.2-5+rpi1) Provides: libgomp1-dbg-armhf Multi-Arch: same Homepage: http://gcc.gnu.org/ Priority: extra Section: debug Filename: pool/main/g/gcc-4.7/libgomp1-dbg_4.7.2-5+rpi1_armhf.deb Size: 83834 SHA256: 39c07a68a9535ac4cd495d91ee26c432a8d235155d93164ae7fbeb0b9d0df0e8 SHA1: 0e3e667a959bba14a338538c68ef6f645fe50255 MD5sum: f51baa847107ff50411c39886d4ecfbb Description: GCC OpenMP (GOMP) support library (debug symbols) GOMP is an implementation of OpenMP for the C, C++, and Fortran compilers in the GNU Compiler Collection. Package: libgoo-canvas-perl Version: 0.06-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 559 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgoocanvas3 (>= 0.15), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libcairo-perl (>= 1.00), libextutils-depends-perl (>= 0.2), libextutils-pkgconfig-perl (>= 1.0), libglib-perl (>= 1.103), libgtk2-perl (>= 1.100) Homepage: http://search.cpan.org/dist/Goo-Canvas/ Priority: optional Section: perl Filename: pool/main/libg/libgoo-canvas-perl/libgoo-canvas-perl_0.06-1_armhf.deb Size: 213208 SHA256: 27bba0f00ebc8229f248292999df9ebf2de358a96d4b58a1be9098273ef06800 SHA1: cfbc61c26934e093025c6dd573a503a1b25c4a22 MD5sum: b4c58021d3a808970ed60859a65eff58 Description: Perl interface to the GooCanvas GTK+ doesn't have a builtin canvas widget. Goo::Canvas fills that gap. It is easy to use and has powerful and extensible ways to create items in canvases. Package: libgoocanvas-common Source: goocanvas Version: 0.15-1 Installed-Size: 136 Maintainer: Jose Carlos Garcia Sogo Architecture: all Size: 40734 SHA256: ddd0b122c54da570d5c30bfc29a4f18ee468d4e4c6416503be4a3037a2ce7102 SHA1: 8815d8b3e00c1def36527bc6f625d4dac4f3cd8c MD5sum: b0d079211a2dc181ee9c11e7d5007d3d Description: translations for goocanvas GooCanvas is a canvas widget for GTK+ that uses the cairo 2D library for drawing. It has a model/view split, and uses interfaces for canvas items and views, so you can easily turn any application object into canvas items. . This package contains the translations Homepage: http://live.gnome.org/GooCanvas Tag: role::app-data Section: libs Priority: optional Filename: pool/main/g/goocanvas/libgoocanvas-common_0.15-1_all.deb Package: libgoocanvas-dev Source: goocanvas Version: 0.15-1 Architecture: armhf Maintainer: Jose Carlos Garcia Sogo Installed-Size: 1695 Depends: libgoocanvas3 (= 0.15-1), libgtk2.0-dev Homepage: http://live.gnome.org/GooCanvas Priority: optional Section: libdevel Filename: pool/main/g/goocanvas/libgoocanvas-dev_0.15-1_armhf.deb Size: 286014 SHA256: f7e4cb3dbb6dd47100e930ac5b6f08e45e23b1e033a5a867fedb75198457515a SHA1: 044eaa567bbb41600dd2fcbf5b2daf1ec6558802 MD5sum: f0eaf1934e0f46f1e3c7f1a321fe4902 Description: development libraries, include files and documentation for goocanvas GooCanvas is a canvas widget for GTK+ that uses the cairo 2D library for drawing. It has a model/view split, and uses interfaces for canvas items and views, so you can easily turn any application object into canvas items. . This package contains the development libraries, include files and documentation Package: libgoocanvas-ruby Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-goocanvas Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libgoocanvas-ruby_1.1.3-2_all.deb Size: 10112 SHA256: 930904264f6aefecdf5779570644dc68af8549b036eda9c6260f4c20918c82c7 SHA1: 54f5fe9a30965e91771015b8566174d80ffa3b29 MD5sum: 45ed611bb73e1f032ae497cb70dacb67 Description: Transitional package for ruby-goocanvas This is a transitional package to ease upgrades to the ruby-goocanvas package. It can safely be removed. Package: libgoocanvas-ruby1.8 Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-goocanvas Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libgoocanvas-ruby1.8_1.1.3-2_all.deb Size: 10120 SHA256: f6724365d22cb6a0199bf5b270411d6c82372053f669ea81862e9b42a372e98b SHA1: e072a8f2eed5cfffe5840952197dd4a0e239d323 MD5sum: 40737e44928769cd5604726f3cf52517 Description: Transitional package for ruby-goocanvas This is a transitional package to ease upgrades to the ruby-goocanvas package. It can safely be removed. Package: libgoocanvas-ruby1.8-dbg Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-goocanvas-dbg Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libgoocanvas-ruby1.8-dbg_1.1.3-2_all.deb Size: 10128 SHA256: a0bf4d9c37b81f447dbc75f56cc96a7e8c12dafb48e2df865dc8b8c205fcc062 SHA1: 279ba039ef0db56ad3225dc04a2f155e789bd0d0 MD5sum: 9cde44d1048220db0994ca91be3f5f85 Description: Transitional package for ruby-goocanvas-dbg This is a transitional package to ease upgrades to the ruby-goocanvas-dbg package. It can safely be removed. Package: libgoocanvas3 Source: goocanvas Version: 0.15-1 Architecture: armhf Maintainer: Jose Carlos Garcia Sogo Installed-Size: 274 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.4.10), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libgoocanvas-common (= 0.15-1) Homepage: http://live.gnome.org/GooCanvas Priority: optional Section: libs Filename: pool/main/g/goocanvas/libgoocanvas3_0.15-1_armhf.deb Size: 121028 SHA256: f1f9045cdafd50f82b63bf59e2be75b1b770f84e772185f284fda28458a05610 SHA1: b46bd5b0ca2088b2a54330e5f2fbcdffb547b424 MD5sum: f5e8a60e7a0bf0533af71b33b65de0a2 Description: canvas widget for GTK+ that uses the cairo 2D library GooCanvas is a canvas widget for GTK+ that uses the cairo 2D library for drawing. It has a model/view split, and uses interfaces for canvas items and views, so you can easily turn any application object into canvas items. . This package contains the shared library Package: libgoocanvasmm-1.0-5 Source: goocanvasmm Version: 0.15.4-1 Architecture: armhf Maintainer: Iain Lane Installed-Size: 383 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgoocanvas3 (>= 0.15), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0) Homepage: http://live.gnome.org/GooCanvas Priority: optional Section: libs Filename: pool/main/g/goocanvasmm/libgoocanvasmm-1.0-5_0.15.4-1_armhf.deb Size: 110514 SHA256: bf945e5fe0b8bdbd5d3bc594081bc6e5bd1459c90c4ac777a32ffec6c85d5a99 SHA1: 73d51e4e13fdc51580e8ced4c7efc13e1737762b MD5sum: ab5e40bdd2510d78ee696b3d7a6578e2 Description: C++ bindings for GooCanvas - shared library GooCanvas is a canvas widget for GTK+ that uses the cairo 2D library for drawing. It has a model/view split, and uses interfaces for canvas items and views, so you can easily turn any application object into canvas items. goocanvasmm is the C++ wrapper for GooCanvas . This package contains the shared library. Package: libgoocanvasmm-dev Source: goocanvasmm Version: 0.15.4-1 Architecture: armhf Maintainer: Iain Lane Installed-Size: 439 Depends: libgoocanvasmm-1.0-5 (= 0.15.4-1), libgoocanvas-dev (>= 0.15), libgtkmm-2.4-dev (>= 2.22.0), libglibmm-2.4-dev (>= 2.14.2) Homepage: http://live.gnome.org/GooCanvas Priority: optional Section: libdevel Filename: pool/main/g/goocanvasmm/libgoocanvasmm-dev_0.15.4-1_armhf.deb Size: 58672 SHA256: d9044798e894c32ec4edb270ad30ecebda6c81160735f1f5d87f9794a8767cb9 SHA1: 80f438bbdf5b258875e248662f17d99512f87f7d MD5sum: 350a3579b9fc367b09beb508dcdcd8d8 Description: C++ bindings for GooCanvas - development files GooCanvas is a canvas widget for GTK+ that uses the cairo 2D library for drawing. It has a model/view split, and uses interfaces for canvas items and views, so you can easily turn any application object into canvas items. goocanvasmm is the C++ wrapper for GooCanvas . This package contains the development files. Package: libgoocanvasmm-doc Source: goocanvasmm Version: 0.15.4-1 Installed-Size: 5072 Maintainer: Iain Lane Architecture: all Depends: lynx | www-browser, doc-base Size: 1235318 SHA256: d2b6f18a7863349a6f2f3f3d721e6ec8d013cb424cfbcf44ba7f32d566fbe9c3 SHA1: 3ff3480867331bc885c6792c6915b44169d738d1 MD5sum: bd4df245b150120f6722050611c7da1b Description: C++ bindings for GooCanvas - documentation and examples GooCanvas is a canvas widget for GTK+ that uses the cairo 2D library for drawing. It has a model/view split, and uses interfaces for canvas items and views, so you can easily turn any application object into canvas items. goocanvasmm is the C++ wrapper for GooCanvas . This package contains the documentation and example files. Homepage: http://live.gnome.org/GooCanvas Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/goocanvasmm/libgoocanvasmm-doc_0.15.4-1_all.deb Package: libgoogle-collections-java Version: 1.0-2 Installed-Size: 640 Maintainer: Debian Java Maintainers Architecture: all Depends: libjsr305-java Size: 517490 SHA256: b63b0b9a1d41681e50fd41c9f59ed0fd2acce430fd30a18c6e4472f09826d5d0 SHA1: 0ae83ea6e951359f674f3010a717d431e744a060 MD5sum: ac65d10c464ec475532326cf17715f3e Description: suite of collections and related goodies for Java 5.0 This library is a natural extension of the Java Collections Framework. The major new types are: * BiMap. A Map that guarantees unique values, and supports an inverse view. * Multiset. A Collection that may contain duplicate values like a List, yet has order-independent equality like a Set. Often used to represent a histogram. * Multimap. Similar to Map, but may contain duplicate keys. Has subtypes SetMultimap and ListMultimap providing more specific behavior. . There are also more than a dozen collection implementations, mostly of the interfaces above, but not all. ReferenceMap, for example, is a ConcurrentMap implementation which easily handles any combination of strong, soft or weak keys with strong, soft or weak values. Static utility classes include: * Comparators. Natural order, compound, null-friendly, ad-hoc, ... * Iterators and Iterables. Element-based equality, cycle, concat, partition, filter with predicate, transform with function ... * Lists, Sets and Maps. A plethora of convenient factory methods and much more. * PrimitiveArrays: "boxing"/"unboxing" of primitive arrays . And there's more: * Immutable collections * Forwarding collections * Constrained collections * Implementation helpers like AbstractIterator Homepage: http://code.google.com/p/google-collections/ Section: java Priority: optional Filename: pool/main/libg/libgoogle-collections-java/libgoogle-collections-java_1.0-2_all.deb Package: libgoogle-gson-java Version: 2.1-2 Installed-Size: 417 Maintainer: Debian Java Maintainers Architecture: all Suggests: libgoogle-gson-java-doc Size: 327176 SHA256: e69c538b56cbb146de9657548bc831f1f6c34d4711f028ff6bca6d965d7f8868 SHA1: 94242f1de4dcf37817af8d0f08f73fbe8e1befc1 MD5sum: 1ca7b286a8873ab8dfa3d567ddbec488 Description: Converts Java objects into their JSON representation Gson is a Java library that can be used to convert Java Objects into their JSON representation. It can also be used to convert a JSON string to an equivalent Java object. Gson can work with arbitrary Java objects including pre-existing objects that you do not have source-code of. . Gson Goals . * Provide simple toJson() and fromJson() methods to convert Java objects to JSON and vice-versa * Allow pre-existing unmodifiable objects to be converted to and from JSON * Extensive support of Java Generics * Allow custom representations for objects * Support arbitrarily complex objects (with deep inheritance hierarchies and extensive use of generic types) Homepage: http://code.google.com/p/google-gson/ Section: java Priority: optional Filename: pool/main/libg/libgoogle-gson-java/libgoogle-gson-java_2.1-2_all.deb Package: libgoogle-gson-java-doc Source: libgoogle-gson-java Version: 2.1-2 Installed-Size: 1527 Maintainer: Debian Java Maintainers Architecture: all Suggests: libgoogle-gson-java Size: 327784 SHA256: 865cfbb966266b4b551b3743b7a26dda99c922bcc66d64786e0aa3874d8a5f9f SHA1: 06dd1d0ee4a2b325b297304948fadc3df0de5261 MD5sum: 411ab631efe34ddad9b8de78395b64a0 Description: Documentation for libgoogle-gson-java Gson is a Java library that can be used to convert Java Objects into their JSON representation. It can also be used to convert a JSON string to an equivalent Java object. Gson can work with arbitrary Java objects including pre-existing objects that you do not have source-code of. . Gson Goals . * Provide simple toJson() and fromJson() methods to convert Java objects to JSON and vice-versa * Allow pre-existing unmodifiable objects to be converted to and from JSON * Extensive support of Java Generics * Allow custom representations for objects * Support arbitrarily complex objects (with deep inheritance hierarchies and extensive use of generic types) . This package contains the Javadoc API documentation. Homepage: http://code.google.com/p/google-gson/ Section: doc Priority: optional Filename: pool/main/libg/libgoogle-gson-java/libgoogle-gson-java-doc_2.1-2_all.deb Package: libgooglepinyin0 Source: libgooglepinyin Version: 0.1.2-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 1209 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Provides: libgooglepinyin Multi-Arch: same Homepage: http://code.google.com/p/libgooglepinyin Priority: optional Section: libs Filename: pool/main/libg/libgooglepinyin/libgooglepinyin0_0.1.2-1_armhf.deb Size: 755398 SHA256: 927cccbbad2f8b2476336cdf9a9232b6b0335b789da64ced118f7c26fe31aa74 SHA1: 2559889be19dd9bbee87562b4f4a545f82a1c604 MD5sum: 7c151241ab83ce9e1d902f9c38c38362 Description: Pinyin engine fork from Google Pinyin on Android libgooglepinyin is a fork of Google Pinyin on Android, it features to have excellent input experience and uses little resource. . This package provides the library file. Package: libgooglepinyin0-dbg Source: libgooglepinyin Version: 0.1.2-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 202 Depends: libgooglepinyin0 (= 0.1.2-1) Homepage: http://code.google.com/p/libgooglepinyin Priority: extra Section: debug Filename: pool/main/libg/libgooglepinyin/libgooglepinyin0-dbg_0.1.2-1_armhf.deb Size: 136146 SHA256: 76bec825fdad16b40a9a1aebfbcc87a2437b95d8f4cade1e16dd0b54ab30f033 SHA1: 9bfd0927263fd4afe9e92e7c35ce04b9a07e7658 MD5sum: d922dfe24e25cbb24a2fb444d3127934 Description: Pinyin engine fork from Google Pinyin on Android - debug symbols libgooglepinyin is a fork of Google Pinyin on Android, it features to have excellent input experience and uses little resource. . This package provides the library debug symbols. Package: libgooglepinyin0-dev Source: libgooglepinyin Version: 0.1.2-1 Architecture: armhf Maintainer: IME Packaging Team Installed-Size: 150 Depends: libgooglepinyin0 (= 0.1.2-1) Conflicts: libgooglepinyin-dev Provides: libgooglepinyin-dev Homepage: http://code.google.com/p/libgooglepinyin Priority: optional Section: libdevel Filename: pool/main/libg/libgooglepinyin/libgooglepinyin0-dev_0.1.2-1_armhf.deb Size: 28800 SHA256: 5a6f18ab77015caf52186d96c9e5522604c24f85e48fa32af61e988657dfe7c5 SHA1: b696637868c01be0a9d23e61233b014905048c87 MD5sum: 0408f18503f21b021908ceb352904493 Description: Pinyin engine fork from Google Pinyin on Android - development files libgooglepinyin is a fork of Google Pinyin on Android, it features to have excellent input experience and uses little resource. . This package provides the library development files. Package: libgosa-perl Source: gosa-perl Version: 0.2-2 Installed-Size: 116 Maintainer: GOsa packages mainteners group Architecture: all Depends: perl, libnet-ldap-perl, libapt-pkg-perl, liburi-perl Size: 18110 SHA256: 7ff5027caea99e8e3d3abfd7e49f80e9d5f69db2cba80bf0d3100ea537431046 SHA1: 76ae7b02070daf87e627faa490f147047331e449 MD5sum: ed99a90a773ae4eee760868ee24e5a70 Description: GOsa and LHM Perl libraries This package contains some GOsa and LHM perl libraries. Currently the focus is based on LDAP and GOsa / FAI management. Homepage: https://oss.gonicus.de/labs/gosa/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/g/gosa-perl/libgosa-perl_0.2-2_all.deb Package: libgpac-dbg Source: gpac Version: 0.5.0~dfsg0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 3826 Depends: libgpac2 (= 0.5.0~dfsg0-1) Multi-Arch: same Homepage: http://gpac.sourceforge.net Priority: extra Section: debug Filename: pool/main/g/gpac/libgpac-dbg_0.5.0~dfsg0-1_armhf.deb Size: 3460614 SHA256: 5ba814fde822bc8ef6623a4cd86f58a22c7013cd494d266e95be7dff63a085b0 SHA1: 7d0f1767cdac1c399602669b6c0fe66c5d738eae MD5sum: bf80f99cb4614516e6c9879726c61caf Description: GPAC Project on Advanced Content - debugging symbols for libgpac2 GPAC stands for GPAC Project on Advanced Content (a recursive acronym). It is an Open Source multimedia framework for research and academic purposes. The project covers different aspects of multimedia, with a focus on presentation technologies (graphics, animation and interactivity). . This package provides the debugging symbols for libgpac2. Package: libgpac-dev Source: gpac Version: 0.5.0~dfsg0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 6203 Depends: libgpac2 (= 0.5.0~dfsg0-1) Replaces: gpac (<= 0.4.2~rc2-0ubuntu1) Multi-Arch: same Homepage: http://gpac.sourceforge.net Priority: optional Section: libdevel Filename: pool/main/g/gpac/libgpac-dev_0.5.0~dfsg0-1_armhf.deb Size: 2251476 SHA256: 05c5c7d6a612b588966914c7527fe5a5606fe55bb9cd6393b610f271285eecfd SHA1: ce08563f17efe154473280b5e888c8a0395300ed MD5sum: 0260f54468dd312150dfd29f512c8883 Description: GPAC Project on Advanced Content - development files GPAC stands for GPAC Project on Advanced Content (a recursive acronym). It is an Open Source multimedia framework for research and academic purposes. The project covers different aspects of multimedia, with a focus on presentation technologies (graphics, animation and interactivity). . This package contains files that are used for application development. Package: libgpac2 Source: gpac Version: 0.5.0~dfsg0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 3127 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libx11-6, zlib1g (>= 1:1.2.3.3) Multi-Arch: same Homepage: http://gpac.sourceforge.net Priority: optional Section: libs Filename: pool/main/g/gpac/libgpac2_0.5.0~dfsg0-1_armhf.deb Size: 1437856 SHA256: e899dc664abe9177cb245fa38ec21a23a62d32e990a62fb6ec3473d2a16f7f61 SHA1: 73c0e8c3ece65ddf42db444c6bc285b6b93c88f5 MD5sum: f695cbdf9abd0c4ebcc86ca3bcce6c10 Description: GPAC Project on Advanced Content - shared libraries GPAC stands for GPAC Project on Advanced Content (a recursive acronym). It is an Open Source multimedia framework for research and academic purposes. The project covers different aspects of multimedia, with a focus on presentation technologies (graphics, animation and interactivity). . This package contains the shared libraries used at runtime in depending packages. Package: libgpars-groovy-java Version: 0.10-1 Installed-Size: 564 Maintainer: Debian Java Maintainers Architecture: all Depends: groovy, libnetty-java, libjsr166y-java, libjcsp-java Suggests: libgpars-groovy-java-doc (= 0.10-1) Size: 488610 SHA256: 4e8a71f96f85d7bb8893e24936ee700a58c0edad20f62d15c032f9dba67b13f7 SHA1: a532a7268bea54a12dc3b2246d84171d7c1c73e0 MD5sum: bbbc6011c7506d88d629b8a356be8030 Description: open-source concurrency library for Groovy Library that provides multiple high-level abstractions for writing concurrent code in Groovy, including: map/reduce, fork/join, asynchronous closures, actors, agents, dataflow concurrency and other concepts. Homepage: http://gpars.codehaus.org/ Section: java Priority: optional Filename: pool/main/libg/libgpars-groovy-java/libgpars-groovy-java_0.10-1_all.deb Package: libgpars-groovy-java-doc Source: libgpars-groovy-java Version: 0.10-1 Installed-Size: 2880 Maintainer: Debian Java Maintainers Architecture: all Recommends: libgpars-groovy-java (= 0.10-1) Suggests: default-jdk-doc Size: 181206 SHA256: a4c943720a3904032599185f7d70b025630af1391f986a3ed752d1efaf8561a9 SHA1: 3ce9c2db346a19eb376cdf580b0b5905dada4081 MD5sum: 4b249f92ccaca749a6db5d285d19b204 Description: documentation for libgpars-groovy-java Documentation for GPars that is a library that provides multiple high-level abstractions for writing concurrent code in Groovy, including: map/reduce, fork/join, asynchronous closures, actors, agents, dataflow concurrency and other concepts. Homepage: http://gpars.codehaus.org/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libgpars-groovy-java/libgpars-groovy-java-doc_0.10-1_all.deb Package: libgpds-dbg Source: gpointing-device-settings Version: 1.5.1-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 385 Depends: gpointing-device-settings (= 1.5.1-6), libgpds0 (= 1.5.1-6) Homepage: http://live.gnome.org/GPointingDeviceSettings Priority: extra Section: debug Filename: pool/main/g/gpointing-device-settings/libgpds-dbg_1.5.1-6_armhf.deb Size: 140068 SHA256: ac56104852b4345a51be204b8daf65ae54fed45e642b04820d1efac057b33e80 SHA1: d618afb50bc124dec3c44aeae562a0a41f6a876f MD5sum: 02a3a64dae63d0586f9b2277fb93a843 Description: library for configuration of pointing devices (debug symbols) Library for setting pointing devices. Currently it can configure mouse type device (mouse, trackpoint etc.) and touchpads. . This package contains debug symbols for both libgpds and gpointing-device-settings. Package: libgpds-dev Source: gpointing-device-settings Version: 1.5.1-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 72 Depends: libgpds0 (= 1.5.1-6) Homepage: http://live.gnome.org/GPointingDeviceSettings Priority: optional Section: libdevel Filename: pool/main/g/gpointing-device-settings/libgpds-dev_1.5.1-6_armhf.deb Size: 8668 SHA256: 83ad62733a3cc183f7a95db0aade45e540695e798a5f20bc8839238201416e34 SHA1: 5fbf8583a820858a0f1a990b65fad9136684790e MD5sum: 5b61322280b8183fd54d5d3e05f0b01e Description: library for configuration of pointing devices (development files) Library for setting pointing devices. Currently it can configure mouse type device (mouse, trackpoint etc.) and touchpads. . This package contains files needed for development. Package: libgpds0 Source: gpointing-device-settings Version: 1.5.1-6 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 67 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxi6 (>= 2:1.2.0) Homepage: http://live.gnome.org/GPointingDeviceSettings Priority: optional Section: libs Filename: pool/main/g/gpointing-device-settings/libgpds0_1.5.1-6_armhf.deb Size: 17904 SHA256: db948740b8baa43580161720f73705591fc2d273fb771fe061e62d498accf127 SHA1: 79d65102a9542b0afc684210455f311cb1182b59 MD5sum: f11bbcffe5c1bb16547181a703d0e496 Description: library for configuration of pointing devices Library for setting pointing devices. Currently it can configure mouse type device (mouse, trackpoint etc.) and touchpads. . This package contains library itself. Package: libgpelaunch-dev Source: libgpelaunch Version: 0.14-6 Architecture: armhf Maintainer: Moray Allan Installed-Size: 85 Depends: libgpelaunch0 (= 0.14-6), libglib2.0-dev Multi-Arch: same Homepage: http://gpe.linuxtogo.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libgpelaunch/libgpelaunch-dev_0.14-6_armhf.deb Size: 16290 SHA256: 5be4b26e3802d5af9385e6974f9ee201d9950dfd3d52bb59232ddafee02cb8a2 SHA1: 6c1ef8eb539a2b07ac17084477958abdf650b1cf MD5sum: 3fb178cebfad5fc9673d96de1d6874da Description: helper library for launching programs [development] This is the development package for GPE applications that launch other programs. Package: libgpelaunch0 Source: libgpelaunch Version: 0.14-6 Architecture: armhf Maintainer: Moray Allan Installed-Size: 69 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libstartup-notification0 (>= 0.4), libx11-6 Multi-Arch: same Homepage: http://gpe.linuxtogo.org/ Priority: optional Section: libs Filename: pool/main/libg/libgpelaunch/libgpelaunch0_0.14-6_armhf.deb Size: 16000 SHA256: 559d7bd71fd5a929deec5a4cb1fbe151d5280fd6fd00a768d63fdc1b5545c636 SHA1: c5aa2092ceb728f2dfa40fd5a7aeddfc11e4a297 MD5sum: 40af7de1a74e8709f35086f501896d00 Description: helper library for launching programs [runtime] This is the runtime package for GPE applications that launch other programs. Package: libgpelaunch0-dbg Source: libgpelaunch Version: 0.14-6 Architecture: armhf Maintainer: Moray Allan Installed-Size: 107 Depends: libgpelaunch0 (= 0.14-6) Homepage: http://gpe.linuxtogo.org/ Priority: extra Section: debug Filename: pool/main/libg/libgpelaunch/libgpelaunch0-dbg_0.14-6_armhf.deb Size: 28964 SHA256: 2638c94b778e13e3c38f53b6b91f4c296f8f269979d92d86ebad861cc441fa60 SHA1: 78ba4248bba9da44658753c82e9bcef484360daf MD5sum: 227876eaa984ffa8448845bbc1eff193 Description: helper library for launching programs [debugging] This is the debugging package for GPE applications that launch other programs. Package: libgpepimc-dev Source: libgpepimc Version: 0.9-4 Architecture: armhf Maintainer: Moray Allan Installed-Size: 73 Depends: libgpepimc0 (= 0.9-4), libglib2.0-dev Replaces: libgpepimc0-dev Provides: libgpepimc0-dev Multi-Arch: same Homepage: http://gpe.linuxtogo.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libgpepimc/libgpepimc-dev_0.9-4_armhf.deb Size: 13940 SHA256: e9c986ad2457e5949b8ba0a96ab143bfbb9259cb80b2331ea0a57a9d2de83a3d SHA1: 45ac8c04af2ae8ca755a5840e76d3b0edb6be0c2 MD5sum: 364715e5ed437879c951e31875ec8fbb Description: category management for GPE applications [development] libgpepimc manages categories for GPE personal information management applications. It maintains a central editable list of categories, which can be used to help organise, for example, 'to do' list items and address book entries. . This package contains development files for libgpepimc. Package: libgpepimc0 Source: libgpepimc Version: 0.9-4 Architecture: armhf Maintainer: Moray Allan Installed-Size: 62 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.115), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libsqlite0 (>= 2.8.17) Multi-Arch: same Homepage: http://gpe.linuxtogo.org/ Priority: optional Section: libs Filename: pool/main/libg/libgpepimc/libgpepimc0_0.9-4_armhf.deb Size: 14390 SHA256: c7ddb9e91b3cb775119c2c8873f0213c25178aacaa03841b0a651242b584e463 SHA1: 883ecce0072c81b3c98e40507686131f990a6378 MD5sum: 276659a197fe45f0ebcc02ba283ae890 Description: category management for GPE applications [runtime] libgpepimc manages categories for GPE personal information management applications. It maintains a central editable list of categories, which can be used to help organise, for example, 'to do' list items and address book entries. . This package contains the runtime files for libgpepimc. Package: libgpepimc0-dbg Source: libgpepimc Version: 0.9-4 Architecture: armhf Maintainer: Moray Allan Installed-Size: 91 Depends: libgpepimc0 (= 0.9-4) Multi-Arch: same Homepage: http://gpe.linuxtogo.org/ Priority: extra Section: debug Filename: pool/main/libg/libgpepimc/libgpepimc0-dbg_0.9-4_armhf.deb Size: 24664 SHA256: 637c9e19afd1741e9eab3f36eab6061148aaec6fa431c7ea10de1263d1acf1ab SHA1: e7e533f19eb8598383e4c0d96cee49284010e197 MD5sum: 2f06d5710fcbc3d7a4cf1b94aed59782 Description: category management for GPE applications [debugging] libgpepimc manages categories for GPE personal information management applications. It maintains a central editable list of categories, which can be used to help organise, for example, 'to do' list items and address book entries. . This package contains debugging information for libgpepimc. Package: libgpeschedule-dev Source: libgpeschedule Version: 0.17-4 Architecture: armhf Maintainer: Neil Williams Installed-Size: 50 Depends: libgpeschedule0 (= 0.17-4) Homepage: http://gpe.linuxtogo.org/projects/ Priority: optional Section: libdevel Filename: pool/main/libg/libgpeschedule/libgpeschedule-dev_0.17-4_armhf.deb Size: 7414 SHA256: f1327160a9a68aeff18eff110ce833aba74d363bb39e3af368b583facda8def2 SHA1: cc5568dadf9d60b5a8b6d557c044eb85b58b07b2 MD5sum: 64d6a72167f028f3121c54552b6cd923 Description: scheduling library for GPE (development files) Scheduling library that is used by the GPE Palmtop Environment to schedule events and warn applications of their occurence. . This package contains the development files. Package: libgpeschedule0 Source: libgpeschedule Version: 0.17-4 Architecture: armhf Maintainer: Neil Williams Installed-Size: 42 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libsqlite0 (>= 2.8.17) Recommends: gpe-announce, at Homepage: http://gpe.linuxtogo.org/projects/ Priority: optional Section: libs Filename: pool/main/libg/libgpeschedule/libgpeschedule0_0.17-4_armhf.deb Size: 8714 SHA256: df4ae037cf3ce96efbf4bdeefc257188d93dabdf320f8ad30bdc0a074e20b9bc SHA1: 97bda0a61e6d23785d85f8fef8f0b5b7d91aca66 MD5sum: 9718e03731374ed74eeb3b3544ee9c71 Description: scheduling library for GPE Scheduling library that is used by the GPE Palmtop Environment to schedule events and warn applications of their occurence. . This package contains the shared libraries. Package: libgpeschedule0-dbg Source: libgpeschedule Version: 0.17-4 Architecture: armhf Maintainer: Neil Williams Installed-Size: 57 Depends: libgpeschedule0 (= 0.17-4) Homepage: http://gpe.linuxtogo.org/projects/ Priority: extra Section: debug Filename: pool/main/libg/libgpeschedule/libgpeschedule0-dbg_0.17-4_armhf.deb Size: 10320 SHA256: 779b2429fddda4d5ed682284f2a0c7183524beb2700cd1c1b27c878229567d31 SHA1: 4732ed52a0aa7f94f3abcac6550369dd4564a2eb MD5sum: f65fe953faeebca5b569235854228721 Description: scheduling library for GPE (debug symbols) Scheduling library that is used by the GPE Palmtop Environment to schedule events and warn applications of their occurence. . This package contains the debug symbols. Package: libgpevtype-dev Source: libgpevtype Version: 0.50-6 Architecture: armhf Maintainer: Moray Allan Installed-Size: 127 Depends: libgpevtype1 (= 0.50-6), libglib2.0-dev, libeventdb-dev, libmimedir-gnome-dev Homepage: http://gpe.linuxtogo.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libgpevtype/libgpevtype-dev_0.50-6_armhf.deb Size: 26712 SHA256: 627e90afe0faac9611976bca21ca2db66572afb697a0ad756af0c3c0a97ad361 SHA1: a71ec0dc40e344d9177a3c0bde454bbcb0afcc65 MD5sum: 0078accd5de55e96fee52076b86333bd Description: data interchange library for GPE applications [development] libgpevtype is used by GPE personal information management applications to convert data to and from standard formats. . This package contains the development files for libgpevtype. Package: libgpevtype1 Source: libgpevtype Version: 0.50-6 Architecture: armhf Maintainer: Moray Allan Installed-Size: 64 Depends: libc6 (>= 2.13-28), libeventdb2, libglib2.0-0 (>= 2.16.0), libmimedir-gnome0.4, libsqlite0 (>= 2.8.17), libtododb0 (>= 0.10) Homepage: http://gpe.linuxtogo.org/ Priority: optional Section: libs Filename: pool/main/libg/libgpevtype/libgpevtype1_0.50-6_armhf.deb Size: 17598 SHA256: 919f21617299f40caf8caa990ac9deb205de0c47823375e700010eef41fb5fb2 SHA1: cf84182c96971e9fffdfcc32ddcc5a910cd45785 MD5sum: 216f4be50ef7fcca35476716ebc7f1ff Description: data interchange library for GPE applications [runtime] libgpevtype is used by GPE personal information management applications to convert data to and from standard formats. . This package contains the runtime files for libgpevtype. Package: libgpevtype1-dbg Source: libgpevtype Version: 0.50-6 Architecture: armhf Maintainer: Moray Allan Installed-Size: 93 Depends: libgpevtype1 (= 0.50-6) Homepage: http://gpe.linuxtogo.org/ Priority: extra Section: debug Filename: pool/main/libg/libgpevtype/libgpevtype1-dbg_0.50-6_armhf.deb Size: 26434 SHA256: 7e1a66866db26f402601ccc785c40214c62a4e55d6e747c14e73ad1370a34065 SHA1: 9554a3617c51029413009f93dcf431c26c6e07bc MD5sum: 1852cd39660490dad6ee1fd3244bb997 Description: data interchange library for GPE applications [development] libgpevtype is used by GPE personal information management applications to convert data to and from standard formats. . This package contains the debugging files for libgpevtype. Package: libgpewidget-data Source: libgpewidget Version: 0.117-6 Installed-Size: 317 Maintainer: Neil Williams Architecture: all Replaces: libgpewidget1 (<< 0.117-3) Size: 74320 SHA256: dd01bf613efdf34466faa80d9d77ed96053cd7de4f90960fbcb8ac2b602d25d9 SHA1: b7c0a0947be062b13205ad014d51254f3266739f MD5sum: 97f5805b85f13c21721a2b5ff78071fc Description: data files for libgpewidget This package contains support graphics and translation data for GPE Palmtop Environment applications. Multi-Arch: foreign Homepage: http://www.kernelconcepts.de/~fuchs/gpe/doc/libgpewidget/ Tag: role::app-data, suite::gpe, system::embedded Section: utils Priority: optional Filename: pool/main/libg/libgpewidget/libgpewidget-data_0.117-6_all.deb Package: libgpewidget-dev Source: libgpewidget Version: 0.117-6 Architecture: armhf Maintainer: Neil Williams Installed-Size: 265 Depends: libgpewidget1 (= 0.117-6), libgtk2.0-dev Multi-Arch: same Homepage: http://www.kernelconcepts.de/~fuchs/gpe/doc/libgpewidget/ Priority: optional Section: libdevel Filename: pool/main/libg/libgpewidget/libgpewidget-dev_0.117-6_armhf.deb Size: 90186 SHA256: de136ff91c5833b4e813fb35656cfdc282852c0f1d9ced8be97efa6907b85c4e SHA1: fe8f34740f943c40ce330dabdcfc927923bdad73 MD5sum: 752a59300a50e70ad4783520dd4b7d5d Description: Development files for libgpewidget This package contains headers and other files required to compile GPE Palmtop Environment applications. Package: libgpewidget-doc Source: libgpewidget Version: 0.117-6 Installed-Size: 327 Maintainer: Neil Williams Architecture: all Recommends: dwww, devhelp Size: 56858 SHA256: cf0b425c1d123eae7ca6bf74fb3784fab345b5f2be8761169af66a96576b1ce8 SHA1: 4e5a12b077542fdbade4da018750c79421225981 MD5sum: 9e931937c8f2f9ad92155fd3fdfaeac5 Description: GPE Palmtop Environment widget library Reference Manual This package provides the API documentation for libgpewidget. Multi-Arch: foreign Homepage: http://www.kernelconcepts.de/~fuchs/gpe/doc/libgpewidget/ Tag: devel::doc, role::documentation, suite::gpe, system::embedded Section: doc Priority: optional Filename: pool/main/libg/libgpewidget/libgpewidget-doc_0.117-6_all.deb Package: libgpewidget1 Source: libgpewidget Version: 0.117-6 Architecture: armhf Maintainer: Neil Williams Installed-Size: 163 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, libgpewidget-data Suggests: gpe-icons Multi-Arch: same Homepage: http://www.kernelconcepts.de/~fuchs/gpe/doc/libgpewidget/ Priority: optional Section: libs Filename: pool/main/libg/libgpewidget/libgpewidget1_0.117-6_armhf.deb Size: 74844 SHA256: 63cc83f16ffb6d3422d4e403c192ae9c63f7ac2eb2f33751dc7763aa70563f75 SHA1: 6d89f4ebf1a0748402ff46472a58ed772d7b47f5 MD5sum: 737742e07e2b199e6b27aeae09225cec Description: GPE Palmtop Environment widget library This library contains Gtk+ widgets and other common code shared by multiple GPE applications. Package: libgpewidget1-dbg Source: libgpewidget Version: 0.117-6 Architecture: armhf Maintainer: Neil Williams Installed-Size: 523 Depends: libgpewidget1 (= 0.117-6) Multi-Arch: same Homepage: http://www.kernelconcepts.de/~fuchs/gpe/doc/libgpewidget/ Priority: extra Section: debug Filename: pool/main/libg/libgpewidget/libgpewidget1-dbg_0.117-6_armhf.deb Size: 227742 SHA256: 121d47fe367325e04cde13b9705dd9f96a28b95364f4713954c68501023729ac SHA1: b0152c8f6bcb9adfcea627c6599381b52096c2d4 MD5sum: e52ca30864099159b9c9ac693066e8c2 Description: debug symbols for libgpewidget This package provides the debugging symbols that may be useful when developing GPE Palmtop Environment applications. Package: libgpg-error-dev Source: libgpg-error Version: 1.10-3.1 Architecture: armhf Maintainer: Jose Carlos Garcia Sogo Installed-Size: 132 Depends: libgpg-error0 (= 1.10-3.1), libc6 (>= 2.13-28) Homepage: http://www.gnupg.org/related_software/libgpg-error/ Priority: optional Section: libdevel Filename: pool/main/libg/libgpg-error/libgpg-error-dev_1.10-3.1_armhf.deb Size: 40032 SHA256: b6335679d339481cc2e57ef5d1b0cce2523386ce3bddbe06a32ded4b0c6ad14b SHA1: ed39a8045aa47eee356eed275a82dfcd0f92eafe MD5sum: 2067b08174658710073cfff565083bf5 Description: library for common error values and messages in GnuPG components (development) Library that defines common error values for all GnuPG components. Among these are GPG, GPGSM, GPGME, GPG-Agent, libgcrypt, pinentry, SmartCard Daemon and possibly more in the future. . This package contains the headers and other files needed to compile against this library. Package: libgpg-error0 Source: libgpg-error Version: 1.10-3.1 Architecture: armhf Maintainer: Jose Carlos Garcia Sogo Installed-Size: 363 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://www.gnupg.org/related_software/libgpg-error/ Priority: standard Section: libs Filename: pool/main/libg/libgpg-error/libgpg-error0_1.10-3.1_armhf.deb Size: 78524 SHA256: 3f610f3988a1955353ac50926f47560eb23bb1c830cc752c2e31fac2f64464e5 SHA1: 58bd579bec611a7c34d6625ac536e19ad2ba33d5 MD5sum: 1a3ece8c95f5db59bcf6a54c11b3c959 Description: library for common error values and messages in GnuPG components Library that defines common error values for all GnuPG components. Among these are GPG, GPGSM, GPGME, GPG-Agent, libgcrypt, pinentry, SmartCard Daemon and possibly more in the future. Package: libgpgme++2 Source: kdepimlibs Version: 4:4.8.4-2+deb7u1 Architecture: armhf Maintainer: Debian Qt/KDE Maintainers Installed-Size: 1324 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgpg-error0 (>= 1.10), libgpgme11 (>= 1.2.0), libstdc++6 (>= 4.4.0) Breaks: kdepimlibs5 (<< 4:4.4.0) Replaces: kdepimlibs5 (<< 4:4.4.0) Homepage: http://pim.kde.org/ Priority: optional Section: libs Filename: pool/main/k/kdepimlibs/libgpgme++2_4.8.4-2+deb7u1_armhf.deb Size: 125542 SHA256: 2b6a44d6d055065bedaea73aae0806e1047d5f44192c00e2a950e393ca32fdd6 SHA1: e4f4954e3c567ba8bc016051dbf223907de3da11 MD5sum: 44843eea1bc9724d5665fae7836d84c0 Description: c++ wrapper library for gpgme GpgME++ is a C++ wrapper (or C++ bindings) for the GnuPG project's gpgme (GnuPG Made Easy) library. . This package is part of the KDE Development Platform PIM libraries module. Package: libgpgme-ruby Source: ruby-gpgme Version: 2.0.0-2 Installed-Size: 3 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gpgme Size: 3264 SHA256: a65ebfe214940cac5672b0c6083c41767a01a0030702fc350b0718b42c183618 SHA1: 31943bd15ed3bddeca2f40336ec3dcfae3dd9a4c MD5sum: e74c96a7bccd97c5a3b217614be5ba27 Description: Transitional package for ruby-gpgme This is a transitional package to ease upgrades to the ruby-gpgme package. It can safely be removed. Homepage: http://github.com/ueno/ruby-gpgme Tag: devel::lang:ruby Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gpgme/libgpgme-ruby_2.0.0-2_all.deb Package: libgpgme-ruby1.8 Source: ruby-gpgme Version: 2.0.0-2 Installed-Size: 3 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gpgme Size: 3270 SHA256: 5bb65a54aa13a8a32b0c63ea74f9c7e506c8efa273c8d0c1c1d7785056d90683 SHA1: 40b05ddf91b8e880f1d1b6dc93b36f9b161bb5b0 MD5sum: 162d6368b6249e5806e6219ee0b02c2c Description: Transitional package for ruby-gpgme This is a transitional package to ease upgrades to the ruby-gpgme package. It can safely be removed. Homepage: http://github.com/ueno/ruby-gpgme Tag: devel::lang:ruby, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gpgme/libgpgme-ruby1.8_2.0.0-2_all.deb Package: libgpgme-ruby1.9.1 Source: ruby-gpgme Version: 2.0.0-2 Installed-Size: 3 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gpgme Size: 3270 SHA256: 99cc93cfd6e93f042580f6b1fb96b4db811d870bdcd6530b97dc077386fbe519 SHA1: 3be79d387bff23d2447fcb871721347d37124699 MD5sum: 27fdb135f68284caf1d266cef7ff1c09 Description: Transitional package for ruby-gpgme This is a transitional package to ease upgrades to the ruby-gpgme package. It can safely be removed. Homepage: http://github.com/ueno/ruby-gpgme Tag: devel::lang:ruby, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gpgme/libgpgme-ruby1.9.1_2.0.0-2_all.deb Package: libgpgme11 Source: gpgme1.0 Version: 1.2.0-1.4+deb7u1 Architecture: armhf Maintainer: Jose Carlos Garcia Sogo Installed-Size: 598 Depends: libc6 (>= 2.13-28), libgpg-error0 (>= 1.10), libpth20 (>= 2.0.7), gnupg (>= 1.4.6-2) Suggests: gpgsm (>= 1.9.6), gnupg2 (>= 2.0.4) Priority: optional Section: libs Filename: pool/main/g/gpgme1.0/libgpgme11_1.2.0-1.4+deb7u1_armhf.deb Size: 301350 SHA256: c60d19e5f3ad4b85019b1331ba107448c89e5c25ee5f1a806cd729e5bf2ca0e4 SHA1: 7f2838271e50f2201340bf66932b50b18ec9ba13 MD5sum: bea00253cb1662df68908b8ed82ca0d1 Description: GPGME - GnuPG Made Easy GPGME is a wrapper library which provides a C API to access some of the GnuPG functions, such as encrypt, decrypt, sign, verify, ... Package: libgpgme11-dev Source: gpgme1.0 Version: 1.2.0-1.4+deb7u1 Architecture: armhf Maintainer: Jose Carlos Garcia Sogo Installed-Size: 1257 Depends: libgpgme11 (= 1.2.0-1.4+deb7u1), libc6-dev, libgpg-error-dev, libpth-dev Conflicts: libgpgme-dev, libgpgme10-dev Priority: optional Section: libdevel Filename: pool/main/g/gpgme1.0/libgpgme11-dev_1.2.0-1.4+deb7u1_armhf.deb Size: 513336 SHA256: 40226a571824e3a10d1df5cd543f33610586aa3572ba3248b4eb02496fc01fac SHA1: 821f6365191f420c5949459371a43ed8b12b1a42 MD5sum: 40ebc581ff47b409a3cd5ed645e5e0ba Description: GPGME - GnuPG Made Easy GPGME is a wrapper library which provides a C API to access some of the GnuPG functions, such as encrypt, decrypt, sign, verify, ... . This package contains the headers and other files needed to compile against this library. Package: libgphoto2-2 Source: libgphoto2 Version: 2.4.14-2 Architecture: armhf Maintainer: Debian PhotoTools Maintainers Installed-Size: 2929 Pre-Depends: dpkg (>= 1.15.7.2), multiarch-support Depends: libc6 (>= 2.13-28), libexif12, libgcc1 (>= 1:4.4.0), libgd2-xpm (>= 2.0.36~rc1~dfsg), libgphoto2-port0 (>= 2.4.10.1), libjpeg8 (>= 8c), libltdl7 (>= 2.4.2) Recommends: udev (>= 0.175), libgphoto2-l10n (>= 2.4.14-2) Suggests: gphoto2 (>= 2.1.1) Breaks: gphoto2 (<= 2.1.1) Multi-Arch: same Homepage: http://www.gphoto.org/proj/libgphoto2/ Priority: optional Section: libs Filename: pool/main/libg/libgphoto2/libgphoto2-2_2.4.14-2_armhf.deb Size: 981426 SHA256: 769024194a651d63b754ef30a4a749e3de385dd207f92682b969198087b09834 SHA1: c41ab9b2f7cc06730bbc9e272bb7472ba80791eb MD5sum: d5fed13080f5401462cf0f850678c16f Description: gphoto2 digital camera library The gphoto2 library can be used by applications to access various digital camera models, via standard protocols such as USB Mass Storage and PTP, or vendor-specific protocols. . This package contains the library. . The gphoto2 command-line frontend is shipped separately, in the gphoto2 package. Package: libgphoto2-2-dev Source: libgphoto2 Version: 2.4.14-2 Architecture: armhf Maintainer: Debian PhotoTools Maintainers Installed-Size: 6266 Depends: libgphoto2-2 (= 2.4.14-2), libexif-dev, libusb-dev, libc-dev, pkg-config Homepage: http://www.gphoto.org/proj/libgphoto2/ Priority: optional Section: libdevel Filename: pool/main/libg/libgphoto2/libgphoto2-2-dev_2.4.14-2_armhf.deb Size: 2570484 SHA256: 82e134bf7b2a27558b970b730bbfe743d15eb2bbbb2e3b0e5ffb9f0e9e9911a4 SHA1: 178aff897838df51cbd4b9660718bd0c416b53ea MD5sum: 90b915a735d7044fed2d50281c844d33 Description: gphoto2 digital camera library (development files) The gphoto2 library can be used by applications to access various digital camera models, via standard protocols such as USB Mass Storage and PTP, or vendor-specific protocols. . This package contains the development files. Package: libgphoto2-dev-doc Source: libgphoto2 Version: 2.4.14-2 Installed-Size: 5769 Maintainer: Debian PhotoTools Maintainers Architecture: all Depends: libjs-jquery Size: 2928338 SHA256: 162c272cd5f7b3a79c29d3151c2ece9eea3f5f1851039924b18ffd2b1361f993 SHA1: f88e721818c47a2ffa112032cad4b16071b18e93 MD5sum: b61d3e429484e6be320936c22225e5ff Description: gphoto2 digital camera library (development documentation) The gphoto2 library can be used by applications to access various digital camera models, via standard protocols such as USB Mass Storage and PTP, or vendor-specific protocols. . This package contains the development documentation. Homepage: http://www.gphoto.org/proj/libgphoto2/ Tag: devel::doc, hardware::camera, hardware::usb, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libgphoto2/libgphoto2-dev-doc_2.4.14-2_all.deb Package: libgphoto2-l10n Source: libgphoto2 Version: 2.4.14-2 Installed-Size: 2033 Maintainer: Debian PhotoTools Maintainers Architecture: all Replaces: libgphoto2-2 (<= 2.4.10.1-4) Breaks: libgphoto2-2 (<= 2.4.10.1-4) Size: 756654 SHA256: ab8a908ff348cb3e1d99708675a36183f7491868f4cd115f403dbd74ecd5576d SHA1: cc6e36440ea312d7a5e914ff5c35b25d26a9b3be MD5sum: ba519bc27aa9a32fb62acd5b54336b3a Description: gphoto2 digital camera library - localized messages The gphoto2 library can be used by applications to access various digital camera models, via standard protocols such as USB Mass Storage and PTP, or vendor-specific protocols. . This package contains the localized messages for the library. Multi-Arch: foreign Homepage: http://www.gphoto.org/proj/libgphoto2/ Section: localization Priority: optional Filename: pool/main/libg/libgphoto2/libgphoto2-l10n_2.4.14-2_all.deb Package: libgphoto2-port0 Source: libgphoto2 Version: 2.4.14-2 Architecture: armhf Maintainer: Debian PhotoTools Maintainers Installed-Size: 552 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libltdl7 (>= 2.4.2), libusb-0.1-4 (>= 2:0.1.12) Suggests: gphoto2 (>> 2.1.0), gtkam Conflicts: libgphoto2port Breaks: gphoto2 (<= 2.1.1) Replaces: libgphoto2port Provides: libgphoto2port Multi-Arch: same Homepage: http://www.gphoto.org/proj/libgphoto2/ Priority: optional Section: libs Filename: pool/main/libg/libgphoto2/libgphoto2-port0_2.4.14-2_armhf.deb Size: 153332 SHA256: 4b36afeed2c0304df0f5819a90ceb1b1f1ffc38497c2f3ceedbd12bbb13f4713 SHA1: f93d91980055e95d0bbe2235e52add7f42e83dfe MD5sum: 8f04564837a02d027b490118500dd651 Description: gphoto2 digital camera port library The gphoto2 library can be used by applications to access various digital camera models, via standard protocols such as USB Mass Storage and PTP, or vendor-specific protocols. . This package contains the runtime code for port access. Package: libgpiv-mpi3 Source: libgpiv Version: 0.6.1-4 Architecture: armhf Maintainer: Gerber van der Graaf Installed-Size: 235 Depends: libc6 (>= 2.13-28), libfftw3-3, libglib2.0-0 (>= 2.16.0), libgomp1 (>= 4.2.1), libgsl0ldbl (>= 1.9), libhdf5-7, libopenmpi1.3, libpng12-0 (>= 1.2.13-4), libgpiv3-common (= 0.6.1-4) Homepage: http://libgpiv.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libg/libgpiv/libgpiv-mpi3_0.6.1-4_armhf.deb Size: 97778 SHA256: 0355a7499022d8cd754dd0df1a2d5db74bfca760a377d98593eee2b516d07a23 SHA1: b4cf2b03101a2014b595e9ec47e3a33ac88f87ee MD5sum: 08423afd7b37d430c993aab657f8a5ec Description: library for Particle Image Velocimetry (PIV) - MPI version This library contains functions for evaluating images from a fluid flow by means of Particle Image Velocimetry (PIV). . PIV is a way to film the wind or liquid flows. It uses a sequence of at least two images that are recorded with a well controlled separation time in-between. Tracer particles that are resident in the fluid may be illuminated by a light source, mostly a laser, that has been re-shaped into a sheet of light. In this way, the average displacement and the deformation of the image texture is obtained. Applying this analyses on small samples of the images on a (rectangular) grid results into an instantaneous velocity field of the fluid flow. PIV may also be applied for other purposes, like deformations and vibrations of solid surfaces. . This package contains the parallelized library using Message Passing Interface (MPI). Package: libgpiv3 Source: libgpiv Version: 0.6.1-4 Architecture: armhf Maintainer: Gerber van der Graaf Installed-Size: 225 Depends: libc6 (>= 2.13-28), libfftw3-3, libglib2.0-0 (>= 2.16.0), libgomp1 (>= 4.2.1), libgsl0ldbl (>= 1.9), libhdf5-7, libpng12-0 (>= 1.2.13-4), libgpiv3-common Homepage: http://libgpiv.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libg/libgpiv/libgpiv3_0.6.1-4_armhf.deb Size: 94058 SHA256: 520bc5b095b7a469a82fb5212a5bd75c6b32df126aae8d498046f69f96b24ba9 SHA1: 74f8c62256bd08fe3500eaf97c4fbd3a7d421d26 MD5sum: e040e5486699fb7ffb408c54247bd8e8 Description: library for Particle Image Velocimetry (PIV) This library contains functions for evaluating images from a fluid flow by means of Particle Image Velocimetry (PIV). . PIV is a way to film the wind or liquid flows. It uses a sequence of at least two images that are recorded with a well controlled separation time in-between. Tracer particles that are resident in the fluid may be illuminated by a light source, mostly a laser, that has been re-shaped into a sheet of light. In this way, the average displacement and the deformation of the image texture is obtained. Applying this analyses on small samples of the images on a (rectangular) grid results into an instantaneous velocity field of the fluid flow. PIV may also be applied for other purposes, like deformations and vibrations of solid surfaces. . This library includes the core functions for image recording, processing, interrogation, PIV data validation, post-processing, input/output functions and memory allocation. Package: libgpiv3-common Source: libgpiv Version: 0.6.1-4 Architecture: armhf Maintainer: Gerber van der Graaf Installed-Size: 45 Conflicts: libgpiv3 (<= 0.5.3) Replaces: libgpiv3 (<= 0.5.3) Homepage: http://libgpiv.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libg/libgpiv/libgpiv3-common_0.6.1-4_armhf.deb Size: 8898 SHA256: 173370a56c59ae10db428ce936ed16664447131e682bf93200f4fdb5c37d78e9 SHA1: 166e26a668cf47d5ed3ccf1bc36a4dd9e5a989a8 MD5sum: 1e1994dee8efe3cfd1a49e9592fa94c1 Description: library for Particle Image Velocimetry (PIV) - common files This library contains functions for evaluating images from a fluid flow by means of Particle Image Velocimetry (PIV). . This package contains the files that the libgpiv3-* packages share, like the configuration file. Package: libgpiv3-dbg Source: libgpiv Version: 0.6.1-4 Architecture: armhf Maintainer: Gerber van der Graaf Installed-Size: 734 Depends: libgpiv3 (= 0.6.1-4) Homepage: http://libgpiv.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/libg/libgpiv/libgpiv3-dbg_0.6.1-4_armhf.deb Size: 269380 SHA256: 2f0aeda43c439e33e0d5b8b6d7437bf3c1120af58c1924cdd818be61556fe812 SHA1: 4d29de0c112307c410ef60384d2821bb10edb511 MD5sum: 8c92c966b8c5d2fcfae8b0894fe25e68 Description: library for Particle Image Velocimetry (PIV) - debug symbols This library contains functions for evaluating images from a fluid flow by means of Particle Image Velocimetry (PIV), resulting into a velocity field of the fluid flow. It includes the core functions for interrogation, data validation, post-processing, input/output and memory allocation. . This package contains debug symbols for libgpiv3. It is provided primarily to provide a backtrace with names in a debugger. This makes it somewhat easier to interpret core dumps. The library is installed in /usr/lib/debug and can be used by placing that directory in LD_LIBRARY_PATH. Most people will not need this package. Package: libgpiv3-dev Source: libgpiv Version: 0.6.1-4 Architecture: armhf Maintainer: Gerber van der Graaf Installed-Size: 744 Depends: libgpiv3 (= 0.6.1-4), libgpiv-mpi3 (= 0.6.1-4) Conflicts: libgpiv2-dev Replaces: libgpiv2-dev Homepage: http://libgpiv.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/libg/libgpiv/libgpiv3-dev_0.6.1-4_armhf.deb Size: 233440 SHA256: 8c441918dd41236b918cfc7d115dfb2a98474eecf51c8a7066c339f655730337 SHA1: b9f4dfff51823ac7afb4702563235d28e60213b3 MD5sum: d51cbc44d7cc0df676f93af0549d5c4d Description: library for Particle Image Velocimetry (PIV) - development files This library contains functions for evaluating images from a fluid flow by means of Particle Image Velocimetry (PIV), resulting into a velocity field of the fluid flow. It includes the core functions for interrogation, data validation, post-processing, input/output and memory allocation. . This package contains the headers and statically linked libraries (serial as well as parallelized using MPI). Package: libgpiv3-doc Source: libgpiv Version: 0.6.1-4 Installed-Size: 2386 Maintainer: Gerber van der Graaf Architecture: all Size: 378030 SHA256: 754da9c1632c1ea044e6fb2eadfe47326aaa64de2609e28353564be1138f5f82 SHA1: 38863738297ab2e209924b53ce1d663e775fbf28 MD5sum: c0255bbc75b79763160df6ccfefc9dc4 Description: library for Particle Image Velocimetry (PIV) - documentation This library contains functions for evaluating images from a fluid flow by means of Particle Image Velocimetry (PIV), resulting into a velocity field of the fluid flow. It includes the core functions for interrogation, data validation, post-processing, input/output and memory allocation. . This package contains the Application Programming Interface (API) documentation, generated by doxygen in html format. Homepage: http://libgpiv.sourceforge.net/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libgpiv/libgpiv3-doc_0.6.1-4_all.deb Package: libgpm-dev Source: gpm Version: 1.20.4-6 Architecture: armhf Maintainer: Peter Samuelson Installed-Size: 91 Depends: libgpm2 (= 1.20.4-6), libc6-dev | libc-dev Conflicts: libgpmg1-dev Provides: libgpmg1-dev Multi-Arch: same Homepage: http://www.nico.schottelius.org/software/gpm/ Priority: optional Section: libdevel Filename: pool/main/g/gpm/libgpm-dev_1.20.4-6_armhf.deb Size: 38624 SHA256: 09a0c5a8140d9d63af9dccc241750cd2b37260a465d3a47fb5ba07adbcac7455 SHA1: 2a52f21147258f8dc907d3b8830804c40c215c3f MD5sum: a81f378222db5afd9cbc59d5c45da0cf Description: General Purpose Mouse - development files This package provides a library that handles mouse requests and delivers them to applications. See the description for the 'gpm' package for more information. Package: libgpm2 Source: gpm Version: 1.20.4-6 Architecture: armhf Maintainer: Peter Samuelson Installed-Size: 75 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Suggests: gpm Multi-Arch: same Homepage: http://www.nico.schottelius.org/software/gpm/ Priority: standard Section: libs Filename: pool/main/g/gpm/libgpm2_1.20.4-6_armhf.deb Size: 34852 SHA256: c80b8b53f7cff320986a2d2e8754a03e24a44a5e15669d8be0be164f46980081 SHA1: ad456aa61e3ef25ab43170cf0feec2cc81ee5359 MD5sum: f8511c606144d063e96730165df3a9c7 Description: General Purpose Mouse - shared library This package provides a library that handles mouse requests and delivers them to applications. See the description for the 'gpm' package for more information. Package: libgpod-cil Source: libgpod Version: 0.8.2-7+rpi1 Architecture: armhf Maintainer: gtkpod Maintainers Installed-Size: 128 Depends: libglib2.0-cil (>= 2.12.10-1ubuntu1), libgpod4 (>= 0.7.94), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3) Homepage: http://www.gtkpod.org/wiki/Libgpod Priority: optional Section: cli-mono Filename: pool/main/libg/libgpod/libgpod-cil_0.8.2-7+rpi1_armhf.deb Size: 68430 SHA256: 7af7226120da533f1b392b5bd0e4cc497757c2c7a90084ee685d3341ed501daf SHA1: 4c0cd31bae743dfc0b17672e481245c26a81d81a MD5sum: 6e96a8b9739ed5fe8cbe8623d7b18cf0 Description: CLI bindings for libgpod libgpod is a library meant to abstract access to an iPod's content. It provides an easy way to use API to retrieve the list of files and playlist storeed on an iPod, to modify them and to save them back to the iPod. . This package contains the managed CLI bindings for libgpod, which are needed to run CLI applications which use this library. Package: libgpod-cil-dev Source: libgpod Version: 0.8.2-7+rpi1 Architecture: armhf Maintainer: gtkpod Maintainers Installed-Size: 87 Depends: libgpod-cil (= 0.8.2-7+rpi1) Homepage: http://www.gtkpod.org/wiki/Libgpod Priority: optional Section: cli-mono Filename: pool/main/libg/libgpod/libgpod-cil-dev_0.8.2-7+rpi1_armhf.deb Size: 51474 SHA256: 5bcb880d2c4083c3d2b5102e2b45cfce56f24c088daa6154792320391e58a12b SHA1: 3888aef6ef5a836f38578969f097716ec4499cc3 MD5sum: 6d7588de706570d8f37a3239646cf6fe Description: CLI bindings for libgpod -- development files libgpod is a library meant to abstract access to an iPod's content. It provides an easy way to use API to retrieve the list of files and playlist storeed on an iPod, to modify them and to save them back to the iPod. . This package contains the development files for libgpod-sharp library, and should be used for compilation of CLI applications which use this library. Package: libgpod-common Source: libgpod Version: 0.8.2-7+rpi1 Architecture: armhf Maintainer: gtkpod Maintainers Installed-Size: 366 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libgpod4-nogtk (>= 0.7.90) | libgpod4 (>= 0.7.90), libimobiledevice2 (>= 0.9.7), libplist1 (>= 0.16), libsgutils2-2 (>= 1.27), libusb-1.0-0 (>= 2:1.0.8), libxml2 (>= 2.7.4) Replaces: libgpod0 (<< 0.3.0-4) Homepage: http://www.gtkpod.org/wiki/Libgpod Priority: optional Section: libs Filename: pool/main/libg/libgpod/libgpod-common_0.8.2-7+rpi1_armhf.deb Size: 107174 SHA256: 1983b4c8b5e939cf19c60711f23dd505531e603e984574216d4ab0e9205f9eec SHA1: f3f2808bdcecc48e1d8e04f6ddc9f30d58e653fd MD5sum: 82f9e3ef502d71669e21a21316f201b8 Description: common files for libgpod libgpod is a library meant to abstract access to an iPod's content. It provides an easy to use API to retrieve the list of files and playlist stored on an iPod, to modify them and to save them back to the iPod. . This package contains - internationalization support - udev support Package: libgpod-dev Source: libgpod Version: 0.8.2-7+rpi1 Architecture: armhf Maintainer: gtkpod Maintainers Installed-Size: 642 Depends: libgpod4 (= 0.8.2-7+rpi1), libgtk2.0-dev, libglib2.0-dev, libgdk-pixbuf2.0-dev, libimobiledevice-dev Suggests: libgpod-doc Conflicts: libgpod-nogtk-dev Homepage: http://www.gtkpod.org/wiki/Libgpod Priority: optional Section: libdevel Filename: pool/main/libg/libgpod/libgpod-dev_0.8.2-7+rpi1_armhf.deb Size: 249518 SHA256: 815dbea7853d872a1c2e39594bf7a0c686769c87c222740ab83fadec5e208848 SHA1: 65d0e7234bb842b9167764f17d8ad63cbfb32075 MD5sum: d2d191933e70bede21d9e3a70f9d4cf7 Description: development files for libgpod libgpod is a library meant to abstract access to an iPod's content. It provides an easy to use API to retrieve the list of files and playlist stored on an iPod, to modify them and to save them back to the iPod. . This package contains the include files and static library. Package: libgpod-doc Source: libgpod Version: 0.8.2-7+rpi1 Architecture: all Maintainer: gtkpod Maintainers Installed-Size: 800 Replaces: libgpod-common (<< 0.6.0-6), libgpod0 (<< 0.3.0-4) Homepage: http://www.gtkpod.org/wiki/Libgpod Priority: optional Section: doc Filename: pool/main/libg/libgpod/libgpod-doc_0.8.2-7+rpi1_all.deb Size: 149288 SHA256: 12c33336858e9180d4505244518eb93ed0f8afc553154fbc9618e791ab6996cd SHA1: 7f9c545264d43996e3a32dcb4522cc5c741c4269 MD5sum: ceb47dcf9133f2ac950d27967f541a42 Description: documentation for libgpod libgpod is a library meant to abstract access to an iPod's content. It provides an easy to use API to retrieve the list of files and playlist stored on an iPod, to modify them and to save them back to the iPod. . This package contains documentation and examples. Package: libgpod-nogtk-dev Source: libgpod Version: 0.8.2-7+rpi1 Architecture: armhf Maintainer: gtkpod Maintainers Installed-Size: 446 Depends: libgpod4-nogtk (= 0.8.2-7+rpi1), libglib2.0-dev, libimobiledevice-dev Suggests: libgpod-doc Conflicts: libgpod-dev Homepage: http://www.gtkpod.org/wiki/Libgpod Priority: extra Section: libdevel Filename: pool/main/libg/libgpod/libgpod-nogtk-dev_0.8.2-7+rpi1_armhf.deb Size: 137870 SHA256: 97e4dc82bc4fc48d059451fb00102bd2938a76290a374ae36aeff9bddf8d45f5 SHA1: 462a305b2896d393ee21bb571884c56041617d55 MD5sum: 827844f81370771b00efac82a6f9fb0e Description: development files for libgpod (version without artwork support) libgpod is a library meant to abstract access to an iPod's content. It provides an easy to use API to retrieve the list of files and playlist stored on an iPod, to modify them and to save them back to the iPod. . This version does not include artwork support. . This package contains the include files and static library. Package: libgpod4 Source: libgpod Version: 0.8.2-7+rpi1 Architecture: armhf Maintainer: gtkpod Maintainers Installed-Size: 517 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libimobiledevice2 (>= 0.9.7), libplist1 (>= 0.16), libsqlite3-0 (>= 3.5.9), libxml2 (>= 2.7.4), zlib1g (>= 1:1.2.0) Recommends: libgpod-common Conflicts: libgpod4-nogtk Multi-Arch: same Homepage: http://www.gtkpod.org/wiki/Libgpod Priority: optional Section: libs Filename: pool/main/libg/libgpod/libgpod4_0.8.2-7+rpi1_armhf.deb Size: 265290 SHA256: f36610a63985e4aab2fe324855f54376abc8bf6a230c524fbdc25c1cd835e737 SHA1: 67e35e865fc97787ec5421899030f9dab91ce452 MD5sum: 87e81ea059e36eb4cf436bbc3bc8ae23 Description: library to read and write songs and artwork to an iPod libgpod is a library meant to abstract access to an iPod's content. It provides an easy to use API to retrieve the list of files and playlist stored on an iPod, to modify them and to save them back to the iPod . This package contains the shared library. Package: libgpod4-nogtk Source: libgpod Version: 0.8.2-7+rpi1 Architecture: armhf Maintainer: gtkpod Maintainers Installed-Size: 341 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libimobiledevice2 (>= 0.9.7), libplist1 (>= 0.16), libsqlite3-0 (>= 3.5.9), libxml2 (>= 2.7.4), zlib1g (>= 1:1.2.0) Recommends: libgpod-common Conflicts: libgpod4 Multi-Arch: same Homepage: http://www.gtkpod.org/wiki/Libgpod Priority: extra Section: libs Filename: pool/main/libg/libgpod/libgpod4-nogtk_0.8.2-7+rpi1_armhf.deb Size: 158868 SHA256: aab64ff0a87d4fa3999622aa86b01008bdd3b4e001357403386140be9c4d9251 SHA1: 35fb10f10581986ffa56623bfa6dab04a3cecda8 MD5sum: ca5a6af855b269ba70660a3160d4a06f Description: library to read and write songs to an iPod libgpod is a library meant to abstract access to an iPod's content. It provides an easy to use API to retrieve the list of files and playlist stored on an iPod, to modify them and to save them back to the iPod. . This version does not include artwork support. . This package contains the shared library. Package: libgportugol-dev Source: gpt Version: 1.1-2 Architecture: armhf Maintainer: Marcelo Jorge Vieira (metal) Installed-Size: 1640 Depends: libgportugol0 (= 1.1-2), antlr, libantlr-dev, libpcre3-dev Homepage: http://gpt.berlios.de Priority: optional Section: libdevel Filename: pool/main/g/gpt/libgportugol-dev_1.1-2_armhf.deb Size: 509808 SHA256: d08ce70f32cb7d612fe88cbf267650c5f4079b48b103a141de2fbe94ca7f1a90 SHA1: b3992bd2c92b41917b9d7b662e2a387ee6fb68fd MD5sum: ce999ec9cb00a86d816dccb74f9866c8 Description: Development files for the G-Portugol library G-Portugol is a portuguese structured programming language, based on the popular, freeform, pseudocode known as portugol. The compiler features native compilation, translation to C code, and interpretation of algorithms. Package: libgportugol0 Source: gpt Version: 1.1-2 Architecture: armhf Maintainer: Marcelo Jorge Vieira (metal) Installed-Size: 943 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://gpt.berlios.de Priority: optional Section: libs Filename: pool/main/g/gpt/libgportugol0_1.1-2_armhf.deb Size: 376080 SHA256: 9e1a9165336dcd348129c61e1d5c430cad28ce759ccf10d14b2d9cdb489e68d6 SHA1: d992411c5a32ebf198e1e4a2fd48ecd8b6a292f3 MD5sum: 0fde6b3171ba6ecad135e2a50f025dcf Description: G-Portugol library G-Portugol is a portuguese structured programming language, based on the popular, freeform, pseudocode known as portugol. The compiler features native compilation, translation to C code, and interpretation of algorithms. Package: libgps-dev Source: gpsd Version: 3.6-4+deb7u1 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 306 Depends: libgps20 (= 3.6-4+deb7u1), pkg-config Conflicts: gpsd (<< 2.34.dfsg-1) Homepage: http://www.catb.org/gpsd/ Priority: optional Section: libdevel Filename: pool/main/g/gpsd/libgps-dev_3.6-4+deb7u1_armhf.deb Size: 138080 SHA256: 18e533ebee7eb2bfe93a4187e6cc23727d44f4448fd1646e21493a18cddbaad2 SHA1: 51bd0980581cc625506f954fe938d549e393eff5 MD5sum: 365f8f1c8a61cf9bd1c07a54449db683 Description: Global Positioning System - development files The gpsd service daemon can monitor one or more GPS devices connected to a host computer, making all data on the location and movements of the sensors available to be queried on TCP port 2947. . This package contains the header and development files needed to build programs and packages using libgps. Package: libgps-point-perl Version: 0.17-1 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl, libtest-number-delta-perl Size: 12898 SHA256: 0c67bf4aba8caeb33dd2f8b1906d387bf2f9b51f93ea50131850b801f8f3fdc0 SHA1: b59812122a0f6dce9790caae2a7ad9cd3697d6be MD5sum: 6a54731980c62b3fcf9fa192a305b08b Description: module providing an object interface for a GPS point GPS::Point provides an object interface for a GPS fix (e.g. Position, Velocity and Time). . Note: Please use Geo::Point, if you want 2D or projection support. Homepage: http://search.cpan.org/dist/GPS-Point/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgps-point-perl/libgps-point-perl_0.17-1_all.deb Package: libgps20 Source: gpsd Version: 3.6-4+deb7u1 Architecture: armhf Maintainer: Bernd Zeimetz Installed-Size: 455 Pre-Depends: multiarch-support Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1), libusb-1.0-0 (>= 2:1.0.8) Suggests: gpsd Conflicts: fso-gpsd Multi-Arch: same Homepage: http://www.catb.org/gpsd/ Priority: optional Section: libs Filename: pool/main/g/gpsd/libgps20_3.6-4+deb7u1_armhf.deb Size: 233238 SHA256: 03119fe60d8ceb54066147c3f400d42d9322a36f544c50663b61fa4c8de83cd3 SHA1: c0e96aa602a77b817ca6b6169cdb8a14d8a549a1 MD5sum: 71160d8004c2705a0d75ee86f4c3bf82 Description: Global Positioning System - library The gpsd service daemon can monitor one or more GPS devices connected to a host computer, making all data on the location and movements of the sensors available to be queried on TCP port 2947. . This package provides libgps, a C service library for querying GPS devices. It supports both a low-level interface, which communicates directly with the device to which the GPS is connected, and a high-level interface, which goes through gpsd and is intended for concurrent use by several applications. Package: libgradle-announce-java Source: gradle Version: 1.0~m3-1 Installed-Size: 80 Maintainer: Debian Java Maintainers Architecture: all Depends: libgradle-core-java (= 1.0~m3-1) Size: 41002 SHA256: 481948fcb5bfee5caee295b32b6d60023cf2bf63baaa58436f6489325db9ff84 SHA1: 0ba30bebbc2f6286bf3cf85a6e25c56430665f7e MD5sum: ddd0f062d17efbcc4f96256520fd43e5 Description: Groovy based build system - Announce plugin Gradle is a build system written in Groovy. It uses Groovy also as the language for its build scripts. It has a powerful multi-project build support. It has a layer on top of Ivy that provides a build-by-convention integration for Ivy. It gives you always the choice between the flexibility of Ant and the convenience of a build-by-convention behavior. . This package contains the Gradle announce plugin and enables you to publish messages on succeeded tasks to your favourite platforms. It supports Twitter, Ubuntu Notify, Snarl and Growl. Homepage: http://gradle.org/ Section: java Priority: optional Filename: pool/main/g/gradle/libgradle-announce-java_1.0~m3-1_all.deb Package: libgradle-antlr-java Source: gradle Version: 1.0~m3-1 Installed-Size: 60 Maintainer: Debian Java Maintainers Architecture: all Depends: libgradle-core-java (= 1.0~m3-1) Suggests: antlr Size: 21142 SHA256: 3bdc7fc4b002e0181479cb625d8642c92370cf0f60d74ab3cc5d1d213158620b SHA1: 6b84494fdd4b1f040ef3570823350542d77754c7 MD5sum: 0a521bdca9914b4765a93547382cca64 Description: Groovy based build system - ANTLR plugin Gradle is a build system written in Groovy. It uses Groovy also as the language for its build scripts. It has a powerful multi-project build support. It has a layer on top of Ivy that provides a build-by-convention integration for Ivy. It gives you always the choice between the flexibility of Ant and the convenience of a build-by-convention behavior. . This package contains the Gradle ANTLR plugin that extends the Java plugin to add support for generating parsers using ANTLR. Homepage: http://gradle.org/ Section: java Priority: optional Filename: pool/main/g/gradle/libgradle-antlr-java_1.0~m3-1_all.deb Package: libgradle-code-quality-java Source: gradle Version: 1.0~m3-1 Installed-Size: 104 Maintainer: Debian Java Maintainers Architecture: all Depends: libgradle-core-java (= 1.0~m3-1) Suggests: libcodenarc-groovy-java, checkstyle (>= 5.1) Size: 66202 SHA256: 6ade6266da1fec19846d2f87f9d2a2f7be0272e22d2aa55f70d6639a1ee9ccad SHA1: a4d573be2d6b07a238cdf9b219daf6d270b25c62 MD5sum: 77fdecf3a0faa3357c94f4295d17be00 Description: Groovy based build system - Code quality plugin Gradle is a build system written in Groovy. It uses Groovy also as the language for its build scripts. It has a powerful multi-project build support. It has a layer on top of Ivy that provides a build-by-convention integration for Ivy. It gives you always the choice between the flexibility of Ant and the convenience of a build-by-convention behavior. . This package contains the Gradle code quality plugin that adds tasks which perform code quality checks and generate reports from these checks. The following tools are supported: CodeNarc and checkstyle. Homepage: http://gradle.org/ Section: java Priority: optional Filename: pool/main/g/gradle/libgradle-code-quality-java_1.0~m3-1_all.deb Package: libgradle-core-java Source: gradle Version: 1.0~m3-1 Installed-Size: 1540 Maintainer: Debian Java Maintainers Architecture: all Depends: liblogback-java (>= 0.9.28), libgoogle-collections-java (>= 1.0), groovy, libjoptsimple-java, libcommons-collections3-java, libcommons-httpclient-java, libcommons-io-java, libcommons-lang-java, ivy, ant, libjansi-java, libasm3-java, libslf4j-java, libmaven-ant-tasks-java, libmaven2-core-java, libplexus-component-api-java, libplexus-utils-java Recommends: gradle (= 1.0~m3-1) Suggests: gradle-doc (= 1.0~m3-1) Size: 1329138 SHA256: 45525471e76daddd9f592da887d97712f3f966a1bec624425703bea5616381dc SHA1: b912a40438ee98947f2c30858df0d4f03bd56f4f MD5sum: efe78330b7ab1b2fb6a7f537a5a5470b Description: Groovy based build system - Core library Gradle is a build system written in Groovy. It uses Groovy also as the language for its build scripts. It has a powerful multi-project build support. It has a layer on top of Ivy that provides a build-by-convention integration for Ivy. It gives you always the choice between the flexibility of Ant and the convenience of a build-by-convention behavior. . This package contains the Gradle Core API library. Homepage: http://gradle.org/ Section: java Priority: optional Filename: pool/main/g/gradle/libgradle-core-java_1.0~m3-1_all.deb Package: libgradle-ide-java Source: gradle Version: 1.0~m3-1 Installed-Size: 756 Maintainer: Debian Java Maintainers Architecture: all Replaces: libgradle-eclipse-java (<< 1.0~), libgradle-idea-java (<< 1.0~) Depends: libgradle-core-java (= 1.0~m3-1) Recommends: eclipse-jdt Suggests: eclipse Breaks: libgradle-eclipse-java (<< 1.0~), libgradle-idea-java (<< 1.0~) Size: 683510 SHA256: a902870ff79f0142db907df255a1460040846d837e6a86e2d230dbbd50575c42 SHA1: db3eed8f1f3b66cb136ce3cdff467f29622be850 MD5sum: 66c79838871afda617a10cea45f6d0f3 Description: Groovy based build system - IDE support plugin Gradle is a build system written in Groovy. It uses Groovy also as the language for its build scripts. It has a powerful multi-project build support. It has a layer on top of Ivy that provides a build-by-convention integration for Ivy. It gives you always the choice between the flexibility of Ant and the convenience of a build-by-convention behavior. . This package contains plugins that provide integration with common used IDEs like Eclipse and IDEA IntelliJ. Homepage: http://gradle.org/ Section: java Priority: optional Filename: pool/main/g/gradle/libgradle-ide-java_1.0~m3-1_all.deb Package: libgradle-jetty-java Source: gradle Version: 1.0~m3-1 Installed-Size: 80 Maintainer: Debian Java Maintainers Architecture: all Depends: libgradle-core-java (= 1.0~m3-1) Suggests: libjetty-java Size: 41560 SHA256: 2267e0ebc729e1ce24806d0a37df0010d63bd2f4c351c6d22acea7fdb5b7224a SHA1: e518352ed1e071d3e6670946eccb010cb477cccd MD5sum: d24a8ee8762f674843bcede438bca5a3 Description: Groovy based build system - Jetty plugin Gradle is a build system written in Groovy. It uses Groovy also as the language for its build scripts. It has a powerful multi-project build support. It has a layer on top of Ivy that provides a build-by-convention integration for Ivy. It gives you always the choice between the flexibility of Ant and the convenience of a build-by-convention behavior. . This package contains the Gradle Jetty plugin that extends the War plugin to add tasks which allow you to deploy your web application to a Jetty web container embedded in the build. Homepage: http://gradle.org/ Section: java Priority: optional Filename: pool/main/g/gradle/libgradle-jetty-java_1.0~m3-1_all.deb Package: libgradle-maven-java Source: gradle Version: 1.0~m3-1 Installed-Size: 48 Maintainer: Debian Java Maintainers Architecture: all Depends: libgradle-core-java (= 1.0~m3-1) Suggests: maven2 Size: 10906 SHA256: 958a3dbd53ae98cfdc26f2486d5c7e2e545b1566037a238db4d0426f5fe7659a SHA1: 5b6d1fa8fef553c7f677ff6f0d90d2e0d654af94 MD5sum: 0009e5fb492ab8c12c0b4cb2ab18fbf5 Description: Groovy based build system - Maven plugin Gradle is a build system written in Groovy. It uses Groovy also as the language for its build scripts. It has a powerful multi-project build support. It has a layer on top of Ivy that provides a build-by-convention integration for Ivy. It gives you always the choice between the flexibility of Ant and the convenience of a build-by-convention behavior. . This package contains the Gradle Maven plugin. With Gradle you can deploy to remote Maven repositories or install to your local Maven repository. This includes all Maven metadata manipulation and works also for Maven snapshots. Homepage: http://gradle.org/ Section: java Priority: optional Filename: pool/main/g/gradle/libgradle-maven-java_1.0~m3-1_all.deb Package: libgradle-osgi-java Source: gradle Version: 1.0~m3-1 Installed-Size: 56 Maintainer: Debian Java Maintainers Architecture: all Depends: libgradle-core-java (= 1.0~m3-1) Suggests: bnd Size: 18420 SHA256: 0c5d719553ddaefed20905424d090a0bc08222a05b1e1fac27f0cada55f02df5 SHA1: 528293817e96377924cdf301f71d2c3020eaa8d8 MD5sum: fc90c1ece556bfb5fe23db7b97a5a212 Description: Groovy based build system - OSGi plugin Gradle is a build system written in Groovy. It uses Groovy also as the language for its build scripts. It has a powerful multi-project build support. It has a layer on top of Ivy that provides a build-by-convention integration for Ivy. It gives you always the choice between the flexibility of Ant and the convenience of a build-by-convention behavior. . This package contains the Gradle OSGi plugin. If the Java plugins is applied, the OSGi plugin replaces the manifest object of the default jar with an OsgiManifest object. The replaced manifest is merged into the new one. The OSGi plugin makes heavy use of Peter Kriens BND tool. Homepage: http://gradle.org/ Section: java Priority: optional Filename: pool/main/g/gradle/libgradle-osgi-java_1.0~m3-1_all.deb Package: libgradle-plugins-java Source: gradle Version: 1.0~m3-1 Installed-Size: 528 Maintainer: Debian Java Maintainers Architecture: all Depends: libgradle-core-java (= 1.0~m3-1) Size: 451372 SHA256: fad3e8a08f9b616483d29496f093a0f953a853de8313afb3fb04ee568c3cb12c SHA1: 2a9ff55d419927c028e002ff5d1141ec8817072d MD5sum: 33467e6f0d6578c94a09045fd9b21a57 Description: Groovy based build system - Core plugins Gradle is a build system written in Groovy. It uses Groovy also as the language for its build scripts. It has a powerful multi-project build support. It has a layer on top of Ivy that provides a build-by-convention integration for Ivy. It gives you always the choice between the flexibility of Ant and the convenience of a build-by-convention behavior. . This package contains the Gradle core plugins. Homepage: http://gradle.org/ Section: java Priority: optional Filename: pool/main/g/gradle/libgradle-plugins-java_1.0~m3-1_all.deb Package: libgradle-scala-java Source: gradle Version: 1.0~m3-1 Installed-Size: 128 Maintainer: Debian Java Maintainers Architecture: all Depends: libgradle-core-java (= 1.0~m3-1) Suggests: scala Size: 86980 SHA256: 2a5d069093fb3b805833f643fa015a620ca85d89ae827b8774c74cadb3f15d5c SHA1: 5fa03b7f9b2c74181f6d4b415f7075037672bf5c MD5sum: 4fd68e0d7f06cc68b7e5d541f8b390e6 Description: Groovy based build system - Scala plugin Gradle is a build system written in Groovy. It uses Groovy also as the language for its build scripts. It has a powerful multi-project build support. It has a layer on top of Ivy that provides a build-by-convention integration for Ivy. It gives you always the choice between the flexibility of Ant and the convenience of a build-by-convention behavior. . This package contains the Gradle Scala plugin that extends the Java Plugin to add support for Scala projects. It can deal with Scala-only projects and with mixed Java/Scala projects. It can even deal with Java-only projects. The Scala plugin supports joint compilation of Java and Scala source. This means your project can contain Scala classes which use Java classes, and vice versa. Homepage: http://gradle.org/ Section: java Priority: optional Filename: pool/main/g/gradle/libgradle-scala-java_1.0~m3-1_all.deb Package: libgradle-wrapper-java Source: gradle Version: 1.0~m3-1 Installed-Size: 72 Maintainer: Debian Java Maintainers Architecture: all Depends: libgradle-core-java (= 1.0~m3-1) Size: 36572 SHA256: 7db312937330657487e0749e551c9e22a0dfb7423a9c9e4b5d205603fddd5fd4 SHA1: 22aad2a2db11092c75f6cbc13e03da31b7807703 MD5sum: 493d8d2c7389cfe07f57b4102bd1401b Description: Groovy based build system - Wrapper plugin Gradle is a build system written in Groovy. It uses Groovy also as the language for its build scripts. It has a powerful multi-project build support. It has a layer on top of Ivy that provides a build-by-convention integration for Ivy. It gives you always the choice between the flexibility of Ant and the convenience of a build-by-convention behavior. . This package contains the Gradle Wrapper plugin that provides tasks that can download the gradle source distribution or check out Gradle from SVN. This is useful in those cases when Gradle is needed to build a project, but Gradle is not installed. Homepage: http://gradle.org/ Section: java Priority: optional Filename: pool/main/g/gradle/libgradle-wrapper-java_1.0~m3-1_all.deb Package: libgrantlee-core0 Source: grantlee Version: 0.1.4-1 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 540 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-script (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0) Homepage: http://www.grantlee.org/ Priority: extra Section: libs Filename: pool/main/g/grantlee/libgrantlee-core0_0.1.4-1_armhf.deb Size: 198958 SHA256: c310d42010cd7c6272c610a47ac83747a5fb21771caad163ddc51d3a5c017e9e SHA1: d419e821f3e95666cc91217211472fa2d0eda1c7 MD5sum: 3b63ee1fc354b97d42624d0069253b9d Description: Grantlee templating library for Qt - Core Grantlee is a string template engine based on the Django template system and written in Qt. . This package contains the core Grantlee library. It also includes the standard tag and filter plugins. Package: libgrantlee-dev Source: grantlee Version: 0.1.4-1 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 165 Depends: libgrantlee-core0 (= 0.1.4-1), libgrantlee-gui0 (= 0.1.4-1) Homepage: http://www.grantlee.org/ Priority: extra Section: libdevel Filename: pool/main/g/grantlee/libgrantlee-dev_0.1.4-1_armhf.deb Size: 29250 SHA256: 1fb238ab985ec0fb34d4f526200b917889efb2c422d0a276a953fa1d30e918bd SHA1: 325a7a9b94a83821a5c62bb9d6a01542ac46ff74 MD5sum: acc177ac2c0581b521240896d759de00 Description: Grantlee templating library development files Grantlee is a string template engine based on the Django template system and written in Qt. . This package contains the development files for building applications using grantlee. Package: libgrantlee-gui0 Source: grantlee Version: 0.1.4-1 Architecture: armhf Maintainer: Debian Krap Maintainers Installed-Size: 143 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.3.0) Homepage: http://www.grantlee.org/ Priority: extra Section: libs Filename: pool/main/g/grantlee/libgrantlee-gui0_0.1.4-1_armhf.deb Size: 41040 SHA256: f46637bdf6d2f75fc0122f0fb362357534ef52ab1fe39e1f05447983a827c277 SHA1: a8beb652791b7bd555248c94a4c8be8c70bd9108 MD5sum: fcaf7bf1eee0fe3763f4283e81e89a0c Description: Grantlee templating library for Qt - GUI Grantlee is a string template engine based on the Django template system and written in Qt. . This package contains the GUI part of Grantlee. Package: libgraph-easy-as-svg-perl Version: 0.23-1 Installed-Size: 140 Maintainer: Debian Perl Group Architecture: all Depends: perl, libgraph-easy-perl, libimage-info-perl (>= 1.28) Size: 26740 SHA256: 2ea4d4036b75c9d8e87a3332680831246e5fe6399840f45e41aaa0da5985b767 SHA1: 214801ee997759535d7a7e31565ea4e93dc6409d MD5sum: 679c16cc84a6259cc40d926ce3d6b496 Description: Perl module to output a Graph::Easy as Scalable Vector Graphics Graph::Easy::As_svg is a Perl module which contains just the code for converting a Graph::Easy object to a SVG (Scalable Vector Graphics) text. Homepage: http://search.cpan.org/dist/Graph-Easy-As_svg/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgraph-easy-as-svg-perl/libgraph-easy-as-svg-perl_0.23-1_all.deb Package: libgraph-easy-perl Version: 0.71-1 Installed-Size: 1047 Maintainer: Debian Perl Group Architecture: all Depends: perl Recommends: libgraph-easy-as-svg-perl Suggests: graphviz Size: 373616 SHA256: c28d03ec03d40e2c495b62774c9a4e1a680ebf8496d8e15243b159ccbf9a710a SHA1: ea8262425c6c5c331a5edec29a5632de0bf2e2ca MD5sum: b58bb457048bec9e361811b067da64d1 Description: Perl module to convert or render graphs (as ASCII, HTML, SVG or via Graphviz) Graph::Easy lets you generate graphs consisting of various shaped nodes connected by edges (with optional labels). . It can read and write graphs in a varity of formats, as well as render them via its own grid-based layouter. It has export filters for Graphviz, VCG (Visualizing Compiler Graphs), GDL (Graph Description Language) and GraphML. Import filters are for Graphviz, VCG and GDL. . Since the layouter works on a grid (manhattan layout), the output is most useful for flow charts, network diagrams, or hierarchy trees. . Graph::Easy has an easy-to-understand, compact and human readable graph description language. Homepage: http://search.cpan.org/dist/Graph-Easy/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgraph-easy-perl/libgraph-easy-perl_0.71-1_all.deb Package: libgraph-perl Version: 1:0.91-1 Installed-Size: 488 Maintainer: Steinar H. Gunderson Architecture: all Depends: libheap-perl, perl Size: 132152 SHA256: 188bacf436e4e2ebde38806220fe153d740f50b6df64486151c592487006134a SHA1: 16da7753c2c3c70ad465553857dd4c016bc99c05 MD5sum: 85fcc38b32c1af17b284f6c60e060fb2 Description: Perl module for graph data structures and algorithms This module contains the Graph module for Perl, a framework for creating abstract data structures called graphs and hypergraphs. (It is not for drawing any sort of graphics; for that, see the GD:: or Graphics:: set of modules.) It also contains implementations of several well-known algorithms that operate on graphs, such as finding transitive closures, strongly connected components (SCCs) and articulation points. Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgraph-perl/libgraph-perl_0.91-1_all.deb Package: libgraph-readwrite-perl Version: 2.03-1 Installed-Size: 154 Maintainer: Debian Perl Group Architecture: all Depends: perl, libgraph-perl, libio-all-perl, libparse-yapp-perl, libxml-parser-perl, libxml-writer-perl Size: 50800 SHA256: 99ae5f3bf216b412db8155b1dc844f7a32a67089d7d09c47dd15d75b4fdced29 SHA1: 4ada59c8bb3627ab1c7b884af77e7eeb25956156 MD5sum: b4331d200184b1dfa49821dd08090a70 Description: module for reading and writing directed graphs Graph::ReadWrite is a collection of perl classes for reading and writing directed graphs in a variety of file formats. The graphs are represented in Perl using Jarkko Hietaniemi's Graph classes. . There are two base classes, Graph::Reader which is the Base class for classes which read a graph file and create an instance of the Graph class, and Graph::Writer which is the Base class for classes which take an instance of the Graph class and write it out in a specific file format. . Supported formats: XML, Dot, VCG, daVinci, HTK Homepage: http://search.cpan.org/dist/Graph-ReadWrite/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgraph-readwrite-perl/libgraph-readwrite-perl_2.03-1_all.deb Package: libgraph-writer-graphviz-perl Version: 0.11-1 Installed-Size: 53 Maintainer: Debian Perl Group Architecture: all Depends: perl, libgraph-readwrite-perl, libgraphviz-perl, libio-all-perl Size: 6916 SHA256: f90ec521d03ee3ccf6ab8365ff6781822649a3801023066cdf130a4157ba61a6 SHA1: ba7f325c08b219dbead1da6cbda2837d8e22aa0d MD5sum: ce4bed7860f33dc72143bf9ff3fed45f Description: GraphViz Writer for Graph object Graph::Writer::GraphViz is a class for writing out a Graph object with GraphViz module. All GraphViz formats should be supported without a problem. . Unlike other Graph::Writer modules, this module provide an extra parameter '-format' to new() method, in order to save different format. Other supported GraphViz parameters are -layout, -ranksep, -shape, -fontsize, -arrowsize. Homepage: http://search.cpan.org/dist/Graph-Writer-GraphViz/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgraph-writer-graphviz-perl/libgraph-writer-graphviz-perl_0.11-1_all.deb Package: libgraph4 Source: graphviz Version: 2.26.3-14+deb7u2 Architecture: armhf Maintainer: David Claughton Installed-Size: 132 Depends: libc6 (>= 2.13-28), libcdt4, libltdl7 (>= 2.4.2) Conflicts: libgraphviz4 Homepage: http://www.graphviz.org/ Priority: optional Section: libs Filename: pool/main/g/graphviz/libgraph4_2.26.3-14+deb7u2_armhf.deb Size: 69388 SHA256: 5ef2f7b6c04ecc4aa3f3584d5854091dc3fab57a539c687307985eba2e3f12a5 SHA1: ae547854fdbbf84ccc368dc8c18759803731a88c MD5sum: ee6815ff7c82600bd3ecd3eadffc790d Description: rich set of graph drawing tools - graph library Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package contains the graph library. Package: libgraphics-color-perl Version: 0.29-1 Installed-Size: 136 Maintainer: Debian Perl Group Architecture: all Depends: perl, libmoosex-aliases-perl (>= 0.10), libmoosex-storage-perl, libcolor-library-perl, libmoose-perl (>= 0.74), libmoosex-types-perl, libmoosex-clone-perl Size: 32610 SHA256: 67d56118c0b77a5ff3ae3b5449b232d1656dcd4750e81ea2d89d037b03093296 SHA1: 51a67ab067b48d3fce7f1bea50cfd23b25e14fc9 MD5sum: 8d7ac55a0e875c0d1117aad7c6c237ac Description: module for manipulating colours in different colour spaces Graphics::Color is a Perl module that provides a device- and library-agnostic system for creating and manipulating colour definitions in various colour spaces. It currently supports colours specified as CMYK, HSL, RGB, YIQ and YUV. Homepage: http://search.cpan.org/dist/Graphics-Color/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgraphics-color-perl/libgraphics-color-perl_0.29-1_all.deb Package: libgraphics-colornames-perl Version: 2.11-4 Installed-Size: 148 Maintainer: Manoj Srivastava Architecture: all Depends: perl, libmodule-load-perl Recommends: libpod-coverage-perl, libtest-pod-perl Size: 41210 SHA256: 3bd6813319bf21612faf0b27835d9bc4a3a4359ef5119b0091272050b8c349ab SHA1: 2df8aa4d7387e5f1323a781562ba17f980b6fd5f MD5sum: 03904995374bc8f2ff9aa298aeb8f720 Description: defines RGB values for common color names This package defines RGB values for common color names. The intention is to: 1. provide a common module that authors can use with other modules to specify colors; and 2. free module authors from having to "re-invent the wheel" whenever they decide to give the users the option of specifying a color by name rather than RGB value. Tag: devel::lang:perl, devel::library, implemented-in::perl, works-with::image Section: perl Priority: optional Filename: pool/main/libg/libgraphics-colornames-perl/libgraphics-colornames-perl_2.11-4_all.deb Package: libgraphics-colornames-www-perl Version: 1.12-1 Installed-Size: 96 Maintainer: Debian Perl Group Architecture: all Depends: perl, libgraphics-colornames-perl Size: 18204 SHA256: bb7e97f2638873a519ffee5487d68cbe62bd60ee30b787fb79ed5cdd725afa60 SHA1: d060e374467ff459554fbcb5cea29907bd4c3f9c MD5sum: 297cc57d9cd978a7e0796b28794875f4 Description: module defining WWW color names and equivalent RGB values Graphics::ColorNames::WWW is a Perl module that defines color names and their associated color values (in RGB format) from various web specifications and implementations. Homepage: http://search.cpan.org/dist/Graphics-ColorNames-WWW/ Tag: devel::lang:perl, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgraphics-colornames-www-perl/libgraphics-colornames-www-perl_1.12-1_all.deb Package: libgraphics-colorobject-perl Version: 0.5.0-4 Installed-Size: 148 Maintainer: Manoj Srivastava Architecture: all Depends: perl, libgraphics-colornames-perl Size: 33180 SHA256: 01c0718ab839a6d2611b7be384e2e9aa887285bcf7e83992a9f81fd81f4260fa SHA1: c9b01381b922c4d89d028796b4d6cf028b7f89f5 MD5sum: 5d000cd37f008d833619e57126826203 Description: convert color specifications between color spaces Use this package to convert between all the common color spaces. As a pure Perl module, it is not very fast, and so it you want to convert entire images, this is probably not what you want. The emphasis is on completeness and accurate conversion. . Supported color spaces are: RGB (including sRGB, Rec 601, Rec 709, ITU, and about a dozen other RGB spaces), CMY, CMYK, HSL, HSV, XYZ, xyY, Lab, LCHab, Luv, LCHuv, YPbPr, YCbCr. Future support is planned for YUV, YIQ, YCC and possibly others. . Conversion between different RGB working spaces, and between different white-points, is fully supported. Tag: devel::lang:perl, devel::library, implemented-in::perl, works-with::image Section: perl Priority: optional Filename: pool/main/libg/libgraphics-colorobject-perl/libgraphics-colorobject-perl_0.5.0-4_all.deb Package: libgraphics-gnuplotif-perl Version: 1.6-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl, gnuplot Size: 21452 SHA256: 08f3a82559237c6baee6c3123929d2d888ddf4ff39c4c36cef319d3f0ab0c9ce SHA1: de9b0b03f626f4b1bae1631ae2666da60caf72c2 MD5sum: 91bf5717a3f64aef6a019b7fa3845f31 Description: dynamic Perl interface to gnuplot Graphics::GnuplotIF is a simple and easy to use dynamic Perl interface to gnuplot. It enables sending display requests asynchronously to gnuplot through simple Perl subroutine calls. . Several independent plots can be started from one script. Each plot has its own pipe. All pipes will be closed automatically by the destructor when the script terminates. The gnuplot processes terminate when the corresponding pipes are closed. Their graphical output will then disappear (but see parameter persist|new). Homepage: http://search.cpan.org/dist/Graphics-GnuplotIF/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgraphics-gnuplotif-perl/libgraphics-gnuplotif-perl_1.6-1_all.deb Package: libgraphics-libplot-perl Version: 2.2.2-5 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 240 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libplot2c2 Conflicts: libplot-perl (<< 2.2.2-5) Replaces: libplot-perl (<< 2.2.2-5) Provides: libplot-perl Homepage: http://search.cpan.org/dist/Graphics-Libplot/ Priority: extra Section: perl Filename: pool/main/libg/libgraphics-libplot-perl/libgraphics-libplot-perl_2.2.2-5_armhf.deb Size: 44262 SHA256: c76018031928c76f3aa2c39601a7b1b5f6194cede4c6df3cc736be5c25f2fdc8 SHA1: c00f2d18e2d649791515ea7edf86770fb2c1bc43 MD5sum: f8921a4df20a7343b736cacd592cfa2d Description: Perl interface to libplot Graphics::Libplot provides a Perl interface to libplot from plotutils. Only the C library routines are available with this package. Package: libgraphics-magick-perl Source: graphicsmagick Version: 1.3.16-1.1+deb7u19 Architecture: armhf Maintainer: Daniel Kobras Installed-Size: 189 Depends: perl (>= 5.14.2-21+rpi2+deb7u2), perlapi-5.14.2, libbz2-1.0, libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgomp1 (>= 4.2.1), libgraphicsmagick3 (>= 1.3.5), libice6 (>= 1:1.0.0), libjasper1, libjbig0, libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), libpng12-0 (>= 1.2.13-4), libsm6, libtiff4 (>> 3.9.5-3~), libwmf0.2-7 (>= 0.2.8.4), libx11-6, libxext6, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Suggests: graphicsmagick-dbg Priority: optional Section: perl Filename: pool/main/g/graphicsmagick/libgraphics-magick-perl_1.3.16-1.1+deb7u19_armhf.deb Size: 84882 SHA256: 90dbe7051f2f777e23db7721697512c3ee2d4e0279d4e46c2d8d9bbe9f6802b7 SHA1: 8a83efb55079833899139b3fec1f1fd0a8cba804 MD5sum: 5ddd0d05e793de32f4f45a85249bf551 Description: format-independent image processing - perl interface GraphicsMagick provides libraries in several programming languages to read, write and manipulate image files across a large number of formats, from the widely used jpeg, tiff, bmp or xpm to special-purpose formats such as fits or image formats found on some photo CDs. There are functions for finegrained image processing tasks, as well as conversion routines between the various image formats. . The GraphicsMagick library is a fork of ImageMagick and therefore offers an interface that is similar in features, but intended to be more stable across releases. While compatibility does not go so far that the GraphicsMagick library serves as a drop-in replacement for ImageMagick, conversion can usually be done with little effort. . This package contains the classes to access GraphicsMagick functionality from Perl scripts. It is mostly similar to PerlMagick from the ImageMagick suite, but uses a different class name. Package: libgraphics-primitive-driver-cairo-perl Version: 0.44-1 Installed-Size: 39 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.10.1), libcairo-perl (>= 1.061), libmoose-perl (>= 0.76), libgraphics-primitive-perl, libgeometry-primitive-perl, libtext-flow-perl Size: 17820 SHA256: c776a4a71a308dd50c4ad97d4f8e7a6202dc31cd9b44a2ffc8546cdf9005354f SHA1: c0137962c7353d19f286780d9b2a670104c91e51 MD5sum: 0ef9e08762edb295cbe5b6465f15ba7c Description: backend providing graphics support using Cairo Graphics::Primitive::Driver::Cairo is a backend implementation using Cairo that draws Graphics::Primitive objects (see libgraphics-primitive-perl). In most cases, this module will not be used directly, but rather as part of your application using Graphics::Primitive. Homepage: http://search.cpan.org/dist/Graphics-Primitive-Driver-Cairo/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgraphics-primitive-driver-cairo-perl/libgraphics-primitive-driver-cairo-perl_0.44-1_all.deb Package: libgraphics-primitive-perl Version: 0.61-1 Installed-Size: 248 Maintainer: Debian Perl Group Architecture: all Depends: perl, libmoosex-clone-perl, libgeometry-primitive-perl, libgraphics-color-perl, libforest-perl, libmoosex-storage-perl, libjson-any-perl (>= 1.22), libmoose-perl (>= 0.90) Size: 89794 SHA256: 1aa636e0c78b02252a128eab21dc29c474ad431d5c009bc272e00fe3ade9b320 SHA1: 3ede9dc3686f2aa47bc3993a66f4ba6fb457536b MD5sum: 65d2f54f4220be57a623f98f478fa828 Description: system to portably create and manipulate graphical components Graphics::Primitive is a Perl module that provides an abstraction layer for creating and manipulating graphical elements such as Borders, Fonts, Paths and the like. It provides mechanisms to create graphical layouts and pass it along to drivers for the actual on-screen rendering. Homepage: http://search.cpan.org/dist/Graphics-Primitive/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgraphics-primitive-perl/libgraphics-primitive-perl_0.61-1_all.deb Package: libgraphicsmagick++1-dev Source: graphicsmagick Version: 1.3.16-1.1+deb7u19 Architecture: armhf Maintainer: Daniel Kobras Installed-Size: 1430 Depends: libgraphicsmagick++3 (= 1.3.16-1.1+deb7u19), libgraphicsmagick1-dev Suggests: graphicsmagick Conflicts: libgraphicsmagick++-dev Replaces: libgraphicsmagick++-dev Provides: libgraphicsmagick++-dev Priority: optional Section: libdevel Filename: pool/main/g/graphicsmagick/libgraphicsmagick++1-dev_1.3.16-1.1+deb7u19_armhf.deb Size: 385804 SHA256: 680dec5971fc159b1c6a894de985a043e230eb1044bb257a78b60bbe2b6fb563 SHA1: 4fd732ff1c8db35d02fd1970071ba30caba857ca MD5sum: 779e53d842d45c486b119b645e4b44cf Description: format-independent image processing - C++ development files GraphicsMagick provides libraries in several programming languages to read, write and manipulate image files across a large number of formats, from the widely used jpeg, tiff, bmp or xpm to special-purpose formats such as fits or image formats found on some photo CDs. There are functions for finegrained image processing tasks, as well as conversion routines between the various image formats. . The GraphicsMagick library is a fork of ImageMagick and therefore offers an interface that is similar in features, but intended to be more stable across releases. While compatibility does not go so far that the GraphicsMagick library serves as a drop-in replacement for ImageMagick, conversion can usually be done with little effort. . This package contains the C++ development headers and library files needed to compile programs using the GraphicsMagick++ library. Package: libgraphicsmagick++3 Source: graphicsmagick Version: 1.3.16-1.1+deb7u19 Architecture: armhf Maintainer: Daniel Kobras Installed-Size: 360 Depends: libbz2-1.0, libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libgraphicsmagick3 (>= 1.3.15), libice6 (>= 1:1.0.0), libjasper1, libjbig0, libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), libltdl7 (>= 2.4.2), libpng12-0 (>= 1.2.13-4), libsm6, libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libwmf0.2-7 (>= 0.2.8.4), libx11-6, libxext6, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4) Suggests: graphicsmagick-dbg Conflicts: libgraphicsmagick Replaces: libgraphicsmagick Priority: optional Section: libs Filename: pool/main/g/graphicsmagick/libgraphicsmagick++3_1.3.16-1.1+deb7u19_armhf.deb Size: 134052 SHA256: a714084267af4d293bbef1b7f756479c1b33e6aa2ffc1582027407d21e2b55ee SHA1: 957219bba05a5bd51b11f193a4f34e063ac0f93c MD5sum: eae4a5b83c03c42b9b4adc46ecac7da0 Description: format-independent image processing - C++ shared library GraphicsMagick provides libraries in several programming languages to read, write and manipulate image files across a large number of formats, from the widely used jpeg, tiff, bmp or xpm to special-purpose formats such as fits or image formats found on some photo CDs. There are functions for finegrained image processing tasks, as well as conversion routines between the various image formats. . The GraphicsMagick library is a fork of ImageMagick and therefore offers an interface that is similar in features, but intended to be more stable across releases. While compatibility does not go so far that the GraphicsMagick library serves as a drop-in replacement for ImageMagick, conversion can usually be done with little effort. . This package contains the C++ libraries needed to run executables that use the GraphicsMagick++ library. Package: libgraphicsmagick1-dev Source: graphicsmagick Version: 1.3.16-1.1+deb7u19 Architecture: armhf Maintainer: Daniel Kobras Installed-Size: 5425 Depends: libgraphicsmagick3 (= 1.3.16-1.1+deb7u19), libjpeg-dev, libjasper-dev, liblcms1-dev, libwmf-dev, libx11-dev, libsm-dev, libice-dev, libxext-dev, x11proto-core-dev, libxml2-dev, libfreetype6-dev, libtiff4-dev, libjbig-dev, libc6-dev, zlib1g-dev | libz-dev, libpng-dev, libexif-dev, libbz2-dev, libltdl-dev Suggests: graphicsmagick Conflicts: libgraphicsmagick-dev Replaces: libgraphicsmagick-dev Provides: libgraphicsmagick-dev Priority: optional Section: libdevel Filename: pool/main/g/graphicsmagick/libgraphicsmagick1-dev_1.3.16-1.1+deb7u19_armhf.deb Size: 1820358 SHA256: fd319487c326d5b1f0e470c80069b60c548f09b966ffeaf80fbacb88895452e7 SHA1: 01460b38a60f8cace36716c4fb62947168ffb71f MD5sum: 79503a2021fa89fc1834da464c937fbe Description: format-independent image processing - C development files GraphicsMagick provides libraries in several programming languages to read, write and manipulate image files across a large number of formats, from the widely used jpeg, tiff, bmp or xpm to special-purpose formats such as fits or image formats found on some photo CDs. There are functions for finegrained image processing tasks, as well as conversion routines between the various image formats. . The GraphicsMagick library is a fork of ImageMagick and therefore offers an interface that is similar in features, but intended to be more stable across releases. While compatibility does not go so far that the GraphicsMagick library serves as a drop-in replacement for ImageMagick, conversion can usually be done with little effort. . This package contains the C development headers and library files needed to compile programs using the GraphicsMagick library. Package: libgraphicsmagick3 Source: graphicsmagick Version: 1.3.16-1.1+deb7u19 Architecture: armhf Maintainer: Daniel Kobras Installed-Size: 3151 Depends: libbz2-1.0, libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libice6 (>= 1:1.0.0), libjasper1, libjbig0, libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), libltdl7 (>= 2.4.2), libpng12-0 (>= 1.2.13-4), libsm6, libtiff4 (>> 3.9.5-3~), libwmf0.2-7 (>= 0.2.8.4), libx11-6, libxext6, libxml2 (>= 2.7.4), zlib1g (>= 1:1.2.3.3) Recommends: ghostscript, gsfonts Suggests: graphicsmagick-dbg Conflicts: libgraphicsmagick Replaces: libgraphicsmagick Priority: optional Section: libs Filename: pool/main/g/graphicsmagick/libgraphicsmagick3_1.3.16-1.1+deb7u19_armhf.deb Size: 1337054 SHA256: 89bb8da65a3dc13049e5f7bb84148a007593d26a493ec7d78b8a58da994bdd5c SHA1: d3aa573d0c110ec47a60a082eb0d81ede89ea2f1 MD5sum: 0070aff9fde026eb12eeace0910270be Description: format-independent image processing - C shared library GraphicsMagick provides libraries in several programming languages to read, write and manipulate image files across a large number of formats, from the widely used jpeg, tiff, bmp or xpm to special-purpose formats such as fits or image formats found on some photo CDs. There are functions for finegrained image processing tasks, as well as conversion routines between the various image formats. . The GraphicsMagick library is a fork of ImageMagick and therefore offers an interface that is similar in features, but intended to be more stable across releases. While compatibility does not go so far that the GraphicsMagick library serves as a drop-in replacement for ImageMagick, conversion can usually be done with little effort. . This package contains the C libraries needed to run executables that use the GraphicsMagick library. Package: libgraphite-dev Source: silgraphite2.0 Version: 1:2.3.1-0.2 Architecture: armhf Maintainer: Daniel Glassey Installed-Size: 869 Depends: libgraphite3 (= 1:2.3.1-0.2) Conflicts: libsilgraphite-2.0, libsilgraphite-dev Priority: optional Section: libdevel Filename: pool/main/s/silgraphite2.0/libgraphite-dev_2.3.1-0.2_armhf.deb Size: 380648 SHA256: b60c1b15740d6664b9aedd71e9efcf7e3c5b54791f545b0debe3d3fe6e8ab595 SHA1: 0197945779c2f11baed27e321a64a746517b18d1 MD5sum: 3219ef96122409cb826ac2cc38ff1aba Description: Development files for SILGraphite SILGraphite is a system that can be used to create and use "smart fonts" capable of displaying writing systems with various complex behaviors, such as: contextual shaping, ligatures, reordering, split glyphs, bidirectionality, stacking diacritics and complex positioning. . This library was designed and developed by the NRSI (Non-Roman Script Initiative) within SIL International (www.sil.org) to act as a complement to other smart font rendering technologies with limited practical local extensability. Its purpose is to help meet the needs of a very large number of "minority language" communities for local extensibility of complex script behaviors. . The behavior of the SILGraphite rendering engine for a given writing system is specified through extra tables added to a TrueType font. These tables are generated by compiling a GDL (Graphite Description Language) source file into a font using grcompiler. . This package contains the headers and development libraries. Package: libgraphite2-2.0.0 Source: graphite2 Version: 1.3.10-1~deb7u1 Architecture: armhf Maintainer: Debian LibreOffice Maintainers Installed-Size: 187 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Provides: libgraphite2-3 Multi-Arch: same Homepage: http://graphite.sil.org/ Priority: optional Section: libs Filename: pool/main/g/graphite2/libgraphite2-2.0.0_1.3.10-1~deb7u1_armhf.deb Size: 91546 SHA256: 3687a7762cfa48b7343c385043ca58adbfa90c1acd3ebdc3ff407062b9f3a455 SHA1: 2a00fe4d9e222c9a791d177d2e8b7e6bd86ea4c6 MD5sum: 34ca08ace35dcacd4432d6b327dbb7cb Description: Font rendering engine for Complex Scripts -- library Graphite is a system that can be used to create and use "smart fonts" capable of displaying writing systems with various complex behaviors, such as: contextual shaping, ligatures, reordering, split glyphs, bidirectionality, stacking diacritics and complex positioning. . This library was designed and developed by the NRSI (Non-Roman Script Initiative) within SIL International (www.sil.org) to act as a complement to other smart font rendering technologies with limited practical local extensibility. Its purpose is to help meet the needs of a very large number of "minority language" communities for local extensibility of complex script behaviors. . The behavior of the rendering engine for a given writing system is specified through extra tables added to a TrueType font. These tables are generated by compiling a GDL (Graphite Description Language) source file into a font using grcompiler. . This package contains the shared library. Package: libgraphite2-2.0.0-dbg Source: graphite2 Version: 1.3.10-1~deb7u1 Architecture: armhf Maintainer: Debian LibreOffice Maintainers Installed-Size: 692 Depends: libgraphite2-2.0.0 (= 1.3.10-1~deb7u1) Homepage: http://graphite.sil.org/ Priority: extra Section: debug Filename: pool/main/g/graphite2/libgraphite2-2.0.0-dbg_1.3.10-1~deb7u1_armhf.deb Size: 641220 SHA256: 9cd930c807927dc10f469425b9b1e4f6658ba91c41144b2da20d4c0f3746df76 SHA1: ebc705f664b00065c60e3301a1d9f25a15d1ae42 MD5sum: 3622bcf8a0f0eba6228f03d22f22b2c7 Description: Debug symbols for libgraphite2 Graphite is a system that can be used to create and use "smart fonts" capable of displaying writing systems with various complex behaviors, such as: contextual shaping, ligatures, reordering, split glyphs, bidirectionality, stacking diacritics and complex positioning. . This library was designed and developed by the NRSI (Non-Roman Script Initiative) within SIL International (www.sil.org) to act as a complement to other smart font rendering technologies with limited practical local extensibility. Its purpose is to help meet the needs of a very large number of "minority language" communities for local extensibility of complex script behaviors. . The behavior of the rendering engine for a given writing system is specified through extra tables added to a TrueType font. These tables are generated by compiling a GDL (Graphite Description Language) source file into a font using grcompiler. . Debug symbols for libgraphite2 Package: libgraphite2-dev Source: graphite2 Version: 1.3.10-1~deb7u1 Architecture: armhf Maintainer: Debian LibreOffice Maintainers Installed-Size: 105 Depends: libgraphite2-2.0.0 (= 1.3.10-1~deb7u1) Homepage: http://graphite.sil.org/ Priority: optional Section: libdevel Filename: pool/main/g/graphite2/libgraphite2-dev_1.3.10-1~deb7u1_armhf.deb Size: 23670 SHA256: e47f79ce88ea3e47f68f553e93cb6cbd14cb21b9175ef8a39775648120e9b0eb SHA1: 145b20e5efdfde101ee056112dd23f944b4ce30c MD5sum: 2de9d0444b7b47fa47c24eedbb5cf611 Description: Development files for libgraphite2 Graphite is a system that can be used to create and use "smart fonts" capable of displaying writing systems with various complex behaviors, such as: contextual shaping, ligatures, reordering, split glyphs, bidirectionality, stacking diacritics and complex positioning. . This library was designed and developed by the NRSI (Non-Roman Script Initiative) within SIL International (www.sil.org) to act as a complement to other smart font rendering technologies with limited practical local extensibility. Its purpose is to help meet the needs of a very large number of "minority language" communities for local extensibility of complex script behaviors. . The behavior of the rendering engine for a given writing system is specified through extra tables added to a TrueType font. These tables are generated by compiling a GDL (Graphite Description Language) source file into a font using grcompiler. . This package contains the development libraries for libgraphite2 Package: libgraphite2-doc Source: graphite2 Version: 1.3.10-1~deb7u1 Installed-Size: 1345 Maintainer: Debian LibreOffice Maintainers Architecture: all Size: 618126 SHA256: 56ae538be5ff70a24b0cd2de6f8264c1c0e1134016a691b711a4ca4fd6822070 SHA1: d9ceee9bf63cc71326c34be6b315b193694ab626 MD5sum: 9431d0b5441ef79c2a45601494dbfb59 Description: Documentation for libgraphite2 Graphite is a system that can be used to create and use "smart fonts" capable of displaying writing systems with various complex behaviors, such as: contextual shaping, ligatures, reordering, split glyphs, bidirectionality, stacking diacritics and complex positioning. . This library was designed and developed by the NRSI (Non-Roman Script Initiative) within SIL International (www.sil.org) to act as a complement to other smart font rendering technologies with limited practical local extensibility. Its purpose is to help meet the needs of a very large number of "minority language" communities for local extensibility of complex script behaviors. . The behavior of the rendering engine for a given writing system is specified through extra tables added to a TrueType font. These tables are generated by compiling a GDL (Graphite Description Language) source file into a font using grcompiler. . PDF and HTML documentation for libgraphite2 Homepage: http://graphite.sil.org/ Section: doc Priority: optional Filename: pool/main/g/graphite2/libgraphite2-doc_1.3.10-1~deb7u1_all.deb Package: libgraphite3 Source: silgraphite2.0 Version: 1:2.3.1-0.2 Architecture: armhf Maintainer: Daniel Glassey Installed-Size: 374 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: libsilgraphite-2.0, libsilgraphite-dev Priority: optional Section: libs Filename: pool/main/s/silgraphite2.0/libgraphite3_2.3.1-0.2_armhf.deb Size: 166408 SHA256: a059075a66213301702b4cd0be48f64b88fdcb38a1ab39310ef426c10711b235 SHA1: 713e92c2712943ecab5e2cdf3dd7283a66e2e27e MD5sum: a6a41d832757b31dcd64668dfba85925 Description: SILGraphite - a "smart font" rendering engine SILGraphite is a system that can be used to create and use "smart fonts" capable of displaying writing systems with various complex behaviors, such as: contextual shaping, ligatures, reordering, split glyphs, bidirectionality, stacking diacritics and complex positioning. . This library was designed and developed by the NRSI (Non-Roman Script Initiative) within SIL International (www.sil.org) to act as a complement to other smart font rendering technologies with limited practical local extensability. Its purpose is to help meet the needs of a very large number of "minority language" communities for local extensibility of complex script behaviors. . The behavior of the SILGraphite rendering engine for a given writing system is specified through extra tables added to a TrueType font. These tables are generated by compiling a GDL (Graphite Description Language) source file into a font using grcompiler. . This package contains the shared library. Package: libgraphite3-dbg Source: silgraphite2.0 Version: 1:2.3.1-0.2 Architecture: armhf Maintainer: Daniel Glassey Installed-Size: 2560 Depends: libgraphite3 (= 1:2.3.1-0.2) Conflicts: libsilgraphite-2.0, libsilgraphite-dev Priority: extra Section: debug Filename: pool/main/s/silgraphite2.0/libgraphite3-dbg_2.3.1-0.2_armhf.deb Size: 980082 SHA256: 5fce0a9fd1602182f5402f052cc7182581933eb09e932e5afb78b621b56e213d SHA1: be19b6c1db7dc9175ed333151729022ee0deab46 MD5sum: 86cab9c3e145cf729026b34e25e80978 Description: debugging symbols for SILGraphite SILGraphite is a system that can be used to create and use "smart fonts" capable of displaying writing systems with various complex behaviors, such as: contextual shaping, ligatures, reordering, split glyphs, bidirectionality, stacking diacritics and complex positioning. . This library was designed and developed by the NRSI (Non-Roman Script Initiative) within SIL International (www.sil.org) to act as a complement to other smart font rendering technologies with limited practical local extensability. Its purpose is to help meet the needs of a very large number of "minority language" communities for local extensibility of complex script behaviors. . The behavior of the SILGraphite rendering engine for a given writing system is specified through extra tables added to a TrueType font. These tables are generated by compiling a GDL (Graphite Description Language) source file into a font using grcompiler. . This package contains the debug library. Package: libgraphviz-dev Source: graphviz Version: 2.26.3-14+deb7u2 Architecture: armhf Maintainer: David Claughton Installed-Size: 284 Depends: libcdt4 (= 2.26.3-14+deb7u2), libcgraph5 (= 2.26.3-14+deb7u2), libgraph4 (= 2.26.3-14+deb7u2), libgvc5 (= 2.26.3-14+deb7u2), libgvpr1 (= 2.26.3-14+deb7u2), libpathplan4 (= 2.26.3-14+deb7u2), libxdot4 (= 2.26.3-14+deb7u2), libexpat1-dev, libltdl7-dev, zlib1g-dev Conflicts: graphviz-dev (<< 2.12-1), libgraphviz3-dev, libgraphviz4-dev (<< 2.16-3) Replaces: libgraphviz3-dev, libgraphviz4-dev (<< 2.16-3) Provides: graphviz-dev Homepage: http://www.graphviz.org/ Priority: optional Section: libdevel Filename: pool/main/g/graphviz/libgraphviz-dev_2.26.3-14+deb7u2_armhf.deb Size: 104832 SHA256: 79b6dd7f983c1d4430e8c256a49d0f8b92223b3c7903c0ddc1033f1a6703a7d7 SHA1: 6c7b48dc7d589411b3a41e6adce24d2da62b60ed MD5sum: 29e333499f9f8e6b8a47df01222ffd24 Description: graphviz libs and headers against which to build applications Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package installs the libraries and headers necessary to link graphviz library routines into an application. It also installs additional man pages. . Note: A graphviz user doesn't need to install this package. Package: libgraphviz-perl Version: 2.10-1 Installed-Size: 235 Maintainer: Dominic Hargreaves Architecture: all Depends: perl, libipc-run-perl, libxml-twig-perl (>= 1:3.39), libxml-xpath-perl, graphviz, libparse-recdescent-perl Size: 113308 SHA256: c08cf76c03bd2a59fdce8217d0645be6b6bc4e6265e238a9c0de55c233e3fcab SHA1: d28ef84b9fc51bc3c8f6eeb089537521f97639e3 MD5sum: 9c9906f45ccc64e221e87ea7e467a2a6 Description: Perl interface to the GraphViz graphing tool This module provides an interface to layout and image generation of directed and undirected graphs in a variety of formats (PostScript, PNG, etc.) using the "dot", "neato", "twopi", "circo" and "fdp" programs from the GraphViz project (http://www.graphviz.org/ or http://www.research.att.com/sw/tools/graphviz/). Homepage: http://search.cpan.org/dist/GraphViz/ Tag: devel::lang:perl, devel::library, implemented-in::perl, works-with::image, works-with::image:raster, works-with::image:vector Section: perl Priority: optional Filename: pool/main/libg/libgraphviz-perl/libgraphviz-perl_2.10-1_all.deb Package: libgravatar-url-perl Version: 1.06-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl, libnet-dns-perl, liburi-perl Size: 19008 SHA256: eed6dbb884eb4779c1a82564ff222eecb008826e76b8d9265f863a6243124d28 SHA1: c0215193f5c4833ae2030bf7595632ca2b901998 MD5sum: 5c690f049a26ab7c14dae48f018a63fa Description: Perl interface to make URLs for Gravatars from an email address A Gravatar is a Globally Recognized Avatar for a given email address. This allows you to have a global picture associated with your email address. You can look up the Gravatar for any email address by constructing a URL to get the image from gravatar.com. Gravatar::URL does that. Homepage: http://search.cpan.org/dist/Gravatar-URL/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgravatar-url-perl/libgravatar-url-perl_1.06-1_all.deb Package: libgretl1 Source: gretl Version: 1.9.9-1 Architecture: armhf Maintainer: Dirk Eddelbuettel Installed-Size: 1805 Depends: libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libfftw3-3, libgfortran3 (>= 4.3), libglib2.0-0 (>= 2.12.0), libgmp10, liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Conflicts: libesl0, libgretl0 Replaces: libesl0, libgretl0 Priority: optional Section: libs Filename: pool/main/g/gretl/libgretl1_1.9.9-1_armhf.deb Size: 986496 SHA256: b2a5c470b345e12f44dd17ea70127ee64e3c6f1cdf4bbe2d15d58d376529440f SHA1: 51d66ae893c2137253c885ed6f9bd233db0b4f10 MD5sum: bfafaa3a396536c498055961aa894e06 Description: GNU Regression, Econometric & Time-Series Library -- library package The GNU Regression, Econometric and Time-Series Library (gretl) is a software package for econometric analysis. The package comprises a shared library, a command-line client program, and a graphical client built using GTK+. . This package provides the shared library. Package: libgretl1-dev Source: gretl Version: 1.9.9-1 Architecture: armhf Maintainer: Dirk Eddelbuettel Installed-Size: 2664 Depends: gretl, libgretl1 (= 1.9.9-1) Conflicts: libesl0-dev, libgretl0-dev Replaces: libesl0-dev, libgretl0-dev Priority: optional Section: libdevel Filename: pool/main/g/gretl/libgretl1-dev_1.9.9-1_armhf.deb Size: 1186384 SHA256: b6d6cbc2cbf4f00046eda5a2e4746595fc479c2d1404fb5ba2729ac9227b9f85 SHA1: 4d71cb3d6d80ef39d6fd4f679f338162d00af457 MD5sum: 996c26f65866ed80c20b40ada95ad6c1 Description: GNU Regression, Econometric & Time-Series Library -- development package The GNU Regression, Econometric and Time-Series Library (gretl) is a software package for econometric analysis. The package comprises a shared library, a command-line client program, and a graphical client built using GTK+. . This package provides the static library and header files. Package: libgrib-api-1.9.16 Source: grib-api Version: 1.9.16-2 Architecture: armhf Maintainer: Enrico Zini Installed-Size: 8276 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgfortran3 (>= 4.3), libjasper1, libpng12-0 (>= 1.2.13-4) Conflicts: libgrib-api-0d-0, libgrib-api-0d-1, libgrib-api-1.9.9 Breaks: libgrib-api-data (<< 1.9.0~) Replaces: libgrib-api-data (<< 1.9.0~) Homepage: http://www.ecmwf.int/products/data/software/grib_api.html Priority: optional Section: libs Filename: pool/main/g/grib-api/libgrib-api-1.9.16_1.9.16-2_armhf.deb Size: 1488400 SHA256: 160df6e4c5d6e6d6de1e5ae59a6a803078f6b55d108bc8eb67287405e648d94e SHA1: 1e4574a976c6aaf4798db49350735d066f404294 MD5sum: adbafc5016f36f6a8121a570159266d5 Description: GRIB decoding/encoding software library The ECMWF GRIB API is an application program interface accessible from C and FORTRAN programs developed for encoding and decoding WMO FM-92 GRIB edition 1 and edition 2 messages. . ECMWF is the European Centre for Medium-Range Weather Forecasts. Package: libgrib-api-data Source: grib-def Version: 1.8.0.1-1 Installed-Size: 7092 Maintainer: Enrico Zini Architecture: all Conflicts: libgrib-api-0d-0 (<= 1.8.0-1) Size: 414016 SHA256: 5fbab907cefb7ce9498c8a7c74def4dcea5930912964f32d7e90ec0c76947730 SHA1: b4e401f237bec67022e0c7c61251f22076fc41b9 MD5sum: d974261f6bc4b23a30de2c26e0617c89 Description: grib_api definition files The ECMWF GRIB API is an application program interface accessible from C and FORTRAN programs developed for encoding and decoding WMO FM-92 GRIB edition 1 and edition 2 messages. . grib_api performs encoding and deconding as directed by "definition files", that describe the various GRIB dialects and extensions. New GRIB extensions can be supported by providing an extra definition file, without the need of recompiling the library. This package contains the set of definition files distributed by ECMWF. . ECMWF is the European Centre for Medium-Range Weather Forecasts. Homepage: http://www.ecmwf.int/products/data/software/grib_api.html Section: science Priority: optional Filename: pool/main/g/grib-def/libgrib-api-data_1.8.0.1-1_all.deb Package: libgrib-api-dev Source: grib-api Version: 1.9.16-2 Architecture: armhf Maintainer: Enrico Zini Installed-Size: 2850 Depends: libgrib-api-1.9.16 (= 1.9.16-2) Homepage: http://www.ecmwf.int/products/data/software/grib_api.html Priority: optional Section: libdevel Filename: pool/main/g/grib-api/libgrib-api-dev_1.9.16-2_armhf.deb Size: 554156 SHA256: 89be1a045623b9fc97f4de220aa78ace55c2e8ee55db0e72950160de5ed037ce SHA1: bfcb71b8dcf494b3d613b0080752694b9cab3f7a MD5sum: 9a7b61909dd4e21946bcaaf57e18cc8e Description: GRIB decoding/encoding software library (development) The ECMWF GRIB API is an application program interface accessible from C and FORTRAN programs developed for encoding and decoding WMO FM-92 GRIB edition 1 and edition 2 messages. . ECMWF is the European Centre for Medium-Range Weather Forecasts. Package: libgrib-api-tools Source: grib-api Version: 1.9.16-2 Architecture: armhf Maintainer: Enrico Zini Installed-Size: 4107 Depends: libc6 (>= 2.13-28), libgrib-api-1.9.16, libjasper1, libpng12-0 (>= 1.2.13-4) Homepage: http://www.ecmwf.int/products/data/software/grib_api.html Priority: optional Section: utils Filename: pool/main/g/grib-api/libgrib-api-tools_1.9.16-2_armhf.deb Size: 285052 SHA256: 1d709fa0c76b1ffdd0bab6c4e443c43330f1f90eb6f88f262f3609639bdb0863 SHA1: fcb731d0e50af40664fea894b3ed84a0b77aaae3 MD5sum: 2b07bbe2743dbca60de0561e5bc8623d Description: GRIB decoding/encoding software (utilities) The ECMWF GRIB API is an application program interface accessible from C and FORTRAN programs developed for encoding and decoding WMO FM-92 GRIB edition 1 and edition 2 messages. . This is a useful set of command line tools to give quick access to grib messages. . ECMWF is the European Centre for Medium-Range Weather Forecasts. Package: libgrib2c-dev Source: g2clib Version: 1.2.2-2 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 52 Depends: libgrib2c0d (= 1.2.2-2) Recommends: pkg-config Multi-Arch: same Homepage: http://www.nco.ncep.noaa.gov/pmb/codes/GRIB2/ Priority: optional Section: libdevel Filename: pool/main/g/g2clib/libgrib2c-dev_1.2.2-2_armhf.deb Size: 6978 SHA256: 237d691beba556b9bbc4ffea3f29eb492ff41d5b6efcfbd9a379a69408678b12 SHA1: cd5d94eae92d839f791b2c701e86bb9b5c8f6811 MD5sum: 4b965e99dc06745265a1caaf6abb2a4a Description: NCEP GRIB2 library - development files This is the libgrib2c library from NCEP for encoding and decoding 'GRIB2' data formats. . This package contains the static libraries and headers needed to build applications against libgrib2. Package: libgrib2c0d Source: g2clib Version: 1.2.2-2 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 196 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libjasper1, libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://www.nco.ncep.noaa.gov/pmb/codes/GRIB2/ Priority: optional Section: libs Filename: pool/main/g/g2clib/libgrib2c0d_1.2.2-2_armhf.deb Size: 45988 SHA256: eec40de78f8c5ed5129d6bb838696aa738174cef6bca82266a56595250bf3280 SHA1: cd161e547622b2f851826a842facda3a54e47ac4 MD5sum: ffb059f4065e4dec3cc3db3181d3714e Description: NCEP GRIB2 encoder/decoder library This is the libgrib2c library from NCEP for encoding and decoding 'GRIB2' data formats. Package: libgridsite-dev Source: gridsite Version: 1.7.16-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 145 Depends: libgridsite1.7 (= 1.7.16-1) Homepage: http://www.gridsite.org/ Priority: optional Section: libdevel Filename: pool/main/g/gridsite/libgridsite-dev_1.7.16-1_armhf.deb Size: 45118 SHA256: e02eb6c8b3598bedcb49fa6c0855a681f2802a7bc36daacfbea34ee8370932b3 SHA1: 7eebdbbf96e2fbd20b61261664ca8d29db6204fa MD5sum: cdbfb32bc9dc6ce2c1035c2420ad72ba Description: Developers tools for gridsite GridSite was originally a web application developed for managing and formatting the content of the http://www.gridpp.ac.uk/ website. Over the past years it has grown into a set of extensions to the Apache web server and a toolkit for Grid credentials, GACL access control lists and HTTP(S) protocol operations. . This package, libgridsite-dev, contains developer tools for using gridsite. Package: libgridsite1.7 Source: gridsite Version: 1.7.16-1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 99 Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.7.4) Conflicts: libgridsite1.5 Replaces: libgridsite1.5 Homepage: http://www.gridsite.org/ Priority: optional Section: libs Filename: pool/main/g/gridsite/libgridsite1.7_1.7.16-1_armhf.deb Size: 35226 SHA256: 3adc6fc5efd761dd734335d16b2946bdda691b094d2202705f0e57b72835f977 SHA1: f286894fd574df3e774331fba7d2f0e93682ebd7 MD5sum: acc0011477777afa632a48879cdbd156 Description: Run time libraries for mod_gridsite and gridsite-clients GridSite was originally a web application developed for managing and formatting the content of the http://www.gridpp.ac.uk/ website. Over the past years it has grown into a set of extensions to the Apache web server and a toolkit for Grid credentials, GACL access control lists and HTTP(S) protocol operations. . This package contains the runtime libraries. Package: libgrilo-0.1-0 Source: grilo Version: 0.1.19-1 Architecture: armhf Maintainer: Alberto Garcia Installed-Size: 293 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.28.0), libsoup2.4-1 (>= 2.33.92), libxml2 (>= 2.7.4) Recommends: grilo-plugins-0.1 Breaks: grilo-plugins-0.1 (<< 0.1.19) Multi-Arch: same Homepage: http://live.gnome.org/Grilo Priority: optional Section: libs Filename: pool/main/g/grilo/libgrilo-0.1-0_0.1.19-1_armhf.deb Size: 159878 SHA256: 49c8c86173b3747a247bb3cc87ae7fef1cfeed9fc2548ceef563da0a1d17e2a1 SHA1: e87f822293ecfacde15edfa3f65968aa94022b79 MD5sum: 34a2d2440b0833c9a3face47321dfffa Description: Framework for discovering and browsing media - Shared libraries Grilo is a framework focused on making media discovery and browsing easy for application developers. . More precisely, Grilo provides: * A single, high-level API that abstracts the differences among various media content providers, allowing application developers to integrate content from various services and sources easily. * A collection of plugins for accessing content from various media providers. Developers can share efforts and code by writing plugins for the framework that are application agnostic. * A flexible API that allows plugin developers to write plugins of various kinds. . This package contains the shared libraries. Package: libgrilo-0.1-bin Source: grilo Version: 0.1.19-1 Architecture: armhf Maintainer: Alberto Garcia Installed-Size: 148 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.22.0), libgrilo-0.1-0 (>= 0.1.19-1), libxml2 (>= 2.6.27) Homepage: http://live.gnome.org/Grilo Priority: optional Section: libs Filename: pool/main/g/grilo/libgrilo-0.1-bin_0.1.19-1_armhf.deb Size: 98602 SHA256: 58d232050cd4ac1bfd2a00e70e320ac743b9d7faea89e644f7d32ef4d12fc56b SHA1: adf7111f3c3260930fcb28f06154a4e843c23c4f MD5sum: 697f095ca06cf0bf1bdfb22813a06fd1 Description: Framework for discovering and browsing media - Binaries Grilo is a framework focused on making media discovery and browsing easy for application developers. . More precisely, Grilo provides: * A single, high-level API that abstracts the differences among various media content providers, allowing application developers to integrate content from various services and sources easily. * A collection of plugins for accessing content from various media providers. Developers can share efforts and code by writing plugins for the framework that are application agnostic. * A flexible API that allows plugin developers to write plugins of various kinds. . This package contains the grl-inspect binary. Package: libgrilo-0.1-dev Source: grilo Version: 0.1.19-1 Architecture: armhf Maintainer: Alberto Garcia Installed-Size: 836 Depends: libgrilo-0.1-0 (= 0.1.19-1), gir1.2-grilo-0.1 (= 0.1.19-1), libxml2-dev, libglib2.0-dev Recommends: pkg-config Suggests: libgrilo-0.1-doc Homepage: http://live.gnome.org/Grilo Priority: optional Section: libdevel Filename: pool/main/g/grilo/libgrilo-0.1-dev_0.1.19-1_armhf.deb Size: 214522 SHA256: 1d50f6502f2d5db5d0a5cb1ae33a112a32178168b570adcb526a83767bb4116c SHA1: 7048c559517d6832b8e419ede61b245021801074 MD5sum: 7378b264d1e3cc4766702e427d248bf5 Description: Framework for discovering and browsing media - Development files Grilo is a framework focused on making media discovery and browsing easy for application developers. . More precisely, Grilo provides: * A single, high-level API that abstracts the differences among various media content providers, allowing application developers to integrate content from various services and sources easily. * A collection of plugins for accessing content from various media providers. Developers can share efforts and code by writing plugins for the framework that are application agnostic. * A flexible API that allows plugin developers to write plugins of various kinds. . This package contains the development files. Package: libgrilo-0.1-doc Source: grilo Version: 0.1.19-1 Installed-Size: 1231 Maintainer: Alberto Garcia Architecture: all Suggests: devhelp Size: 221734 SHA256: eab7a72b8f834d9faf05f19bc8018072ff76ca72ee6a2821bc0374fe4cd70a77 SHA1: d50570b8e3ee14aaebabdfdd1c159fcb28163a52 MD5sum: 866ed85e10415bf323c9e0cd4f5c69e2 Description: Framework for discovering and browsing media - Documentation Grilo is a framework focused on making media discovery and browsing easy for application developers. . More precisely, Grilo provides: * A single, high-level API that abstracts the differences among various media content providers, allowing application developers to integrate content from various services and sources easily. * A collection of plugins for accessing content from various media providers. Developers can share efforts and code by writing plugins for the framework that are application agnostic. * A flexible API that allows plugin developers to write plugins of various kinds. . This package contains the documentation. Homepage: http://live.gnome.org/Grilo Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/g/grilo/libgrilo-0.1-doc_0.1.19-1_all.deb Package: libgringotts-dev Source: gringotts Version: 1.2.10~pre3-1 Architecture: armhf Maintainer: Wesley J. Landaker Installed-Size: 110 Depends: libgringotts2 (= 1.2.10~pre3-1) Homepage: http://gringotts.berlios.de/ Priority: optional Section: libdevel Filename: pool/main/g/gringotts/libgringotts-dev_1.2.10~pre3-1_armhf.deb Size: 27876 SHA256: 65218dbe0af91533689f61c87104b224cff8096efbb3d4af4e35eea67dbbd713 SHA1: 0196ba9e72089cfd1cc296458dc3dc986be1d8a1 MD5sum: b49021f62afe5d931728a832cb241868 Description: development files for the gringotts data encapsulation library This package contains the headers files needed for software development using the libgringotts data encapsulation library. Package: libgringotts2 Source: gringotts Version: 1.2.10~pre3-1 Architecture: armhf Maintainer: Wesley J. Landaker Installed-Size: 55 Depends: libbz2-1.0, libc6 (>= 2.13-28), libmcrypt4, libmhash2, zlib1g (>= 1:1.1.4) Conflicts: libgringotts1 Replaces: libgringotts1 Homepage: http://gringotts.berlios.de/ Priority: optional Section: libs Filename: pool/main/g/gringotts/libgringotts2_1.2.10~pre3-1_armhf.deb Size: 17072 SHA256: 79247dce4fcd98310872ed6ed94957675d32b6b51a2015a9685e0701fd1b1965 SHA1: 91acc9d334d17c1583a5eb6c8b799058de0ed44f MD5sum: 5d6a86828ca7e43e3078fd421ab2e131 Description: gringotts data encapsulation and encryption library A small, easy-to-use, thread-safe C library originally developed for Gringotts; its purpose is to encapsulate data (generic: ASCII but also binary data) in an encrypted and compressed file. It uses strong encryption algorithms, to ensure the data is as safe as possible, and allows the user to have the complete control over all the algorithms used in the process. . For encryptions, libgringotts makes use of the MCrypt and MHash C libraries by Nikos Mavroyanopoulos. Package: libgrits-dev Source: libgrits Version: 0.7-1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 122 Depends: libgrits4 (= 0.7-1) Suggests: libgrits-doc Homepage: http://lug.rose-hulman.edu/proj/aweather/grits Priority: optional Section: libdevel Filename: pool/main/libg/libgrits/libgrits-dev_0.7-1_armhf.deb Size: 19954 SHA256: ed827a570a1af4a2da54bd39867dc7760f2a0c67c6468048ea0642bc3372c534 SHA1: e983ca4dd2a51721981ea1dccfa53ebec359d0bd MD5sum: b0c9521c6e167b9eee74492f0ef0aa3d Description: Development files for grits Grits is a "Virtual Globe" library which uses OpenGL to render an image of the earth using satellite and terrain data from publicly accessible servers. This is similar in concept to Google Earth and NASA World Wind, except implemented as a library. . This package contains the header files and libraries which are needed for developing programs that use grits. Package: libgrits-doc Source: libgrits Version: 0.7-1 Installed-Size: 567 Maintainer: Debian GIS Project Architecture: all Recommends: libgrits-dev Size: 60684 SHA256: 8485221473ae7641e7d40dd91c124df37938e8be51a08977e1a868b7380d6f1e SHA1: b54d7425a10ba5f2f6059d505c0b7ee2a24b8c35 MD5sum: 4ec6302abce122de76bf05098c0a4faa Description: HTML documentation for grits Grits is a "Virtual Globe" library which uses OpenGL to render an image of the earth using satellite and terrain data from publicly accessible servers. This is similar in concept to Google Earth and NASA World Wind, except implemented as a library. . This package contains the HTML documentation for grits. Homepage: http://lug.rose-hulman.edu/proj/aweather/grits Tag: devel::doc, field::geography, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libgrits/libgrits-doc_0.7-1_all.deb Package: libgrits4 Source: libgrits Version: 0.7-1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 175 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.31.8), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.26.1) Homepage: http://lug.rose-hulman.edu/proj/aweather/grits Priority: optional Section: science Filename: pool/main/libg/libgrits/libgrits4_0.7-1_armhf.deb Size: 57236 SHA256: 8392d42394c81be9de9e3d5abc45ef9d542470c621e6faf64b42ccf1af668e33 SHA1: 04a703522e6048d859743612aab9cb3e4eab6594 MD5sum: 4ab2e32e2cbde5415f4697c7a86f7032 Description: Grits is a Virtual Globe library Grits is a "Virtual Globe" library which uses OpenGL to render an image of the earth using satellite and terrain data from publicly accessible servers. This is similar in concept to Google Earth and NASA World Wind, except implemented as a library. . This package contains the shared libraries and core plugins. Package: libgroboutils-java Version: 5-2 Installed-Size: 956 Maintainer: Damien Raude-Morvan Architecture: all Size: 779914 SHA256: ef448533fbc9a9ac64f282f7dc78526dc2ff0e38e57d9c57de4576f4545ebba3 SHA1: cd5620a28d01e44e2ba09cf0c3b631e448aef493 MD5sum: ff2e2a376a30f9f7231711dfce4ca11f Description: Java-based testing tools and JUnit extensions GroboUtils is a group of Java-based testing tools and JUnit extensions aimed at increasing quality awareness and ease of introduction of testing tools into the development cycle. . GroboUtils include, for example, multi-threaded tests, hierarchial unit tests, and a code coverage tool. Homepage: http://groboutils.sourceforge.net/ Tag: devel::lang:java, devel::library, devel::testing-qa, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/libg/libgroboutils-java/libgroboutils-java_5-2_all.deb Package: libgrok-dev Source: grok Version: 1.20110708.1-4 Architecture: armhf Maintainer: Stig Sandbeck Mathisen Installed-Size: 52 Conflicts: libgrok-dev Provides: libgrok-dev Homepage: http://code.google.com/p/semicomplete/wiki/Grok Priority: extra Section: libdevel Filename: pool/main/g/grok/libgrok-dev_1.20110708.1-4_armhf.deb Size: 9252 SHA256: 0681a51db1f846d9ebf6926758ab0472f7007f59129c23baaf8bf6388b86c1b3 SHA1: 9b05bc5b12b1fefdef63b935e36ae8cb3b15af00 MD5sum: 7a4f148dd5d2616bf9dbf0b3d50e00d4 Description: development files for grok Development files for the grok pattern matcher . Grok is simple software that allows you to easily parse logs and other files. With grok, you can turn unstructured log and event data into structured data. Package: libgrok1 Source: grok Version: 1.20110708.1-4 Architecture: armhf Maintainer: Stig Sandbeck Mathisen Installed-Size: 96 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libevent-2.0-5 (>= 2.0.10-stable), libpcre3 (>= 8.10), libtokyocabinet9 (>= 1.4.47) Homepage: http://code.google.com/p/semicomplete/wiki/Grok Priority: extra Section: libs Filename: pool/main/g/grok/libgrok1_1.20110708.1-4_armhf.deb Size: 32378 SHA256: cd3ed8a16e31dbbf9415d64118ddc3591e968001656b171f110ca4c2f974f68f SHA1: 52a23765a6eab9d5954643af82999878e7c267e8 MD5sum: b17b4d21e3d7124590c142639b0ea45b Description: shared libraries for grok The grok library provides the pattern matching features of grok in your own tools. There are currently C and Ruby APIs. . Grok is simple software that allows you to easily parse logs and other files. With grok, you can turn unstructured log and event data into structured data. Package: libgroovy1.7.2-java Source: groovy1.7.2 Version: 1.7.2-1 Installed-Size: 9685 Maintainer: Debian Java Maintainers Architecture: all Depends: antlr, libasm3-java, libbsf-java, libcommons-cli-java (>= 1.0), libcommons-logging-java (>= 1.0.3), junit4, libmockobjects-java (>= 0.09), libregexp-java (>= 1.2), libservlet2.5-java, libjline-java, libxstream-java, ivy Suggests: ant (>= 1.7.1) Size: 8835756 SHA256: a5e24db36190c0e342d5033999e9b2091ed7cf5918a5d54226a031aa10e987c9 SHA1: 0aba518f3c3dfd89497dfc9d7885f1ad19819573 MD5sum: 0d5ec1aa02b011d1b0c88e0161b8dc11 Description: Agile dynamic language for the Java Virtual Machine Groovy is an agile dynamic language for the JVM combining lots of great features from languages like Python, Ruby and Smalltalk and making them available to the Java developers using a Java-like syntax. . Groovy is designed to help you get things done on the Java platform in a quicker, more concise and fun way - bringing the power of Python and Ruby inside the Java platform. . Groovy can be used as an alternative compiler to javac to generate standard Java bytecode to be used by any Java project or it can be used dynamically as an alternative language such as for scripting Java objects, templating or writing unit test cases. . The groovy API broke between 1.7.2 and 1.7.3 in a way that affects Eucalyptus. The latest version of the groovy 1.7 series is 1.7.10. Homepage: http://groovy.codehaus.org/ Section: java Priority: optional Filename: pool/main/g/groovy1.7.2/libgroovy1.7.2-java_1.7.2-1_all.deb Package: libgrss-1.0-0 Source: libgrss Version: 0.5.0-1 Architecture: armhf Maintainer: Jon Bernard Installed-Size: 120 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.22.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.7.4) Priority: extra Section: libs Filename: pool/main/libg/libgrss/libgrss-1.0-0_0.5.0-1_armhf.deb Size: 40638 SHA256: 838461429fc4ef7d23195c97da414e4a832e510d8a143136f2afdd75dbb71da5 SHA1: 66f2be1479038a864437f6a1fc72f464d646afb3 MD5sum: 3531cfa50b0e55ca02e26274d8c603ba Description: Glib-based library to manage RSS and Atom feeds libgrss is a Glib abstraction library for handling feeds in RSS, Atom and other formats. It is intended to be used to manage syndication of feeds in a convenient way. Package: libgrss-dev Source: libgrss Version: 0.5.0-1 Architecture: armhf Maintainer: Jon Bernard Installed-Size: 429 Depends: libgrss-1.0-0 (= 0.5.0-1), libxml2-dev, libsoup2.4-dev Priority: extra Section: libdevel Filename: pool/main/libg/libgrss/libgrss-dev_0.5.0-1_armhf.deb Size: 41690 SHA256: 036adcc155cdeaa5cd3ece6c886c8689052639cc28c07937bb717ac5000b8c92 SHA1: 6ac0fc782395e03114db0e82f2106b9114da3bfd MD5sum: 5f54647146aa04e65269bb28d2295486 Description: Glib-based library to manage RSS and Atom feeds - development files libgrss is a Glib abstraction library for handling feeds in RSS, Atom and other formats. It is intended to be used to manage syndication of feeds in a convenient way. . Install this package if you wish to develop your own programs using the libgrss library. Package: libgruff-ruby Source: ruby-gruff Version: 0.3.6-6 Installed-Size: 29 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gruff Size: 5342 SHA256: 6b0307413869240b2cdb19f547e32afdf4b8da3c31c3980bb6462c1ca116df18 SHA1: 1431df1eed33f905ec9190aada3b4414292c63ad MD5sum: 8826ec0a3126c8a79e20d0e392c93930 Description: Transitional package for ruby-gruff This is a transitional package to ease upgrades to the ruby-gruff package. It can safely be removed. Homepage: http://nubyonrails.com/pages/gruff Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gruff/libgruff-ruby_0.3.6-6_all.deb Package: libgruff-ruby-doc Source: ruby-gruff Version: 0.3.6-6 Installed-Size: 29 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gruff Size: 5348 SHA256: 00c545087e264c84881b6a77415143b5d83194026acf61e3f3080ce99fb5d73d SHA1: 3a4a802a25a76526fea42207a7972ac2f375b5ce MD5sum: 6494a8dc1a5ab862200bbd2bf3a356fa Description: Transitional package for ruby-gruff This is a transitional package to ease upgrades to the ruby-gruff package. It can safely be removed. Homepage: http://nubyonrails.com/pages/gruff Tag: devel::doc, devel::lang:ruby, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/r/ruby-gruff/libgruff-ruby-doc_0.3.6-6_all.deb Package: libgruff-ruby1.8 Source: ruby-gruff Version: 0.3.6-6 Installed-Size: 29 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-gruff Size: 5342 SHA256: 108f718ff7be67833318b93b0ecb6c40e89db7451429064bf596ae53f8e6f05a SHA1: 3329f2b396badaa332f939ce0099dab0e3bb8bfb MD5sum: 0416c150d647d894a96e195ae99698ce Description: Transitional package for ruby-gruff This is a transitional package to ease upgrades to the ruby-gruff package. It can safely be removed. Homepage: http://nubyonrails.com/pages/gruff Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gruff/libgruff-ruby1.8_0.3.6-6_all.deb Package: libgs-dev Source: ghostscript Version: 9.05~dfsg-6.3+deb7u8 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 9937 Depends: comerr-dev, libcups2-dev, libcupsimage2-dev, libfontconfig1-dev, libfreetype6-dev, libgcrypt11-dev, libgnutls-dev, libidn11-dev, libijs-dev, libjasper-dev, libjbig2dec0-dev, libjpeg-dev, libkrb5-dev, liblcms2-dev, libpaper-dev, libpng12-0-dev, libtiff4-dev, zlib1g-dev, libgs9 (= 9.05~dfsg-6.3+deb7u8) Homepage: http://www.ghostscript.com/ Priority: optional Section: libdevel Filename: pool/main/g/ghostscript/libgs-dev_9.05~dfsg-6.3+deb7u8_armhf.deb Size: 1785190 SHA256: db57126d0654bf3da3d1a696f0acfbce88105ee48390f5980cd8b3ecc9f4dd4a SHA1: dac2469af4a9cab03624a601521213b839a03174 MD5sum: dfbf4799ef6fe829db888585018685a9 Description: interpreter for the PostScript language and for PDF - Development Files GPL Ghostscript is used for PostScript/PDF preview and printing. Usually as a back-end to a program such as ghostview, it can display PostScript and PDF documents in an X11 environment. . This package provides the development files for the GPL Ghostscript library which makes the facilities of GPL Ghostscript available to applications. Package: libgs9 Source: ghostscript Version: 9.05~dfsg-6.3+deb7u8 Architecture: armhf Maintainer: Debian Printing Team Installed-Size: 8085 Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libcupsimage2 (>= 1.4.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgssapi-krb5-2 (>= 1.6.dfsg.2), libidn11 (>= 1.13), libijs-0.35 (>= 0.35), libjasper1, libjbig2dec0 (>= 0.11), libjpeg8 (>= 8c), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), liblcms2-2, libpaper1, libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.1.1), libtiff4 (>> 3.9.5-3~), zlib1g (>= 1:1.1.4), poppler-data (>= 0.4.5-3~) | gs-cjk-resource, libgs9-common (= 9.05~dfsg-6.3+deb7u8) Homepage: http://www.ghostscript.com/ Priority: optional Section: libs Filename: pool/main/g/ghostscript/libgs9_9.05~dfsg-6.3+deb7u8_armhf.deb Size: 1547968 SHA256: b840d704a895417276201410ddb40591f18bf3502f77981fdaa7895beb600589 SHA1: 426d94271cc05d9e302061b99bacb8195d2f280f MD5sum: 8a6f097c0c961226816f13ef72aae6af Description: interpreter for the PostScript language and for PDF - Library GPL Ghostscript is used for PostScript/PDF preview and printing. Usually as a back-end to a program such as ghostview, it can display PostScript and PDF documents in an X11 environment. . This package provides the Ghostscript library which makes the facilities of GPL Ghostscript available to applications. Package: libgs9-common Source: ghostscript Version: 9.05~dfsg-6.3+deb7u8 Installed-Size: 4001 Maintainer: Debian Printing Team Architecture: all Size: 1979128 SHA256: 043503602cd39f9bdc7a80bc1f403303b657bc936180d57b5b45b2ed5951511a SHA1: e5305d73a5ce210480d16c7f471df939497091a3 MD5sum: 80759a534d0d41bc2d21c6f261b1304d Description: interpreter for the PostScript language and for PDF - common files GPL Ghostscript is used for PostScript/PDF preview and printing. Usually as a back-end to a program such as ghostview, it can display PostScript and PDF documents in an X11 environment. . This package provides common architecture-independent files needed by the GPL Ghostscript library. . By default, GPL Ghostscript uses a font from the fonts-droid package to approximate glyphs in PDFs for which the requested CJK TrueType font is missing. If the fonts-droid package is not installed, these glyphs will be rendered as bullets. Homepage: http://www.ghostscript.com/ Recommends: fonts-droid Section: libs Priority: optional Filename: pool/main/g/ghostscript/libgs9-common_9.05~dfsg-6.3+deb7u8_all.deb Package: libgsasl7 Source: gsasl Version: 1.8.0-2 Architecture: armhf Maintainer: Debian XMPP Maintainers Installed-Size: 706 Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgssapi-krb5-2 (>= 1.10+dfsg~), libidn11 (>= 1.13), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libntlm0 Conflicts: libgsasl1 Replaces: libgsasl1 Homepage: http://www.gnu.org/software/gsasl/ Priority: optional Section: libs Filename: pool/main/g/gsasl/libgsasl7_1.8.0-2_armhf.deb Size: 219878 SHA256: d63ebdef1ce8b9f3fee30643d9d24243b7de3cf411e76814a04dfb07c2b8c5e1 SHA1: c4e58439a1eb7a2e6de9f50583b15e37372438f1 MD5sum: 42fdc93379cc4b8fbb003674adbb335d Description: GNU SASL library GNU SASL is an implementation of the Simple Authentication and Security Layer framework and a few common SASL mechanisms. SASL is used by network servers (e.g., IMAP, SMTP) to request authentication from clients, and in clients to authenticate against servers. . Supported mechanisms are ANONYMOUS, EXTERNAL, LOGIN, PLAIN, SECURID, NTLM, DIGEST-MD5, CRAM-MD5, SCRAM-SHA-1, SCRAM-SHA-1-PLUS, GS2-KRB5, GSSAPI. . This package includes the GNU SASL shared library that is required by applications using GNU SASL. You normally don't need to install it manually. Package: libgsasl7-dev Source: gsasl Version: 1.8.0-2 Architecture: armhf Maintainer: Debian XMPP Maintainers Installed-Size: 515 Depends: libgsasl7 (= 1.8.0-2), libidn11-dev, pkg-config, libntlm0-dev, libkrb5-dev Conflicts: libgsasl1-dev Replaces: libgsasl1-dev Homepage: http://www.gnu.org/software/gsasl/ Priority: optional Section: libdevel Filename: pool/main/g/gsasl/libgsasl7-dev_1.8.0-2_armhf.deb Size: 288150 SHA256: 0e7d476dabf6715b2fafd0110b55d9ef23b60648e872e3e904fedca265866e6d SHA1: 99073b82ad7cbce438d6f00d877b4e8dd02c70a0 MD5sum: 2045389e5b0f1b292b7865afc1c9f3b0 Description: Development files for the GNU SASL library GNU SASL is an implementation of the Simple Authentication and Security Layer framework and a few common SASL mechanisms. SASL is used by network servers (e.g., IMAP, SMTP) to request authentication from clients, and in clients to authenticate against servers. . This package contain all files necessary for developing programs that use GNU SASL. You will need this package if you want to build a program that uses the GNU SASL library. Package: libgsecuredelete-dev Source: libgsecuredelete Version: 0.2-1 Architecture: armhf Maintainer: intrigeri Installed-Size: 145 Depends: libc-dev, libglib2.0-dev, libgsecuredelete0 (= 0.2-1) Homepage: http://wipetools.tuxfamily.org/libgsecuredelete.html Priority: optional Section: libdevel Filename: pool/main/libg/libgsecuredelete/libgsecuredelete-dev_0.2-1_armhf.deb Size: 29948 SHA256: 23793a4ad376de7ee285d8a6c33189aade43034b189493d5cc379b77fb7033ba SHA1: 0ad1a23aadb4cf896304aae743cfc3d977201e3c MD5sum: 0762cb873ba469b0403c960bf9661e1e Description: wrapper library for the secure-delete tools - development files GSecureDelete is a GObject wrapper library for the secure-delete tools (srm, sfill, sswap and smem), aiming to ease use of these tool from programs by providing a simple but complete API to invoke them. . This package provides the necessary development libraries and include files to develop and compile programs that use GSecureDelete. Package: libgsecuredelete0 Source: libgsecuredelete Version: 0.2-1 Architecture: armhf Maintainer: intrigeri Installed-Size: 99 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), secure-delete Homepage: http://wipetools.tuxfamily.org/libgsecuredelete.html Priority: optional Section: libs Filename: pool/main/libg/libgsecuredelete/libgsecuredelete0_0.2-1_armhf.deb Size: 23720 SHA256: 8bc232253acfe675088923ced663f8fd4933b6d48d08a02ab5dfefde81475a56 SHA1: fe5869e70acee26451300446bc40fed4117ccb52 MD5sum: 7f600c1d89d277323ccefe59adc0da5a Description: wrapper library for the secure-delete tools GSecureDelete is a GObject wrapper library for the secure-delete tools (srm, sfill, sswap and smem), aiming to ease use of these tool from programs by providing a simple but complete API to invoke them. Package: libgsf-1-114 Source: libgsf Version: 1.14.21-2.1+deb7u1 Architecture: armhf Maintainer: J.H.M. Dassen (Ray) Installed-Size: 272 Depends: libgsf-1-common (>= 1.14.21-2.1+deb7u1), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Conflicts: gnumeric (<< 1.4.4) Priority: optional Section: libs Filename: pool/main/libg/libgsf/libgsf-1-114_1.14.21-2.1+deb7u1_armhf.deb Size: 153688 SHA256: bbf30882dcd501a47783481a5f44721c6a6bea20e285fcc6924db5c1a54bb0c2 SHA1: dd744ec8fd4a7ac69c970bb64ce30723574f8f46 MD5sum: 5489b8c4da69d176c1fd495c49d8635c Description: Structured File Library - runtime version The GNOME Structured File Library aims to provide an efficient extensible I/O abstraction for dealing with different structured file formats. . This is the basic runtime version of libgsf. It does not provide GNOME-specific extensions. Package: libgsf-1-114-dbg Source: libgsf Version: 1.14.21-2.1+deb7u1 Architecture: armhf Maintainer: J.H.M. Dassen (Ray) Installed-Size: 267 Depends: libgsf-1-114 (= 1.14.21-2.1+deb7u1), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Priority: extra Section: debug Filename: pool/main/libg/libgsf/libgsf-1-114-dbg_1.14.21-2.1+deb7u1_armhf.deb Size: 111470 SHA256: d3cfc2bc3c087c51256b65b723f691c3da238035546fda4b4284724969ca4cc0 SHA1: a23dad1b20784599af61b15c3e1aa0e9a097a14d MD5sum: 253a2928ab1661548514d49d27e4db40 Description: Structured File Library - debugging files (basic version) This package contains the files for debugging (applications that use the) the core parts of the GNOME Structured File Library. . To debug (applications that use the) GNOME extensions to this library, install libgsf-gnome-1-114-dbg as well. Package: libgsf-1-common Source: libgsf Version: 1.14.21-2.1+deb7u1 Installed-Size: 545 Maintainer: J.H.M. Dassen (Ray) Architecture: all Replaces: libgsf-1 (<= 1.12.3-4) Size: 99408 SHA256: 8eb9c0c7ee8e5241d2e412089e2656191571d2f63a2b76644e92ac36de1ba158 SHA1: edbd36b80e770d45f21a72602e9c457086a8109e MD5sum: fc28d612ea80800117b3a0123269008f Description: Structured File Library - common files The GNOME Structured File Library aims to provide an efficient extensible I/O abstraction for dealing with different structured file formats. . These are the architecture independent files that are part of libgsf, like translations of messages. Section: libs Priority: optional Filename: pool/main/libg/libgsf/libgsf-1-common_1.14.21-2.1+deb7u1_all.deb Package: libgsf-1-dev Source: libgsf Version: 1.14.21-2.1+deb7u1 Architecture: armhf Maintainer: J.H.M. Dassen (Ray) Installed-Size: 1155 Depends: libgsf-1-114 (= 1.14.21-2.1+deb7u1), libglib2.0-dev (>= 2.16.1), libxml2-dev (>= 2.6.10-1), libbz2-dev Recommends: pkg-config Replaces: libgsf-gnome-1-dev (<= 1.14.8-1) Priority: optional Section: libdevel Filename: pool/main/libg/libgsf/libgsf-1-dev_1.14.21-2.1+deb7u1_armhf.deb Size: 278098 SHA256: 0ddd587cc36392ad3de64966977fc0580435cb19e516047a22465e45bfd4d926 SHA1: e2c6013ef43cf0848bd1338074f66fbd05e2354f MD5sum: e5e3abdc2bd951ac91255669e0836383 Description: Structured File Library - development files (basic version) This package contains the development files used in building applications that use the GNOME Structured File Library. . The GNOME Structured File Library aims to provide an efficient extensible I/O abstraction for dealing with different structured file formats. It is actively being developed and its API is still likely to undergo significant changes (in particular, extensions). . This is the basic development package for the GNOME Structured File library. It is not GNOME-specific and thus does not depend on GNOME libraries. For GNOME-specific extensions, use libgsf-gnome-1-dev. Package: libgsf-bin Source: libgsf Version: 1.14.21-2.1+deb7u1 Architecture: armhf Maintainer: J.H.M. Dassen (Ray) Installed-Size: 375 Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.1), libgsf-1-114 (>= 1.14.10), gconf2 (>= 2.28.1-2) Suggests: imagemagick Replaces: libgsf-1 (<= 1.12.3-3), libgsf-gnome-1 (<= 1.12.3-4) Priority: optional Section: gnome Filename: pool/main/libg/libgsf/libgsf-bin_1.14.21-2.1+deb7u1_armhf.deb Size: 86914 SHA256: 2b850c9bf3fc29721d4682f59bf3bf53d4f60675a401dd6e439645897676fb6b SHA1: a2e422953ac9915d72bf102c03acd8e8849a4d13 MD5sum: f63c18a1686dc22380fd8d411d4583d5 Description: Structured File Library - programs The GNOME Structured File Library aims to provide an efficient extensible I/O abstraction for dealing with different structured file formats. . These are the programs that are shipped as part of libgsf. They include a thumbnail generator, a tar-like archiver application and a VBA macro extractor. Package: libgsf-gnome-1-114 Source: libgsf Version: 1.14.21-2.1+deb7u1 Architecture: armhf Maintainer: J.H.M. Dassen (Ray) Installed-Size: 74 Depends: libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.1), libgsf-1-114 (>= 1.14.9), liborbit2 (>= 1:2.14.10) Priority: optional Section: libs Filename: pool/main/libg/libgsf/libgsf-gnome-1-114_1.14.21-2.1+deb7u1_armhf.deb Size: 65744 SHA256: 4aba396264ab5e3a3ea72680bcbb05a506e030149bbd9047d44de1f9c6158795 SHA1: d2ffb382c5940965454f9b68c87c84dbc7064245 MD5sum: b79c0cea92e869e5b9fe7e3fbeef5b21 Description: Structured File Library - runtime version for GNOME The GNOME Structured File Library aims to provide an efficient extensible I/O abstraction for dealing with different structured file formats. . This version of libgsf makes use of Bonobo, GNOME's CORBA-based component architecture and of GNOME-VFS, the GNOME virtual file-system. Package: libgsf-gnome-1-114-dbg Source: libgsf Version: 1.14.21-2.1+deb7u1 Architecture: armhf Maintainer: J.H.M. Dassen (Ray) Installed-Size: 20 Depends: libgsf-gnome-1-114 (= 1.14.21-2.1+deb7u1), libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.1), libgsf-1-114 (>= 1.14.9), liborbit2 (>= 1:2.14.10) Recommends: libgsf-1-114-dbg Priority: extra Section: debug Filename: pool/main/libg/libgsf/libgsf-gnome-1-114-dbg_1.14.21-2.1+deb7u1_armhf.deb Size: 7388 SHA256: b23f0be2432b44fb81fadd5baf3574ebcfee1b47798133fecb4d566822263aa7 SHA1: 7e7ee494f4b8521de3c9dd1115d52cd64c9f2dc4 MD5sum: 8fb872b8cf405c0a57c15bb87dbb0da5 Description: Structured File Library - debugging files for GNOME This package contains the files for debugging (applications that use the) the GNOME extension parts of the GNOME Structured File Library. Package: libgsf-gnome-1-dev Source: libgsf Version: 1.14.21-2.1+deb7u1 Architecture: armhf Maintainer: J.H.M. Dassen (Ray) Installed-Size: 93 Depends: libgsf-gnome-1-114 (= 1.14.21-2.1+deb7u1), libgsf-1-dev Recommends: pkg-config Priority: optional Section: libdevel Filename: pool/main/libg/libgsf/libgsf-gnome-1-dev_1.14.21-2.1+deb7u1_armhf.deb Size: 75618 SHA256: 24d2915c163b002bdad0918f54b2d8491c8977b816a1126bb7e51b2a865857af SHA1: 1c2ee108e9440dfbf0cde802be7c66c28b15cb83 MD5sum: 6a81e68a3d218f622fabdc54cdf87bed Description: Structured File Library - development files for GNOME This package contains the development files used in building applications that use the GNOME Structured File Library. . The GNOME Structured File Library aims to provide an efficient extensible I/O abstraction for dealing with different structured file formats. It is actively being developed and its API is still likely to undergo significant changes (in particular, extensions). . This package supports the use of Bonobo, GNOME's CORBA-based component architecture and of GNOME-VFS, the GNOME virtual file-system. Package: libgsl-ruby Source: ruby-gsl Version: 1.14.7+dfsg-1 Installed-Size: 34 Maintainer: Daigo Moriwaki Architecture: all Depends: ruby-gsl Size: 10508 SHA256: 27b92f80cbd51c518d9187235925ed1eba89129f727353904e0af4a686435465 SHA1: 8c0309d5e08020086ba22e5d2427f0901d6ad40f MD5sum: 4eea93ce6a93abf2ad6c47e3ab5e2b84 Description: Transitional package for ruby-gsl This is a transitional package to ease upgrades to the ruby-gsl package. It can safely be removed. Homepage: http://rb-gsl.rubyforge.org/ Tag: devel::lang:ruby, role::dummy Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gsl/libgsl-ruby_1.14.7+dfsg-1_all.deb Package: libgsl-ruby-doc Source: ruby-gsl Version: 1.14.7+dfsg-1 Installed-Size: 34 Maintainer: Daigo Moriwaki Architecture: all Depends: ruby-gsl Size: 10510 SHA256: 0f37202b6149fb119286fafad1fa1ea451a5d9a821a9af2b3b1a1ea94f26da19 SHA1: d75799ed7919f36f8f87379146b0e680a02ca638 MD5sum: d92978163cfc0b373ea6a4dcf86fb8af Description: Transitional package for ruby-gsl This is a transitional package to ease upgrades to the ruby-gsl package. It can safely be removed. Homepage: http://rb-gsl.rubyforge.org/ Tag: devel::doc, devel::examples, devel::lang:ruby, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/r/ruby-gsl/libgsl-ruby-doc_1.14.7+dfsg-1_all.deb Package: libgsl-ruby1.8 Source: ruby-gsl Version: 1.14.7+dfsg-1 Installed-Size: 34 Maintainer: Daigo Moriwaki Architecture: all Depends: ruby-gsl Size: 10512 SHA256: 4b09dfff4bae84b819aec5dcbadf032521972e97cd44eac0ea433aa322839458 SHA1: a7804366b55f4271016fdc158b101ed563dc2f10 MD5sum: 88a44439c5d0fb23ce27b137a6239187 Description: Transitional package for ruby-gsl This is a transitional package to ease upgrades to the ruby-gsl package. It can safely be removed. Homepage: http://rb-gsl.rubyforge.org/ Tag: devel::lang:ruby, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gsl/libgsl-ruby1.8_1.14.7+dfsg-1_all.deb Package: libgsl-ruby1.8-dbg Source: ruby-gsl Version: 1.14.7+dfsg-1 Installed-Size: 34 Maintainer: Daigo Moriwaki Architecture: all Depends: ruby-gsl-dbg Size: 10520 SHA256: 4d0026389bb4fc8bf64c5201f6de028091715993c84b1983e57758542f62ac3d SHA1: cd81afee98f550565c819620fcae8ea2287c6011 MD5sum: cdfc47484d9387a36f77e1878ab354ac Description: Transitional package for ruby-gsl-dbg This is a transitional package to ease upgrades to the ruby-gsl-dbg package. It can safely be removed. Homepage: http://rb-gsl.rubyforge.org/ Section: debug Priority: extra Filename: pool/main/r/ruby-gsl/libgsl-ruby1.8-dbg_1.14.7+dfsg-1_all.deb Package: libgsl-ruby1.9.1 Source: ruby-gsl Version: 1.14.7+dfsg-1 Installed-Size: 34 Maintainer: Daigo Moriwaki Architecture: all Depends: ruby-gsl Size: 10510 SHA256: 38d5380cfdad45764595986d51e5c9019623a62fc0b07fc47d901083c2657683 SHA1: e00dd29a80cff12360fee88c5e37cb481daf3760 MD5sum: 457c2fb43cdbc040a30d713e67d7e665 Description: Transitional package for ruby-gsl This is a transitional package to ease upgrades to the ruby-gsl package. It can safely be removed. Homepage: http://rb-gsl.rubyforge.org/ Tag: devel::lang:ruby, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-gsl/libgsl-ruby1.9.1_1.14.7+dfsg-1_all.deb Package: libgsl-ruby1.9.1-dbg Source: ruby-gsl Version: 1.14.7+dfsg-1 Installed-Size: 34 Maintainer: Daigo Moriwaki Architecture: all Depends: ruby-gsl-dbg Size: 10518 SHA256: a32a0ca4d876a9c731fcfe93a0de227ce3cb3472c18a9ca3d7369fede321f6bf SHA1: c48fb29e43a2c419bced63fca044a9e7041afee2 MD5sum: ee8915ab9fd2db90419df0ef8b8345de Description: Transitional package for ruby-gsl-dbg This is a transitional package to ease upgrades to the ruby-gsl-dbg package. It can safely be removed. Homepage: http://rb-gsl.rubyforge.org/ Section: debug Priority: extra Filename: pool/main/r/ruby-gsl/libgsl-ruby1.9.1-dbg_1.14.7+dfsg-1_all.deb Package: libgsl0-dbg Source: gsl Version: 1.15+dfsg.2-2 Architecture: armhf Maintainer: Dirk Eddelbuettel Installed-Size: 5599 Depends: libgsl0ldbl (= 1.15+dfsg.2-2) Homepage: http://www.gnu.org/software/gsl Priority: extra Section: debug Filename: pool/main/g/gsl/libgsl0-dbg_1.15+dfsg.2-2_armhf.deb Size: 1659138 SHA256: 004969e07c21221a7ef355ba818b35496c7cf6692158059835d92ea0e4c654c5 SHA1: f02e8dc5b1d08b25ae15fb37597c329f602fcc8c MD5sum: 46e33a297068fa10e3ca1a730995d9d0 Description: GNU Scientific Library (GSL) -- debug symbols package The GNU Scientific Library (GSL) is a collection of routines for numerical analysis. The routines are written from scratch by the GSL team in C, and present a modern API for C programmers, while allowing wrappers to be written for very high level languages. . This package contains debugging symbol tables for the static GSL libraries libgsl and libgslcblas from the libgsl0-dev package, and the binaries gsl-randist and gsl-histogram from the gsl-bin package. Package: libgsl0-dev Source: gsl Version: 1.15+dfsg.2-2 Architecture: armhf Maintainer: Dirk Eddelbuettel Installed-Size: 4262 Depends: libgsl0ldbl (= 1.15+dfsg.2-2) Conflicts: gsl-dev Replaces: gsl-dev, libgsl0 (<= 1.9-4) Homepage: http://www.gnu.org/software/gsl Priority: optional Section: libdevel Filename: pool/main/g/gsl/libgsl0-dev_1.15+dfsg.2-2_armhf.deb Size: 1132786 SHA256: b0a2ab99e1bfea7715e7de810629c2dcd4547c5caf07e30568a1d3ffcbb40744 SHA1: 2184e4b6585bad9dccb9b259dab35e63cdfe5f79 MD5sum: 940a168008c4c26ec5d33257dd6b2bb5 Description: GNU Scientific Library (GSL) -- development package The GNU Scientific Library (GSL) is a collection of routines for numerical analysis. The routines are written from scratch by the GSL team in C, and present a modern API for C programmers, while allowing wrappers to be written for very high level languages. . This package contains the header files, static libraries and symbolic links that developers using GNU GSL will need. Package: libgsl0ldbl Source: gsl Version: 1.15+dfsg.2-2 Architecture: armhf Maintainer: Dirk Eddelbuettel Installed-Size: 2064 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Suggests: gsl-ref-psdoc | gsl-doc-pdf | gsl-doc-info | gsl-ref-html Conflicts: gsl, libgsl0 Replaces: gsl, libgsl0 (<= 1.9-4) Homepage: http://www.gnu.org/software/gsl Priority: optional Section: libs Filename: pool/main/g/gsl/libgsl0ldbl_1.15+dfsg.2-2_armhf.deb Size: 904818 SHA256: b9b7a60f279955776297671092c6aee35836e473ca99e55d56543ad6468db2d1 SHA1: 0a5cb515ef70d06d80fe8676ccb70be4775172bf MD5sum: a3c0d724651a908380e7ea4fecec9347 Description: GNU Scientific Library (GSL) -- library package The GNU Scientific Library (GSL) is a collection of routines for numerical analysis. The routines are written from scratch by the GSL team in C, and present a modern API for C programmers, while allowing wrappers to be written for very high level languages. . GSL includes data types and routines for complex numbers, vectors, matrices, basic linear algebra subroutines (BLAS), eigensystems, simulated annealing, minimization, root finding, pseudo-random numbers, least-squares fitting, fast Fourier transforms (FFT), differential equations, quadrature, Monte Carlo integration, special functions, physical constants, and much more. . This package provides the shared libraries required to run programs compiled with GNU GSL. To compile your own programs you also need to install libgsl0-dev. Package: libgsm-tools Source: libgsm Version: 1.0.13-4 Architecture: armhf Maintainer: Jochen Friedrich Installed-Size: 147 Depends: libc6 (>= 2.13-28), libgsm1 (>= 1.0.13) Replaces: libgsm-bin Priority: optional Section: sound Filename: pool/main/libg/libgsm/libgsm-tools_1.0.13-4_armhf.deb Size: 20930 SHA256: 323de1b2b145aa15e716e58593eb4f3d4c1b456b8f9db192b515a2dbcc3b9a5e SHA1: 7e421f58bf1e92553d33cc414901485278f050d3 MD5sum: 0f8dd2483c9badd9dce31976f6e19358 Description: User binaries for a GSM speech compressor This package contains user binaries for libgsm, an implementation of the European GSM 06.10 provisional standard for full-rate speech transcoding, prI-ETS 300 036, which uses RPE/LTP (residual pulse excitation/long term prediction) coding at 13 kbit/s. . GSM 06.10 compresses frames of 160 13-bit samples (8 kHz sampling rate, i.e. a frame rate of 50 Hz) into 260 bits; for compatibility with typical UNIX applications, our implementation turns frames of 160 16-bit linear samples into 33-byte frames (1650 Bytes/s). The quality of the algorithm is good enough for reliable speaker recognition; even music often survives transcoding in recognizable form (given the bandwidth limitations of 8 kHz sampling rate). . The interfaces offered are a front end modelled after compress(1), and a library API. Compression and decompression run faster than realtime on most SPARCstations. The implementation has been verified against the ETSI standard test patterns. Package: libgsm0710-0 Source: libgsm0710 Version: 1.2.2-2 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 49 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://freesmartphone.org/ Priority: extra Section: libs Filename: pool/main/libg/libgsm0710/libgsm0710-0_1.2.2-2_armhf.deb Size: 9076 SHA256: dfddda8a7339a6b9c9b16ee3abee3cb781a4372cdacc99f5e2181333341399ae SHA1: a53c2a62dd03436b4c1696e89dd643fa5aad93b6 MD5sum: ef45cb5cd4b79804cde42315e7ba9427 Description: Implementation of the 3GPP GSM 07.10 multiplexing protocol This library provides a lightweight implementation of the 3GPP GSM 07.10 multiplexing protocol. In conjunction with libgsm0710mux it provides the base for multiplexing in the freesmartphone.org software stack. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. Package: libgsm0710-dbg Source: libgsm0710 Version: 1.2.2-2 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 49 Depends: libgsm0710-0 (= 1.2.2-2) Multi-Arch: same Homepage: http://freesmartphone.org/ Priority: extra Section: debug Filename: pool/main/libg/libgsm0710/libgsm0710-dbg_1.2.2-2_armhf.deb Size: 10332 SHA256: 855f58b23da5711902870ece5d3f2ce0e2cefa533cf88450046030b625a5cc8a SHA1: c299104c4552da5726e1ea7b3ce636817f41f192 MD5sum: dff8b951fc2896d61712478898cd4e6b Description: debugging symbols for use with libgsm0710 This library provides a lightweight implementation of the 3GPP GSM 07.10 multiplexing protocol. In conjunction with libgsm0710mux it provides the base for multiplexing in the freesmartphone.org software stack. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libgsm0710-dev Source: libgsm0710 Version: 1.2.2-2 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 63 Depends: libgsm0710-0 (= 1.2.2-2) Homepage: http://freesmartphone.org/ Priority: extra Section: libdevel Filename: pool/main/libg/libgsm0710/libgsm0710-dev_1.2.2-2_armhf.deb Size: 4086 SHA256: 3c52953f00999f667f1e8f3dab3c8d5853e15ce17477a080821af3203526d994 SHA1: 8c18596441f8a4b697045431cae3ba564cc4abe3 MD5sum: dbf68bff88eee48445cab79d499ed9d4 Description: development files for use with libgsm0710 This library provides a lightweight implementation of the 3GPP GSM 07.10 multiplexing protocol. In conjunction with libgsm0710mux it provides the base for multiplexing in the freesmartphone.org software stack. . This package contains headers and static libraries for development with libgsm0710. Package: libgsm0710mux-dbg Source: libgsm0710mux Version: 0.11.2-1.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 108 Depends: libgsm0710mux2 (= 0.11.2-1.1) Homepage: http://freesmartphone.org/ Priority: extra Section: debug Filename: pool/main/libg/libgsm0710mux/libgsm0710mux-dbg_0.11.2-1.1_armhf.deb Size: 57942 SHA256: b9a8ff3071cf4d5657327053f29f9d7ab57cd9f8f24229cb2acf0c8afc6f7277 SHA1: 756e396d9a51b670937035f3ad1722c6b2d2f042 MD5sum: f29eab2ec00e7fe43e932fe00b2aa4f0 Description: debugging symbols for use with libgsm0710mux This library supports implementing a GSM 07.10 Multiplexing Server. It requires libgsm0710 for the protocol engine and glib. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libgsm0710mux-dev Source: libgsm0710mux Version: 0.11.2-1.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 56 Depends: libgsm0710mux2 (= 0.11.2-1.1), libfsobasics-dev (>= 0.11.0), libfsotransport-dev (>= 0.11.1), libgsm0710-dev (>= 1.2.2) Homepage: http://freesmartphone.org/ Priority: extra Section: libdevel Filename: pool/main/libg/libgsm0710mux/libgsm0710mux-dev_0.11.2-1.1_armhf.deb Size: 3242 SHA256: 62a9b2ee5d62b508d3245c7927c111c75af918c323683effa05eba5f30e448ed SHA1: 8e0908dfd5fe528035b627adeeab30be35f4c62a MD5sum: 0267ecb4210a06373e5a6967fd36c771 Description: Development files for libgsm0710mux This library supports implementing a GSM 07.10 Multiplexing Server. It requires libgsm0710 for the protocol engine and glib. . Development files. Package: libgsm0710mux2 Source: libgsm0710mux Version: 0.11.2-1.1 Architecture: armhf Maintainer: Debian FreeSmartphone.Org Team Installed-Size: 96 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libfsobasics0 (>= 0.9.99+git20110804), libfsotransport3 (>= 0.11.1), libglib2.0-0 (>= 2.30.0), libgsm0710-0 (>= 1.2.2) Multi-Arch: same Homepage: http://freesmartphone.org/ Priority: extra Section: libs Filename: pool/main/libg/libgsm0710mux/libgsm0710mux2_0.11.2-1.1_armhf.deb Size: 29902 SHA256: 8a458a186eb1a01eb1cf1efcb0b8d6db76c388ef3f2b07450a3ffca60650a7b1 SHA1: e6b09d18fb3edefcdd94ac18875b1a0cde633420 MD5sum: fbadc97eb7e2a4a34ba38963f42cf779 Description: Library for implementing GSM 07.10 multiplexing servers This library supports implementing a GSM 07.10 Multiplexing Server. It requires libgsm0710 for the protocol engine and glib. . You may either write standalone programs (e.g. communicating over D-Bus and using ptys) or embed the library in your GSM server to improve performance. . This package is part of the freesmartphone.org software stack and is targeted for smartphones. Package: libgsm1 Source: libgsm Version: 1.0.13-4 Architecture: armhf Maintainer: Jochen Friedrich Installed-Size: 81 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Conflicts: libgsm-dev Multi-Arch: same Priority: optional Section: libs Filename: pool/main/libg/libgsm/libgsm1_1.0.13-4_armhf.deb Size: 30912 SHA256: fc09a8a4eb4730ac935f169f03c2a33736323c4d2dcd6c3316bf2bd5b658b50d SHA1: bd79cff38293c753109072e983e6c112508ddeef MD5sum: 8bf4f22643be27bd88506f215383735b Description: Shared libraries for GSM speech compressor This package contains runtime shared libraries for libgsm, an implementation of the European GSM 06.10 provisional standard for full-rate speech transcoding, prI-ETS 300 036, which uses RPE/LTP (residual pulse excitation/long term prediction) coding at 13 kbit/s. . GSM 06.10 compresses frames of 160 13-bit samples (8 kHz sampling rate, i.e. a frame rate of 50 Hz) into 260 bits; for compatibility with typical UNIX applications, our implementation turns frames of 160 16-bit linear samples into 33-byte frames (1650 Bytes/s). The quality of the algorithm is good enough for reliable speaker recognition; even music often survives transcoding in recognizable form (given the bandwidth limitations of 8 kHz sampling rate). . The interfaces offered are a front end modelled after compress(1), and a library API. Compression and decompression run faster than realtime on most SPARCstations. The implementation has been verified against the ETSI standard test patterns. Package: libgsm1-dbg Source: libgsm Version: 1.0.13-4 Architecture: armhf Maintainer: Jochen Friedrich Installed-Size: 88 Depends: libgsm1 (= 1.0.13-4) Priority: extra Section: debug Filename: pool/main/libg/libgsm/libgsm1-dbg_1.0.13-4_armhf.deb Size: 39478 SHA256: d9566e33eb5fe8e063451363e1a11e18cc9fa6922365596e00b8b2a480574868 SHA1: 055c6d45ad0b145fb21e6e26abb45ab899a675fa MD5sum: 3d5c9b0ef6815ebe2d53955b4d4388da Description: Shared libraries for GSM speech compressor (debug symbols) This package contains debug symbols for libgsm, an implementation of the European GSM 06.10 provisional standard for full-rate speech transcoding, prI-ETS 300 036, which uses RPE/LTP (residual pulse excitation/long term prediction) coding at 13 kbit/s. . GSM 06.10 compresses frames of 160 13-bit samples (8 kHz sampling rate, i.e. a frame rate of 50 Hz) into 260 bits; for compatibility with typical UNIX applications, our implementation turns frames of 160 16-bit linear samples into 33-byte frames (1650 Bytes/s). The quality of the algorithm is good enough for reliable speaker recognition; even music often survives transcoding in recognizable form (given the bandwidth limitations of 8 kHz sampling rate). . The interfaces offered are a front end modelled after compress(1), and a library API. Compression and decompression run faster than realtime on most SPARCstations. The implementation has been verified against the ETSI standard test patterns. Package: libgsm1-dev Source: libgsm Version: 1.0.13-4 Architecture: armhf Maintainer: Jochen Friedrich Installed-Size: 123 Depends: libgsm1 (= 1.0.13-4) Conflicts: libgsm-dev, libgsm1 (<= 1.0.10-2) Replaces: libgsm-dev Multi-Arch: same Priority: optional Section: libdevel Filename: pool/main/libg/libgsm/libgsm1-dev_1.0.13-4_armhf.deb Size: 36926 SHA256: be7544ff9857a4995a2d33f85d8b1dc3f517357d169517b22ddec2dfd34aa4c4 SHA1: 370fd713e046ada3ed2e2a890deec829a06815c6 MD5sum: 87fc5fa12289e1c2cf87c3ae2ad09003 Description: Development libraries for a GSM speech compressor This package contains header files and development libraries for libgsm, an implementation of the European GSM 06.10 provisional standard for full-rate speech transcoding, prI-ETS 300 036, which uses RPE/LTP (residual pulse excitation/long term prediction) coding at 13 kbit/s. . GSM 06.10 compresses frames of 160 13-bit samples (8 kHz sampling rate, i.e. a frame rate of 50 Hz) into 260 bits; for compatibility with typical UNIX applications, our implementation turns frames of 160 16-bit linear samples into 33-byte frames (1650 Bytes/s). The quality of the algorithm is good enough for reliable speaker recognition; even music often survives transcoding in recognizable form (given the bandwidth limitations of 8 kHz sampling rate). . The interfaces offered are a front end modelled after compress(1), and a library API. Compression and decompression run faster than realtime on most SPARCstations. The implementation has been verified against the ETSI standard test patterns. Package: libgsmme-dev Source: gsmlib Version: 1.10-13.2 Architecture: armhf Maintainer: Mark Purcell Installed-Size: 826 Depends: libgsmme1c2a (= 1.10-13.2), libc6-dev Homepage: http://www.pxh.de/fs/gsmlib/ Priority: extra Section: libdevel Filename: pool/main/g/gsmlib/libgsmme-dev_1.10-13.2_armhf.deb Size: 256042 SHA256: c713c962b74d5112141c626509c32c1e6c12ed2de38f6df6b32e2e045f2eebf3 SHA1: 71d61c4d2ebaf0b637793479bf883818f6b806b0 MD5sum: 3440ade5c24d9001e7bc8a1909872f79 Description: Header files and static libraries for gsmlib Headers and static libraries for use when compiling programs with gsmlib. . gsmlib is a library for access to a GSM mobile phone using the standards ETSI GSM 07.07, ETSI GSM 07.05, and others. Package: libgsmme1c2a Source: gsmlib Version: 1.10-13.2 Architecture: armhf Maintainer: Mark Purcell Installed-Size: 480 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Conflicts: libgsmme1, libgsmme1c102, libgsmme1c2 Replaces: libgsmme1c102, libgsmme1c2 Homepage: http://www.pxh.de/fs/gsmlib/ Priority: extra Section: libs Filename: pool/main/g/gsmlib/libgsmme1c2a_1.10-13.2_armhf.deb Size: 195016 SHA256: 5ad374fe2863c0fe73b99244b9619067ae0a1a7e81c2eb35d2836462f6b271da SHA1: 53f4efd37004d48e1b99c47d335b6acff50e3f2f MD5sum: 34be631b9a5d017d27db890b812292dc Description: GSM mobile phone access library Library to access GSM mobile phones through GSM modems or IrDA devices. Features include: . * modification of phone books stored in the mobile phone or on the SIM card * reading and writing of SMS messages stored in the mobile phone * sending and reception of SMS messages . gsmlib uses standard ETSI GSM 07.07, ETSI GSM 07.05, and others. Package: libgsmsd7 Source: gammu Version: 1.31.90-1 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 229 Depends: libc6 (>= 2.13-28), libdbi1 (>= 0.8.4), libgammu7 (>= 1.31.90), libmysqlclient16 (>= 5.1.50-1), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libpq5 Suggests: gammu-smsd Homepage: http://www.gammu.org Priority: optional Section: libs Filename: pool/main/g/gammu/libgsmsd7_1.31.90-1_armhf.deb Size: 127758 SHA256: 4f1d1daee96804b0faa4e69fcc0724a4de11d45768d3e697eb09ef661525e862 SHA1: 19cd13a4707dcbc27e53aa8b07856983d2ab9244 MD5sum: 9b21d33280990f65448b21433837f8d8 Description: SMS daemon helper library Gammu is command line utility and library to work with mobile phones from many vendors. Support for different models differs, but basic functions should work with majority of them. Program can work with contacts, messages (SMS, EMS and MMS), calendar, todos, filesystem, integrated radio, camera, etc. It also supports daemon mode to send and receive SMSes. . Currently supported phones include: . * Many Nokia models. * Alcatel BE5 (501/701), BF5 (715), BH4 (535/735). * AT capable phones (Siemens, Nokia, Alcatel, IPAQ). * OBEX and IrMC capable phones (Sony-Ericsson, Motorola). * Symbian phones through gnapplet. . This package contains Gammu SMS daemon shared library. Package: libgsnmp0 Source: gsnmp Version: 0.3.0-1.1 Architecture: armhf Maintainer: Jochen Friedrich Installed-Size: 97 Depends: libc6 (>= 2.13-28) Priority: optional Section: libs Filename: pool/main/g/gsnmp/libgsnmp0_0.3.0-1.1_armhf.deb Size: 33486 SHA256: a4d9be972c003e43632c1beb62f4c5c28c29662ff8b894cbf4c4a5eea7c477f8 SHA1: 1d4d4fa88f832fc8e4710ab4c76b8b99660a5615 MD5sum: 84df6a2067f2db2a7fd6b3562f4aa130 Description: an SNMP library implementation based on glib and gnet GNET-SNMP is an SNMP library implementation based on glib and gnet. This library has been developed as part of the scli package (an SNMP command line interface). Some examples demonstrating the API can be found in the examples directory. Some examples use stub files generated by the smidump MIB compiler, which is part of the libsmi package. Package: libgsnmp0-dbg Source: gsnmp Version: 0.3.0-1.1 Architecture: armhf Maintainer: Jochen Friedrich Installed-Size: 185 Depends: libgsnmp0 (= 0.3.0-1.1) Priority: extra Section: debug Filename: pool/main/g/gsnmp/libgsnmp0-dbg_0.3.0-1.1_armhf.deb Size: 62102 SHA256: 99b6581fecde0d550478ceb5606bbf8be90619bfa53fa61c94a89a688f03e25c SHA1: febc263955ea9eb16a19654cd2d29aab5619fe3a MD5sum: c8191ea6c0111fa2086755e6eb3e931d Description: an SNMP library implementation based on glib and gnet (debug files) These are the debug symbols for the gsnmp library. . GNET-SNMP is an SNMP library implementation based on glib and gnet. This library has been developed as part of the scli package (an SNMP command line interface). Some examples demonstrating the API can be found in the examples directory. Some examples use stub files generated by the smidump MIB compiler, which is part of the libsmi package. Package: libgsnmp0-dev Source: gsnmp Version: 0.3.0-1.1 Architecture: armhf Maintainer: Jochen Friedrich Installed-Size: 177 Depends: libgsnmp0 (= 0.3.0-1.1) Conflicts: libgsnmp-dev Provides: libgsnmp-dev Priority: optional Section: libdevel Filename: pool/main/g/gsnmp/libgsnmp0-dev_0.3.0-1.1_armhf.deb Size: 45088 SHA256: e17e5b591e24207115d251b1c93555f5fa80a7e553779a3358c9773aaea9b04e SHA1: 1ac88c4d7a3e19a279a6dff2f28c9f3fa9b67a3c MD5sum: 5e55ccf78c885a1c90d844bd8b834650 Description: an SNMP library implementation based on glib and gnet (development files) These are the files needed to compile programs using gsnmp . GNET-SNMP is an SNMP library implementation based on glib and gnet. This library has been developed as part of the scli package (an SNMP command line interface). Some examples demonstrating the API can be found in the examples directory. Some examples use stub files generated by the smidump MIB compiler, which is part of the libsmi package. Package: libgsoap2 Source: gsoap Version: 2.8.7-2+deb7u1 Architecture: armhf Maintainer: Mattias Ellert Installed-Size: 999 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Homepage: http://gsoap2.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/g/gsoap/libgsoap2_2.8.7-2+deb7u1_armhf.deb Size: 488462 SHA256: 01f96606a9579ae8454163f2d12582b3ec9a9b85c13d2d87a75ad76340bce54a SHA1: 96987410daa2c39d4cf4a6bcd29b70eb82122618 MD5sum: 033a9a8489bc3647a1d2913f84f9e216 Description: Runtime libraries for gSOAP The gSOAP toolkit provides a unique SOAP-to-C/C++ language binding for the development of SOAP Web Services and clients. Runtime libraries. Package: libgsql-dev Source: gsql Version: 0.2.2-1.2 Architecture: armhf Maintainer: Estêvão Samuel Procópio Installed-Size: 326 Depends: libgsql0 (= 0.2.2-1.2) Homepage: http://gsql.org Priority: optional Section: libdevel Filename: pool/main/g/gsql/libgsql-dev_0.2.2-1.2_armhf.deb Size: 91298 SHA256: e299ecd843edaf7761b5530d196cfaa32098d50a688cb495ccebcc0a3cafcc2a SHA1: 4168742c17507c14a3b701cb9d6c92540cd5eb85 MD5sum: 5756c0306ba2b374db7e73021a6e9b70 Description: Integrated database development tool for GNOME This package contains the development headers for the library found in libgsql0. Package: libgsql0 Source: gsql Version: 0.2.2-1.2 Architecture: armhf Maintainer: Estêvão Samuel Procópio Installed-Size: 194 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.12.0), libgtksourceview2.0-0 (>= 2.10.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27) Homepage: http://gsql.org Priority: optional Section: gnome Filename: pool/main/g/gsql/libgsql0_0.2.2-1.2_armhf.deb Size: 67662 SHA256: 7edb688cdd8e3ee69eac2b4aeb706ef4395c9ad6018432be51283021ab1e97fb SHA1: 72c326f1d7d1ed239bad00834573befd5c0b6194 MD5sum: d3e3e0d2da4f199b6240e9dd25e41f10 Description: Integrated database development tool for GNOME This package contains the library for gsql. Package: libgss-dbg Source: gss Version: 1.0.2-1 Architecture: armhf Maintainer: Debian GSS Team Installed-Size: 262 Depends: libgss3 (= 1.0.2-1) Homepage: http://www.gnu.org/software/gss/ Priority: extra Section: debug Filename: pool/main/g/gss/libgss-dbg_1.0.2-1_armhf.deb Size: 116520 SHA256: fe5c9c86bb88b2f57a775b1a47d31c635c588b3c663c02f053f70eeb5980efdf SHA1: 19f53ba8ddcc9db701fde43e565252e1022f6101 MD5sum: 73172cb211d5e79452516ef8a9f83b01 Description: Debugging symbols for GSS The GNU Generic Security Service Library (GSSLib) is a free implementation of the GSS-API security framework. GSSLib uses Shishi to implement the Kerberos V5 mechanism, but is flexible enough to support other mechanisms too. . This package contains detached debugging information. Most people will not need this package. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. GDB will find this debug information automatically. Package: libgss-dev Source: gss Version: 1.0.2-1 Architecture: armhf Maintainer: Debian GSS Team Installed-Size: 230 Depends: libc6 (>= 2.13-28), libgss3 (= 1.0.2-1), libshishi0 (>= 0.0.30), libshishi-dev (>= 0.0.42) Homepage: http://www.gnu.org/software/gss/ Priority: extra Section: libdevel Filename: pool/main/g/gss/libgss-dev_1.0.2-1_armhf.deb Size: 102294 SHA256: 7ace2e7f07c79b2926cff7051f3b79e531effefcddb706a1418e263a35f30004 SHA1: 04c21f8fda2aeb964021001b4cce0affe9eec544 MD5sum: bc2bd0533e0a1ba290007a36fe127f80 Description: Development and documentation files for Generic Security Services The GNU Generic Security Service Library (GSSLib) is a free implementation of the GSS-API security framework. GSSLib uses Shishi to implement the Kerberos V5 mechanism, but is flexible enough to support other mechanisms too. . This package contain files for developing programs with GSS support, including the header file and static library, and a tool to explain GSS-API error codes. Package: libgss3 Source: gss Version: 1.0.2-1 Architecture: armhf Maintainer: Debian GSS Team Installed-Size: 352 Depends: libc6 (>= 2.13-28), libshishi0 (>= 0.0.30), libshishi-dev (>= 0.0.42) Suggests: shishi Homepage: http://www.gnu.org/software/gss/ Priority: extra Section: libs Filename: pool/main/g/gss/libgss3_1.0.2-1_armhf.deb Size: 103870 SHA256: e015d0fd6eb3127bc44dffcf33e3db8ae89f9ef19d50bfc147053938477d00f3 SHA1: fd6d635a73951d09aff0f8160c46607206f8500f MD5sum: dc22fe959d660df5ec028556668679bb Description: Library for Generic Security Services The GNU Generic Security Service Library (GSSLib) is a free implementation of the GSS-API security framework. GSSLib uses Shishi to implement the Kerberos V5 mechanism, but is flexible enough to support other mechanisms too. . This package contains the GSS library. Package: libgssapi-krb5-2 Source: krb5 Version: 1.10.1+dfsg-5+deb7u9 Architecture: armhf Maintainer: Sam Hartman Installed-Size: 247 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.34), libk5crypto3 (>= 1.8+dfsg), libkeyutils1, libkrb5-3 (= 1.10.1+dfsg-5+deb7u9), libkrb5support0 (>= 1.7dfsg~beta2) Suggests: krb5-doc, krb5-user Breaks: libgssglue1 (<< 0.2-2) Multi-Arch: same Homepage: http://web.mit.edu/kerberos/ Priority: standard Section: libs Filename: pool/main/k/krb5/libgssapi-krb5-2_1.10.1+dfsg-5+deb7u9_armhf.deb Size: 133344 SHA256: 37415d61eba3f9e00ec81adb87cc3efcb14e3985c5eb6f588d1f9cfb32a6842c SHA1: 736df0441d70a8463c179c3fe18d2a00b2a710cc MD5sum: d92ace3a95dc865cda48b3edbee44034 Description: MIT Kerberos runtime libraries - krb5 GSS-API Mechanism Kerberos is a system for authenticating users and services on a network. Kerberos is a trusted third-party service. That means that there is a third party (the Kerberos server) that is trusted by all the entities on the network (users and services, usually called "principals"). . This is the MIT reference implementation of Kerberos V5. . This package contains the runtime library for the MIT Kerberos implementation of GSS-API used by applications and Kerberos clients. Package: libgssapi-perl Version: 0.28-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 185 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4), libgssapi-krb5-2 (>= 1.10+dfsg~) Homepage: http://search.cpan.org/dist/GSSAPI/ Priority: optional Section: perl Filename: pool/main/libg/libgssapi-perl/libgssapi-perl_0.28-2_armhf.deb Size: 59130 SHA256: abcb57333902e1a04aa544f99c69e2a1c8223c18437385fd4086fc28e0c3a64f SHA1: b64c439086067924eecf1f19df69746fa018186f MD5sum: e06c03b7dcb375bb536fba4be9a2586c Description: Perl extension providing access to the GSSAPIv2 library This module gives access to the routines of the GSSAPI library, as described in rfc2743 and rfc2744 and implemented by the Kerberos-1.2 distribution from MIT. . The API presented by this module is a mildly object oriented reinterpretation of the C API, where opaque C structures are Perl objects, but the style of function call has been left mostly untouched. As a result, most routines modify one or more of the parameters passed to them, reflecting the C call-by-reference (or call-by-value-return) semantics. Package: libgssapi3-heimdal Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Architecture: armhf Maintainer: Brian May Installed-Size: 263 Pre-Depends: multiarch-support Depends: libasn1-8-heimdal (>= 1.4.0+git20110226), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libhcrypto4-heimdal (>= 1.4.0+git20110226), libheimntlm0-heimdal (>= 1.4.0+git20110226), libkrb5-26-heimdal (>= 1.4.0+git20110403), libroken18-heimdal (>= 1.4.0+git20110226) Conflicts: libgssapi3 Multi-Arch: same Homepage: http://www.h5l.org/ Priority: optional Section: libs Filename: pool/main/h/heimdal/libgssapi3-heimdal_1.6~git20120403+dfsg1-2+deb7u1_armhf.deb Size: 114582 SHA256: b2286cbe3e736ae8c365bcaf236a115a30f4cb53f9372c8d8e362b62179d3dff SHA1: 8d98d001b7559debea49e3c24c9242efc220bf3d MD5sum: ca1fbe178e1174b1b1ed193115bb3f08 Description: Heimdal Kerberos - GSSAPI support library Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. . This package contains the library for GSSAPI support. Package: libgssdp-1.0-3 Source: gssdp Version: 0.12.2.1-2 Architecture: armhf Maintainer: Ross Burton Installed-Size: 84 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.22.0), libsoup2.4-1 (>= 2.26.1) Homepage: http://www.gupnp.org Priority: optional Section: libs Filename: pool/main/g/gssdp/libgssdp-1.0-3_0.12.2.1-2_armhf.deb Size: 26704 SHA256: 1e28776aac252c2cb7c882247ed956b9f6b1208ab27e5beed52ff47208045d73 SHA1: 5176acc5fbb9fa947889ec1dcbef868cba755ddd MD5sum: 72efb9d2231c8065f36f6285db28a849 Description: GObject-based library for SSDP A GObject-based API for doing SSDP (Simple Service Discovery Protocol) transparently. Package: libgssdp-1.0-dbg Source: gssdp Version: 0.12.2.1-2 Architecture: armhf Maintainer: Ross Burton Installed-Size: 137 Depends: libgssdp-1.0-3 (= 0.12.2.1-2) Homepage: http://www.gupnp.org Priority: extra Section: debug Filename: pool/main/g/gssdp/libgssdp-1.0-dbg_0.12.2.1-2_armhf.deb Size: 77680 SHA256: 412fbafaf55414c1f26421c18b0dd0407cafa20076fb99fddeebccf0e4caa846 SHA1: f415cd18b30984581c27572f0d0fa67959497878 MD5sum: c600215a3b9692421d867934aeddc192 Description: GObject-based library for SSDP (debug symbols) A GObject-based API for doing SSDP (Simple Service Discovery Protocol) transparently. . This package contains the debug symbols. Package: libgssdp-1.0-dev Source: gssdp Version: 0.12.2.1-2 Architecture: armhf Maintainer: Ross Burton Installed-Size: 171 Depends: libgssdp-1.0-3 (= 0.12.2.1-2), gir1.2-gssdp-1.0, libsoup2.4-dev Suggests: libgssdp-doc Breaks: gir-repository-dev (<= 0.6.5-5), gupnp-vala (<= 0.10.4) Replaces: gir-repository-dev (<= 0.6.5-5), gupnp-vala (<= 0.10.4) Homepage: http://www.gupnp.org Priority: optional Section: libdevel Filename: pool/main/g/gssdp/libgssdp-1.0-dev_0.12.2.1-2_armhf.deb Size: 35782 SHA256: 08d83b7978472f8f83d9e79d289c27c81a9363dfb310e75e18e2cd9f8e04b7dc SHA1: 52aea36ad10bc44e5b74e8be3da81eb607089785 MD5sum: 0297063bc2e0015f16d8a485f48be4e6 Description: GObject-based library for SSDP (development files) A GObject-based API for doing SSDP (Simple Service Discovery Protocol) transparently. . This package contains the development files. Package: libgssdp-doc Source: gssdp Version: 0.12.2.1-2 Installed-Size: 157 Maintainer: Ross Burton Architecture: all Depends: lynx | www-browser Size: 22678 SHA256: 814572aef5c4e8bbb618d875b768c1dba8ea9cb506c95cca6dc7836e5f33098c SHA1: 6baf756c9bac94d406d77dfe3aac38316d0643eb MD5sum: ed002e050494976b417e4184a24bd20c Description: GObject-based library for SSDP (documentation) A GObject-based API for doing SSDP (Simple Service Discovery Protocol) transparently. . This package contains the documentation. Homepage: http://www.gupnp.org Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gssdp/libgssdp-doc_0.12.2.1-2_all.deb Package: libgssglue-dev Source: libgssglue Version: 0.4-2 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 159 Depends: libgssglue1 (= 0.4-2) Conflicts: libgssapi-dev (<= 0.11-1) Replaces: libgssapi-dev (<= 0.11-1) Homepage: http://www.citi.umich.edu/projects/nfsv4/linux/ Priority: optional Section: libdevel Filename: pool/main/libg/libgssglue/libgssglue-dev_0.4-2_armhf.deb Size: 33564 SHA256: 633e95e8763d951cf67f9809dc385a301ce72f4f829c4add8755d62d276daef1 SHA1: d6891c08e9e3fb036147859359a645fd7dbca623 MD5sum: 9f48ea435a1ce318bd2781236ae31e50 Description: header files and docs for libgssglue Contains the header files and documentation for libgssglue for use in developing applications that use the libgssglue library. . libgssglue provides a gssapi interface, but does not implement any gssapi mechanisms itself; instead it calls other gssapi functions (e.g., those provided by MIT Kerberos), depending on the requested mechanism, to do the work. Package: libgssglue1 Source: libgssglue Version: 0.4-2 Architecture: armhf Maintainer: Anibal Monsalve Salazar Installed-Size: 78 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Conflicts: libgssapi2 (<= 0.11-1) Replaces: libgssapi2 (<= 0.11-1) Multi-Arch: same Homepage: http://www.citi.umich.edu/projects/nfsv4/linux/ Priority: standard Section: libs Filename: pool/main/libg/libgssglue/libgssglue1_0.4-2_armhf.deb Size: 22856 SHA256: 1460d03c093cd29c969ad224b2e4f4802942c7bf214037770dbfd539a99dc3ea SHA1: 49391c3927ea3546fec6484b5936d435c7fa2ab5 MD5sum: 8fcea65f8b42f0433e8040233a8934f3 Description: mechanism-switch gssapi library libgssglue provides a gssapi interface, but does not implement any gssapi mechanisms itself; instead it calls other gssapi functions (e.g., those provided by MIT Kerberos), depending on the requested mechanism, to do the work. Package: libgssrpc4 Source: krb5 Version: 1.10.1+dfsg-5+deb7u9 Architecture: armhf Maintainer: Sam Hartman Installed-Size: 144 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2) Suggests: krb5-doc, krb5-user Conflicts: libkadm55 Multi-Arch: same Homepage: http://web.mit.edu/kerberos/ Priority: standard Section: libs Filename: pool/main/k/krb5/libgssrpc4_1.10.1+dfsg-5+deb7u9_armhf.deb Size: 80120 SHA256: 55b47fe246d6d90e825d0727a544b585e509225d209906b3607ad145e5714a8d SHA1: dfca7480b04e6dbf0982af378b17671dfcd90efa MD5sum: 408c7db27e93b3d7e5fec924d2cd2ab4 Description: MIT Kerberos runtime libraries - GSS enabled ONCRPC Kerberos is a system for authenticating users and services on a network. Kerberos is a trusted third-party service. That means that there is a third party (the Kerberos server) that is trusted by all the entities on the network (users and services, usually called "principals"). . This is the MIT reference implementation of Kerberos V5. . This package contains an RPC library used by the Kerberos administrative programs and potentially other applications. Package: libgst-dev Source: gnu-smalltalk Version: 3.2.4-2 Architecture: armhf Maintainer: Debian GNU Smalltalk maintainers Installed-Size: 997 Depends: libgst7 (= 3.2.4-2) Homepage: http://smalltalk.gnu.org Priority: extra Section: libdevel Filename: pool/main/g/gnu-smalltalk/libgst-dev_3.2.4-2_armhf.deb Size: 406784 SHA256: b2422f46b41b14567ce4fe70a184837ee0009af3882d29b4e75bcf20ce734606 SHA1: d710b37af193c3aa9ca601ffd24a8a3982674439 MD5sum: 94ee3aa6378ed597d35a149f59f97e9f Description: GNU Smalltalk virtual machine development files This package contains static library and headers files for the GNU Smalltalk virtual machine. . For more information on GNU Smalltalk see the gnu-smalltalk package. Package: libgst-ruby Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-gstreamer Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libgst-ruby_1.1.3-2_all.deb Size: 10108 SHA256: c10a4f770c9948b27acf7c43f28ae9f8126837c9db8e47c39582078a24c0338d SHA1: a28f70c5c7d7f8dd8aa9993ba7cba529e84e24d8 MD5sum: f7b4b66e8e9422492a436f14dd15de1b Description: Transitional package for ruby-gstreamer This is a transitional package to ease upgrades to the ruby-gstreamer package. It can safely be removed. Package: libgst-ruby1.8 Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-gstreamer Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libgst-ruby1.8_1.1.3-2_all.deb Size: 10108 SHA256: bbb8d7c4d484211d0a6b4864fd99ee106451e03d9e56c6cf61b8fabc791bee5e SHA1: 5e6d5e7b38d332b3461d41ae52acc19c928ed3ff MD5sum: 3b5228eb94dff75dfaa7e293d536d9d9 Description: Transitional package for ruby-gstreamer This is a transitional package to ease upgrades to the ruby-gstreamer package. It can safely be removed. Package: libgst-ruby1.8-dbg Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-gstreamer-dbg Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libgst-ruby1.8-dbg_1.1.3-2_all.deb Size: 10118 SHA256: fd03848b263bf96e85d68b50850028acc1c8e17c6a3d6dbcc6e18025247462eb SHA1: 227b9510ff806bf4ac85f72f2c5a3ecbe67204c8 MD5sum: 1bf0bc054261a24eb62168b987c3f91a Description: Transitional package for ruby-gstreamer-dbg This is a transitional package to ease upgrades to the ruby-gstreamer-dbg package. It can safely be removed. Package: libgst7 Source: gnu-smalltalk Version: 3.2.4-2 Architecture: armhf Maintainer: Debian GNU Smalltalk maintainers Installed-Size: 725 Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libltdl7 (>= 2.4.2), libreadline6 (>= 6.0), libsigsegv2 Homepage: http://smalltalk.gnu.org Priority: extra Section: libs Filename: pool/main/g/gnu-smalltalk/libgst7_3.2.4-2_armhf.deb Size: 353864 SHA256: 58713c9d2dd78e804d9b7b5914f7a9602b36337deddb173d8f046422e15969ea SHA1: cdcd54c820a63e3601793278a2d06013e8e19cc0 MD5sum: b4338ad4c6eb8a6e5ddbc9d482aeeaaa Description: GNU Smalltalk virtual machine shared library This package contains GNU Smalltalk virtual machine shared library. . For more information on GNU Smalltalk see the gnu-smalltalk package. Package: libgstbuzztard-dev Source: gst-buzztard Version: 0.5.0-2+deb7u1 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 92 Depends: libgstbuzztard0 (= 0.5.0-2+deb7u1), libgstreamer0.10-dev (>= 0.10.20), libglib2.0-dev (>= 2.6) Suggests: gstreamer0.10-buzztard-doc Homepage: http://www.buzztard.org Priority: optional Section: libdevel Filename: pool/main/g/gst-buzztard/libgstbuzztard-dev_0.5.0-2+deb7u1_armhf.deb Size: 22832 SHA256: a0b7358159a72349c6a8518433e8973568a89625850286c15f15d16033c1b861 SHA1: 1c483e541f7f8313e3fa24ad50b8898e5d936101 MD5sum: 09c5df31ccb804756fb46bbb81cc6246 Description: Buzztard - Support plugins for GStreamer (development files) GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . The Buzztard project aims to provide a modular, free, open source music studio that is conceptually based on the proprietary Windows software called Buzz. The Buzztard project itself has no direct link to Buzz apart from its concepts that we build upon and is no 1:1 Buzz copy. To allow migration for Buzz users, Buzztard provides song-file import and buzz-machine reuse. . This package contains development files. Package: libgstbuzztard0 Source: gst-buzztard Version: 0.5.0-2+deb7u1 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 61 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libgstreamer0.10-0 (>= 0.10.20), libxml2 (>= 2.6.27) Suggests: gstreamer0.10-buzztard-doc, gstreamer0.10-buzztard Homepage: http://www.buzztard.org Priority: optional Section: libs Filename: pool/main/g/gst-buzztard/libgstbuzztard0_0.5.0-2+deb7u1_armhf.deb Size: 20220 SHA256: d358cb297b2cddc0b54fe709ef59e769dd16dc91361125e6e3c2d400359ddc53 SHA1: bd7d895bc25f266431a31ad149133a62a39c8cdd MD5sum: f14dffafb1cb9e670bd937079ce83b19 Description: Buzztard - Support plugins for GStreamer (shared libraries) GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . The Buzztard project aims to provide a modular, free, open source music studio that is conceptually based on the proprietary Windows software called Buzz. The Buzztard project itself has no direct link to Buzz apart from its concepts that we build upon and is no 1:1 Buzz copy. To allow migration for Buzz users, Buzztard provides song-file import and buzz-machine reuse. . This package contains the shared library files. Package: libgstfarsight0.10-0 Source: farsight2 Version: 0.0.31-1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 764 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgssdp-1.0-3 (>= 0.12.0), libgstreamer-plugins-base0.10-0 (>= 0.10.33), libgstreamer0.10-0 (>= 0.10.33), libgupnp-1.0-4 (>= 0.18.0), libgupnp-igd-1.0-4 (>= 0.2.1), libnice10 (>= 0.1.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.6.27), gstreamer0.10-plugins-base (>= 0.10.33), gstreamer0.10-plugins-good (>= 0.10.29), gstreamer0.10-plugins-bad (>= 0.10.17), gstreamer0.10-nice (>= 0.1.0) Homepage: http://farsight.freedesktop.org Priority: optional Section: libs Filename: pool/main/f/farsight2/libgstfarsight0.10-0_0.0.31-1_armhf.deb Size: 399762 SHA256: 8f5234373f9001afc79122631abc2a2336f9f6f3154e4980e042855aa010f34e SHA1: 4a47b9de4e0b1512f51bb8c148494ce9b8eeda34 MD5sum: 5e138ac25130168ed100ef90ccc15805 Description: Audio/Video communications framework: core library The Farsight project is an effort to create a framework to deal with all known audio/video conferencing protocols. On one side it offers a generic API that makes it possible to write plugins for different streaming protocols, on the other side it offers an API for clients to use those plugins. . This package provides the core Farsight 2 library. Package: libgstfarsight0.10-dbg Source: farsight2 Version: 0.0.31-1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 1837 Depends: libgstfarsight0.10-0 (= 0.0.31-1), libgstreamer0.10-dev Homepage: http://farsight.freedesktop.org Priority: extra Section: debug Filename: pool/main/f/farsight2/libgstfarsight0.10-dbg_0.0.31-1_armhf.deb Size: 873410 SHA256: 1ac709dba4f1ed03e2623138a14921bfd0284b48bfedfa361eaa642e80600da9 SHA1: 00d3e3bb62db059c0f671adef9b14773a10b9d4f MD5sum: dc07a5cbae7a88f53675bb78b7c251bc Description: Audio/Video communications framework: debugging symbols The Farsight project is an effort to create a framework to deal with all known audio/video conferencing protocols. On one side it offers a generic API that makes it possible to write plugins for different streaming protocols, on the other side it offers an API for clients to use those plugins. . This package provides debugging symbols for Farsight 2. Package: libgstfarsight0.10-dev Source: farsight2 Version: 0.0.31-1 Architecture: armhf Maintainer: Debian Telepathy maintainers Installed-Size: 378 Depends: libgstfarsight0.10-0 (= 0.0.31-1), libgstreamer0.10-dev Homepage: http://farsight.freedesktop.org Priority: optional Section: libdevel Filename: pool/main/f/farsight2/libgstfarsight0.10-dev_0.0.31-1_armhf.deb Size: 223036 SHA256: 9e5aeccbd1b1322549376e26e6bcc6f36c20180b6c166925687e6dc847c16ad1 SHA1: bbe81aa23f512deee17eff43244394ec450bae0d MD5sum: 0ae02e4e33c12ffae845ecb4b8c26e27 Description: Audio/Video communications framework: development files The Farsight project is an effort to create a framework to deal with all known audio/video conferencing protocols. On one side it offers a generic API that makes it possible to write plugins for different streaming protocols, on the other side it offers an API for clients to use those plugins. . This package provides development files for Farsight 2. Package: libgstfarsight0.10-doc Source: farsight2 Version: 0.0.31-1 Installed-Size: 868 Maintainer: Debian Telepathy maintainers Architecture: all Size: 261634 SHA256: f3e5a44fd0c6994bbf8e0de0f62c16b0bb763e427f8398a94699f8bb048b9c49 SHA1: c4aa6a38ad9c184bd5c360ea329f76b7bef6fb76 MD5sum: 760a9c6195250c6e23648989da19ee19 Description: Audio/Video communications framework: documentation The Farsight project is an effort to create a framework to deal with all known audio/video conferencing protocols. On one side it offers a generic API that makes it possible to write plugins for different streaming protocols, on the other side it offers an API for clients to use those plugins. . This package provides documentation for Farsight 2. Homepage: http://farsight.freedesktop.org Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/f/farsight2/libgstfarsight0.10-doc_0.0.31-1_all.deb Package: libgstreamer-interfaces-perl Version: 0.06-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 100 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgstreamer-plugins-base0.10-0 (>= 0.10.12), libgstreamer0.10-0 (>= 0.10.0), libxml2 (>= 2.6.27), libgstreamer-perl, libglib-perl, libextutils-depends-perl, libextutils-pkgconfig-perl, gstreamer0.10-plugins-base Homepage: http://search.cpan.org/dist/GStreamer-Interfaces/ Priority: optional Section: perl Filename: pool/main/libg/libgstreamer-interfaces-perl/libgstreamer-interfaces-perl_0.06-2_armhf.deb Size: 16298 SHA256: 10b6b06d0518591e0c1df0709503cf6276c2b63b8ba3ecb0ffab58baee383c0e SHA1: 8132c0497322db9540489d2b7b4340fcb1a34f8a MD5sum: 9adcca71bec1edd018b167270c419e09 Description: Perl interface to the GStreamer Interfaces library GStreamer::Interfaces provides access to some of the interfaces in the GStreamer Interfaces library. Currently, that's GStreamer::PropertyProbe and GStreamer::XOverlay. . The perl bindings follow the C API very closely, and the C reference documentation should be considered the canonical source. . This module is part of gtk2-perl. . To discuss gtk2-perl, ask questions and flame/praise the authors, join gtk-perl-list@gnome.org at lists.gnome.org. . Also have a look at the gtk2-perl website and sourceforge project page, http://gtk2-perl.sourceforge.net Package: libgstreamer-ocaml Source: ocaml-gstreamer Version: 0.1.0-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 54 Depends: ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgstreamer-plugins-base0.10-0 (>= 0.10.22), libgstreamer0.10-0 (>= 0.10.0), libxml2 (>= 2.6.27) Provides: libgstreamer-ocaml-vou41 Homepage: http://savonet.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-gstreamer/libgstreamer-ocaml_0.1.0-3_armhf.deb Size: 10444 SHA256: b506e34aa867fe229f3c3baf9057db03aa563aeeea7844811c26c80462526051 SHA1: b65859366701a9ad6f40a9c7b6fba49bef9db716 MD5sum: a5251f9053ecb28aa560748983692a41 Description: OCaml interface to the gstreamer library -- runtime files This package provides an interface to the gstreamer library for OCaml programmers. . GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. . This package contains only the shared runtime stub libraries. Package: libgstreamer-ocaml-dev Source: ocaml-gstreamer Version: 0.1.0-3 Architecture: armhf Maintainer: Debian OCaml Maintainers Installed-Size: 160 Depends: libgstreamer-ocaml-vou41, ocaml-nox-3.12.1, camlidl, libgstreamer0.10-dev, libgstreamer-plugins-base0.10-dev, libgstreamer-ocaml (= 0.1.0-3), ocaml-findlib Provides: libgstreamer-ocaml-dev-vou41 Homepage: http://savonet.sourceforge.net/ Priority: optional Section: ocaml Filename: pool/main/o/ocaml-gstreamer/libgstreamer-ocaml-dev_0.1.0-3_armhf.deb Size: 23902 SHA256: 7dde553ccaf2c3e63bb097a843f7559013ccf36b3f8602288b93f42411fda838 SHA1: 333ef63ce7151b4c761ad3f8d6203039ef667c2c MD5sum: a01c93bf855f95a1323047384410c039 Description: OCaml interface to the gstreamer library -- development files This package provides an interface to the gstreamer library for OCaml programmers. . GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. . This package contains all the development stuff you need to develop OCaml programs which use ocaml-gstreamer. Package: libgstreamer-perl Version: 0.17-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 689 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.33), libxml2 (>= 2.6.27), libglib-perl Recommends: gstreamer0.10-plugins-base, gstreamer0.10-alsa Homepage: http://search.cpan.org/dist/GStreamer/ Priority: optional Section: perl Filename: pool/main/libg/libgstreamer-perl/libgstreamer-perl_0.17-1_armhf.deb Size: 303426 SHA256: 52198dd1155db84824a1f068bebbe27223b55c57f7c5b1eff10ae69bc775b562 SHA1: 1b98249a9d67ebb86653447de4a970fc5bf5a409 MD5sum: 6f6d54fc0c5723be3dc5d98b98e2e869 Description: Perl interface to the GStreamer media processing framework GStreamer provides the means to play, stream, and convert nearly any type of media -- be it audio or video. GStreamer wraps the GStreamer library in a nice and Perlish way, freeing the programmer from any memory management and object casting hassles. . GStreamer is a media processing framework with support for a wide variety of data sources, sinks, and formats through the use of dynamically loaded plugins. Find out more about GStreamer at http://www.gstreamer.net. Package: libgstreamer-plugins-bad0.10-0 Source: gst-plugins-bad0.10 Version: 0.10.23-7.1+deb7u5 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 958 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.36), libgstreamer0.10-0 (>= 0.10.36) Breaks: gstreamer0.10-plugins-bad (<< 0.10.22.3-2) Replaces: gstreamer0.10-plugins-bad (<< 0.10.22.3-2) Multi-Arch: same Homepage: http://gstreamer.freedesktop.org/modules/gst-plugins-bad.html Priority: extra Section: libs Filename: pool/main/g/gst-plugins-bad0.10/libgstreamer-plugins-bad0.10-0_0.10.23-7.1+deb7u5_armhf.deb Size: 760908 SHA256: d13bc0dec03d2f0b8fbe4525965da4f1ca7e6e41be692c1c1bfd679d9f89a9ea SHA1: 7ae62cda8aba45952bdc22b431c2752df981d1c4 MD5sum: 387137e5d39dc1e23ba5161a690c3502 Description: GStreamer development files for libraries from the "bad" set GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . GStreamer Bad Plug-ins is a set of plug-ins that aren't up to par compared to the rest. They might be close to being good quality, but they're missing something - be it a good code review, some documentation, a set of tests, a real live maintainer, or some actual wide use. . This package contains shared GStreamer libraries from the "bad" set. The API is not guaranteed to be stable. Package: libgstreamer-plugins-bad0.10-dev Source: gst-plugins-bad0.10 Version: 0.10.23-7.1+deb7u5 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 1095 Depends: libgstreamer-plugins-bad0.10-0 (= 0.10.23-7.1+deb7u5) Homepage: http://gstreamer.freedesktop.org/modules/gst-plugins-bad.html Priority: extra Section: libdevel Filename: pool/main/g/gst-plugins-bad0.10/libgstreamer-plugins-bad0.10-dev_0.10.23-7.1+deb7u5_armhf.deb Size: 777618 SHA256: d4803e4464c7b3d71e3ccfb931e0204ee0c8c919c248d1d36582ee568400e2ec SHA1: 8009546ddcb24cae5a8b461a3cd76871662c9c1f MD5sum: 77c7549622cb66891b39aa9d996493c5 Description: GStreamer development files for libraries from the "bad" set GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . GStreamer Bad Plug-ins is a set of plug-ins that aren't up to par compared to the rest. They might be close to being good quality, but they're missing something - be it a good code review, some documentation, a set of tests, a real live maintainer, or some actual wide use. . This package contains development files for GStreamer libraries from the "bad" set. The API is not guaranteed to be stable. Package: libgstreamer-plugins-base0.10-0 Source: gst-plugins-base0.10 Version: 0.10.36-1.1+deb7u2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 2214 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.36), liborc-0.4-0 (>= 1:0.4.16), zlib1g (>= 1:1.1.4), iso-codes Suggests: libvisual-0.4-plugins, gstreamer-codec-install | gnome-codec-install Conflicts: gstreamer0.10-pulseaudio (<< 0.10.16-4), totem-gstreamer (<= 2.17.92-0ubuntu1) Replaces: gobject-introspection-repository (<< 0.6.5-2) Multi-Arch: same Homepage: http://gstreamer.freedesktop.org Priority: optional Section: libs Filename: pool/main/g/gst-plugins-base0.10/libgstreamer-plugins-base0.10-0_0.10.36-1.1+deb7u2_armhf.deb Size: 982126 SHA256: be2aeac49d21ce6b85b6d38ba822fbce4da0971225d6caa79862284c4da7e6bd SHA1: d9d02eb6ef7aea0f57a68def25fc23d01c11be01 MD5sum: 6a440975e2c468e93365586f148553eb Description: GStreamer libraries from the "base" set GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains libraries from the "base" set, an essential exemplary set of elements. Package: libgstreamer-plugins-base0.10-dev Source: gst-plugins-base0.10 Version: 0.10.36-1.1+deb7u2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 2236 Depends: libgstreamer-plugins-base0.10-0 (= 0.10.36-1.1+deb7u2), libc6-dev | libc-dev, pkg-config, libgstreamer0.10-dev (>= 0.10.36), libglib2.0-dev, libxml2-dev, gir1.2-gst-plugins-base-0.10 (= 0.10.36-1.1+deb7u2) Replaces: gobject-introspection-repository (<< 0.6.5-2) Homepage: http://gstreamer.freedesktop.org Priority: optional Section: libdevel Filename: pool/main/g/gst-plugins-base0.10/libgstreamer-plugins-base0.10-dev_0.10.36-1.1+deb7u2_armhf.deb Size: 736650 SHA256: 0e9443e8f12a542e55e0135a743e9f67e6406fb4ab5538c7010d0d528b8a3c23 SHA1: c40c8dcb74327a6332c25a20cdc7f2579283c059 MD5sum: d6b55d4bf0f16d3864ed6da623bff99f Description: GStreamer development files for libraries from the "base" set GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains development files for GStreamer libraries from the "base" set, an essential exemplary set of elements. Package: libgstreamer0.10-0 Source: gstreamer0.10 Version: 0.10.36-1.2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 3756 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libxml2 (>= 2.7.4) Suggests: gstreamer0.10-tools, gstreamer0.10-plugins-base Conflicts: gstreamer0.10-plugins-base (<< 0.10.25.2), libgstreamer-plugins-base0.10-0 (<< 0.10.11cvs20070110-0ubuntu5) Replaces: gobject-introspection-repository (<< 0.6.5-2) Multi-Arch: same Homepage: http://gstreamer.freedesktop.org Priority: optional Section: libs Filename: pool/main/g/gstreamer0.10/libgstreamer0.10-0_0.10.36-1.2_armhf.deb Size: 1729790 SHA256: 9c3d67ccb8d16eea02a7f258f54047ff1a87ed1e48ea083f6b84b96a5b70b716 SHA1: c22ce59f57f332d2c7bbc0a5626ed705b9bb7477 MD5sum: e9f457f50ad6dc816112b2f1fa56d19d Description: Core GStreamer libraries and elements GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains the core library and elements. Package: libgstreamer0.10-0-dbg Source: gstreamer0.10 Version: 0.10.36-1.2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 2651 Depends: libgstreamer0.10-0 (= 0.10.36-1.2) Multi-Arch: same Homepage: http://gstreamer.freedesktop.org Priority: extra Section: debug Filename: pool/main/g/gstreamer0.10/libgstreamer0.10-0-dbg_0.10.36-1.2_armhf.deb Size: 2272496 SHA256: 7b7fb3b8e412d2b8287698a719e21fbbeabc27bddf0c36f0817d1145dfc20a33 SHA1: ce0ba01f92354db085f995cac1563433ca1b4e82 MD5sum: f93cb960b2e446abd1332e70a902080a Description: Core GStreamer libraries and elements GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains unstripped shared libraries. It is provided primarily to provide a backtrace with names in a debugger, this makes it somewhat easier to interpret core dumps. The libraries are installed in /usr/lib/debug and are automatically used by gdb. Package: libgstreamer0.10-cil-dev Source: gstreamer-sharp Version: 0.9.2-4 Installed-Size: 499 Maintainer: Debian CLI Libraries Team Architecture: all Depends: libgstreamer0.9-cil (<< 0.9.2.1~), libgstreamer0.9-cil (>= 0.9.2) Size: 81302 SHA256: b8cd73c2557a8921bd4c96158a73dee6e158ea0437f2ac827145017b463e7725 SHA1: 863f4443e3727d220a78f1846d0daa5f197b10a6 MD5sum: faccdbb7ff2bd90a5fcd55350cd18a8e Description: CLI bindings to GStreamer - development files GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains the development files required to compile CLI applications and libraries which use GStreamer#. Homepage: http://gstreamer.freedesktop.org/modules/gstreamer-sharp.html Tag: devel::library, role::devel-lib Section: cli-mono Priority: optional Filename: pool/main/g/gstreamer-sharp/libgstreamer0.10-cil-dev_0.9.2-4_all.deb Package: libgstreamer0.10-dev Source: gstreamer0.10 Version: 0.10.36-1.2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 5252 Depends: libgstreamer0.10-0 (= 0.10.36-1.2), libc6-dev | libc-dev, pkg-config, libglib2.0-dev, libxml2-dev, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24), gir1.2-gstreamer-0.10 (= 0.10.36-1.2) Recommends: debhelper Suggests: gstreamer0.10-doc Replaces: gobject-introspection-repository (<< 0.6.5-2), gstreamer-tools (<< 0.10.20-2) Homepage: http://gstreamer.freedesktop.org Priority: optional Section: libdevel Filename: pool/main/g/gstreamer0.10/libgstreamer0.10-dev_0.10.36-1.2_armhf.deb Size: 1694302 SHA256: a66aaebd1630d37fcbd73133705ad520a90bc06834a978b7c5d5dc191e4b7bfc SHA1: f641ad764eea0edd40d788f2ef3a07cd8b5369da MD5sum: 3f5896e4fa87cc4da9318f27c73974c6 Description: GStreamer core development files GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains development files for the core library and elements. Package: libgstreamer0.9-cil Source: gstreamer-sharp Version: 0.9.2-4 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 781 Depends: libglib2.0-cil, cli-common (>= 0.5.1), libglib2.0-0 (>= 2.32.0), libgstreamer-plugins-base0.10-0 (>= 0.10.36), libgstreamer0.10-0 (>= 0.10.36), libmono-corlib4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.7), libc6 (>= 2.13-28), libxml2 (>= 2.6.27) Homepage: http://gstreamer.freedesktop.org/modules/gstreamer-sharp.html Priority: optional Section: cli-mono Filename: pool/main/g/gstreamer-sharp/libgstreamer0.9-cil_0.9.2-4_armhf.deb Size: 264228 SHA256: 4e62041a0464f6d420c2fd07f127fbe47727780c4b554a2a326b498c95385958 SHA1: ad6bf8d16a0c4ff62ae033c31d2d80e5d3503328 MD5sum: 26d56f0a65c59c98343762b0d697dd73 Description: CLI bindings to GStreamer GStreamer is a streaming media framework, based on graphs of filters which operate on media data. Applications using this library can do anything from real-time sound processing to playing videos, and just about anything else media-related. Its plugin-based architecture means that new data types or processing capabilities can be added simply by installing new plug-ins. . This package contains the GStreamer# assembly to access GStreamer from CLI applications. Package: libgstrtspserver-0.10-0 Source: gstreamer0.10-rtsp Version: 0.10.8-3 Architecture: armhf Maintainer: Sebastian Reichel Installed-Size: 157 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.32), libgstreamer0.10-0 (>= 0.10.32), libxml2 (>= 2.6.27), gstreamer0.10-plugins-base (>= 0.10.32), gstreamer0.10-plugins-good Homepage: http://people.freedesktop.org/~wtay/ Priority: optional Section: libs Filename: pool/main/g/gstreamer0.10-rtsp/libgstrtspserver-0.10-0_0.10.8-3_armhf.deb Size: 54908 SHA256: 126ebc51a2e9ec4d1c4d135fb8b313240bacb5754b05181f12e3d627512a2a97 SHA1: 54d751da8df8b79f86ec4fe7f813e057ecdc6cc5 MD5sum: 793f9169254074bd122148c0ddb58421 Description: RTSP server plugin for GStreamer This GStreamer plugin provides Real-Time Streaming Protocol Server abilities. Package: libgstrtspserver-0.10-dev Source: gstreamer0.10-rtsp Version: 0.10.8-3 Architecture: armhf Maintainer: Sebastian Reichel Installed-Size: 265 Depends: libgstrtspserver-0.10-0 (= 0.10.8-3), libgstreamer-plugins-base0.10-dev (>= 0.10.32), libgstreamer0.10-dev (>= 0.10.32), libglib2.0-dev (>= 2.10.0), gir1.2-gst-rtsp-server-0.10 (= 0.10.8-3) Suggests: valac Homepage: http://people.freedesktop.org/~wtay/ Priority: optional Section: libdevel Filename: pool/main/g/gstreamer0.10-rtsp/libgstrtspserver-0.10-dev_0.10.8-3_armhf.deb Size: 30222 SHA256: b66419c62c848fe169c10902d1d2249bb7f4bb03328a9079841095eeb170eefe SHA1: ac373d1184334813fbfa78497968cc7c49886fa6 MD5sum: 1aa8ec5bef09ecac99a81a88b24543bb Description: RTSP server plugin for GStreamer (development files) This GStreamer plugin provides Real-Time Streaming Protocol Server abilities. . This package contains development files for the plugin. Package: libgtest-dev Source: gtest Version: 1.6.0-2 Architecture: armhf Maintainer: Steve M. Robbins Installed-Size: 1303 Homepage: http://code.google.com/p/googletest/ Priority: optional Section: libdevel Filename: pool/main/g/gtest/libgtest-dev_1.6.0-2_armhf.deb Size: 265450 SHA256: b4c3b937f3d345462c9bb00408a45a6a2b315eaec47f3af0483c6db5301eec8a SHA1: 3b52d344f7d5f19a792edce465cb36a5818986ff MD5sum: 36bc1f628e50cce2230661454b335a47 Description: Google's framework for writing C++ tests - header files Google's framework for writing C++ tests on a variety of platforms. Based on the xUnit architecture. Supports automatic test discovery, a rich set of assertions, user-defined assertions, death tests, fatal and non-fatal failures, value- and type-parameterized tests, various options for running the tests, and XML test report generation. . This package contains the header files needed to link programs against gtest. Package: libgtextutils-dev Source: libgtextutils Version: 0.6.2-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 121 Depends: libgtextutils0 (= 0.6.2-1) Homepage: http://hannonlab.cshl.edu/fastx_toolkit/ Priority: optional Section: libdevel Filename: pool/main/libg/libgtextutils/libgtextutils-dev_0.6.2-1_armhf.deb Size: 25954 SHA256: 1b62b8fd2489d5f9588305a15e564c39b2a0c050c5d2e7be7a929a6a4ead7aff SHA1: 3bb5fba47b344641eb2c965b197205cef9cb7811 MD5sum: bc4725ba2cb0cb68a830457f4ba69484 Description: Gordon Text_utils library (development files) Development files for the Gordon Text_utils (gtextutils) library. Package: libgtextutils0 Source: libgtextutils Version: 0.6.2-1 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 82 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Breaks: fastx-toolkit (<= 0.0.13-1) Homepage: http://hannonlab.cshl.edu/fastx_toolkit/ Priority: optional Section: libs Filename: pool/main/libg/libgtextutils/libgtextutils0_0.6.2-1_armhf.deb Size: 21008 SHA256: d8a1b745befdde7a767b2b07a1c257728e3170a3b0c0d8b42af8802a159af4dc SHA1: 8799fcc2b98526b5906e6d6a621d3f5a080a5ff7 MD5sum: e8afda2f21111045a5881171c4a99ea0 Description: Gordon Text_utils library The Gordon Text_utils (gtextutils) library is a text utilities library used by the FASTX-Toolkit, a suite of programs for biological sequence analysis. Package: libgtg-dev Source: gtg-trace Version: 0.2+dfsg-1 Architecture: armhf Maintainer: Samuel Thibault Installed-Size: 2482 Depends: libgtg0 (= 0.2+dfsg-1) Homepage: http://gforge.inria.fr/projects/gtg/ Priority: extra Section: libdevel Filename: pool/main/g/gtg-trace/libgtg-dev_0.2+dfsg-1_armhf.deb Size: 631194 SHA256: 7e98e04b33febfed48f760aa7ab4590f750619bdd2968bb247c9e4f1f6dc6ff5 SHA1: 15c2bcf087bc39265fe1ba1311939e9169f21215 MD5sum: 4eeba5420b8d74385603099d137638f3 Description: Generic Trace Generator (GTG) - development files The GTG library provides a low level library to generate execution traces in Paje or OTF formats. . This package contains the development files Package: libgtg0 Source: gtg-trace Version: 0.2+dfsg-1 Architecture: armhf Maintainer: Samuel Thibault Installed-Size: 115 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), libotf-trace1 Multi-Arch: same Homepage: http://gforge.inria.fr/projects/gtg/ Priority: extra Section: libs Filename: pool/main/g/gtg-trace/libgtg0_0.2+dfsg-1_armhf.deb Size: 34358 SHA256: 9441733cb85e54f96da4cf4bf99dc91c6b66f7f416d55451b94401575516b839 SHA1: 3efaf5b6081473d29d6f5c6d907a44d04f592167 MD5sum: 8c353b2e7094f5452d26859c6c40526e Description: Generic Trace Generator (GTG) - shared library The GTG library provides a low level library to generate execution traces in Paje or OTF formats. . This package contains the shared library. Package: libgtk-3-0 Source: gtk+3.0 Version: 3.4.2-7+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 4855 Pre-Depends: multiarch-support Depends: libgtk-3-common (= 3.4.2-7+deb7u1), libatk1.0-0 (>= 2.2.0), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libcolord1 (>= 0.1.10), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.25.2), libglib2.0-0 (>= 2.32.0), libgnutls26 (>= 2.12.17-0), libgssapi-krb5-2 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libpango1.0-0 (>= 1.30.0), libx11-6 (>= 2:1.4.99.1), libxcomposite1 (>= 1:0.3-1), libxcursor1 (>> 1.1.2), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6 (>= 2:1.2.99.4), libxinerama1, libxrandr2 (>= 2:1.2.99.3), zlib1g (>= 1:1.1.4), shared-mime-info Recommends: hicolor-icon-theme, libgtk-3-bin Suggests: librsvg2-common, gvfs Breaks: gnome-themes-standard (<< 3.3), libvte-2.90-9 (<< 1:0.32), libwebkitgtk-3.0-0 (<< 1.8.0), murrine-themes (<= 0.98.2) Provides: gtk3-binver-3.0.0 Multi-Arch: same Homepage: http://www.gtk.org/ Priority: optional Section: libs Filename: pool/main/g/gtk+3.0/libgtk-3-0_3.4.2-7+deb7u1_armhf.deb Size: 1463252 SHA256: 4780530408990a605b138389db7b3817eba7303a726edf76fde0cb886fcef17e SHA1: 0fe2487625cffb70b681d0fc68e5466c5c70c580 MD5sum: 93a1b034b30f95ef43864951045bd506 Description: GTK+ graphical user interface library GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains the shared libraries. Package: libgtk-3-0-dbg Source: gtk+3.0 Version: 3.4.2-7+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 13479 Depends: libgtk-3-0 (= 3.4.2-7+deb7u1), libgtk-3-common Homepage: http://www.gtk.org/ Priority: extra Section: debug Filename: pool/main/g/gtk+3.0/libgtk-3-0-dbg_3.4.2-7+deb7u1_armhf.deb Size: 3504638 SHA256: 68bc4a17312b2424af27398d9e29309d5253b87a863319fa12150b4a76a118a2 SHA1: ca84cec31c5cc7265745c15a79f9043a235e9e8c MD5sum: acdaf319c785a9ab7427204821ee485d Description: GTK+ libraries and debugging symbols GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains detached debugging symbols. . Most people will not need this package. Package: libgtk-3-bin Source: gtk+3.0 Version: 3.4.2-7+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 82 Depends: libgtk-3-0 (>= 3.4.2-7+deb7u1), libgtk-3-common (= 3.4.2-7+deb7u1) Multi-Arch: foreign Homepage: http://www.gtk.org/ Priority: optional Section: misc Filename: pool/main/g/gtk+3.0/libgtk-3-bin_3.4.2-7+deb7u1_armhf.deb Size: 55250 SHA256: 8d7c98536031aaf91f23a06e322bd856c8f040ac48708c4fb09b617ec9c63cf2 SHA1: b472172ce7175c582fd73140fe766ae7e709b038 MD5sum: 9edb08ca7d68aa6ac6f1430ba2471e67 Description: programs for the GTK+ graphical user interface library GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains the utilities which are used by the libraries and other packages. Package: libgtk-3-common Source: gtk+3.0 Version: 3.4.2-7+deb7u1 Installed-Size: 19119 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libgtk-3-0 (<< 3.2.2-3) Depends: dconf-gsettings-backend | gsettings-backend Recommends: libgtk-3-0 Breaks: libgtk-3-0 (<< 3.2.2-3) Size: 2674420 SHA256: e52ca23c850d18d835542fe0e27906c6780762e4db299b0d888a5618a9427f90 SHA1: e305f176db496141657fef02ceeb8bc2e552224c MD5sum: 8937178a91fbd4df04bb41a53e11c3c0 Description: common files for the GTK+ graphical user interface library GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains the common files which the libraries need. Multi-Arch: foreign Homepage: http://www.gtk.org/ Tag: role::app-data, uitoolkit::gtk, x11::theme Section: misc Priority: optional Filename: pool/main/g/gtk+3.0/libgtk-3-common_3.4.2-7+deb7u1_all.deb Package: libgtk-3-dev Source: gtk+3.0 Version: 3.4.2-7+deb7u1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 7688 Depends: libgtk-3-0 (= 3.4.2-7+deb7u1), gir1.2-gtk-3.0 (= 3.4.2-7+deb7u1), libgtk-3-common, libglib2.0-dev (>= 2.32.0), libgdk-pixbuf2.0-dev (>= 2.26.0), libpango1.0-dev (>= 1.30.0), libatk1.0-dev (>= 2.2.0), libcairo2-dev (>= 1.10.0), libx11-dev, libxext-dev, libxinerama-dev, libxi-dev, libxrandr-dev, libxcursor-dev, libxfixes-dev, libxcomposite-dev, libxdamage-dev, pkg-config (>= 0.26-1) Suggests: libgtk-3-doc Homepage: http://www.gtk.org/ Priority: optional Section: libdevel Filename: pool/main/g/gtk+3.0/libgtk-3-dev_3.4.2-7+deb7u1_armhf.deb Size: 746672 SHA256: e48ba57f48a0002992c0b1332d4d7856281143f21b215472aea50625445bba57 SHA1: 6a3f42063f5e0543c44330163307d0281df477cf MD5sum: 99ee3b9687de4f56e39df6104fd22f55 Description: development files for the GTK+ library GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains the header and development files which are needed for building GTK+ applications. Package: libgtk-3-doc Source: gtk+3.0 Version: 3.4.2-7+deb7u1 Installed-Size: 18278 Maintainer: Debian GNOME Maintainers Architecture: all Recommends: libglib2.0-doc, libatk1.0-doc, libpango1.0-doc Suggests: devhelp Size: 2015992 SHA256: 40f54f6242de5d865b80ba1b9f1cb73457a9f48f79a7ec04c0a0b1f2d3ba74f5 SHA1: 1b573cbffd8c173b7e38408f643dbbcd61502a4e MD5sum: 17d03bb824435a401bd1c70380ccd846 Description: documentation for the GTK+ graphical user interface library GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains the HTML documentation for the GTK+ library in /usr/share/doc/libgtk-3-doc/ . Multi-Arch: foreign Homepage: http://www.gtk.org/ Tag: devel::doc, role::documentation, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/g/gtk+3.0/libgtk-3-doc_3.4.2-7+deb7u1_all.deb Package: libgtk-sharp-beans-cil Source: gtk-sharp-beans Version: 2.14.1-3 Installed-Size: 244 Maintainer: Debian CLI Libraries Team Architecture: all Depends: libgdk-pixbuf2.0-0 (>= 2.24.0), libglib2.0-0 (>= 2.30.2), libglib2.0-cil (>= 2.12.10), libgtk2.0-0 (>= 2.24.0), libgtk2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system4.0-cil (>= 2.10.1) Size: 78712 SHA256: 49ad2d963b328b73d455e1da9e5de427bb294d3d9f1a8b95de58344731434727 SHA1: 7ee774eab398b9df027c8e84d484915d51716563 MD5sum: f925f2d2f41201b97aa53037570b2fde Description: Supplementary CLI bindings for GTK 2.14+ This package provides the gtk-sharp-beans assembly that allows CLI (.NET) programs to use GTK+ methods from GTK+ 2.14 and above, which are not exposed by GTK#. . This package contains the gtk-sharp-beans assembly. Homepage: http://github.com/mono/gtk-sharp-beans Tag: devel::ecma-cli, devel::library, role::shared-lib, uitoolkit::gtk Section: cli-mono Priority: optional Filename: pool/main/g/gtk-sharp-beans/libgtk-sharp-beans-cil_2.14.1-3_all.deb Package: libgtk-sharp-beans2.0-cil-dev Source: gtk-sharp-beans Version: 2.14.1-3 Installed-Size: 3 Maintainer: Debian CLI Libraries Team Architecture: all Depends: libgtk-sharp-beans-cil (= 2.14.1-3) Size: 2728 SHA256: 5c91decb96f0b68e5873753e43d34229ec07bc6caab03564d73062ff86018d32 SHA1: 4a389791f7731eb64799b81846c8245fd8c40f1d MD5sum: e3e29ed7cbf51090d76f89e5674a87d1 Description: Supplementary CLI bindings for GTK 2.14+ - development package This package provides the gtk-sharp-beans assembly that allows CLI (.NET) programs to use GTK+ methods from GTK+ 2.14 and above, which are not exposed by GTK#. . This package contains development files for the gtk-sharp-beans library, and should be used for compilation. Homepage: http://github.com/mono/gtk-sharp-beans Tag: devel::ecma-cli, devel::library, role::devel-lib, uitoolkit::gtk Section: cli-mono Priority: optional Filename: pool/main/g/gtk-sharp-beans/libgtk-sharp-beans2.0-cil-dev_2.14.1-3_all.deb Package: libgtk-vnc-1.0-0 Source: gtk-vnc Version: 0.5.0-3.1 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 106 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnutls26 (>= 2.12.17-0), libgpg-error0 (>= 1.10), libgtk2.0-0 (>= 2.22.0), libgvnc-1.0-0 (>= 0.4.1), libpango1.0-0 (>= 1.14.0), libsasl2-2 (>= 2.1.24), libx11-6, zlib1g (>= 1:1.1.4) Priority: optional Section: libs Filename: pool/main/g/gtk-vnc/libgtk-vnc-1.0-0_0.5.0-3.1_armhf.deb Size: 48670 SHA256: dcdce16eade578a68fdfad6281a4302cca811043becd32f203d0ff703f5826f8 SHA1: e918214359be1e177fa434f52edbcbc5d325e525 MD5sum: 10267546c7c94f0167331b4ba5877c5b Description: VNC viewer widget for GTK+2 (runtime libraries) It is built using coroutines, allowing it to be completely asynchronous while remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the VeNCrypt authentication extension providing SSL/TLS encryption with x509 certificate authentication. . The core library is written in C and a binding for Python using PyGTK is available. The networking layer supports connections over both IPv4 and IPv6. . This package contains the shared library built for GTK+2. Package: libgtk-vnc-1.0-0-dbg Source: gtk-vnc Version: 0.5.0-3.1 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 270 Depends: libgtk-vnc-1.0-0 (= 0.5.0-3.1) Priority: extra Section: debug Filename: pool/main/g/gtk-vnc/libgtk-vnc-1.0-0-dbg_0.5.0-3.1_armhf.deb Size: 113900 SHA256: dc29bfc1d084157f6c568e8af3facdb0373bbe7b5056cf594432dcfb555f4222 SHA1: a0e7c7bcc7cb76cdd5176eb605ff0aab15194b35 MD5sum: 6b94435209d896bb01f204100d6a0079 Description: VNC viewer widget for GTK+2 (debugging symbols) It is built using coroutines, allowing it to be completely asynchronous while remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the VeNCrypt authentication extension providing SSL/TLS encryption with x509 certificate authentication. . The core library is written in C and a binding for Python using PyGTK is available. The networking layer supports connections over both IPv4 and IPv6. . This package contains the debugging symbols. Package: libgtk-vnc-1.0-dev Source: gtk-vnc Version: 0.5.0-3.1 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 78 Depends: libgtk-vnc-1.0-0 (= 0.5.0-3.1), libgvnc-1.0-dev (= 0.5.0-3.1), libgtk2.0-dev (>= 2.0), libgnutls-dev (>= 1.4.0), libcairo2-dev (>= 1.2.0) Priority: optional Section: libdevel Filename: pool/main/g/gtk-vnc/libgtk-vnc-1.0-dev_0.5.0-3.1_armhf.deb Size: 30506 SHA256: 01fe4581bac171c22a87d5deb4873c891e0e7746e261a28413be286fa25dbdcc SHA1: 7892376f145933edce40558b40b5dfbbbeed46ed MD5sum: 052086c68fb78e057b150ab36d0ed169 Description: VNC viewer widget for GTK+2 (development files) It is built using coroutines, allowing it to be completely asynchronous while remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the VeNCrypt authentication extension providing SSL/TLS encryption with x509 certificate authentication. . The core library is written in C and a binding for Python using PyGTK is available. The networking layer supports connections over both IPv4 and IPv6. . This package contains the development headers. Package: libgtk-vnc-2.0-0 Source: gtk-vnc Version: 0.5.0-3.1 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 104 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnutls26 (>= 2.12.17-0), libgpg-error0 (>= 1.10), libgtk-3-0 (>= 3.0.0), libgvnc-1.0-0 (>= 0.4.1), libpango1.0-0 (>= 1.14.0), libsasl2-2 (>= 2.1.24), libx11-6, zlib1g (>= 1:1.1.4) Priority: optional Section: libs Filename: pool/main/g/gtk-vnc/libgtk-vnc-2.0-0_0.5.0-3.1_armhf.deb Size: 47686 SHA256: 9a0d8cf50d2ea7e942284dd61d409a7cd3d52c1dd66ffc2a0fbdc5297413e944 SHA1: bb2a1a74a7e9b0ca12d9d9c32f3de3e2ccaefc20 MD5sum: f6cd9bea7a79acc80071cc6618c13238 Description: VNC viewer widget for GTK+3 (runtime libraries) It is built using coroutines, allowing it to be completely asynchronous while remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the VeNCrypt authentication extension providing SSL/TLS encryption with x509 certificate authentication. . The core library is written in C and a binding for Python using PyGTK is available. The networking layer supports connections over both IPv4 and IPv6. . This package contains the shared library built for GTK+3. Package: libgtk-vnc-2.0-0-dbg Source: gtk-vnc Version: 0.5.0-3.1 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 184 Depends: libgtk-vnc-2.0-0 (= 0.5.0-3.1) Priority: extra Section: debug Filename: pool/main/g/gtk-vnc/libgtk-vnc-2.0-0-dbg_0.5.0-3.1_armhf.deb Size: 78928 SHA256: 04bb6cd634660a4e63a7106febd63214f7a0a36dcbb25af27483b409c4c4d2ab SHA1: bbd6926d1edbbcc5cc0c4b7f0cadc574f3629af8 MD5sum: 1a00f398a5567e4d2664d34107db13e4 Description: VNC viewer widget for GTK+3 (debugging symbols) It is built using coroutines, allowing it to be completely asynchronous while remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the VeNCrypt authentication extension providing SSL/TLS encryption with x509 certificate authentication. . The core library is written in C and a binding for Python using PyGTK is available. The networking layer supports connections over both IPv4 and IPv6. . This package contains the debugging symbols. Package: libgtk-vnc-2.0-dev Source: gtk-vnc Version: 0.5.0-3.1 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 125 Depends: libgvnc-1.0-dev (= 0.5.0-3.1), libgtk-vnc-2.0-0 (= 0.5.0-3.1), gir1.2-gtk-vnc-2.0 (= 0.5.0-3.1), libgtk-3-dev, libgnutls-dev (>= 1.4.0), libcairo2-dev (>= 1.2.0) Priority: optional Section: libdevel Filename: pool/main/g/gtk-vnc/libgtk-vnc-2.0-dev_0.5.0-3.1_armhf.deb Size: 35830 SHA256: 6498e6ac4e854b26c9aa8fc21d5e66149c77aa58061229a54aaedc5ad07abd38 SHA1: 97afb8954231ffeb1dde29bc5b2f4ed506a9f1cd MD5sum: 7a91939a9a535c74b3c19d5fae3db100 Description: VNC viewer widget for GTK+3 (development files) It is built using coroutines, allowing it to be completely asynchronous while remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the VeNCrypt authentication extension providing SSL/TLS encryption with x509 certificate authentication. . The core library is written in C and a binding for Python using PyGTK is available. The networking layer supports connections over both IPv4 and IPv6. . This package contains the development headers and Vala bindings. Package: libgtk2-ex-entry-pango-perl Version: 0.09-1 Installed-Size: 108 Maintainer: Debian Perl Group Architecture: all Depends: perl, libgtk2-perl (>= 1.100) Size: 17092 SHA256: 329143337f2a81187be48fce255431cacfbffb251b84f549779e16a9bd1f9d32 SHA1: dc16995befe7f8f7dab306a07f5040b59fe5f675 MD5sum: b9e6c1f2f050a6b632c5e83c8e03801c Description: Gtk2::Entry that accepts pango markup Gtk2::Ex::Entry::Pango is a Gtk2::Entry that can accept Pango markup for various purposes (for more information about Pango text markup language see http://library.gnome.org/devel/pango/stable/PangoMarkupFormat.html). . The widget allows Pango markup to be used for input as an alternative to set_text or for setting a default value when the widget is empty. The default value when empty is ideal for standalone text entries that have no accompanying label (such as a text field for a search). . This widget allows for the text data to be entered either through the normal methods provided by Gtk2::Entry or to use the method /set_markup. It's possible to switch between two methods for applying the text. The standard Gtk2::Entry methods will always apply a text without styles while set_markup() will use a style. Homepage: http://search.cpan.org/dist/Gtk2-Ex-Entry-Pango/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, uitoolkit::gtk Section: perl Priority: optional Filename: pool/main/libg/libgtk2-ex-entry-pango-perl/libgtk2-ex-entry-pango-perl_0.09-1_all.deb Package: libgtk2-ex-podviewer-perl Version: 0.18-1 Installed-Size: 136 Maintainer: Debian Perl Group Architecture: all Replaces: libgtk2-podviewer-perl Provides: podviewer Depends: perl (>= 5.8.0), libgtk2-perl, libio-stringy-perl, libpod-simple-perl, libgtk2-ex-simple-list-perl Conflicts: libgtk2-podviewer-perl Size: 30586 SHA256: bb591091b013607763566b0840b0bd01405b519219e0514ef30246a1d4a62f17 SHA1: bbe9a84b693a144b0df129679192fce6a754eb72 MD5sum: 744a2cce57c8871213cb3dda4e9b6ad4 Description: Perl Gtk2 widget for displaying Plain Old Documentation (POD) libgtk2-podviewer-perl provides a Gtk2 widget for rendering Perl POD documents. . It also provides the example script podviewer, which can be used to browse your local Perl Documentation. Homepage: http://search.cpan.org/dist/Gtk2-Ex-PodViewer/ Tag: devel::lang:perl, implemented-in::perl, interface::x11, scope::utility, uitoolkit::gtk, use::viewing Section: perl Priority: optional Filename: pool/main/libg/libgtk2-ex-podviewer-perl/libgtk2-ex-podviewer-perl_0.18-1_all.deb Package: libgtk2-ex-printdialog-perl Version: 0.03-3 Installed-Size: 108 Maintainer: Debian Perl Group Architecture: all Depends: perl, libgtk2-perl, liblocale-gettext-perl, libnet-cups-perl Recommends: ghostscript Size: 20526 SHA256: 6ec04bdf9d6824496208f82157fed0cf4dfdea5650dde5a3dd2e3bc61285a9dc SHA1: b3c796522aab803c8450a46212b87d60a372b088 MD5sum: bb125320429f49f05d7718849873d79d Description: pure-perl alternative to the Gnome2::Print libraries Gtk2::Ex::PrintDialog implements a dialog widget that can be used to print PostScript data. It is intended to be a lightweight and pure-perl alternative to the Gnome2::Print libraries. . libgtk2-ex-printdialog-perl uses a simple system of operating-system specific backends that are used to do the job of printing. Currently, only a generic Linux/Unix backend (implemented using Net::CUPS) is available, more will be added in the future. . The dialog itself is intended to comply with the GNOME Human Interface Guidelines (HIG). It allows the user to print to any printer installed on the system, or to an external command such as lpr, or to print a PostScript or PDF file. Homepage: http://search.cpan.org/dist/Gtk2-Ex-PrintDialog/ Tag: devel::lang:perl, devel::library, implemented-in::perl, uitoolkit::gtk Section: perl Priority: optional Filename: pool/main/libg/libgtk2-ex-printdialog-perl/libgtk2-ex-printdialog-perl_0.03-3_all.deb Package: libgtk2-ex-simple-list-perl Version: 0.50-2 Installed-Size: 104 Maintainer: Debian Perl Group Architecture: all Depends: perl, libglib-perl, libgtk2-perl Size: 21164 SHA256: 8f72c16b8528a682ec43cf696a88e987cb1bbe6c8a2e395deca1a2d205087173 SHA1: 432cafcc4df321d805712a2b7d5e419a130fbdad MD5sum: 68bbcc42f75489e2c643755cc59bd716 Description: simple interface to Gtk2's complex MVC list widget Gtk2::Ex::Simple::List is a simple interface to the powerful but complex Gtk2::TreeView and Gtk2::ListStore combination, implementing using tied arrays to make thing simple and easy. Homepage: http://search.cpan.org/dist/Gtk2-Ex-Simple-List/ Tag: devel::lang:perl, implemented-in::perl, uitoolkit::gtk Section: perl Priority: optional Filename: pool/main/libg/libgtk2-ex-simple-list-perl/libgtk2-ex-simple-list-perl_0.50-2_all.deb Package: libgtk2-ex-volumebutton-perl Version: 0.07-2 Installed-Size: 72 Maintainer: Debian Perl Group Architecture: all Depends: perl, libglib-perl, libgtk2-perl Size: 10360 SHA256: 8aee7550d8b200508f7dece344c7ae27ddb5f2d50958a115f0e8b63ddf7a72f3 SHA1: dad54e4cb3d8c8f31a9c9c53dc64e038a567ed33 MD5sum: b9030b10c4ebb5bc3caaf6d7913109fc Description: Gtk2 widget to control volume and similar values Gtk2::Ex::VolumeButton is a simple Gtk2 widget based on Gtk2::ToggleButton to control the volume and similar values. It consists of a Gtk2::ToggleButton widget displaying an image representing the current volume. When the button is clicked a popup window containing a Gtk2::VScale widget shows up and allows you to change the widgets volume value. It's also possible to change the volume using the scroll wheel over the toggle button even if the popup window isn't shown. . This widget is modeled after the widgets use in gnome-panel, muine and rhythmbox. Much code is stolen from the muine volume-button widget. Homepage: http://search.cpan.org/dist/Gtk2-Ex-VolumeButton/ Tag: devel::lang:perl, devel::library, implemented-in::perl, sound::mixer, uitoolkit::gtk Section: perl Priority: optional Filename: pool/main/libg/libgtk2-ex-volumebutton-perl/libgtk2-ex-volumebutton-perl_0.07-2_all.deb Package: libgtk2-gladexml-perl Version: 1.007-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 136 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27), libglib-perl (>= 1.02), libgtk2-perl (>= 1.00) Homepage: http://gtk2-perl.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libg/libgtk2-gladexml-perl/libgtk2-gladexml-perl_1.007-1_armhf.deb Size: 45080 SHA256: 399cac0859c5995fd21701e53229c8680fbb95ba48691b820c49e54696c2d174 SHA1: 889fc2bfa62b3edd2ed9e55251785c85ba00eecc MD5sum: 11aa508b69d6eee48b4c31a8b1a0225a Description: Perl interface to use user interfaces created with glade-2 libgtk2-gladexml-perl allows a Perl developer to use the gladexml part of the libglade library. . Glade is a free user interface builder for Gtk+ and GNOME. After designing a user interface with glade-2 the layout and configuration are saved in an XML file. libglade is a library which knows how to build and hook up the user interface described in the Glade XML file at application run time. . This module even allows developers to load a interface definition into a scalar to customize them just before loading the application itself. . Find out more about GNOME at http://www.gnome.org. . The perl bindings follow the C API very closely, and the C reference documentation should be considered the canonical source: http://developer.gnome.org/doc/API/2.0/libglade/index.html . This module is part of gtk2-perl. . To discuss gtk2-perl, ask questions and flame/praise the authors, join gtk-perl-list@gnome.org at lists.gnome.org. . Also have a look at the gtk2-perl website and sourceforge project page, http://gtk2-perl.sourceforge.net Package: libgtk2-gladexml-simple-perl Version: 0.32-2 Installed-Size: 152 Maintainer: Debian Perl Group Architecture: all Depends: perl, libgtk2-perl, libgtk2-gladexml-perl Recommends: libxml-sax-perl Size: 20702 SHA256: ea7f28220290332f22879e48b60265e5b1922e55ac223ef3c142e5454e8d6e6c SHA1: 831e97d692cee923f11c5b4f797657ef53a6809e MD5sum: a70de2116f298eae6abe6d11897c4a9f Description: clean object-oriented perl interface to Gtk2::GladeXML Gtk2::GladeXML::Simple is a module that provides a clean and easy interface for Gnome/Gtk2 and Glade applications using an object-oriented syntax. You just make Gtk2::GladeXML::Simple your application's base class, have your new call SUPER::new, and the module will do the tedious and dirty work for you. . Gtk2::GladeXML::Simple offers: * Signal handler callbacks as methods of your class. * Autoconnection of signal handlers. * Autocalling of creation functions for custom widgets. * Access to the widgets as instance attributes. Homepage: http://search.cpan.org/dist/Gtk2-GladeXML-Simple/ Tag: devel::lang:perl, implemented-in::perl, uitoolkit::gtk Section: perl Priority: optional Filename: pool/main/libg/libgtk2-gladexml-simple-perl/libgtk2-gladexml-simple-perl_0.32-2_all.deb Package: libgtk2-gst Source: gnu-smalltalk Version: 3.2.4-2 Architecture: armhf Maintainer: Debian GNU Smalltalk maintainers Installed-Size: 1425 Depends: gnu-smalltalk (= 3.2.4-2), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.12.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://smalltalk.gnu.org Priority: extra Section: interpreters Filename: pool/main/g/gnu-smalltalk/libgtk2-gst_3.2.4-2_armhf.deb Size: 242508 SHA256: 121d058701a4ac50ce4dc42b4ab2e588950bbfeb7458e9c4d651908122a58c98 SHA1: fd074c8a92384e8221841dc39fca0987364f5f10 MD5sum: 6ee2c4fe8ee24365ad1ba7c6fd6046b9 Description: GTK+ bindings and environment for GNU Smalltalk This package contains the GTK+ bindings for GNU Smalltalk. . For more information on the browser see the gnu-smalltalk-browser package. Package: libgtk2-imageview-perl Version: 0.05-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 252 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libgtkimageview0 (>= 1.6.0), libpango1.0-0 (>= 1.14.0), perl (>= 5.14.2-9), perlapi-5.14.2, libgtk2-perl, base-files (>= 4.0.1) Homepage: http://search.cpan.org/dist/Gtk2-ImageView/ Priority: optional Section: perl Filename: pool/main/libg/libgtk2-imageview-perl/libgtk2-imageview-perl_0.05-1_armhf.deb Size: 73520 SHA256: 54095c600c9f2871dffe9058fb90584f4ad7a7179530c3dab3357c13aae94d0c SHA1: e038ffa3f471866f770987e29804b3623e268758 MD5sum: f59fa71b3dfb429f095ae1e8a2268939 Description: Perl bindings for the GtkImageView image viewer widget GtkImageView is a simple image viewer widget for GTK+. Similar to the image viewer panes in gThumb or Eye of GNOME. It makes writing image viewing and editing applications easy. Among its features are: . Mouse and keyboard zooming. Scrolling and dragging. Adjustable interpolation. Fullscreen mode. GIF animation support. Package: libgtk2-notify-perl Version: 0.05-3 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 123 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libgtk2-perl Homepage: http://search.cpan.org/dist/Gtk2-Notify/ Priority: optional Section: perl Filename: pool/main/libg/libgtk2-notify-perl/libgtk2-notify-perl_0.05-3_armhf.deb Size: 25606 SHA256: 9518c44e159f2daab73be1b05604dce60e0875d7b5194d88e2a10faa855607c5 SHA1: f279927bc755df47c98a4dc278485096b42eab73 MD5sum: 45f2e3c0015bd2f5fb9471b182b201d0 Description: Perl interface to libnotify Gtk2::Notify provides Perl bindings for libnotify. libnotify is a library that sends desktop notifications to a notification daemon. . These notifications can be used to inform the user about an event or display some form of information without getting in the user's way. Package: libgtk2-perl Version: 2:1.244-1+deb7u1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 2942 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.18.0), perl (>= 5.14.2-21+rpi2+deb7u1), perlapi-5.14.2, libcairo-perl, libglib-perl (>= 1:1.240), libpango-perl, shared-mime-info Suggests: libgtk2-perl-doc, librsvg2-common Homepage: http://gtk2-perl.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libg/libgtk2-perl/libgtk2-perl_1.244-1+deb7u1_armhf.deb Size: 947080 SHA256: 4a0f796a9a2f13a6c099a8089d8f1c4074dc8bbeaece0b4b90bce007e4410198 SHA1: d8f4f9e3da52130a82a3d680fefd88021469a253 MD5sum: 5f2b8eb9ac1ab44d6e8759e15e30e794 Description: Perl interface to the 2.x series of the Gimp Toolkit library Gtk2 allows you to write graphical user interfaces in a Perlish and object-oriented way, freeing you from the casting and memory management in C, yet remaining very close in spirit to original API. . Gtk+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, the Gtk+ is suitable for projects ranging from small one-off tools to complete application suites. Find out more about Gtk+ at http://www.gtk.org. Package: libgtk2-perl-doc Source: libgtk2-perl Version: 2:1.244-1+deb7u1 Installed-Size: 2299 Maintainer: Debian Perl Group Architecture: all Size: 1395526 SHA256: 63992470585aed262c77f3603c4623e4954a48ef73fe4b1195bf00dbdb54ba44 SHA1: cb8bbf4673f398567c2c92769d13818c4e3cf9a7 MD5sum: a863715180c4737db7b2ff2ffae943d7 Description: Perl interface to the Gtk 2.x series (documentation files) Gtk2 allows you to write graphical user interfaces in a Perlish and object-oriented way, freeing you from the casting and memory management in C, yet remaining very close in spirit to original API. . Gtk+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, the Gtk+ is suitable for projects ranging from small one-off tools to complete application suites. Find out more about Gtk+ at http://www.gtk.org. . This package contains the documentation for the Gtk2 perl modules and some examples. Homepage: http://gtk2-perl.sourceforge.net/ Recommends: libgtk2-perl Section: perl Priority: optional Filename: pool/main/libg/libgtk2-perl/libgtk2-perl-doc_1.244-1+deb7u1_all.deb Package: libgtk2-ruby Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-gtk2 Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libgtk2-ruby_1.1.3-2_all.deb Size: 10102 SHA256: 911f5ff5a56bf6acca5242c3993cc0b6c5aa6bb098dd9df963c8161568f2b242 SHA1: 2015955bdece6ef85f99426fc712028bbc604b8e MD5sum: d0be7e4b3f7a4eaa79e1574b617e727a Description: Transitional package for ruby-gtk2 This is a transitional package to ease upgrades to the ruby-gtk2 package. It can safely be removed. Package: libgtk2-ruby1.8 Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-gtk2 Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libgtk2-ruby1.8_1.1.3-2_all.deb Size: 10110 SHA256: 2883f7ae6d850727cbc0520007e6e0ca036784db11e13244e0415ef87674adf8 SHA1: 9d98a03bbd30d1a496d7ed1bf00dc6e44180ba6b MD5sum: 72d2479fafeaf4a0b9aef31e2920a0ad Description: Transitional package for ruby-gtk2 This is a transitional package to ease upgrades to the ruby-gtk2 package. It can safely be removed. Package: libgtk2-ruby1.8-dbg Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-gtk2-dbg Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libgtk2-ruby1.8-dbg_1.1.3-2_all.deb Size: 10114 SHA256: 31cb62ccdf9300d1fef3b9858b65873732fb58e3943d61dd7d269e9f666563e1 SHA1: f6f29c1f87e60ad1ed3765a3cf689e4df8e347c6 MD5sum: 873e6e9e79285f9db658bffd3b34b842 Description: Transitional package for ruby-gtk2-dbg This is a transitional package to ease upgrades to the ruby-gtk2-dbg package. It can safely be removed. Package: libgtk2-sourceview2-perl Version: 0.10-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 313 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.10.0), libgtksourceview2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), libgtk2-perl (>= 1:1.160) Homepage: http://search.cpan.org/dist/Gtk2-SourceView2/ Priority: optional Section: perl Filename: pool/main/libg/libgtk2-sourceview2-perl/libgtk2-sourceview2-perl_0.10-1_armhf.deb Size: 83366 SHA256: c0f85d565d27034fbc91055bf9f29b21aff801ec2508702b6db7cfc36b7bfa82 SHA1: a1b49a89505f6027eb7f6b5b6e5cb6413c619cc2 MD5sum: cb587db473ef18a7eec4c21d66254c75 Description: enhanced source code editor widget Gtk2::SourceView2 is the Perl binding for the C library gtksourceview-2.0. This is the same widget that's used by gedit, MonoDevelop, Anjuta and several other projects. . This widget extends the standard GTK+ framework for multiline text editing with support for configurable syntax highlighting, unlimited undo/redo, UTF-8 compliant caseless searching, printing and other features typical of a source code editor. . For more information about gtksourceview-2.0 see: http://projects.gnome.org/gtksourceview/. Package: libgtk2-spell-perl Version: 1.04-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 87 Pre-Depends: dpkg (>= 1.15.6) Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libgtkspell0 (>= 2.0.10), libpango1.0-0 (>= 1.14.0), libglib-perl (>= 2:1.240), libgtk2-perl (>= 1.00) Homepage: http://gtk2-perl.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libg/libgtk2-spell-perl/libgtk2-spell-perl_1.04-1_armhf.deb Size: 12590 SHA256: f5cf1d406602e5727458668d9d43a7fd1c6c3ee5540a28dc55e2f3272865e5b1 SHA1: 63542d92d82d309a713bc84f1ef43390dda58a87 MD5sum: 6ebe0b5a328f2212fdc8f7003988568e Description: Perl interface to the GtkSpell library libgtk2-spell-perl allows to use the GtkSpell library in Perl applications using a Gtk2/GNOME interface. It can be used in concert with Gtk2::TextView to provide highlighting of mis-spelled words and a right click pop-up menu with possible corrections. Package: libgtk2-trayicon-perl Version: 0.06-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 100 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libglib-perl (>= 1.00), libgtk2-perl (>= 1.00) Homepage: http://gtk2-perl.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libg/libgtk2-trayicon-perl/libgtk2-trayicon-perl_0.06-1_armhf.deb Size: 17758 SHA256: ba2dd25acd1dc5032606b84a98e1384d6bcc933fc6a58f4d93a928ec98ca1c96 SHA1: ece640a58a468a89636553a46a1e0b558e3dd81e MD5sum: 76b785e26a18c5c0e42904eb9c230453 Description: Perl interface to fill the system tray libgtk2-trayicon-perl allows a Perl developer to embed an arbitrary widget in a System Tray like the GNOME notification area. . The system tray is an area on the dock or panel used to display unobtrusive notifications to the user. The tray contains small icons for each notification facility, and the icons can pop up "balloon messages." . This module is part of gtk2-perl. . To discuss gtk2-perl, ask questions and flame/praise the authors, join gtk-perl-list@gnome.org at lists.gnome.org. . Also have a look at the gtk2-perl website and sourceforge project page, http://gtk2-perl.sourceforge.net Package: libgtk2-traymanager-perl Version: 0.05-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 113 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libgtk2-perl Homepage: http://search.cpan.org/dist/Gtk2-TrayManager/ Priority: optional Section: perl Filename: pool/main/libg/libgtk2-traymanager-perl/libgtk2-traymanager-perl_0.05-2_armhf.deb Size: 20616 SHA256: 740214e977446481b320e49a41b7484b6278da464da55bf8feb785b8ea5eeee8 SHA1: 5a6bee4fb5f7cb16127e3d440c1f956304177d72 MD5sum: 7bc6e1364c615ec875ab0a80d858ac69 Description: Perl interface to fill the system tray libgtk2-traymanager-perl allows a Perl developer to implement the server-side of the Notification Areo (or system tray) protocol. . The system tray is an area on the dock or panel used to display unobtrusive notifications to the user. The tray contains small icons for each notification facility, and the icons can pop up "balloon messages." . This module is part of gtk2-perl. . To discuss gtk2-perl, ask questions and flame/praise the authors, join gtk-perl-list@gnome.org at lists.gnome.org. . Also have a look at the gtk2-perl website and sourceforge project page, http://gtk2-perl.sourceforge.net Package: libgtk2-unique-perl Version: 0.05-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 156 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libunique-1.0-0 (>= 1.0.2), libgtk2-perl Homepage: http://search.cpan.org/dist/Gtk2-Unique/ Priority: optional Section: perl Filename: pool/main/libg/libgtk2-unique-perl/libgtk2-unique-perl_0.05-1_armhf.deb Size: 38952 SHA256: 6d842504b1b63c41944f325f201b320aa91bec23f6af044d60577bee99f20111 SHA1: 54c9bddf2c3f9a2010245c6051971ddc05774725 MD5sum: b077332f540a488cffba719d261b8c6f Description: module for single instance applications Gtk2::Unique is a Perl interface to the libunique library, which provides a way to write single instance applications. If you launch a single instance application twice, the second instance will either quit or send a message to the running instance. Package: libgtk2.0-0 Source: gtk+2.0 Version: 2.24.10-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 5240 Pre-Depends: multiarch-support Depends: libgtk2.0-common, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.4-6.1), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0), libgssapi-krb5-2 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libpango1.0-0 (>= 1.28.3), libx11-6 (>= 2:1.4.99.1), libxcomposite1 (>= 1:0.3-1), libxcursor1 (>> 1.1.2), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6, libxinerama1, libxrandr2 (>= 2:1.2.99.3), libxrender1, zlib1g (>= 1:1.1.4), shared-mime-info Recommends: hicolor-icon-theme, libgtk2.0-bin Suggests: librsvg2-common, gvfs Provides: gtk2.0-binver-2.10.0 Multi-Arch: same Homepage: http://www.gtk.org/ Priority: optional Section: libs Filename: pool/main/g/gtk+2.0/libgtk2.0-0_2.24.10-2_armhf.deb Size: 1893164 SHA256: e20ab223acba2d55ede477e987dce0b00011bc5ede1b9ce93d22b9c69db6ed3d SHA1: 156177f7100f8412d2024c73569c260a530ab685 MD5sum: bf6b5d6a40663d0b0deb9fb48c2d1b24 Description: GTK+ graphical user interface library GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains the shared libraries. Package: libgtk2.0-0-dbg Source: gtk+2.0 Version: 2.24.10-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 14129 Depends: libgtk2.0-0 (= 2.24.10-2), libgtk2.0-common Homepage: http://www.gtk.org/ Priority: extra Section: debug Filename: pool/main/g/gtk+2.0/libgtk2.0-0-dbg_2.24.10-2_armhf.deb Size: 3937792 SHA256: eedcbe91b76a3790428721aa55fd97d5122f058918cbe0b9447b3a25a75bec9b SHA1: 8c20c79eb979cd5855d2aa1c984af381a93aa8e8 MD5sum: 7c63b107b7ab32276b10aefdba302e82 Description: GTK+ libraries and debugging symbols GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains detached debugging symbols. . Most people will not need this package. Package: libgtk2.0-bin Source: gtk+2.0 Version: 2.24.10-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 520 Depends: libgtk2.0-0 (= 2.24.10-2), libgtk2.0-common Multi-Arch: foreign Homepage: http://www.gtk.org/ Priority: optional Section: misc Filename: pool/main/g/gtk+2.0/libgtk2.0-bin_2.24.10-2_armhf.deb Size: 476700 SHA256: 28d17e86c77b0470eccb87da8384f92b02194ced9bf1b646aa60fd8a2bd589c3 SHA1: a77bf1fbc33a4450c8e1008af631f86a8855ec6a MD5sum: ba2cdf1b7f84fb96444d6d55cff3da9e Description: programs for the GTK+ graphical user interface library GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains the utilities which are used by the libraries and other packages. Package: libgtk2.0-cil Source: gtk-sharp2 Version: 2.12.10-5 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 2257 Depends: cli-common (>= 0.5.6), libatk1.0-0 (>= 2.4.0), libcairo2 (>= 1.12.0), libgdk-pixbuf2.0-0 (>= 2.26.1), libglib2.0-0 (>= 2.32.3), libglib2.0-cil (= 2.12.10-5), libgtk2.0-0 (>= 2.24.0), libmono-cairo4.0-cil (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-drawing4.0-cil (>= 1.0), libpango1.0-0 (>= 1.29.4), libc6 (>= 2.13-28) Suggests: monodoc-gtk2.0-manual Replaces: gtk-sharp2-gapi (<< 2.10.0-1) Homepage: http://www.mono-project.com/GtkSharp Priority: optional Section: libs Filename: pool/main/g/gtk-sharp2/libgtk2.0-cil_2.12.10-5_armhf.deb Size: 751018 SHA256: 1ba2a9dd3b8cc4abdc99b01a2224a840b7c735925d9fe1763f235de6abdfb799 SHA1: 32d093826598b1c1eb4db61269e58d365188a325 MD5sum: 0355e3d3dd5612fb6321cdc9e734cbb5 Description: CLI binding for the GTK+ toolkit 2.12 This package provides the atk-sharp, gdk-sharp, gtk-dotnet, gtk-sharp and pango-sharp assemblies that allow CLI (.NET) programs to use the GTK+ user interface toolkit 2.12, ATK, Pango, GTK.NET and GDK. . GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit . This package contains the GTK# assemblies Package: libgtk2.0-cil-dev Source: gtk-sharp2 Version: 2.12.10-5 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 1696 Depends: libglib2.0-cil-dev (= 2.12.10-5), libgtk2.0-cil (= 2.12.10-5) Replaces: libgtk2.0-cil (<< 2.12.9-2) Homepage: http://www.mono-project.com/GtkSharp Priority: optional Section: libs Filename: pool/main/g/gtk-sharp2/libgtk2.0-cil-dev_2.12.10-5_armhf.deb Size: 295198 SHA256: b5e187eaa42bc296e5876b0101385fbb5f36802fd4d245efa8add4a695420ab6 SHA1: f69960635d1ba9cc03ef38e922bd2ab1a0c22a50 MD5sum: 5f25f55340d1f4dbb9be2c6873a9c3dd Description: CLI binding for the GTK+ toolkit 2.12 This package provides the atk-sharp, gdk-sharp, gtk-dotnet, gtk-sharp and pango-sharp assemblies that allow CLI (.NET) programs to use the GTK+ user interface toolkit 2.12, ATK, Pango, GTK.NET and GDK. . GTK# 2.10 is a CLI (.NET) language binding for the GTK+ 2.10 toolkit . This package contains development files for the GTK# library, and should be used for compilation Package: libgtk2.0-common Source: gtk+2.0 Version: 2.24.10-2 Installed-Size: 20627 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libgtk2.0-0 (<< 2.24.8-2) Recommends: libgtk2.0-0 Breaks: libgtk2.0-0 (<< 2.24.8-2) Size: 3081010 SHA256: 91043dd2bf4100e998e913642c5bf3cf1df763f856021e3f9c41add1b5e50015 SHA1: 5d316b9e96174b766fe40102522c88b193733643 MD5sum: f94aedb45ae190aaca38e400a7169913 Description: common files for the GTK+ graphical user interface library GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains the common files which the libraries need. Multi-Arch: foreign Homepage: http://www.gtk.org/ Tag: devel::i18n, role::app-data, uitoolkit::gtk Section: misc Priority: optional Filename: pool/main/g/gtk+2.0/libgtk2.0-common_2.24.10-2_all.deb Package: libgtk2.0-dev Source: gtk+2.0 Version: 2.24.10-2 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 15176 Depends: libgtk2.0-0 (= 2.24.10-2), libgtk2.0-common, libglib2.0-dev (>= 2.27.3), libgdk-pixbuf2.0-dev (>= 2.21.0), libpango1.0-dev (>= 1.20), libatk1.0-dev (>= 1.29.2), libcairo2-dev (>= 1.6.4-6.1), libx11-dev (>= 2:1.0.0-6), libxext-dev (>= 1:1.0.1-2), libxinerama-dev (>= 1:1.0.1-4.1), libxi-dev (>= 1:1.0.1-4), libxrandr-dev (>= 2:1.2.99), libxcursor-dev, libxfixes-dev (>= 1:3.0.0-3), libxcomposite-dev (>= 1:0.2.0-3), libxdamage-dev (>= 1:1.0.1-3), pkg-config (>= 0.26-1), libxml2-utils Recommends: python (>= 2.4), debhelper Suggests: libgtk2.0-doc Replaces: gir-repository-dev Homepage: http://www.gtk.org/ Priority: optional Section: libdevel Filename: pool/main/g/gtk+2.0/libgtk2.0-dev_2.24.10-2_armhf.deb Size: 2685810 SHA256: 56e65e7cbacdadcc2910a1861d249949d5ab94e4eb0368c382666ea45a7515a2 SHA1: d37bbd8d2bd5405fdb4511befb402366c60e2437 MD5sum: 36d676bbadf183328b335556135e7acf Description: development files for the GTK+ library GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains the header files and static libraries which are needed for developing GTK+ applications. Package: libgtk2.0-doc Source: gtk+2.0 Version: 2.24.10-2 Installed-Size: 22796 Maintainer: Debian GNOME Maintainers Architecture: all Recommends: libglib2.0-doc, libatk1.0-doc, libpango1.0-doc Suggests: devhelp Size: 2743310 SHA256: 48f969ea09c76e5a10fe1f8beed0cab07a1c49a790587891961a051048d1c860 SHA1: d49795c393b2ca325bf199f9a5a393942a8b887c MD5sum: dca274268faf4d6f791cadc7eab04bcd Description: documentation for the GTK+ graphical user interface library GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains the HTML documentation for the GTK+ library in /usr/share/doc/libgtk2.0-doc/ . Multi-Arch: foreign Homepage: http://www.gtk.org/ Tag: devel::doc, made-of::html, role::documentation, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/g/gtk+2.0/libgtk2.0-doc_2.24.10-2_all.deb Package: libgtk3-perl Version: 0.006-2 Installed-Size: 29 Maintainer: Debian Perl Group Architecture: all Depends: perl, gir1.2-gtk-3.0, gir1.2-pango-1.0, libcairo-gobject-perl, libglib-perl (>= 1.260), libglib-object-introspection-perl (>= 0.009) Size: 13062 SHA256: 0ec25b963fd4a6188d69719013636069c71eaff71d0e40a0f26d66e1fcfdd0e6 SHA1: 5e90c31282d26a49985dcd2298726478d57f60dc MD5sum: 7566c8f41dc5a2baaeb48be5340fc2da Description: Perl bindings for the GTK+ graphical user interface library GTK+ is a multi-platform toolkit for creating graphical user interfaces. Offering a complete set of widgets, GTK+ is suitable for projects ranging from small one-off tools to complete application suites. . This package contains the Perl bindings to GTK+, build using Glib::Object::Introspection. Homepage: http://search.cpan.org/dist/Gtk3/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libg/libgtk3-perl/libgtk3-perl_0.006-2_all.deb Package: libgtkada-bin Source: libgtkada Version: 2.24.1-7 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 62 Depends: libc6 (>= 2.13-28), libgnat-4.6 (>= 4.6.3-4+rpi2), libgtkada2.24.1 Suggests: gnat, libgtkada-doc (= 2.24.1-7) Breaks: libgtkada2-bin Replaces: libgtkada2-bin Homepage: http://libre.adacore.com/libre/tools/gtkada Priority: optional Section: devel Filename: pool/main/libg/libgtkada/libgtkada-bin_2.24.1-7_armhf.deb Size: 15244 SHA256: 1e9795d33e74e991dc8b380a2e0695c73b69b5c6bc9c21bbf0cf1954654ba0cf SHA1: de2a60ea1e1dd62f2ccb680d807f4d20653e8440 MD5sum: 711f148fca14ea16db427a1ccd5f4a62 Description: Ada binding for the GTK+ GUI (development utilities) GtkAda is a library that allows programmers to write GTK+ applications in the Ada programming language. . This package contains development utilities (gtkada-dialog and gtkada-config). Package: libgtkada-dbg Source: libgtkada Version: 2.24.1-7 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 3502 Depends: libgtkada2.24.1 (= 2.24.1-7) Recommends: gdb (>= 6.8), libgtkada2.24.1-dev (= 2.24.1-7) Suggests: gnat Multi-Arch: same Homepage: http://libre.adacore.com/libre/tools/gtkada Priority: extra Section: debug Filename: pool/main/libg/libgtkada/libgtkada-dbg_2.24.1-7_armhf.deb Size: 2502410 SHA256: c2c36ede6f023cd53a975ef8a95a14a1ee093a4d2505af30086b7ff8e20872df SHA1: 0c4bb5c27cdf7b2ef4e6287e9b1a9f2d742916fe MD5sum: e5984faaf3d9372a5515d847982db459 Description: Ada binding for the GTK+ GUI (debugging symbols) GtkAda is a library that allows programmers to write GTK+ applications in the Ada programming language. . This package contains the debugging symbols. Package: libgtkada-doc Source: libgtkada Version: 2.24.1-7 Installed-Size: 1413 Maintainer: Ludovic Brenta Architecture: all Replaces: libgtkada2-doc Depends: dpkg (>= 1.15.4) | install-info Suggests: gnat Breaks: libgtkada2-doc Size: 1111522 SHA256: e7bf7a65655f6f4d59b97a6f28634c2add9765ca19039852c35ebbe7625ff62b SHA1: f29decd4e14a72f97e3e648a8d80e88edcaf74ec MD5sum: 4771d80efd73bdf3e7ee6fd4e2009812 Description: Ada binding for the GTK+ GUI (documentation) GtkAda is a library that allows programmers to write GTK+ applications in the Ada programming language. . This package contains the User's Guide and Reference Manual for GtkAda, in HTML, Info, PDF and ASCII formats. Homepage: http://libre.adacore.com/libre/tools/gtkada Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libgtkada/libgtkada-doc_2.24.1-7_all.deb Package: libgtkada2.24.1 Source: libgtkada Version: 2.24.1-7 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 3723 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.20.0), libgnat-4.6 (>= 4.6.3-4+rpi2), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6 Multi-Arch: same Homepage: http://libre.adacore.com/libre/tools/gtkada Priority: optional Section: libs Filename: pool/main/libg/libgtkada/libgtkada2.24.1_2.24.1-7_armhf.deb Size: 1219502 SHA256: 3e50994d7f37ef523b8f100345c7e2b3bbd4a07a4db63097264e26df139889f0 SHA1: 19d43d7a719cff536f9ec5f61027d0fc3669c549 MD5sum: 42c0677e83c1cd139697641886af299c Description: Ada binding for the GTK+ GUI (dynamic library) GtkAda is a library that allows programmers to write GTK+ applications in the Ada programming language. . This library will let you run Ada applications compiled with GTK+. Package: libgtkada2.24.1-dev Source: libgtkada Version: 2.24.1-7 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 16863 Depends: gnat, gnat-4.6, libgtkada2.24.1 (= 2.24.1-7), libgtkada-bin (= 2.24.1-7), libcairo2-dev, libgdk-pixbuf2.0-dev, libglib2.0-dev, libgtk2.0-dev, libpango1.0-dev, libx11-dev Suggests: libgnomeada2.24.1-dev (= 2.24.1-7), libgtkglada2.24.1-dev (= 2.24.1-7), libgtkada-doc (= 2.24.1-7) Homepage: http://libre.adacore.com/libre/tools/gtkada Priority: optional Section: libdevel Filename: pool/main/libg/libgtkada/libgtkada2.24.1-dev_2.24.1-7_armhf.deb Size: 3803268 SHA256: d42bf18c5a003649796642ce643f186db63bd15d61eaa72d366d05549074eafe SHA1: 877f7f7bab01d093d0b4aa3ce3b4636fd98bf2be MD5sum: aff1cf93f7da7887b34310bdcb3bb71b Description: Ada binding for the GTK+ GUI (development files) GtkAda is a library that allows programmers to write GTK+ applications in the Ada programming language. . This package contains the development files and static libraries. Package: libgtkdatabox-0.9.1-1 Source: libgtkdatabox Version: 1:0.9.1.1-4 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 108 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.18.0) Replaces: libgtkdatabox Homepage: http://sourceforge.net/projects/gtkdatabox Priority: extra Section: libs Filename: pool/main/libg/libgtkdatabox/libgtkdatabox-0.9.1-1_0.9.1.1-4_armhf.deb Size: 42234 SHA256: cb03d3e450765eb40b911cb867ed849f7d53681155db419ffb0f1de018b2a330 SHA1: 1c3c17a3137ddd8e64f1858172bec58aba2db092 MD5sum: b58203dd08e3e79523179cbb3cf504a6 Description: Gtk+ library to display large amounts of numerical data One or more data sets of thousands of data points (X and Y coordinate) may be displayed and updated in split seconds. The widget is therfore used in many scientific and private projects that need to show quickly changing data live. GtkDatabox offers the ability to zoom into and out of the data and to navigate through your data by scrolling. . In addition to rulers and a simple coordinate cross, GtkDatabox now also allows you to add one (or even more) configurable grids like on an oscilloscope. . Data may be presented as dots, lines connecting the data, or vertical bars. The widget allows you to easily transform pixel coordinates into data coordinates, thus allowing you to easily create powerful applications for data analysis. Package: libgtkdatabox-0.9.1-1-dev Source: libgtkdatabox Version: 1:0.9.1.1-4 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 437 Depends: libgtkdatabox-0.9.1-1 (= 1:0.9.1.1-4), libgtk2.0-dev Conflicts: libgtkdatabox-dev Provides: libgtkdatabox-dev Homepage: http://sourceforge.net/projects/gtkdatabox Priority: extra Section: libdevel Filename: pool/main/libg/libgtkdatabox/libgtkdatabox-0.9.1-1-dev_0.9.1.1-4_armhf.deb Size: 107606 SHA256: 6de4efe3d4e5473b8daee02851612d6e6dddb7a87683bc0443834b3568849c94 SHA1: 6977834b7e759f5df3fe37d8f2daf986877040bc MD5sum: 8ba4d1568d4cc1d25ec8be6df603b040 Description: Gtk+ library to display large amounts of numerical data One or more data sets of thousands of data points (X and Y coordinate) may be displayed and updated in split seconds. The widget is therfore used in many scientific and private projects that need to show quickly changing data live. GtkDatabox offers the ability to zoom into and out of the data and to navigate through your data by scrolling. . In addition to rulers and a simple coordinate cross, GtkDatabox now also allows you to add one (or even more) configurable grids like on an oscilloscope. . Data may be presented as dots, lines connecting the data, or vertical bars. The widget allows you to easily transform pixel coordinates into data coordinates, thus allowing you to easily create powerful applications for data analysis. . Development package Package: libgtkdatabox-0.9.1-1-glade Source: libgtkdatabox Version: 1:0.9.1.1-4 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 66 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgladeui-1-9, libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.2), libgtkdatabox-0.9.1-1, libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27) Conflicts: libgtkdatabox-0.9.0-1-glade, libgtkdatabox-glade Provides: libgtkdatabox-glade Homepage: http://sourceforge.net/projects/gtkdatabox Priority: extra Section: libs Filename: pool/main/libg/libgtkdatabox/libgtkdatabox-0.9.1-1-glade_0.9.1.1-4_armhf.deb Size: 18294 SHA256: fc0c15de80a3b61fa3d1d452d63d0e4f955bcd8edbab34eaf04ce0e72bc605df SHA1: a66fcbccd7d7cca5e15825b152c0dd742d5275c2 MD5sum: 35e096f497e4c0add1f87e7318223a0a Description: Gtk+ library to display large amounts of numerical data One or more data sets of thousands of data points (X and Y coordinate) may be displayed and updated in split seconds. The widget is therfore used in many scientific and private projects that need to show quickly changing data live. GtkDatabox offers the ability to zoom into and out of the data and to navigate through your data by scrolling. . In addition to rulers and a simple coordinate cross, GtkDatabox now also allows you to add one (or even more) configurable grids like on an oscilloscope. . Data may be presented as dots, lines connecting the data, or vertical bars. The widget allows you to easily transform pixel coordinates into data coordinates, thus allowing you to easily create powerful applications for data analysis. . Modules for GUI development with Glade3 Package: libgtkdatabox-0.9.1-1-libglade Source: libgtkdatabox Version: 1:0.9.1.1-4 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 57 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.2), libgtkdatabox-0.9.1-1, libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27) Conflicts: libgtkdatabox-0.9.0-1-libglade, libgtkdatabox-libglade Provides: libgtkdatabox-libglade Homepage: http://sourceforge.net/projects/gtkdatabox Priority: extra Section: libs Filename: pool/main/libg/libgtkdatabox/libgtkdatabox-0.9.1-1-libglade_0.9.1.1-4_armhf.deb Size: 17634 SHA256: 671cf6965203f9d53381b3cb6c56fd7919cb97944affde11d752fbeaf4b0acdb SHA1: 2cfdc51a003457391a0de274991d641dc8f6f650 MD5sum: e6f000066395a9fcec5623bf3a0ee841 Description: Gtk+ library to display large amounts of numerical data One or more data sets of thousands of data points (X and Y coordinate) may be displayed and updated in split seconds. The widget is therfore used in many scientific and private projects that need to show quickly changing data live. GtkDatabox offers the ability to zoom into and out of the data and to navigate through your data by scrolling. . In addition to rulers and a simple coordinate cross, GtkDatabox now also allows you to add one (or even more) configurable grids like on an oscilloscope. . Data may be presented as dots, lines connecting the data, or vertical bars. The widget allows you to easily transform pixel coordinates into data coordinates, thus allowing you to easily create powerful applications for data analysis. . Libraries for run-time GUI loading with libglade Package: libgtkgl2.0-1 Source: gtkgl2 Version: 2.0.1-2 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 52 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.24.0), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6 Homepage: http://www.mono-project.com/GtkGLArea Priority: optional Section: libs Filename: pool/main/g/gtkgl2/libgtkgl2.0-1_2.0.1-2_armhf.deb Size: 17498 SHA256: c8534591b9382b229b96c2d1b1662f1574522c983abe5fc389aa71fb6e744313 SHA1: f02fe73f8e3e2be2ed1a53516d67fed1460218c7 MD5sum: 4e8f20ac3872d70a5f96a8fbaf031eec Description: OpenGL context support for GTK+ (shared libraries) The gtkgl library provides GtkGLArea (a GTK+ widget containing an OpenGL context for fast 2D and 3D graphics), GdkGLPixmap (an off-screen rendering context) and GdkGLContext (an OpenGL extension for virtually any drawable widget). . This package contains the shared library. Package: libgtkgl2.0-dev Source: gtkgl2 Version: 2.0.1-2 Architecture: armhf Maintainer: Sam Hocevar Installed-Size: 87 Depends: libgtkgl2.0-1, libgtk2.0-dev Conflicts: gtkgl-dev Provides: gtkgl-dev Homepage: http://www.mono-project.com/GtkGLArea Priority: optional Section: libdevel Filename: pool/main/g/gtkgl2/libgtkgl2.0-dev_2.0.1-2_armhf.deb Size: 25118 SHA256: 625b7eeae2f487e2402e414ffe58bee7ffc23725158ce0d5228b0ccde60793ac SHA1: cb47ee59cbfd93c0fd513ac17c579904003de64c MD5sum: 8afa539f832e6c9e8c6006fc24a92859 Description: OpenGL context support for GTK+ (development files) The gtkgl library provides GtkGLArea (a GTK+ widget containing an OpenGL context for fast 2D and 3D graphics), GdkGLPixmap (an off-screen rendering context) and GdkGLContext (an OpenGL extension for virtually any drawable widget). . This package contains the headers and static library. Package: libgtkglada-dbg Source: libgtkada Version: 2.24.1-7 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 131 Depends: libgtkglada2.24.1 (= 2.24.1-7) Recommends: gdb (>= 6.8), libgtkglada2.24.1-dev (= 2.24.1-7) Suggests: gnat Multi-Arch: same Homepage: http://libre.adacore.com/libre/tools/gtkada Priority: extra Section: debug Filename: pool/main/libg/libgtkada/libgtkglada-dbg_2.24.1-7_armhf.deb Size: 61318 SHA256: 95ead0194489c76a0935ad80e3aad73de7e9b5ff9c37580b841721eae00bdaf3 SHA1: 8ed73b03fd9128e7b2c470f102f4464ac76dc60c MD5sum: 2896f11b72e4af8ece013a72209df849 Description: Ada binding for GTK+ OpenGL extensions (debugging symbols) GtkAda is a library that allows programmers to write GTK+ applications in the Ada programming language. . This package contains the debugging symbols for the OpenGL extensions. Package: libgtkglada2.24.1 Source: libgtkada Version: 2.24.1-7 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 111 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.24.0), libgnat-4.6 (>= 4.6.3-4+rpi2), libgtk2.0-0 (>= 2.24.0), libgtkada2.24.1, libx11-6 Multi-Arch: same Homepage: http://libre.adacore.com/libre/tools/gtkada Priority: optional Section: libs Filename: pool/main/libg/libgtkada/libgtkglada2.24.1_2.24.1-7_armhf.deb Size: 31100 SHA256: 8a31f04a6995b16b2cd79541ea44e9a66f8e160df99444929d01fbf774a0eabc SHA1: 85caa58ac7b180fe1383aba0c7d797f829bcb329 MD5sum: f9e927f8805dc8649d11db755cf34a56 Description: Ada binding for GTK+ OpenGL extensions (dynamic library) GtkAda is a library that allows programmers to write GTK+ applications in the Ada programming language . This library will let you run GtkAda applications compiled with OpenGL support. Package: libgtkglada2.24.1-dev Source: libgtkada Version: 2.24.1-7 Architecture: armhf Maintainer: Ludovic Brenta Installed-Size: 442 Depends: libgtkada2.24.1, gnat, gnat-4.6, libgtkglada2.24.1 (= 2.24.1-7), libgtkada2.24.1-dev (= 2.24.1-7), libgl1-mesa-dev Suggests: libgtkada-doc (= 2.24.1-7) Homepage: http://libre.adacore.com/libre/tools/gtkada Priority: optional Section: libdevel Filename: pool/main/libg/libgtkada/libgtkglada2.24.1-dev_2.24.1-7_armhf.deb Size: 94608 SHA256: cc71a3a6f74303c7ff04d075a3d7de45661cf2039ebb8fe2f74d9c7e441d03f8 SHA1: 58f86e4464c2a80d29a1186f7a0c22d545715aa0 MD5sum: 7291b14a539fe6642a5e07ebc395ab93 Description: Ada binding for GTK+ OpenGL extensions (development files) GtkAda is a library that allows programmers to write GTK+ applications in the Ada programming language. . This package contains the development files and static libraries for the OpenGL extensions. Package: libgtkglarea-cil-dev Source: gtkglarea-sharp Version: 0.0.17-6 Installed-Size: 4 Maintainer: Debian CLI Libraries Team Architecture: all Replaces: libgtkglarea0.0-cil (<< 0.0.17-5) Depends: libgtkglarea0.0-cil (= 0.0.17-6) Size: 3566 SHA256: 41929c36c6adb790f41a9f9002d9b26ea552dd63a24ce5ccdcc93b9739c7bff6 SHA1: 4f62b8aeef8a541050eab5eee563aa3ed426bd89 MD5sum: 9c60415f68a2a401fc5fcde23823806b Description: CLI bindings for the GTK OpenGL area widget The gtkglarea-sharp bindings allow CLI (.NET) programs to use the GTK OpenGL area widget to display accelerated 3D graphics in GTK applications. . This package contains development files, and should be used for compilation Homepage: http://www.mono-project.com/GtkGLSharp Tag: devel::ecma-cli, devel::library, role::devel-lib Section: libs Priority: optional Filename: pool/main/g/gtkglarea-sharp/libgtkglarea-cil-dev_0.0.17-6_all.deb Package: libgtkglarea-cil-examples Source: gtkglarea-sharp Version: 0.0.17-6 Installed-Size: 154 Maintainer: Debian CLI Libraries Team Architecture: all Size: 45476 SHA256: 4daf5e482839615c9fa70922a73c5798a9dfbb6e5c204271dcd3d078914d3874 SHA1: 350b2a9f2ec50ca3643a0e3d1b145fb3bc65b04b MD5sum: 3a6569a5a500c4a47310fdcb8c0495fa Description: gtkglarea-sharp examples The gtkglarea-sharp bindings allow CLI (.NET) programs to use the GTK OpenGL area widget to display accelerated 3D graphics in GTK applications. . This package contains sample code to use the bindings. Homepage: http://www.mono-project.com/GtkGLSharp Tag: devel::examples, role::examples Section: cli-mono Priority: optional Filename: pool/main/g/gtkglarea-sharp/libgtkglarea-cil-examples_0.0.17-6_all.deb Package: libgtkglarea0.0-cil Source: gtkglarea-sharp Version: 0.0.17-6 Installed-Size: 15 Maintainer: Debian CLI Libraries Team Architecture: all Depends: cli-common (>= 0.5.1), libglib2.0-cil (>= 2.12.10), libgtk2.0-cil (>= 2.12.10), libgtkgl2.0-1, libmono-corlib4.0-cil (>= 2.10.1) Size: 7798 SHA256: 93d296d089ecb01981f4770751decb81e0e849535469df2c8a4d39067ac01f3d SHA1: e0a77b2b859577f29ad14dbd5023159fa75ffa39 MD5sum: 788ce43e4234d5896c3503c8aa3ae508 Description: CLI bindings for the GTK OpenGL area widget The gtkglarea-sharp bindings allow CLI (.NET) programs to use the GTK OpenGL area widget to display accelerated 3D graphics in GTK applications. . This package contains the runtime assemblies. Homepage: http://www.mono-project.com/GtkGLSharp Tag: devel::ecma-cli, devel::library, role::shared-lib, uitoolkit::gtk Section: cli-mono Priority: optional Filename: pool/main/g/gtkglarea-sharp/libgtkglarea0.0-cil_0.0.17-6_all.deb Package: libgtkglext1 Source: gtkglext Version: 1.2.0-2 Architecture: armhf Maintainer: Bart Martens Installed-Size: 369 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.16.0), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxmu6, libxt6 Homepage: http://projects.gnome.org/gtkglext/ Priority: optional Section: libs Filename: pool/main/g/gtkglext/libgtkglext1_1.2.0-2_armhf.deb Size: 111998 SHA256: 2d705dc0d9b41aa9f9ae513a240b991c16d0698f4eb9a9421add092978d4be04 SHA1: 862656dcea487b4ed3ffcf926f5ccfb4c9b72be8 MD5sum: 51cb83ea2293b87a8b230a4c56c36a49 Description: OpenGL Extension to GTK+ (shared libraries) GtkGLExt provides the GDK objects to support OpenGL rendering in GTK+, and GtkWidget API add-ons to make GTK+ widgets OpenGL-capable. Package: libgtkglext1-dbg Source: gtkglext Version: 1.2.0-2 Architecture: armhf Maintainer: Bart Martens Installed-Size: 652 Depends: libgtkglext1 (= 1.2.0-2) Homepage: http://projects.gnome.org/gtkglext/ Priority: extra Section: debug Filename: pool/main/g/gtkglext/libgtkglext1-dbg_1.2.0-2_armhf.deb Size: 205704 SHA256: c4918df6f7ee5c200c56ed8e7f8698e560bf72bf5fd73ee130cf5e15e70a7fd4 SHA1: 8f046de09b711621e869b66c0eaa34b2bab9eb62 MD5sum: 87d5190c96a9248173d2f46246ee1f7d Description: OpenGL Extension to GTK+ (debugging symbols) GtkGLExt provides the GDK objects to support OpenGL rendering in GTK+, and GtkWidget API add-ons to make GTK+ widgets OpenGL-capable. . This package contains debugging symbols for libgtkglext1. Package: libgtkglext1-dev Source: gtkglext Version: 1.2.0-2 Architecture: armhf Maintainer: Bart Martens Installed-Size: 1648 Depends: libgtkglext1 (= 1.2.0-2), libgtk2.0-dev, libice-dev, libsm-dev, libxmu-dev, libxt-dev, libgl1-mesa-dev | libgl-dev, libglu1-mesa-dev | libglu-dev Homepage: http://projects.gnome.org/gtkglext/ Priority: optional Section: libdevel Filename: pool/main/g/gtkglext/libgtkglext1-dev_1.2.0-2_armhf.deb Size: 450030 SHA256: 32b7836c7a155a6edd4a1eff79bee9e80b6c118a8ada84cd956881f4ca6c48b3 SHA1: b04711ea189031ca0f374a74530df0dd1515ab0c MD5sum: 9059e1bb1aeecf2981dfb979b11273f2 Description: OpenGL Extension to GTK+ (development files) GtkGLExt provides the GDK objects to support OpenGL rendering in GTK+, and GtkWidget API add-ons to make GTK+ widgets OpenGL-capable. . This package contains files that you need to compile programs using libgtkglext. Package: libgtkglext1-doc Source: gtkglext Version: 1.2.0-2 Installed-Size: 392 Maintainer: Bart Martens Architecture: all Size: 52186 SHA256: af84f436cb53730b81a15bf8170342784f1e0875a010ed86c550f8e4be1b7c80 SHA1: 5524db2ea3babc0c5e1b8a838e1391e822a9ccd9 MD5sum: 30127dd86cac6dd19216b53cc0398b70 Description: OpenGL Extension to GTK+ (documentation) GtkGLExt provides the GDK objects to support OpenGL rendering in GTK+, and GtkWidget API add-ons to make GTK+ widgets OpenGL-capable. . This package contains documentation for libgtkglext. Homepage: http://projects.gnome.org/gtkglext/ Tag: devel::doc, devel::library, interface::3d, role::documentation, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/g/gtkglext/libgtkglext1-doc_1.2.0-2_all.deb Package: libgtkglextmm-x11-1.2-0 Source: gtkglextmm Version: 1.2.0-4.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 112 Depends: libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtkglext1, libgtkmm-2.4-1c2a (>= 1:2.24.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.3.0) Homepage: http://www.k-3d.org/gtkglext/ Priority: extra Section: libs Filename: pool/main/g/gtkglextmm/libgtkglextmm-x11-1.2-0_1.2.0-4.1_armhf.deb Size: 28736 SHA256: e5bfab66ec1a5f8bf6d8354eaea93cfaa9640d288a9e66b46a4d63dbe306a5ba SHA1: bdc2999636c161a8e14b747279b0fa1de4a9ba60 MD5sum: b09626bda17957c39c4850b9a3b715bc Description: C++ bindings for GtkGLExt (Shared libraries) GtkGLExtmm is a C++ wrapper for GtkGLExt. C++ programmers can use it to write GTK+-based OpenGL applications using Gtkmm 2. . This package contains the shared libraries. Package: libgtkglextmm-x11-1.2-dev Source: gtkglextmm Version: 1.2.0-4.1 Architecture: armhf Maintainer: Bradley Smith Installed-Size: 316 Depends: libgtkglextmm-x11-1.2-0 (= 1.2.0-4.1), libgtkglext1-dev Homepage: http://www.k-3d.org/gtkglext/ Priority: extra Section: libdevel Filename: pool/main/g/gtkglextmm/libgtkglextmm-x11-1.2-dev_1.2.0-4.1_armhf.deb Size: 45996 SHA256: f9a49f4cf6296ab3b2a16524bceb6cf1a3e70c4c66a8d81e1c994baef0cfb840 SHA1: aa7285f6d83571e159d848e84b778eee905e5861 MD5sum: 54ecc1515e1c04e72e59ecb486298118 Description: C++ bindings for GtkGLExt (Development files) GtkGLExtmm is a C++ wrapper for GtkGLExt. C++ programmers can use it to write GTK+-based OpenGL applications using Gtkmm 2. . This package contains the development files. Package: libgtkglextmm-x11-1.2-doc Source: gtkglextmm Version: 1.2.0-4.1 Installed-Size: 2348 Maintainer: Bradley Smith Architecture: all Recommends: libgtkglextmm-x11-1.2-dev (= 1.2.0-4.1) Size: 347114 SHA256: 18c690afa9745546a9efbda0cfba9f4a4769ffd2c5627f60569ca68d221e9f59 SHA1: 652362148c84e1232e23d22bfccd370f88584db6 MD5sum: ab30c38372ac60887e241f723f394ee1 Description: C++ bindings for GtkGLExt (Documentation) GtkGLExtmm is a C++ wrapper for GtkGLExt. C++ programmers can use it to write GTK+-based OpenGL applications using Gtkmm 2. . This package contains documentation and examples. Homepage: http://www.k-3d.org/gtkglext/ Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html, role::documentation Section: doc Priority: extra Filename: pool/main/g/gtkglextmm/libgtkglextmm-x11-1.2-doc_1.2.0-4.1_all.deb Package: libgtkhex-3-0 Source: ghex Version: 3.4.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 126 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgail-3-0 (>= 3.0.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.18), libgtk-3-0 (>= 3.3.8), libpango1.0-0 (>= 1.14.0) Homepage: http://live.gnome.org/Ghex Priority: optional Section: libs Filename: pool/main/g/ghex/libgtkhex-3-0_3.4.1-1_armhf.deb Size: 62588 SHA256: 914b2caefdc2befde0b53fd056a37f07533cbc58a4c9a51c22ca8da890fcb1d5 SHA1: 627ce621b90d17ac174c6962e9316c41d8a11307 MD5sum: 93b31de3da2a7bd9c60cea0660e02bd8 Description: GNOME Hex editor for files (shared library) The GHex program can view and edit files in two ways, hex or ascii. Good for editing saved game files. . This package contains the shared library. Package: libgtkhex-3-dev Source: ghex Version: 3.4.1-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 84 Depends: libgtkhex-3-0 (= 3.4.1-1), libgail-3-dev, libgtk-3-dev Homepage: http://live.gnome.org/Ghex Priority: optional Section: libdevel Filename: pool/main/g/ghex/libgtkhex-3-dev_3.4.1-1_armhf.deb Size: 38104 SHA256: f39f84fb0a696c356291adaa529e7f4387adcd3b02deb01db969abf342b127f4 SHA1: e0866af57876e8fc80f6ca6effa9f4776fe12aa5 MD5sum: e6d54887d2f8bfbbc407e5e5f59c995e Description: GNOME Hex editor for files (development headers) The GHex program can view and edit files in two ways, hex or ascii. Good for editing saved game files. . This package contains the development headers. Package: libgtkhotkey-dev Source: gtkhotkey Version: 0.2.1-3 Architecture: armhf Maintainer: Nobuhiro Iwamatsu Installed-Size: 253 Depends: libgtkhotkey1 (= 0.2.1-3) Homepage: https://launchpad.net/gtkhotkey Priority: extra Section: libdevel Filename: pool/main/g/gtkhotkey/libgtkhotkey-dev_0.2.1-3_armhf.deb Size: 45446 SHA256: 4b520fc4ba2320382f52b21f5be0f087f40001d12a7e808d75dd1a5c9acc8e79 SHA1: f95a9b4e65b723a7387645f20b935018ac22d12c MD5sum: 7a976910bc1e6e1f3b19adfbcc14ada6 Description: Gtk hotkey static libraries and headers Cross platform library for using desktop wide hotkeys Platform independent hotkey handling for Gtk+ applications. . This package provides development file. Package: libgtkhotkey1 Source: gtkhotkey Version: 0.2.1-3 Architecture: armhf Maintainer: Nobuhiro Iwamatsu Installed-Size: 77 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0) Homepage: https://launchpad.net/gtkhotkey Priority: extra Section: libs Filename: pool/main/g/gtkhotkey/libgtkhotkey1_0.2.1-3_armhf.deb Size: 23384 SHA256: 3fb9303db5de426d2bac9754f050b3d1ca41339dd1560232424ea4946fb1318c SHA1: 0adffaf172d1a2be8d9fa8ed987484925ef79848 MD5sum: d055d4a073f3d26316d1b0667103782f Description: Gtk hotkey shared library Cross platform library for using desktop wide hotkeys Platform independent hotkey handling for Gtk+ applications. Package: libgtkhtml-4.0-0 Source: gtkhtml4.0 Version: 4.4.4-1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 751 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libenchant1c2a (>= 1.6), libgail-3-0 (>= 3.0.0), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.0.2), libpango1.0-0 (>= 1.18.0), libgtkhtml-4.0-common (= 4.4.4-1) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libs Filename: pool/main/g/gtkhtml4.0/libgtkhtml-4.0-0_4.4.4-1_armhf.deb Size: 284128 SHA256: 752a0c785da715dfd929ca1427a79c0db90fc15ee9949cb56380da5a38ae3f94 SHA1: 7478dae5d79b29e4e689f4179900e1150960603d MD5sum: 0b3878d113b9de86e8dbf944e3af3b9f Description: HTML rendering/editing library - runtime files GtkHTML is a lightweight HTML rendering/printing/editing engine. . This package contains the library and the translations. Package: libgtkhtml-4.0-common Source: gtkhtml4.0 Version: 4.4.4-1 Installed-Size: 2557 Maintainer: Debian Evolution Maintainers Architecture: all Depends: gnome-icon-theme (>= 2.22.0) Size: 368376 SHA256: 57b329c76540699f43006c848fc199467f393a44b17cd1f03f16b91f5d1b038b SHA1: 0e6665f4228a9cfbe6a03e3d7532fe543a6f5b1f MD5sum: d2b82689144c17d80ce54322f74913c0 Description: HTML rendering/editing library - common data GtkHTML is a lightweight HTML rendering/printing/editing engine. . This package contains the translations and the editor widget data. Homepage: http://www.gnome.org/projects/evolution/ Tag: role::app-data Section: libs Priority: optional Filename: pool/main/g/gtkhtml4.0/libgtkhtml-4.0-common_4.4.4-1_all.deb Package: libgtkhtml-4.0-dbg Source: gtkhtml4.0 Version: 4.4.4-1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 2853 Depends: libgtkhtml-4.0-0 (= 4.4.4-1), libgtkhtml-editor-4.0-0 (= 4.4.4-1) Homepage: http://www.gnome.org/projects/evolution/ Priority: extra Section: debug Filename: pool/main/g/gtkhtml4.0/libgtkhtml-4.0-dbg_4.4.4-1_armhf.deb Size: 813720 SHA256: 8fab43dcfe7d8cdc04462903e1c391f5c5b2d4b84010ea8b205bef74b8f93e69 SHA1: 9a9541b7a9ecb1688c75fda015b7a70c1928714b MD5sum: 0904cb697073f91c723027c402bb4a32 Description: HTML rendering/editing library - debug files GtkHTML is a lightweight HTML rendering/printing/editing engine. . This package contains the unstripped libraries used for debugging purposes. Package: libgtkhtml-4.0-dev Source: gtkhtml4.0 Version: 4.4.4-1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 172 Depends: libgtkhtml-4.0-0 (= 4.4.4-1), gsettings-desktop-schemas-dev, iso-codes, libcairo2-dev (>= 1.10.0), libenchant-dev (>= 1.1.7), libgconf2-dev, libgtk-3-dev (>= 3.0.2) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libdevel Filename: pool/main/g/gtkhtml4.0/libgtkhtml-4.0-dev_4.4.4-1_armhf.deb Size: 78572 SHA256: ab0d78c1b39476e2d821918c651a5329997c60da2679fc1edf5c37aca9149fdb SHA1: b59178fbc5608f05190e7aab229c6a19244cf42d MD5sum: dc575cbb858e522f6b02c04be7014492 Description: HTML rendering/editing library - development files GtkHTML is a lightweight HTML rendering/printing/editing engine. . This package contains the headers and files used for development. Package: libgtkhtml-editor-3.14-0 Source: gtkhtml3.14 Version: 3.32.2-2.1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 253 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libenchant1c2a (>= 1.6), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgail18 (>= 1.18.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.22.0), libgtk2.0-0 (>= 2.20.0), libgtkhtml3.14-19 (>= 3.32.0), libgtkhtml3.14-19 (<< 3.33), libpango1.0-0 (>= 1.14.0), libgtkhtml-editor-3.14-common (= 3.32.2-2.1) Breaks: libgtkhtml3.14 (<< 3.26) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libs Filename: pool/main/g/gtkhtml3.14/libgtkhtml-editor-3.14-0_3.32.2-2.1_armhf.deb Size: 114382 SHA256: 6845b012dbc513b7817a1fe665d220717fcd0382c3fa773ccbff79e04b751139 SHA1: 1972366ab26d325baa50df647b8ca7816a0d4d3d MD5sum: 2c256bca6d4984b915e84f7a819f63d0 Description: HTML rendering/editing library - editor widget GtkHTML is a lightweight HTML rendering/printing/editing engine. . This package contains the editor widget. Package: libgtkhtml-editor-3.14-common Source: gtkhtml3.14 Version: 3.32.2-2.1 Installed-Size: 344 Maintainer: Debian Evolution Maintainers Architecture: all Replaces: gtkhtml3.14, libgtkhtml-editor-common Conflicts: gtkhtml3.14, libgtkhtml-editor-common Size: 98370 SHA256: ad0dac5d723f5643995f0161b30d939191d8fe02afbfec4ee705b9e605a23ee8 SHA1: 72a2c26a98855ae902a2f6216c1cd01765e4a8a0 MD5sum: 31b6c7b519b1489dc1c3ac94659d1342 Description: HTML rendering/editing library - editor widget data GtkHTML is a lightweight HTML rendering/printing/editing engine. . This package contains the editor widget data. Homepage: http://www.gnome.org/projects/evolution/ Section: libs Priority: optional Filename: pool/main/g/gtkhtml3.14/libgtkhtml-editor-3.14-common_3.32.2-2.1_all.deb Package: libgtkhtml-editor-3.14-dev Source: gtkhtml3.14 Version: 3.32.2-2.1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 416 Depends: libgtkhtml-editor-3.14-0 (= 3.32.2-2.1) Homepage: http://www.gnome.org/projects/evolution/ Priority: extra Section: libdevel Filename: pool/main/g/gtkhtml3.14/libgtkhtml-editor-3.14-dev_3.32.2-2.1_armhf.deb Size: 144776 SHA256: 97cbd88ce6976e794d8cf6dc349425c6be6780e1c4000278069f330816dd610f SHA1: aa3bc5b6f34a01be138806a4e9d3d6656aefdf5c MD5sum: 0c9e4ef4c3432a625e9ac59d448b3441 Description: HTML rendering/editing library - editor widget development files GtkHTML is a lightweight HTML rendering/printing/editing engine. . This package contains the headers and files used for development. Package: libgtkhtml-editor-4.0-0 Source: gtkhtml4.0 Version: 4.4.4-1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 280 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libenchant1c2a (>= 1.6), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.22.0), libgtk-3-0 (>= 3.0.2), libgtkhtml-4.0-0 (= 4.4.4-1), libpango1.0-0 (>= 1.14.0), libgtkhtml-4.0-common (= 4.4.4-1) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libs Filename: pool/main/g/gtkhtml4.0/libgtkhtml-editor-4.0-0_4.4.4-1_armhf.deb Size: 119120 SHA256: 8dea49421be7ad993fbe6f50fa3b9907f21860c8aabc4701bdc9a471199b0010 SHA1: c090c60aa6b9035b0066d9a69412206a581cd402 MD5sum: d0a9138efbefdba091ad01758cf89e06 Description: HTML rendering/editing library - editor widget GtkHTML is a lightweight HTML rendering/printing/editing engine. . This package contains the editor widget. Package: libgtkhtml-editor-4.0-dev Source: gtkhtml4.0 Version: 4.4.4-1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 149 Depends: libgtkhtml-editor-4.0-0 (= 4.4.4-1), libgtkhtml-4.0-dev (= 4.4.4-1) Homepage: http://www.gnome.org/projects/evolution/ Priority: extra Section: libdevel Filename: pool/main/g/gtkhtml4.0/libgtkhtml-editor-4.0-dev_4.4.4-1_armhf.deb Size: 73336 SHA256: d8a320958727c33a8600ae7cee5adaca4a9a0d22e473d3c925893e5e57b0d9f8 SHA1: 26207f2bbcf6e5c77c4f03ff32857c138473e9ff MD5sum: b40916957e8120c7cf4a552c97ffee0b Description: HTML rendering/editing library - editor widget development files GtkHTML is a lightweight HTML rendering/printing/editing engine. . This package contains the headers and files used for development. Package: libgtkhtml3.14-19 Source: gtkhtml3.14 Version: 3.32.2-2.1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 2972 Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libenchant1c2a (>= 1.6), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgail18 (>= 1.18.0), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.22.0), libpango1.0-0 (>= 1.18.0) Suggests: libgtkhtml3.14-dbg Conflicts: gtkhtml3.14 (<< 3.16.0) Replaces: gtkhtml3.14 (<< 3.16.0) Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libs Filename: pool/main/g/gtkhtml3.14/libgtkhtml3.14-19_3.32.2-2.1_armhf.deb Size: 829900 SHA256: fadc77c2c92b05086a37739b2a1d8f17d6a412e58adbf2783f2567b45b5ab88c SHA1: ad3e5370ebf6f989bec23bdf949fbb3e6c074af8 MD5sum: a61c83e9fa6fb50868647680fe101b16 Description: HTML rendering/editing library - runtime files GtkHTML is a lightweight HTML rendering/printing/editing engine. . This package contains the library and the translations. Package: libgtkhtml3.14-cil-dev Source: gnome-desktop-sharp2 Version: 2.26.0-8 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 110 Depends: libgtk2.0-cil-dev, libgtkhtml3.16-cil (= 2.26.0-8) Replaces: libgtkhtml3.16-cil (<< 2.26.0-2) Homepage: http://www.mono-project.com/GtkSharp Priority: optional Section: cli-mono Filename: pool/main/g/gnome-desktop-sharp2/libgtkhtml3.14-cil-dev_2.26.0-8_armhf.deb Size: 22394 SHA256: 70de3fe1bb2b8a439f89c1d45c32e5b10b48535e4f9fcf99b1bb23454fe47623 SHA1: 18a4f16b3773cec36af75bbd05606ad1a1aefbf5 MD5sum: c3059cf40363555153be4ee7b3688e21 Description: CLI binding for GtkHTML 3.24 This package provides the gtkhtml-sharp assembly that allows CLI (.NET) programs to use the GtkHTML library 3.24. . GNOME Desktop# 2.24 is a CLI (.NET) language binding for the GNOME 2.24 desktop libraries. . This package contains development files for the GtkHTML library, and should be used for compilation Package: libgtkhtml3.14-dbg Source: gtkhtml3.14 Version: 3.32.2-2.1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 3034 Depends: libgtkhtml3.14-19 (= 3.32.2-2.1) Homepage: http://www.gnome.org/projects/evolution/ Priority: extra Section: debug Filename: pool/main/g/gtkhtml3.14/libgtkhtml3.14-dbg_3.32.2-2.1_armhf.deb Size: 1303958 SHA256: 13cc70c1cb7493fcacd2818ade72aade81e2164632def55e5c04606de5237701 SHA1: f8cd3b6e1c2f207ee997cc6dd167469edaa16f8d MD5sum: d439f91468ef6e3104a8398751afd660 Description: HTML rendering/editing library - debug files GtkHTML is a lightweight HTML rendering/printing/editing engine. . This package contains the unstripped libraries used for debugging purposes. Package: libgtkhtml3.14-dev Source: gtkhtml3.14 Version: 3.32.2-2.1 Architecture: armhf Maintainer: Debian Evolution Maintainers Installed-Size: 1222 Depends: libgtkhtml3.14-19 (= 3.32.2-2.1), libgnomeui-dev, libgtk2.0-dev (>= 2.10.0), libenchant-dev, iso-codes Homepage: http://www.gnome.org/projects/evolution/ Priority: optional Section: libdevel Filename: pool/main/g/gtkhtml3.14/libgtkhtml3.14-dev_3.32.2-2.1_armhf.deb Size: 442078 SHA256: ae8494b541c8bc47e137add15d1c3703f6f74ba17719c301c218378fa8c06d84 SHA1: 101984cedf0ca67c0cef76f7c052d54b9c8f0e7b MD5sum: c8f6932d6b2dfc69ff3c900e15de192f Description: HTML rendering/editing library - development files GtkHTML is a lightweight HTML rendering/printing/editing engine. . This package contains the headers and files used for development. Package: libgtkhtml3.16-cil Source: gnome-desktop-sharp2 Version: 2.26.0-8 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 141 Depends: cli-common (>= 0.5.1), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libgtkhtml3.14-19 (>= 3.32.0), libgtkhtml3.14-19 (<< 3.33), libmono-corlib4.0-cil (>= 2.10.1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0) Suggests: monodoc-gtk2.0-manual Conflicts: libgnome-cil Homepage: http://www.mono-project.com/GtkSharp Priority: optional Section: cli-mono Filename: pool/main/g/gnome-desktop-sharp2/libgtkhtml3.16-cil_2.26.0-8_armhf.deb Size: 44018 SHA256: 041d24f30b675aa80570d0521df317a68600e1158cb5324d9091d39c0e3397a1 SHA1: 9079c171b466d6e4f3ea418caa9803bd4bab5cdd MD5sum: 1fa8eef663ccb71b68ee140a51723926 Description: CLI binding for GtkHTML 3.24 This package provides the gtkhtml-sharp assembly that allows CLI (.NET) programs to use the GtkHTML library 3.24. . GNOME Desktop# 2.24 is a CLI (.NET) language binding for the GNOME 2.24 desktop libraries. . This package contains the GtkHTML assembly itself Package: libgtkimageview-dev Source: gtkimageview Version: 1.6.4+dfsg-0.1 Architecture: armhf Maintainer: Jeffrey Ratcliffe Installed-Size: 639 Depends: libgtkimageview0 (= 1.6.4+dfsg-0.1), libgtk2.0-dev Conflicts: libgtkimageview0-dev Replaces: libgtkimageview0-dev Homepage: http://trac.bjourne.webfactional.com/ Priority: optional Section: libdevel Filename: pool/main/g/gtkimageview/libgtkimageview-dev_1.6.4+dfsg-0.1_armhf.deb Size: 341376 SHA256: 71c8f8cc32c4f306e3a745a3eed50709033b52d6edbc02b5740b05176652402b SHA1: 22a955b1509bdc9bf7f81ac1877d42dd1b4165cb MD5sum: a386ec1948ad920ea689802324c6d59b Description: image viewer widget for GTK+ (development files) GtkImageView is a GTK+ widget that provides a zoomable and panable view of an image. It is intended to be usable in most types of image viewing applications. . . Among its features are: - Mouse and keyboard zooming - Scrolling and dragging - Adjustable interpolation - Fullscreen mode - GIF animation support . This package contains the development files. Package: libgtkimageview0 Source: gtkimageview Version: 1.6.4+dfsg-0.1 Architecture: armhf Maintainer: Jeffrey Ratcliffe Installed-Size: 83 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Homepage: http://trac.bjourne.webfactional.com/ Priority: optional Section: libs Filename: pool/main/g/gtkimageview/libgtkimageview0_1.6.4+dfsg-0.1_armhf.deb Size: 26804 SHA256: d330f6ab541932284b34dc6e1efc96b30f9751f088a2a71497de4bed3cedfb35 SHA1: 350d6e0a0f71b526d87db8265ca8075b905801eb MD5sum: ba7049cae3f69026f19a064027246608 Description: image viewer widget for GTK+ GtkImageView is a GTK+ widget that provides a zoomable and panable view of an image. It is intended to be usable in most types of image viewing applications. . Among its features are: - Mouse and keyboard zooming - Scrolling and dragging - Adjustable interpolation - Fullscreen mode - GIF animation support Package: libgtkmathview-bin Source: gtkmathview Version: 0.8.0-8 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 183 Depends: libgtkmathview0c2a (= 0.8.0-8), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgdome2-0, libgdome2-cpp-smart0c2a, libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libstdc++6 (>= 4.6), libt1-5 (>= 5.1.0), libxml2 (>= 2.7.4) Homepage: http://helm.cs.unibo.it/mml-widget/ Priority: optional Section: misc Filename: pool/main/g/gtkmathview/libgtkmathview-bin_0.8.0-8_armhf.deb Size: 71322 SHA256: d26ab94d59da21a26fc2ac79abc2eefebf4af7f22162e4a517bcb0e82c95f5e6 SHA1: 5fdcd042a997124e55ea3a1ecd38123b6917329f MD5sum: 2dc5b199cd791113d402de583efa7e9e Description: rendering engine for MathML documents GtkMathView is a C++ rendering engine for MathML documents. It provides an interactive view that can be used for browsing and editing MathML markup. . GtkMathView reads MathML documents by means of a frontend whose purpose is to traverse the MathML document (or part of it) and to appropriately instantiate GtkMathView's internal data structures that are suitable for rendering it. Currently the following frontends are supported: libxml2 (tree view using libxml2 API), libxml2 reader (event view using libxml2 reader API), GMetaDOM (tree view using DOM API), custom (application-specific view with application-provided callbacks). . GtkMathView renders MathML documents through a backend whose purpose is to provide an interface between the portable rendering engine and the platform-specific capabilities (like retrieving fonts, drawing on the screen, and so on). Currently the following backends are provided: GTK+, GTK+ widget, PostScript, SVG, AbiWord. . This package includes utilities to view and render MathML documents. Package: libgtkmathview-dev Source: gtkmathview Version: 0.8.0-8 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 6098 Depends: libgtkmathview0c2a (= 0.8.0-8), libc6-dev, libxml2-dev, libgdome2-cpp-smart-dev (>= 0.2.3-4), libglib2.0-dev (>= 2.2.3), libgtk2.0-dev (>= 2.2.1), libgdome2-dev (>= 0.8.1), libt1-dev (>= 5.0.0) Homepage: http://helm.cs.unibo.it/mml-widget/ Priority: optional Section: libdevel Filename: pool/main/g/gtkmathview/libgtkmathview-dev_0.8.0-8_armhf.deb Size: 1403720 SHA256: d9e87957527b8f90645c04587f2447ac240dd6e6775b868a0801f9454d791c40 SHA1: e8a69fb9692faf2983a423bf9f3913fb3d93392a MD5sum: c059f43fc1aa9d444a58416fa3f112c4 Description: rendering engine for MathML documents GtkMathView is a C++ rendering engine for MathML documents. It provides an interactive view that can be used for browsing and editing MathML markup. . GtkMathView reads MathML documents by means of a frontend whose purpose is to traverse the MathML document (or part of it) and to appropriately instantiate GtkMathView's internal data structures that are suitable for rendering it. Currently the following frontends are supported: libxml2 (tree view using libxml2 API), libxml2 reader (event view using libxml2 reader API), GMetaDOM (tree view using DOM API), custom (application-specific view with application-provided callbacks). . GtkMathView renders MathML documents through a backend whose purpose is to provide an interface between the portable rendering engine and the platform-specific capabilities (like retrieving fonts, drawing on the screen, and so on). Currently the following backends are provided: GTK+, GTK+ widget, PostScript, SVG, AbiWord. . This package includes the development support files. Package: libgtkmathview0c2a Source: gtkmathview Version: 0.8.0-8 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 2721 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgdome2-0, libgdome2-cpp-smart0c2a, libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6), libt1-5 (>= 5.1.1), libx11-6, libxml2 (>= 2.7.4) Conflicts: libgtkmathview-bin (<< 0.4.3-3), libgtkmathview0, libgtkmathview0c2 Replaces: libgtkmathview0, libgtkmathview0c2 Homepage: http://helm.cs.unibo.it/mml-widget/ Priority: optional Section: libs Filename: pool/main/g/gtkmathview/libgtkmathview0c2a_0.8.0-8_armhf.deb Size: 879722 SHA256: c6352cf69787682bc649866cdb4644a688347838a7e37f3bde53d898575711f8 SHA1: 5f0e68ae1d035bc3fd3cd106ffde4cf7c97ec951 MD5sum: 83f5f9b9a623c84f6042c64a2adb5c09 Description: rendering engine for MathML documents GtkMathView is a C++ rendering engine for MathML documents. It provides an interactive view that can be used for browsing and editing MathML markup. . GtkMathView reads MathML documents by means of a frontend whose purpose is to traverse the MathML document (or part of it) and to appropriately instantiate GtkMathView's internal data structures that are suitable for rendering it. Currently the following frontends are supported: libxml2 (tree view using libxml2 API), libxml2 reader (event view using libxml2 reader API), GMetaDOM (tree view using DOM API), custom (application-specific view with application-provided callbacks). . GtkMathView renders MathML documents through a backend whose purpose is to provide an interface between the portable rendering engine and the platform-specific capabilities (like retrieving fonts, drawing on the screen, and so on). Currently the following backends are provided: GTK+, GTK+ widget, PostScript, SVG, AbiWord. . This package include the shared library. Package: libgtkmm-2.4-1c2a Source: gtkmm2.4 Version: 1:2.24.2-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 3210 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6) Conflicts: libgtkmm-2.4-1, libgtkmm-2.4-1c2 Replaces: libgtkmm-2.4-1, libgtkmm-2.4-1c2 Homepage: http://www.gtkmm.org/ Priority: optional Section: libs Filename: pool/main/g/gtkmm2.4/libgtkmm-2.4-1c2a_2.24.2-1_armhf.deb Size: 1009738 SHA256: a65a63e7b39b3ad626265d1e38f76d4e8e2cf15e34f122b92010b250f7c71260 SHA1: cb2283653c5a3274269adb1983d4f3aa16e53ce7 MD5sum: c9466918e01e337698e0fe526f0671da Description: C++ wrappers for GTK+ (shared libraries) Gtkmm is a C++ interface for the popular GUI library GTK+, with API version 2.4. Gtkmm provides a convenient interface for C++ programmers to create graphical user interfaces with GTK+'s flexible OO framework. Highlights include type safe callbacks, widgets extensible using inheritance and over 180 classes that can be freely combined to quickly create complex user interfaces. . This package contains shared libraries. Package: libgtkmm-2.4-dbg Source: gtkmm2.4 Version: 1:2.24.2-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 15319 Depends: libgtkmm-2.4-1c2a (= 1:2.24.2-1) Homepage: http://www.gtkmm.org/ Priority: extra Section: debug Filename: pool/main/g/gtkmm2.4/libgtkmm-2.4-dbg_2.24.2-1_armhf.deb Size: 6346340 SHA256: 17d8c56da07889fbd30c13a4e039e5c59798a7b73779136340ef00762a20547f SHA1: e4382829496bb0dce825dfdb2c4e0f3a9da240c8 MD5sum: b0a88bc1d34a610abd4b09b9cd89f71d Description: C++ wrappers for GTK+ (debug symbols) Gtkmm is a C++ interface for the popular GUI library GTK+, with API version 2.4. Gtkmm provides a convenient interface for C++ programmers to create graphical user interfaces with GTK+'s flexible OO framework. Highlights include type safe callbacks, widgets extensible using inheritance and over 180 classes that can be freely combined to quickly create complex user interfaces. . This package contains debug symbols for debugging applications using gtkmm. Package: libgtkmm-2.4-dev Source: gtkmm2.4 Version: 1:2.24.2-1 Architecture: armhf Maintainer: Deng Xiyue Installed-Size: 3704 Depends: libgtkmm-2.4-1c2a (= 1:2.24.2-1), libgtk2.0-dev (>= 2.22.0), libglibmm-2.4-dev (>= 2.24.0), libatk1.0-dev (>= 1.12.0), libcairomm-1.0-dev (>= 1.2.2), libpangomm-1.4-dev (>= 2.26.0), libatkmm-1.6-dev (>= 2.22.0) Suggests: libgtkmm-2.4-doc Homepage: http://www.gtkmm.org/ Priority: optional Section: libdevel Filename: pool/main/g/gtkmm2.4/libgtkmm-2.4-dev_2.24.2-1_armhf.deb Size: 717406 SHA256: 54371814c4275b0649fb0d41acb9243e76ea99ded2691bbca3c19815d55b68a0 SHA1: ec4a62d9309d03994139b3c51d2f105c2c71ac8a MD5sum: 05ac249cc31df1c23d6a3df2833ff5f2 Description: C++ wrappers for GTK+ (development files) Gtkmm is a C++ interface for the popular GUI library GTK+, with API version 2.4. Gtkmm provides a convenient interface for C++ programmers to create graphical user interfaces with GTK+'s flexible OO framework. Highlights include type safe callbacks, widgets extensible using inheritance and over 180 classes that can be freely combined to quickly create complex user interfaces. . This package contains development files and examples, as well as a gtkmm-demo program. Package: libgtkmm-2.4-doc Source: gtkmm2.4 Version: 1:2.24.2-1 Installed-Size: 54556 Maintainer: Deng Xiyue Architecture: all Depends: lynx | www-browser, doc-base Recommends: gtkmm-documentation Size: 15635692 SHA256: edb96342e254d3499c959bb84778a070aa4b1661c8903b94e4ae425aa0a35a77 SHA1: cc68cebb971d901eb9687b938b0cc4112a2cecc7 MD5sum: 6f00fe0273962e535f73a0c6fb6fe1be Description: C++ wrappers for GTK+ (documentation) Gtkmm is a C++ interface for the popular GUI library GTK+, with API version 2.4. Gtkmm provides a convenient interface for C++ programmers to create graphical user interfaces with GTK+'s flexible OO framework. Highlights include type safe callbacks, widgets extensible using inheritance and over 180 classes that can be freely combined to quickly create complex user interfaces. . This package contains FAQ, and reference documentation. Homepage: http://www.gtkmm.org/ Tag: devel::doc, devel::lang:c++, devel::library, made-of::html, role::documentation, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/g/gtkmm2.4/libgtkmm-2.4-doc_2.24.2-1_all.deb Package: libgtkmm-3.0-1 Source: gtkmm3.0 Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 3409 Pre-Depends: multiarch-support Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk-3-0 (>= 3.4.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6) Multi-Arch: same Homepage: http://www.gtkmm.org/ Priority: optional Section: libs Filename: pool/main/g/gtkmm3.0/libgtkmm-3.0-1_3.4.2-1_armhf.deb Size: 785152 SHA256: 17ff9a354202118ec21ae169f6b43ef645962a70d4bf51af0d92811794863ca4 SHA1: 0ab96af301d214e30174b5d86feac0f35c7a6a38 MD5sum: b89f9ccff9fc48154ef0dc422d42012c Description: C++ wrappers for GTK+ (shared libraries) Gtkmm is a C++ interface for the popular GUI library GTK+, API version 3.0. Gtkmm provides a convenient interface for C++ programmers to create graphical user interfaces with GTK+'s flexible OO framework. Highlights include type safe callbacks, widgets extensible using inheritance and over 180 classes that can be freely combined to quickly create complex user interfaces. . This package contains shared libraries. Package: libgtkmm-3.0-dbg Source: gtkmm3.0 Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 16005 Depends: libgtkmm-3.0-1 (= 3.4.2-1) Multi-Arch: same Homepage: http://www.gtkmm.org/ Priority: extra Section: debug Filename: pool/main/g/gtkmm3.0/libgtkmm-3.0-dbg_3.4.2-1_armhf.deb Size: 3520732 SHA256: 160a4404ab42f0df7b1c42111ce26b9c853d16df77c7f21a1d5ae18df536998c SHA1: 2e9da09a549f2bf9226e8b97a7212141bc670fdc MD5sum: 844602e747b6bc2b7c21370f8c5fd3a1 Description: C++ wrappers for GTK+ (debug symbols) Gtkmm is a C++ interface for the popular GUI library GTK+, API version 3.0. Gtkmm provides a convenient interface for C++ programmers to create graphical user interfaces with GTK+'s flexible OO framework. Highlights include type safe callbacks, widgets extensible using inheritance and over 180 classes that can be freely combined to quickly create complex user interfaces. . This package contains debug symbols for debugging applications using gtkmm. Package: libgtkmm-3.0-dev Source: gtkmm3.0 Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 3936 Depends: libgtkmm-3.0-1 (= 3.4.2-1), libgtk-3-dev (>= 3.4.0), libglibmm-2.4-dev (>= 2.32.0), libcairomm-1.0-dev (>= 1.9.2), libpangomm-1.4-dev (>= 2.27.1), libatkmm-1.6-dev (>= 2.22.2), libgdk-pixbuf2.0-dev (>= 2.22.1) Suggests: libgtkmm-3.0-doc Multi-Arch: same Homepage: http://www.gtkmm.org/ Priority: optional Section: libdevel Filename: pool/main/g/gtkmm3.0/libgtkmm-3.0-dev_3.4.2-1_armhf.deb Size: 585668 SHA256: e8276c9bb320e7dede4684b10d9f42170c9945ec1fdcafbfcb4af87280d54761 SHA1: 3740e9b5781cb9bf4713edaf665787d13b4fa6eb MD5sum: 797c67b5beeee0045473bdf4e1bb8253 Description: C++ wrappers for GTK+ (development files) Gtkmm is a C++ interface for the popular GUI library GTK+, API version 3.0. Gtkmm provides a convenient interface for C++ programmers to create graphical user interfaces with GTK+'s flexible OO framework. Highlights include type safe callbacks, widgets extensible using inheritance and over 180 classes that can be freely combined to quickly create complex user interfaces. . This package contains development files and examples, as well as a gtkmm-demo program. Package: libgtkmm-3.0-doc Source: gtkmm3.0 Version: 3.4.2-1 Installed-Size: 122271 Maintainer: Debian GNOME Maintainers Architecture: all Depends: lynx | www-browser, doc-base Recommends: gtkmm-documentation Size: 8960928 SHA256: 9cc46166f737a85d156e836ec813f3a29fde7fd4f0a61c034269a7e513d15138 SHA1: 80c69d0714de04904e5bc788ce92ac2f787a7a07 MD5sum: 1fcbffca642b1ac78360c835c889899d Description: C++ wrappers for GTK+ (documentation) Gtkmm is a C++ interface for the popular GUI library GTK+, API version 3.0. Gtkmm provides a convenient interface for C++ programmers to create graphical user interfaces with GTK+'s flexible OO framework. Highlights include type safe callbacks, widgets extensible using inheritance and over 180 classes that can be freely combined to quickly create complex user interfaces. . This package contains tutorial, FAQ, and reference documentation. Multi-Arch: foreign Homepage: http://www.gtkmm.org/ Tag: devel::doc, devel::examples, devel::lang:c++, role::documentation, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/g/gtkmm3.0/libgtkmm-3.0-doc_3.4.2-1_all.deb Package: libgtkpod-dev Source: gtkpod Version: 2.1.2-1 Architecture: armhf Maintainer: gtkpod Maintainers Installed-Size: 647 Depends: libgtkpod1 (= 2.1.2-1) Homepage: http://www.gtkpod.org Priority: extra Section: libdevel Filename: pool/main/g/gtkpod/libgtkpod-dev_2.1.2-1_armhf.deb Size: 310524 SHA256: fad0c281e766c5649ab9a05de296253159a7366a2f4df36d7f2c57a2c1393bcd SHA1: 21613965078eb81cbbcbb64d30729c4761598bdc MD5sum: da7937400e8abd0651b7502b4039489c Description: main library for the gtkpod package, development kit This is the core library and plugins for gtkpod. Library containing core gtk functions for the application gtkpod and the related gtkpod-data package. . This package contains the static library and headers for use by developers. Package: libgtkpod1 Source: gtkpod Version: 2.1.2-1 Architecture: armhf Maintainer: gtkpod Maintainers Installed-Size: 478 Pre-Depends: multiarch-support Depends: libanjuta-3-0 (>= 2:3.2.0), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libgdl-3-2 (>= 3.0.0), libglib2.0-0 (>= 2.31.8), libgpod4 (>= 0.7.90), libgstreamer-plugins-base0.10-0 (>= 0.10.12), libgstreamer0.10-0 (>= 0.10.0), libgtk-3-0 (>= 3.0.0), libid3tag0 (>= 0.15.1b), libimobiledevice2 (>= 0.9.7), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4) Multi-Arch: same Homepage: http://www.gtkpod.org Priority: extra Section: libs Filename: pool/main/g/gtkpod/libgtkpod1_2.1.2-1_armhf.deb Size: 275664 SHA256: 7ae58df6a73591e92ecff71b78626169c68b37b2532bd533611ab7ecb1c22b6c SHA1: f0ccc0de51a215d787a05c75306ae621226a0729 MD5sum: ddbc1aed7601ca26e7318431c43be6d8 Description: main library for the gtkpod package, shared library This is the core library and plugins for gtkpod. Library containing core gtk functions for the application gtkpod and the related gtkpod-data package. Package: libgtksourceview-3.0-0 Source: gtksourceview3 Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 541 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.18), libgtk-3-0 (>= 3.3.16), libpango1.0-0 (>= 1.18.0), libxml2 (>= 2.7.4), libgtksourceview-3.0-common (>= 3.4), libgtksourceview-3.0-common (<< 3.5) Multi-Arch: same Homepage: http://projects.gnome.org/gtksourceview/ Priority: optional Section: libs Filename: pool/main/g/gtksourceview3/libgtksourceview-3.0-0_3.4.2-1_armhf.deb Size: 263404 SHA256: 0be49a03bb247ce1d92d36ca450547e2bd765aa91c479ecf3afe88434d991cf5 SHA1: 6da2434a08eb457c8a5591209e405874e5c04a7c MD5sum: 68795429186ce4f8e25297afc8a30134 Description: shared libraries for the GTK+ syntax highlighting widget GtkSourceView is a text widget that extends the standard GTK+ 3.x text widget GtkTextView. It improves GtkTextView by implementing syntax highlighting and other features typical of a source editor. . This package contains the shared libraries required by applications to use this widget. Package: libgtksourceview-3.0-common Source: gtksourceview3 Version: 3.4.2-1 Installed-Size: 4299 Maintainer: Debian GNOME Maintainers Architecture: all Size: 1201610 SHA256: b1d85aa26d283eb1fa8cc8f5fa5520c47a0f9f846b3328cb2068d224f3a7d25c SHA1: 8eb22b32a0997c1d6f1bf4ec2b14ffae217a329a MD5sum: 518b4f3a7ddb8bbf27026787065c9748 Description: common files for the GTK+ syntax highlighting widget GtkSourceView is a text widget that extends the standard GTK+ 3.x text widget GtkTextView. It improves GtkTextView by implementing syntax highlighting and other features typical of a source editor. . This package contains the language specifications files for Ada, C, C++, C#, CSS, ".desktop", ".diff" (patch), Fortran 95, GtkRC, Haskell, HTML, IDL, ".ini", Java, JavaScript, LaTeX, Lua, MSIL, Nemerle, Pascal, Perl, PHP, ".po" (gettext), Python, R, Ruby, sh, SQL, Tcl, Texinfo, VB.NET, Verilog, VHDL and XML. Multi-Arch: foreign Homepage: http://projects.gnome.org/gtksourceview/ Tag: role::app-data Section: libs Priority: optional Filename: pool/main/g/gtksourceview3/libgtksourceview-3.0-common_3.4.2-1_all.deb Package: libgtksourceview-3.0-dev Source: gtksourceview3 Version: 3.4.2-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1224 Depends: libgtksourceview-3.0-0 (= 3.4.2-1), gir1.2-gtksource-3.0 (= 3.4.2-1), libgtk-3-dev (>= 3.3.8), libxml2-dev (>= 2.6.0) Suggests: libgtksourceview-3.0-doc Breaks: libgtksourceview-3.0-common (<< 3.2.3-2) Replaces: libgtksourceview-3.0-common (<< 3.2.3-2) Homepage: http://projects.gnome.org/gtksourceview/ Priority: optional Section: libdevel Filename: pool/main/g/gtksourceview3/libgtksourceview-3.0-dev_3.4.2-1_armhf.deb Size: 353160 SHA256: 089d0929a32dbb3ead39fbf7d9d909c577e3050ad9c9867421455be1cb32cb25 SHA1: 50ac3ad22165c66aa7ea93dbbc2580726966d6da MD5sum: b74cbd018ea223a9541d75c7645e9724 Description: development files for the GTK+ syntax highlighting widget GtkSourceView is a text widget that extends the standard GTK+ 3.x text widget GtkTextView. It improves GtkTextView by implementing syntax highlighting and other features typical of a source editor. . This package contains the header files required if you wish to develop software that uses the GtkSourceView widget. Package: libgtksourceview-3.0-doc Source: gtksourceview3 Version: 3.4.2-1 Installed-Size: 1213 Maintainer: Debian GNOME Maintainers Architecture: all Suggests: devhelp Size: 204254 SHA256: b2e203f32816499f7f2830443c611ae4093167d80605f76e71611bca757c3b69 SHA1: f72f3039f469e36ab0c6706b623efb11b3048d2b MD5sum: 68f14a4cb47217ef56bf65bc4d2c4b52 Description: documentation for the GTK+ syntax highlighting widget GtkSourceView is a text widget that extends the standard GTK+ 3.x text widget GtkTextView. It improves GtkTextView by implementing syntax highlighting and other features typical of a source editor. . This package contains the GtkSourceView reference manual. Homepage: http://projects.gnome.org/gtksourceview/ Tag: devel::doc, role::documentation, uitoolkit::gtk Section: doc Priority: optional Filename: pool/main/g/gtksourceview3/libgtksourceview-3.0-doc_3.4.2-1_all.deb Package: libgtksourceview2-2.0-cil Source: gnome-desktop-sharp2 Version: 2.26.0-8 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 100 Depends: cli-common (>= 0.5.1), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libgtksourceview2.0-0 (>= 2.10.0), libmono-corlib4.0-cil (>= 2.10.1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0) Suggests: monodoc-gtk2.0-manual Homepage: http://www.mono-project.com/GtkSharp Priority: optional Section: cli-mono Filename: pool/main/g/gnome-desktop-sharp2/libgtksourceview2-2.0-cil_2.26.0-8_armhf.deb Size: 30190 SHA256: cae3b21e786fd21ba665a17306d79e9b80afbe7f4b0949f32bb4161722f5b3cc SHA1: 1bf2e34fb03f3c8be7a37f132423ab0c52327fe0 MD5sum: 3b904ed5794870f59b2612f6c622bb54 Description: CLI binding for GtkSourceView 2.2 This package provides the gtk-sourceview-sharp assembly that allows CLI (.NET) programs to use the GtkSourceView libraries 2.2. . GNOME Desktop# 2.24 is a CLI (.NET) language binding for the GNOME 2.24 desktop libraries. . This package contains the gtk-sourceview-sharp assembly itself Package: libgtksourceview2-cil-dev Source: gnome-desktop-sharp2 Version: 2.26.0-8 Architecture: armhf Maintainer: Debian CLI Libraries Team Installed-Size: 91 Depends: libgtk2.0-cil-dev, libgtksourceview2-2.0-cil (= 2.26.0-8) Replaces: libgtksourceview2-2.0-cil (<< 2.26.0-2) Homepage: http://www.mono-project.com/GtkSharp Priority: optional Section: cli-mono Filename: pool/main/g/gnome-desktop-sharp2/libgtksourceview2-cil-dev_2.26.0-8_armhf.deb Size: 18734 SHA256: bb5c12c10861e83b34d0d4141c12d34bd38b045a5eae8b1669dde05955ebda0c SHA1: fca635cbacf04feb2052d89164a637e64f827ec5 MD5sum: 34c943a612650b137e1652ad6faf737f Description: CLI binding for GtkSourceView 2.2 This package provides the gtk-sourceview-sharp assembly that allows CLI (.NET) programs to use the GtkSourceView libraries 2.2. . GNOME Desktop# 2.24 is a CLI (.NET) language binding for the GNOME 2.24 desktop libraries. . This package contains development files for the gtk-sourceview-sharp library, and should be used for compilation Package: libgtksourceview2-ruby Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-gtksourceview2 Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libgtksourceview2-ruby_1.1.3-2_all.deb Size: 10122 SHA256: 57a0f7a3d94aa8b04f89c6dac4759d10a53c356c4a1daa67964e0f0eb6c15590 SHA1: e2890b893a5d56ea6f37cf3090b566278c87cdf7 MD5sum: f24512c1c635a1ae433bba360f2e386b Description: Transitional package for ruby-gtksourceview2 This is a transitional package to ease upgrades to the ruby-gtksourceview2 package. It can safely be removed. Package: libgtksourceview2-ruby1.8 Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-gtksourceview2 Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libgtksourceview2-ruby1.8_1.1.3-2_all.deb Size: 10126 SHA256: 49a373fe818ac9e1270651866792424a6ec88fcd51d1bfa9d19557051d0933eb SHA1: c1ca4bcf503894cef84dba863374634e3e653f05 MD5sum: d98ca7839250ef09d19764fc2765b4d5 Description: Transitional package for ruby-gtksourceview2 This is a transitional package to ease upgrades to the ruby-gtksourceview2 package. It can safely be removed. Package: libgtksourceview2-ruby1.8-dbg Source: ruby-gnome2 Version: 1.1.3-2 Architecture: all Maintainer: Debian Ruby Extras Maintainers Installed-Size: 33 Depends: ruby-gtksourceview2-dbg Homepage: http://ruby-gnome2.sourceforge.jp/ Priority: extra Section: oldlibs Filename: pool/main/r/ruby-gnome2/libgtksourceview2-ruby1.8-dbg_1.1.3-2_all.deb Size: 10130 SHA256: 042b3f1dc48167e652b7c7c983cd64f788fed2fbf80ad400c454f8b364480d0a SHA1: 6f4f1b628d1ca5fd5e2d9aea486dea2035fe05f7 MD5sum: 49c7421ff487538beac203983130ff18 Description: Transitional package for ruby-gtksourceview2-dbg This is a transitional package to ease upgrades to the ruby-gtksourceview2-dbg package. It can safely be removed. Package: libgtksourceview2.0-0 Source: gtksourceview2 Version: 2.10.4-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 441 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.16.0), libpango1.0-0 (>= 1.18.0), libxml2 (>= 2.7.4), libgtksourceview2.0-common (>= 2.10), libgtksourceview2.0-common (<< 2.11) Homepage: http://projects.gnome.org/gtksourceview/ Priority: optional Section: libs Filename: pool/main/g/gtksourceview2/libgtksourceview2.0-0_2.10.4-1_armhf.deb Size: 201532 SHA256: 32a39a9cbc30e72698f6bc5a2ac7c2a2ffccc56ad27ef3a93c489f10273e5450 SHA1: 86b0dd525679bfd0bb37307cb1947d4f2239661f MD5sum: e03966d629b1da6fb44a13ea38ab845c Description: shared libraries for the GTK+ syntax highlighting widget GtkSourceView is a text widget that extends the standard GTK+ 2.x text widget GtkTextView. It improves GtkTextView by implementing syntax highlighting and other features typical of a source editor. . This package contains the shared libraries required by applications to use this widget. Package: libgtksourceview2.0-common Source: gtksourceview2 Version: 2.10.4-1 Installed-Size: 4048 Maintainer: Debian GNOME Maintainers Architecture: all Size: 929274 SHA256: e9d18127b127acc9fd6d9f2c5d2f1923fa3151e63c240333dadca1f586039f07 SHA1: 67e1b5818122fe3e8e18259c8a709d2aa7f04ef5 MD5sum: 3d996d4e9ec433ae1eed4470a334a00c Description: common files for the GTK+ syntax highlighting widget GtkSourceView is a text widget that extends the standard GTK+ 2.x text widget GtkTextView. It improves GtkTextView by implementing syntax highlighting and other features typical of a source editor. . This package contains the language specifications files for Ada, C, C++, C#, CSS, ".desktop", ".diff" (patch), Fortran 95, GtkRC, Haskell, HTML, IDL, ".ini", Java, JavaScript, LaTeX, Lua, MSIL, Nemerle, Pascal, Perl, PHP, ".po" (gettext), Python, R, Ruby, sh, SQL, Tcl, Texinfo, VB.NET, Verilog, VHDL and XML. Homepage: http://projects.gnome.org/gtksourceview/ Tag: devel::library, devel::prettyprint, role::app-data, role::documentation, uitoolkit::gtk, use::editing, works-with::software:source Section: libs Priority: optional Filename: pool/main/g/gtksourceview2/libgtksourceview2.0-common_2.10.4-1_all.deb Package: libgtksourceview2.0-dev Source: gtksourceview2 Version: 2.10.4-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 739 Depends: libgtksourceview2.0-0 (= 2.10.4-1), libgtk2.0-dev (>= 2.12.0), libxml2-dev (>= 2.5.0) Suggests: libgtksourceview2.0-doc Homepage: http://projects.gnome.org/gtksourceview/ Priority: optional Section: libdevel Filename: pool/main/g/gtksourceview2/libgtksourceview2.0-dev_2.10.4-1_armhf.deb Size: 252338 SHA256: 9eba6e50aad5b52e635f1c32abc4be4e6157ef3bbbc9131523de534e61d0719d SHA1: ad10c06692637afd14680389c0fc9f3304a7bd16 MD5sum: 8f7afbd0fc8b75c7dc2fd2e12d930f75 Description: development files for the GTK+ syntax highlighting widget GtkSourceView is a text widget that extends the standard GTK+ 2.x text widget GtkTextView. It improves GtkTextView by implementing syntax highlighting and other features typical of a source editor. . This package contains the header files required if you wish to develop software that uses the GtkSourceView widget. Package: libgtksourceview2.0-doc Source: gtksourceview2 Version: 2.10.4-1 Installed-Size: 1136 Maintainer: Debian GNOME Maintainers Architecture: all Size: 147292 SHA256: b2cfd268bb53fd768624c301433478e3ac300ef9c3ff1eaa726bf30310102680 SHA1: 9ff528b55125df4fce2390168429da6d31dd31c1 MD5sum: 23dd9399f42d76590414385b282c0a79 Description: documentation for the GTK+ syntax highlighting widget GtkSourceView is a text widget that extends the standard GTK+ 2.x text widget GtkTextView. It improves GtkTextView by implementing syntax highlighting and other features typical of a source editor. . This package contains the GtkSourceView reference manual. Homepage: http://projects.gnome.org/gtksourceview/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gtksourceview2/libgtksourceview2.0-doc_2.10.4-1_all.deb Package: libgtksourceviewmm-3.0-0 Source: libgtksourceviewmm Version: 3.2.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 339 Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk-3-0 (>= 3.0.0), libgtkmm-3.0-1 (>= 3.0.1), libgtksourceview-3.0-0 (>= 3.2.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0) Homepage: http://www.gtkmm.org/ Priority: optional Section: libs Filename: pool/main/libg/libgtksourceviewmm/libgtksourceviewmm-3.0-0_3.2.0-1_armhf.deb Size: 110672 SHA256: 85b40975ff35901ac89d9dc33ac32de132e88172e7ed7cf2b4162843e850639d SHA1: 5701bcd1fcf2cc063fb275bcce8a6728d278f5aa MD5sum: c4d8bf91d85011031f44b53f1089b4b5 Description: C++ binding of GtkSourceView GtkSourceViewMM is a C++ binding of GtkSourceView, an extension to the text widget included in GTK+ 3.x adding syntax highlighting and other features typical for a source file editor. . GtkSourceViewMM is crafted to integrate well into applications using the gtkmm framework. . These are the shared libraries for libgtksourceviewmm. Package: libgtksourceviewmm-3.0-dbg Source: libgtksourceviewmm Version: 3.2.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 1822 Depends: libgtksourceviewmm-3.0-0 (= 3.2.0-1) Homepage: http://www.gtkmm.org/ Priority: extra Section: debug Filename: pool/main/libg/libgtksourceviewmm/libgtksourceviewmm-3.0-dbg_3.2.0-1_armhf.deb Size: 719554 SHA256: 5cbc9300dbc76397a97e34d07479fed81db300b849457a92af9e2f2e4402ca60 SHA1: b32229da15c77639a7be09fdfee97c6ba52a9ff7 MD5sum: 2798584820c140837aa48d50a4135dde Description: C++ binding of GtkSourceView - debugging symbols GtkSourceViewMM is a C++ binding of GtkSourceView, an extension to the text widget included in GTK+ 3.x adding syntax highlighting and other features typical for a source file editor. . GtkSourceViewMM is crafted to integrate well into applications using the gtkmm framework. . These are the debugging symbols for libgtksourceviewmm, needed only if you try to debug an application using it. Package: libgtksourceviewmm-3.0-dev Source: libgtksourceviewmm Version: 3.2.0-1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 857 Depends: libgtksourceviewmm-3.0-0 (= 3.2.0-1), libgtkmm-3.0-dev, libgtksourceview-3.0-dev Homepage: http://www.gtkmm.org/ Priority: optional Section: libdevel Filename: pool/main/libg/libgtksourceviewmm/libgtksourceviewmm-3.0-dev_3.2.0-1_armhf.deb Size: 174700 SHA256: 7bd0c2ece5a11fa72d368ebfd8546d5a90234c59c3178340261a32ec3e0abd6a SHA1: 2563894fa1dd75ce1f450916bf58c86a091697c3 MD5sum: c32f25dfad816039d9ff3fad2d620e66 Description: C++ binding of GtkSourceView - development files GtkSourceViewMM is a C++ binding of GtkSourceView, an extension to the text widget included in GTK+ 3.x adding syntax highlighting and other features typical for a source file editor. . GtkSourceViewMM is crafted to integrate well into applications using the gtkmm framework. . These are the development files for libgtksourceviewmm, needed only if you wish to write or compile software which uses it. Package: libgtksourceviewmm-3.0-doc Source: libgtksourceviewmm Version: 3.2.0-1 Installed-Size: 2518 Maintainer: Debian GNOME Maintainers Architecture: all Depends: doc-base, lynx | www-browser Size: 625900 SHA256: 93c36787578c02867da76efca081affeb18ae76850608998e212355bbe79be91 SHA1: 38a69e1caabb745ed6e9c68fdb12c7180144cfa3 MD5sum: 3f4b2357de2cc825632c38c22aa4d9ad Description: C++ binding of GtkSourceView GtkSourceViewMM is a C++ binding of GtkSourceView, an extension to the text widget included in GTK+ 3.x adding syntax highlighting and other features typical for a source file editor. . GtkSourceViewMM is crafted to integrate well into applications using the gtkmm framework. . These are the reference documentation for libgtksourceviewmm. Homepage: http://www.gtkmm.org/ Tag: devel::doc, devel::prettyprint, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libgtksourceviewmm/libgtksourceviewmm-3.0-doc_3.2.0-1_all.deb Package: libgtkspell-3-0 Source: gtkspell3 Version: 3.0.0~hg20110814-1 Architecture: armhf Maintainer: Ari Pollak Installed-Size: 472 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libenchant1c2a (>= 1.6), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0) Homepage: http://gtkspell.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/g/gtkspell3/libgtkspell-3-0_3.0.0~hg20110814-1_armhf.deb Size: 28816 SHA256: f146dc17bb698017244167d6049c5c0da8ad7d12682c15b23f09aa63927e8d86 SHA1: 9b70780da35f3cf8e7a36972d72a838adb495d45 MD5sum: d1df6ed8db5281d1097eb8c8507dd3d1 Description: spell-checking addon for GTK's TextView widget GtkSpell provides MSWord/MacOSX-style highlighting of misspelled words in a GtkTextView widget. Right-clicking a misspelled word pops up a menu of suggested replacements. Package: libgtkspell-3-dev Source: gtkspell3 Version: 3.0.0~hg20110814-1 Architecture: armhf Maintainer: Ari Pollak Installed-Size: 114 Depends: libenchant-dev, libgtk-3-dev, libgtkspell-3-0 (= 3.0.0~hg20110814-1) Homepage: http://gtkspell.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/g/gtkspell3/libgtkspell-3-dev_3.0.0~hg20110814-1_armhf.deb Size: 24900 SHA256: 9541d7c4107261fd2963fa5663dab6cefa7c167d1117e792d52be82486f34362 SHA1: 98e0b463e7569df8d182942ae7dcd6f7a0d6e428 MD5sum: cd6bb00854251e7430ff1028fdc66463 Description: Development files for GtkSpell This package contains the headers and static libraries for developing applications with GtkSpell support. Also included are documentation and example code for developing GtkSpell applications. Package: libgtkspell-dev Source: gtkspell Version: 2.0.16-1 Architecture: armhf Maintainer: Ari Pollak Installed-Size: 133 Depends: libenchant-dev, libgtk2.0-dev, libgtkspell0 (= 2.0.16-1) Priority: optional Section: libdevel Filename: pool/main/g/gtkspell/libgtkspell-dev_2.0.16-1_armhf.deb Size: 30714 SHA256: 143efe447f642b99229823f0e0247ca44ce1ff1f300ce60a1dc67b2a93c3846c SHA1: 4ef556775a0bcac4f951a0c0884c4f9db6453004 MD5sum: 5d2828bfaa8a75b98b1e75db746ef805 Description: Development files for GtkSpell This package contains the headers and static libraries for developing applications with GtkSpell support. Also included are documentation and example code for developing GtkSpell applications. Package: libgtkspell0 Source: gtkspell Version: 2.0.16-1 Architecture: armhf Maintainer: Ari Pollak Installed-Size: 455 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libenchant1c2a (>= 1.6), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0) Priority: optional Section: libs Filename: pool/main/g/gtkspell/libgtkspell0_2.0.16-1_armhf.deb Size: 26980 SHA256: c887a5acd0a288b8c40fd73893e61d8ad86d97e791ad978e5296c016ff29a882 SHA1: 401fda0aaee84b7419d2d183374baf405e841389 MD5sum: d117e96f33b595ac50094826a3eaaa81 Description: a spell-checking addon for GTK's TextView widget GtkSpell provides MSWord/MacOSX-style highlighting of misspelled words in a GtkTextView widget. Right-clicking a misspelled word pops up a menu of suggested replacements. Package: libgtkstylus Version: 0.3-2 Architecture: armhf Maintainer: Neil Williams Installed-Size: 46 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0) Priority: extra Section: embedded Filename: pool/main/libg/libgtkstylus/libgtkstylus_0.3-2_armhf.deb Size: 4044 SHA256: d3364fbc3dc7b936c63fa428f2a4552bc8204618e6354172330a0f79604a2a15 SHA1: e30954fb473b802059398066d710cd11f35ea17c MD5sum: b8027869ad27c6eaea96dad29f1671ad Description: stylus tap support for Gtk+ Provides a module for Gtk+ to interpret touchscreen taps, used by the G Palmtop Environment. Package: libgtop2-7 Source: libgtop2 Version: 2.28.4-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 136 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.18.0), libxau6, libgtop2-common (>= 2.28.4-3) Priority: optional Section: libs Filename: pool/main/libg/libgtop2/libgtop2-7_2.28.4-3_armhf.deb Size: 71760 SHA256: 677035326f62871c59449dc91e9a437005f533ae798fd4061323aff2b7315705 SHA1: 036518022cd89af332dcf338249aa85e51287a24 MD5sum: e04e1720d4b263f4a482f240ca516aed Description: gtop system monitoring library (shared) The gtop library reads information about processes and the state of the system. It is used by the GNOME desktop environment. . This package contains the shared library. Package: libgtop2-common Source: libgtop2 Version: 2.28.4-3 Installed-Size: 1012 Maintainer: Debian GNOME Maintainers Architecture: all Size: 118918 SHA256: fd661db03103542a09d976b9ce253984d9b6e59db104a349899c9ef1ec68a613 SHA1: 49561cd69d42473b528a3669311f589ea117c933 MD5sum: 5ebdb075525b5fd46ccb2532b5338eb0 Description: gtop system monitoring library (common) The gtop library reads information about processes and the state of the system. It is used by the GNOME desktop environment. . This package contains the translations. Tag: role::app-data Section: libs Priority: optional Filename: pool/main/libg/libgtop2/libgtop2-common_2.28.4-3_all.deb Package: libgtop2-dev Source: libgtop2 Version: 2.28.4-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 470 Depends: libgtop2-7 (= 2.28.4-3), gir1.2-gtop-2.0 (= 2.28.4-3), libglib2.0-dev Priority: optional Section: libdevel Filename: pool/main/libg/libgtop2/libgtop2-dev_2.28.4-3_armhf.deb Size: 106512 SHA256: 76be4921ecf1f03a58ca246b8eec63075b074f813156512ccbc07e5e7b24d9f2 SHA1: c0da8b6b7be9ed8d7f055e63b7328feffdb89981 MD5sum: 505842380d9df8c9ed59768df892b4a1 Description: gtop system monitoring library (devel) The gtop library reads information about processes and the state of the system. It is used by the GNOME desktop environment. . This package contains the static library and development headers. Package: libgtop2-doc Source: libgtop2 Version: 2.28.4-3 Installed-Size: 638 Maintainer: Debian GNOME Maintainers Architecture: all Replaces: libgtop2-dev (<< 2.28.0-1) Depends: dpkg (>= 1.15.4) | install-info Size: 114778 SHA256: e15373d7a56caeccf4f86e1c7e8b6735b47bbcd8afedf802a6104a7b3120ecaa SHA1: 81e7c9be2545b93502514363900645670e0ebf09 MD5sum: 969cb1abd6508b7571fb9d022b528db2 Description: gtop system monitoring library (documentation) The gtop library reads information about processes and the state of the system. It is used by the GNOME desktop environment. . This package contains the documentation. Tag: devel::doc, made-of::html, made-of::info, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libgtop2/libgtop2-doc_2.28.4-3_all.deb Package: libgts-0.7-5 Source: gts Version: 0.7.6+darcs110121-1.1 Architecture: armhf Maintainer: Ruben Molina Installed-Size: 370 Depends: libc6 (>= 2.4), libglib2.0-0 (>= 2.16.0) Recommends: libgts-bin Replaces: libgts-0.7-1, libgts-dev (<< 0.7.6+darcs110121-1.1) Homepage: http://gts.sourceforge.net/ Priority: optional Section: devel Filename: pool/main/g/gts/libgts-0.7-5_0.7.6+darcs110121-1.1_armhf.deb Size: 166500 SHA256: 909cba775e35fa85d55cd4cf3acb26d5a69ef195266671e9c5ee0d7aecf42ada SHA1: d003f70ad63dca485a6db0206d8f23a71fd473c1 MD5sum: fc6911c8671a61666f0243f3e2c2e837 Description: library to deal with 3D computational surface meshes The GNU Triangulated Surface Library is intended to provide a simple and efficient library to scientists dealing with 3D surfaces meshed with interconnected triangles. . This package contains the shared libraries. Package: libgts-bin Source: gts Version: 0.7.6+darcs110121-1.1 Architecture: armhf Maintainer: Ruben Molina Installed-Size: 145 Depends: libc6 (>= 2.7), libglib2.0-0 (>= 2.16.0), libgts-0.7-5 (= 0.7.6+darcs110121-1.1) Replaces: libgts-0.7-1, libgts-dev (<< 0.7.6+darcs110121-1.1) Homepage: http://gts.sourceforge.net/ Priority: optional Section: math Filename: pool/main/g/gts/libgts-bin_0.7.6+darcs110121-1.1_armhf.deb Size: 52786 SHA256: 0966b8886969f7adc832717b4dcea18ee904ec59e2483921088efe0d050bfd12 SHA1: 05c5d4ccbc569dbcdc33cfa6cf619c1ffbe6384f MD5sum: e98ef8378434970ed1aa9fdf3377fd3b Description: utility binaries for libgts The GTS Library is intended to provide a set of useful functions to deal with 3D surfaces meshed with interconnected triangles. . This package contains some utility binaries. Package: libgts-dbg Source: gts Version: 0.7.6+darcs110121-1.1 Architecture: armhf Maintainer: Ruben Molina Installed-Size: 873 Depends: libgts-0.7-5 (= 0.7.6+darcs110121-1.1) Homepage: http://gts.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/g/gts/libgts-dbg_0.7.6+darcs110121-1.1_armhf.deb Size: 323392 SHA256: ee68962f8096b9cf339c85b2be2ed372db51055a82cd5f0cdc2188b17c9a1dbe SHA1: 0e20c797ea17e17d2863e60d960c355fd212c9b6 MD5sum: 7d56ff15b2a459f225a3b79af93daed0 Description: debugging symbols for libgts The GTS Library is intended to provide a set of useful functions to deal with 3D surfaces meshed with interconnected triangles. . This package contains the debugging symbols for GTS. Package: libgts-dev Source: gts Version: 0.7.6+darcs110121-1.1 Architecture: armhf Maintainer: Ruben Molina Installed-Size: 566 Depends: libglib2.0-dev, libgts-0.7-5 (= 0.7.6+darcs110121-1.1) Suggests: libgts-doc Replaces: libgts-0.7-1 Homepage: http://gts.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/g/gts/libgts-dev_0.7.6+darcs110121-1.1_armhf.deb Size: 195874 SHA256: 64b912265a92466bbbb10bd6c8892e63c9313d094089af0c589a2eff968f67ce SHA1: 015f578c141fe8df06d0ce9c920a388d82e7a188 MD5sum: 3b9e6269d41f3475661dc7f7e5a81608 Description: development files for libgts The GTS Library is intended to provide a set of useful functions to deal with 3D surfaces meshed with interconnected triangles. . This package contains the headers and development libraries needed to build applications using GTS. Package: libgts-doc Source: gts Version: 0.7.6+darcs110121-1.1 Installed-Size: 76 Maintainer: Ruben Molina Architecture: all Size: 11404 SHA256: bb08b84f3d7af1815e93840bbb66fcdb90f31c3f12c24fd18d7604af02382fbe SHA1: 6d0a777d3fcc1c560cdf8d8c1d286db938939836 MD5sum: 7cbebcb51829ea52a9c9b162ef495c95 Description: documentation for libgts The GTS Library is intended to provide a set of useful functions to deal with 3D surfaces meshed with interconnected triangles. . This package contains the documentation files. Homepage: http://gts.sourceforge.net/ Tag: devel::doc, devel::library, field::mathematics, interface::3d, made-of::html, role::documentation, suite::gnu Section: doc Priority: optional Filename: pool/main/g/gts/libgts-doc_0.7.6+darcs110121-1.1_all.deb Package: libguac-client-rdp0 Source: libguac-client-rdp Version: 0.6.0-1 Architecture: armhf Maintainer: Michael Jumper Installed-Size: 102 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libfreerdp1 (>= 1.0.1), libguac3 Homepage: http://guacamole.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libg/libguac-client-rdp/libguac-client-rdp0_0.6.0-1_armhf.deb Size: 22202 SHA256: 4e76f3080e12f533c3e484b58baa4d79b90fa05015254a5ec4f5a4aee2f3ee2d SHA1: 95d03ea8660a8410f0eace4fc9ccaff0e74c5b48 MD5sum: 82c747fbd57dcc122afbd508346784a2 Description: RDP client plugin for Guacamole A plugin for the Guacamole proxy daemon (guacd) that provides support for the RDP protocol (Windows Remote Desktop). Package: libguac-client-vnc0 Source: libguac-client-vnc Version: 0.6.0-1 Architecture: armhf Maintainer: Michael Jumper Installed-Size: 59 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libguac3, libvncserver0 Recommends: vnc4server Homepage: http://guacamole.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libg/libguac-client-vnc/libguac-client-vnc0_0.6.0-1_armhf.deb Size: 10396 SHA256: c1955d55716cca4dd500ca5f50f8e0f89a135d93ac172f9c96add29daa71a524 SHA1: dd7749870c7c6f77595c315a1df4a6cdf5567063 MD5sum: f056ee79250ddbcf46d466d075b734d0 Description: VNC client plugin for Guacamole A plugin for the Guacamole proxy daemon (guacd) that provides support for the VNC protocol. Package: libguac-dev Source: libguac Version: 0.6.0-2 Architecture: armhf Maintainer: Michael Jumper Installed-Size: 144 Depends: libguac3 (= 0.6.0-2) Homepage: http://guacamole.sourceforge.net/ Priority: extra Section: libdevel Filename: pool/main/libg/libguac/libguac-dev_0.6.0-2_armhf.deb Size: 26362 SHA256: 9e4e04d2396bda4a03dfe197ae28eb5f505960345e50e37b64d2527cedcb4004 SHA1: 6aefa5ab48a4d86b798d4b827bd20a766eff2731 MD5sum: e4d96f32ca8e76f95acdda9ccca66eaf Description: Development headers for the core Guacamole library The development headers for the core Guacamole library used by guacd and all client plugins. This package is required for development of new client plugins, or for building existing plugins and guacd. Package: libguac3 Source: libguac Version: 0.6.0-2 Architecture: armhf Maintainer: Michael Jumper Installed-Size: 61 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libpng12-0 (>= 1.2.13-4) Homepage: http://guacamole.sourceforge.net/ Priority: extra Section: libs Filename: pool/main/libg/libguac/libguac3_0.6.0-2_armhf.deb Size: 15336 SHA256: 9d94aa5aa951af7349b1393a1b211f6b0385723e81e86b6671530e2891597bc7 SHA1: 1c076d155877a5f5deee100bb567fa5bba088c0f MD5sum: 9e7242e34bc2ff7cccae02b646ebd073 Description: Core Guacamole library used by guacd and client plugins The core Guacamole library which both guacd and client plugins depend on to provide low-level I/O and protocol support. Package: libguard-perl Version: 1.022-1 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 74 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Guard/ Priority: optional Section: perl Filename: pool/main/libg/libguard-perl/libguard-perl_1.022-1_armhf.deb Size: 16518 SHA256: 15815d4eced157ef4d8558b251af3c9d3c4a616b5987b3115a73901d69b15035 SHA1: daac558e4bbdf16aebbc6dda250a112cb72dcd9c MD5sum: b2b5b2ee637f2c3cfe50c3611ad5c26d Description: Perl module providing safe cleanup using guard objects Guard is a Perl module implementing so-called "guards," which are things that "guard" a resource, ensuring that it is safely cleaned up when expected, even if exceptional conditions interrupt the normal control flow. This allows one to write code which executes an arbitrary code block or subroutine when either the related Guard Object is destroyed or when the current scope ends. In other languages, this sort of functionality is provided by the 'finally' keyword. Package: libguava-java Source: guava-libraries Version: 11.0.2-1 Installed-Size: 1467 Maintainer: Debian Java Maintainers Architecture: all Depends: libjsr305-java Size: 1246874 SHA256: e36c1f61553490c84e332a0236b9408f053be266113878e6836615a7569b5e3c SHA1: 162be736e7d2554898ee5fd2f94b3fc75fc62b0e MD5sum: bcaf21b590033e17dbf7c056ffe6f553 Description: suite of Google Common Libraries for Java 5.0 Guava is a suite of core and expanded libraries that include utility classes, google's collections, io classes, concurrency support and other features. . Guava has only one code dependency: javax.annotation, per the JSR-305 spec. Homepage: http://code.google.com/p/guava-libraries/ Section: java Priority: optional Filename: pool/main/g/guava-libraries/libguava-java_11.0.2-1_all.deb Package: libguava-java-doc Source: guava-libraries Version: 11.0.2-1 Installed-Size: 9299 Maintainer: Debian Java Maintainers Architecture: all Recommends: libguava-java Suggests: default-jdk-doc Size: 874780 SHA256: 7e42e4d1592cdbbb78e1fa1d1b5b05f0a67d67376e18f69a64dcc2ef867c7861 SHA1: 4d44d63cd503cf8fd25ba9be673ff3042a779e8a MD5sum: 6daff3da864a5e849cc893eb8458074b Description: documentation for libguava-java Guava is a suite of core and expanded libraries that include utility classes, google's collections, io classes, concurrency support and other features. . Guava has only one code dependency: javax.annotation, per the JSR-305 spec. . This package contains the javadocs of Guava library suite. Homepage: http://code.google.com/p/guava-libraries/ Tag: devel::doc, devel::lang:java, role::documentation Section: doc Priority: optional Filename: pool/main/g/guava-libraries/libguava-java-doc_11.0.2-1_all.deb Package: libgucharmap-2-90-7 Source: gucharmap Version: 1:3.4.1.1-2.1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 4290 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libglib2.0-0 (>= 2.25.5), libgtk-3-0 (>= 3.3.16), libpango1.0-0 (>= 1.18.0) Homepage: http://live.gnome.org/Gucharmap Priority: optional Section: libs Filename: pool/main/g/gucharmap/libgucharmap-2-90-7_3.4.1.1-2.1_armhf.deb Size: 1128178 SHA256: 831c43abd91b78ed6d4fb0e82ed3ff255c30ff795e54bd9cf4fdcb20221164b4 SHA1: 226f026c6aebb0868e2fe53aa4c5bac1bc198c2e MD5sum: 324b50f7a6e8050b44a685612fda0183 Description: Unicode browser widget library (shared library) The libgucharmap library contains a Unicode browser widget; it is most prominently used by the "gucharmap" program. See the package of the same name for more information. . This package contains the shared library. Package: libgucharmap-2-90-dev Source: gucharmap Version: 1:3.4.1.1-2.1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 625 Depends: libgucharmap-2-90-7 (= 1:3.4.1.1-2.1), gir1.2-gucharmap-2.90 (= 1:3.4.1.1-2.1), libgtk-3-dev, libglib2.0-dev Conflicts: libgucharmap-dev Replaces: libgucharmap-dev Homepage: http://live.gnome.org/Gucharmap Priority: optional Section: libdevel Filename: pool/main/g/gucharmap/libgucharmap-2-90-dev_3.4.1.1-2.1_armhf.deb Size: 241158 SHA256: fb8f08cdc1ac31348dcd7659e789a1c3b28f4b634b6c733cbf8fda7785eef57c SHA1: 4de1d9995706ff6ae0299a10b851449626448eba MD5sum: 501766785f5fa1fab10492752fe51da4 Description: Unicode browser widget library (development headers) The libgucharmap library contains a Unicode browser widget; it is most prominently used by the "gucharmap" program. See the package of the same name for more information. . This package contains the development headers. Package: libgudev-1.0-0 Source: udev Version: 175-7.2 Architecture: armhf Maintainer: Marco d'Itri Installed-Size: 160 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.22.0), libudev0 (>= 165) Multi-Arch: same Priority: optional Section: libs Filename: pool/main/u/udev/libgudev-1.0-0_175-7.2_armhf.deb Size: 110466 SHA256: fe24eb5940ea1b278fdedd1df6b93803f5d0bbecdace5455a2c01635477cf0de SHA1: bd97fe3055e3e487d40f784dbba5357d7529ecbb MD5sum: 952993f7c482634968003751ab0763db Description: GObject-based wrapper library for libudev This library makes it much simpler to use libudev from programs already using GObject. It also makes it possible to easily use libudev from other programming languages, such as Javascript, because of GObject introspection support. Package: libgudev-1.0-dev Source: udev Version: 175-7.2 Architecture: armhf Maintainer: Marco d'Itri Installed-Size: 358 Depends: libgudev-1.0-0 (= 175-7.2), libglib2.0-dev, pkg-config Priority: optional Section: libdevel Filename: pool/main/u/udev/libgudev-1.0-dev_175-7.2_armhf.deb Size: 42794 SHA256: a591867e32e4c180c41e6ee5b39052f48bf37823706a07f94068adad324ce581 SHA1: 62a41e2c6ae071419bfec02d95b42f9a4749f31f MD5sum: 3377c6ba070524ef0060587e908e9026 Description: libgudev-1.0 development files This package contains the files needed for developing applications that use libgudev-1.0. Package: libgudev1.0-cil Source: gudev-sharp-1.0 Version: 0.1-3 Installed-Size: 18 Maintainer: Debian CLI Libraries Team Architecture: all Depends: cli-common (>= 0.5.1), libglib2.0-cil (>= 2.12.10), libgudev-1.0-0 (>= 146), libmono-corlib4.0-cil (>= 2.10.1) Size: 8560 SHA256: 75fde6392f47ec1e0e08154141d71c2eacc84c052390cf3599ed27bb48e28929 SHA1: ea2ded1b2e8cbf8ff94823179f17c6383a48843a MD5sum: 4f9937fb610280ded1a894123513eb8c Description: GObject-based wrapper library for libudev -- CLI bindings gudev-sharp is a set of CLI bindings for libgudev, which is a GObject-based wrapper library for libudev. . This package contains the managed CLI bindings for gudev-sharp, which are needed to run CLI applications which use this library. Homepage: https://www.launchpad.net/gudev-sharp Tag: devel::ecma-cli, devel::library, role::shared-lib Section: cli-mono Priority: optional Filename: pool/main/g/gudev-sharp-1.0/libgudev1.0-cil_0.1-3_all.deb Package: libgudev1.0-cil-dev Source: gudev-sharp-1.0 Version: 0.1-3 Installed-Size: 4 Maintainer: Debian CLI Libraries Team Architecture: all Depends: libgudev1.0-cil (= 0.1-3) Size: 2716 SHA256: cbafe28d5b0e8f45e775f7b03967b919d9b96c7ba41e167896690db8f72c7ea6 SHA1: 527b749e1c874a4b2b5951681d6e0add61996b1e MD5sum: 343f66713fc6d0ee252064623a6fae0e Description: GObject-based wrapper library for libudev -- CLI development files gudev-sharp is a set of CLI bindings for libgudev, which is a GObject-based wrapper library for libudev. . This package contains the development files needed to compile CLI applications which use this library. Homepage: https://www.launchpad.net/gudev-sharp Tag: devel::ecma-cli, devel::library, role::devel-lib Section: cli-mono Priority: optional Filename: pool/main/g/gudev-sharp-1.0/libgudev1.0-cil-dev_0.1-3_all.deb Package: libguess-dev Source: libguess Version: 1.1-1 Architecture: armhf Maintainer: Andrew O. Shadura Installed-Size: 66 Depends: libguess1 (= 1.1-1), libmowgli-dev (>= 0.7.0) Multi-Arch: same Homepage: http://www.atheme.org/project/libguess Priority: optional Section: libdevel Filename: pool/main/libg/libguess/libguess-dev_1.1-1_armhf.deb Size: 7090 SHA256: cf8c46b191d6a2b6246fe5fe32c67368d553e4a063d85ad1fe77c03f12ba5991 SHA1: 0ba3f3c9b4bb612123b647b8fe6e59275598ac6f MD5sum: 6b8819b24b488464d275e2b24fbbd8e4 Description: high-speed character set detection library (development) libguess employs discrete-finite automata to deduce the character set of the input buffer. The advantage of this is that all character sets can be checked in parallel, and quickly. . This package contains files needed for development with libguess. Package: libguess1 Source: libguess Version: 1.1-1 Architecture: armhf Maintainer: Andrew O. Shadura Installed-Size: 70 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libmowgli2 (>= 0.7.0) Multi-Arch: same Homepage: http://www.atheme.org/project/libguess Priority: optional Section: libs Filename: pool/main/libg/libguess/libguess1_1.1-1_armhf.deb Size: 10766 SHA256: efb30eef34021f18739cf37f388f565d69457881d3a0261c0de196270254d7e9 SHA1: e819f42e9bce6a5bc38bd6fdd1e8ecefcc088d57 MD5sum: 882e60fee08ad247c0749650ed01e525 Description: high-speed character set detection library libguess employs discrete-finite automata to deduce the character set of the input buffer. The advantage of this is that all character sets can be checked in parallel, and quickly. Package: libgui-commands-java Version: 1.1.43-5 Installed-Size: 188 Maintainer: Debian QA Group Architecture: all Depends: gij | java-gcj-compat | java1-runtime | java2-runtime, libjaxen-java Size: 129982 SHA256: 5d1fffff31cb3e6fa86cad909d9ed28c0fd3c2279fb6382bf2767f2db2337ba6 SHA1: e3bc61c2a44ab16e06b9bb56a4fa4f021cf56e58 MD5sum: dcc644bb0c40fdade7e7e658ac81eb71 Description: command framework for Java Swing GUIs GUI Commands is a comprehensive command framework for Java Swing user interface applications. Conceptually it is similar to Swings Actions, but extends the concept to support menus, toolbars, toggles and much more. Homepage: https://gui-commands.dev.java.net/ Section: java Priority: optional Filename: pool/main/libg/libgui-commands-java/libgui-commands-java_1.1.43-5_all.deb Package: libgui-commands-java-doc Source: libgui-commands-java Version: 1.1.43-5 Installed-Size: 4016 Maintainer: Debian QA Group Architecture: all Size: 297652 SHA256: f79d6f0c336ddde7807dbc135a477d40f5de41feb68933f4680c20ec9846d3f3 SHA1: 9ca6bee2e639e3c84fcbabf0cd0c49f44aa0468f MD5sum: f971210bc37f97bbb3f3711c2c0f7f42 Description: command framework for Java Swing GUIs (API documentation) GUI Commands is a comprehensive command framework for Java Swing user interface applications. Conceptually it is similar to Swings Actions, but extends the concept to support menus, toolbars, toggles and much more. . This package contains the Javadoc API documentation. Homepage: https://gui-commands.dev.java.net/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libg/libgui-commands-java/libgui-commands-java-doc_1.1.43-5_all.deb Package: libgui-commands-java-gcj Source: libgui-commands-java Version: 1.1.43-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 545 Depends: libgui-commands-java (= 1.1.43-5), libgcj-common (>> 1:4.1.1-13), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcj-bc (>= 4.4.5-1~), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4) Homepage: https://gui-commands.dev.java.net/ Priority: optional Section: java Filename: pool/main/libg/libgui-commands-java/libgui-commands-java-gcj_1.1.43-5_armhf.deb Size: 160306 SHA256: 1ce8bfedb31b77a12628dd759cd78c60acbae4d9e4b854b0390290952a3f139d SHA1: d91a8dd837c060ac4545359f2f2e617f8195c046 MD5sum: ccf003e6f2b011c82420304acaa77dbe Description: command framework for Java Swing GUIs (native code) GUI Commands is a comprehensive command framework for Java Swing user interface applications. Conceptually it is similar to Swings Actions, but extends the concept to support menus, toolbars, toggles and much more. . This package contains the natively compiled code for use by gij. Package: libguice-java Source: guice Version: 3.0-1 Installed-Size: 568 Maintainer: Debian Java Maintainers Architecture: all Depends: libatinject-jsr330-api-java, libaopalliance-java, libcglib-java, libasm3-java Size: 453006 SHA256: 73ff8cc65f69fca8abb116a2c419282ace96b26675b3f1d0513a603d11b70ebc SHA1: 71c60322efaa3b261e6c7a5915f51b7c46141708 MD5sum: 79767a27eb7d7855d087fb4b9eb2ed8e Description: lightweight dependency injection framework for Java 5 and above Guice provides support for dependency injection using annotations to configure Java objects. Dependency injection is a design pattern whose core principle is to separate behavior from dependency resolution. . Guice allows implementation classes to be programmatically bound to an interface, then injected into constructors, methods or fields using an @Inject annotation. When more than one implementation of the same interface is needed, the user can create custom annotations that identify an implementation, then use that annotation when injecting it. Homepage: http://code.google.com/p/google-guice/ Section: java Priority: optional Filename: pool/main/g/guice/libguice-java_3.0-1_all.deb Package: libguice-java-doc Source: guice Version: 3.0-1 Installed-Size: 2180 Maintainer: Debian Java Maintainers Architecture: all Suggests: default-jdk-doc Size: 141716 SHA256: 632997ad41228daaae6e57d9a7502d9278c68c009b92b8662efda86d6bb0f565 SHA1: acea313063a6f5b86f71985215f6e2070df250bb MD5sum: 2625c9acc23251f9b5b7633b640651c0 Description: documentation for libguice-java Documentation for Guice that is a framework that provides support for dependency injection using annotations to configure Java objects. Dependency injection is a design pattern whose core principle is to separate behavior from dependency resolution. . Guice allows implementation classes to be programmatically bound to an interface, then injected into constructors, methods or fields using an @Inject annotation. When more than one implementation of the same interface is needed, the user can create custom annotations that identify an implementation, then use that annotation when injecting it. . This package provides javadocs for Guice framework and example code. Homepage: http://code.google.com/p/google-guice/ Tag: devel::doc, devel::examples, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/guice/libguice-java-doc_3.0-1_all.deb Package: libguichan-0.8.1-1 Source: guichan Version: 0.8.2-10 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 286 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://guichan.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/g/guichan/libguichan-0.8.1-1_0.8.2-10_armhf.deb Size: 107490 SHA256: b2b5a87dca8b8e3d049fe978ba74a8708d9aa612c5b9bd7767e4104206bf79f5 SHA1: 94b7111422a276fe6430714537252a949bde6d73 MD5sum: 787c5993bbd4bf7897de116420c5eafe Description: small, efficient C++ GUI library Guichan is a small and efficient C++ GUI library designed for games. It comes with a standard set of widgets and can use several different objects for displaying graphics and grabbing user input. . Guichan has a very abstract design which allows users of Guichan to use different objects for displaying of graphics and grabbing of user input. Guichan comes with (for now) 3 implemented graphics objects (SDLGraphics, OpenGLGraphics and AllegroGraphics) and 2 implemented input objects (SDLInput and AllegroInput), . Guichan is designed in a very abstract way making it very easy to extend Guichan for your own needs. It is even very easy to implement new graphics objects making Guichan as portable as ansi C++ is. Package: libguichan-0.8.1-1-dbg Source: guichan Version: 0.8.2-10 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 2400 Depends: libguichan-0.8.1-1 (= 0.8.2-10), libguichan-allegro-0.8.1-1 (= 0.8.2-10), libguichan-sdl-0.8.1-1 (= 0.8.2-10), libguichan-opengl-0.8.1-1 (= 0.8.2-10) Homepage: http://guichan.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/g/guichan/libguichan-0.8.1-1-dbg_0.8.2-10_armhf.deb Size: 877478 SHA256: cb7289b60b62a48fcfe1ce728fcc8dcef4430572581ca946174493515e13cf3f SHA1: 229b2eed42e15164b9877d1cfaced79ed633286b MD5sum: d96bbed566e4f60c903253ace0d532e5 Description: small, efficient C++ GUI library (debugging symbols) Guichan is a small and efficient C++ GUI library designed for games. It comes with a standard set of widgets and can use several different objects for displaying graphics and grabbing user input. . Guichan has a very abstract design which allows users of Guichan to use different objects for displaying of graphics and grabbing of user input. Guichan comes with (for now) 3 implemented graphics objects (SDLGraphics, OpenGLGraphics and AllegroGraphics) and 2 implemented input objects (SDLInput and AllegroInput), . Guichan is designed in a very abstract way making it very easy to extend Guichan for your own needs. It is even very easy to implement new graphics objects making Guichan as portable as ansi C++ is. . This package contains the debugging symbols for guichan. Package: libguichan-allegro-0.8.1-1 Source: guichan Version: 0.8.2-10 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 93 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://guichan.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/g/guichan/libguichan-allegro-0.8.1-1_0.8.2-10_armhf.deb Size: 30446 SHA256: 01e0c7be82f5d406d5badbf715c33d169766657129a7269e7764b235bd8846e3 SHA1: 384fa1fe983f19441cd8196f05bdd6a71f153d70 MD5sum: d777e0219c0f1fb356ad47861fd46f55 Description: small, efficient C++ GUI library (allegro integration) Guichan is a small and efficient C++ GUI library designed for games. It comes with a standard set of widgets and can use several different objects for displaying graphics and grabbing user input. . Guichan has a very abstract design which allows users of Guichan to use different objects for displaying of graphics and grabbing of user input. Guichan comes with (for now) 3 implemented graphics objects (SDLGraphics, OpenGLGraphics and AllegroGraphics) and 2 implemented input objects (SDLInput and AllegroInput), . Guichan is designed in a very abstract way making it very easy to extend Guichan for your own needs. It is even very easy to implement new graphics objects making Guichan as portable as ansi C++ is. . This package contains the allegro integration for guichan. Package: libguichan-dev Source: guichan Version: 0.8.2-10 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 1346 Depends: libguichan-0.8.1-1 (= 0.8.2-10), libguichan-allegro-0.8.1-1 (= 0.8.2-10), libguichan-sdl-0.8.1-1 (= 0.8.2-10), libguichan-opengl-0.8.1-1 (= 0.8.2-10), liballegro4.2-dev, libsdl1.2-dev, libsdl-image1.2-dev, libgl1-mesa-dev Conflicts: libguichan0, libguichan0-dev, libguichan1, libguichan1-dev, libguichan2, libguichan2-dev Homepage: http://guichan.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/g/guichan/libguichan-dev_0.8.2-10_armhf.deb Size: 291548 SHA256: dd64bda4c931a6d030439ce9747a6f976e82e258b19473d4650b6462277b6d39 SHA1: 6347a31cf75222331b2deff75e30fbce5a529758 MD5sum: e983e8db1f4f6d4ad6a5d01a672ae78f Description: small, efficient C++ GUI library (development headers) Guichan is a small and efficient C++ GUI library designed for games. It comes with a standard set of widgets and can use several different objects for displaying graphics and grabbing user input. . Guichan has a very abstract design which allows users of Guichan to use different objects for displaying of graphics and grabbing of user input. Guichan comes with (for now) 3 implemented graphics objects (SDLGraphics, OpenGLGraphics and AllegroGraphics) and 2 implemented input objects (SDLInput and AllegroInput), . Guichan is designed in a very abstract way making it very easy to extend Guichan for your own needs. It is even very easy to implement new graphics objects making Guichan as portable as ansi C++ is. . This package contains the development headers for the guichan library. Package: libguichan-opengl-0.8.1-1 Source: guichan Version: 0.8.2-10 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 73 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://guichan.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/g/guichan/libguichan-opengl-0.8.1-1_0.8.2-10_armhf.deb Size: 22504 SHA256: 3c297f92e1b6c35e1d473b00d65125a523cc66cb994ea6123894e0ee6d504579 SHA1: 23fea6753a5452e5338ebf97d0aa4389128ea623 MD5sum: fd79ec901cc676b97a82868974d2b25d Description: small, efficient C++ GUI library (OpenGL integration) Guichan is a small and efficient C++ GUI library designed for games. It comes with a standard set of widgets and can use several different objects for displaying graphics and grabbing user input. . Guichan has a very abstract design which allows users of Guichan to use different objects for displaying of graphics and grabbing of user input. Guichan comes with (for now) 3 implemented graphics objects (SDLGraphics, OpenGLGraphics and AllegroGraphics) and 2 implemented input objects (SDLInput and AllegroInput), . Guichan is designed in a very abstract way making it very easy to extend Guichan for your own needs. It is even very easy to implement new graphics objects making Guichan as portable as ansi C++ is. . This package contains the OpenGL integration for guichan. Package: libguichan-sdl-0.8.1-1 Source: guichan Version: 0.8.2-10 Architecture: armhf Maintainer: Patrick Matthäi Installed-Size: 89 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Homepage: http://guichan.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/g/guichan/libguichan-sdl-0.8.1-1_0.8.2-10_armhf.deb Size: 30432 SHA256: b859f0c68865ab35ebd918eb053301899a0a8d28f5762f96dbcf080df40afddb SHA1: 7954dda723648d29eaa299e32f8f5d6377d939c5 MD5sum: aae9828412673ca8b4af0ae0596ca1aa Description: small, efficient C++ GUI library (SDL integration) Guichan is a small and efficient C++ GUI library designed for games. It comes with a standard set of widgets and can use several different objects for displaying graphics and grabbing user input. . Guichan has a very abstract design which allows users of Guichan to use different objects for displaying of graphics and grabbing of user input. Guichan comes with (for now) 3 implemented graphics objects (SDLGraphics, OpenGLGraphics and AllegroGraphics) and 2 implemented input objects (SDLInput and AllegroInput), . Guichan is designed in a very abstract way making it very easy to extend Guichan for your own needs. It is even very easy to implement new graphics objects making Guichan as portable as ansi C++ is. . This package contains the SDL integration for guichan. Package: libguile-ltdl-1 Source: guile-1.6 Version: 1.6.8-10.3 Architecture: armhf Maintainer: Rob Browning Installed-Size: 48 Depends: libc6 (>= 2.13-28), libltdl7 (>= 2.4.2) Priority: optional Section: libs Filename: pool/main/g/guile-1.6/libguile-ltdl-1_1.6.8-10.3_armhf.deb Size: 18538 SHA256: 460b811c38c0cbb7d8f32baf48def12c4fbeb32dfa61cdb055b6c9744ab4017a SHA1: 4f99cd09fbb787ba428553c41d1f7a4306e03862 MD5sum: 74a26db7e8b5695e78315c24e3ee3a41 Description: Guile's patched version of libtool's libltdl Guile is a Scheme implementation designed for real world programming, providing a rich Unix interface, a module system, an interpreter, and many extension languages. Guile can be used as a standard #! style interpreter, via #!/usr/bin/guile, or as an extension language for other applications via libguile. Package: libgupnp-1.0-4 Source: gupnp Version: 0.18.4-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 171 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libgssdp-1.0-3 (>= 0.12.0), libsoup2.4-1 (>= 2.34.0-1~), libuuid1 (>= 2.16), libxml2 (>= 2.7.4), shared-mime-info Homepage: http://www.gupnp.org Priority: optional Section: libs Filename: pool/main/g/gupnp/libgupnp-1.0-4_0.18.4-1_armhf.deb Size: 66102 SHA256: c0914d06f7762c0f07b5997f2b096ceefa4d0c468d71a34f8ad1e1d4266b6865 SHA1: 0b7114f7baf2a979e637017fb256898b9b1290e9 MD5sum: 7f6de4e79ee1b23775f953b8b6af07b8 Description: GObject-based library for UPnP A GObject-based API for doing UPnP transparently. Package: libgupnp-1.0-dbg Source: gupnp Version: 0.18.4-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 233 Depends: libgupnp-1.0-4 (= 0.18.4-1) Recommends: libgssdp-1.0-dbg, libglib2.0-0-dbg Homepage: http://www.gupnp.org Priority: extra Section: debug Filename: pool/main/g/gupnp/libgupnp-1.0-dbg_0.18.4-1_armhf.deb Size: 160300 SHA256: c76fbb246048916f71cb1435b36f252b743a69919bcd58ab9d694814074f13e8 SHA1: 35bce439edf0dd04995804dfa34ffe7c7ca69207 MD5sum: 11a4c11dd91c2e46a4504e0fbe105cde Description: GObject-based library for UPnP (debug symbols) A GObject-based API for doing UPnP transparently. . This package contains the debug symbols. Package: libgupnp-1.0-dev Source: gupnp Version: 0.18.4-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 534 Depends: libgupnp-1.0-4 (= 0.18.4-1), gir1.2-gupnp-1.0, libgssdp-1.0-dev (>= 0.6.1), libsoup2.4-dev, libxml2-dev Recommends: python Suggests: libgupnp-doc Replaces: gir-repository-dev (<= 0.6.5-5) Homepage: http://www.gupnp.org Priority: optional Section: libdevel Filename: pool/main/g/gupnp/libgupnp-1.0-dev_0.18.4-1_armhf.deb Size: 119720 SHA256: 71d8b9e2885ab315ef33e239610af8002cdad0467a50f73163c68e9163f7b67e SHA1: 4a2cd4ca7e22b490d87eeb530f00687cb3cac61a MD5sum: 66cc96d6de4562c5ecf8b403429028e9 Description: GObject-based library for UPnP (development files) A GObject-based API for doing UPnP transparently. . This package contains the development files. Package: libgupnp-av-1.0-2 Source: gupnp-av Version: 0.10.3-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 136 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libgssdp-1.0-3 (>= 0.12.0), libgupnp-1.0-4 (>= 0.18.0), libsoup2.4-1 (>= 2.34.0-1~), libxml2 (>= 2.7.4) Homepage: http://www.gupnp.org Priority: optional Section: libs Filename: pool/main/g/gupnp-av/libgupnp-av-1.0-2_0.10.3-1_armhf.deb Size: 43384 SHA256: c2668ab64c756c85002db7e88b7fb5d451d9374d435015aaef5f6da0804770e3 SHA1: d4372a7b1154b05f35e787c75070b725c56db520 MD5sum: 175a614fc5f0b209972efacbe51c2df7 Description: Audio/Visual utility library for GUPnP An audio/visual utility library for GUPnP, providing DIDL parser/writer and search criteria parser objects. Package: libgupnp-av-1.0-dbg Source: gupnp-av Version: 0.10.3-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 160 Depends: libgupnp-av-1.0-2 (= 0.10.3-1) Recommends: libgupnp-1.0-dbg Homepage: http://www.gupnp.org Priority: extra Section: debug Filename: pool/main/g/gupnp-av/libgupnp-av-1.0-dbg_0.10.3-1_armhf.deb Size: 94742 SHA256: 305bffc72228b2298f091c170225d3a95ed88d1c736a5a053453223043097dce SHA1: 239cac0f7e88f70d08cfcab1fd959b81057a0906 MD5sum: 9b5d4fb599e322609184f19e414c76e0 Description: Audio/Visual utility library for GUPnP (debug symbols) An audio/visual utility library for GUPnP, providing DIDL parser/writer and search criteria parser objects. . This package contains the debug symbols. Package: libgupnp-av-1.0-dev Source: gupnp-av Version: 0.10.3-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 402 Depends: libgupnp-av-1.0-2 (= 0.10.3-1), gir1.2-gupnp-av-1.0, libgupnp-1.0-dev (>= 0.10) Suggests: libgupnp-av-doc Replaces: gir-repository-dev (<= 0.6.5-5) Homepage: http://www.gupnp.org Priority: optional Section: libdevel Filename: pool/main/g/gupnp-av/libgupnp-av-1.0-dev_0.10.3-1_armhf.deb Size: 69040 SHA256: 5f99229ebe451ef55b766e604cd00b735a4012821ad689a2657ea3ed2c8b8bdc SHA1: 3a42158e2c4135f5a1d27a6468267ac728ef5f6d MD5sum: 6b932e7fb0ca6cd272502497da45cf08 Description: Audio/Visual utility library for GUPnP (development files) An audio/visual utility library for GUPnP, providing DIDL parser/writer and search criteria parser objects. . This package contains the development files. Package: libgupnp-av-doc Source: gupnp-av Version: 0.10.3-1 Installed-Size: 529 Maintainer: Ross Burton Architecture: all Depends: lynx | www-browser Recommends: libgupnp-doc Size: 53008 SHA256: 8dfb003c8561c4fd105e6901e0557c879e21c7f3f3195f616346831c382b3a7f SHA1: 6c467acc5bbd254026f12c9653516d22df1d4141 MD5sum: 57b5521fe6f6daf6ddd9536946825c5d Description: Audio/Visual utility library for GUPnP (documentation) An audio/visual utility library for GUPnP, providing DIDL parser/writer and search criteria parser objects. . This package contains the documentation. Homepage: http://www.gupnp.org Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gupnp-av/libgupnp-av-doc_0.10.3-1_all.deb Package: libgupnp-dlna-1.0-2 Source: gupnp-dlna Version: 0.6.6-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 178 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libgstreamer-plugins-base0.10-0 (>= 0.10.32), libgstreamer0.10-0 (>= 0.10.29.2), libxml2 (>= 2.7.4) Replaces: libgupnp-dlna-1.0-0 Homepage: http://www.gupnp.org Priority: optional Section: libs Filename: pool/main/g/gupnp-dlna/libgupnp-dlna-1.0-2_0.6.6-1_armhf.deb Size: 31190 SHA256: 95f89efc42875a7232e502dd2cb381e3ecdd9e1af122b85286dcb6e3b34287f8 SHA1: de0b6342b893ab6ad2b30a24e30aa238df067fa1 MD5sum: eb863f0f1c444c2242664ec6c73ee19d Description: DLNA utility library for GUPnP A small utility library that aims to ease the DLNA-related tasks such as media profile guessing, transcoding to a given profile, etc. Package: libgupnp-dlna-1.0-dbg Source: gupnp-dlna Version: 0.6.6-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 166 Depends: libgupnp-dlna-1.0-2 (= 0.6.6-1) Homepage: http://www.gupnp.org Priority: extra Section: debug Filename: pool/main/g/gupnp-dlna/libgupnp-dlna-1.0-dbg_0.6.6-1_armhf.deb Size: 53718 SHA256: dc629d2b33961d62286ea9079995f2bc3cbb9308d2a43d4bfa93ed8d18a2ec1f SHA1: 4c22ee0b2c41ae47c45ea8552980a24fd927ceca MD5sum: b4b0546b704a02ff790ad224e1cbe274 Description: DLNA utility library for GUPnP (debug symbols) A small utility library that aims to ease the DLNA-related tasks such as media profile guessing, transcoding to a given profile, etc. . This package contains the debug symbols. Package: libgupnp-dlna-1.0-dev Source: gupnp-dlna Version: 0.6.6-1 Architecture: armhf Maintainer: Ross Burton Installed-Size: 135 Depends: libgupnp-dlna-1.0-2 (= 0.6.6-1), libgstreamer-plugins-base0.10-dev Homepage: http://www.gupnp.org Priority: optional Section: libdevel Filename: pool/main/g/gupnp-dlna/libgupnp-dlna-1.0-dev_0.6.6-1_armhf.deb Size: 31466 SHA256: 99820c01893442dc7d96dd2c6cd4a082cf47b0e47e59d060b5f512b5290e0022 SHA1: 7ce62a598953edd82ca359c92802b50b269b1817 MD5sum: 9fc9ec36f2c7f5c0c29ec14f8d214502 Description: DLNA utility library for GUPnP (development files) A small utility library that aims to ease the DLNA-related tasks such as media profile guessing, transcoding to a given profile, etc. . This package contains the development files. Package: libgupnp-dlna-doc Source: gupnp-dlna Version: 0.6.6-1 Installed-Size: 132 Maintainer: Ross Burton Architecture: all Depends: lynx | www-browser Size: 21122 SHA256: fd10f479348b3d4f8c2edc4e7c25e5f28fff3b0cc68a36ab89eb3c3776f9b4ed SHA1: eab12ede147aa26176421850fbe018bef63908a1 MD5sum: e029fac93949cec04b1594166580baee Description: DLNA utility library for GUPnP (documentation) A small utility library that aims to ease the DLNA-related tasks such as media profile guessing, transcoding to a given profile, etc. . This package contains the documentation. Homepage: http://www.gupnp.org Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gupnp-dlna/libgupnp-dlna-doc_0.6.6-1_all.deb Package: libgupnp-doc Source: gupnp Version: 0.18.4-1 Installed-Size: 676 Maintainer: Ross Burton Architecture: all Depends: lynx | www-browser Recommends: libgssdp-doc Size: 86590 SHA256: 04c0eeb9890577fdadfac264c4eee2bcedefcdd71633292bea89ac72a823a55c SHA1: 39cbefc5e81cb8f41ecea8b3972ddf7c5c4e4301 MD5sum: 91434c7aa669a3ca67b6cfc26377ecde Description: GObject-based library for UPnP (documentation) A GObject-based API for doing UPnP transparently. . This package contains the documentation. Homepage: http://www.gupnp.org Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gupnp/libgupnp-doc_0.18.4-1_all.deb Package: libgupnp-igd-1.0-4 Source: gupnp-igd Version: 0.2.1-2 Architecture: armhf Maintainer: Laurent Bigonville Installed-Size: 67 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libgssdp-1.0-3 (>= 0.12.0), libgupnp-1.0-4 (>= 0.18.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.6.27) Multi-Arch: same Homepage: http://www.gupnp.org/ Priority: optional Section: libs Filename: pool/main/g/gupnp-igd/libgupnp-igd-1.0-4_0.2.1-2_armhf.deb Size: 24362 SHA256: b1db19aa39816035b78c9c6519829c51605f5ea07bd86f30048c4cc46d092628 SHA1: d2f6bef56ffa0c7d6399432f1c9eaf3ec2622b46 MD5sum: 64c12380ef7aa3e798fcbe5ed06ded23 Description: library to handle UPnP IGD port mapping A GObject-based API for controling UPnP Internet Gateway Devices port mapping. Package: libgupnp-igd-1.0-dbg Source: gupnp-igd Version: 0.2.1-2 Architecture: armhf Maintainer: Laurent Bigonville Installed-Size: 108 Depends: libgupnp-igd-1.0-4 (= 0.2.1-2) Multi-Arch: same Homepage: http://www.gupnp.org/ Priority: extra Section: debug Filename: pool/main/g/gupnp-igd/libgupnp-igd-1.0-dbg_0.2.1-2_armhf.deb Size: 35534 SHA256: dd7475af9cdf529963a2d8ce0acd06370299b3cf66f350a82638f5346bcdf924 SHA1: 422f80c65da329f9d04d83bc6f8d9ec657a7c198 MD5sum: 6b6591a476009798901a8c654443be74 Description: library to handle UPnP IGD port mapping - debug symbols A GObject-based API for controling UPnP Internet Gateway Devices port mapping. . This package contains the debug symbols. Package: libgupnp-igd-1.0-dev Source: gupnp-igd Version: 0.2.1-2 Architecture: armhf Maintainer: Laurent Bigonville Installed-Size: 118 Depends: libgupnp-igd-1.0-4 (= 0.2.1-2), libgupnp-1.0-dev (>= 0.13.2), libglib2.0-dev (>= 2.14), gir1.2-gupnpigd-1.0 (= 0.2.1-2) Homepage: http://www.gupnp.org/ Priority: optional Section: libdevel Filename: pool/main/g/gupnp-igd/libgupnp-igd-1.0-dev_0.2.1-2_armhf.deb Size: 28742 SHA256: 030a41e64cd02ebccd10f566496dcd2cb465edf731698fbf06924622bf414575 SHA1: c03401729ef13638770a1c9fe41f4329d41310c8 MD5sum: cf0e162eb0c2cc2daa3c666d476fe90e Description: library to handle UPnP IGD port mapping - development files A GObject-based API for controling UPnP Internet Gateway Devices port mapping. . This package contains the development files. Package: libgupnp-igd-1.0-doc Source: gupnp-igd Version: 0.2.1-2 Installed-Size: 94 Maintainer: Laurent Bigonville Architecture: all Size: 22940 SHA256: eaf1ec64b344450c0af300b0f17445c2020532e246fbd270bf2e285e4d52cf4b SHA1: 0c5f0e7127ea133dac153b502721cb373a8fa57b MD5sum: 0dd5404e51d32c572df574e0c84abb0d Description: library to handle UPnP IGD port mapping - documentation A GObject-based API for controling UPnP Internet Gateway Devices port mapping. . This package contains the documentation. Homepage: http://www.gupnp.org/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gupnp-igd/libgupnp-igd-1.0-doc_0.2.1-2_all.deb Package: libgusb-dev Source: libgusb Version: 0.1.3-5 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 111 Depends: libgusb2 (= 0.1.3-5), libgudev-1.0-dev, libusb-1.0-0-dev Homepage: http://www.hughski.com/downloads.html Priority: extra Section: libdevel Filename: pool/main/libg/libgusb/libgusb-dev_0.1.3-5_armhf.deb Size: 21626 SHA256: 1c851755f4099ba8f70393c50e2940b8ace5ff7ea700e3e2578bfaefad5fddeb SHA1: 00d61878bfee8e229097ea27ce91b397f355e48e MD5sum: c750158b0db1e966bbc0616b585b5f96 Description: GLib wrapper around libusb1 - development files GUsb is a GObject wrapper for libusb1 that makes it easy to do asynchronous control, bulk and interrupt transfers with proper cancellation and integration into a mainloop. . This package contains header files needed for compiling programs with GUsb. Package: libgusb-doc Source: libgusb Version: 0.1.3-5 Installed-Size: 213 Maintainer: Michal Čihař Architecture: all Depends: libgusb-dev Suggests: devhelp Size: 22496 SHA256: 88db96adb11cf551b3f59c05158f3b0c1888805e4b57584941bfe397d2eaaa21 SHA1: b60427662788aad8d577702fab184a5b2fee56ac MD5sum: b2ad65d4b18f801107fb15643447f926 Description: GLib wrapper around libusb1 - documentation GUsb is a GObject wrapper for libusb1 that makes it easy to do asynchronous control, bulk and interrupt transfers with proper cancellation and integration into a mainloop. . This package contains the documentation. Homepage: http://www.hughski.com/downloads.html Section: doc Priority: extra Filename: pool/main/libg/libgusb/libgusb-doc_0.1.3-5_all.deb Package: libgusb2 Source: libgusb Version: 0.1.3-5 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 63 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libgudev-1.0-0 (>= 146), libusb-1.0-0 (>= 2:1.0.8) Homepage: http://www.hughski.com/downloads.html Priority: extra Section: libs Filename: pool/main/libg/libgusb/libgusb2_0.1.3-5_armhf.deb Size: 16458 SHA256: 06827b57558771b69e9d70a44a2c94899dd8feb8d44c5fc737c0a9adefef7b64 SHA1: ccd7a29a3cf421a20c3425454a20318d9e7c146a MD5sum: d70fa44e89618b64bbf37dee1e646b33 Description: GLib wrapper around libusb1 GUsb is a GObject wrapper for libusb1 that makes it easy to do asynchronous control, bulk and interrupt transfers with proper cancellation and integration into a mainloop. . This package contains the GUsb shared library. Package: libgutenprint-dev Source: gutenprint Version: 5.2.9-1 Architecture: armhf Maintainer: Debian Printing Group Installed-Size: 284 Depends: libgutenprint2 (= 5.2.9-1), pkg-config Suggests: libgutenprint-doc (= 5.2.9-1) Priority: optional Section: libdevel Filename: pool/main/g/gutenprint/libgutenprint-dev_5.2.9-1_armhf.deb Size: 88392 SHA256: daeb5e4e7383183a42c917ab7af155cb5bcf679e313905202ad174856d22ef91 SHA1: 4962e2617e4a8c6a68bdad6c2fd2c6feb2a47df2 MD5sum: 77e89f963014c0b48b045f99162962f9 Description: development files for the Gutenprint printer driver library This package contains the header files for the Gutenprint library, along with a static version of libgutenprint. . Install this package if you wish to develop programs that use libgutenprint. . Gutenprint is the print facility for the GIMP, and in addition a suite of drivers that may be used with common UNIX spooling systems using GhostScript or CUPS. These drivers provide printing quality for UNIX/Linux on a par with proprietary vendor-supplied drivers in many cases, and can be used for many of the most demanding printing tasks. Gutenprint was formerly known as Gimp-Print. Package: libgutenprint-doc Source: gutenprint Version: 5.2.9-1 Installed-Size: 2383 Maintainer: Debian Printing Group Architecture: all Suggests: libgutenprint-dev (= 5.2.9-1) Size: 430444 SHA256: 0fd59864e61b189e327b1dcacb186c9d6adb8a4c3371a0b0baee73da7c7c69aa SHA1: 6244bf1c6f36a95957a7adb4bb5c111596590c41 MD5sum: 7504fc099fe8305ee48c9b906f6217df Description: documentation for the Gutenprint printer driver library This package contains lots of info-files, DVI docs and other documentation about the Gutenprint library for photo-quality printing. . Install this package if you want to have lots of info about the Gutenprint library when you're programming. . Gutenprint is the print facility for the GIMP, and in addition a suite of drivers that may be used with common UNIX spooling systems using GhostScript or CUPS. These drivers provide printing quality for UNIX/Linux on a par with proprietary vendor-supplied drivers in many cases, and can be used for many of the most demanding printing tasks. Gutenprint was formerly known as Gimp-Print. Tag: devel::doc, hardware::printer, role::documentation Section: doc Priority: optional Filename: pool/main/g/gutenprint/libgutenprint-doc_5.2.9-1_all.deb Package: libgutenprint2 Source: gutenprint Version: 5.2.9-1 Architecture: armhf Maintainer: Debian Printing Group Installed-Size: 6793 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Suggests: gutenprint-locales (>= 5.2.9-1) Priority: optional Section: libs Filename: pool/main/g/gutenprint/libgutenprint2_5.2.9-1_armhf.deb Size: 1168566 SHA256: ec46ee7fa4eb19ccab9bdbb78d10b58c944c7af30f4a666b626aa8a33a2b1953 SHA1: 4a37e10b04bb1933a2d391ebd9b7482e63d91bd3 MD5sum: cb670e206906c1d0eb826572489e880d Description: runtime for the Gutenprint printer driver library This package includes the Gutenprint shared library, needed to run programs using Gutenprint drivers. . Gutenprint is the print facility for the GIMP, and in addition a suite of drivers that may be used with common UNIX spooling systems using GhostScript or CUPS. These drivers provide printing quality for UNIX/Linux on a par with proprietary vendor-supplied drivers in many cases, and can be used for many of the most demanding printing tasks. Gutenprint was formerly known as Gimp-Print. Package: libgutenprintui2-1 Source: gutenprint Version: 5.2.9-1 Architecture: armhf Maintainer: Debian Printing Group Installed-Size: 265 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Recommends: gutenprint-locales (>= 5.2.9-1) Priority: optional Section: libs Filename: pool/main/g/gutenprint/libgutenprintui2-1_5.2.9-1_armhf.deb Size: 128956 SHA256: 5d401140b3727fd5eb2f901a2d2addce1e9b37245f4f934584be8023d1c4c204 SHA1: 24ac5e0d63c3e819f74c35e466304e8c0805dbc4 MD5sum: ed4a0b1f48a1fced1a236a0588a2edff Description: runtime for the Gutenprint printer driver user interface library This package includes the libgutenprintui library, necessary to run gimp-gutenprint. libgutenprintui contains GTK+ widgets which may be used for print dialogs etc. for use with libgutenprint. . Gutenprint is the print facility for the GIMP, and in addition a suite of drivers that may be used with common UNIX spooling systems using GhostScript or CUPS. These drivers provide printing quality for UNIX/Linux on a par with proprietary vendor-supplied drivers in many cases, and can be used for many of the most demanding printing tasks. Gutenprint was formerly known as Gimp-Print. Package: libgutenprintui2-dev Source: gutenprint Version: 5.2.9-1 Architecture: armhf Maintainer: Debian Printing Group Installed-Size: 94 Depends: libgutenprintui2-1 (= 5.2.9-1), pkg-config Suggests: libgutenprint-doc (= 5.2.9-1) Priority: optional Section: libdevel Filename: pool/main/g/gutenprint/libgutenprintui2-dev_5.2.9-1_armhf.deb Size: 42702 SHA256: 60978d173d646672d8b5312873b7ad630c62bb2ec796c4590f9476021bf9e581 SHA1: 01b250ed9c5c49485cf5d84b7f5401544f49455c MD5sum: 224f24b5fe287a562884524d9a25e9eb Description: development files for the Gutenprint printer driver user interface library This package contains the header files for the libgutenprintui library, along with a static version of libgutenprintui. libgutenprintui contains GTK+ widgets which may be used for print dialogs etc. for use with libgutenprint. . Install this package if you wish to develop packages that use libgutenprintui. . Gutenprint is the print facility for the GIMP, and in addition a suite of drivers that may be used with common UNIX spooling systems using GhostScript or CUPS. These drivers provide printing quality for UNIX/Linux on a par with proprietary vendor-supplied drivers in many cases, and can be used for many of the most demanding printing tasks. Gutenprint was formerly known as Gimp-Print. Package: libguytools1 Version: 1.1.1-1.1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 105 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://sourceforge.net/projects/libguytools/ Priority: optional Section: libs Filename: pool/main/libg/libguytools1/libguytools1_1.1.1-1.1_armhf.deb Size: 37262 SHA256: f59a8e1b83698148a135acbe9a7e575b950e9dd5d5c3728ed89bd7e9978364bc SHA1: 1f9fd733a05453840246b7d8db3f5a5998b7f7e9 MD5sum: 51da9b9be4a714074ace5e20ae5646c6 Description: libguytools is a small programming toolbox Includes modules for configuration file handling, error handling, logging and system information retrieval. A signal handler enables applications to do stack backtracing in case of segmentation faults. Package: libguytools1-dev Source: libguytools1 Version: 1.1.1-1.1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 83 Depends: libguytools1 (= 1.1.1-1.1) Homepage: http://sourceforge.net/projects/libguytools/ Priority: optional Section: libdevel Filename: pool/main/libg/libguytools1/libguytools1-dev_1.1.1-1.1_armhf.deb Size: 8706 SHA256: ddb18b2d985a92c662555a95293ffab3b047352c8f01e473cf8507c4479d7bfb SHA1: aea7b34a2a9a13090b2092d3415bbeaa20ef00f2 MD5sum: 2854b0042b59c3495e20f80324da54c6 Description: development files for libguytools being a small programming toolbox Includes modules for configuration file handling, error handling, logging and system information retrieval. A signal handler enables applications to do stack backtracing in case of segmentation faults. This package provides the development files for libguytools1. Package: libguytools2 Version: 2.0.1-1.1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 92 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0) Homepage: http://sourceforge.net/projects/libguytools/ Priority: optional Section: libs Filename: pool/main/libg/libguytools2/libguytools2_2.0.1-1.1_armhf.deb Size: 32616 SHA256: 06281d2249e470cef98e508e305eacfb939fb6bc235644d034e9d0db375afdc4 SHA1: e09a7cc507294202bd7236fcb726ddaca296ec50 MD5sum: fe5a3e5084c2513996eea12ded2d5b5c Description: libguytools is a small programming toolbox Includes modules for configuration file handling, error handling, logging and system information retrieval. A signal handler enables applications to do stack backtracing in case of segmentation faults. Package: libguytools2-dev Source: libguytools2 Version: 2.0.1-1.1 Architecture: armhf Maintainer: Debian Forensics Installed-Size: 62 Depends: libguytools2 (= 2.0.1-1.1) Homepage: http://sourceforge.net/projects/libguytools/ Priority: optional Section: libdevel Filename: pool/main/libg/libguytools2/libguytools2-dev_2.0.1-1.1_armhf.deb Size: 8176 SHA256: 340da2d88954e2ba00e63c42dba0f2bd5ed377fb4936c48f758de547165473f1 SHA1: c81e1a6c74d739598d1ece914eaa7a6f21f0a09b MD5sum: 1eea0896bdcfa36ffc8541dff3b18b94 Description: development files for libguytools being a small programming toolbox Includes modules for configuration file handling, error handling, logging and system information retrieval. A signal handler enables applications to do stack backtracing in case of segmentation faults. This package provides the development files for libguytools2. Package: libgv-guile Source: graphviz Version: 2.26.3-14+deb7u2 Architecture: armhf Maintainer: David Claughton Installed-Size: 155 Depends: libc6 (>= 2.13-28), libcdt4, libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgraph4, libgvc5, libltdl7 (>= 2.4.2), libpathplan4, libstdc++6 (>= 4.1.1), libxdot4, zlib1g (>= 1:1.1.4) Homepage: http://www.graphviz.org/ Priority: optional Section: interpreters Filename: pool/main/g/graphviz/libgv-guile_2.26.3-14+deb7u2_armhf.deb Size: 67894 SHA256: 062b7d579e51a088e252e42bc3ae463513a2c8db111765764e9277ff89281e49 SHA1: 7c3bfa5d12bbce604023181d3088af981399bdc9 MD5sum: fcf2d6723421cc61e4f1220cbd8e9f62 Description: Guile bindings for graphviz Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package contains the guile (1.8) bindings. Package: libgv-lua Source: graphviz Version: 2.26.3-14+deb7u2 Architecture: armhf Maintainer: David Claughton Installed-Size: 206 Depends: libc6 (>= 2.13-28), libcdt4, libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgraph4, libgvc5, libltdl7 (>= 2.4.2), liblua5.1-0, libpathplan4, libstdc++6 (>= 4.1.1), libxdot4, zlib1g (>= 1:1.1.4) Homepage: http://www.graphviz.org/ Priority: optional Section: interpreters Filename: pool/main/g/graphviz/libgv-lua_2.26.3-14+deb7u2_armhf.deb Size: 82824 SHA256: f3acfa081d8ae6d41764d284e983950ea48984fafb787159d66bdecb81b980bb SHA1: 3ea3a6c0f201c1a8c71b17b19f2c3695b46f909f MD5sum: 281393d400ea1af38531ecf0a783b639 Description: Lua bindings for graphviz Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package contains the lua5.1 bindings. Package: libgv-perl Source: graphviz Version: 2.26.3-14+deb7u2 Architecture: armhf Maintainer: David Claughton Installed-Size: 229 Depends: perl (>= 5.14.2-21+rpi2+deb7u1), perlapi-5.14.2, libc6 (>= 2.13-28), libcdt4, libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgraph4, libgvc5, libltdl7 (>= 2.4.2), libpathplan4, libperl5.14 (>= 5.14.2), libstdc++6 (>= 4.1.1), libxdot4, zlib1g (>= 1:1.1.4) Conflicts: graphviz (<< 2.12-1) Homepage: http://www.graphviz.org/ Priority: optional Section: perl Filename: pool/main/g/graphviz/libgv-perl_2.26.3-14+deb7u2_armhf.deb Size: 89592 SHA256: eb1ba30e1609f41bbe6bd6f584dfcc985f750d0a27b26190a4ff4eba0725af81 SHA1: 052c51df5256500630044ef9eb2885f5974ce355 MD5sum: 11888efb73256f633b2ebad797970be3 Description: Perl bindings for graphviz Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package contains the Perl bindings. Package: libgv-php5 Source: graphviz Version: 2.26.3-14+deb7u2 Architecture: armhf Maintainer: David Claughton Installed-Size: 201 Depends: libc6 (>= 2.13-28), libcdt4, libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgraph4, libgvc5, libltdl7 (>= 2.4.2), libpathplan4, libstdc++6 (>= 4.1.1), libxdot4, zlib1g (>= 1:1.1.4), phpapi-20100525+lfs Homepage: http://www.graphviz.org/ Priority: optional Section: php Filename: pool/main/g/graphviz/libgv-php5_2.26.3-14+deb7u2_armhf.deb Size: 75680 SHA256: de7acefe9d2c038000d7143ce38e20790164e84dbcac216f87f03afba837219f SHA1: 4f27e903ac787b0538209384d79c0647241f248d MD5sum: 893e6a508b8d9781c1755a9c69cf0e24 Description: PHP5 bindings for graphviz Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package contains the PHP5 bindings. Package: libgv-python Source: graphviz Version: 2.26.3-14+deb7u2 Architecture: armhf Maintainer: David Claughton Installed-Size: 274 Depends: libc6 (>= 2.13-28), libcdt4, libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgraph4, libgvc5, libltdl7 (>= 2.4.2), libpathplan4, libstdc++6 (>= 4.3.0), libxdot4, zlib1g (>= 1:1.1.4), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Homepage: http://www.graphviz.org/ Priority: optional Section: python Filename: pool/main/g/graphviz/libgv-python_2.26.3-14+deb7u2_armhf.deb Size: 105450 SHA256: 16c47ee26d31d90a057c92126774c93a2b0899a868a547481dfbb4f985781f77 SHA1: c9536d6775dc5166f676c1ca8ffffc26f6426f14 MD5sum: 060178a1e339c3b4d8206263a5fd1141 Description: Python bindings for graphviz Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package contains the Python bindings. Package: libgv-ruby Source: graphviz Version: 2.26.3-14+deb7u2 Architecture: armhf Maintainer: David Claughton Installed-Size: 171 Depends: libc6 (>= 2.13-28), libcdt4, libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgraph4, libgvc5, libltdl7 (>= 2.4.2), libpathplan4, libruby1.8 (>= 1.8.7.357-1), libstdc++6 (>= 4.1.1), libxdot4, zlib1g (>= 1:1.1.4), ruby1.8 Homepage: http://www.graphviz.org/ Priority: optional Section: ruby Filename: pool/main/g/graphviz/libgv-ruby_2.26.3-14+deb7u2_armhf.deb Size: 73262 SHA256: 0081a05edbcf523246ea5e03bc1fd5915c2da1efb56d4be83cb879b6e55ba612 SHA1: 9bf6cb668adcae2adf3a19256091f13cb7156596 MD5sum: a6b613553524c23bf3a9f0c405982a89 Description: Ruby bindings for graphviz Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package contains the Ruby (1.8) bindings. Package: libgv-tcl Source: graphviz Version: 2.26.3-14+deb7u2 Architecture: armhf Maintainer: David Claughton Installed-Size: 1205 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcdt4, libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libglib2.0-0 (>= 2.12.0), libgraph4, libgvc5, libjpeg8 (>= 8c), libltdl7 (>= 2.4.2), libpango1.0-0 (>= 1.14.0), libpathplan4, libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.1.1), libxdot4, tk8.5 (>= 8.5.0), zlib1g (>= 1:1.1.4) Conflicts: graphviz (<< 2.12-1) Homepage: http://www.graphviz.org/ Priority: optional Section: interpreters Filename: pool/main/g/graphviz/libgv-tcl_2.26.3-14+deb7u2_armhf.deb Size: 590654 SHA256: e59d67692a24776dc69d12d484cfe8bac4551943f90bdb519a73d36ae652d34e SHA1: a5767557afab61931795cc7125ba9c7965c21ba5 MD5sum: 554e171287b34f3927b097338386099a Description: Tcl bindings for graphviz Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package contains the Tcl (8.5) bindings. Package: libgvc5 Source: graphviz Version: 2.26.3-14+deb7u2 Architecture: armhf Maintainer: David Claughton Installed-Size: 973 Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcdt4, libexpat1 (>= 2.0.1), libfreetype6 (>= 2.2.1), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libglib2.0-0 (>= 2.12.0), libgraph4, libjpeg8 (>= 8c), libltdl7 (>= 2.4.2), libpango1.0-0 (>= 1.14.0), libpathplan4, libpng12-0 (>= 1.2.13-4), libx11-6, libxdot4, zlib1g (>= 1:1.1.4) Conflicts: libgraphviz4 Homepage: http://www.graphviz.org/ Priority: optional Section: libs Filename: pool/main/g/graphviz/libgvc5_2.26.3-14+deb7u2_armhf.deb Size: 484938 SHA256: 57d6e34012da56f0835ddcd70e43666995d7d9183a7d2553ea21a5521cd181ad SHA1: eb881dccdac300b0c931c61dc072f8158b8fdec5 MD5sum: a4deb2c1023522ab0e75443c01ec2662 Description: rich set of graph drawing tools - gvc library Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package contains the gvc library. Package: libgvc5-plugins-gtk Source: graphviz Version: 2.26.3-14+deb7u2 Architecture: armhf Maintainer: David Claughton Installed-Size: 125 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcdt4, libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgraph4, libgtk2.0-0 (>= 2.8.0), libgvc5, libltdl7 (>= 2.4.2), libpango1.0-0 (>= 1.14.0), libpathplan4, libxdot4, zlib1g (>= 1:1.1.4) Conflicts: libgraphviz4 Homepage: http://www.graphviz.org/ Priority: optional Section: libs Filename: pool/main/g/graphviz/libgvc5-plugins-gtk_2.26.3-14+deb7u2_armhf.deb Size: 61398 SHA256: e426d7ce900f5a7c16fdfc90c75a1c4a999b1676458702f09f9e0bdbb1ec1762 SHA1: 5dcc85d5b55cbd69c42684cc66b3241eec1ebeeb MD5sum: 6c302aee4aa5447590fa178746b21006 Description: rich set of graph drawing tools - gtk plugins Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package contains the gtk and gdk-pixbuf plugins. Package: libgvnc-1.0-0 Source: gtk-vnc Version: 0.5.0-3.1 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 176 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0), libgpg-error0 (>= 1.10), libpulse0 (>= 0.99.1), libsasl2-2 (>= 2.1.24), zlib1g (>= 1:1.1.4) Replaces: libgtk-vnc-1.0-0 (<= 0.4.3-1) Priority: optional Section: libs Filename: pool/main/g/gtk-vnc/libgvnc-1.0-0_0.5.0-3.1_armhf.deb Size: 79190 SHA256: 30101045ef20dcf6bde3d230bcdbc3cab00e65f31eeb41508f82863afa9bbfc0 SHA1: 16bdebae3e067691b5a6aab0aee9bf5935fb65d2 MD5sum: f0bfc58799bd4e114fc74de430986e0f Description: VNC gobject wrapper (runtime libraries) It is built using coroutines, allowing it to be completely asynchronous while remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the VeNCrypt authentication extension providing SSL/TLS encryption with x509 certificate authentication. . The core library is written in C and a binding for Python using PyGTK is available. The networking layer supports connections over both IPv4 and IPv6. . This package contains the shared library. Package: libgvnc-1.0-0-dbg Source: gtk-vnc Version: 0.5.0-3.1 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 373 Depends: libgvnc-1.0-0 (= 0.5.0-3.1) Breaks: libgtk-vnc-1.0-0-dbg (<< 0.4.3-2) Replaces: libgtk-vnc-1.0-0-dbg (<< 0.4.3-2) Priority: extra Section: debug Filename: pool/main/g/gtk-vnc/libgvnc-1.0-0-dbg_0.5.0-3.1_armhf.deb Size: 147216 SHA256: a3b2c684cbdf907cf21d4cfcdc9dcfd1af51cc44f6113c6e4c1f65a9206bc4e5 SHA1: dbdea491e52b97cd4b2595c2cf369d5340ef926d MD5sum: ef31b70299e08813b253d8b3318824d0 Description: VNC gobject wrapper (debugging symbols) It is built using coroutines, allowing it to be completely asynchronous while remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the VeNCrypt authentication extension providing SSL/TLS encryption with x509 certificate authentication. . The core library is written in C and a binding for Python using PyGTK is available. The networking layer supports connections over both IPv4 and IPv6. . This package contains the debugging symbols. Package: libgvnc-1.0-dev Source: gtk-vnc Version: 0.5.0-3.1 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 221 Depends: libgvnc-1.0-0 (= 0.5.0-3.1), libglib2.0-dev, libgnutls-dev (>= 1.4.0), libcairo2-dev (>= 1.2.0) Replaces: libgtk-vnc-1.0-dev (<= 0.4.3-1) Priority: optional Section: libdevel Filename: pool/main/g/gtk-vnc/libgvnc-1.0-dev_0.5.0-3.1_armhf.deb Size: 43804 SHA256: addbdece4d3d4d94e2f0b3bf1e80f9c3f127417154a96df00cdbf72dc42a42d5 SHA1: 139b5cdf0a2b8a8378a17240e9779374f269f28d MD5sum: 8e7295dec5a7f29df15144bcaf9df4e6 Description: VNC GObject wrapper (development files) It is built using coroutines, allowing it to be completely asynchronous while remaining single threaded. It supports RFB protocols 3.3 through 3.8 and the VeNCrypt authentication extension providing SSL/TLS encryption with x509 certificate authentication. . The core library is written in C and a binding for Python using PyGTK is available. The networking layer supports connections over both IPv4 and IPv6. . This package contains the development headers and Vala bindings. Package: libgvpr1 Source: graphviz Version: 2.26.3-14+deb7u2 Architecture: armhf Maintainer: David Claughton Installed-Size: 485 Depends: libc6 (>= 2.13-28), libcdt4, libcgraph5, libgcc1 (>= 1:4.4.0), libltdl7 (>= 2.4.2) Conflicts: libgraphviz4 Homepage: http://www.graphviz.org/ Priority: optional Section: libs Filename: pool/main/g/graphviz/libgvpr1_2.26.3-14+deb7u2_armhf.deb Size: 232722 SHA256: 8998f70d2f43728e4522c6eb53c74d328404ca62c5c7581a7763d77ac6022734 SHA1: 64c044bad4b7ef4e5f268109f7631c87f8989487 MD5sum: c6be9c818aed610451e78bdb7e76bf57 Description: rich set of graph drawing tools - gvpr library Graphviz is a set of graph drawing tools. See the description of the graphviz package for a full description. . This package contains the gvpr library. Package: libgweather-3-0 Source: libgweather Version: 3.4.1-1+build1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 144 Depends: gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk-3-0 (>= 3.0.0), libsoup-gnome2.4-1 (>= 2.27.4), libsoup2.4-1 (>= 2.24.3), libxml2 (>= 2.7.4), libgweather-common (>= 2.24.0) Priority: optional Section: libs Filename: pool/main/libg/libgweather/libgweather-3-0_3.4.1-1+build1_armhf.deb Size: 57342 SHA256: a8f3e30dd17fb0e2594acaacfede9d5cccc82460a7be6de688d5c6b6e9e407a1 SHA1: b8bc8692eae2f814ac1093e430bc032929561bd6 MD5sum: 7766edf627fd5dd65655dc11d3ab4406 Description: GWeather shared library libgweather is a library to access weather information from online services for numerous locations. . This package contains shared libraries. Package: libgweather-3-dev Source: libgweather Version: 3.4.1-1+build1 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 299 Depends: libgweather-3-0 (= 3.4.1-1+build1), gir1.2-gweather-3.0 (= 3.4.1-1+build1), libxml2-dev (>= 2.6.0), libglib2.0-dev (>= 2.13.0), libgtk-3-dev (>= 3.0.0), libsoup-gnome2.4-dev (>= 2.25.1), libgconf2-dev (>= 2.8.0) Priority: optional Section: libdevel Filename: pool/main/libg/libgweather/libgweather-3-dev_3.4.1-1+build1_armhf.deb Size: 78988 SHA256: 86481769cd594851a4f93b07c39bd06165cb331dd3480e2a0fc2ae23367b2273 SHA1: a2c51f483a08b7455e34cd20b178fcadc4103402 MD5sum: d0090011d7d726652704dee5d1da6a4d Description: GWeather development files libgweather is a library to access weather information from online services for numerous locations. . This package contains the include files and static library. Package: libgweather-common Source: libgweather Version: 3.4.1-1+build1 Installed-Size: 20353 Maintainer: Debian GNOME Maintainers Architecture: all Depends: gconf2 (>= 2.28.1-2) Conflicts: libgweather1 (<< 2.22.2-2) Size: 14764146 SHA256: 0473e6c1104dbe405bdf785a9695ec7d047f90d811886d6476aa5c453b7d7ff0 SHA1: 9665423e948ca7ca58643f519f0702dd3df2052b MD5sum: c37e04927bc446ed12817e33ec006743 Description: GWeather common files libgweather is a library to access weather information from online services for numerous locations. . This package contains the weather locations. Tag: made-of::xml, role::app-data, suite::gnome Section: libs Priority: optional Filename: pool/main/libg/libgweather/libgweather-common_3.4.1-1+build1_all.deb Package: libgwengui-fox16-0 Source: libgwenhywfar Version: 4.3.3-1+deb7u1 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 145 Depends: libc6 (>= 2.13-28), libfox-1.6-0, libgcc1 (>= 1:4.4.0), libgwenhywfar60 (>= 3.99.16), libstdc++6 (>= 4.6) Priority: optional Section: libs Filename: pool/main/libg/libgwenhywfar/libgwengui-fox16-0_4.3.3-1+deb7u1_armhf.deb Size: 65008 SHA256: 724df9f47e1b604069801741ab367946a9ea39d76b22f628cc1d25e3254b717d SHA1: 6bddaa7a5a88cb5e614f96a0d3a3e80315cd02a6 MD5sum: e9ad257716086ae30e4e11cb3b11c800 Description: Gwenhywfar GUI implementation for the FOX Toolkit Gwenhywfar allows porting of your software to different operating systems like Linux, *BSD, Windows etc. It also provides some often needed modules such as configuration file handling, simple XML file parsing, IPC etc. . This package contains a Gwenhywfar GUI implementation for the FOX Toolkit. Package: libgwengui-gtk2-0 Source: libgwenhywfar Version: 4.3.3-1+deb7u1 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 86 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.18.0), libgwenhywfar60 (>= 3.99.16), libpango1.0-0 (>= 1.14.0) Priority: optional Section: libs Filename: pool/main/libg/libgwenhywfar/libgwengui-gtk2-0_4.3.3-1+deb7u1_armhf.deb Size: 40126 SHA256: 0cdb2f849b11e250db404d806f9062b9fb6241e913ee88b338afa1dae0e199c9 SHA1: a434a3ec7419bfcf4eb1232bfabb945203e901e8 MD5sum: 5d81363cf6fefc1260bc69dfe6eccfe8 Description: Gwenhywfar GUI implementation for GTK2 Gwenhywfar allows porting of your software to different operating systems like Linux, *BSD, Windows etc. It also provides some often needed modules such as configuration file handling, simple XML file parsing, IPC etc. . This package contains a Gwenhywfar GUI implementation for GTK2. Package: libgwengui-qt4-0 Source: libgwenhywfar Version: 4.3.3-1+deb7u1 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 114 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgwenhywfar60 (>= 3.99.16), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6) Priority: optional Section: libs Filename: pool/main/libg/libgwenhywfar/libgwengui-qt4-0_4.3.3-1+deb7u1_armhf.deb Size: 50960 SHA256: 63da7b2b0d109287b06215302c33d97163538d36c219fb7f117bb1560cc91856 SHA1: 963578952b6d6e1390de15d79053d6225a25b9e9 MD5sum: 6ce34f9a64000d1ddafda71dec490208 Description: Gwenhywfar GUI implementation for Qt Gwenhywfar allows porting of your software to different operating systems like Linux, *BSD, Windows etc. It also provides some often needed modules such as configuration file handling, simple XML file parsing, IPC etc. . This package contains a Gwenhywfar GUI implementation for Qt. Package: libgwenhywfar-data Source: libgwenhywfar Version: 4.3.3-1+deb7u1 Installed-Size: 128 Maintainer: Micha Lenk Architecture: all Depends: ca-certificates Size: 26234 SHA256: 47e2f2f4903efb0fcdd73eb0e14a83e273dc3000b5b2a0ca498eba3bdc325439 SHA1: 57bedde75d96f2a1bb1b85b2750f04a1e6298769 MD5sum: c06ca4f2c353b5c03c691e8224010850 Description: OS abstraction layer - data files Gwenhywfar allows porting of your software to different operating systems like Linux, *BSD, Windows etc. It also provides some often needed modules such as configuration file handling, simple XML file parsing, IPC etc. . This package contains data files needed by Gwenhywfar. Recommends: libgwenhywfar60 Section: libs Priority: optional Filename: pool/main/libg/libgwenhywfar/libgwenhywfar-data_4.3.3-1+deb7u1_all.deb Package: libgwenhywfar-doc Source: libgwenhywfar Version: 4.3.3-1+deb7u1 Installed-Size: 38955 Maintainer: Micha Lenk Architecture: all Size: 4209320 SHA256: 8015a1796c25ad6cb2bb27dce7324a8f629999ddd025bf16e57fa6c8b09ef5e1 SHA1: a02b44cb098a848242a7e880d5c73c3351bfeb1e MD5sum: 86ffd3cafb6ae04a6c9b8bec5ffac217 Description: OS abstraction layer (development files) Gwenhywfar allows porting of your software to different operating systems like Linux, *BSD, Windows etc. It also provides some often needed modules such as configuration file handling, simple XML file parsing, IPC etc. . This package contains the API documentation. Enhances: libgwenhywfar-dev Section: doc Priority: optional Filename: pool/main/libg/libgwenhywfar/libgwenhywfar-doc_4.3.3-1+deb7u1_all.deb Package: libgwenhywfar60 Source: libgwenhywfar Version: 4.3.3-1+deb7u1 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 1121 Depends: libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgwenhywfar-data (>= 4.3.3-1+deb7u1) Suggests: gwenhywfar-tools, libgwenhywfar60-dbg Priority: optional Section: libs Filename: pool/main/libg/libgwenhywfar/libgwenhywfar60_4.3.3-1+deb7u1_armhf.deb Size: 440932 SHA256: 99e3744188651f34a24c29aff646ffcba86a7f8070a5f3b8de6d33ae488db670 SHA1: 866924c1ddd18f836c028c60ddc0e8ee55cdedcc MD5sum: b871b387cd04d85a0ab50e0f4d03ed5c Description: OS abstraction layer Gwenhywfar allows porting of your software to different operating systems like Linux, *BSD, Windows etc. It also provides some often needed modules such as configuration file handling, simple XML file parsing, IPC etc. Package: libgwenhywfar60-dbg Source: libgwenhywfar Version: 4.3.3-1+deb7u1 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 3700 Depends: libgwenhywfar60 (= 4.3.3-1+deb7u1), gwenhywfar-tools (= 4.3.3-1+deb7u1) Conflicts: libgwenhywfar-dbg Provides: libgwenhywfar-dbg Priority: extra Section: debug Filename: pool/main/libg/libgwenhywfar/libgwenhywfar60-dbg_4.3.3-1+deb7u1_armhf.deb Size: 1355808 SHA256: 878fa2fd7b5a55e0757d585c76491ab55f8537cd61383e5b055f92268a8f0e6e SHA1: e6be10b76f654890c27a3baa0d6947be3ef70528 MD5sum: f1da748e80d39651d53027f2fe15862f Description: OS abstraction layer - debug symbols Gwenhywfar allows porting of your software to different operating systems like Linux, *BSD, Windows etc. It also provides some often needed modules such as configuration file handling, simple XML file parsing, IPC etc. . This package contains debug symbols needed for debugging Gwenhywfar. Package: libgwenhywfar60-dev Source: libgwenhywfar Version: 4.3.3-1+deb7u1 Architecture: armhf Maintainer: Micha Lenk Installed-Size: 710 Depends: libgwenhywfar60 (= 4.3.3-1+deb7u1), gwenhywfar-tools (>= 4.3.3-1+deb7u1), libgwengui-fox16-0 (= 4.3.3-1+deb7u1), libgwengui-qt4-0 (= 4.3.3-1+deb7u1), libgwengui-gtk2-0 (= 4.3.3-1+deb7u1) Suggests: libgwenhywfar-doc Conflicts: libgwenhywfar-dev Provides: libgwenhywfar-dev Priority: optional Section: libdevel Filename: pool/main/libg/libgwenhywfar/libgwenhywfar60-dev_4.3.3-1+deb7u1_armhf.deb Size: 146356 SHA256: f2587979f0ebbc80156c8e5906c74a9279537e2d227fe46aa3f02fd343a5c0ac SHA1: 7013fae101dc4969a6b5b7e1f43fc53df8a752ca MD5sum: 1e33d56129c9a806927137d90ac9d44f Description: OS abstraction layer (development files) Gwenhywfar allows porting of your software to different operating systems like Linux, *BSD, Windows etc. It also provides some often needed modules such as configuration file handling, simple XML file parsing, IPC etc. . This package contains the development files. Package: libgwrap-runtime-dev Source: g-wrap Version: 1.9.14-1.1 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 154 Depends: libgwrap-runtime2 (= 1.9.14-1.1), guile-1.8-dev, libffi-dev, libc6-dev Conflicts: libgwrap-runtime0-dev, libgwrapguile-dev Replaces: libgwrapguile1 (<< 1.3.4-13) Homepage: http://www.nongnu.org/g-wrap/ Priority: optional Section: libdevel Filename: pool/main/g/g-wrap/libgwrap-runtime-dev_1.9.14-1.1_armhf.deb Size: 43960 SHA256: c1ea92e3080e8f4a9b1e0c8a37c253dae9f3e3c3197b08d8248371fad05a70d0 SHA1: f04252722e1f461eedce7c1b5b81eac212b16b8e MD5sum: 292aaf28252e0bfc67e9ae3a72f1eb64 Description: scripting interface generator for C - development files G-Wrap is a tool (and Guile library) for generating function wrappers for inter-language calls. It currently only supports generating Guile wrappers for C functions. . This package contains the development files for the runtime shared libraries. Package: libgwrap-runtime2 Source: g-wrap Version: 1.9.14-1.1 Architecture: armhf Maintainer: Andreas Rottmann Installed-Size: 97 Depends: guile-1.8-libs, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgmp10, libltdl7 (>= 2.4.2) Homepage: http://www.nongnu.org/g-wrap/ Priority: optional Section: libs Filename: pool/main/g/g-wrap/libgwrap-runtime2_1.9.14-1.1_armhf.deb Size: 36540 SHA256: 06606ab1700fedfd56ea77494319cac5649782d7ed1b6fdad882d33f3d1db606 SHA1: abbbccb54abdd433f88e146130ee190e41b428d1 MD5sum: 479f4181f2527849ce3123abcd98ba45 Description: scripting interface generator for C - runtime G-Wrap is a tool (and Guile library) for generating function wrappers for inter-language calls. It currently only supports generating Guile wrappers for C functions. . This package contains the runtime shared library. Package: libgwyddion2-0 Source: gwyddion Version: 2.28-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 1486 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.24.0), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.8.0), libgtkglext1, libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxmu6, libxt6, gwyddion-common (= 2.28-2) Suggests: libgwyddion20-doc Homepage: http://gwyddion.net/ Priority: optional Section: libs Filename: pool/main/g/gwyddion/libgwyddion2-0_2.28-2_armhf.deb Size: 696916 SHA256: 0772c8b569713538783e784da9237c325a5138ce879160d4f6017b51ff8bb7ee SHA1: a13371e2fe84eb924b2437aa6b441f689e1fb68e MD5sum: ec8042dcb7d18e21249ddbfc8c047dfd Description: libraries for Gwyddion SPM analysis tool Gwyddion is a modular program for Scanning Probe Microscopy (SPM) data visualization and analysis. It is primarily intended for analysis of height field data obtained by microscopy techniques like * Atomic Force Microscopy (AFM), * Magnetic Force Microscopy (MFM), * Scanning Tunneling Microscopy (STM), * Near-field Scanning Optical Microscopy (SNOM or NSOM) and others. However, it can be used for arbitrary height field and image analysis. . This library package contains all the Gwyddion libraries. Package: libgwyddion20-dev Source: gwyddion Version: 2.28-2 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 700 Depends: libgwyddion2-0 (= 2.28-2) Suggests: libgwyddion20-doc Homepage: http://gwyddion.net/ Priority: optional Section: libdevel Filename: pool/main/g/gwyddion/libgwyddion20-dev_2.28-2_armhf.deb Size: 125062 SHA256: e978a45774fae27be0270c69a2468e87c02a5cc30a1fa278feec2907bd03cb17 SHA1: 50a20bf2b2c54bfda95088986f6999562e015cea MD5sum: f5edba8b6fc94b7ce882bc5b158583c2 Description: header files for Gwyddion SPM analysis tool Gwyddion is a modular program for Scanning Probe Microscopy (SPM) data visualization and analysis. It is primarily intended for analysis of height field data obtained by microscopy techniques like * Atomic Force Microscopy (AFM), * Magnetic Force Microscopy (MFM), * Scanning Tunneling Microscopy (STM), * Near-field Scanning Optical Microscopy (SNOM or NSOM) and others. However, it can be used for arbitrary height field and image analysis. . This package contains the header files for libgwyddion2-0. Package: libgwyddion20-doc Source: gwyddion Version: 2.28-2 Installed-Size: 5699 Maintainer: Debian Med Packaging Team Architecture: all Depends: w3m | www-browser Suggests: libglib2.0-doc, libpango1.0-doc, libgtk2.0-doc, libgtkglext1-doc Size: 676424 SHA256: 59c4ae688f0f56609524cac2ad21f9f5130ba8590b64987332f48c771651af74 SHA1: cfa7881b5973c3e7dc168e8fb70c426e9f926840 MD5sum: 7c4c4e4ca928c16963f2f764aaebb066 Description: HTML library API documentation for Gwyddion SPM analysis tool Gwyddion is a modular program for Scanning Probe Microscopy (SPM) data visualization and analysis. It is primarily intended for analysis of height field data obtained by microscopy techniques like * Atomic Force Microscopy (AFM), * Magnetic Force Microscopy (MFM), * Scanning Tunneling Microscopy (STM), * Near-field Scanning Optical Microscopy (SNOM or NSOM) and others. However, it can be used for arbitrary height field and image analysis. . This package contains the HTML API documentation of all the Gwyddion libraries. There is also a tutorial on writing Gwyddion modules included. Homepage: http://gwyddion.net/ Tag: devel::doc, field::physics, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/g/gwyddion/libgwyddion20-doc_2.28-2_all.deb Package: libgxps-dev Source: libgxps Version: 0.2.2-2+deb7u1 Architecture: armhf Maintainer: Savvas Radevic Installed-Size: 190 Depends: gir1.2-gxps-0.1 (= 0.2.2-2+deb7u1), libgxps2 (= 0.2.2-2+deb7u1), libarchive-dev, libcairo2-dev, libglib2.0-dev Homepage: https://live.gnome.org/libgxps Priority: optional Section: libdevel Filename: pool/main/libg/libgxps/libgxps-dev_0.2.2-2+deb7u1_armhf.deb Size: 54162 SHA256: c365e6b57fb271f11ac283c2655d38dd44b3a5bb18fdee4d04daff73c3ed92c9 SHA1: 9d115054b98ba9c2f615b3630a491e3dfcf5ef26 MD5sum: ec22fede0d14514380880f0c858bce56 Description: handling and rendering XPS documents (development files) OpenXPS or XPS stands for XML Paper Specification. It is based on XML and it's a new electronic paper format originally developed by Microsoft and it serves as a PDF alternative. XPS files are usually created using "Microsoft XPS Document Writer" in Windows environments. It is now standardized as an open standard document format. . Quoting Wikipedia: An XPS file is in fact a Unicoded ZIP archive using the Open Packaging Conventions, containing the files which make up the document. These include an XML markup file for each page, text, embedded fonts, raster images, 2D vector graphics, as well as the digital rights management information. The contents of an XPS file can be examined simply by opening it in an application which supports ZIP files. . The OpenXPS document format specification supports features such as color gradients, transparencies, CMYK color spaces, printer calibration, multiple-ink systems and print schemas. . This package contains the development files. Package: libgxps-doc Source: libgxps Version: 0.2.2-2+deb7u1 Installed-Size: 210 Maintainer: Savvas Radevic Architecture: all Suggests: devhelp Size: 29886 SHA256: 69428b7404e5b3ca446b059177dc3eca0de921e00279f8236c2869daa87a4b7e SHA1: 3129355fec06718c28fd94c9c7fda262280a21a3 MD5sum: 06402c8e8a55ebcaa40f4e2c8e3788a2 Description: library for handling and rendering XPS documents (documentation) OpenXPS or XPS stands for XML Paper Specification. It is based on XML and it's a new electronic paper format originally developed by Microsoft and it serves as a PDF alternative. XPS files are usually created using "Microsoft XPS Document Writer" in Windows environments. It is now standardized as an open standard document format. . Quoting Wikipedia: An XPS file is in fact a Unicoded ZIP archive using the Open Packaging Conventions, containing the files which make up the document. These include an XML markup file for each page, text, embedded fonts, raster images, 2D vector graphics, as well as the digital rights management information. The contents of an XPS file can be examined simply by opening it in an application which supports ZIP files. . The OpenXPS document format specification supports features such as color gradients, transparencies, CMYK color spaces, printer calibration, multiple-ink systems and print schemas. . This package contains help documentation files. Homepage: https://live.gnome.org/libgxps Section: doc Priority: optional Filename: pool/main/libg/libgxps/libgxps-doc_0.2.2-2+deb7u1_all.deb Package: libgxps-utils Source: libgxps Version: 0.2.2-2+deb7u1 Architecture: armhf Maintainer: Savvas Radevic Installed-Size: 117 Depends: libarchive12, libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libfreetype6 (>= 2.2.1), libglib2.0-0 (>= 2.16.0), libgxps2 (>= 0.2.1), libjpeg8 (>= 8c), liblcms2-2, libpng12-0 (>= 1.2.13-4), libtiff4 (>> 3.9.5-3~), zlib1g (>= 1:1.1.4) Homepage: https://live.gnome.org/libgxps Priority: optional Section: utils Filename: pool/main/libg/libgxps/libgxps-utils_0.2.2-2+deb7u1_armhf.deb Size: 38134 SHA256: 07c42d8dac7f29a3bc10cdfb37703d783e96222bf97f9467d0f3b123866be35e SHA1: 5d219670e7230c14d0b84de6c8e5143e92d9efb9 MD5sum: 94a03cf3fb87dbf19af73112e080a25c Description: handling and rendering XPS documents (utilities) OpenXPS or XPS stands for XML Paper Specification. It is based on XML and it's a new electronic paper format originally developed by Microsoft and it serves as a PDF alternative. XPS files are usually created using "Microsoft XPS Document Writer" in Windows environments. It is now standardized as an open standard document format. . Quoting Wikipedia: An XPS file is in fact a Unicoded ZIP archive using the Open Packaging Conventions, containing the files which make up the document. These include an XML markup file for each page, text, embedded fonts, raster images, 2D vector graphics, as well as the digital rights management information. The contents of an XPS file can be examined simply by opening it in an application which supports ZIP files. . The OpenXPS document format specification supports features such as color gradients, transparencies, CMYK color spaces, printer calibration, multiple-ink systems and print schemas. . This package contains the binary utilities: xpstopng, xpstopdf, xpstosvg, xpstojpeg and xpstops Package: libgxps2 Source: libgxps Version: 0.2.2-2+deb7u1 Architecture: armhf Maintainer: Savvas Radevic Installed-Size: 103 Pre-Depends: multiarch-support Depends: libarchive12, libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libfreetype6 (>= 2.2.1), libglib2.0-0 (>= 2.24.0), libjpeg8 (>= 8c), liblcms2-2, libtiff4 (>> 3.9.5-3~) Multi-Arch: same Homepage: https://live.gnome.org/libgxps Priority: optional Section: libs Filename: pool/main/libg/libgxps/libgxps2_0.2.2-2+deb7u1_armhf.deb Size: 45180 SHA256: 2caa36b645f22b636b153661032fa2ee6109b92a2f84b92e8fb2de57a525df2d SHA1: 56fd65358e9be6960f234b222ee448ee67039b9f MD5sum: 0e62d039b7727636c5e9be47a809f109 Description: handling and rendering XPS documents (library) OpenXPS or XPS stands for XML Paper Specification. It is based on XML and it's a new electronic paper format originally developed by Microsoft and it serves as a PDF alternative. XPS files are usually created using "Microsoft XPS Document Writer" in Windows environments. It is now standardized as an open standard document format. . Quoting Wikipedia: An XPS file is in fact a Unicoded ZIP archive using the Open Packaging Conventions, containing the files which make up the document. These include an XML markup file for each page, text, embedded fonts, raster images, 2D vector graphics, as well as the digital rights management information. The contents of an XPS file can be examined simply by opening it in an application which supports ZIP files. . The OpenXPS document format specification supports features such as color gradients, transparencies, CMYK color spaces, printer calibration, multiple-ink systems and print schemas. . This package contains the library. It is being used by evince to read XPS documents. Package: libgyoto0 Source: gyoto Version: 0.0.3-5 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 593 Depends: libc6 (>= 2.13-28), libcfitsio3 (>= 3.060), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libxerces-c3.1 Homepage: http://gyoto.obspm.fr Priority: extra Section: science Filename: pool/main/g/gyoto/libgyoto0_0.0.3-5_armhf.deb Size: 222412 SHA256: 4527f45577e1950ad41db0d2ddb46ac94e57a49c33c242d62da0e552c705bf4f SHA1: cad039cb24e69851a2b0a2916b998a583132309d MD5sum: 2141cfcb3afff019411828dfbeac94a4 Description: General relativistic geodesic integration and ray-tracing Gyoto aims at providing a framework for computing orbits and ray-traced images in General relativity. It consists in a shared library (this package), utility programs (in the gyoto package), and a plug-in for the Yorick programing language (in yorick-gyoto). Gyoto can be extended with plug-ins (see libgyoto0-dev). Package: libgyoto0-dev Source: gyoto Version: 0.0.3-5 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 703 Depends: libgyoto0 (= 0.0.3-5), libc-dev, libxerces-c3.1 Homepage: http://gyoto.obspm.fr Priority: extra Section: libdevel Filename: pool/main/g/gyoto/libgyoto0-dev_0.0.3-5_armhf.deb Size: 207208 SHA256: 25bfc19a27825f8d13667a9ff985bd3b10323484b62ef9038444fc759a5cb543 SHA1: 1f3debcb9b394f3b691f042054f89ed61bc479ff MD5sum: 06dc3776cb2b3b2900f1a59f88c36eee Description: development files for libgyoto Gyoto aims at providing a framework for computing orbits and ray-traced images in General relativity. . This package provides the files necessary to compile Gyoto plugins and codes using the libgyoto library. Package: libgzstream-tulip-3.7.0 Source: tulip Version: 3.7.0dfsg-4 Architecture: armhf Maintainer: Yann Dirson Installed-Size: 56 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4) Homepage: http://tulip-software.org/ Priority: optional Section: libs Filename: pool/main/t/tulip/libgzstream-tulip-3.7.0_3.7.0dfsg-4_armhf.deb Size: 19034 SHA256: b2c2b9e57a2d23aee45c263da673d2decdab39438bf27c325d1530f58d09f416 SHA1: 050fce3e5c6b1883ca7b3f41735fd1437fa7f88d MD5sum: f45e451848b8a81c87ce7c880a611b5e Description: gzstream shared library, built for Tulip C++ iostream classes wrapping the zlib compression library. . This is the gzstream library, built as a shared lib as required by Tulip. Package: libh323-1.24.0 Source: h323plus Version: 1.24.0~dfsg2-1 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 7073 Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libpt2.10.4, libsasl2-2 (>= 2.1.24), libsdl1.2debian (>= 1.2.11), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6) Homepage: http://www.h323plus.org/ Priority: optional Section: libs Filename: pool/main/h/h323plus/libh323-1.24.0_1.24.0~dfsg2-1_armhf.deb Size: 2117352 SHA256: e4198cd4a067e96404fa5a5b552fc01d49dad13993a0ba20cfdac2e98853f80a SHA1: ba0752e1d7a4a6f3183e676f6bdb9350a075226b MD5sum: 865bd7110b18d247222762dc0fcb2a93 Description: H.323 aka VoIP library This package contains the shared version of the H.323 Plus library. . The OpenH323 project aims to create a full featured, interoperable, Open Source implementation of the ITU H.323 teleconferencing protocol that can be used freely by everybody. This protocol is most used for Voice over IP (VoIP) conferencing. Package: libh323-dbg Source: h323plus Version: 1.24.0~dfsg2-1 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 3011 Depends: libh323-1.24.0 (= 1.24.0~dfsg2-1) Homepage: http://www.h323plus.org/ Priority: extra Section: debug Filename: pool/main/h/h323plus/libh323-dbg_1.24.0~dfsg2-1_armhf.deb Size: 727024 SHA256: adfacb5c8eebfccaca59a0e0acb4ed62b172d09cc70e7d0cf9ff64fcec28542d SHA1: 1bb13c169a95e9c989f20fa88d3e658ccbea8765 MD5sum: 8bba944de230d2c11c32ec7b73187a2f Description: H.323 aka VoIP library development debug files This package contains the shared and static debug version of the OpenH323 library. . The OpenH323 project aims to create a full featured, interoperable, Open Source implementation of the ITU H.323 teleconferencing protocol that can be used freely by everybody. This protocol is most used for Voice over IP (VoIP) conferencing. Package: libh323plus-dev Source: h323plus Version: 1.24.0~dfsg2-1 Architecture: armhf Maintainer: Debian VoIP Team Installed-Size: 24750 Depends: libh323-1.24.0 (= 1.24.0~dfsg2-1), libssl-dev, libpt-dev Conflicts: libopenh323-dev Replaces: libopenh323-dev Homepage: http://www.h323plus.org/ Priority: optional Section: libdevel Filename: pool/main/h/h323plus/libh323plus-dev_1.24.0~dfsg2-1_armhf.deb Size: 4726566 SHA256: ab5a9a4575429023b22dd184af99212da17a36623140f28e367d2e5dc1f08eb6 SHA1: 0e1dcabde714f751cb0b0d656fcde511f45c258d MD5sum: 7459bf33faccc01f35d2e75f1daeff0e Description: H.323 aka VoIP library development files This package contains the headers and a static version of the H.323 Plus library. . The OpenH323 project aims to create a full featured, interoperable, Open Source implementation of the ITU H.323 teleconferencing protocol that can be used freely by everybody. This protocol is most used for Voice over IP (VoIP) conferencing. Package: libha-jdbc-java Source: ha-jdbc Version: 2.0.16+rc1-2 Installed-Size: 352 Maintainer: Debian Java Maintainers Architecture: all Depends: libslf4j-java Size: 323256 SHA256: 668052cead890bc1b17dd98330ba754e74fce38443d72b4c6cf0f2b02563c162 SHA1: 9cfd02eb15a24c565c23233b5e9b038712739b5f MD5sum: ad0352ffb73c7260cd0fe5dc36da9f83 Description: JDBC proxy that provides clustering capability to any JDBC driver HA-JDBC is a JDBC proxy that provides light-weight, transparent, fault tolerant clustering capability to any underlying JDBC driver. . Features include: . * Supports any database accessible via JDBC. * High-availability/Fault Tolerance - An HA-JDBC database cluster can lose a node without failing/corrupting open transactions. * Live activation/deactivation allows for maintenance/upgrading of a database node without loss of service. * Improves performance of concurrent read-access by distributing load across individual nodes. * Supports full JDBC 3.0 and 4.0 feature set. * Out-of-the-box database-independent strategies for synchronizing a failed cluster node. * Exposes JMX management interface to allow administration of databases and clusters. * Ability to add/subtract database nodes to/from a cluster at runtime. * Can be configured to auto-activate failed database nodes during scheduled off-peak times. Homepage: http://ha-jdbc.sourceforge.net/ Section: java Priority: extra Filename: pool/main/h/ha-jdbc/libha-jdbc-java_2.0.16+rc1-2_all.deb Package: libhaildb-dbg Source: haildb Version: 2.3.2-1.2 Architecture: armhf Maintainer: Monty Taylor Installed-Size: 4948 Depends: libhaildb6 (= 2.3.2-1.2) Homepage: http://launchpad.net/haildb Priority: extra Section: debug Filename: pool/main/h/haildb/libhaildb-dbg_2.3.2-1.2_armhf.deb Size: 1909440 SHA256: c2bbfd90450f7e4686dc714f346c55aaa55767c7f706fa173ccf0d354e8e1b3a SHA1: 8c3d68343461d114855519fa91d5a7096995858e MD5sum: 0df1dbe7b1c4263ffb66dfb072aa2b76 Description: Library implementing InnoDB-like database - debug symbols A relational database in shared library form. Not a SQL database, although you can use this library as the storage backend for a SQL database. . This package provides debugging symbols. Package: libhaildb-dev Source: haildb Version: 2.3.2-1.2 Architecture: armhf Maintainer: Monty Taylor Installed-Size: 135 Depends: libhaildb6 (= 2.3.2-1.2), zlib1g-dev Homepage: http://launchpad.net/haildb Priority: optional Section: libdevel Filename: pool/main/h/haildb/libhaildb-dev_2.3.2-1.2_armhf.deb Size: 29550 SHA256: 89131edecc201e03261f5c7d5093295405685c0934719b6905b8308d0b575b16 SHA1: bf460c381b9c4c3b5f62adce8d0e9a8f365b82ae MD5sum: 28a5e44f8a174db01b4423438bafc894 Description: Library implementing InnoDB-like database - dev files A relational database in shared library form. Not a SQL database, although you can use this library as the storage backend for a SQL database. . This package provides the files needed for development. Package: libhaildb6 Source: haildb Version: 2.3.2-1.2 Architecture: armhf Maintainer: Monty Taylor Installed-Size: 1054 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.2.0) Conflicts: libhaildb1 Replaces: libhaildb1 Homepage: http://launchpad.net/haildb Priority: optional Section: libs Filename: pool/main/h/haildb/libhaildb6_2.3.2-1.2_armhf.deb Size: 543878 SHA256: 7d2bddc2cac0976bdd442f7783c47c49b7e9db51dd2b42e385f1b219c12d7934 SHA1: 4d656eb5a9435ff7dd692726704e257d8b900a72 MD5sum: 66d5d1c6cca19b425a2b93445d9e07e6 Description: Library implementing InnoDB-like database - shared library A relational database in shared library form. Not a SQL database, although you can use this library as the storage backend for a SQL database. . This package provides the shared library. Package: libhal-dev Source: hal Version: 0.5.14-8 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 217 Depends: libhal1 (= 0.5.14-8), libdbus-1-dev (>= 0.60) Suggests: hal-doc Homepage: http://hal.freedesktop.org/ Priority: optional Section: libdevel Filename: pool/main/h/hal/libhal-dev_0.5.14-8_armhf.deb Size: 101394 SHA256: c45af4f95c0eaf7dd3aa9716575a712624a936eb08c49289e26ca5a2ccd5195b SHA1: 3a6ea8a5d8574153d4eeb0ea49e3a86035a485de MD5sum: c67947a0e7631cba5bd5109a620c617c Description: Hardware Abstraction Layer - development files HAL provides an abstract view on hardware. . This abstraction layer is simply an interface that makes it possible to add support for new devices and new ways of connecting devices to the computer, without modifying every application that uses the device. It maintains a list of devices that currently exist, and can provide information about those upon request. . This package contains files that are needed to build applications. Package: libhal-storage-dev Source: hal Version: 0.5.14-8 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 174 Depends: libhal-storage1 (= 0.5.14-8), libdbus-1-dev (>= 0.60), libhal-dev Suggests: hal-doc Homepage: http://hal.freedesktop.org/ Priority: optional Section: libdevel Filename: pool/main/h/hal/libhal-storage-dev_0.5.14-8_armhf.deb Size: 87618 SHA256: b6ae94d9569b1891c24eccf8b5e1ef98698a3486df443712a4b26b01b600e58b SHA1: ac98feda38dd20a32d4b103ae4c159e66998986f MD5sum: 40356181ccdb352a3f7d1bb8690df138 Description: Hardware Abstraction Layer - development files HAL provides an abstract view on hardware. . This abstraction layer is simply an interface that makes it possible to add support for new devices and new ways of connecting devices to the computer, without modifying every application that uses the device. It maintains a list of devices that currently exist, and can provide information about those upon request. . This package contains files that are needed to build applications that use libhal-storage1. Package: libhal-storage1 Source: hal Version: 0.5.14-8 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 149 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libgcc1 (>= 1:4.4.0), libhal1 (>= 0.5.8.1) Homepage: http://hal.freedesktop.org/ Priority: optional Section: libs Filename: pool/main/h/hal/libhal-storage1_0.5.14-8_armhf.deb Size: 88946 SHA256: 0139b49d46b3844ecfc15f0914354738d8c7de85d1415d759c0864833046eaaf SHA1: 7251b644ba7a82ac6c8abdd81bca41de546b839e MD5sum: 940304d361425feddbfdf6054829d62e Description: Hardware Abstraction Layer - shared library for storage devices HAL provides an abstract view on hardware. . This abstraction layer is simply an interface that makes it possible to add support for new devices and new ways of connecting devices to the computer, without modifying every application that uses the device. It maintains a list of devices that currently exist, and can provide information about those upon request. . This library provides an interface for handling storage devices. Package: libhal1 Source: hal Version: 0.5.14-8 Architecture: armhf Maintainer: Utopia Maintenance Team Installed-Size: 174 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2) Homepage: http://hal.freedesktop.org/ Priority: optional Section: libs Filename: pool/main/h/hal/libhal1_0.5.14-8_armhf.deb Size: 98752 SHA256: 96cc92910322c22524faf648affc0797604da646c33d2043c17a19cfb0f103c9 SHA1: 24101ee26aebab4ef381d78b75bfc746cab95a2a MD5sum: 8ab22280d7757542b1fa6ae8a9ab0523 Description: Hardware Abstraction Layer - shared library HAL provides an abstract view on hardware. . This abstraction layer is simply an interface that makes it possible to add support for new devices and new ways of connecting devices to the computer, without modifying every application that uses the device. It maintains a list of devices that currently exist, and can provide information about those upon request. . This package contains shared libraries to be used by applications. Package: libhamcrest-java Version: 1.2-2 Installed-Size: 389 Maintainer: Debian Java Maintainers Architecture: all Size: 341468 SHA256: 53aa242a0236b42f6a17b3604212c3f9ac457651342429b33c83360f607ca0df SHA1: caa752994dfbe1a3b9ac68aee51e5ba84b87cac3 MD5sum: c7494883b2610c498fea373dbdc539f4 Description: library of matchers for building test expressions Provides a library of matcher objects (also known as constraints or predicates) allowing 'match' rules to be defined declaratively, to be used in other frameworks. Typical scenarios include testing frameworks, mocking libraries and UI validation rules. Homepage: http://code.google.com/p/hamcrest/ Tag: devel::lang:java, devel::library, implemented-in::java, role::devel-lib, role::shared-lib Section: java Priority: optional Filename: pool/main/libh/libhamcrest-java/libhamcrest-java_1.2-2_all.deb Package: libhamcrest-java-doc Source: libhamcrest-java Version: 1.2-2 Installed-Size: 2016 Maintainer: Debian Java Maintainers Architecture: all Recommends: default-jdk-doc, junit-doc, junit4-doc Suggests: libhamcrest-java Size: 117132 SHA256: 21b299264a9a0cf480e27e954660105c8b2b1bf36b0742b3e6f20120f3574078 SHA1: d080c4ee5169e908270618905e8230ce68ba36a1 MD5sum: b417b45b735df796bac1fdd437fe696c Description: library of matchers for building test expressions - documentation Provides a library of matcher objects (also known as constraints or predicates) allowing 'match' rules to be defined declaratively, to be used in other frameworks. Typical scenarios include testing frameworks, mocking libraries and UI validation rules. . This package contains Javadoc API documentation of Hamcrest. Homepage: http://code.google.com/p/hamcrest/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libh/libhamcrest-java/libhamcrest-java-doc_1.2-2_all.deb Package: libhaml-ruby Source: ruby-haml Version: 3.1.6-1 Installed-Size: 30 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-haml Size: 6040 SHA256: 2e87075a204ef11dbb53331eea734c57dc27f151a13c5ca053658a49d5cf0082 SHA1: 1c4a6f0a67a7f5932663c223d83afe5fbaaeafeb MD5sum: 6c5ea6a2e595c303b6bee3cbf100983e Description: Transitional package for ruby-haml This is a transitional package to ease upgrades to the ruby-haml package. It can safely be removed. Homepage: http://haml-lang.com/ Section: oldlibs Priority: extra Filename: pool/main/r/ruby-haml/libhaml-ruby_3.1.6-1_all.deb Package: libhaml-ruby-doc Source: ruby-haml Version: 3.1.6-1 Installed-Size: 30 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-haml Size: 6040 SHA256: 331887f27067fe70791aee52d21fdd94d8804869308c6c2f12f8ab9fcf9d0448 SHA1: eb2bf9b30548e91b97489f146ac1f48ecbbda9f2 MD5sum: 803cc48d403463bc34c5de986e593e34 Description: Transitional package for ruby-haml This is a transitional package to ease upgrades to the ruby-haml package. It can safely be removed. Homepage: http://haml-lang.com/ Tag: devel::doc, devel::lang:ruby, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/r/ruby-haml/libhaml-ruby-doc_3.1.6-1_all.deb Package: libhaml-ruby1.8 Source: ruby-haml Version: 3.1.6-1 Installed-Size: 30 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-haml Size: 6046 SHA256: 65b94838eb8d655f62af6dd35f30e0a0f69f13e4360b2d0caaf69aa6abdbd0f1 SHA1: 4f87c5db5289dcc73da434124641f95e1850ee6b MD5sum: 46c53bd551c4a09678596de399f8ed77 Description: Transitional package for ruby-haml This is a transitional package to ease upgrades to the ruby-haml package. It can safely be removed. Homepage: http://haml-lang.com/ Section: oldlibs Priority: extra Filename: pool/main/r/ruby-haml/libhaml-ruby1.8_3.1.6-1_all.deb Package: libhamlib++-dev Source: hamlib Version: 1.2.15.1-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 96 Depends: libhamlib2 (= 1.2.15.1-1), libhamlib-dev (= 1.2.15.1-1), libc6-dev Conflicts: hamlib++-dev Provides: hamlib++-dev Homepage: http://www.hamlib.org/ Priority: optional Section: libdevel Filename: pool/main/h/hamlib/libhamlib++-dev_1.2.15.1-1_armhf.deb Size: 21846 SHA256: 49b3dbd565033c2b1e126cf880f28da7a3de79e0ad3c6e80fd6abb9a3d69b5cd SHA1: 0a6b49e9130d977cd52c7293ed86f97bc9ee8696 MD5sum: 913725b1304efe90664e0e0e6562bce3 Description: Development library to control radio transceivers and receivers Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the development library with C++ bindings. If you wish to run applications developed using this library you'll need the 'libhamlib2++c2' package. Package: libhamlib-dev Source: hamlib Version: 1.2.15.1-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 2896 Depends: libhamlib2 (= 1.2.15.1-1), libc6-dev, libusb-dev Conflicts: hamlib-dev Provides: hamlib-dev Homepage: http://www.hamlib.org/ Priority: optional Section: libdevel Filename: pool/main/h/hamlib/libhamlib-dev_1.2.15.1-1_armhf.deb Size: 489434 SHA256: b476d78953fe89533bc2d9b47d52069406ef47b9ef2d78d88e3f5e2d66c4dfdd SHA1: f155e76076fb799a04456ee870c86e4277998a85 MD5sum: 71cf073722ea539fe3e00a77a30b7afd Description: Development library to control radio transceivers and receivers Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the development library with both C bindings. If you wish to run applications developed using this library you'll need the 'libhamlib2' package. Package: libhamlib-doc Source: hamlib Version: 1.2.15.1-1 Installed-Size: 4176 Maintainer: Debian Hamradio Maintainers Architecture: all Provides: hamlib-doc Suggests: www-browser Conflicts: hamlib-doc Size: 634474 SHA256: b489c1402ed6c6509379ea22ded042a5434a346f90962b48efa036f2186db0e0 SHA1: 6c46dc3c6cb5b0576a1bd253b3cbabd3dcbc0fbd MD5sum: 6f6903824c2d30b1d5fda68244e67284 Description: Documentation for the hamlib radio control library Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the developers documentation for the API. Homepage: http://www.hamlib.org/ Tag: devel::doc, hardware::hamradio, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/h/hamlib/libhamlib-doc_1.2.15.1-1_all.deb Package: libhamlib-utils Source: hamlib Version: 1.2.15.1-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 354 Depends: libc6 (>= 2.13-28), libhamlib2 (>= 1.2.15.1) Conflicts: hamlib-utils Provides: hamlib-utils Homepage: http://www.hamlib.org/ Priority: optional Section: hamradio Filename: pool/main/h/hamlib/libhamlib-utils_1.2.15.1-1_armhf.deb Size: 163462 SHA256: dd510073f711b59894b57dd6b37d665f48efab9b9bcd17a18d7a51eff7d718d5 SHA1: 5da92ebc8ca91f2387c7d2c459ef5c1792e01845 MD5sum: 614169043493c742c08f183fdd6fc088 Description: Utilities to support the hamlib radio control library Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides a command-line utility to test the hamlib library and to control transceivers if you're short of anything more sophisticated. Package: libhamlib2 Source: hamlib Version: 1.2.15.1-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 2465 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libltdl7 (>= 2.4.2), libusb-0.1-4 (>= 2:0.1.12) Conflicts: hamlib1, hamlib2, hamlib3, hamlib4 Provides: hamlib4 Homepage: http://www.hamlib.org/ Priority: optional Section: libs Filename: pool/main/h/hamlib/libhamlib2_1.2.15.1-1_armhf.deb Size: 467312 SHA256: e6dcacf314204eb4ba26239725f0c43b90be52e6a17a43e922ac1ac82933b96f SHA1: afe02915ba6d2ccde143447dd9aed8b79cae68ef MD5sum: 6eb6386f73aba6a91767bfca852e3e5d Description: Run-time library to control radio transceivers and receivers Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the C run-time form of the library. If you wish to develop software using this library you need the 'libhamlib-dev' package. Package: libhamlib2++c2 Source: hamlib Version: 1.2.15.1-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 68 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhamlib2 (>= 1.2.15.1), libstdc++6 (>= 4.4.0) Conflicts: hamlib3++, hamlib3++c2, hamlib4++c2 Provides: hamlib4++c2 Homepage: http://www.hamlib.org/ Priority: optional Section: libs Filename: pool/main/h/hamlib/libhamlib2++c2_1.2.15.1-1_armhf.deb Size: 18994 SHA256: 64eb5be5dc5dab966e8fffc07b80a2d49da77cb78b756571af6e67b53da70c76 SHA1: d011222bccd7cfaf39d350772bca39283dc6924d MD5sum: 986bacb4e906762945ceec24e9b8e9c2 Description: Run-time library to control radio transceivers and receivers Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the C++ run-time form of the library. If you wish to develop software using this library you need the 'libhamlib++-dev' package. Package: libhamlib2-perl Source: hamlib Version: 1.2.15.1-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 1498 Depends: libc6 (>= 2.13-28), libhamlib2 (>= 1.2.15.1), perl (>= 5.14.2-9), perlapi-5.14.2 Conflicts: hamlib3-perl, hamlib4-perl Provides: hamlib4-perl Homepage: http://www.hamlib.org/ Priority: optional Section: perl Filename: pool/main/h/hamlib/libhamlib2-perl_1.2.15.1-1_armhf.deb Size: 266044 SHA256: 9325264d9238f693a01353e3f996b19a5c639ed8e288b8eecf2346995432a048 SHA1: 25dce152f3bce17314635942a084147eb947ed9b MD5sum: 9fb8f99a591314a6a4846f9e9c73a769 Description: Run-time library to control radio transceivers and receivers Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the perl bindings of the library. Package: libhamlib2-tcl Source: hamlib Version: 1.2.15.1-1 Architecture: armhf Maintainer: Debian Hamradio Maintainers Installed-Size: 1371 Depends: libc6 (>= 2.13-28), libhamlib2 (>= 1.2.15.1), tcl8.5 (>= 8.5.0) Conflicts: hamlib3-tcl, hamlib4-tcl Provides: hamlib4-tcl Homepage: http://www.hamlib.org/ Priority: optional Section: interpreters Filename: pool/main/h/hamlib/libhamlib2-tcl_1.2.15.1-1_armhf.deb Size: 282522 SHA256: 5991c7eb12b95f0333247d117d384afe3d3bf20376c0aed84047af3648c281eb SHA1: be332d4e1a8819d3cd8afa5fc71c501ccabdf226 MD5sum: 9061745c3c5a6c01c525c6b254c9da03 Description: Run-time library to control radio transceivers and receivers Most recent amateur radio transceivers allow external control of their functions through a computer interface. Unfortunately, control commands are not always consistent across a manufacturer's product line and each manufacturer's product line differs greatly from its competitors. . This library addresses that issue by providing a standardised programming interface that applications can talk to and translating that into the appropriate commands required by the radio in use. . This package provides the Tcl bindings of the library. Package: libhandoff-dev Source: libhandoff Version: 0.1-5 Architecture: armhf Maintainer: Neil Williams Installed-Size: 52 Depends: libhandoff0 (= 0.1-5), libglib2.0-dev Priority: optional Section: libdevel Filename: pool/main/libh/libhandoff/libhandoff-dev_0.1-5_armhf.deb Size: 6218 SHA256: 96a2b6c7f546072761e040fb684c586821747f4fc7eaef9e2e29b87275d84050 SHA1: 345039c22514c663d15447d138ef5fba9169a6fa MD5sum: 808be2760ccf26a60e05f97ff757dc6e Description: handoff library for GPE calendar (development files) libhandoff is used in the GPE Palmtop Environment to cause another program instance to come to the foreground. . This package contains the development files and documentation for libhandoff. Package: libhandoff0 Source: libhandoff Version: 0.1-5 Architecture: armhf Maintainer: Neil Williams Installed-Size: 41 Depends: libc6 (>= 2.4), libglib2.0-0 (>= 2.16.0) Priority: optional Section: libs Filename: pool/main/libh/libhandoff/libhandoff0_0.1-5_armhf.deb Size: 8250 SHA256: 53e23b24f602b6fc75a4daba3f1aca3b59b810191ba39cddc5bf6d5137636499 SHA1: 0d966e4bd27b9c9bb22561cfe74bd68662d93dea MD5sum: 133d50b01ce8200df04e7d3255d8c7cf Description: handoff library for GPE calendar libhandoff is used in the GPE Palmtop Environment to cause another program instance to come to the foreground. This is used when alarm triggers (like atd) wake the device and then need to load another program to show the reason for the device waking up. When gpe-calendar starts it looks at a well known location to find another instance of itself. If it does, it tells that instance to grab the focus. Package: libhandoff0-dbg Source: libhandoff Version: 0.1-5 Architecture: armhf Maintainer: Neil Williams Installed-Size: 68 Depends: libhandoff0 (= 0.1-5) Priority: extra Section: debug Filename: pool/main/libh/libhandoff/libhandoff0-dbg_0.1-5_armhf.deb Size: 14950 SHA256: dfa97367c7c89670d723da196a1b61307f73495b1595ac041331ff373b965154 SHA1: 8c6aa97f038600dbf01147234f78014c7442d884 MD5sum: 0c2820d43c28cd1c29991d26fec31e84 Description: handoff library for GPE calendar (debug symbols) libhandoff is used in the GPE Palmtop Environment to cause another program instance to come to the foreground. . This package contains the debug symbols for libhandoff. Package: libhangul-data Source: libhangul Version: 0.1.0-2 Installed-Size: 6365 Maintainer: Debian Korean L10N Architecture: all Replaces: libhangul0-data Conflicts: libhangul0-data Size: 2435182 SHA256: b13d5688d657fc8d7f3b116d092916257456831135ef7de9eb2444c0fd5c1aab SHA1: 86aeb05affa9022c89d167de4f86c616c3968add MD5sum: 986c828a2c5bf935b11f6480eb3e64de Description: Hangul keyboard input library - data This library implements Hangul keyboard input with various types of Korean keyboards. It is intended to be a base library of Korean input methods on multiple platforms. . This package contains the architecture independent data. Multi-Arch: foreign Homepage: http://kldp.net/projects/hangul Section: libs Priority: optional Filename: pool/main/libh/libhangul/libhangul-data_0.1.0-2_all.deb Package: libhangul-dev Source: libhangul Version: 0.1.0-2 Architecture: armhf Maintainer: Debian Korean L10N Installed-Size: 130 Depends: libhangul1 (= 0.1.0-2) Homepage: http://kldp.net/projects/hangul Priority: optional Section: libdevel Filename: pool/main/libh/libhangul/libhangul-dev_0.1.0-2_armhf.deb Size: 45750 SHA256: 9c875b96144bcbdb477ec8e8adf3f28c8b7f3fb3d770b00fe2abd36d2bd5217d SHA1: d661b8298c4399895bcfdf2743d900687b340156 MD5sum: 2a1fcf5be85043996c801caa18b51fb5 Description: Hangul keyboard input library - development files This library implements Hangul keyboard input with various types of Korean keyboards. It is intended to be a base library of Korean input methods on multiple platforms. . This package contains the header files and the static library. Package: libhangul1 Source: libhangul Version: 0.1.0-2 Architecture: armhf Maintainer: Debian Korean L10N Installed-Size: 103 Pre-Depends: multiarch-support Depends: libhangul-data (>= 0.1.0-2), libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://kldp.net/projects/hangul Priority: optional Section: libs Filename: pool/main/libh/libhangul/libhangul1_0.1.0-2_armhf.deb Size: 42668 SHA256: 4489d9de7cc0980ef9a63ed082519a785932fe1f756b5ebe329f37469564f22f SHA1: 81cc50258cc8b17960e10eabecca17318d23a24a MD5sum: 88f749082c3d4826e7079413b96b347c Description: Hangul keyboard input library - runtime This library implements Hangul keyboard input with various types of Korean keyboards. It is intended to be a base library of Korean input methods on multiple platforms. . This package contains the shared library and the runtime data. Package: libhangul1-dbg Source: libhangul Version: 0.1.0-2 Architecture: armhf Maintainer: Debian Korean L10N Installed-Size: 105 Depends: libhangul1 (= 0.1.0-2) Multi-Arch: same Homepage: http://kldp.net/projects/hangul Priority: extra Section: debug Filename: pool/main/libh/libhangul/libhangul1-dbg_0.1.0-2_armhf.deb Size: 55334 SHA256: 9993355f5bbc3dd094ee9863ba3d7b0e166c82956e2c4836f83a495c725f7d95 SHA1: 9df61bb16e1d88b80b726f8d758fa09a78cd48f8 MD5sum: a58cc139fb950dd3c4492c2ff0b48715 Description: Hangul keyboard input library - debugging symbols This library implements Hangul keyboard input with various types of Korean keyboards. It is intended to be a base library of Korean input methods on multiple platforms. . This package contains the debugging symbols. Most people will not need this package. Package: libharminv-dev Source: harminv Version: 1.3.1-9 Architecture: armhf Maintainer: Thorsten Alteholz Installed-Size: 44 Depends: libharminv2 (= 1.3.1-9) Homepage: http://ab-initio.mit.edu/wiki/index.php/Harminv Priority: optional Section: libdevel Filename: pool/main/h/harminv/libharminv-dev_1.3.1-9_armhf.deb Size: 7140 SHA256: 65a8e059b29730fe5b4aaa3b28476d6457d5caef08ec1236e1d84fe0ae87a010 SHA1: 82d372cf21615d4c2156a18838e76e334352f714 MD5sum: 940e661493cd5f5790d2e3f7d013a2bb Description: Library for using harminv, development version Libharminv is a free library to solve the problem of harmonic inversion, given a discrete-time, finite-length signal that consists of a sum of finitely-many sinusoids (possibly exponentially decaying) in a given bandwidth, it determines the frequencies, decay constants, amplitudes, and phases of those sinusoids. . This package contains the header files. Package: libharminv2 Source: harminv Version: 1.3.1-9 Architecture: armhf Maintainer: Thorsten Alteholz Installed-Size: 53 Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base, libstdc++6 (>= 4.1.1) Homepage: http://ab-initio.mit.edu/wiki/index.php/Harminv Priority: optional Section: libs Filename: pool/main/h/harminv/libharminv2_1.3.1-9_armhf.deb Size: 16878 SHA256: e8ba899f558408b6e4fd3bda97a366a637e69d8d7152c6bf00b544bc05dd791a SHA1: 5e2f9662c0f50be70683563e3fb1fa0bacbad1d3 MD5sum: e7eb13a1f145580ea870c95ee4fd7453 Description: Library for using harminv Libharminv is a free library to solve the problem of harmonic inversion, given a discrete-time, finite-length signal that consists of a sum of finitely-many sinusoids (possibly exponentially decaying) in a given bandwidth, it determines the frequencies, decay constants, amplitudes, and phases of those sinusoids. . This package contains the library. Package: libhash-asobject-perl Version: 0.13-1 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 12170 SHA256: 92db661692720b3a8b221b2b34ff5660a6dfa0965ee6d60211cdd6d2b70f4de4 SHA1: 31f0d38ed01498361165ce0f85943e593b5229fe MD5sum: 2b36071689566255c0c50dde31b27c2a Description: Perl modules to treat hashes as objects, with arbitrary accessors/mutators A Hash::AsObject is a blessed hash that provides read-write access to its elements using accessors. (Actually, they're both accessors and mutators.) . It's designed to act as much like a plain hash as possible; this means, for example, that you can use methods like DESTROY to get or set hash elements with that name. Homepage: http://search.cpan.org/dist/Hash-AsObject/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhash-asobject-perl/libhash-asobject-perl_0.13-1_all.deb Package: libhash-case-perl Version: 1.020-1 Installed-Size: 71 Maintainer: Debian Perl Group Architecture: all Depends: perl, liblog-report-perl Size: 19240 SHA256: f77a0213408e6a68eec76875c11cf26a9c831614bf3aed8dae15af12601dc2a3 SHA1: 327fe2d2a3ed920c538da5c101e24b0e45144c52 MD5sum: b6a4d6ad5abd1424b755e10d3a7131c1 Description: base class for hashes with key-casing requirements Hash::Case is the base class for various classes which tie special treatment for the casing of keys. Be aware of the differences in implementation: Lower and Upper are tied native hashes: these hashes have no need for hidden fields or other assisting data structured. A case Preserve hash will actually create three hashes. Homepage: http://search.cpan.org/dist/Hash-Case/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhash-case-perl/libhash-case-perl_1.020-1_all.deb Package: libhash-fieldhash-perl Version: 0.12-2 Architecture: armhf Maintainer: Debian Perl Group Installed-Size: 89 Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.4) Homepage: http://search.cpan.org/dist/Hash-FieldHash/ Priority: optional Section: perl Filename: pool/main/libh/libhash-fieldhash-perl/libhash-fieldhash-perl_0.12-2_armhf.deb Size: 17590 SHA256: ab263308d8d2550977fafcb142eec6463732bd89102f6b0d5a4b8266277a3899 SHA1: 82cc8e9e67bc20cc3fca0ba186374421e506cbf5 MD5sum: ec566632195cafa7a17338c4e6b8b712 Description: Perl module implementing a lightweight field hash Hash::FieldHash provides the field hash mechanism which supports the inside-out technique. . You may know Hash::Util::FieldHash. It's a very useful module, but too complex to understand all the functions. . This is an alternative to Hash::Util::FieldHash with following features: . Simpler interface . Hash::FieldHash provides a few functions: fieldhash() and fieldhashes(). That's enough. . Higher performance Hash::FieldHash is faster than Hash::Util::FieldHash, because its internals use simpler structures. Package: libhash-flatten-perl Version: 1.19-1 Installed-Size: 32 Maintainer: Debian Perl Group Architecture: all Depends: perl, libtest-assertions-perl Size: 11502 SHA256: f2cd5f379150f3d7d0af79e598a5cb005c5acf5373bcb4275d6ef3951b876838 SHA1: 0b4594d40133d0dcee07974bb4f578401a7de0f2 MD5sum: 54972ef2d5d74fdbdfbb6ccb1e5d893b Description: flatten/unflatten complex data hashes Hash::Flatten converts back and forth between a nested hash structure and a flat hash of delimited key-value pairs. Useful for protocols that only support key-value pairs (such as CGI and DBMs). Homepage: http://search.cpan.org/dist/Hash-Flatten/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhash-flatten-perl/libhash-flatten-perl_1.19-1_all.deb Package: libhash-merge-perl Version: 0.12-2 Installed-Size: 80 Maintainer: Debian Perl Group Architecture: all Depends: perl, libclone-perl Size: 15400 SHA256: 4d1552a17b76d128a591fcd67ef2e7a6fabfb850b52170aea1d78cb7f906a207 SHA1: b93f5c311e35aa72429ba3c6bc11e5e18e943504 MD5sum: f6b6b8b1f7a9889fe611396fab89de4a Description: Perl module for merging arbitrarily deep hashes into a single hash Hash::Merge merges two arbitrarily deep hashes into a single hash. That is, at any level, it will add non-conflicting key-value pairs from one hash to the other, and follows a set of specific rules when there are key value conflicts (as outlined below). The hash is followed recursively, so that deeply nested hashes that are at the same level will be merged when the parent hashes are merged. Please note that self-referencing hashes, or recursive references, are not handled well by this method. . Values in hashes are considered to be either ARRAY references, HASH references, or otherwise are treated as SCALARs. By default, the data passed to the merge function will be cloned using the Clone module; however, if necessary, this behavior can be changed to use as many of the original values as possible. (See set_clone_behavior). Homepage: http://search.cpan.org/dist/Hash-Merge Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhash-merge-perl/libhash-merge-perl_0.12-2_all.deb Package: libhash-merge-simple-perl Version: 0.051-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8386 SHA256: 5978dfd1ad7070d853ae6b95012dd5505241040f41b343dfc3861226b5bbe74c SHA1: d7a382ba06af667bd621ddd81123c9dfca530744 MD5sum: ee21674da22d974d87d6653c9f935573 Description: Perl module to easily merge two or more hashes Hash::Merge::Simple will recursively merge two or more hashes and return the result as a new hash reference. The merge function will descend and merge hashes that exist under the same node in both the left and right hash, but doesn't attempt to combine arrays, objects, scalars, or anything else. The rightmost hash also takes precedence, replacing whatever was in the left hash if a conflict occurs. . This code is based on Catalyst::Utils, but was modified to handle merging more than two hashes simultaneously. Homepage: http://search.cpan.org/dist/Hash-Merge-Simple/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhash-merge-simple-perl/libhash-merge-simple-perl_0.051-1_all.deb Package: libhash-moreutils-perl Version: 0.02-1 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8292 SHA256: 79a46da659c5eed73420f29bcab2efd578844d0c30ad277035b1685c255d742a SHA1: 60651658befc8f686de8a772df9a43c51a3176f5 MD5sum: 128e6b832fbaf160580100b9c6d837b0 Description: Perl module with additional hash functions not found in Hash::Util Hash::MoreUtils provides some trivial but commonly used functionality on hashes which is not into Hash::Util. Homepage: http://search.cpan.org/dist/Hash-MoreUtils/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhash-moreutils-perl/libhash-moreutils-perl_0.02-1_all.deb Package: libhash-multivalue-perl Version: 0.12-1 Installed-Size: 68 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 15322 SHA256: e40e835d05cd8bd2901357c531cf60884e551c4be6c744d100ab095ac4d27958 SHA1: 9236ed143f0bc59caa0410c78edd1eca91499012 MD5sum: 6dde67045766adb037aea0c4a0651c5d Description: module for storing multiple values per key in a hash Hash::MultiValue is a Perl module that provides an object (and a plain hash reference) that may contain multiple values per key. The hash behaves like a single-value hash reference, but also provides an API to retrieve multiple values explicitly on demand. Homepage: http://search.cpan.org/dist/Hash-MultiValue/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhash-multivalue-perl/libhash-multivalue-perl_0.12-1_all.deb Package: libhash-util-fieldhash-compat-perl Version: 0.03-2 Installed-Size: 76 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 8826 SHA256: ef4f677637f5323093305d3b65c02a9c6933ad0eb85f39e01d4541b083b7f418 SHA1: bfc5fa47e6896bc3a10bec0c7cd6601cbc7cb014 MD5sum: 6dc061f273307dd741dc96069f99eb40 Description: Perl module providing a Hash::Util::FieldHash compatible API Under older perls this module provides a drop in compatible API to Hash::Util::FieldHash using perltie. When Hash::Util::FieldHash is available it will use that instead. . This way code requiring field hashes can benefit from fast, robust field hashes on Perl 5.10 and newer, but still run on older perls that don't ship with that module. Homepage: http://search.cpan.org/dist/Hash-Util-FieldHash-Compat/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhash-util-fieldhash-compat-perl/libhash-util-fieldhash-compat-perl_0.03-2_all.deb Package: libhash-withdefaults-perl Version: 0.05-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 9436 SHA256: a1af7536456abbb5ab83752d214537c39644ea6916871e777f9e8a09a700dfe9 SHA1: f9f5849cbe8f84cc1829ecfa4045019b8697b643 MD5sum: f4edde5ecbabe462a8c10a4de8f21912 Description: class for hashes with key-casing requirements supporting defaults Hash::WithDefaults implements hashes that support "defaults". That is, you may specify several more hashes in which the data will be looked up in case it is not found in the current hash. Homepage: http://search.cpan.org/dist/Hash-WithDefaults/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhash-withdefaults-perl/libhash-withdefaults-perl_0.05-1_all.deb Package: libhashkit-dev Source: libmemcached Version: 1.0.8-1 Architecture: armhf Maintainer: Michael Fladischer Installed-Size: 166 Depends: libhashkit2 (= 1.0.8-1) Breaks: libmemcached-dev (<< 1.0.3-1) Replaces: libmemcached-dev (<< 1.0.3-1) Homepage: http://libmemcached.org/libMemcached.html Priority: optional Section: libdevel Filename: pool/main/libm/libmemcached/libhashkit-dev_1.0.8-1_armhf.deb Size: 57226 SHA256: 70f6903306011e4f31307342c836fc3085d7b9a7a1df164bcb65cb21291d3687 SHA1: 044146ed0bf749210052d70079efd9e6e7eb0258 MD5sum: ed377ce6ca342045895b61a1c53af451 Description: libmemcached hashing functions and algorithms (development files) libhashkit is a small and thread-safe client library that provides a collection of useful hashing algorithm. libhashkit is distributed with libmemcached. . This package provides the development files. Package: libhashkit2 Source: libmemcached Version: 1.0.8-1 Architecture: armhf Maintainer: Michael Fladischer Installed-Size: 85 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Conflicts: libhashkit1 Multi-Arch: same Homepage: http://libmemcached.org/libMemcached.html Priority: optional Section: libs Filename: pool/main/libm/libmemcached/libhashkit2_1.0.8-1_armhf.deb Size: 36656 SHA256: 87c5df43475c06832e4e0d5b5c9d33d45563694e4cbc17770781a865681e5c68 SHA1: a11015a56be3f969c60a40427537326b83f30e54 MD5sum: 9208c62dd74246df2cba6387b22e4ba8 Description: libmemcached hashing functions and algorithms libhashkit is a small and thread-safe client library that provides a collection of useful hashing algorithm. libhashkit is distributed with libmemcached. . This package provides the libhashkit shared library. Package: libhawknl Source: hawknl Version: 1.6.8+dfsg2-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 98 Depends: libc6 (>= 2.4) Homepage: http://hawksoft.com/hawknl/ Priority: optional Section: libs Filename: pool/main/h/hawknl/libhawknl_1.6.8+dfsg2-1_armhf.deb Size: 42544 SHA256: c85dd324b102e0f08fe896843736ad109d9c7f39ac4f7fe2c9d4e7b828259a6b SHA1: 786eb17b868929c2ed7cba3fec2afe3de4643ea9 MD5sum: 81788a9c9399b97a7d1e6720eaea0475 Description: Hawk game oriented network library API HawkNL is a free, open source, game oriented network API. . HawkNL (NL) is a fairly low level API, a wrapper over Berkeley/Unix Sockets and Winsock. But NL also provides other features including support for: * Multiple operating systems. * Groups of sockets * Socket statistics * High accuracy timer * CRC functions * Macros to read and write data to packets with endian conversion * Multiple network transports. Package: libhawknl-dbg Source: hawknl Version: 1.6.8+dfsg2-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 65 Depends: libhawknl (= 1.6.8+dfsg2-1) Homepage: http://hawksoft.com/hawknl/ Priority: extra Section: debug Filename: pool/main/h/hawknl/libhawknl-dbg_1.6.8+dfsg2-1_armhf.deb Size: 15996 SHA256: 039102bb95f764357d0875a199e9cbd249270dda22ac6a8ca975685e89010f42 SHA1: 9fe04368d0359215085d05846615e6f1dded4d0e MD5sum: bc70ed1e7cdc5dffcc40b61a24cd698f Description: Hawk game oriented network library API (debug package) HawkNL is a free, open source, game oriented network API. . HawkNL (NL) is a fairly low level API, a wrapper over Berkeley/Unix Sockets and Winsock. But NL also provides other features including support for: * Multiple operating systems. * Groups of sockets * Socket statistics * High accuracy timer * CRC functions * Macros to read and write data to packets with endian conversion * Multiple network transports. . This package contains the debug symbols. Package: libhawknl-dev Source: hawknl Version: 1.6.8+dfsg2-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 147 Depends: libhawknl (= 1.6.8+dfsg2-1) Homepage: http://hawksoft.com/hawknl/ Priority: optional Section: libdevel Filename: pool/main/h/hawknl/libhawknl-dev_1.6.8+dfsg2-1_armhf.deb Size: 52940 SHA256: 2dda4c53c908a30389fd02b4606decd2dfd0d284e4e44aa62a5833341cda8baa SHA1: 5cc0b55d08de4db7628b8782feb97155c3ad0160 MD5sum: 020ddda43aed30b09c1edcc9df4c2ed8 Description: Hawk game oriented network library API (development headers) HawkNL is a free, open source, game oriented network API. . HawkNL (NL) is a fairly low level API, a wrapper over Berkeley/Unix Sockets and Winsock. But NL also provides other features including support for: * Multiple operating systems. * Groups of sockets * Socket statistics * High accuracy timer * CRC functions * Macros to read and write data to packets with endian conversion * Multiple network transports. . This package contains the development libraries and headers. Package: libhawtjni-runtime-java Source: hawtjni Version: 1.0~+git0c502e20c4-3+deb7u1 Installed-Size: 404 Maintainer: Debian Java Maintainers Architecture: all Size: 44704 SHA256: 3b31f5526800e690f25d28e863f5da4173ed5322fa5171e097e7c00538b5fa9f SHA1: 1d4dcf8384126839386166cc4ca6c425534a3dbd MD5sum: ff1be6c770c7b99bd246fefa88f23973 Description: Java library that provide JNI code generation HawtJNI is a code generator that produces the JNI code needed to implement java native methods. It is based on the jnigen code generator that is part of the SWT Tools project which is used to generate all the JNI code which powers the eclipse platform. Homepage: http://fusesource.com/forge/sites/hawtjni/ Tag: role::shared-lib Section: java Priority: optional Filename: pool/main/h/hawtjni/libhawtjni-runtime-java_1.0~+git0c502e20c4-3+deb7u1_all.deb Package: libhbaapi-dev Source: libhbaapi Version: 2.2.5-1 Architecture: armhf Maintainer: Debian FCoE Maintainers Installed-Size: 102 Depends: libhbaapi2 (= 2.2.5-1) Homepage: http://www.open-fcoe.org Priority: extra Section: libdevel Filename: pool/main/libh/libhbaapi/libhbaapi-dev_2.2.5-1_armhf.deb Size: 19060 SHA256: 0b765b3b3cadabe2cbd3c43480b3de8bd27978d30ff8dce130a5bbe943b0a658 SHA1: 89c9ec1ce82605fcd4e658f73888734063cbc140 MD5sum: 768fbc8ed05035adfe9667b4bdc1c4a2 Description: SNIA HBAAPI library (development files) The SNIA HBA API library. C-level project to manage Fibre Channel Host Bust Adapters. . This package contains libraries and header files for developing applications that use the HBAAPI library Package: libhbaapi2 Source: libhbaapi Version: 2.2.5-1 Architecture: armhf Maintainer: Debian FCoE Maintainers Installed-Size: 78 Depends: libc6 (>= 2.13-28) Homepage: http://www.open-fcoe.org Priority: extra Section: libs Filename: pool/main/libh/libhbaapi/libhbaapi2_2.2.5-1_armhf.deb Size: 19096 SHA256: bc1699c9a3534f6963a3d12e985c8a64089665d4a99e2071009d6e302b3efef8 SHA1: 2f6a2e3848a463bae21791ae404bf3f10d80291e MD5sum: 73909275c5ae68ad5f948c89ce2c2c71 Description: SNIA HBAAPI library The SNIA HBA API library. C-level project to manage Fibre Channel Host Bust Adapters. . This package contains libraries for developing applications to manage Fibre Channel Host Bus Adapters Package: libhbalinux-dev Source: libhbalinux Version: 1.0.14-1 Architecture: armhf Maintainer: Debian FCoE Maintainers Installed-Size: 37 Depends: libhbalinux2 (= 1.0.14-1) Homepage: http://www.open-fcoe.org Priority: extra Section: libdevel Filename: pool/main/libh/libhbalinux/libhbalinux-dev_1.0.14-1_armhf.deb Size: 4034 SHA256: ca313fd30d0c6d7872e4e7df18cd7e6be66e94767d1fbdefca2357fe12493bd0 SHA1: 01f7fa0e0e17da25e86ea33730e87883a85ca3ef MD5sum: 1723c62d75ff964f4333bd4b8c484480 Description: Library headers files for retrieving FCOE adapter information The SNIA HBA API library. C-level project to manage Fibre Channel Host Bus Adapters. . The libhalinux-dev package contains libraries and header files for developnig applications that use libhbalinux Package: libhbalinux2 Source: libhbalinux Version: 1.0.14-1 Architecture: armhf Maintainer: Debian FCoE Maintainers Installed-Size: 63 Depends: libc6 (>= 2.13-28), libpciaccess0 Homepage: http://www.open-fcoe.org Priority: extra Section: libs Filename: pool/main/libh/libhbalinux/libhbalinux2_1.0.14-1_armhf.deb Size: 17634 SHA256: 89a1ebbd35b16a13bfb7c67df462388ad4a3538b7e60aae80ae5381f90559ac2 SHA1: c2db9b2c4affd09bd25b9ac97aa649ac1e5c6121 MD5sum: cbc9cadd64585083fbf4e0c5bcf98b07 Description: Library for retrieving FCOE adapater information The SNIA HBA API library. C-level project to manage Fibre Channel Host Bus Adapters. . This package contains libraries for developing applications to manage Fibre Channel Host Bus Adapters Package: libhcrypto4-heimdal Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Architecture: armhf Maintainer: Brian May Installed-Size: 256 Pre-Depends: multiarch-support Depends: libasn1-8-heimdal (>= 1.4.0+git20110226), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroken18-heimdal (>= 1.4.0+git20110226) Multi-Arch: same Homepage: http://www.h5l.org/ Priority: optional Section: libs Filename: pool/main/h/heimdal/libhcrypto4-heimdal_1.6~git20120403+dfsg1-2+deb7u1_armhf.deb Size: 116588 SHA256: a6ad6338e2c5518bbce2fe635d7702139fb7a77dc72b6f76f667d7d3ac9235a2 SHA1: 298dcefe53cd4649064f655d5a006e8cff2b8ef3 MD5sum: 34f7542ce566287cce3a0d8059102d75 Description: Heimdal Kerberos - crypto library Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. . This package contains the cryptographic library required for Heimdal. Package: libhd-dev Source: hwinfo Version: 16.0-2.2 Architecture: armhf Maintainer: William Vera Installed-Size: 1654 Depends: libhd16 (= 16.0-2.2), libdbus-1-dev (>= 0.61), libhal-dev (>= 0.5) Suggests: libhd-doc Provides: libhd12-dev, libhd13-dev, libhd14-dev, libhd15-dev, libhd16-dev Priority: optional Section: libdevel Filename: pool/main/h/hwinfo/libhd-dev_16.0-2.2_armhf.deb Size: 583766 SHA256: 4d3bf7cabd643f28dd6e0caf2368dc35237b53195039ef0d5b91879ddb298ed2 SHA1: 51ded5891998652086b4fcfcce0fb2b229ee7fb1 MD5sum: e0f7f46721b6b3dc5ce6e8ac24f73198 Description: Hardware identification system library and headers hwinfo is the hardware detection tool used in SuSE Linux. . In Debian Edu (Skolelinux) hwinfo has shown better results than discover when detecting mouse, keyboard and monitor. . hwinfo collects information about the hardware installed on a system. Among others, libhd contains information about cdrom, zip, floppy, disks and partitions, network card, graphics card, monitor, camera, mouse, sound, pppoe, isdn, modem, printer, scanner, bios, cpu, usb, memory and smp. . This package contains the static library and header files from the hwinfo package. Package: libhd-doc Source: hwinfo Version: 16.0-2.2 Installed-Size: 12118 Maintainer: William Vera Architecture: all Provides: libhd12-doc, libhd13-doc, libhd14-doc, libhd15-doc, libhd16-doc Suggests: doc-base Enhances: libhd-dev Size: 1597712 SHA256: 2ef90338ae25df2034c6189f0960b4569df9f0c4245343ccab5907f50555fbf0 SHA1: 15f49e4dd77843295d6827b8f1c36b29723bcd03 MD5sum: be7b11c39a56f94b876e93e1265d33ef Description: Hardware identification system library documentation hwinfo is the hardware detection tool used in SuSE Linux. . In Debian Edu (Skolelinux) hwinfo has shown better results than discover when detecting mouse, keyboard and monitor. . hwinfo collects information about the hardware installed on a system. Among others, libhd contains information about cdrom, zip, floppy, disks and partitions, network card, graphics card, monitor, camera, mouse, sound, pppoe, isdn, modem, printer, scanner, bios, cpu, usb, memory and smp. . This package contains the documentation for the libhd13-dev package. Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/h/hwinfo/libhd-doc_16.0-2.2_all.deb Package: libhd16 Source: hwinfo Version: 16.0-2.2 Architecture: armhf Maintainer: William Vera Installed-Size: 1414 Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libgcc1 (>= 1:4.4.0), libhal1 (>= 0.5.8.1) Priority: optional Section: libs Filename: pool/main/h/hwinfo/libhd16_16.0-2.2_armhf.deb Size: 516478 SHA256: af186e762d6d3fd60e1046e4f9e48d5334028dea351d52f7be65172222f69193 SHA1: 84ba0489203e3738f0aaa54894ea1787eb8b5753 MD5sum: 59c913e5a3e867bfad695b2aff52576c Description: Hardware identification system library hwinfo is the hardware detection tool used in SuSE Linux. . In Debian Edu (Skolelinux) hwinfo has shown better results than discover when detecting mouse, keyboard and monitor. . hwinfo collects information about the hardware installed on a system. Among others, libhd contains information about cdrom, zip, floppy, disks and partitions, network card, graphics card, monitor, camera, mouse, sound, pppoe, isdn, modem, printer, scanner, bios, cpu, usb, memory and smp. . This package contains the shared library from the hwinfo package. Package: libhdate-dev Source: libhdate Version: 1.6-1 Architecture: armhf Maintainer: Debian Hebrew Packaging Team Installed-Size: 210 Depends: libhdate1 (= 1.6-1) Homepage: http://libhdate.sourceforge.net/ Priority: optional Section: libdevel Filename: pool/main/libh/libhdate/libhdate-dev_1.6-1_armhf.deb Size: 93618 SHA256: 594edd8eafa85daec93d76152269b4fde5b1911567726124b4911b9e0fd76894 SHA1: 82b15ea438e379b8428120cedf9c79ddbcc1d4dd MD5sum: 3810bc3c85cc132233cc6da57ea5fc60 Description: Provides a library that help use hebrew dates (development files) LibHdate is a small C,C++ library for Hebrew dates, holidays, and reading sequence (parasha). It is using the source code from Amos Shapir's "hdate" package fixed and patched by Nadav Har'El. The Torah reading sequence is from tables by Zvi Har'El. . This package contains headers and support files required to build new applications with libhdate. Package: libhdate-perl Source: libhdate Version: 1.6-1 Architecture: armhf Maintainer: Debian Hebrew Packaging Team Installed-Size: 129 Depends: libhdate1 (= 1.6-1), perl (>= 5.14.2-9), perlapi-5.14.2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0) Homepage: http://libhdate.sourceforge.net/ Priority: optional Section: perl Filename: pool/main/libh/libhdate/libhdate-perl_1.6-1_armhf.deb Size: 29948 SHA256: fb300d1295e208dce603f3604092a4694adcd7b4a9fbbbfc57fa7f8691792055 SHA1: f3d18adcc40b5247e5c1db65b5740c5f4720c488 MD5sum: 5e935d656d2e7acdf263ec2c271b5e1e Description: Provides a library that help use hebrew dates (perl bindings) LibHdate is a small C,C++ library for Hebrew dates, holidays, and reading sequence (parasha). It is using the source code from Amos Shapir's "hdate" package fixed and patched by Nadav Har'El. The Torah reading sequence is from tables by Zvi Har'El. . This package contains perl bindings to libhdate Package: libhdate1 Source: libhdate Version: 1.6-1 Architecture: armhf Maintainer: Debian Hebrew Packaging Team Installed-Size: 254 Depends: libc6 (>= 2.13-28) Conflicts: libhdate0 Replaces: libhdate0 Homepage: http://libhdate.sourceforge.net/ Priority: optional Section: libs Filename: pool/main/libh/libhdate/libhdate1_1.6-1_armhf.deb Size: 76218 SHA256: a5bbcf3b8fd0531bbf9c9577f9313f942b3d31b1495c59bae29d8c264917d53d SHA1: 7b6e71446efd1446339cec9575c000c90e2d88a0 MD5sum: 06a49b4ea4a671c7c565df6ac2424430 Description: Provides a library that help use hebrew dates LibHdate is a small C,C++ library for Hebrew dates, holidays, and reading sequence (parasha). It is using the source code from Amos Shapir's "hdate" package fixed and patched by Nadav Har'El. The Torah reading sequence is from tables by Zvi Har'El. Package: libhdb9-heimdal Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Architecture: armhf Maintainer: Brian May Installed-Size: 187 Pre-Depends: multiarch-support Depends: libasn1-8-heimdal (>= 1.6~git20120311g), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libdb5.1, libkrb5-26-heimdal (>= 1.4.0+git20110226), libldap-2.4-2 (>= 2.4.7), libroken18-heimdal (>= 1.4.0+git20110226), libsqlite3-0 (>= 3.5.9) Conflicts: heimdal-libs (<< 0.3e-5) Replaces: heimdal-lib (<< 0.3e-5) Multi-Arch: same Homepage: http://www.h5l.org/ Priority: optional Section: libs Filename: pool/main/h/heimdal/libhdb9-heimdal_1.6~git20120403+dfsg1-2+deb7u1_armhf.deb Size: 77326 SHA256: 63fa629b9d6a92f709e2825313564089d34934276f6376413f7f86fbe1a7cb52 SHA1: 16ce4bd86e978754619081a76192cac2c2deea0b MD5sum: 57a3f079070c087c7a62dfd92e0e7afe Description: Heimdal Kerberos - kadmin server library Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. . This package contains the library for storing the KDC database. Package: libhdf4-0 Source: libhdf4 Version: 4.2r4-13 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 686 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), libjpeg8 (>= 8c), zlib1g (>= 1:1.1.4) Suggests: libhdf4-doc, libhdf4-dev, hdf4-tools Homepage: http://www.hdfgroup.com/ Priority: optional Section: libs Filename: pool/main/libh/libhdf4/libhdf4-0_4.2r4-13_armhf.deb Size: 317594 SHA256: a8422cb1c5ac07b8abe18cada194133d1a1611125e1eeb3a25b240c857f16620 SHA1: 70eb30325494ee464eceb1224fd70c2378368acf MD5sum: 5420042740336ff76748ff1a392e5fee Description: Hierarchical Data Format 4 library -- library package HDF is a multi-object file format for storing and transferring graphical and numerical data mainly used in scientific computing. HDF supports several different data models, including multidimensional arrays, raster images, and tables. Each defines a specific aggregate data type and provides an API for reading, writing, and organizing the data and metadata. New data models can be added by the HDF developers or users. . This package contains the HDF run-time libraries. Package: libhdf4-0-alt Source: libhdf4 Version: 4.2r4-13 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 609 Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), zlib1g (>= 1:1.1.4) Suggests: libhdf4-doc, libhdf4-alt-dev, hdf4-tools, libnetcdf4 Homepage: http://www.hdfgroup.com/ Priority: extra Section: libs Filename: pool/main/libh/libhdf4/libhdf4-0-alt_4.2r4-13_armhf.deb Size: 286288 SHA256: 50d671529c3c8731589608ad26977ab78abad0c973cd85c547d961042fb10188 SHA1: 20e5a05cc401ea8fb6152f53b77b21fe73e78d88 MD5sum: 7a53299876bf5819c8665138d57504f5 Description: Hierarchical Data Format 4 library -- library package HDF is a multi-object file format for storing and transferring graphical and numerical data mainly used in scientific computing. HDF supports several different data models, including multidimensional arrays, raster images, and tables. Each defines a specific aggregate data type and provides an API for reading, writing, and organizing the data and metadata. New data models can be added by the HDF developers or users. . This package contains the HDF run-time libraries which do not collide with the NetCDF library namespace. Fortran functions are missing in this flavor of the library set. Package: libhdf4-alt-dev Source: libhdf4 Version: 4.2r4-13 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 1276 Depends: libhdf4-0-alt (= 4.2r4-13), zlib1g-dev, libjpeg-dev, libnetcdf-dev Conflicts: libhdf4-dev, libhdf4g-dev (<< 4.2r4-1) Replaces: libhdf4-dev, libhdf4g-dev (<< 4.2r4-1) Homepage: http://www.hdfgroup.com/ Priority: extra Section: libdevel Filename: pool/main/libh/libhdf4/libhdf4-alt-dev_4.2r4-13_armhf.deb Size: 408184 SHA256: adde970cedcb1a53ae1aa0e5555b3be0f6e33d49c7b0e864cbdc09da2a7d18be SHA1: d80b15bd923983fb4b9c352e8ef6312e0290a4fa MD5sum: eae384b7fc9227ce60b61d6973108aca Description: Hierarchical Data Format 4 library -- development package HDF is a multi-object file format for storing and transferring graphical and numerical data mainly used in scientific computing. HDF supports several different data models, including multidimensional arrays, raster images, and tables. Each defines a specific aggregate data type and provides an API for reading, writing, and organizing the data and metadata. New data models can be added by the HDF developers or users. . This package contains development stuff, including files and static library for the HDF package in the flavor compatible with the NetCDF library. Package: libhdf4-dev Source: libhdf4 Version: 4.2r4-13 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 1548 Depends: libhdf4-0 (= 4.2r4-13), zlib1g-dev, libjpeg-dev Conflicts: libhdf4g-dev (<< 4.2r4-1) Replaces: libhdf4g-dev (<< 4.2r4-1) Homepage: http://www.hdfgroup.com/ Priority: optional Section: libdevel Filename: pool/main/libh/libhdf4/libhdf4-dev_4.2r4-13_armhf.deb Size: 468992 SHA256: d2b3fce39912cebbc32cc57fc1beddb353cce189989234bc901a8631ecb44033 SHA1: 3a70e05527c099265335c351e84ba6d0f6447dc7 MD5sum: 4df75be10e52ea1c94292bda9c3d8853 Description: Hierarchical Data Format 4 library -- development package HDF is a multi-object file format for storing and transferring graphical and numerical data mainly used in scientific computing. HDF supports several different data models, including multidimensional arrays, raster images, and tables. Each defines a specific aggregate data type and provides an API for reading, writing, and organizing the data and metadata. New data models can be added by the HDF developers or users. . This package contains development stuff, including files and static library for the HDF package and some HDF API reference manpages. Package: libhdf4-doc Source: libhdf4 Version: 4.2r4-13 Installed-Size: 12267 Maintainer: Debian GIS Project Architecture: all Replaces: libhdf4g-doc (<< 4.2r4-1) Provides: libhdf4g-doc Suggests: libhdf4-dev Conflicts: libhdf4g-doc (<< 4.2r4-1) Size: 2635632 SHA256: 4a7e81b76d4487ca76357ec1358fd4fd5609c0729d88bda58d69c296802c728b SHA1: ebdd2096a610bf1d1e18de1bb5d001354e67ba4f MD5sum: e8a10d3f4b7a3cc32eedb00a1c38a83b Description: Hierarchical Data Format 4 library -- documentation HDF is a multi-object file format for storing and transferring graphical and numerical data mainly used in scientific computing. HDF supports several different data models, including multidimensional arrays, raster images, and tables. Each defines a specific aggregate data type and provides an API for reading, writing, and organizing the data and metadata. New data models can be added by the HDF developers or users. . This package contains user guide and reference manual for HDF format and API Homepage: http://www.hdfgroup.com/ Tag: devel::doc, devel::examples, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libh/libhdf4/libhdf4-doc_4.2r4-13_all.deb Package: libhdf4g-dev Source: libhdf4 Version: 4.2r4-13 Installed-Size: 18 Maintainer: Debian GIS Project Architecture: all Depends: libhdf4-dev Size: 13444 SHA256: bf6c1fafa226bfa30f0fdb1b93c102df64215868a177cdfeef62a1f04d2c908f SHA1: 8b0a6ee5a01c9fa5dcc96ec1e3b0f18f3863564a MD5sum: 2d9564cb94c131828288e04de4aac3c0 Description: Hierarchical Data Format 4 library -- transitional development package HDF is a multi-object file format for storing and transferring graphical and numerical data mainly used in scientific computing. HDF supports several different data models, including multidimensional arrays, raster images, and tables. Each defines a specific aggregate data type and provides an API for reading, writing, and organizing the data and metadata. New data models can be added by the HDF developers or users. . This package is provided for transitional purpose. It can be safely removed after upgrading. Homepage: http://www.hdfgroup.com/ Tag: devel::library, field::physics, role::devel-lib, use::storing Section: libdevel Priority: optional Filename: pool/main/libh/libhdf4/libhdf4g-dev_4.2r4-13_all.deb Package: libhdf5-7 Source: hdf5 Version: 1.8.8-9+deb7u1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 5115 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), zlib1g (>= 1:1.1.4) Conflicts: libhdf5-1.8, libhdf5-1.8.4, libhdf5-1.8.6, libhdf5-1.8.7, libhdf5-7, libhdf5-serial-1.8.4, libhdf5-serial-1.8.6, libhdf5-serial-1.8.7 Replaces: libhdf5-1.8.4, libhdf5-1.8.6, libhdf5-1.8.7, libhdf5-serial-1.8.4, libhdf5-serial-1.8.6, libhdf5-serial-1.8.7 Provides: libhdf5-1.8, libhdf5-7 Homepage: http://hdfgroup.org/HDF5/ Priority: optional Section: libs Filename: pool/main/h/hdf5/libhdf5-7_1.8.8-9+deb7u1_armhf.deb Size: 1411724 SHA256: d7f6f3d16506bacf99bb5df1a1b1e8b206688e9e3267cc28e5975ae2939a30e5 SHA1: 71f2aa9e3ba1a517763b1c1b792005904c61149c MD5sum: 81c88b8892ae77ee8f0a1c701f1860f6 Description: Hierarchical Data Format 5 (HDF5) - runtime files - serial version HDF5 is a file format and library for storing scientific data. HDF5 was designed and implemented to address the deficiencies of HDF4.x. It has a more powerful and flexible data model, supports files larger than 2 GB, and supports parallel I/O. . This package contains runtime files for serial platforms. Package: libhdf5-7-dbg Source: hdf5 Version: 1.8.8-9+deb7u1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 430 Depends: libhdf5-7 (= 1.8.8-9+deb7u1) Homepage: http://hdfgroup.org/HDF5/ Priority: extra Section: debug Filename: pool/main/h/hdf5/libhdf5-7-dbg_1.8.8-9+deb7u1_armhf.deb Size: 156358 SHA256: b94849678e59c3e68cf65db06aab5ac058b3ee7daa2998abf3cb90f108aaf0c3 SHA1: 031fcb5f0105389b32e91349662387176d6f1918 MD5sum: 2081c84224290fbd29a51070e2ba0d12 Description: Hierarchical Data Format 5 (HDF5) - Debug package HDF5 is a file format and library for storing scientific data. HDF5 was designed and implemented to address the deficiencies of HDF4.x. It has a more powerful and flexible data model, supports files larger than 2 GB, and supports parallel I/O. . This package contains debug libraries. Package: libhdf5-dev Source: hdf5 Version: 1.8.8-9+deb7u1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 17800 Depends: libhdf5-7 (= 1.8.8-9+deb7u1), zlib1g-dev, libjpeg-dev, hdf5-helpers Suggests: libhdf5-doc Conflicts: libhdf5-serial-dev (<< 1.8.8-2) Replaces: libhdf5-serial-dev (<< 1.8.8-2) Provides: libhdf5-serial-dev Homepage: http://hdfgroup.org/HDF5/ Priority: optional Section: libdevel Filename: pool/main/h/hdf5/libhdf5-dev_1.8.8-9+deb7u1_armhf.deb Size: 2376876 SHA256: 68d29adf18ca7f3838f1a30be3fd093026a5039d44d2b362d1d27274cc4a1f3b SHA1: e664548ca2504f5d7e1a0dd2e862ff8a45c59021 MD5sum: 96ae382bd1175d91b1b9b5f98f81b32c Description: Hierarchical Data Format 5 (HDF5) - development files - serial version HDF5 is a file format and library for storing scientific data. HDF5 was designed and implemented to address the deficiencies of HDF4.x. It has a more powerful and flexible data model, supports files larger than 2 GB, and supports parallel I/O. . This package contains development files for serial platforms. Package: libhdf5-doc Source: hdf5 Version: 1.8.8-9+deb7u1 Installed-Size: 37919 Maintainer: Debian GIS Project Architecture: all Suggests: libhdf5-dev, www-browser, pdf-viewer, doc-base Size: 23811944 SHA256: 4feab0e823a32b2f668c8d1b0da199b274e8ee469d1c0ade06b75694e591929d SHA1: 38ac1298eff775aba6806b0fb21cc959a8f61349 MD5sum: 06e61b011d4aaac99807705a91c02301 Description: Hierarchical Data Format 5 (HDF5) - Documentation HDF5 is a file format and library for storing scientific data. HDF5 was designed and implemented to address the deficiencies of HDF4.x. It has a more powerful and flexible data model, supports files larger than 2 GB, and supports parallel I/O. . This package contains documentation for HDF5. Homepage: http://hdfgroup.org/HDF5/ Section: doc Priority: optional Filename: pool/main/h/hdf5/libhdf5-doc_1.8.8-9+deb7u1_all.deb Package: libhdf5-mpi-dev Source: hdf5 Version: 1.8.8-9+deb7u1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 25 Depends: libhdf5-openmpi-dev, mpi-default-dev Homepage: http://hdfgroup.org/HDF5/ Priority: extra Section: libdevel Filename: pool/main/h/hdf5/libhdf5-mpi-dev_1.8.8-9+deb7u1_armhf.deb Size: 24510 SHA256: 99bd80532109d532c3854d676cd6be576ab7a1df9d03810510d3d36a4712fbd1 SHA1: f8662ce9682c38c0b3c29d6a748140b04f07fcda MD5sum: 01f2e24ec726d8e3c7bfeb49353139c3 Description: Hierarchical Data Format 5 (HDF5) - development files - MPICH2 version HDF5 is a file format and library for storing scientific data. HDF5 was designed and implemented to address the deficiencies of HDF4.x. It has a more powerful and flexible data model, supports files larger than 2 GB, and supports parallel I/O. . This package depends on the default MPI version of HDF5 for each platform. Package: libhdf5-mpich2-7 Source: hdf5 Version: 1.8.8-9+deb7u1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 5244 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), libmpich2-3, zlib1g (>= 1:1.1.4) Conflicts: libhdf5-1.8, libhdf5-1.8.4, libhdf5-1.8.6, libhdf5-1.8.7, libhdf5-7, libhdf5-mpich2-1.8.4, libhdf5-mpich2-1.8.6, libhdf5-mpich2-1.8.7 Replaces: libhdf5-1.8.4, libhdf5-1.8.6, libhdf5-1.8.7, libhdf5-mpich2-1.8.4, libhdf5-mpich2-1.8.6, libhdf5-mpich2-1.8.7 Provides: libhdf5-1.8, libhdf5-7 Homepage: http://hdfgroup.org/HDF5/ Priority: extra Section: libs Filename: pool/main/h/hdf5/libhdf5-mpich2-7_1.8.8-9+deb7u1_armhf.deb Size: 1444656 SHA256: f1e3cfb28bb9f243a9884adfa4401b620284b0b22520574347cc639e1b1d846a SHA1: 922fd699b48979eaee6559743701ad942353f3b9 MD5sum: 5e790b07dedbc760a6bccc1bf5e8398e Description: Hierarchical Data Format 5 (HDF5) - runtime files - MPICH2 version HDF5 is a file format and library for storing scientific data. HDF5 was designed and implemented to address the deficiencies of HDF4.x. It has a more powerful and flexible data model, supports files larger than 2 GB, and supports parallel I/O. . This package contains runtime files for use with MPICH2. Warning: the C++ interface is not provided for this version. Package: libhdf5-mpich2-7-dbg Source: hdf5 Version: 1.8.8-9+deb7u1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 442 Depends: libhdf5-mpich2-7 (= 1.8.8-9+deb7u1) Homepage: http://hdfgroup.org/HDF5/ Priority: extra Section: debug Filename: pool/main/h/hdf5/libhdf5-mpich2-7-dbg_1.8.8-9+deb7u1_armhf.deb Size: 160510 SHA256: d4de5f8dafd30a4d58e8009d75b410d30c60be5e86b906cc243e5ed054f9fc39 SHA1: daa0064be14584c2e646effac587edf8a68fd83d MD5sum: c0de365082af3d4c0841c3334c5f723c Description: Hierarchical Data Format 5 (HDF5) - Mpich2 Debug package HDF5 is a file format and library for storing scientific data. HDF5 was designed and implemented to address the deficiencies of HDF4.x. It has a more powerful and flexible data model, supports files larger than 2 GB, and supports parallel I/O. . This package contains debug hdf5/mpich2 libraries. Package: libhdf5-mpich2-dev Source: hdf5 Version: 1.8.8-9+deb7u1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 18323 Depends: libhdf5-mpich2-7 (= 1.8.8-9+deb7u1), zlib1g-dev, libjpeg-dev, libmpich2-dev, hdf5-helpers Suggests: libhdf5-doc Conflicts: libhdf5-dev Provides: libhdf5-dev Homepage: http://hdfgroup.org/HDF5/ Priority: extra Section: libdevel Filename: pool/main/h/hdf5/libhdf5-mpich2-dev_1.8.8-9+deb7u1_armhf.deb Size: 2438180 SHA256: 3a06efe8f9e7517ad30270f16f9a9745160b81943951230ea0e29d2c85ea1c45 SHA1: 8110b2b54f9b3800be5c9bed628d3d82958b14ee MD5sum: 00023ab067c022639e6ad82b3eb040a2 Description: Hierarchical Data Format 5 (HDF5) - development files - MPICH2 version HDF5 is a file format and library for storing scientific data. HDF5 was designed and implemented to address the deficiencies of HDF4.x. It has a more powerful and flexible data model, supports files larger than 2 GB, and supports parallel I/O. . This package contains development files for use with MPICH2. Warning: the C++ interface is not provided for this version. Package: libhdf5-openmpi-7 Source: hdf5 Version: 1.8.8-9+deb7u1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 5240 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), libopenmpi1.3, zlib1g (>= 1:1.1.4) Conflicts: libhdf5-1.8, libhdf5-1.8.4, libhdf5-1.8.6, libhdf5-1.8.7, libhdf5-7, libhdf5-openmpi-1.8.4, libhdf5-openmpi-1.8.6, libhdf5-openmpi-1.8.7 Replaces: libhdf5-1.8.4, libhdf5-1.8.6, libhdf5-1.8.7, libhdf5-openmpi-1.8.4, libhdf5-openmpi-1.8.6, libhdf5-openmpi-1.8.7 Provides: libhdf5-1.8, libhdf5-7 Homepage: http://hdfgroup.org/HDF5/ Priority: extra Section: libs Filename: pool/main/h/hdf5/libhdf5-openmpi-7_1.8.8-9+deb7u1_armhf.deb Size: 1445070 SHA256: 5121b938743240d1e668c22ca5603acdc438aa0804714cfbb99f033d8107d93f SHA1: f3426922ef682254e0b4fc3b39ef98758d3b849d MD5sum: 238557f22bc895a5e04dac8af332ac53 Description: Hierarchical Data Format 5 (HDF5) - runtime files - OpenMPI version HDF5 is a file format and library for storing scientific data. HDF5 was designed and implemented to address the deficiencies of HDF4.x. It has a more powerful and flexible data model, supports files larger than 2 GB, and supports parallel I/O. . This package contains runtime files for use with OpenMPI. Package: libhdf5-openmpi-7-dbg Source: hdf5 Version: 1.8.8-9+deb7u1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 442 Depends: libhdf5-openmpi-7 (= 1.8.8-9+deb7u1) Homepage: http://hdfgroup.org/HDF5/ Priority: extra Section: debug Filename: pool/main/h/hdf5/libhdf5-openmpi-7-dbg_1.8.8-9+deb7u1_armhf.deb Size: 160558 SHA256: e6ecbcbcff2d947125131e25fbdf47fa2cf40791cb1ab21f53ab37248d7490b2 SHA1: c9e4b604981531e351db7b9b8dc1bc39f07db9c9 MD5sum: 5d015b4abf79971f2f4ce03ccbb9f0ab Description: Hierarchical Data Format 5 (HDF5) - OpenMPI Debug package HDF5 is a file format and library for storing scientific data. HDF5 was designed and implemented to address the deficiencies of HDF4.x. It has a more powerful and flexible data model, supports files larger than 2 GB, and supports parallel I/O. . This package contains debug hdf5/openmpi libraries. Package: libhdf5-openmpi-dev Source: hdf5 Version: 1.8.8-9+deb7u1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 18324 Depends: libhdf5-openmpi-7 (= 1.8.8-9+deb7u1), zlib1g-dev, libjpeg-dev, libopenmpi-dev, hdf5-helpers Suggests: libhdf5-doc Conflicts: libhdf5-dev Provides: libhdf5-dev Homepage: http://hdfgroup.org/HDF5/ Priority: extra Section: libdevel Filename: pool/main/h/hdf5/libhdf5-openmpi-dev_1.8.8-9+deb7u1_armhf.deb Size: 2438622 SHA256: 7beef20d8b93205da9e6244aad01cbf44a9ea10027efd9d60584915334224a1b SHA1: c6632117c29b47b4f2ba58a600383ce8b2e39a09 MD5sum: fb923dac5f0125d3f53aa41d08cb86ad Description: Hierarchical Data Format 5 (HDF5) - development files - OpenMPI version HDF5 is a file format and library for storing scientific data. HDF5 was designed and implemented to address the deficiencies of HDF4.x. It has a more powerful and flexible data model, supports files larger than 2 GB, and supports parallel I/O. . This package contains development files for use with OpenMPI. Package: libhdf5-serial-dev Source: hdf5 Version: 1.8.8-9+deb7u1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 25 Depends: libhdf5-dev (= 1.8.8-9+deb7u1) Homepage: http://hdfgroup.org/HDF5/ Priority: optional Section: libdevel Filename: pool/main/h/hdf5/libhdf5-serial-dev_1.8.8-9+deb7u1_armhf.deb Size: 24480 SHA256: 16031d332f8189c56db05e5dbb917dd1ebd9c4f8dda620d69eaa444c41221ab4 SHA1: 3783b6deaad869e9e011255fdecee68d818d2d2f MD5sum: a348e09d78bd2c73b417554f27ded2ad Description: Hierarchical Data Format 5 (HDF5) - development files - transitionnal package HDF5 is a file format and library for storing scientific data. HDF5 was designed and implemented to address the deficiencies of HDF4.x. It has a more powerful and flexible data model, supports files larger than 2 GB, and supports parallel I/O. . This package is a transitionnal package. Package: libhdfeos-dev Source: hdf-eos4 Version: 2.17v1.00.dfsg.1-3 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 708 Depends: libhdfeos0 (= 2.17v1.00.dfsg.1-3) Recommends: pkg-config Homepage: http://www.hdfeos.org Priority: optional Section: libdevel Filename: pool/main/h/hdf-eos4/libhdfeos-dev_2.17v1.00.dfsg.1-3_armhf.deb Size: 230828 SHA256: e3ab72cf1d621e2e321277028990f101327547a5f9c86fb84c804bb31c6c2665 SHA1: fd44f79b12d03da67286770cae267ef35f8c0169 MD5sum: 14787cdc97d3d7f0bfb7b548c78dbe18 Description: Development files for the HDF-EOS4 library HDF-EOS4 is a software library designed built on HDF4 to support EOS-specific data structures, namely Grid, Point, and Swath. The new data structures are constructed from standard HDF data objects, using EOS conventions, through the use of a software library [1,4]. A key feature of HDF-EOS files is that instrument-independent services, such as subsetting by geolocation, can be applied to the files across a wide variety of data products. . This package contains include files and static libraries for HDF-EOS4. Package: libhdfeos0 Source: hdf-eos4 Version: 2.17v1.00.dfsg.1-3 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 415 Depends: libc6 (>= 2.13-28), libgctp0d, libhdf4-0, libjpeg8 (>= 8c), zlib1g (>= 1:1.1.4) Homepage: http://www.hdfeos.org Priority: optional Section: libs Filename: pool/main/h/hdf-eos4/libhdfeos0_2.17v1.00.dfsg.1-3_armhf.deb Size: 129792 SHA256: d3ebe1cacb42a4d3da783f2bad6e3a403bd2665eaa540ccb5163663a973c588b SHA1: 10732491d37522e0c6bc5ea5bb00830309099f52 MD5sum: 83569c7b512274cbf67299863d5dddf6 Description: Earth Observation System extensions to HDF4 HDF-EOS4 is a software library designed built on HDF4 to support EOS-specific data structures, namely Grid, Point, and Swath. The new data structures are constructed from standard HDF data objects, using EOS conventions, through the use of a software library [1,4]. A key feature of HDF-EOS files is that instrument-independent services, such as subsetting by geolocation, can be applied to the files across a wide variety of data products. The library is extensible and new data structures can be added. Package: libhdfeos5-ruby Source: ruby-hdfeos5 Version: 1.0-2 Installed-Size: 40 Maintainer: Debian GIS Project Architecture: all Depends: libhdfeos5-ruby1.8 Size: 9570 SHA256: 732e40e5a3027347d4a2a178818743c40df0d5dec592b2967b2e60084d898614 SHA1: d8857716908139c8a78dbc46ee8afad132f0b069 MD5sum: d15058fc981f39003bea861d944e85c5 Description: Ruby interface to the HDF-EOS5 library Ruby interface to the HDF-EOS5 library built on the NArray library, which is an efficient multi-dimensional numeric array class for Ruby. . This is a dependency package which depends on Debian's default Ruby version (currently 1.8.x). Homepage: http://ruby.gfd-dennou.org/products/ruby-hdfeos5/ Section: ruby Priority: optional Filename: pool/main/r/ruby-hdfeos5/libhdfeos5-ruby_1.0-2_all.deb Package: libhdfeos5-ruby-doc Source: ruby-hdfeos5 Version: 1.0-2 Installed-Size: 740 Maintainer: Debian GIS Project Architecture: all Size: 90454 SHA256: f050ed2dd1962f5f66442a2cd8bbc721d6a38e84522ee9b55af80cb4005b2d89 SHA1: a75f524dd116dc81d07c9983a40edd19e310cb71 MD5sum: ec71a3f34bc521e76327dcb6cb3dab8b Description: Ruby HDF-EOS library API documents Ruby interface to the HDF-EOS5 library built on the NArray library, which is an efficient multi-dimensional numeric array class for Ruby. . This package provides all API Documents. Homepage: http://ruby.gfd-dennou.org/products/ruby-hdfeos5/ Tag: devel::doc, devel::lang:ruby, role::documentation Section: doc Priority: optional Filename: pool/main/r/ruby-hdfeos5/libhdfeos5-ruby-doc_1.0-2_all.deb Package: libhdfeos5-ruby1.8 Source: ruby-hdfeos5 Version: 1.0-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 330 Depends: ruby1.8, libnarray-miss-ruby1.8, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgctp0d, libhdf5-7, libhe5-hdfeos0, libruby1.8 (>= 1.8.7.352) Suggests: libhdfeos5-ruby-doc Homepage: http://ruby.gfd-dennou.org/products/ruby-hdfeos5/ Priority: optional Section: ruby Filename: pool/main/r/ruby-hdfeos5/libhdfeos5-ruby1.8_1.0-2_armhf.deb Size: 84202 SHA256: da5693afd23dca7321b52c0cb786dd5c3e8c69906ee849e1212227014fbcb8dc SHA1: 8a16135585999f069215dbe0d3fb6150d26e34ff MD5sum: 4f4bf42b1be998a3de121c4cd38ac2c6 Description: Ruby interface to the HDF-EOS5 library Ruby interface to the HDF-EOS5 library built on the NArray library, which is an efficient multi-dimensional numeric array class for Ruby. . This package is built for Ruby 1.8. Package: libhdfeos5-ruby1.8-dbg Source: ruby-hdfeos5 Version: 1.0-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 436 Depends: libhdfeos5-ruby1.8 (= 1.0-2) Homepage: http://ruby.gfd-dennou.org/products/ruby-hdfeos5/ Priority: extra Section: debug Filename: pool/main/r/ruby-hdfeos5/libhdfeos5-ruby1.8-dbg_1.0-2_armhf.deb Size: 141612 SHA256: b17773d079bd784646389b0de58a71522001df8e83f6cbee557055510b3623b6 SHA1: a5ba2f3900a35fe2fd193edb54efe4654b04369a MD5sum: b1d6bebea3657efc9bdb6f44129056d7 Description: Ruby interface to the HDF-EOS5 library Ruby interface to the HDF-EOS5 library built on the NArray library, which is an efficient multi-dimensional numeric array class for Ruby. . This package is build for Ruby 1.8, and provide primarily to provide a backtrace with names in a debugger, this make it somewhat easier to interpret core dumps. Most people will not need this package. Package: libhdfeos5-ruby1.9.1 Source: ruby-hdfeos5 Version: 1.0-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 346 Depends: ruby1.9.1, libnarray-miss-ruby1.9.1, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgctp0d, libhdf5-7, libhe5-hdfeos0, libruby1.9.1 (>= 1.9.2.0) Suggests: libhdfeos5-ruby-doc Homepage: http://ruby.gfd-dennou.org/products/ruby-hdfeos5/ Priority: optional Section: ruby Filename: pool/main/r/ruby-hdfeos5/libhdfeos5-ruby1.9.1_1.0-2_armhf.deb Size: 89774 SHA256: 45a414d8c2950323389002fbec8ed24280385fd3bde200253053f10e25f628b5 SHA1: f5fd3b6239ab4829da00f2420f469f1a99243fa7 MD5sum: 405c5ec4457254872dd7918119151088 Description: Ruby interface to the HDF-EOS5 library Ruby interface to the HDF-EOS5 library built on the NArray library, which is an efficient multi-dimensional numeric array class for Ruby. . This package is built for Ruby 1.9.1. Package: libhdfeos5-ruby1.9.1-dbg Source: ruby-hdfeos5 Version: 1.0-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 465 Depends: libhdfeos5-ruby1.9.1 (= 1.0-2) Homepage: http://ruby.gfd-dennou.org/products/ruby-hdfeos5/ Priority: extra Section: debug Filename: pool/main/r/ruby-hdfeos5/libhdfeos5-ruby1.9.1-dbg_1.0-2_armhf.deb Size: 153588 SHA256: 0eb624c3e3328261489ab15987fb4aad0e3e1b594e7cc8575ca3558fbf1497f7 SHA1: 985b97dd181b3ecdd56d96c4f9b707e2074022d6 MD5sum: 99bdcea4cff5a47c9b414da2de9ed232 Description: Ruby interface to the HDF-EOS5 library Ruby interface to the HDF-EOS5 library built on the NArray library, which is an efficient multi-dimensional numeric array class for Ruby. . This package is build for Ruby 1.9.1, and provide primarily to provide a backtrace with names in a debugger, this make it somewhat easier to interpret core dumps. Most people will not need this package. Package: libhdhomerun-dev Source: libhdhomerun Version: 20120405-1 Architecture: armhf Maintainer: Francois Marier Installed-Size: 107 Depends: libhdhomerun1 (= 20120405-1) Homepage: http://www.silicondust.com/downloads/linux Priority: optional Section: libdevel Filename: pool/main/libh/libhdhomerun/libhdhomerun-dev_20120405-1_armhf.deb Size: 23520 SHA256: ec8f41b473454de7068bc1077518b8b0a91f79cc157b7eaa42a05a06acdb7361 SHA1: 575e2dc1e239d8c5ba9ab9ac9abbc872d13068c1 MD5sum: 0fdb01b813e46331e18c5fa253274c63 Description: Development library for Silicon Dust HD HomeRun This package supports the Silicon Dust HDHomeRun. The HDHomeRun is a networked, two tuner digital TV tuner compatible with MythTV, SageTV, and VLC. . Development package Package: libhdhomerun1 Source: libhdhomerun Version: 20120405-1 Architecture: armhf Maintainer: Francois Marier Installed-Size: 99 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://www.silicondust.com/downloads/linux Priority: optional Section: libs Filename: pool/main/libh/libhdhomerun/libhdhomerun1_20120405-1_armhf.deb Size: 38590 SHA256: d825f2443ea3a2ca94f4021a55e0efa1ebc93353bfd949ae47e92137150808f4 SHA1: 3ccf4060a9c48e21ddfd5328472214423d81006d MD5sum: c1fea87e722b85b10dc25d2074ee0993 Description: Library for Silicon Dust HD HomeRun This package supports the Silicon Dust HDHomeRun. The HDHomeRun is a networked, two tuner digital TV tuner compatible with MythTV, SageTV, and VLC. . Shared library Package: libhe5-hdfeos-dev Source: hdf-eos5 Version: 5.1.13.dfsg.1-3 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 1711 Depends: libhe5-hdfeos0 (= 5.1.13.dfsg.1-3) Recommends: pkg-config Multi-Arch: same Homepage: http://www.hdfeos.org Priority: optional Section: libdevel Filename: pool/main/h/hdf-eos5/libhe5-hdfeos-dev_5.1.13.dfsg.1-3_armhf.deb Size: 617590 SHA256: 3db39fed390eafa8df90c5a778587ba184615ede44f68bede6106d24e7a5927c SHA1: 762e81f7a51db0353682d8be3472ec56751db402 MD5sum: f093cc326ec0e5eca7c15c42b8716cd8 Description: Development files for the HDF-EOS5 library HDF-EOS5 is a software library designed built on HDF5 to support EOS-specific data structures, namely Grid, Point, and Swath. The new data structures are constructed from standard HDF data objects, using EOS conventions, through the use of a software library. A key feature of HDF-EOS files is that instrument-independent services, such as subsetting by geolocation, can be applied to the files across a wide variety of data products. . This package contains include files and static libraries for HDF-EOS5. Package: libhe5-hdfeos0 Source: hdf-eos5 Version: 5.1.13.dfsg.1-3 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 1058 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhdf5-7 Multi-Arch: same Homepage: http://www.hdfeos.org Priority: optional Section: libs Filename: pool/main/h/hdf-eos5/libhe5-hdfeos0_5.1.13.dfsg.1-3_armhf.deb Size: 444682 SHA256: 700ef218213d69e12b7906ddc491d0049dd4d80cfdab867131be922a257ce5f1 SHA1: a6ace49ebc3a3f7790cf5227597ab43d36117035 MD5sum: a43523f90fb9d51a753fc73ea50f4349 Description: Earth Observation System extensions to HDF5 HDF-EOS5 is a software library designed built on HDF5 to support EOS-specific data structures, namely Grid, Point, and Swath. The new data structures are constructed from standard HDF data objects, using EOS conventions, through the use of a software library [1,4]. A key feature of HDF-EOS files is that instrument-independent services, such as subsetting by geolocation, can be applied to the files across a wide variety of data products. The library is extensible and new data structures can be added. Package: libheap-perl Version: 0.80-2 Installed-Size: 184 Maintainer: Dominic Hargreaves Architecture: all Depends: perl (>= 5.6.0-16) Size: 42966 SHA256: 2ed392a1df9b0a880863715d1104b0a151904b8f1356bfe8bdb26d433afaaa63 SHA1: 83dbdee3db915d5cee41e6f1149eb50ef733b66b MD5sum: 8407ab3fe76528352fa0c17f2b897417 Description: Perl extensions for keeping data partially sorted The Heap collection of modules provide routines that manage a heap of elements. A heap is a partially sorted structure that is always able to easily extract the smallest of the elements in the structure (or the largest if a reversed compare routine is provided). . If the collection of elements is changing dynamically, the heap has less overhead than keeping the collection fully sorted. Homepage: http://search.cpan.org/dist/Heap/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libheap-perl/libheap-perl_0.80-2_all.deb Package: libheartbeat2 Source: heartbeat Version: 1:3.0.5-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 137 Depends: libbz2-1.0, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgnutls26 (>= 2.12.17-0), libltdl7 (>= 2.4.2), libplumb2 (>= 1.0.9+hg2665), libuuid1 (>= 2.16), zlib1g (>= 1:1.1.4) Conflicts: heartbeat (<= 2.99.2+sles11r7-0+1) Replaces: heartbeat (<= 2.99.2+sles11r7-0+1) Homepage: http://www.linux-ha.org/ Priority: optional Section: libs Filename: pool/main/h/heartbeat/libheartbeat2_3.0.5-3_armhf.deb Size: 68938 SHA256: 4f8f4b55824b1009ceb138fc65f1ad1891abdf2e5be84c35c9e1ffce54689175 SHA1: 14daf1ab8d535fe6ffda803bc792c9b83f40ff88 MD5sum: ad194ef71e10c3529a4f8015ae070c1c Description: Subsystem for High-Availability Linux (libraries) heartbeat is a messaging subsystem for high availability which implements serial, UDP, and PPP/UDP heartbeats. . It is one of the messaging layers supported by the Pacemaker cluster resource manager. . This package contains the Heartbeat libraries to make them available to other programs like Pacemaker without having to install the whole Heartbeat suite. Package: libheartbeat2-dev Source: heartbeat Version: 1:3.0.5-3 Architecture: armhf Maintainer: Debian HA Maintainers Installed-Size: 244 Depends: libheartbeat2 (= 1:3.0.5-3) Conflicts: heartbeat (<= 2.99.2+sles11r7-0+1) Replaces: heartbeat (<= 2.99.2+sles11r7-0+1) Homepage: http://www.linux-ha.org/ Priority: optional Section: libdevel Filename: pool/main/h/heartbeat/libheartbeat2-dev_3.0.5-3_armhf.deb Size: 92944 SHA256: 8a6fec69e4c9d0e61564567a975f514562797d792b3389d55b9547a909d1ca3f SHA1: 5ebcae604699bfd21481925f0272870c515cd4f8 MD5sum: c7f5d6c80880acc3b3e063a75939b375 Description: Subsystem for High-Availability Linux (development files) heartbeat is a messaging subsystem for high availability which implements serial, UDP, and PPP/UDP heartbeats. . It is one of the messaging layers supported by the Pacemaker cluster resource manager. . This package contains the Heartbeat library development files to make them available to other programs like Pacemaker. Package: libheckle-ruby Source: ruby-heckle Version: 1.4.3-4 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-heckle Size: 4648 SHA256: b2bd01b708e5b05a92ceff54338284bdb21c5ff45aaf3c608a0a50d39fc7bc19 SHA1: 478062f25095613b3c73b1593c72dc5983239b55 MD5sum: 55d8b487c85501e69ce722c212d8d451 Description: Transitional package for ruby-heckle This is a transitional package to ease upgrades to the ruby-heckle package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/seattlerb Section: ruby Priority: optional Filename: pool/main/r/ruby-heckle/libheckle-ruby_1.4.3-4_all.deb Package: libheckle-ruby1.8 Source: ruby-heckle Version: 1.4.3-4 Installed-Size: 28 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-heckle Size: 4658 SHA256: 4f3c492a2263749047ee0c12e8e58eaa00bd73f456d89962ef2f36030826ebc8 SHA1: d78123cd0c503a894f353b2c6ae61ed2ad063d65 MD5sum: c6c798bbc924b118dc71a67b763b5301 Description: Transitional package for ruby-heckle This is a transitional package to ease upgrades to the ruby-heckle package. It can safely be removed. Homepage: http://www.rubyforge.org/projects/seattlerb Section: ruby Priority: optional Filename: pool/main/r/ruby-heckle/libheckle-ruby1.8_1.4.3-4_all.deb Package: libheimbase1-heimdal Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Architecture: armhf Maintainer: Brian May Installed-Size: 117 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28) Multi-Arch: same Homepage: http://www.h5l.org/ Priority: optional Section: libs Filename: pool/main/h/heimdal/libheimbase1-heimdal_1.6~git20120403+dfsg1-2+deb7u1_armhf.deb Size: 49122 SHA256: ed686c9d15e71aead23607792076c1651d6c99774abda1930ac68b893df1476b SHA1: df7dad93e0e7a1f59a6e62b4e8266cc205cdc58f MD5sum: 1d5b3c5d1d9c643c2710c221e9a2ef37 Description: Heimdal Kerberos - Base library Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. . This package contains the base library. Package: libheimdal-kadm5-perl Version: 0.08-4+rpi1 Architecture: armhf Maintainer: Russ Allbery Installed-Size: 132 Depends: libc6 (>= 2.13-28), libkadm5clnt7-heimdal (>= 1.4.0+git20110226), perl (>= 5.14.2-12+rpi1), perlapi-5.14.2 Homepage: http://search.cpan.org/dist/Heimdal-Kadm5/ Priority: extra Section: perl Filename: pool/main/libh/libheimdal-kadm5-perl/libheimdal-kadm5-perl_0.08-4+rpi1_armhf.deb Size: 33540 SHA256: ac21b445481bc4cdf72b3aa5701639d9e8943fc7e836aa9d834f96b9b57b0fe3 SHA1: 9f7675563ebef98b92a33eac20ad877e2e225be9 MD5sum: d8dadf0cbe9c83b21811314fb63b3f6f Description: Perl module to administer a Heimdal Kerberos KDC Heimdal::Kadm5 is a Perl module that wraps the Heimdal libkadm5clnt library and allows administration of a Heimdal KDC inside Perl programs. It mimics the commands that would normally be sent to the server with the kadmin command. Principal creation, deletion, modification, and searching and extraction of keytabs are supported. . This module is equivalent to Authen::Krb5::Admin except for a Heimdal KDC instead of an MIT Kerberos KDC. Package: libheimntlm0-heimdal Source: heimdal Version: 1.6~git20120403+dfsg1-2+deb7u1 Architecture: armhf Maintainer: Brian May Installed-Size: 93 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhcrypto4-heimdal (>= 1.4.0+git20110226), libkrb5-26-heimdal (>= 1.4.0+git20110226), libroken18-heimdal (>= 1.4.0+git20110226) Multi-Arch: same Homepage: http://www.h5l.org/ Priority: optional Section: libs Filename: pool/main/h/heimdal/libheimntlm0-heimdal_1.6~git20120403+dfsg1-2+deb7u1_armhf.deb Size: 38194 SHA256: 09225909e353a4f23e0ed1907184050a2eef39c4698751cbe82aa8c3d3ddacb8 SHA1: 43e4e394902af2e13e512481f03749f8783dadf4 MD5sum: e5e4f5ba68dd3d4f969fa3b921803ba5 Description: Heimdal Kerberos - NTLM support library Heimdal is a free implementation of Kerberos 5 that aims to be compatible with MIT Kerberos. Package: libhepmc-dev Source: hepmc Version: 2.06.09-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 578 Depends: libhepmc4 (= 2.06.09-1) Recommends: libhepmcfio-dev Suggests: hepmc-examples, hepmc-user-manual, hepmc-reference-manual Homepage: http://lcgapp.cern.ch/project/simu/HepMC/ Priority: optional Section: libdevel Filename: pool/main/h/hepmc/libhepmc-dev_2.06.09-1_armhf.deb Size: 162434 SHA256: d97a9ecf9c46a288cb88083ca880d626207e972f6c1fe7beeaa4abfde6ec9467 SHA1: c2a468d946e8c85b72cfc9b91ae83eb9739bb06b MD5sum: b2358cc8bea1d81b89f5419364d9ccaf Description: Event Record for Monte Carlo Generators - development files The HepMC package is an object oriented event record written in C++ for High Energy Physics Monte Carlo Generators. . Many extensions from HEPEVT, the Fortran HEP standard, are supported: the number of entries is unlimited, spin density matrices can be stored with each vertex, flow patterns (such as color) can be stored and traced, integers representing random number generator states can be stored, and an arbitrary number of event weights can be included. Particles and vertices are kept separate in a graph structure, physically similar to a physics event. . The added information supports the modularisation of event generators. Event information is accessed by means of iterators supplied with the package. . This package provides development files of HepMC. Package: libhepmc4 Source: hepmc Version: 2.06.09-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 222 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Recommends: libhepmcfio Suggests: libhepmc-dev, libhepmcfio-dev Multi-Arch: same Homepage: http://lcgapp.cern.ch/project/simu/HepMC/ Priority: optional Section: libs Filename: pool/main/h/hepmc/libhepmc4_2.06.09-1_armhf.deb Size: 93992 SHA256: bb27e5bbdab115db38f8484e11459d4891f1715d1ae7d76fa50bdbf08eff8a65 SHA1: 1842a0bbdcd39aa0f9d579f18d916c2943814407 MD5sum: 363d891f63912fa9024b46e70fa42156 Description: Event Record for Monte Carlo Generators The HepMC package is an object oriented event record written in C++ for High Energy Physics Monte Carlo Generators. . Many extensions from HEPEVT, the Fortran HEP standard, are supported: the number of entries is unlimited, spin density matrices can be stored with each vertex, flow patterns (such as color) can be stored and traced, integers representing random number generator states can be stored, and an arbitrary number of event weights can be included. Particles and vertices are kept separate in a graph structure, physically similar to a physics event. . The added information supports the modularisation of event generators. Event information is accessed by means of iterators supplied with the package. Package: libhepmcfio-dev Source: hepmc Version: 2.06.09-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 286 Depends: libhepmc-dev (= 2.06.09-1), libhepmcfio4 (= 2.06.09-1) Suggests: hepmc-example, hepmc-user-manual, hepmc-reference-manual Homepage: http://lcgapp.cern.ch/project/simu/HepMC/ Priority: optional Section: libdevel Filename: pool/main/h/hepmc/libhepmcfio-dev_2.06.09-1_armhf.deb Size: 94670 SHA256: e4eb4fa432b026dd05be24aa7c897e33cbe88b331fc7564d494eecfb9cd186e7 SHA1: 22714a47b458147569b3652a0ce1ae11c3e6883f MD5sum: 7b82168fc93e3149a13fa9e95d9f26fe Description: fio library of HepMC - development files The HepMC package is an object oriented event record written in C++ for High Energy Physics Monte Carlo Generators. . Many extensions from HEPEVT, the Fortran HEP standard, are supported: the number of entries is unlimited, spin density matrices can be stored with each vertex, flow patterns (such as color) can be stored and traced, integers representing random number generator states can be stored, and an arbitrary number of event weights can be included. Particles and vertices are kept separate in a graph structure, physically similar to a physics event. . The added information supports the modularisation of event generators. Event information is accessed by means of iterators supplied with the package. . This package provides development files of fio library of HepMC. Package: libhepmcfio4 Source: hepmc Version: 2.06.09-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 185 Pre-Depends: multiarch-support Depends: libhepmc4 (= 2.06.09-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Suggests: libhepmcfio-dev, libhepmc-dev Multi-Arch: same Homepage: http://lcgapp.cern.ch/project/simu/HepMC/ Priority: optional Section: libs Filename: pool/main/h/hepmc/libhepmcfio4_2.06.09-1_armhf.deb Size: 74514 SHA256: d8b767ac35527dd489f96c1f4dbe6b5f56c59f722fb7af9a87c69f53742512a3 SHA1: ac27d6ae3614ecd3af84ce4f8ab25d931f8cb6ad MD5sum: 3ac7c2705a9c337a08d3c3650a6ce117 Description: fio library of HepMC The HepMC package is an object oriented event record written in C++ for High Energy Physics Monte Carlo Generators. . Many extensions from HEPEVT, the Fortran HEP standard, are supported: the number of entries is unlimited, spin density matrices can be stored with each vertex, flow patterns (such as color) can be stored and traced, integers representing random number generator states can be stored, and an arbitrary number of event weights can be included. Particles and vertices are kept separate in a graph structure, physically similar to a physics event. . The added information supports the modularisation of event generators. Event information is accessed by means of iterators supplied with the package. . The fio library of HepMC provides wrappers of HEPEVT, Pythia and Herwig. Package: libhepmcinterface8 Source: pythia8 Version: 8.1.65-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 57 Pre-Depends: multiarch-support Depends: libhepmc4, libpythia8 (= 8.1.65-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Multi-Arch: same Homepage: http://home.thep.lu.se/~torbjorn/Pythia.html Priority: optional Section: libs Filename: pool/main/p/pythia8/libhepmcinterface8_8.1.65-1_armhf.deb Size: 11578 SHA256: b33aabd78c039c87a9066ce5f399dc319b16136a2b869a39d76cdb66494027c0 SHA1: d8bc14bd8be60f8bc3631ccd0829246db124e53d MD5sum: 4b73d8c34d9500ab71f4286fe766d2ff Description: HepMC interface for PYTHIA8 PYTHIA is a program for the generation of high-energy physics events, i.e. for the description of collisions at high energies between elementary particles such as e+, e-, p and pbar in various combinations. It contains theory and models for a number of physics aspects, including hard and soft interactions, parton distributions, initial- and final-state parton showers, multiple interactions, fragmentation and decay. . This package provides HepMC interface for PYTHIA8. Package: libhepmcinterface8-dev Source: pythia8 Version: 8.1.65-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 75 Depends: libhepmc-dev, libhepmcinterface8 (= 8.1.65-1), libpythia8-dev (= 8.1.65-1) Homepage: http://home.thep.lu.se/~torbjorn/Pythia.html Priority: optional Section: libdevel Filename: pool/main/p/pythia8/libhepmcinterface8-dev_8.1.65-1_armhf.deb Size: 12938 SHA256: 803722afcd88c5a2390afe144971e950adc41ab3cef18940ea850b4966ba4ba6 SHA1: 69b8cc837f28b39b9dfab4be0c4ae46508cfb4cc MD5sum: 0cf4c3dc736e484aeef4dc19ea8bd4c5 Description: HepMC interface for PYTHIA8 - development files PYTHIA is a program for the generation of high-energy physics events, i.e. for the description of collisions at high energies between elementary particles such as e+, e-, p and pbar in various combinations. It contains theory and models for a number of physics aspects, including hard and soft interactions, parton distributions, initial- and final-state parton showers, multiple interactions, fragmentation and decay. . This package provides development files of HepMC interface for PYTHIA8. Package: libhesiod-dev Source: hesiod Version: 3.0.2-21+deb7u1 Architecture: armhf Maintainer: Karl Ramm Installed-Size: 25 Depends: libhesiod0 (= 3.0.2-21+deb7u1) Priority: extra Section: libdevel Filename: pool/main/h/hesiod/libhesiod-dev_3.0.2-21+deb7u1_armhf.deb Size: 14722 SHA256: af7d29f02be4d6d524315709daf01175c2a9bd3aef285bb479ea3fba705e71e9 SHA1: 8658d517850e109355dd303e5ce5d524fa1de076 MD5sum: 6c7d7098258aa80e3c59c748ce021e44 Description: Project Athena's DNS-based directory service - development files Hesiod is a name service library that can provide general name service for a variety of applications. It is derived from BIND, the Berkeley Internet Name Daemon, and leverages the existing DNS infrastructure of a network. It is used on a number of university networks, including MIT and Iowa State University. . This package contains Hesiod's include files and static library. Package: libhesiod0 Source: hesiod Version: 3.0.2-21+deb7u1 Architecture: armhf Maintainer: Karl Ramm Installed-Size: 47 Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0 Priority: extra Section: libs Filename: pool/main/h/hesiod/libhesiod0_3.0.2-21+deb7u1_armhf.deb Size: 23328 SHA256: bc9799e52dc0b909410f79e12a77223353ee77a46f4d72a9845ab2d4f64826c2 SHA1: a3c71066145274b8801f9289cb12a817db4f8b11 MD5sum: 4e585814b9b19985f9de60b88114b7ed Description: Project Athena's DNS-based directory service - libraries Hesiod is a name service library that can provide general name service for a variety of applications. It is derived from BIND, the Berkeley Internet Name Daemon, and leverages the existing DNS infrastructure of a network. It is used on a number of university networks, including MIT and Iowa State University. . This package contains Hesiod's shared library. Package: libhessian-java Source: hessian Version: 4.0.6-1 Installed-Size: 408 Maintainer: Debian Java Maintainers Architecture: all Depends: libservlet2.5-java Suggests: libhessian-java-doc (= 4.0.6-1) Size: 336106 SHA256: f02c8cb108077dba07125028db2b354ea65fbc8f4979b33f2d2d12ab4de5f04b SHA1: e74cae6ed84280ff7cc1ed6ac0e66a01fc1dd497 MD5sum: b31462911bcecaa24ed71ffd729d52bd Description: Java implementation of a binary protocol for web services Hessian provides compact binary and XML protocols for applications needing performance without protocol complexity. It is well-suited to sending binary data without any need to extend the protocol with attachments. This library also includes Burlap that is a matching XML protocol. . With Hessian, providing a web service is as simple as creating a servlet and using a service is as simple as a JDK Proxy interface. Homepage: http://hessian.caucho.com/ Section: java Priority: optional Filename: pool/main/h/hessian/libhessian-java_4.0.6-1_all.deb Package: libhessian-java-doc Source: hessian Version: 4.0.6-1 Installed-Size: 5356 Maintainer: Debian Java Maintainers Architecture: all Suggests: libhessian-java (= 4.0.6-1) Size: 292984 SHA256: 1ccc0d25e0a30e3278ddaf5c82b9ed1b17ae0692281f451eae665e92975e43c7 SHA1: c1ab635444c2d621bb806a50a57c0d2f764e28b7 MD5sum: bb67b4ba8d52b6f52b0c66ba2d6297ed Description: Documentation for libhessian-java Documentation for Hessian that is a Java library that provides compact binary and XML protocols for applications needing performance without protocol complexity. It is well-suited to sending binary data without any need to extend the protocol with attachments. This library also includes Burlap that is a matching XML protocol. . With Hessian, providing a web service is as simple as creating a servlet and using a service is as simple as a JDK Proxy interface. Homepage: http://hessian.caucho.com/ Tag: devel::doc, devel::lang:java, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/h/hessian/libhessian-java-doc_4.0.6-1_all.deb Package: libhfsp-dev Source: hfsplus Version: 1.0.4-12 Architecture: armhf Maintainer: Aurélien GÉRÔME Installed-Size: 159 Depends: libhfsp0 (= 1.0.4-12), libc6-dev Priority: optional Section: libdevel Filename: pool/main/h/hfsplus/libhfsp-dev_1.0.4-12_armhf.deb Size: 49732 SHA256: a25707d72b2fe6effdad83bf3ae8f1f2b6d7c5479d08fb662484ad82e4c1ba6c SHA1: 89a64bc2f8cc5a3a5c5f5de4c6f6e1f71c656e9a MD5sum: 4cc1f047b0e33aec0b1bc1e131fde60f Description: Library to access HFS+ formatted volumes HFS+ is a modernized version of Apple Computers HFS Filesystem. Nowadays, it is widely used with more recent versions of MacOS. hfsplus consists of a library and a set of tools that allow access to HFS+ volumes. . This package contains everything you need to write programs that use this library, i.e. header files and an archive version of the library. Package: libhfsp0 Source: hfsplus Version: 1.0.4-12 Architecture: armhf Maintainer: Aurélien GÉRÔME Installed-Size: 98 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0) Priority: optional Section: libs Filename: pool/main/h/hfsplus/libhfsp0_1.0.4-12_armhf.deb Size: 39870 SHA256: 6758367562bf571630ba9407001479815911d1ee1faf02415eb5466b0875e8db SHA1: ffa03c9f0c1c3753b8316d2bd0401da878af4973 MD5sum: cacbf7c55a1c3e4abacebe1052a5c71e Description: Shared library to access HFS+ formatted volumes HFS+ is a modernized version of Apple Computers HFS Filesystem. Nowadays, it is widely used with more recent versions of MacOS. hfsplus consists of a library and a set of tools that allow access to HFS+ volumes. . This package contains a shared version of the library. Package: libhibernate-commons-annotations-java Version: 3.2.0.Final-2 Installed-Size: 164 Maintainer: Debian Java Maintainers Architecture: all Size: 64806 SHA256: 8d16e4b1c042720dd151a5e2a13cdc432270f44bd47526d5c86c5176c4fb8ae6 SHA1: fc4ace6f4480b942d5872a31146ae2eac2218709 MD5sum: 60987711b508bd29967ab25eac4376d9 Description: Hibernate Commons Annotations Hibernate, like all other object/relational mapping tools, requires metadata that governs the transformation of data from one representation to the other (and vice versa). As an option, you can now use JDK 5.0 annotations for object/relational mapping with Hibernate 3.2. You can use annotations in addition to or as a replacement of XML mapping metadata. . You can use Hibernate extension annotations on top of standardized Java Persistence annotations to utilize all native Hibernate features. . This package ships the Hibernate Commons Annotations classes used by annotations based Hibernate sub-projects. Homepage: http://www.hibernate.org Section: java Priority: optional Filename: pool/main/libh/libhibernate-commons-annotations-java/libhibernate-commons-annotations-java_3.2.0.Final-2_all.deb Package: libhibernate-jbosscache-java Version: 3.6.8-2 Installed-Size: 96 Maintainer: Debian Java Maintainers Architecture: all Depends: libhibernate3-java, libslf4j-java, libgeronimo-jta-1.0.1b-spec-java, libjboss-cache1-java, libjboss-system-java, libjboss-jmx-java, libjgroups-java Size: 60948 SHA256: 3349e81a838cdfbf0c5f4cf2d1e7703d1c4182c412277f7658b16fb5e8fc6792 SHA1: d62523f35408abfde8fd2f78c46cbc6bdd24a6b3 MD5sum: 3791fa4159753d04de056c6e4c15c692 Description: Java library for integration of Hibernate with JBossCache This Java library provides support in Hibernate for JBossCache 1.x APIs. . Hibernate is an object-relational mapping (ORM) Java library and JBossCache is a replicated cache for frequently accessed Java objects in a cluster, in order to improve the performance of applications. Section: java Priority: optional Filename: pool/main/libh/libhibernate-jbosscache-java/libhibernate-jbosscache-java_3.6.8-2_all.deb Package: libhibernate-validator-java Version: 4.0.2.GA-7 Installed-Size: 303 Maintainer: Debian Java Maintainers Architecture: all Depends: libhibernate3-java, glassfish-javaee, libjtype-java, libgeronimo-validation-1.0-spec-java Size: 209324 SHA256: 5df78ee4c09dea3c493f77ebd9af7c30b0810c226e6590dd8a2e2fb84268630a SHA1: 0b3b85581c0e6a55db3aa45b8023e2b4cdfdf661 MD5sum: a77a1cba7ef44ef4a1e1badc07b98777 Description: Hibernate Validator Hibernate Validator aims at defining and checking a domain model level constraints. It supports custom constraints definitions as well as full internationalization. Annotations are used to define constraints on object level. The validation mechanism can be executed in different layers in your application without having to duplicate any of these rules (presentation layer, data access layer, Database schema). . Hibernate Validator integrates with Hibernate by applying the constraints on the database schema (DDL generation) and by checking entity validity before Hibernate inserts or updates instances. You can use Hibernate Validator with any Java Persistence provider, not only Hibernate, although you will not be able to use automatic DDL alteration for constraint generation outside of Hibernate EntityManager. Homepage: http://validator.hibernate.org Section: java Priority: optional Filename: pool/main/libh/libhibernate-validator-java/libhibernate-validator-java_4.0.2.GA-7_all.deb Package: libhibernate3-java Version: 3.6.9.Final-2 Installed-Size: 3916 Maintainer: Debian Java Maintainers Architecture: all Replaces: libhibernate-annotations-java, libhibernate-entitymanager-java Provides: libhibernate-annotations-java, libhibernate-entitymanager-java Conflicts: libhibernate-entitymanager-java Size: 3409030 SHA256: fd58b891a739c0fb33e99b0731323ce0db5d402a921cc3fe43bd93f787003976 SHA1: 66e536292b0f0aa8fc9ce8437e522029e56402a4 MD5sum: 6744ad48481d2aa74f45039070e70de3 Description: Relational Persistence for Idiomatic Java Hibernate is a powerful, high performance object/relational persistence and query service. Hibernate lets you develop persistent classes following object-oriented idiom - including association, inheritance, polymorphism, composition, and collections. Hibernate allows you to express queries in its own portable SQL extension (HQL), as well as in native SQL, or with an object-oriented Criteria and Example API. . Unlike many other persistence solutions, Hibernate does not hide the power of SQL from you and guarantees that your investment in relational technology and knowledge is as valid as always. Homepage: http://www.hibernate.org Section: java Priority: optional Filename: pool/main/libh/libhibernate3-java/libhibernate3-java_3.6.9.Final-2_all.deb Package: libhibernate3-java-doc Source: libhibernate3-java Version: 3.6.9.Final-2 Installed-Size: 86910 Maintainer: Debian Java Maintainers Architecture: all Suggests: libhibernate3-java Size: 8832816 SHA256: 1eb3ae43fb22b490e28937ffe2ba26455a7180fa00ebd65ee850202dd9771e47 SHA1: 0d182f42b5c09af964784b27aba0598d487d1908 MD5sum: bca90975d56d89d5be4db364b2c29861 Description: Relational Persistence for Idiomatic Java (documentation) Hibernate is a powerful, high performance object/relational persistence and query service. Hibernate lets you develop persistent classes following object-oriented idiom - including association, inheritance, polymorphism, composition, and collections. Hibernate allows you to express queries in its own portable SQL extension (HQL), as well as in native SQL, or with an object-oriented Criteria and Example API. . Unlike many other persistence solutions, Hibernate does not hide the power of SQL from you and guarantees that your investment in relational technology and knowledge is as valid as always. . This package includes the documentation. Homepage: http://www.hibernate.org Tag: devel::doc, devel::lang:java, devel::lang:sql, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/libh/libhibernate3-java/libhibernate3-java-doc_3.6.9.Final-2_all.deb Package: libhighgui-dev Source: opencv Version: 2.3.1-11+deb7u4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 47 Depends: libopencv-highgui-dev Homepage: http://opencv.willowgarage.com Priority: optional Section: libdevel Filename: pool/main/o/opencv/libhighgui-dev_2.3.1-11+deb7u4_armhf.deb Size: 12240 SHA256: 85022ade6b78dfcaf8c1fb03ace423f7952c7a9d023c69ac34322b12d4a1d3f6 SHA1: 86d4fc99fdaed8c43386cc1cc93c1d7c3b24d15a MD5sum: 4081ea3857ca9c0492425616a2694dd4 Description: Translation package for libhighgui-dev This package provide files for translation from libhighgui-dev to subdivided packages. . This package contains the header files and static library needed to compile applications that use OpenCV (Open Computer Vision). . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libhighgui2.3 Source: opencv Version: 2.3.1-11+deb7u4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 44 Depends: libopencv-highgui2.3 Homepage: http://opencv.willowgarage.com Priority: optional Section: devel Filename: pool/main/o/opencv/libhighgui2.3_2.3.1-11+deb7u4_armhf.deb Size: 11198 SHA256: dabe8a984888d8f2ec1be4ccd4c8d4c977b152bcaa488f9e4b56243749b50ed4 SHA1: 522b8a2172c5517887a125f03805a7fc162ac5bc MD5sum: 91e3e753b37c5f903b14b14585e0c1e5 Description: computer vision library - libhighgui translation package This package provide files for translation from libhighgui2.1 to libhighgui2.3. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: libhighlight-perl Source: highlight Version: 3.9-1+deb7u1 Architecture: armhf Maintainer: Ayman Negm Installed-Size: 911 Depends: perl (>= 5.14.2-21+rpi2+deb7u2), perlapi-5.14.2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblua5.1-0, libstdc++6 (>= 4.4.0), highlight-common (= 3.9-1+deb7u1) Homepage: http://www.andre-simon.de Priority: optional Section: perl Filename: pool/main/h/highlight/libhighlight-perl_3.9-1+deb7u1_armhf.deb Size: 364468 SHA256: f4224c8869918d88e9f86cd04ac8bdc728bfca43f9cadc43087335a0ebd9392b SHA1: a6046d116afe0f02a782edc9ae8b49fecda1f2d3 MD5sum: 39802939e2be4db6dd91ef6deb937149 Description: perl bindings for highlight source code to formatted text converter A utility that converts sourcecode to HTML, XHTML, RTF, LaTeX, TeX, SVG, XML or terminal escape sequences with syntax highlighting. It supports several programming and markup languages. Language descriptions are configurable and support regular expressions. The utility offers indentation and reformatting capabilities. It is easily possible to create new language definitions and colour themes. This package contains the perl bindings. Package: libhighline-ruby Source: ruby-highline Version: 1.6.13-2 Installed-Size: 11 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-highline Size: 9304 SHA256: 7250bac88cd1c0e86cdf3021809b48f5dd136076de6139a7e30d80d8f759fe98 SHA1: bf9875707cd7ad9607e798286bb70c58670a21a4 MD5sum: 683783627e5f3b9b06daf99e03da3477 Description: Transitional package for ruby-highline This is a transitional package to ease upgrades to the ruby-highline package. It can safely be removed. Homepage: http://highline.rubyforge.org Tag: devel::lang:ruby Section: oldlibs Priority: extra Filename: pool/main/r/ruby-highline/libhighline-ruby_1.6.13-2_all.deb Package: libhighline-ruby-doc Source: ruby-highline Version: 1.6.13-2 Installed-Size: 11 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-highline Size: 9302 SHA256: f9d2d072c3132a78de8df3d9feecb76ae513dcd094e7b07924ea242422db8fd8 SHA1: 1d7f53b71240901cf3b17b65aff7038ebb465ef0 MD5sum: dddb75c56b91902974073fdb9167473c Description: Transitional package for ruby-highline This is a transitional package to ease upgrades to the ruby-highline package. It can safely be removed. Homepage: http://highline.rubyforge.org Tag: devel::doc, devel::lang:ruby, made-of::html, role::documentation Section: oldlibs Priority: extra Filename: pool/main/r/ruby-highline/libhighline-ruby-doc_1.6.13-2_all.deb Package: libhighline-ruby1.8 Source: ruby-highline Version: 1.6.13-2 Installed-Size: 11 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-highline Size: 9302 SHA256: 0bf7847a2167905d3f962218a3d1ba495604c9c319913b4cbcac8164f8929ff6 SHA1: 7cb479c1f6e607c0f5acec26675850d2b9b16b52 MD5sum: dfc249e614147fdf9323329856f86e21 Description: Transitional package for ruby-highline This is a transitional package to ease upgrades to the ruby-highline package. It can safely be removed. Homepage: http://highline.rubyforge.org Tag: devel::lang:ruby, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-highline/libhighline-ruby1.8_1.6.13-2_all.deb Package: libhighline-ruby1.9.1 Source: ruby-highline Version: 1.6.13-2 Installed-Size: 11 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-highline Size: 9310 SHA256: c74eb004cee3176c9a322ad5f0a27184ececfd3058f85be69de0ca7341dba47e SHA1: 0ef139fc918cbb20d546dacc90a91b76550a59ed MD5sum: 55b5a0f4a4a587b3aa91614a7cf6af9f Description: Transitional package for ruby-highline This is a transitional package to ease upgrades to the ruby-highline package. It can safely be removed. Homepage: http://highline.rubyforge.org Tag: devel::lang:ruby, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-highline/libhighline-ruby1.9.1_1.6.13-2_all.deb Package: libhippocanvas-1-0 Source: hippo-canvas Version: 0.3.1-1.1 Architecture: armhf Maintainer: Debian maintenance of the Gnome Online Desktop Installed-Size: 198 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcroco3 (>= 0.6.2), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.16), libxml2 (>= 2.6.27) Homepage: http://developer.mugshot.org/wiki/Hippo_Canvas Priority: optional Section: libs Filename: pool/main/h/hippo-canvas/libhippocanvas-1-0_0.3.1-1.1_armhf.deb Size: 73776 SHA256: b6bb66a0af854400eef6a2f4180ffdbc435b0a87054c8c7f70d82d37fee5ff11 SHA1: 858bc46fbcceaac6865b7dd9a3892673da06834e MD5sum: 156c1fe58f41624e0d92a264bde9f1a4 Description: a GTK+2.0 canvas library Hippo Canvas is a canvas library based on GTK+2.0, Cairo and Pango. It is used by the Mugshot client and by the Sugar UI Package: libhippocanvas-dev Source: hippo-canvas Version: 0.3.1-1.1 Architecture: armhf Maintainer: Debian maintenance of the Gnome Online Desktop Installed-Size: 130 Depends: libhippocanvas-1-0 (= 0.3.1-1.1), libgtk2.0-dev Homepage: http://developer.mugshot.org/wiki/Hippo_Canvas Priority: optional Section: libdevel Filename: pool/main/h/hippo-canvas/libhippocanvas-dev_0.3.1-1.1_armhf.deb Size: 20744 SHA256: 96283df43baa53aeed3cb8137afddf785560f0aa9bb0c87807a056304fba673e SHA1: 1b8801354d55e6ceabd20087fcf1b205d938cf66 MD5sum: b8d161ad1a4158ef3b9c661b5a23f6a6 Description: a GTK+2.0 canvas library Hippo Canvas is a canvas library based on GTK+2.0, Cairo and Pango It is used by the Mugshot client and by the Sugar UI . This package provides the development files Package: libhiredis-dbg Source: hiredis Version: 0.10.1-7 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 83 Depends: libhiredis0.10 (= 0.10.1-7) Multi-Arch: same Homepage: https://github.com/antirez/hiredis Priority: extra Section: debug Filename: pool/main/h/hiredis/libhiredis-dbg_0.10.1-7_armhf.deb Size: 37620 SHA256: 0275f95d59080d857eded09bdcddf3d86d7f473727b26f6fe69c6f3ca12f5c0e SHA1: 7d08be7954893f9dc3802d7701c7e5529d99bb32 MD5sum: bfe0231b550db5d12591c97f2f9744b5 Description: minimalistic C client library for Redis (debug) Hiredis is a minimalistic C client library for the Redis database. It is minimalistic because it just adds minimal support for the protocol, but at the same time it uses an high level printf-alike API in order to make it much higher level than otherwise suggested by its minimal code base and the lack of explicit bindings for every Redis command. . Apart from supporting sending commands and receiving replies, it comes with a reply parser that is decoupled from the I/O layer. It is a stream parser designed for easy reusability, which can for instance be used in higher level language bindings for efficient reply parsing. . Hiredis only supports the binary-safe Redis protocol, so you can use it with any Redis version >= 1.2.0. . The library comes with multiple APIs. There is the synchronous API, the asynchronous API and the reply parsing API. . This package provides the debugginf symbols for hiredis. Package: libhiredis-dev Source: hiredis Version: 0.10.1-7 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 134 Depends: libhiredis0.10 (= 0.10.1-7) Multi-Arch: same Homepage: https://github.com/antirez/hiredis Priority: optional Section: libdevel Filename: pool/main/h/hiredis/libhiredis-dev_0.10.1-7_armhf.deb Size: 33582 SHA256: 73ddaf19e95286ce25550f60ca23c002d45136dd11195a5bde1ff35893c00c95 SHA1: ef175d5bc4f08fe55ac11c37a35f0c563accf2d4 MD5sum: 3899fe4204981ced65bbbde96ec86f6a Description: minimalistic C client library for Redis (development files) Hiredis is a minimalistic C client library for the Redis database. It is minimalistic because it just adds minimal support for the protocol, but at the same time it uses an high level printf-alike API in order to make it much higher level than otherwise suggested by its minimal code base and the lack of explicit bindings for every Redis command. . Apart from supporting sending commands and receiving replies, it comes with a reply parser that is decoupled from the I/O layer. It is a stream parser designed for easy reusability, which can for instance be used in higher level language bindings for efficient reply parsing. . Hiredis only supports the binary-safe Redis protocol, so you can use it with any Redis version >= 1.2.0. . The library comes with multiple APIs. There is the synchronous API, the asynchronous API and the reply parsing API. . This package provides the development file for libhiredis. Package: libhiredis0.10 Source: hiredis Version: 0.10.1-7 Architecture: armhf Maintainer: Alessandro Ghedini Installed-Size: 72 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Conflicts: libhiredis0 Replaces: libhiredis0 Multi-Arch: same Homepage: https://github.com/antirez/hiredis Priority: optional Section: libs Filename: pool/main/h/hiredis/libhiredis0.10_0.10.1-7_armhf.deb Size: 21618 SHA256: d138bcd380f30e72f118b8df82de7cd9562c60a379d6bc47deb772e9f0452da8 SHA1: 48caebad9fe26ca456d496ac0ea76970cffd3345 MD5sum: 1adf544d03169708a1d5f597991d1014 Description: minimalistic C client library for Redis Hiredis is a minimalistic C client library for the Redis database. It is minimalistic because it just adds minimal support for the protocol, but at the same time it uses an high level printf-alike API in order to make it much higher level than otherwise suggested by its minimal code base and the lack of explicit bindings for every Redis command. . Apart from supporting sending commands and receiving replies, it comes with a reply parser that is decoupled from the I/O layer. It is a stream parser designed for easy reusability, which can for instance be used in higher level language bindings for efficient reply parsing. . Hiredis only supports the binary-safe Redis protocol, so you can use it with any Redis version >= 1.2.0. . The library comes with multiple APIs. There is the synchronous API, the asynchronous API and the reply parsing API. Package: libhivex-bin Source: hivex Version: 1.3.6-2 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 297 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhivex0, libreadline6 (>= 6.0), libxml2 (>= 2.7.4) Homepage: http://libguestfs.org/ Priority: extra Section: otherosfs Filename: pool/main/h/hivex/libhivex-bin_1.3.6-2_armhf.deb Size: 68146 SHA256: e16bd04270df5980eb35d4477f4eb0367dc1ca72b2a152b95805207a2fe16534 SHA1: 452797ca91be7bf7359dc922a13866cb677702e5 MD5sum: 4c82a27e4cf588e11309cb71d82ecc8b Description: utilities for reading and writing Windows Registry hives libhivex is a self-contained library for reading and writing Windows Registry "hive" binary files. . This package contains a few command line programs that utilize libhivex. Package: libhivex-dev Source: hivex Version: 1.3.6-2 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 169 Depends: libhivex0 (= 1.3.6-2) Multi-Arch: same Homepage: http://libguestfs.org/ Priority: extra Section: libdevel Filename: pool/main/h/hivex/libhivex-dev_1.3.6-2_armhf.deb Size: 65440 SHA256: eaef4d138a0db5c22130cfe17be585f9bf71748f42848e1bbd226353f87a034a SHA1: a162dc4ba6eb791b9b61196055b58252e6a49130 MD5sum: bb2b2373bb7473712adfe2d4a2cb7805 Description: library for reading and writing Windows Registry hives libhivex is a self-contained library for reading and writing Windows Registry "hive" binary files. . This package provides static libraries and header files. Package: libhivex-ocaml Source: hivex Version: 1.3.6-2 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 70 Depends: ocaml-base-nox-3.12.1, libc6 (>= 2.13-28), libhivex0 Provides: libhivex-ocaml-d75d2 Homepage: http://libguestfs.org/ Priority: extra Section: ocaml Filename: pool/main/h/hivex/libhivex-ocaml_1.3.6-2_armhf.deb Size: 25688 SHA256: d416a6208fedd3dec935f2ca1aed0da1b0b515d43d87b66a6d86d38bfb3dbd6c SHA1: 515f5ed5d37e0c0c204cafe265ef86b6c8682327 MD5sum: 4e277f49d24395806356fd89373f86f0 Description: OCaml bindings for hivex -- runtime files OCaml bindings for libhivex, a library for reading and writing Windows Registry "hive" binary files. . This package include only the shared runtime stub libraries. Package: libhivex-ocaml-dev Source: hivex Version: 1.3.6-2 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 104 Depends: libhivex-ocaml-d75d2, ocaml-nox-3.12.1, libhivex-dev Provides: libhivex-ocaml-dev-d75d2 Homepage: http://libguestfs.org/ Priority: extra Section: ocaml Filename: pool/main/h/hivex/libhivex-ocaml-dev_1.3.6-2_armhf.deb Size: 30268 SHA256: 697175faf49e22a499dfec488082552dcb8b3df0276e06b9f6d0348302b85a13 SHA1: 6b2e2ef4e8ba9dd96f64b8bed4abe92404712cd3 MD5sum: 531330875492f90460fd1f3428cb032c Description: OCaml bindings for hivex -- development files OCaml bindings for libhivex, a library for reading and writing Windows Registry "hive" binary files. . This package contains all the files needed to develop OCaml programs wich use OCaml bindings to libhivex. Package: libhivex0 Source: hivex Version: 1.3.6-2 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 117 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Conflicts: libguestfs0 (<= 1.0.84-4) Multi-Arch: same Homepage: http://libguestfs.org/ Priority: extra Section: libs Filename: pool/main/h/hivex/libhivex0_1.3.6-2_armhf.deb Size: 52508 SHA256: 5ae7f04bf1ae2e3897b57768f2b466f3bf59df08337fd9ff0ffe5e74aa0c169e SHA1: ced2454aa65df86d4d093f2055b0bf9b2f6bd7fb MD5sum: 8cd0078e2aab9b9df6d66a7d61ec716d Description: library for reading and writing Windows Registry hives libhivex is a self-contained library for reading and writing Windows Registry "hive" binary files. . Unlike many other tools in this area, it doesn't use the textual .REG format for output, because parsing that is as much trouble as parsing the original binary format. Instead it makes the file available through a C API, or there is a separate program to export the hive as XML. Package: libhivex0-dbg Source: hivex Version: 1.3.6-2 Architecture: armhf Maintainer: Debian Libvirt Maintainers Installed-Size: 343 Depends: libhivex0 Multi-Arch: same Homepage: http://libguestfs.org/ Priority: extra Section: debug Filename: pool/main/h/hivex/libhivex0-dbg_1.3.6-2_armhf.deb Size: 211564 SHA256: b0d4eace481e5f34818ce19c707ab0b7969430fbcd3f31c4903961c30d76096d SHA1: 9e1cea959ee1b7cd69f4378ff4e9171827ca104c MD5sum: b61ba49c0279997e3b5a08d079958a3a Description: library for reading and writing Windows Registry hives libhivex is a self-contained library for reading and writing Windows Registry "hive" binary files. . This package contains debug symbols for libhivex. Package: libhkl-dbg Source: hkl Version: 4.0.3-4 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1691 Depends: libhkl4 (= 4.0.3-4) Homepage: http://www.synchrotron-soleil.fr/portal/page/portal/Instrumentation/EnvironnementInstrumental/hkl Priority: extra Section: debug Filename: pool/main/h/hkl/libhkl-dbg_4.0.3-4_armhf.deb Size: 622336 SHA256: 5bd5840fd6a25784772130d15c7adc27b652fbcbf2fdb7e44fdc7b31cbab2c5a SHA1: 946a83b570445b7ae3d2f706f84d85076a0ccd83 MD5sum: 5bd09c4154d0caeca907cc8633dd03f7 Description: diffractometer computation control library - debug symbols The hkl library is a framework for diffraction computation and diffractometer control, heavily used at the SOLEIL synchrotron. It supports various types of diffractometer geometry: Eulerian 4-circle, Eulerian 6-circle, kappa 4-circle, kappa 6-circle, and z-axis geometry. For each of these it provides several numerically computed modes, such as bisector and constant psi. . This package provides the debug files for hkl. Package: libhkl-dev Source: hkl Version: 4.0.3-4 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 241 Depends: libhkl4 (= 4.0.3-4), libgsl0-dev, dpkg (>= 1.15.4) | install-info Homepage: http://www.synchrotron-soleil.fr/portal/page/portal/Instrumentation/EnvironnementInstrumental/hkl Priority: extra Section: libdevel Filename: pool/main/h/hkl/libhkl-dev_4.0.3-4_armhf.deb Size: 146540 SHA256: 27e470c6ea2ae82f0c07ed504eee214dcfc1cdce54fa801b487fc0ad9176b564 SHA1: ca661d8c7a05064a657be632d24350c81a6209f9 MD5sum: 016dfea2bd42dc722fc4de63892cdfcf Description: diffractometer computation control library - development files The hkl library is a framework for diffraction computation and diffractometer control, heavily used at the SOLEIL synchrotron. It supports various types of diffractometer geometry: Eulerian 4-circle, Eulerian 6-circle, kappa 4-circle, kappa 6-circle, and z-axis geometry. For each of these it provides several numerically computed modes, such as bisector and constant psi. . This package provides everything needed to link against hkl. Package: libhkl-doc Source: hkl Version: 4.0.3-4 Installed-Size: 1008 Maintainer: Debian Science Maintainers Architecture: all Size: 188350 SHA256: 195a4705f3c12bac3991804ab9685eef576f93023fce1ba29b147c438f80bd45 SHA1: 7a924146b6b9b2c46c44796985d5b358849c978a MD5sum: ecbd4af5e6cb6a9aa69e0b28526ab90d Description: diffractometer computation control library - documentation The hkl library is a framework for diffraction computation and diffractometer control, heavily used at the SOLEIL synchrotron. It supports various types of diffractometer geometry: Eulerian 4-circle, Eulerian 6-circle, kappa 4-circle, kappa 6-circle, and z-axis geometry. For each of these it provides several numerically computed modes, such as bisector and constant psi. . This package provides the documentation for hkl. Homepage: http://www.synchrotron-soleil.fr/portal/page/portal/Instrumentation/EnvironnementInstrumental/hkl Tag: devel::doc, field::physics, made-of::html, role::documentation, science::calculation, science::data-acquisition Section: doc Priority: extra Filename: pool/main/h/hkl/libhkl-doc_4.0.3-4_all.deb Package: libhkl4 Source: hkl Version: 4.0.3-4 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 243 Depends: libc6 (>= 2.13-28), libgsl0ldbl (>= 1.9) Suggests: libhkl-doc Homepage: http://www.synchrotron-soleil.fr/portal/page/portal/Instrumentation/EnvironnementInstrumental/hkl Priority: extra Section: libs Filename: pool/main/h/hkl/libhkl4_4.0.3-4_armhf.deb Size: 167158 SHA256: e0df76b1a8dae469a8345ab560f5e58a573fce645b5742900d9427523399a935 SHA1: ad783568fbeb2aa44f482b25746c37d5095ee07e MD5sum: 8045e6f35ae5f263ae0ad998d9faa9b5 Description: diffractometer computation control library The hkl library is a framework for diffraction computation and diffractometer control, heavily used at the SOLEIL synchrotron. It supports various types of diffractometer geometry: Eulerian 4-circle, Eulerian 6-circle, kappa 4-circle, kappa 6-circle, and z-axis geometry. For each of these it provides several numerically computed modes, such as bisector and constant psi. . This package provides the runtime hkl library. Package: libhmac-ruby Source: ruby-hmac Version: 0.4.0-3 Installed-Size: 28 Maintainer: Daigo Moriwaki Architecture: all Depends: ruby-hmac Size: 3798 SHA256: 00a0d844d5fecd8d34c72260e96549007b93add0b7f9e5af639f67df57f7352d SHA1: 03c7a34e39a355e3a9fdd88124cbb2b3de0c2c8b MD5sum: 744f7d78ef39f012e66a12a7bb2bb894 Description: Transitional package for ruby-hmac This is a transitional package to ease upgrades to the ruby-hmac package. It can safely be removed. Homepage: http://ruby-hmac.rubyforge.org Tag: role::dummy Section: ruby Priority: optional Filename: pool/main/r/ruby-hmac/libhmac-ruby_0.4.0-3_all.deb Package: libhmac-ruby1.8 Source: ruby-hmac Version: 0.4.0-3 Installed-Size: 28 Maintainer: Daigo Moriwaki Architecture: all Depends: ruby-hmac Size: 3806 SHA256: 8b72c10b0ec43f94e1a4981b5aa3c3e17e3e384456a05e2d9241f44b71e17b30 SHA1: 95469dbc08c72f77b13f4c817502d7b35ea4a73b MD5sum: 4c3cda0c960c7d0896a6397173fa7e55 Description: Transitional package for ruby-hmac This is a transitional package to ease upgrades to the ruby-hmac package. It can safely be removed. Homepage: http://ruby-hmac.rubyforge.org Section: ruby Priority: optional Filename: pool/main/r/ruby-hmac/libhmac-ruby1.8_0.4.0-3_all.deb Package: libhmsbeagle-dev Source: libhmsbeagle Version: 1.0-6 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 3752 Depends: libhmsbeagle1 (= 1.0-6) Homepage: http://code.google.com/p/beagle-lib Priority: extra Section: libdevel Filename: pool/main/libh/libhmsbeagle/libhmsbeagle-dev_1.0-6_armhf.deb Size: 534778 SHA256: a194841bbe8f8fce53a54ba8776e9a2bcfa661235dd3fa43de5a2887b75def42 SHA1: 68601e4e442fe3c430ed7ecb34a3a9665e6985ec MD5sum: a9f629d86a8691929eba95f0fdec98ac Description: High-performance lib for Bayesian and Maximum Likelihood phylogenetics BEAGLE is a high-performance library that can perform the core calculations at the heart of most Bayesian and Maximum Likelihood phylogenetics packages. It can make use of highly-parallel processors such as those in graphics cards (GPUs) found in many PCs. . The project involves an open API and fast implementations of a library for evaluating phylogenetic likelihoods (continuous time Markov processes) of biomolecular sequence evolution. . The aim is to provide high performance evaluation 'services' to a wide range of phylogenetic software, both Bayesian samplers and Maximum Likelihood optimizers. This allows these packages to make use of implementations that make use of optimized hardware such as graphics processing units. . This package contains development files needed to build against Beagle library. Package: libhmsbeagle-java Source: libhmsbeagle Version: 1.0-6 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 49 Homepage: http://code.google.com/p/beagle-lib Priority: extra Section: java Filename: pool/main/libh/libhmsbeagle/libhmsbeagle-java_1.0-6_armhf.deb Size: 20902 SHA256: b5fd3e21772c177745055c645ffccef36e91795ac645028e64454af0607b8dfd SHA1: fc75dd86b9fcc9810ec239af530dc29efc6fd8c3 MD5sum: 922449658ab60693dc7f4a8a91ab735f Description: High-performance lib for Bayesian and Maximum Likelihood phylogenetics BEAGLE is a high-performance library that can perform the core calculations at the heart of most Bayesian and Maximum Likelihood phylogenetics packages. It can make use of highly-parallel processors such as those in graphics cards (GPUs) found in many PCs. . The project involves an open API and fast implementations of a library for evaluating phylogenetic likelihoods (continuous time Markov processes) of biomolecular sequence evolution. . The aim is to provide high performance evaluation 'services' to a wide range of phylogenetic software, both Bayesian samplers and Maximum Likelihood optimizers. This allows these packages to make use of implementations that make use of optimized hardware such as graphics processing units. . This package contains the Java interface. Package: libhmsbeagle1 Source: libhmsbeagle Version: 1.0-6 Architecture: armhf Maintainer: Debian Med Packaging Team Installed-Size: 185 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6) Homepage: http://code.google.com/p/beagle-lib Priority: extra Section: libs Filename: pool/main/libh/libhmsbeagle/libhmsbeagle1_1.0-6_armhf.deb Size: 64020 SHA256: f5946f68e3e673cf8679ab66f125c7047f5558288213512fb2787b37e0dbaf3b SHA1: ac64da72b20bd9c91ec9c9ab86d5656768cf42d4 MD5sum: 4aaf55932bf4b1b81097bed530ebbf15 Description: High-performance lib for Bayesian and Maximum Likelihood phylogenetics BEAGLE is a high-performance library that can perform the core calculations at the heart of most Bayesian and Maximum Likelihood phylogenetics packages. It can make use of highly-parallel processors such as those in graphics cards (GPUs) found in many PCs. . The project involves an open API and fast implementations of a library for evaluating phylogenetic likelihoods (continuous time Markov processes) of biomolecular sequence evolution. . The aim is to provide high performance evaluation 'services' to a wide range of phylogenetic software, both Bayesian samplers and Maximum Likelihood optimizers. This allows these packages to make use of implementations that make use of optimized hardware such as graphics processing units. Package: libhocr-dev Source: hocr Version: 0.10.17-1 Architecture: armhf Maintainer: Debian Hebrew Packaging Team Installed-Size: 443 Depends: libhocr0 (= 0.10.17-1) Homepage: http://hocr.berlios.de/ Priority: optional Section: libdevel Filename: pool/main/h/hocr/libhocr-dev_0.10.17-1_armhf.deb Size: 116062 SHA256: ec844192928d60947cb12ba12cf1ee950fb59f2a3f02a7e48cca97a34ba51fba SHA1: 433b9d0638196d60300e22f4d2f0493c003bcc6c MD5sum: 29c9e83fcc8676dc6c3df59851d254cc Description: Developemnt files for hocr library Libhocr is an Hebrew OCR (Optical character recognition) library written in C/C++. . This package contains headers and support files required to build new applications with libhocr. Package: libhocr-python Source: hocr Version: 0.10.17-1 Architecture: armhf Maintainer: Debian Hebrew Packaging Team Installed-Size: 628 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libhocr0 (>= 0.10.17), libstdc++6 (>= 4.3.0), python2.7, python (>= 2.7), python (<< 2.8) Homepage: http://hocr.berlios.de/ Priority: optional Section: python Filename: pool/main/h/hocr/libhocr-python_0.10.17-1_armhf.deb Size: 119824 SHA256: 84ed53f71a7ab224b7ab2798a583be4a3ad2dd7bbf7ad8fa27015a6e0251a90a SHA1: cfd97cb6dfecd4e674a443bc2c32c6318dbeeee6 MD5sum: 12137147fde3d2995885dee0a44ea114 Description: Hebrew OCR library Python bindings Libhocr is an Hebrew OCR (Optical character recognition) library written in C/C++. . This package contains Python bindings to libhocr. Package: libhocr0 Source: hocr Version: 0.10.17-1 Architecture: armhf Maintainer: Debian Hebrew Packaging Team Installed-Size: 425 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfftw3-3, libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libtiff4 (>> 3.9.5-3~) Recommends: netpbm Homepage: http://hocr.berlios.de/ Priority: optional Section: libs Filename: pool/main/h/hocr/libhocr0_0.10.17-1_armhf.deb Size: 177552 SHA256: 66fd0b1ea9b561685a7853cf4d84cfb40e485ac456a4e8e60b4913706a4d2b1e SHA1: 775b132f7e3e13f514ca15487e8d49152de3d0c4 MD5sum: 433b7c1fcc4e5fe55087a6b133663c8a Description: Hebrew OCR library Libhocr is an Hebrew OCR (Optical character recognition) library written in C/C++. . This package contains the libhocr library and the hocr command line utility, which can process PBM file formats Package: libhogweed2 Source: nettle Version: 2.4-3+deb7u1 Architecture: armhf Maintainer: Magnus Holmgren Installed-Size: 67 Pre-Depends: multiarch-support Depends: libc6 (>= 2.13-28), libgmp10, libnettle4 Multi-Arch: same Homepage: http://www.lysator.liu.se/~nisse/nettle/ Priority: optional Section: libs Filename: pool/main/n/nettle/libhogweed2_2.4-3+deb7u1_armhf.deb Size: 37380 SHA256: 607792711748476476ecffd559c9cb279f758413173061e5d1695b5e13c55403 SHA1: fdaa6bde97cab6d127f0f98d2b2409455199b62f MD5sum: 7036d807c6a337fa08eca4ddba451513 Description: low level cryptographic library (public-key cryptos) Nettle is a cryptographic library that is designed to fit easily in more or less any context: In crypto toolkits for object-oriented languages (C++, Python, Pike, ...), in applications like LSH or GnuPG, or even in kernel space. . It tries to solve a problem of providing a common set of cryptographic algorithms for higher-level applications by implementing a context-independent set of cryptographic algorithms. In that light, Nettle doesn't do any memory allocation or I/O, it simply provides the cryptographic algorithms for the application to use in any environment and in any way it needs. . This package contains the asymmetric cryptographic algorithms, which require the GNU multiple precision arithmetic library (libgmp) for their large integer computations. Package: libhook-lexwrap-perl Version: 0.24-1 Installed-Size: 84 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 13704 SHA256: b475cc9ac236b83ada1036e143c43f763914f028c4daedbdf2c0b0a89f511d6e SHA1: 1599dfbdb7e157403e9e72bad04095368eb0ea13 MD5sum: 53bef3f417918665c95310c2805eed00 Description: lexically scoped subroutine wrappers Hook::LexWrap allows you to install a pre- or post-wrapper (or both) around an existing subroutine. Unlike other modules that provide this capacity (e.g. Hook::PreAndPost and Hook::WrapSub), Hook::LexWrap implements wrappers in such a way that the standard caller function works correctly within the wrapped subroutine. Homepage: http://search.cpan.org/dist/Hook-LexWrap/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhook-lexwrap-perl/libhook-lexwrap-perl_0.24-1_all.deb Package: libhook-wrapsub-perl Version: 0.03-2 Installed-Size: 64 Maintainer: Debian Perl Group Architecture: all Depends: perl Size: 9252 SHA256: ad9ce322929dcf974c5ba8a0fb54412251cd39b6491b643741a72e4879d11aef SHA1: ebca3e284af91333ea2b7de75648615d6655b160 MD5sum: 9fc63dab50027f9d1599a0d1a6844cf2 Description: wrap subs with pre- and post-call hooks Hook::WrapSub enables intercepting a call to any named function; handlers may be added both before and after the call to the intercepted function. Homepage: http://search.cpan.org/dist/Hook-WrapSub/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhook-wrapsub-perl/libhook-wrapsub-perl_0.03-2_all.deb Package: libhpdf-2.2.1 Source: libharu Version: 2.2.1-1 Architecture: armhf Maintainer: Johan Van de Wauw Installed-Size: 680 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4) Homepage: http://www.libharu.org Priority: optional Section: libs Filename: pool/main/libh/libharu/libhpdf-2.2.1_2.2.1-1_armhf.deb Size: 484704 SHA256: 031dc4730d273a37e629fdad968a590db6655641373789ebc181d2447e77d979 SHA1: 88d7eb8a735a5a258ca104fafe946207a6e6b7f2 MD5sum: 9809646b259ed78081891601b5ffccda Description: C library for generating pdf files Haru is a free, cross platform, open-source C library for generating PDF files. It supports the following features: 1. Generation of PDF files with lines, text and images. 2. Outlines, text and link annotations. 3. Document compression usig deflate-decode. 4. Embedded PNG and Jpeg images. 5. Embedded Type1 and TrueType fonts. 6. Creation of encrypted PDF files. 7. Usage of various character sets (ISO8859-1~16, MSCP1250~8, KOI8-R). 8. Support for CJK fonts and encodings. Package: libhpdf-dev Source: libharu Version: 2.2.1-1 Architecture: armhf Maintainer: Johan Van de Wauw Installed-Size: 211 Depends: libhpdf-2.2.1 (= 2.2.1-1) Homepage: http://www.libharu.org Priority: optional Section: libdevel Filename: pool/main/libh/libharu/libhpdf-dev_2.2.1-1_armhf.deb Size: 38772 SHA256: 67213185e7cbcd96350c2a41b2943e9b88081916d70bd1280aaf59d4c103f070 SHA1: 46ea88a4251980d3e5f95d10c0efd47c1ff747b9 MD5sum: bbbafa8bc9ece6899afacdd5e0157747 Description: C library for generating pdf files (development files) Haru is a free, cross platform, open-source C library for generating PDF files. It supports the following features: 1. Generation of PDF files with lines, text and images. 2. Outlines, text and link annotations. 3. Document compression usig deflate-decode. 4. Embedded PNG and Jpeg images. 5. Embedded Type1 and TrueType fonts. 6. Creation of encrypted PDF files. 7. Usage of various character sets (ISO8859-1~16, MSCP1250~8, KOI8-R). 8. Support for CJK fonts and encodings. This package contains the header files for developing with libharu. Package: libhpmud-dev Source: hplip Version: 3.12.6-3.1+deb7u2 Architecture: armhf Maintainer: Debian HPIJS and HPLIP maintainers Installed-Size: 90 Depends: libhpmud0 (= 3.12.6-3.1+deb7u2) Homepage: http://hplipopensource.com/hplip-web/index.html Priority: optional Section: libdevel Filename: pool/main/h/hplip/libhpmud-dev_3.12.6-3.1+deb7u2_armhf.deb Size: 77346 SHA256: a6067a0806fad48e3e5b2df92ce47a8722e658937c8e75b2c4d237e6940f1c64 SHA1: bf26463dd52463c93150b77992b109963251cbd4 MD5sum: 1f25e4b30cb8b9c11248df9e62da4441 Description: HP Multi-Point Transport Driver (hpmud) development libraries Shared library that provides direct I/O for each process. Prior to hpmud, all I/O was serialized through hpiod, a monolithic I/O processor. The new hpmud direct I/O is faster. Hpmud supports parallel, USB and JetDirect connectivity. . With hpmud, HPLIP no longer has Linux specific libusb extensions. This means any UNIX/Linux derivative that supports libusb may work with HPLIP. A public HPLIP "C" API is exposed through hpmud. See hpmud.h for documentation. A Python wrapper for hpmud, called hpmudext, is also available. Package: libhpmud0 Source: hplip Version: 3.12.6-3.1+deb7u2 Architecture: armhf Maintainer: Debian HPIJS and HPLIP maintainers Installed-Size: 285 Depends: libc6 (>= 2.13-28), libsnmp15 (>= 5.4.3~dfsg), libssl1.0.0 (>= 1.0.0), libusb-1.0-0 (>= 2:1.0.8) Breaks: hplip (<< 3.10.6-3) Replaces: hplip (<< 3.10.6-3) Homepage: http://hplipopensource.com/hplip-web/index.html Priority: optional Section: libs Filename: pool/main/h/hplip/libhpmud0_3.12.6-3.1+deb7u2_armhf.deb Size: 168916 SHA256: 8cdd3dec13e09542b2cb34b3e417a72bae991d1f49f8addfaa926f91ba162c95 SHA1: d0fb427dfdcaa83ac57121b8d1a9051da8712bd0 MD5sum: 8f789211594c7e2ac689995168746e34 Description: HP Multi-Point Transport Driver (hpmud) run-time libraries Shared library that provides direct I/O for each process. Prior to hpmud, all I/O was serialized through hpiod, a monolithic I/O processor. The new hpmud direct I/O is faster. Hpmud supports parallel, USB and JetDirect connectivity. . With hpmud, HPLIP no longer has Linux specific libusb extensions. This means any UNIX/Linux derivative that supports libusb may work with HPLIP. A public HPLIP "C" API is exposed through hpmud. See hpmud.h for documentation. A Python wrapper for hpmud, called hpmudext, is also available. Package: libhpricot-ruby Source: ruby-hpricot Version: 0.8.6-3 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-hpricot Size: 6858 SHA256: ef7f65dcd29272f959722653d59e8f0a7bc9fb2d9eb5ce11528a0adc49ab3f9d SHA1: ec2b757dff1f5baf9cf28f3df92d07610c685278 MD5sum: 93fccdc411f6c264a10e7d10001aa220 Description: Transitional package for ruby-hpricot This is a transitional package to ease upgrades to the ruby-hpricot package. It can safely be removed. Homepage: https://github.com/hpricot/hpricot Tag: devel::lang:ruby Section: oldlibs Priority: extra Filename: pool/main/r/ruby-hpricot/libhpricot-ruby_0.8.6-3_all.deb Package: libhpricot-ruby1.8 Source: ruby-hpricot Version: 0.8.6-3 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-hpricot Size: 6866 SHA256: dad4bbeb4985554947adb600f35814ab9421df73d1ad39a3ef52484e81a22626 SHA1: 5fbce5560858bc57f9a4f96bc60974c1fce4a693 MD5sum: d90f1e3bbf84bde58568942da2c0ceb5 Description: Transitional package for ruby-hpricot This is a transitional package to ease upgrades to the ruby-hpricot package. It can safely be removed. Homepage: https://github.com/hpricot/hpricot Tag: devel::lang:ruby, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-hpricot/libhpricot-ruby1.8_0.8.6-3_all.deb Package: libhpricot-ruby1.9 Source: ruby-hpricot Version: 0.8.6-3 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-hpricot Size: 6866 SHA256: 5016f52ac7f6e75d09340e2db998e9e3a8e8978fe054ed3295b4d42fc845973b SHA1: 58ff98f98a821f7a33b41987af2abd29208c9a25 MD5sum: ef9acac10a28f5eb73448ac71c6bd4fa Description: Transitional package for ruby-hpricot This is a transitional package to ease upgrades to the ruby-hpricot package. It can safely be removed. Homepage: https://github.com/hpricot/hpricot Tag: devel::lang:ruby, role::shared-lib Section: oldlibs Priority: optional Filename: pool/main/r/ruby-hpricot/libhpricot-ruby1.9_0.8.6-3_all.deb Package: libhpricot-ruby1.9.1 Source: ruby-hpricot Version: 0.8.6-3 Installed-Size: 31 Maintainer: Debian Ruby Extras Maintainers Architecture: all Depends: ruby-hpricot Size: 6866 SHA256: 000c619dd9457940e3903eda0765e0df766a9cc8fe86d1d5837466fea22068a1 SHA1: 6d98b058b367e20515883dad72e4dd372347bd18 MD5sum: 343650c1fa702720b2a3d1c0e6e84052 Description: Transitional package for ruby-hpricot This is a transitional package to ease upgrades to the ruby-hpricot package. It can safely be removed. Homepage: https://github.com/hpricot/hpricot Tag: devel::lang:ruby, role::shared-lib Section: oldlibs Priority: extra Filename: pool/main/r/ruby-hpricot/libhpricot-ruby1.9.1_0.8.6-3_all.deb Package: libhsclient-dev Source: handlersocket Version: 1.1.0-7-g1044a28-1 Architecture: armhf Maintainer: Clint Byrum Installed-Size: 122 Recommends: handlersocket-doc Homepage: https://github.com/DeNADev/HandlerSocket-Plugin-for-MySQL Priority: extra Section: libdevel Filename: pool/main/h/handlersocket/libhsclient-dev_1.1.0-7-g1044a28-1_armhf.deb Size: 31360 SHA256: 444f4448dab8b293468f37c1e00f43e500e2e0917aeb5ea154d8b233a1b929d5 SHA1: c54dfe2e5973a2dab462d11f201e4670af709839 MD5sum: 3e7cce06d3c35a54749cac65ae03d9a1 Description: HandlerSocket client library HandlerSocket is a NoSQL plugin for MySQL. It works as a daemon inside the mysqld process, accept tcp connections, and execute requests from clients. HandlerSocket does not support SQL queries. Instead, it supports simple CRUD operations on tables. . This package contains the client access library and headers. Package: libhsm-bin Source: opendnssec Version: 1:1.3.9-5 Architecture: armhf Maintainer: Ondřej Surý Installed-Size: 134 Depends: libc6 (>= 2.13-28), libldns1 (>= 1.6.0), libxml2 (>= 2.7.4), opendnssec-common (= 1:1.3.9-5) Suggests: opendnssec, softhsm Conflicts: libhsm-dev, libhsm0 Homepage: http://www.opendnssec.org/ Priority: extra Section: misc Filename: pool/main/o/opendnssec/libhsm-bin_1.3.9-5_armhf.deb Size: 57970 SHA256: a9a87e87ebe1be3478c32f3630275122fe7a32b2d64720b1ab951b5de5b5f889 SHA1: fb52f30b59f53c5ddb3268b5620b34cfcebe7269 MD5sum: 76ab947f2112f00f2f43f48c603d21a7 Description: library for interfacing PKCS#11 Hardware Security Modules OpenDNSSEC is a complete DNSSEC zone signing system which is very easy to use with stability and security in mind. There are a lot of details in signing zone files with DNSSEC and OpenDNSSEC covers most of it. . Support library for interfacing PKCS#11 compatible Hardware Security Modules (HSM). This library allows programs to use cryptografic secure storages for keying material such as softhsm (HSM implemented in software), SCA6000, Aladdin eToken, OpenSC, nCipher or AEP Keyper. . This package contains command line tools. Package: libhsqldb-java Source: hsqldb Version: 1.8.0.10+dfsg-0+deb7u1 Installed-Size: 1017 Maintainer: Rene Engelhard Architecture: all Depends: libservlet2.5-java Suggests: java-virtual-machine, libhsqldb-java-doc, libhsqldb-java-gcj Conflicts: openoffice.org-base (<< 1:2.3.1~m8) Size: 931518 SHA256: 86142e3e1ac652fe8ec36f5e751db5b2ea1cafa7f35746027ffb87d92a5651e4 SHA1: 3b41cb3e8ef897345ac95c6f9f8111efc2679ecc MD5sum: 97d468c8877843e5a356b7f67f2ef60f Description: Java SQL database engine HSQLDB is an SQL relational database engine written in Java. It has a JDBC driver and supports a rich subset of SQL-92 (BNF tree format) plus SQL:1999 and SQL:2003 enhancements. It offers a small, fast database engine that offers both in-memory and disk-based tables. Embedded and server modes are available. Additionally, it includes tools such as a minimal web server, in-memory query and management tools (can be run as applets), and a number of demonstration examples. . Web site: http://hsqldb.org/ Tag: devel::lang:java, implemented-in::java, role::shared-lib, works-with::db Section: java Priority: optional Filename: pool/main/h/hsqldb/libhsqldb-java_1.8.0.10+dfsg-0+deb7u1_all.deb Package: libhsqldb-java-doc Source: hsqldb Version: 1.8.0.10+dfsg-0+deb7u1 Installed-Size: 3739 Maintainer: Rene Engelhard Architecture: all Suggests: libhsqldb-java Size: 908548 SHA256: 93d44a42217127deb49fad4f2e343b556eac0e5335ebc02c55f006fea96ebb15 SHA1: cab76b08fb6f7e4384067aea553a87c500a8e953 MD5sum: 7e76cc85702088a4dbd632034e21056f Description: documentation for HSQLDB HSQLDB is an SQL relational database engine written in Java. It has a JDBC driver and supports a rich subset of SQL-92 (BNF tree format) plus SQL:1999 and SQL:2003 enhancements. It offers a small, fast database engine that offers both in-memory and disk-based tables. Embedded and server modes are available. Additionally, it includes tools such as a minimal web server, in-memory query and management tools (can be run as applets), and a number of demonstration examples. . This package contains the documentation of HSQLDB. . Web site: http://hsqldb.org/ Tag: devel::doc, devel::examples, devel::lang:sql, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/h/hsqldb/libhsqldb-java-doc_1.8.0.10+dfsg-0+deb7u1_all.deb Package: libhtml-auto-perl Version: 0.04-1 Installed-Size: 60 Maintainer: Debian Perl Group Architecture: all Depends: perl, libtemplate-perl Size: 11204 SHA256: e100e57f1257faa711992fbc0d6e804166d07dc95ba58d7e960471a0b379fae0 SHA1: 615727b3141843724a0eeb096c7d407895a54489 MD5sum: b29845f82699769687ae0495b5b34eec Description: module for automatically writting HTML for common elements HTML::Auto provides easy access to some typical structures used in HTML, for example matrixes or horizontal and vertical composition of other elements. Homepage: http://search.cpan.org/dist/HTML-Auto/ Section: perl Priority: optional Filename: pool/main/libh/libhtml-auto-perl/libhtml-auto-perl_0.04-1_all.deb Package: libhtml-autopagerize-perl Version: 0.02-1 Installed-Size: 48 Maintainer: Debian Perl Group Architecture: all Depends: perl, libhtml-treebuilder-xpath-perl, liburi-perl Suggests: libwww-perl Size: 6560 SHA256: 28c6952c25b50727393056ca6fded47aaf215b4cd53fee3bdeab36d07a8f5dd7 SHA1: 5418229380ce6241bf3e6e0078d25c04cdd2578b MD5sum: e9e2fc2a786ce164481b21a7649e1501 Description: utility to load AutoPagerize SITEINFO stuff HTML::AutoPagerize is an utility module to load SITEINFO defined in AutoPagerize. AutoPagerize is an userscript to automatically figure out the "next link" of the current page, then fetch the content and insert the content by extracting the "page element". Homepage: http://search.cpan.org/dist/HTML-AutoPagerize/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhtml-autopagerize-perl/libhtml-autopagerize-perl_0.02-1_all.deb Package: libhtml-calendarmonth-perl Version: 1.26-1 Installed-Size: 135 Maintainer: Don Armstrong Architecture: all Depends: perl, libhtml-element-extended-perl (>= 1.18-0), libdatetime-locale-perl, libdate-calc-perl | libdate-manip-perl | libdatetime-perl, libclass-accessor-perl, libfile-which-perl Size: 39960 SHA256: 400339710f3a924257bf1ac47e95c280060ad7290f33efcd0ffbddc251b273a5 SHA1: 53d534bc3910fb7999504dc621facdec4d4d5c35 MD5sum: 39de1eba5efb43c207c97dde4fe284a9 Description: generate and manipulate calandar months in HTML This module enables you to generate and manipulate calendar months in HTML. . Useful for making calendars to display in web pages and other similar tasks. Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, use::timekeeping, works-with-format::html, works-with::text Section: perl Priority: extra Filename: pool/main/libh/libhtml-calendarmonth-perl/libhtml-calendarmonth-perl_1.26-1_all.deb Package: libhtml-calendarmonthsimple-perl Version: 1.25-1 Installed-Size: 116 Maintainer: Debian Perl Group Architecture: all Depends: perl, libdate-calc-perl Size: 25936 SHA256: fd79711326982adfd774f7af42d25944e2f14e345f56d0754726e99323c4fd41 SHA1: 10ad1d4f89d418cf343098a029dc6765e63dacff MD5sum: c22916f8726ecd0c598fe0fc6556c77f Description: Perl module for generating HTML Calendars HTML::CalendarMonthSimple is a Perl module for generating, manipulating, and printing a HTML calendar grid for a specified month. It is intended as a faster and easier-to-use alternative to HTML::CalendarMonth. Homepage: http://search.cpan.org/dist/HTML-CalendarMonthSimple/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhtml-calendarmonthsimple-perl/libhtml-calendarmonthsimple-perl_1.25-1_all.deb Package: libhtml-clean-perl Version: 0.8-11 Installed-Size: 116 Maintainer: Debian Perl Group Architecture: all Depends: perl (>= 5.6.0-16) Size: 21982 SHA256: 995df3508e03122ef6c47f1ebd107da63ecd9a08dd4f42a4f3f14b9bd73501a6 SHA1: cf28329b473727e0d2a293defd617c8608072870 MD5sum: b87fae7244b8d521d8a7bb78e9ab342d Description: Cleans up HTML code for web browsers, not humans The HTML::Clean module encapsulates a number of HTML optimizations and cleanups. The end result is HTML that loads faster, displays properly in more browsers. Think of it as a compiler that translates HTML input into optimized machine readable code. Homepage: http://search.cpan.org/dist/HTML-Clean/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, works-with-format::html, works-with::text Section: perl Priority: optional Filename: pool/main/libh/libhtml-clean-perl/libhtml-clean-perl_0.8-11_all.deb Package: libhtml-copy-perl Version: 1.30-1 Installed-Size: 92 Maintainer: Debian Perl Group Architecture: all Depends: libfile-spec-perl, libhtml-parser-perl (>= 3.40), libio-all-perl, libpod-parser-perl, liburi-perl, perl (>= 5.6.0-16) Size: 13742 SHA256: e0ba63f03414889ec3f8b88cfe095b099cb65a566d913e973311918ebc32a39d SHA1: 85d9e8b6d13a6d36e26ab7c6ea0e5851d2ea3073 MD5sum: 5e8f7ef69ed405ca8e2ad0321e3afed6 Description: HTML::Copy - copy a HTML file without breaking links This target of this module is to copy a HTML file without beaking links in the file and is a sub class of HTML::Parser. Homepage: http://search.cpan.org/dist/HTML-Copy/ Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, works-with::text Section: perl Priority: optional Filename: pool/main/libh/libhtml-copy-perl/libhtml-copy-perl_1.30-1_all.deb Package: libhtml-data-parser-perl Version: 0.005-1 Installed-Size: 71 Maintainer: Debian Perl Group Architecture: all Depends: perl, libhtml-html5-parser-perl, libobject-authority-perl, librdf-rdfa-parser-perl, librdf-trine-perl, libxml-libxml-perl Recommends: libhtml-html5-microdata-parser-perl, libhtml-microformats-perl, libxml-grddl-perl, libhtml-embedded-turtle-perl, libhtml-html5-outline-perl Size: 16474 SHA256: 14637565fc91c76d3ea0750dafb3c8a06f18bfd6714b7624c2454e50f9640d67 SHA1: 9a5da856d165be5fc4c56aecbad6c72d1215f876 MD5sum: cd8dd2c06d4396e57a47b0cb115c5032 Description: parser for data embedded in HTML Resource Description Framework (RDF) is a standard model for data interchange on the Web. . HTML::Data::Parser parses data embedded in HTML. It understands the following standards and patterns for embedding data: * RDFa * Microformats * GRDDL * Microdata * N3-in-HTML * HTML5 Document Outline http://www.w3.org/TR/html5/sections.html#outlines Homepage: http://search.cpan.org/dist/HTML-Data-Parser/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhtml-data-parser-perl/libhtml-data-parser-perl_0.005-1_all.deb Package: libhtml-defang-perl Version: 1.02-1 Installed-Size: 136 Maintainer: Ivan Kohler Architecture: all Depends: perl Size: 31922 SHA256: eb4193bc9f44a36d22eec993cd00ded1193b35d791902c629795f9c6a4d95b95 SHA1: d6be972e46c15a4004355d44587eccaa374e84da MD5sum: 9193e30365474c3ca34784228ce016af Description: Cleans HTML as well as CSS of scripting and other executable contents, and neutralises XSS attacks. HTML::Defang accepts an input HTML and/or CSS string and removes any executable code including scripting, embedded objects, applets, etc., and neutralises any XSS attacks. A whitelist based approach is used which means only HTML known to be safe is allowed through. . HTML::Defang uses a custom html tag parser. The parser has been designed and tested to work with nasty real world html and to try and emulate as close as possible what browsers actually do with strange looking constructs. The test suite has been built based on examples from a range of sources such as http://ha.ckers.org/xss.html and http://imfo.ru/csstest/css_hacks/import.php to ensure that as many as possible XSS attack scenarios have been dealt with. Homepage: http://search.cpan.org/dist/HTML-Defang/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhtml-defang-perl/libhtml-defang-perl_1.02-1_all.deb Package: libhtml-diff-perl Version: 0.561-1 Installed-Size: 57 Maintainer: Debian Perl Group Architecture: all Depends: perl, libalgorithm-diff-perl Size: 9426 SHA256: a284bd7f1a1372b20912127e1342d9dd1f5e949d7cd1c848bff290b798ca424b SHA1: 18e25bb6bd1b27336ef0aabfbaea5a2ac6a6a526 MD5sum: 6cca08ed6afe3768bbdc113e417f872c Description: module for comparing two HTML documents HTML::Diff compares two strings of HTML and returns a list of a chunks which indicate the diff between the two input strings, where changes in formatting are considered changes. . HTML::Diff does not strictly parse the HTML. Instead, it uses regular expressions to make a decent effort at understanding the given HTML. As a result, there are many valid HTML documents for which it will not produce the correct answer. But there may be some invalid HTML documents for which it gives you the answer you're looking for. Your mileage may vary; test it on lots of inputs from your domain before relying on it. Homepage: http://search.cpan.org/dist/HTML-Diff/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhtml-diff-perl/libhtml-diff-perl_0.561-1_all.deb Package: libhtml-display-perl Version: 0.39-4 Installed-Size: 172 Maintainer: Debian Perl Group Architecture: all Replaces: libwww-mechanize-shell-perl (<< 0.46-1) Depends: perl, libhtml-tokeparser-simple-perl, liburi-perl, libwww-perl, perl (>= 5.10.1) | libparent-perl Size: 37246 SHA256: 610c3ce34696d51e7e7a3e1dcf096587cb424e6291f9c9ae54401385281232e6 SHA1: cecb372e4c2515c2ce8a576319eee7035d2c6d6d MD5sum: 30681b22957bd31bf102cf22f4ddf0e5 Description: module for displaying HTML locally in a browser HTML::Display abstracts the task of displaying HTML to the user. The displaying is done by launching a browser and navigating it to either a temporary file with the HTML stored in it, or, if possible, by pushing the HTML directly into the browser window. . The module tries to automagically select the "correct" browser, but if it doesn't find a good browser, you can modify the behaviour by setting some environment variables. Homepage: http://search.cpan.org/dist/HTML-Display/ Tag: devel::lang:perl, devel::library, implemented-in::perl Section: perl Priority: optional Filename: pool/main/libh/libhtml-display-perl/libhtml-display-perl_0.39-4_all.deb Package: libhtml-element-extended-perl Version: 1.18-1 Installed-Size: 128 Maintainer: Don Armstrong Architecture: all Depends: perl, libhtml-tree-perl, libhtml-tableextract-perl (>= 2.08) Size: 34432 SHA256: 2133d0f372389c1310b8569f96db7f0802c73cd621c10f2586697efc3d8d6ca6 SHA1: 859eca4025a1f656bc3431bb85d6e97b2cf66cc9 MD5sum: 4a7b631c0f38b74a01cdce5ab108726a Description: extended HTML::Element classes A package of several enhanced HTML::Element classes, most of which arose during the effort to implement an HTML::Element based table class. Tag: devel::lang:perl, devel::library, implemented-in::perl, role::devel-lib, works-with-format::html, works-with::text Section: perl Priority: optional Filename: pool/main/libh/libhtml-element-extended-perl/libhtml-element-extended-perl_1.18-1_all.deb Package: libhtml-embedded-turtle-perl Version: 0.333-1 Installed-Size: 70 Maintainer: Debian Perl Group Architecture: all Depends: perl, libcommon-sense-perl, libnamespace-clean-perl, libobject-authority-perl, libossp-uuid-perl, librdf-rdfa-parser-perl, librdf-trine-perl, librdf-trin3-perl Size: 17160 SHA256: 9c9a0f6fa88bf6690e86afc9df491e70ae7ffcf81cc56036f6ed07979d4721a6 SHA1: 70643d648db97bc30066bf89a92ea050003af8eb MD5sum: c51cabf1e2f9cac05c653837ca90fac5 Description: embedding RDF in HTML the crazy way Resource Description Framework (RDF) is a standard model for data interchange on the Web. . RDF can be embedded in (X)HTML using simple ") Markup(u'<script>alert(document.cookie);</script>') >>> tmpl = Markup("%s") >>> tmpl % "Peter > Lustig" Markup(u'Peter > Lustig') . If you want to make an object unicode that is not yet unicode but don't want to lose the taint information, you can use the `soft_unicode` function: . >>> from markupsafe import soft_unicode >>> soft_unicode(42) u'42' >>> soft_unicode(Markup('foo')) Markup(u'foo') Package: python-markupsafe-dbg Source: markupsafe Version: 0.15-1 Architecture: armhf Maintainer: Piotr Ożarowski Installed-Size: 155 Depends: python-markupsafe (= 0.15-1), libc6 (>= 2.13-28), python2.7-dbg | python2.6-dbg, python-dbg (>= 2.6), python-dbg (<< 2.8) Homepage: http://pypi.python.org/pypi/MarkupSafe Priority: extra Section: debug Filename: pool/main/m/markupsafe/python-markupsafe-dbg_0.15-1_armhf.deb Size: 20132 SHA256: 5d9fccd94bccb105803e9ee0270fc7681f458c6ddf747f8bc9d3f1adeed6d103 SHA1: 33ca250018a0e608f1117ed9cd101f961e2f973b MD5sum: 8618ab1c01fd88221aab9f7ff73cb694 Description: XML/HTML/XHTML Markup safe string for Python This package contains the extension built for the Python debug interpreter. Package: python-mathgl Source: mathgl Version: 1.11.2-17 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 1035 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgif4 (>= 4.1.4), libgl1-mesa-glx | libgl1, libgsl0ldbl (>= 1.9), libhdf4-0, libhdf5-7, libjpeg8 (>= 8c), libmgl5 (>= 1.11), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.3.0), python (<< 2.8), python (>= 2.7), python-numpy (>= 1:1.6.1), python-numpy-abi9, python-support (>= 0.90.0) Homepage: http://mathgl.sourceforge.net/index.html Priority: optional Section: python Filename: pool/main/m/mathgl/python-mathgl_1.11.2-17_armhf.deb Size: 311852 SHA256: aa994eea029a7f49d663fae801a3648b23a0e44e2f177369340582ca4704dd93 SHA1: 4c967b8305bf3892f58d9ec7b9a60cd76f2f23e6 MD5sum: 8cbe10eef62f225ab2eec5e7ea6f2ab6 Description: library for scientific graphs. (Python module) A free cross-platform library of fast C++ routines for plotting data in up to 3 dimensions. It can export plots to bitmaps and vector EPS, SVG, IDTF files. There are simple window interfaces based on GLUT, FLTK and/or Qt. MathGL can also be used in the console. There are interfaces to a set of languages, such as, C, Fortran, Pascal, Forth, Python, Octave. . This package provides the Python module for mathgl. Package: python-matplotlib Source: matplotlib Version: 1.1.1~rc2-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 7787 Depends: python-cairo, python-dateutil, python-gobject, python-matplotlib-data (>= 1.1.1~rc2-1), python-pyparsing, python-tz, python (<< 2.8), python (>= 2.6), python-numpy (>= 1:1.6.1), python-numpy-abi9, python-support (>= 0.90.0), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), zlib1g (>= 1:1.1.4) Recommends: python-glade2, python-tk (>= 2.5.2-1.1) Suggests: dvipng, ipython (>= 0.6.3), librsvg2-common, python-configobj, python-excelerator, python-gtk2, python-matplotlib-doc, python-qt4, python-scipy, python-traits (>= 2.0), python-wxgtk2.8, texlive-extra-utils, texlive-latex-extra Enhances: ipython Homepage: http://matplotlib.sf.net/ Priority: optional Section: python Filename: pool/main/m/matplotlib/python-matplotlib_1.1.1~rc2-1_armhf.deb Size: 2471578 SHA256: f2c7defe6cb8bab9a32ff89a0ecfafa0f49f72606f9999f3c4ec44628ceb09ea SHA1: 7a5e62853f9b5fa6306dfd8b72846476df39d7c4 MD5sum: eeb966bb32142bbb20b7c6eb7380e5dd Description: Python based plotting system in a style similar to Matlab Matplotlib is a pure Python plotting library designed to bring publication quality plotting to Python with a syntax familiar to Matlab users. All of the plotting commands in the pylab interface can be accessed either via a functional interface familiar to Matlab users or an object oriented interface familiar to Python users. Package: python-matplotlib-data Source: matplotlib Version: 1.1.1~rc2-1 Installed-Size: 4964 Maintainer: Debian Python Modules Team Architecture: all Depends: fonts-lyx Size: 2057016 SHA256: 5e960213f8a6599a9615ae2f74e2cb984a41d40a19a7a9c97da77e953ce56911 SHA1: a1d55f3671f7c009c179265556939a07cf48621a MD5sum: 40c625648c10909213122745e54008f5 Description: Python based plotting system (data package) Matplotlib is a pure Python plotting library designed to bring publication quality plotting to Python with a syntax familiar to Matlab users. All of the plotting commands in the pylab interface can be accessed either via a functional interface familiar to Matlab users or an object oriented interface familiar to Python users. . This package contains architecture independent data for python-matplotlib. Homepage: http://matplotlib.sf.net/ Tag: role::app-data Section: python Priority: optional Filename: pool/main/m/matplotlib/python-matplotlib-data_1.1.1~rc2-1_all.deb Package: python-matplotlib-dbg Source: matplotlib Version: 1.1.1~rc2-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 38008 Depends: python-all-dbg, python-matplotlib (= 1.1.1~rc2-1), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4) Homepage: http://matplotlib.sf.net/ Priority: extra Section: debug Filename: pool/main/m/matplotlib/python-matplotlib-dbg_1.1.1~rc2-1_armhf.deb Size: 12157162 SHA256: 01f6e9fbab9ce98cf45826b5bc73badb20d7da4da0054e8bb33f8902ef6d383b SHA1: 1c674336c9d78ce00f44e14a0408d4bdb77b3dfd MD5sum: 42d479f3ccc9fd0fdc7e739b23f5f96c Description: Python based plotting system (debug extension) Matplotlib is a pure Python plotting library designed to bring publication quality plotting to Python with a syntax familiar to Matlab users. All of the plotting commands in the pylab interface can be accessed either via a functional interface familiar to Matlab users or an object oriented interface familiar to Python users. . This package contains the debug extension for python-matplotlib. Package: python-matplotlib-doc Source: matplotlib Version: 1.1.1~rc2-1 Installed-Size: 67656 Maintainer: Debian Python Modules Team Architecture: all Depends: libjs-jquery Size: 52319534 SHA256: 01f095cefe01e7968835780076959c04e293c5d20db58c322cccc6b494d8514b SHA1: 6ab2808d1b1d0d5a450d903fc20d70c68f5a9015 MD5sum: b1e2d1c61d5f354b28d243cc898a83fe Description: Python based plotting system (documentation package) Matplotlib is a pure Python plotting library designed to bring publication quality plotting to Python with a syntax familiar to Matlab users. All of the plotting commands in the pylab interface can be accessed either via a functional interface familiar to Matlab users or an object oriented interface familiar to Python users. . This package contains documentation for python-matplotlib. Homepage: http://matplotlib.sf.net/ Tag: devel::doc, devel::examples, devel::lang:python, role::documentation Section: doc Priority: optional Filename: pool/main/m/matplotlib/python-matplotlib-doc_1.1.1~rc2-1_all.deb Package: python-mdp Source: mdp Version: 3.3-1 Installed-Size: 1527 Maintainer: Tiziano Zito Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-numpy Recommends: python-scipy, python-libsvm, python-joblib, python-scikits-learn | python-sklearn, python-pp Suggests: python-py, shogun-python-modular Enhances: python-mvpa Size: 483516 SHA256: 7600b1fded26bb3e6d25641b4e88eb15d718aca0f0d6367253cd09e1a826ddd2 SHA1: 3793dc1c5f69b296db09f38957dc7d3266f2c07f MD5sum: a52024ac77f95b1a1b6b2f06100ab9b3 Description: Modular toolkit for Data Processing Python data processing framework for building complex data processing software by combining widely used machine learning algorithms into pipelines and networks. Implemented algorithms include: Principal Component Analysis (PCA), Independent Component Analysis (ICA), Slow Feature Analysis (SFA), Independent Slow Feature Analysis (ISFA), Growing Neural Gas (GNG), Factor Analysis, Fisher Discriminant Analysis (FDA), and Gaussian Classifiers. . This package contains MDP for Python 2. Homepage: http://mdp-toolkit.sourceforge.net/ Tag: devel::lang:python, devel::library, field::mathematics, implemented-in::python, role::devel-lib, role::shared-lib, use::analysing Section: python Priority: optional Filename: pool/main/m/mdp/python-mdp_3.3-1_all.deb Package: python-mecab Version: 0.99.3-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 242 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libmecab2 (>= 0.99.3-2), libstdc++6 (>= 4.3.0), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), mecab-jumandic | mecab-ipadic Provides: python2.6-mecab, python2.7-mecab Homepage: http://sourceforge.net/projects/mecab/ Priority: optional Section: python Filename: pool/main/p/python-mecab/python-mecab_0.99.3-1_armhf.deb Size: 62764 SHA256: fd51d4a9af671bb72f8d951e2e14b6b216de610e0e733ffc78efdfc8f635eed5 SHA1: 2602b2dcb524d8357c7ee6dc38fcfa1de8b713df MD5sum: 932c5e865206e24d65219a6639216729 Description: mecab binding for Python Mecab is a morphological analysis system. It reads Japanese sentences from the standard input, segments them into morpheme sequences, and outputs them to the standard output with many additional pieces of information (pronunciation, semantic information, etc). . python-mecab is binding for Python. Package: python-mecavideo Source: pymecavideo Version: 6.0-5 Installed-Size: 2477 Maintainer: Georges Khaznadar Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), iceweasel | www-browser, python-qt4, xdg-utils, evince | postscript-viewer, python-opencv (>= 2.1), python-matplotlib, shared-mime-info Recommends: mencoder, mplayer | vlc | xine Suggests: transcode Size: 1815234 SHA256: 0d9399db414ac19188a4859fcfefd56322ad20e7bc51a1f7b935fd8fc1d934e4 SHA1: b7553d99d8b6e9dc603dfa225b712bcc85e709b4 MD5sum: 11cc9ae99f828811d127ebb0fb6abd96 Description: pedagogic tool to analyze video records for mechanics You can track the movement of one or many points in video frames and export the position measurements to standard analysis tools. Tag: field::physics, hardware::input:mouse, implemented-in::python, interface::x11, role::app-data, role::examples, role::program, science::calculation, science::data-acquisition, science::modelling, science::plotting, science::visualisation, scope::application, uitoolkit::qt, use::analysing, use::calculating, use::converting, use::learning, use::viewing, works-with-format::oggtheora, works-with::spreadsheet, works-with::video, x11::application Section: python Priority: extra Filename: pool/main/p/pymecavideo/python-mecavideo_6.0-5_all.deb Package: python-mechanize Version: 1:0.2.5-3 Installed-Size: 977 Maintainer: Debian/Ubuntu Zope Team Architecture: all Replaces: python-clientform (<< 1:0.2.5-2) Provides: python-clientform, python2.6-mechanize, python2.7-mechanize Depends: python (>= 2.6.6-7~), python (<< 2.8) Breaks: python-clientform (<< 1:0.2.5-2) Size: 343222 SHA256: e529e095ba68c6189ece2554cc239ef647db399cf112cc1ffbab7a8d8498de7b SHA1: 4bdd662475601ca0a9065e53e114368723d88bf3 MD5sum: 97d5af26cae870b8f6abb0580835c14c Description: stateful programmatic web browsing A library for browsing the web in Python. Mechanize acts like a browser allowing you to do web scraping, functional testing of web sites and things no one has thought of yet. . Among other things, mechanize: * Follows links * Fills in HTML forms * Automatically observes robots.txt * Has a browser history . It was modelled after the Perl module WWW::Mechanize. Homepage: http://wwwsearch.sourceforge.net/mechanize/ Tag: devel::lang:python, devel::library, implemented-in::python, protocol::http, role::devel-lib Section: python Priority: extra Filename: pool/main/p/python-mechanize/python-mechanize_0.2.5-3_all.deb Package: python-medusa Version: 1:0.5.4-7 Installed-Size: 352 Maintainer: Arnaud Fontaine Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python-medusa-doc Size: 61342 SHA256: c7ccf8538746f5be2e1d4dd697a684ab2a18e9080346fac32442ad8b2e308954 SHA1: d45f58336ffc5c4a5ae8f1a163af265c936a48eb MD5sum: fce146bbf74e0eeccc2fb639b756de58 Description: Framework for implementing asynchronous servers Medusa is a 'server platform' -- it provides a framework for implementing asynchronous socket-based servers (TCP/IP and on Unix, Unix domain, sockets). Homepage: http://www.amk.ca/python/code/medusa.html Tag: devel::lang:python, devel::library, implemented-in::python, network::service Section: python Priority: optional Filename: pool/main/p/python-medusa/python-medusa_0.5.4-7_all.deb Package: python-medusa-doc Source: python-medusa Version: 1:0.5.4-7 Installed-Size: 156 Maintainer: Arnaud Fontaine Architecture: all Size: 40498 SHA256: 1a34a7b386daece23760e4fa08e09dd18b9d0d786f400c2cb2ce416c7548e042 SHA1: a27a1ea12350bbcc1cf14126fe1fa01051000b9a MD5sum: 88f585d32d27b215d6514c3774b4b35f Description: Framework for implementing asynchronous servers Medusa is a 'server platform' -- it provides a framework for implementing asynchronous socket-based servers (TCP/IP and on Unix, Unix domain, sockets). . This package contains the documentation for Medusa. Homepage: http://www.amk.ca/python/code/medusa.html Tag: devel::doc, devel::examples, devel::lang:python, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/p/python-medusa/python-medusa-doc_0.5.4-7_all.deb Package: python-melange Source: melange Version: 1:2012.1-3 Installed-Size: 896 Maintainer: PKG OpenStack Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-sqlalchemy (>= 0.7~), python-sqlalchemy (<< 0.8), python-eventlet, python-kombu, python-routes, python-webob, python-mox, python-pastedeploy, python-paste, python-migrate, python-netaddr, python-sphinx, python-webtest, python-factory-boy, python-httplib2, python-lxml, python-iso8601 Size: 98292 SHA256: d4babb97de3d184532cfc8be0f1e692333e6285d829219de31a1983857e3743f SHA1: 2cf4c524a61d2f8e7df74b2c20a2c13f88e7c54a MD5sum: 82e48f63666491f6daf3a2990a8f3096 Description: IPAM management service for Openstack - Python library Melange is a network information service that provides a centralized mechanism for managing IPs, MAC addresses and other information that needs to be shared across multiple openstack services (Nova zones, Atlas, Quantum). . This package contains the Python libraries. Homepage: https://launchpad.net/melange Section: python Priority: extra Filename: pool/main/m/melange/python-melange_2012.1-3_all.deb Package: python-melangeclient Version: 0.1-1.2 Installed-Size: 260 Maintainer: PKG OpenStack Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-httplib2, python-yaml Size: 22178 SHA256: aca4851622f4f410302c2ab73900da0c363f46b23a73e953ab4c3a04a737ea58 SHA1: e76189e7eb01fd32dc254cb72bd3ab579f164bf4 MD5sum: 9efd3b0115880702d9f6150ba93935fe Description: client API library for Melange Python melange library for interacting with OpenStack melange. Section: python Priority: extra Filename: pool/main/p/python-melangeclient/python-melangeclient_0.1-1.2_all.deb Package: python-meld3 Version: 0.6.5-3.1 Architecture: armhf Maintainer: Anders Hammarquist Installed-Size: 167 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.4) Provides: python2.6-meld3, python2.7-meld3 Homepage: http://plope.com/software/meld3/ Priority: extra Section: python Filename: pool/main/p/python-meld3/python-meld3_0.6.5-3.1_armhf.deb Size: 47062 SHA256: 8761fc69804a9484f9b8fd8eb7b922cadeac61c2e6ac7e37288cdd38ab871401 SHA1: 8cac74a9887ed14a6d2fd9bfb5c3ceb328b7cafa MD5sum: 4751606fcece9828fe80ac4a24a6121a Description: An HTML/XML templating system for Python meld3 is an HTML/XML templating system for Python 2.3+ which keeps template markup and dynamic rendering logic separate from one another. meld3 can deal with HTML or XML/XHTML input and can output well-formed HTML or XML/XHTML. Package: python-meliae Source: meliae Version: 0.4.0-1 Architecture: armhf Maintainer: Jelmer Vernooij Installed-Size: 429 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28) Recommends: python-simplejson Suggests: python-meliae-dbg Provides: python2.6-meliae, python2.7-meliae Homepage: https://launchpad.net/meliae Priority: optional Section: python Filename: pool/main/m/meliae/python-meliae_0.4.0-1_armhf.deb Size: 143080 SHA256: 4da99db9040809e23c689c98980e3bf0e425d2bebcc32c6c73831daeff2b13eb SHA1: 22e2a55fc32f7b6a6384824fbf17fdcbcd808aa3 MD5sum: 5e5e131d4e5d2993a8300727509a90c1 Description: Memory analysis tool for Python Meliae is a library meant to help people understand how their memory is being used in Python. . This project is similar to heapy (in the 'guppy' project), in its attempt to understand how memory has been allocated. . Currently, its main difference is that it splits the task of computing summary statistics of memory consumption from the actual scanning of memory consumption. Package: python-meliae-dbg Source: meliae Version: 0.4.0-1 Architecture: armhf Maintainer: Jelmer Vernooij Installed-Size: 1773 Depends: python2.7-dbg | python2.6-dbg, python-dbg (>= 2.6), python-dbg (<< 2.8), libc6 (>= 2.13-28), python-meliae (= 0.4.0-1) Provides: python2.6-meliae-dbg, python2.7-meliae-dbg Homepage: https://launchpad.net/meliae Priority: extra Section: debug Filename: pool/main/m/meliae/python-meliae-dbg_0.4.0-1_armhf.deb Size: 602062 SHA256: 72d6f8a1fa16f853cd1367d57b069d628e8ad25278b28d2550655a5c9049f74b SHA1: e6f2d2a45409ad6c280a7072d13df8143beafd5a MD5sum: 270d89121b59373b7658906886fc27e0 Description: Memory analysis tool for Python - Debug extension Meliae is a library meant to help people understand how their memory is being used in Python. . This package contains the debug extension. Package: python-memcache Version: 1.48-1 Installed-Size: 122 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: memcached Size: 24446 SHA256: 2cd1afe1397178231a5e49015e21f9d2ab5c10b5fd4b70dbdc164677fb3c6c2a SHA1: b072336790d626454f3e9908ab639920e2a6af9b MD5sum: 63cd6e66055f84d3fba4ed8e292d3ea9 Description: pure python memcached client This software is a 100% Python interface to the memcached memory cache daemon. It is the client side software which allows storing values in one or more, possibly remote, memcached servers. Homepage: http://www.tummy.com/Community/software/python-memcached/ Section: python Priority: optional Filename: pool/main/p/python-memcache/python-memcache_1.48-1_all.deb Package: python-messaging Version: 0.5.11+debian-1 Installed-Size: 412 Maintainer: Alex Chiang Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-nose Size: 50422 SHA256: c8e7ff97aa4a6d89d1691c831554cf9d7ecc98ef7b7c5a0f454c493c49c35369 SHA1: 0a2ed6dafdb737ec57a3a5990c33a55a31dc5217 MD5sum: fd8d8a5fe9209f3a4a4acde7f4be4395 Description: SMS/MMS encoder/decoder SMS Features * Supports 7bit, 8bit and UCS2 encodings * Multipart encoding/decoding * Status report encoding/decoding * Relative validity * Alphanumeric address decoding * Supports Python 2.x . MMS Features * SMIL support * Main formats supported: jpg, gif, arm, 3gp, midi, etc. * Supports MMS 1.0-1.4 decoding/encoding * Supports Python 2.x Homepage: https://github.com/pmarti/python-messaging Section: python Priority: optional Filename: pool/main/p/python-messaging/python-messaging_0.5.11+debian-1_all.deb Package: python-metaconfig Source: metaconfig Version: 0.1.4a1-1 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 87 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Homepage: http://pypi.python.org/pypi/metaconfig Priority: optional Section: python Filename: pool/main/m/metaconfig/python-metaconfig_0.1.4a1-1_armhf.deb Size: 6388 SHA256: ccfb54b9c5b4da5cbc4242504971ca4a1700d50db07076291580351f691177ce SHA1: 0c1354ee98a522bd076c7291fb63ac4e86431ad4 MD5sum: f828cd912bb2a28588962b26f0a5c4d0 Description: Python ConfigParser bootstraping library Metaconfig is a library for centralising Python's ConfigParser files. It is inspired by the logging module where it is increadibly easy to start writing code that depends on logging whilst deferring how log messages will be handled until later. Package: python-mhash Version: 1.4-1 Architecture: armhf Maintainer: Soren Hansen Installed-Size: 83 Depends: libc6 (>= 2.4), libmhash2, python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Homepage: http://labix.org/python-mhash Priority: optional Section: python Filename: pool/main/p/python-mhash/python-mhash_1.4-1_armhf.deb Size: 10166 SHA256: 7b001c1b984de843e20619ed882e74f54bbeed40e15144dbe3e0eff3addb2b3e SHA1: f03a0693169dd68f18509a0c9273061830f12666 MD5sum: 7a7f7fc6407517cee7ede93d753d5fac Description: Python bindings for libmhash python-mhash is a comprehensive Python interface to the mhash library, which provides a uniform interface to access several hashing algorithms such as MD4, MD5, SHA1, SHA160, and many others. Python-Version: 2.6, 2.7 Package: python-mhash-dbg Source: python-mhash Version: 1.4-1 Architecture: armhf Maintainer: Soren Hansen Installed-Size: 181 Depends: python-mhash (= 1.4-1), libc6 (>= 2.4), libmhash2 Homepage: http://labix.org/python-mhash Priority: extra Section: debug Filename: pool/main/p/python-mhash/python-mhash-dbg_1.4-1_armhf.deb Size: 43772 SHA256: f8e06f68d7c19433696650850f44bcaae1387c818bf3381d917bf987fad8e20c SHA1: d89eba2542a035252e09c16a3a15ba60c6e4b501 MD5sum: 92bd5e2de6aec7c064618844bc21f226 Description: debugging symbols for Python bindings for libmhash python-mhash is a comprehensive Python interface to the mhash library, which provides a uniform interface to access several hashing algorithms such as MD4, MD5, SHA1, SHA160, and many others. . This package contains the debugging symbols for python-mhash. Python-Version: 2.6, 2.7 Package: python-mididings Source: mididings Version: 0~20120419~ds0-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 1107 Depends: python-decorator, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libasound2 (>= 1.0.16), libboost-python1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libsmf0 (>= 1.3), libstdc++6 (>= 4.6) Suggests: python-dbus, python-liblo, python-pyinotify, python-tk Provides: python2.6-mididings, python2.7-mididings Homepage: http://das.nasophon.de/mididings/ Priority: optional Section: python Filename: pool/main/m/mididings/python-mididings_0~20120419~ds0-1_armhf.deb Size: 345724 SHA256: d360f5ab502eb82aff3552e72e3916d6f6f24acfbd3c40e177312b1bf0d6092f SHA1: 8b8af11a2115ce908dfa5d74cff8ce33d6f0b875 MD5sum: 89ca2a47496d6be56908018bc172aadb Description: MIDI routing library for Python mididings is a MIDI router and processor based on Python, supporting ALSA and JACK MIDI. . Features: * MIDI routing and filtering Filter events depending on their event type, channel, note number, velocity, etc., and freely route them between an arbitrary number of input and output ports. * Modifying and converting MIDI events Transpose notes, apply velocity curves, change controller values and ranges, or convert events to any other MIDI event type. mididings also includes more complex functions like a diatonic harmonizer, floating split points, latched notes, and more. * Seamless switching between patches Set up different "scenes", each with its own MIDI routing and processing, and switch between them at any time, even while playing. Switching scenes does not affect notes already held, and does not result in dropouts or stuck notes! * MIDI event monitoring, running external commands Print MIDI event data to the console to help debugging your patches and configuring your MIDI controllers. In addition to its MIDI output, mididings can also execute shell commands and send OSC or DBUS messages. Package: python-midiutil Version: 0.87-2 Installed-Size: 111 Maintainer: Debian Multimedia Maintainers Architecture: all Depends: python (>= 2.6.6-7~), python2.7 | python2.6, python (<< 2.8) Size: 17782 SHA256: 3ef19f15a22452db9c9a4b0cf0e5b0145e43aa8e58f88dc5fb09c049df8d05c7 SHA1: 74f02cfec7b37cae1ca0ec819bfdc7504d0722d4 MD5sum: 2d1094ba403584a533acef910244df5f Description: Python library to write multi-track MIDI files MIDIUtil is a pure Python library that allows one to write multi-track Musical Instrument Digital Interface (MIDI) files from within Python programs. It is object-oriented and allows one to create and write these files with a minimum of fuss. Homepage: http://code.google.com/p/midiutil/ Section: python Priority: optional Filename: pool/main/p/python-midiutil/python-midiutil_0.87-2_all.deb Package: python-migrate Source: migrate Version: 0.7.2-3 Installed-Size: 1125 Maintainer: Jan Dittberner Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-sqlalchemy (>= 0.7~), python-sqlalchemy (<< 0.8), python-decorator, python-tempita (>= 0.4), python-pkg-resources, libjs-sphinxdoc (>= 1.0) Size: 186624 SHA256: 6d3709b88c8e300387f37b6de3d3949765fff1954538572788f30b8b6c952e79 SHA1: c7b7e163f492a0c74227eeb2755ce0747ff51727 MD5sum: f7700a956248a651effd458e77c30b27 Description: Database schema migration for SQLAlchemy Inspired by Ruby on Rails' migrations, migrate provides a way to deal with database schema changes in SQLAlchemy projects. . SQLAlchemy-migrate is build on top of SQLAlchemy and provides a changeset and a versioning API for database schemas as well as a script utilizing these APIs. The database change sets are managed in a file based repository allowing upgrades and downgrades of database schema versions. The change sets may consist of Python code facilitating the changeset API or SQL scripts. . SQLAlchemy-migrate has support for MySQL, PostgreSQL, SQLite and Oracle databases. The support for Oracle is not as well tested as the support for the other database systems. Homepage: http://code.google.com/p/sqlalchemy-migrate/ Tag: devel::lang:python, devel::library, implemented-in::python, interface::commandline, role::devel-lib, role::program, role::shared-lib, works-with::db Section: python Priority: optional Filename: pool/main/m/migrate/python-migrate_0.7.2-3_all.deb Package: python-milter Source: pymilter Version: 0.9.5-3 Architecture: armhf Maintainer: Scott Kitterman Installed-Size: 216 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libmilter1.0.1, python-dns Suggests: postfix (>= 2.3) | sendmail (>= 8.13), python-milter-doc Provides: python2.6-milter, python2.7-milter Homepage: http://sourceforge.net/projects/pymilter/ Priority: optional Section: python Filename: pool/main/p/pymilter/python-milter_0.9.5-3_armhf.deb Size: 58368 SHA256: 49cb2585498c83170b177904b2ab7addc35b4759493441153709924622ea3a4d SHA1: 9a1a1620cd5e58b3a6ce4e9bec6a15e855da3f2d MD5sum: 23db1dd2dabf4c1e5c36b2bec0b14db3 Description: Python extension for Sendmail Milter Protocol Python milter provides Python extensions for the Milter protocol traditionally used by Sendmail and now also used by Postfix. It provides a thin layer of Python over the Milter protocol. Package: python-milter-doc Source: pymilter Version: 0.9.5-3 Installed-Size: 567 Maintainer: Scott Kitterman Architecture: all Replaces: python-milter-docs (<< 0.9.5-2~) Suggests: www-browser Breaks: python-milter-docs (<< 0.9.5-2~) Size: 125388 SHA256: 1d0a5b37cd957c5766ac1d64ecde801ccff7daf82769cddf68074e0a340277df SHA1: 6bb661ee3d058b1e7637ca52e498a7473f270b04 MD5sum: ecc1996e969223963586c95278b297ac Description: Documentation for the Python Milter extension Python milter provides Python extensions for the Milter protocol traditionally used by Sendmail and now also used by Postfix. It provides a thin layer of Python over the Milter protocol. . This package provides HTML and LaTex documentation for python-milter. Homepage: http://sourceforge.net/projects/pymilter/ Section: doc Priority: optional Filename: pool/main/p/pymilter/python-milter-doc_0.9.5-3_all.deb Package: python-milter-docs Source: pymilter Version: 0.9.5-3 Installed-Size: 31 Maintainer: Scott Kitterman Architecture: all Depends: python-milter-doc Size: 6802 SHA256: 7100cc809329fc2a40c34979170424ccec031b15b105e2554e9d83730a7ad7bd SHA1: ce774f0f5b28482e91e7bed8011d3e1292cc6e5f MD5sum: 60cc9b58dfe3981c03261e08427b9871 Description: Documentation for the Python Milter extension (transitional package) Python milter provides Python extensions for the Milter protocol traditionally used by Sendmail and now also used by Postfix. It provides a thin layer of Python over the Milter protocol. . This package is a transitional package and may safely be removed after upgrading. Homepage: http://sourceforge.net/projects/pymilter/ Tag: mail::filters, role::documentation Section: doc Priority: optional Filename: pool/main/p/pymilter/python-milter-docs_0.9.5-3_all.deb Package: python-mimeparse Version: 0.1.3-6 Installed-Size: 60 Maintainer: Mathias Ertl Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 5826 SHA256: 5dd79b9dada2aed9b083bfc577b1a85d8e8d1a9f79a6db90b14ba97b7948d8f6 SHA1: b56aece49556e430e0736e21f9fa36c6aa74b374 MD5sum: 3e1f3b9280f2bc984bdde872025bd014 Description: Parse mime-types and quality parameters This module provides basic functions for parsing mime-type names and matching them against a list of media-ranges. . See section 14.1 of RFC 2616 (the HTTP specification) for a complete explanation. Homepage: https://code.google.com/p/mimeparse/ Section: python Priority: optional Filename: pool/main/p/python-mimeparse/python-mimeparse_0.1.3-6_all.deb Package: python-ming Source: ming Version: 1:0.4.4-1.1+deb7u9 Architecture: armhf Maintainer: Stuart R. Anderson Installed-Size: 578 Depends: libc6 (>= 2.13-28), libgif4 (>= 4.1.4), libming1, libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4), python (<< 2.8), python (>= 2.6), python-central (>= 0.6.11) Provides: python2.6-ming, python2.7-ming Homepage: http://ming.sourceforge.net/ Priority: optional Section: python Filename: pool/main/m/ming/python-ming_0.4.4-1.1+deb7u9_armhf.deb Size: 142938 SHA256: 1bdd52317479fc82f12d8c66ead22020aa54254d6f0fdcd0ac0390d3788e4fe4 SHA1: 06fbd4f8d770ab31afe827273a1d68214876e31a MD5sum: 9f5d242cf297865aafaa519527d89aa3 Description: Ming (SWF) module for Python Ming is an SWF (Flash) file format output library. It is written in C, with wrappers for C++, Perl, Python, PHP and experimental support for Ruby and Java. . This package contains the Python module and development files. Python-Version: 2.6, 2.7 Package: python-minimal Source: python-defaults Version: 2.7.3-4+deb7u1 Installed-Size: 161 Maintainer: Matthias Klose Architecture: all Replaces: python (<= 2.7.3-1~) Depends: python2.7-minimal (>= 2.7.3-1~), dpkg (>= 1.13.20) Recommends: python Conflicts: python-central (<< 0.5.5) Breaks: idle (<< 2.6), python (<= 2.7.3-1~), python-all (<< 2.6), python-all-dbg (<< 2.6), python-all-dev (<< 2.6), python-dbg (<< 2.6), python-dev (<< 2.6), python-examples (<< 2.6), python-support (<< 1.0.10ubuntu2), python2.5-minimal (<< 2.5.5-7), python2.6-minimal (<< 2.6.5~rc2-2), python3.1-minimal (<< 3.1.2~rc1-2) Size: 42812 SHA256: e1226fd51a7bd0e360084788e41c58d26135bf8d12dbcf9fcd960fc95e9570f8 SHA1: 0770548df888bbecb45bd6063ee4eba2e137dbd8 MD5sum: 6e3755110df3bbd46c0a23f3cfb22198 Description: minimal subset of the Python language (default version) This package contains the interpreter and some essential modules. It's used in the boot process for some basic tasks. See /usr/share/doc/python2.7-minimal/README.Debian for a list of the modules contained in this package. Homepage: http://www.python.org/ Tag: admin::boot, devel::interpreter, devel::lang:python, implemented-in::c, interface::commandline, role::program, scope::utility Section: python Priority: standard Filename: pool/main/p/python-defaults/python-minimal_2.7.3-4+deb7u1_all.deb Package: python-minimock Version: 1.2.7-1 Installed-Size: 97 Maintainer: Ben Finney Architecture: all Provides: python2.6-minimock, python2.7-minimock Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 17126 SHA256: 6971f50b1e204dc412ce791c9599aa1cdf4ead676960cd11752708854316d194 SHA1: 9c170cd52a9a48cc13b9b409d40ca2a53c5b9a3c MD5sum: b420d26a22b7e6310ae32fba0c09cdf9 Description: simple library for Python mock objects minimock is a simple Python library for using mock objects. . Its mock objects will report any access made to the mock object's interfaces. The programmer can easily make assertions about how mock objects are used in the test cases, by using the standard-library ‘doctest’ module to match the reported access against expected behaviour. . Mock objects can return specified values, raise exceptions, etc. to simulate the mocked behaviour. Existing objects can optionally be replaced in-place in their namespace by a mock object, and restored safely after testing. Homepage: http://pypi.python.org/pypi/MiniMock Tag: devel::lang:python, devel::library, devel::testing-qa, implemented-in::python, role::devel-lib, scope::utility Section: python Priority: optional Filename: pool/main/p/python-minimock/python-minimock_1.2.7-1_all.deb Package: python-mlpy Source: mlpy Version: 2.2.0~dfsg1-2 Installed-Size: 428 Maintainer: NeuroDebian Team Architecture: all Provides: python2.5-mlpy, python2.6-mlpy Depends: python (>= 2.4), python-support (>= 0.90.0), python2.6, python-numpy, python-mlpy-lib (>= 2.2.0~dfsg1-2) Suggests: python-mvpa Size: 58008 SHA256: 91f8cc19215968eabf47e01e7be88f6e4441d7d75ed493eb6bf2088ece4c79ea SHA1: a4c7250f3867de7c6985295b3c496a87014eddf3 MD5sum: 0409744e4051a397664460ba524a8b0f Description: high-performance Python package for predictive modeling mlpy provides high level procedures that support, with few lines of code, the design of rich Data Analysis Protocols (DAPs) for preprocessing, clustering, predictive classification and feature selection. Methods are available for feature weighting and ranking, data resampling, error evaluation and experiment landscaping. . mlpy includes: SVM (Support Vector Machine), KNN (K Nearest Neighbor), FDA, SRDA, PDA, DLDA (Fisher, Spectral Regression, Penalized, Diagonal Linear Discriminant Analysis) for classification and feature weighting, I-RELIEF, DWT and FSSun for feature weighting, *RFE (Recursive Feature Elimination) and RFS (Recursive Forward Selection) for feature ranking, DWT, UWT, CWT (Discrete, Undecimated, Continuous Wavelet Transform), KNN imputing, DTW (Dynamic Time Warping), Hierarchical Clustering, k-medoids, Resampling Methods, Metric Functions, Canberra indicators. Homepage: https://mlpy.fbk.eu/ Python-Version: 2.5, 2.6 Section: python Priority: optional Filename: pool/main/m/mlpy/python-mlpy_2.2.0~dfsg1-2_all.deb Package: python-mlpy-doc Source: mlpy Version: 2.2.0~dfsg1-2 Installed-Size: 1136 Maintainer: NeuroDebian Team Architecture: all Depends: libjs-jquery Suggests: python-mlpy Size: 482054 SHA256: 618ca30d683158d85b18a26a6e38ff2700883ae5089552f4f7c517373c71fd01 SHA1: 1219e12bdf7f7eda3215e3764da4da02ff12f553 MD5sum: 085d36f5ea33f5637ab6087cecd9f5d7 Description: documention and examples for mlpy mlpy provides high level procedures that support, with few lines of code, the design of rich Data Analysis Protocols (DAPs) for preprocessing, clustering, predictive classification and feature selection. Methods are available for feature weighting and ranking, data resampling, error evaluation and experiment landscaping. . This package provides user documentation for mlpy in various formats (HTML, PDF). Homepage: https://mlpy.fbk.eu/ Tag: devel::doc, devel::examples, made-of::html, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/m/mlpy/python-mlpy-doc_2.2.0~dfsg1-2_all.deb Package: python-mlpy-lib Source: mlpy Version: 2.2.0~dfsg1-2 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 418 Depends: libc6 (>= 2.13-28), libgsl0ldbl (>= 1.9), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python-numpy Provides: python2.6-mlpy-lib, python2.7-mlpy-lib Homepage: https://mlpy.fbk.eu/ Priority: optional Section: python Filename: pool/main/m/mlpy/python-mlpy-lib_2.2.0~dfsg1-2_armhf.deb Size: 126766 SHA256: a6cca0fe5a006b5b8e0d67254be18add39295594110746eade12988b72519d3e SHA1: 485674b7567181b52bedfdc432e4ff26755770fc MD5sum: 2bcb944a16f62a9fcd641c3993b040e1 Description: low-level implementations and bindings for mlpy This is an add-on package for the mlpy providing compiled core functionality. Python-Version: 2.6, 2.7 Package: python-mlt5 Source: mlt Version: 0.8.0-4 Architecture: armhf Maintainer: Fathi Boudra Installed-Size: 698 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libmlt++3, libmlt5, libpython2.7 (>= 2.7), libstdc++6 (>= 4.3.0), python2.7, python (>= 2.7), python (<< 2.8) Conflicts: python-mlt2, python-mlt3 Replaces: python-mlt2, python-mlt3 Provides: python2.7-mlt5 Homepage: http://www.mltframework.org Priority: optional Section: python Filename: pool/main/m/mlt/python-mlt5_0.8.0-4_armhf.deb Size: 224674 SHA256: 3879eae0651caf618e019358267eb7e0b571ae00fafeadc02b88204f143599c2 SHA1: f42652fbe78109e326dff1ace85801aadc30e43d MD5sum: bf0cc2baa79b01f0d969b2ca94a17c3a Description: multimedia framework (python bindings) MLT is an open source multimedia framework, designed and developed for television broadcasting. It provides a toolkit for broadcasters, video editors, media players, transcoders, web streamers and many more types of applications. The functionality of the system is provided via an assortment of ready to use tools, xml authoring components, and an extendible plug-in based API. . This package contains the Python bindings for the mlt package. Package: python-mmkeys Source: sonata Version: 1.6.2.1-5 Architecture: armhf Maintainer: Python Applications Packaging Team Installed-Size: 89 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8), python-gtk2 Breaks: sonata (<< 1.4) Replaces: sonata (<< 1.4) Provides: python2.6-mmkeys, python2.7-mmkeys Homepage: http://sonata.berlios.de/ Priority: optional Section: python Filename: pool/main/s/sonata/python-mmkeys_1.6.2.1-5_armhf.deb Size: 20482 SHA256: 676aa9b0c5cca5403a27ce3672868c7c27b57b287c193ad112f661304c3a459d SHA1: ca49a566a10872fe033983a08d413815503b10d3 MD5sum: 5587922918fadc8dcff3c962f317f32c Description: Multimedia key support as a PyGTK object This module lets you access multimedia keys found on most new keyboards from Python; most important it grabs all input events so your program doesn't need to be in focus when the key is pressed (which is the usual behavior of the keys). You still need something like Acme or xmodmap to map the keys before using them. Package: python-mock Version: 0.8.0-3 Installed-Size: 153 Maintainer: Debian Python Modules Team Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8) Suggests: python-mock-doc Size: 34762 SHA256: e48ff77d9065cb77af017f83bf37318a2379c14e9a46301cd3057fbb6b40d1ad SHA1: 2ac22b522802323ade8714cc4181e2ce0d425e3a MD5sum: aa68efd028476b1f353845f8cf30f69f Description: Mocking and Testing Library mock provides a core mock.Mock class that is intended to reduce the need to create a host of trivial stubs throughout your test suite. After performing an action, you can make assertions about which methods / attributes were used and arguments they were called with. You can also specify return values and set specific attributes in the normal way. Homepage: http://www.voidspace.org.uk/python/mock/ Section: python Priority: extra Filename: pool/main/p/python-mock/python-mock_0.8.0-3_all.deb Package: python-mock-doc Source: python-mock Version: 0.8.0-3 Installed-Size: 910 Maintainer: Debian Python Modules Team Architecture: all Replaces: python-mock (<< 0.7.2) Depends: libjs-sphinxdoc (>= 1.0) Breaks: python-mock (<< 0.7.2) Size: 177436 SHA256: f50bc56845f748ab02777dacd55c0542b19c56988b7980875d1a2911991b09b8 SHA1: 5cfc494f55892a5458f14d6aafd145ff736f8cb4 MD5sum: 98c86ab7a6d7ddbbda43f5ad9ccfffaf Description: Mocking and Testing Library (Documentation) mock provides a core mock.Mock class that is intended to reduce the need to create a host of trivial stubs throughout your test suite. After performing an action, you can make assertions about which methods / attributes were used and arguments they were called with. You can also specify return values and set specific attributes in the normal way. . This package contains the documentation. Homepage: http://www.voidspace.org.uk/python/mock/ Tag: devel::doc, role::documentation Section: doc Priority: extra Filename: pool/main/p/python-mock/python-mock-doc_0.8.0-3_all.deb Package: python-mocker Source: mocker Version: 1.0-2 Installed-Size: 145 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 26208 SHA256: abf9408096580a9379f270c2c3313d1f80325c544a9f31224b225a6287ad4817 SHA1: c3eb1dcb8b50493a61a0e78d7d280d170e11ad39 MD5sum: 687fa50060904c52750357c2d795c8e0 Description: Mocker object mocking framework Mocker provides an API for mocking Python objects in unit tests. Mocker provides graceful creation of test doubles (mocks, stubs, fakes, and dummies) for Python testing needs. Homepage: http://labix.org/mocker Section: python Priority: optional Filename: pool/main/m/mocker/python-mocker_1.0-2_all.deb Package: python-mod-pywebsocket Version: 0.7.5-1 Installed-Size: 237 Maintainer: Debian Python Modules Team Architecture: all Provides: python2.6-mod-pywebsocket, python2.7-mod-pywebsocket Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: libapache2-mod-python Size: 61220 SHA256: c9ae191b21229c98bf79b6ddefac1d2452d08a8dad0aab3ffa6e914e0b376d3f SHA1: 43b0d33c42809c89899eaada8e0289c885033753 MD5sum: bc87e389565abfd64ee881d92fd0f42e Description: WebSocket extension for Apache HTTP Server The pywebsocket project aims to provide a WebSocket extension for Apache HTTP Server, mod_pywebsocket. You can also run it as a standalone server. . mod_pywebsocket is intended for testing or experimental purposes. mod_python is required. For wss, mod_ssl is also required. Homepage: http://code.google.com/p/pywebsocket/ Section: python Priority: extra Filename: pool/main/p/python-mod-pywebsocket/python-mod-pywebsocket_0.7.5-1_all.deb Package: python-mode Version: 1:5.1.0-1 Installed-Size: 380 Maintainer: Yaroslav Halchenko Architecture: all Replaces: python-elisp, python1.5-elisp, python2.1-elisp, python2.2-elisp Provides: python-elisp Depends: python (>= 2.3), python-central (>= 0.6.7), emacs22 | emacsen, pymacs (>= 0.22-6) Recommends: pychecker Suggests: pylint, python-ropemacs Conflicts: python-elisp, python1.5-elisp, python2.1-elisp, python2.2-elisp Size: 73720 SHA256: 2060e41f67104161937bb0e4b5b191b65fea68eda2c3ce7d5096e0687f8bb37b SHA1: 1d713d9ea874e9ff398a435481fd0a00458d4985 MD5sum: 1ccea04a4d85d2b4abaad2dbc364ef31 Description: Python mode for GNU Emacs and XEmacs Provides a major mode for GNU Emacs and XEmacs to edit, debug, and develop Python programs and doctests. . If you install this with XEmacs 21, it will replace the included python-mode.el, which may or may not be a more recent version (use "C-h v py-version" to compare). . Since this package automagically loads after Python mode shipped with GNU emacs >= 22, installation of this package makes python-mode's mode the default for editing Python code in emacs. Homepage: https://launchpad.net/python-mode/ Python-Version: current, >= 2.3 Tag: devel::lang:python, implemented-in::lisp, interface::commandline, role::plugin, suite::emacs Section: python Priority: optional Filename: pool/main/p/python-mode/python-mode_5.1.0-1_all.deb Package: python-modestmaps Source: modestmaps-py Version: 1.3.1-1 Installed-Size: 108 Maintainer: Debian GIS Project Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 13980 SHA256: f52974d7930c9830b8285e414f154c4924c3c23a298b487f3411df63ca3ef20f SHA1: f9733a95a0f56a3b10332e65619af2bb55d39416 MD5sum: aa08bea4c15b73734ee72797d980b0cc Description: display and interaction library for tile-based maps Modest Maps is a display and interaction library for tile-based maps in Flash (Actionscript 2.0 and Actionscript 3.0), Javascript and Python. . Its intent is to provide a minimal, extensible, customizable, and free display library for designers and developers who want to use interactive maps in their own projects. Modest Maps provides a core set of features in a tight, clean package, with hooks for additional functionality. . This package contains the Python module. Homepage: http://code.google.com/p/modestmaps/ Section: python Priority: extra Filename: pool/main/m/modestmaps-py/python-modestmaps_1.3.1-1_all.deb Package: python-moinmoin Source: moin Version: 1.9.4-8+deb7u3 Installed-Size: 28537 Maintainer: Jonas Smedegaard Architecture: all Provides: python2.6-moinmoin, python2.7-moinmoin Depends: python (>= 2.6.6-7~), python (<< 2.8), python-werkzeug, python-pygments, python-parsedatetime, python-recaptcha Suggests: python-flup, python-4suite-xml, python-xml, python-gdchart, python-docutils, python-pyxmpp, python-openid, python-tz, python-ldap, python-mysqldb, miscfiles | wordlist, antiword, catdoc, cifs-utils, poppler-utils | xpdf-utils, docbook-dsssl Size: 21412884 SHA256: b4d8da567a014c59c8f895025f4be7cc9ef1089d2d0193f57bd5791da3e00298 SHA1: 6d100a8380f6a979ca24b51edcecb022d48d9abb MD5sum: 4f47545abf1308fbebd860fa4b0b6349 Description: Python clone of WikiWiki - library A WikiWikiWeb is a collaborative hypertext environment, with an emphasis on easy access to and modification of information. MoinMoin is a Python WikiClone that allows you to easily set up your own wiki, only requiring a Web server and a Python installation. Homepage: http://moinmo.in/ Recommends: default-mta | mail-transport-agent, python-xapian, python-xappy, fckeditor, libapache2-mod-wsgi | httpd-cgi Section: python Priority: optional Filename: pool/main/m/moin/python-moinmoin_1.9.4-8+deb7u3_all.deb Package: python-monajat Source: monajat Version: 2.6.3-1 Installed-Size: 269 Maintainer: Debian Islamic Maintainers Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), monajat-data, libitl0 Size: 16116 SHA256: 43d93b0a563b06afbb3b4df1fe94a8ecd779b4a6f1c7550eaa76f30bf850ac11 SHA1: 54f945c41f32cc5a43f41d2b2b06394181e8399f MD5sum: bc493cb593feec4ef0a9b5357d2cf2dd Description: Islamic supplications backend Monajat is a small application that displays Islamic supplications (azkar) at predetermined times. . This is the Python monajat library needed by monajat front ends. Homepage: https://www.launchpad.net/monajat Tag: devel::lang:python, devel::library, field::religion, implemented-in::python, role::devel-lib, role::shared-lib Section: python Priority: optional Filename: pool/main/m/monajat/python-monajat_2.6.3-1_all.deb Package: python-mongoengine Version: 0.6.13-2 Installed-Size: 320 Maintainer: Janos Guljas Architecture: all Provides: python2.6-mongoengine, python2.7-mongoengine Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pymongo (>= 1.1), python-gridfs Recommends: python-django Size: 63234 SHA256: 8cd8252754deb6ee71258bda70f3d6b6cb0ebee77eaa5feb7aa786b064c57aea SHA1: 3ab55e9b15843a26631647345ceacf7b428a2c10 MD5sum: 4d9447f16a9e3577aa7707a3afaf3c9a Description: Python Document-Object Mapper for working with MongoDB MongoEngine is a Document-Object Mapper (think ORM, but for document databases) for working with MongoDB from Python. It uses a simple declarative API, similar to the Django ORM. Homepage: http://mongoengine.org/ Section: python Priority: optional Filename: pool/main/p/python-mongoengine/python-mongoengine_0.6.13-2_all.deb Package: python-mongoengine-doc Source: python-mongoengine Version: 0.6.13-2 Installed-Size: 617 Maintainer: Janos Guljas Architecture: all Depends: libjs-jquery, libjs-underscore Size: 135756 SHA256: 00d71a8dfffdf8adff76ed4b13742350c15e4786f090ff0116664bf8d8f1f402 SHA1: beb86e75f4e73fea5bec9a1f0ba1b3e1a1a9ea78 MD5sum: 7973606075ac07bbe47cbbc895c52c70 Description: Python Document-Object Mapper for working with MongoDB (documentation) MongoEngine is a Document-Object Mapper (think ORM, but for document databases) for working with MongoDB from Python. It uses a simple declarative API, similar to the Django ORM. . This package contains the HTML documentation. Homepage: http://mongoengine.org/ Tag: devel::doc, devel::lang:python, role::documentation Section: doc Priority: optional Filename: pool/main/p/python-mongoengine/python-mongoengine-doc_0.6.13-2_all.deb Package: python-moovida Source: moovida Version: 1.0.9+bzr1614-1.1 Installed-Size: 1968 Maintainer: Maintainers of GStreamer packages Architecture: all Replaces: python-elisa Provides: python2.5-moovida, python2.6-moovida Depends: python, python-support (>= 0.90.0), python (>= 2.5) | python-ctypes, python (>= 2.5) | python-pysqlite2 (>= 2.0.5), python-configobj, python-gobject, python-gst0.10, python-cairo, python-gtk2, python-pgm (>= 0.3.12), python-pkg-resources | python-setuptools, python-simplejson, python-twisted-core (>= 2.2), python-twisted-web Recommends: python (>= 2.5) | python-elementtree, python (>= 2.5) | python-celementtree, xdg-user-dirs-gtk Conflicts: python-elisa Size: 479174 SHA256: 23bc9f061e632e34963971cbd7e76c413252eac040788b64266b993994fb1fe0 SHA1: 1cb9d62d394f0261836f0af20d7c010b6151c5c8 MD5sum: 22fce4c23076f537533649691351b005 Description: Python library for the Moovida media center application Moovida is much more than a simple media player... it is a cutting edge media center bringing the best of the internet to your TV screen. Automatically creating your own digital library you can browse from your sofa with a remote control. The elegant and easy to use interface automatically displays artwork and fan art throughtout and gives you access to movie synopsis and artist info. . This package contains the elisa.core Python package. Section: python Priority: optional Filename: pool/main/m/moovida/python-moovida_1.0.9+bzr1614-1.1_all.deb Package: python-mosquitto Source: mosquitto Version: 0.15-2+deb7u3 Installed-Size: 63 Maintainer: Roger A. Light Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), libmosquitto0 (>= 0.15-2+deb7u3), libmosquitto0 (<< 0.15-2+deb7u3.1~) Size: 17792 SHA256: 5e26db18514883c3293f65522642922dbd3c127b84ae1953bb23ef59a2cf60ee SHA1: f03af6bf4285b23f761f71d90f14b4ad1f1207ba MD5sum: 4fe987166705ee315d6ea77d0b95be45 Description: MQTT version 3.1 client library, python bindings This is a Python module for implementing MQTT version 3.1 clients. . MQTT provides a method of carrying out messaging using a publish/subscribe model. It is lightweight, both in terms of bandwidth usage and ease of implementation. This makes it particularly useful at the edge of the network where a sensor or other simple device may be implemented using an arduino for example. Homepage: http://mosquitto.org/ Section: python Priority: optional Filename: pool/main/m/mosquitto/python-mosquitto_0.15-2+deb7u3_all.deb Package: python-mox Version: 0.5.3-3 Installed-Size: 64 Maintainer: Iustin Pop Architecture: all Provides: python2.6-mox, python2.7-mox Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 18952 SHA256: 6214ed6f5200af8c23d86b7e68145da657e07512e90f056d194540d9748b174d SHA1: c2eb6935e94dce1fe69a1859babae9f9e172962c MD5sum: a28599a08b040ece0f02d2ba39346c29 Description: mock object framework for Python Mox is a mock object framework for Python that simplifies the writing of unittests. . Mox will make mock objects for you, so you don't have to create your own. It mocks the public/protected interfaces of Python objects. You set up your mock objects expected behavior using a domain specific language (DSL), which makes it easy to use, understand, and refactor. . It is based on EasyMock, a Java mock object framework. Homepage: http://code.google.com/p/pymox/ Tag: devel::lang:python, devel::library, devel::testing-qa, implemented-in::python, role::devel-lib Section: python Priority: extra Filename: pool/main/p/python-mox/python-mox_0.5.3-3_all.deb Package: python-mpd Version: 0.3.0-4 Installed-Size: 73 Maintainer: mpd maintainers Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 9652 SHA256: 0f0ed997d6c6b3c5412a3e3f73718011e30066a1f829e5ccff6f457e8185c475 SHA1: ab7c88641812b50e7ec3ddc3744cf87712e4456c MD5sum: 2473504ae8f158f7761527b2bea8c817 Description: Python MPD client library Fast MPD (Music Player Daemon) client library written in pure Python. It was written to be a replacement for python-mpdclient which is a bit outdated and does not perform good in many situations. Homepage: http://jatreuman.indefero.net/p/python-mpd/ Tag: devel::lang:python, devel::library, implemented-in::python, network::service, role::devel-lib, role::shared-lib, sound::player, use::playing, works-with::audio Section: python Priority: optional Filename: pool/main/p/python-mpd/python-mpd_0.3.0-4_all.deb Package: python-mpdclient Source: py-libmpdclient Version: 0.11.1-2 Installed-Size: 68 Maintainer: Decklin Foster Architecture: all Depends: python, python-support Recommends: mpd Size: 5646 SHA256: 720e0d0ef400f1c3083e5b8236bb5de3d0823c70230fa850f3d7157c20372263 SHA1: 5def1b2bda31600cb4d990f6b1b9a2639a9610bb MD5sum: 222674b1dc808461a9138dfaac4c4956 Description: Python interface to MPD mpdclient is a simple Python interface to MPD, the Music Player Daemon. It provides an interface analogous to the libmpdclient C library, allowing for expeditious scripting of any mpd instance and ease of MPD client development. Python-Version: all Tag: devel::lang:python, devel::library, implemented-in::python, role::app-data, sound::player Section: python Priority: extra Filename: pool/main/p/py-libmpdclient/python-mpdclient_0.11.1-2_all.deb Package: python-mpi Source: python-scientific Version: 2.8-4 Installed-Size: 195 Maintainer: Debian QA Group Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-scientific (= 2.8-4) Size: 26454 SHA256: c621d6690c35f1101e615787f0a095281a1ebb261b1adc0f0f17d83cc9cb2a35 SHA1: de889f7fe7884760e01713820e92bef53d6a2c05 MD5sum: ffbd781936f2974102e596e069912732 Description: MPI module for Python The package provides MPI (Message Passing Interface, message-based parallel programming) support for Python. Python-Version: 2.6, 2.7 Tag: devel::lang:python, devel::library, implemented-in::python, role::app-data Section: python Priority: optional Filename: pool/main/p/python-scientific/python-mpi_2.8-4_all.deb Package: python-mpi4py Source: mpi4py Version: 1.3+hg20120611-3 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 2676 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenmpi1.3, zlib1g (>= 1:1.1.4), python (>= 2.6.6-7~), python (<< 2.8), mpi-default-bin Suggests: python-numpy Homepage: http://code.google.com/p/mpi4py/ Priority: extra Section: python Filename: pool/main/m/mpi4py/python-mpi4py_1.3+hg20120611-3_armhf.deb Size: 861174 SHA256: 63fa1d5c0911535a4e8ab971a21ff4a3be27eb17172334e41f242611983eb72f SHA1: a0569d2b56790d0f855d85a0bf0fd5f01b6f8ff2 MD5sum: b0be378c5b798b29f04f7b6268f31ceb Description: bindings of the Message Passing Interface (MPI) standard MPI for Python (mpi4py) provides bindings of the Message Passing Interface (MPI) standard for the Python programming language, allowing any Python program to exploit multiple processors. . mpi4py is constructed on top of the MPI-1/MPI-2 specification and provides an object oriented interface which closely follows MPI-2 C++ bindings. It supports point-to-point (sends, receives) and collective (broadcasts, scatters, gathers) communications of any picklable Python object as well as optimized communications of Python object exposing the single-segment buffer interface (NumPy arrays, builtin bytes/string/array objects). Package: python-mpi4py-dbg Source: mpi4py Version: 1.3+hg20120611-3 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 5221 Depends: python-mpi4py (= 1.3+hg20120611-3) Homepage: http://code.google.com/p/mpi4py/ Priority: extra Section: debug Filename: pool/main/m/mpi4py/python-mpi4py-dbg_1.3+hg20120611-3_armhf.deb Size: 1675322 SHA256: e6f9a1da275433c1ae2f3cefab2c819751ba295e251bd5f223348c0fc60d52a9 SHA1: 88ef1d1a93d0a8fd703dd7292992e06e857cdeea MD5sum: 42871e55735a11e767751fe1408612ee Description: bindings of the MPI standard -- debug symbols MPI for Python (mpi4py) provides bindings of the Message Passing Interface (MPI) standard for the Python programming language, allowing any Python program to exploit multiple processors. . mpi4py is constructed on top of the MPI-1/MPI-2 specification and provides an object oriented interface which closely follows MPI-2 C++ bindings. It supports point-to-point (sends, receives) and collective (broadcasts, scatters, gathers) communications of any picklable Python object as well as optimized communications of Python object exposing the single-segment buffer interface (NumPy arrays, builtin bytes/string/array objects). . This package provides debug symbols. Package: python-mpi4py-doc Source: mpi4py Version: 1.3+hg20120611-3 Installed-Size: 288 Maintainer: NeuroDebian Team Architecture: all Depends: libjs-jquery Suggests: python-mpi4py Size: 80360 SHA256: daa94608d14dc603e582af3ff3d56034d02e67bce82239028009efa437ddd06c SHA1: 52cdcbdb91cc5b3cfc13181bd9638882cb11fbac MD5sum: 9210b1ea390c63f449e8639278b6b69c Description: bindings of the MPI standard -- documentation MPI for Python (mpi4py) provides bindings of the Message Passing Interface (MPI) standard for the Python programming language, allowing any Python program to exploit multiple processors. . mpi4py is constructed on top of the MPI-1/MPI-2 specification and provides an object oriented interface which closely follows MPI-2 C++ bindings. It supports point-to-point (sends, receives) and collective (broadcasts, scatters, gathers) communications of any picklable Python object as well as optimized communications of Python object exposing the single-segment buffer interface (NumPy arrays, builtin bytes/string/array objects). . This package provides HTML rendering of the user's manual. Homepage: http://code.google.com/p/mpi4py/ Tag: role::documentation Section: doc Priority: extra Filename: pool/main/m/mpi4py/python-mpi4py-doc_1.3+hg20120611-3_all.deb Package: python-mpikmeans Source: libmpikmeans Version: 1.5-1 Architecture: armhf Maintainer: Christian Kastner Installed-Size: 206 Depends: python (>= 2.5), python-support (>= 0.90.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmpikmeans1 (= 1.5-1), python-numpy Homepage: http://mloss.org/software/view/48/ Priority: extra Section: python Filename: pool/main/libm/libmpikmeans/python-mpikmeans_1.5-1_armhf.deb Size: 49574 SHA256: c5b7ac4b5302cfd100325f3d43f228282cd524e58d627441df908933e8f37ea3 SHA1: 088cdb4beeba7151858d41398f7e5681e8cc82b4 MD5sum: 807e76bcfa2be3bfb73d0117d15b7808 Description: Python bindings for MPIKmeans This library uses an algorithm that yields the very same solution as standard k-means, even after each iteration. However, it uses triangle inequalities, and is much faster. . Note: MPI here does not refer to the Message Passing Interface; rather, it is an acronym for Max Planck Institute, where this algorithm was developed. . This package contains the Python bindings. Both the old, ctypes-based and the new, Cython-based interfaces are provided. Package: python-mpltoolkits.basemap Source: basemap Version: 1.0.3+dfsg-2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 2084 Depends: libc6 (>= 2.13-28), libgeos-c1 (>= 3.3.3), python (<< 2.8), python (>= 2.6), python-numpy (>= 1:1.6.1), python-numpy-abi9, python-support (>= 0.90.0), python-mpltoolkits.basemap-data (>= 1.0.3+dfsg-2), python-matplotlib Recommends: python-dap, python-imaging Homepage: http://matplotlib.sourceforge.net/toolkits.html Priority: optional Section: python Filename: pool/main/b/basemap/python-mpltoolkits.basemap_1.0.3+dfsg-2_armhf.deb Size: 311722 SHA256: c6ea8f0119930e0a46c8be1036f8e916eaa3aa3043e7caee059c521045cdc19e SHA1: bb554e62263c6ab1e29e4a489bac58f919d59a82 MD5sum: fb391963f88d4696d24e52d2f469b40f Description: matplotlib toolkit to plot on map projections The matplotlib basemap toolkit is a library for plotting 2D data on maps in Python. It is similar in functionality to the matlab mapping toolbox, the IDL mapping facilities, GrADS, or the Generic Mapping Tools. PyNGL and CDAT are other libraries that provide similar capabilities in Python. . Basemap does not do any plotting on its own, but provides the facilities to transform coordinates to one of 23 different map projections (using the PROJ.4 C library). Matplotlib is then used to plot contours, images, vectors, lines or points in the transformed coordinates. Shoreline, river and political boundary datasets (from Generic Mapping Tools) are provided, along with methods for plotting them. The GEOS library is used internally to clip the coastline and polticial boundary features to the desired map projection region. . Basemap provides facilities for reading data in netCDF and Shapefile formats, as well as directly over http using OPeNDAP. This functionality is provided through the PyDAP client, and a Python interface to the Shapefile C library. . Basemap is geared toward the needs of earth scientists, particular oceanographers and meteorologists. The author originally wrote Basemap to help in his research (climate and weather forecasting), since at the time CDAT was the only other tool in Python for plotting data on map projections. Over the years, the capabilities of Basemap have evolved as scientists in other disciplines (such as biology, geology and geophysics) requested and contributed new features. Package: python-mpltoolkits.basemap-data Source: basemap Version: 1.0.3+dfsg-2 Installed-Size: 175946 Maintainer: Debian Python Modules Team Architecture: all Size: 77191344 SHA256: 7f966f6d7123c1424891f0cb3e51e6cd2f4535aafa40da1d7b1fcebaa9805afd SHA1: aa3653d59ff46a96d9c3b7a19f6f2d1b3b9b2cfe MD5sum: 0a6af5e4a3203f6edda2fb43e8fa950c Description: matplotlib toolkit to plot on map projections (data package) The matplotlib basemap toolkit is a library for plotting 2D data on maps in Python. It is similar in functionality to the matlab mapping toolbox, the IDL mapping facilities, GrADS, or the Generic Mapping Tools. PyNGL and CDAT are other libraries that provide similar capabilities in Python. . Basemap does not do any plotting on its own, but provides the facilities to transform coordinates to one of 23 different map projections (using the PROJ.4 C library). Matplotlib is then used to plot contours, images, vectors, lines or points in the transformed coordinates. Shoreline, river and political boundary datasets (from Generic Mapping Tools) are provided, along with methods for plotting them. The GEOS library is used internally to clip the coastline and polticial boundary features to the desired map projection region. . Basemap provides facilities for reading data in netCDF and Shapefile formats, as well as directly over http using OPeNDAP. This functionality is provided through the PyDAP client, and a Python interface to the Shapefile C library. . Basemap is geared toward the needs of earth scientists, particular oceanographers and meteorologists. The author originally wrote Basemap to help in his research (climate and weather forecasting), since at the time CDAT was the only other tool in Python for plotting data on map projections. Over the years, the capabilities of Basemap have evolved as scientists in other disciplines (such as biology, geology and geophysics) requested and contributed new features. . This package contains data files for python-mpltoolkits.basemap Homepage: http://matplotlib.sourceforge.net/toolkits.html Section: python Priority: optional Filename: pool/main/b/basemap/python-mpltoolkits.basemap-data_1.0.3+dfsg-2_all.deb Package: python-mpltoolkits.basemap-doc Source: basemap Version: 1.0.3+dfsg-2 Installed-Size: 7949 Maintainer: Debian Python Modules Team Architecture: all Depends: libjs-sphinxdoc (>= 1.0) Size: 7268068 SHA256: d6d0221b24845eb52b4c843431ba6d86d2114ea29fc72074614a4c6ccf54101a SHA1: c68d235e78a4c93dc1dc567cb807daa226ad3b76 MD5sum: 2db35c192c0656d3ced73c19588ec4fc Description: matplotlib toolkit to plot on map projections (documentation) The matplotlib basemap toolkit is a library for plotting 2D data on maps in Python. It is similar in functionality to the matlab mapping toolbox, the IDL mapping facilities, GrADS, or the Generic Mapping Tools. PyNGL and CDAT are other libraries that provide similar capabilities in Python. . Basemap does not do any plotting on its own, but provides the facilities to transform coordinates to one of 23 different map projections (using the PROJ.4 C library). Matplotlib is then used to plot contours, images, vectors, lines or points in the transformed coordinates. Shoreline, river and political boundary datasets (from Generic Mapping Tools) are provided, along with methods for plotting them. The GEOS library is used internally to clip the coastline and polticial boundary features to the desired map projection region. . Basemap provides facilities for reading data in netCDF and Shapefile formats, as well as directly over http using OPeNDAP. This functionality is provided through the PyDAP client, and a Python interface to the Shapefile C library. . Basemap is geared toward the needs of earth scientists, particular oceanographers and meteorologists. The author originally wrote Basemap to help in his research (climate and weather forecasting), since at the time CDAT was the only other tool in Python for plotting data on map projections. Over the years, the capabilities of Basemap have evolved as scientists in other disciplines (such as biology, geology and geophysics) requested and contributed new features. . This package contains documentation and examples for python-mpltoolkits.basemap Homepage: http://matplotlib.sourceforge.net/toolkits.html Tag: devel::doc, role::documentation Section: doc Priority: optional Filename: pool/main/b/basemap/python-mpltoolkits.basemap-doc_1.0.3+dfsg-2_all.deb Package: python-mpmath Source: mpmath Version: 0.17-1 Installed-Size: 1928 Maintainer: Debian Python Modules Team Architecture: all Depends: python, python-support (>= 0.90.0) Suggests: python-gmpy (>= 1.03), python-matplotlib, python-mpmath-doc Size: 472120 SHA256: c79ca58374d92eec54c9a966c53386f10db59504ad5d3c50adf9e39902b171da SHA1: 8d82237e6ada3c77c6e3c13cfc7283a0b9722093 MD5sum: 5d22b64860a6ad62fc346e95a5dbf58e Description: library for arbitrary-precision floating-point arithmetic Mpmath is a pure-Python library for multiprecision floating-point arithmetic. It provides an extensive set of transcendental functions, unlimited exponent sizes, complex numbers, interval arithmetic, numerical integration and differentiation, root-finding, linear algebra, and much more. Almost any calculation can be performed just as well at 10-digit or 1000-digit precision, and in many cases mpmath implements asymptotically fast algorithms that scale well for extremely high precision work. . If available, mpmath will (optionally) use gmpy to speed up high precision operations. If matplotlib is available, mpmath also provides a convenient plotting interface. . Its features include: . * Fair performance -- typically 10-100x faster than Python's decimal library * Transcendental functions -- all functions from Python's math and cmath modules, plus a few more like gamma, factorial, erf * Complex numbers -- with support for transcendental functions * Directed rounding -- floor, ceiling, down, up, half-down, half-up, half-even * Unlimited exponents -- no overflow or underflow Homepage: http://code.google.com/p/mpmath/ Section: python Priority: optional Filename: pool/main/m/mpmath/python-mpmath_0.17-1_all.deb Package: python-mpmath-doc Source: mpmath Version: 0.17-1 Installed-Size: 11208 Maintainer: Debian Python Modules Team Architecture: all Depends: libjs-jquery Suggests: python-mpmath Size: 4257648 SHA256: d4b6b81bcb6fadbced148691e08fd8a183b94389b35a7efe774f0bb478d27fa0 SHA1: 9ef76633c13414bd31a10de3a7aadab19cb5c803 MD5sum: 61d3f068755105ddb425d0ff36760270 Description: library for arbitrary-precision floating-point arithmetic - Documentation Mpmath is a pure-Python library for multiprecision floating-point arithmetic. It provides an extensive set of transcendental functions, unlimited exponent sizes, complex numbers, interval arithmetic, numerical integration and differentiation, root-finding, linear algebra, and much more. Almost any calculation can be performed just as well at 10-digit or 1000-digit precision, and in many cases mpmath implements asymptotically fast algorithms that scale well for extremely high precision work. . If available, mpmath will (optionally) use gmpy to speed up high precision operations. If matplotlib is available, mpmath also provides a convenient plotting interface. . Its features include: . * Fair performance -- typically 10-100x faster than Python's decimal library * Transcendental functions -- all functions from Python's math and cmath modules, plus a few more like gamma, factorial, erf * Complex numbers -- with support for transcendental functions * Directed rounding -- floor, ceiling, down, up, half-down, half-up, half-even * Unlimited exponents -- no overflow or underflow . This package contains the documentation for mpmath Python module. Homepage: http://code.google.com/p/mpmath/ Tag: devel::doc, devel::examples, devel::lang:python, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/m/mpmath/python-mpmath-doc_0.17-1_all.deb Package: python-mrjob Version: 0.3.3.2-1 Installed-Size: 681 Maintainer: Janos Guljas Architecture: all Provides: python2.6-mrjob, python2.7-mrjob Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-boto, python-yaml, python-simplejson Size: 149244 SHA256: dfb69442c31312af95986311321b30f15d2f785bef65ebf82ddaf752bd59e940 SHA1: 639af1dbf6cb69a5881328145437ac51594f72ea MD5sum: 3bc5e1f1bf5bdd58611322456b6049de Description: MapReduce framework for writing and running Hadoop Streaming jobs Mrjob is a Python package that helps you write and run Hadoop Streaming jobs. . Mrjob fully supports Amazon's Elastic MapReduce (EMR) service, which allows you to buy time on a Hadoop cluster on an hourly basis. It also works with your own Hadoop cluster. Homepage: http://packages.python.org/mrjob Section: python Priority: optional Filename: pool/main/p/python-mrjob/python-mrjob_0.3.3.2-1_all.deb Package: python-msnlib Source: msnlib Version: 3.8-1 Installed-Size: 154 Maintainer: Julia Palandri Architecture: all Depends: python (>= 2.6), python-support (>= 0.90.0) Size: 44000 SHA256: 1cbf045d7faeda774b9a558c720c60bb49809fce2e49adb56fb678ab7668cafb SHA1: 116b3955074108c82bf09182ab48ad93a596b551 MD5sum: a5b902af5d5622cdf48a2bf3484615cc Description: Python implementation for the MSN messenger protocol. python-msnlib is an opensource Python implementation for the MSN messenger protocol version 8. . It comes with a text-mode client named msn, which has a simple line-oriented user interface that resembles climm (previously called micq), and a base is a library (called msnlib), which uses a non-blocking callback scheme. . It's written in Python and works under any Unix environment (Linux, *BSD, etc.). The library has been tested and reported to work successfuly also under Microsoft Windows. For more information about the library itself, please read the README file. Homepage: http://blitiri.com.ar/p/msnlib/ Section: python Priority: extra Filename: pool/main/m/msnlib/python-msnlib_3.8-1_all.deb Package: python-mtbl Source: pymtbl Version: 0.1-2 Architecture: armhf Maintainer: Robert S. Edmonds Installed-Size: 200 Depends: libc6 (>= 2.13-28), libmtbl0 (>= 0.1), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Priority: optional Section: python Filename: pool/main/p/pymtbl/python-mtbl_0.1-2_armhf.deb Size: 56234 SHA256: e807099d55be993a03483a4598354a556a6965f9f9967249d0ea5d141a8d8034 SHA1: d23263b0bce58cc9fa5aa895e15f22910db329a5 MD5sum: ef27684b6db440b82370300d582c67f6 Description: immutable sorted string table library (Python bindings) mtbl is a C library implementation of the Sorted String Table (SSTable) data structure, based on the SSTable implementation in the open source Google LevelDB library. An SSTable is a file containing an immutable mapping of keys to values. Keys are stored in sorted order, with an index at the end of the file allowing keys to be located quickly. . mtbl is not a database library. It does not provide an updateable key-value data store, but rather exposes primitives for creating, searching and merging SSTable files. Unlike databases which use the SSTable data structure internally as part of their data store, management of SSTable files -- creation, merging, deletion, combining of search results from multiple SSTables -- is left to the discretion of the mtbl library user. . This package contains the Python extension module for libmtbl. Package: python-multipartposthandler Source: multipartposthandler Version: 0.1.0-2 Installed-Size: 85 Maintainer: Georges Khaznadar Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 5362 SHA256: 2a1c9af735371c040b6a97c8bd9972a9b5e847de11caf53b95b5a6b2b23cc888 SHA1: e47970379199a2e0ee49075d835cb27074841176 MD5sum: 21341fd8be20cef52c175c90c722c6e4 Description: handler for urllib2 to enable multipart form uploading Enables the use of multipart/form-data for posting forms, with an easy management of file uploads. Homepage: http://pypi.python.org/pypi/MultipartPostHandler/ Section: python Priority: extra Filename: pool/main/m/multipartposthandler/python-multipartposthandler_0.1.0-2_all.deb Package: python-munkres Source: munkres Version: 1.0.5.4-2 Installed-Size: 388 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8) Size: 48678 SHA256: 6bef963dda79d0f0be797220f9f365d5cd80e18bc1141ee11429a4734b305910 SHA1: b29c1b94bb03473e5220ca3313378469175c4551 MD5sum: f8bc0308944c6322d1544d9bfbd17743 Description: munkres algorithm for the Assignment Problem - Python library The Munkres module provides an implementation of the Munkres algorithm (also called the Hungarian algorithm or the Kuhn-Munkres algorithm), useful for solving the Assignment Problem. . The Assignment Problem: Let C be an nxn matrix representing the costs of each of n workers to perform any of n jobs. The Assignment Problem is to assign jobs to workers in a way that minimizes the total cost. Homepage: http://bmc.github.com/munkres/ Tag: devel::lang:python, devel::library, field::mathematics, implemented-in::python, role::devel-lib, science::calculation, use::analysing Section: python Priority: optional Filename: pool/main/m/munkres/python-munkres_1.0.5.4-2_all.deb Package: python-museek Source: museek+ Version: 1:0.2+svn20100315.r1208-2 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 238 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Homepage: http://www.museek-plus.org Priority: optional Section: python Filename: pool/main/m/museek+/python-museek_0.2+svn20100315.r1208-2_armhf.deb Size: 75466 SHA256: d570e3477f08826d9cdf7289489afdca6e62cc4ca250286ff8e96e2120042578 SHA1: 6fbda28f6da1d6fb2ceeeda8f8d5defa76553e51 MD5sum: df2734c82769d86ae30b17aa9356c80c Description: Python bindings for museek+ Museek+ is a file-sharing application for the Soulseek peer-to-peer network. It's a fork of Museek which now includes nearly all features supported by the official closed-source Soulseek client (Room Searching, Buddy-only shares, Interests, Banlists, Ignorelists, Icon Themes, Font/Color selecting, etc.) . Python bindings. Package: python-musicbrainz Source: libmusicbrainz-2.1 Version: 2.1.5-6.2 Installed-Size: 110 Maintainer: Debian QA Group Architecture: all Provides: python2.6-musicbrainz, python2.7-musicbrainz Depends: libmusicbrainz4c2a (>= 2.1.5-6.2), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 22122 SHA256: 51977280aecb6a1d876bbbb666f51982d5d3f14f5c66f0c33c130dda01e5dea5 SHA1: f5e9b4a80b22118f1a1cd4aba60b68feba318cae MD5sum: 57fed35bb1acb12067e6c91c5bd56b2f Description: Second generation incarnation of the CD Index - Python bindings MusicBrainz indexes both digital compressed audio (MP3/Ogg Vorbis) and digital audio CDs. . This is a straight Python to C binding for libmusicbrainz. It uses ctypes to avoid having to write c code. . These bindings are deprecated upstream. You problably want the python-musicbrainz2 package instead. Homepage: http://musicbrainz.org Tag: devel::lang:python, devel::library, hardware::storage, hardware::storage:cd, works-with-format::mp3, works-with-format::oggvorbis, works-with::audio Section: python Priority: optional Filename: pool/main/libm/libmusicbrainz-2.1/python-musicbrainz_2.1.5-6.2_all.deb Package: python-musicbrainz2 Version: 0.7.4-1 Installed-Size: 203 Maintainer: Debian Python Modules Team Architecture: all Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libdiscid0 Suggests: python-musicbrainz2-doc Size: 55544 SHA256: 13421fae16ba3789233ec1525f9e974ae0cff3b66a0ca7bf80b4d275bc354884 SHA1: 62901e2a0298d8fc8c3b8e4e62521be82dc4600e MD5sum: 82c76cebb03343b7ea0b4c3d76e4da89 Description: interface to the MusicBrainz XML web service python-musicbrainz2 provides simple, object oriented access to the MusicBrainz web service. It is useful for applications like CD rippers, taggers, media players, and other tools that need music metadata. . The MusicBrainz Project (see http://musicbrainz.org) collects music metadata and is maintained by its large and constantly growing user community. Homepage: http://musicbrainz.org/doc/PythonMusicBrainz2 Tag: implemented-in::python Section: python Priority: optional Filename: pool/main/p/python-musicbrainz2/python-musicbrainz2_0.7.4-1_all.deb Package: python-musicbrainz2-doc Source: python-musicbrainz2 Version: 0.7.4-1 Installed-Size: 3371 Maintainer: Debian Python Modules Team Architecture: all Recommends: python-musicbrainz2 Size: 303174 SHA256: beae4e378ea945f37859ecba40c8d7a98a8fc08b36f81e24b551089742481f1b SHA1: 6c096537f14b4686016b4eb584bdcebae54a3d3a MD5sum: e3980a736e3e0c0191745fb315503e73 Description: interface to the MusicBrainz XML web service (documentation) python-musicbrainz2 provides simple, object oriented access to the MusicBrainz web service. It is useful for applications like CD rippers, taggers, media players, and other tools that need music metadata. . The MusicBrainz Project (see http://musicbrainz.org) collects music metadata and is maintained by its large and constantly growing user community. . This package contains HTML documentation and examples. Homepage: http://musicbrainz.org/doc/PythonMusicBrainz2 Tag: devel::doc, devel::examples, devel::lang:python, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/p/python-musicbrainz2/python-musicbrainz2-doc_0.7.4-1_all.deb Package: python-musicbrainzngs Source: musicbrainzngs Version: 0.2-1 Installed-Size: 108 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 14430 SHA256: 72354d947d1f09c42bb680dfc709ef0b9697f54a87405b6d64eb5a12148d9a72 SHA1: 0edfee3a36de36b9e96a9947a507d4d4e404ebd3 MD5sum: 5582dc4e50672473a83d4c33aa6e6bf9 Description: Python bindings for the Musicbrainz NGS webservice interface This package provides a Python interface to the Musicbrainz NGS API. . Musicbrainz is an open music encyclopedia that collects music metadata and makes it available to the public. Homepage: https://github.com/alastair/python-musicbrainz-ngs/ Section: python Priority: optional Filename: pool/main/m/musicbrainzngs/python-musicbrainzngs_0.2-1_all.deb Package: python-mutagen Source: mutagen Version: 1.20-1 Installed-Size: 492 Maintainer: Tristan Seligmann Architecture: all Provides: python2.6-mutagen, python2.7-mutagen Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8) Size: 94544 SHA256: 0efa9020ab04e7160989a1110ede25567d50e3900454edd0db87acbd10f1fc55 SHA1: ff9af767da74e15a10c6fbf1d64cb4bc03714437 MD5sum: 5d0d0a73fd16a95dd81db59787f113d8 Description: audio metadata editing library Mutagen is a Python module to handle audio metadata. It supports FLAC, M4A, MP3, Ogg FLAC, Ogg Speex, Ogg Theora, Ogg Vorbis, True Audio, and WavPack audio files. All versions of ID3v2 are supported, and all standard ID3v2.4 frames are parsed. It can read Xing headers to accurately calculate the bitrate and length of MP3s. ID3 and APEv2 tags can be edited regardless of audio format. It can also manipulate Ogg streams on an individual packet/page level. Homepage: http://code.google.com/p/quodlibet/wiki/Mutagen Python-Version: 2.6, 2.7 Tag: devel::lang:python, implemented-in::python, role::devel-lib, use::editing, works-with-format::mp3, works-with-format::oggvorbis, works-with::audio Section: python Priority: optional Filename: pool/main/m/mutagen/python-mutagen_1.20-1_all.deb Package: python-mvpa Source: pymvpa Version: 0.4.8-1 Installed-Size: 3547 Maintainer: NeuroDebian Team Architecture: all Provides: python2.6-mvpa, python2.7-mvpa Depends: python (>= 2.5), python-numpy, python-support (>= 0.90.0), python2.7, python-mvpa-lib (>= 0.4.8-1) Recommends: python-nifti, python-psyco, python-mdp, python-scipy, shogun-python-modular, python-pywt, python-matplotlib, python-reportlab Suggests: fslview, fsl, python-nose, python-lxml, python-openopt, python-rpy, python-mvpa-doc Size: 2204840 SHA256: c038b3eb27e3e7c8b22a21063ff19d08fb3a31a795c1a2f9bcd3a2ecab491daf SHA1: 1e1593dfbef5952dae195a65fd9082564da3532f MD5sum: 107cf7ebfded1424dc4fa28b31bb60d9 Description: multivariate pattern analysis with Python PyMVPA eases pattern classification analyses of large datasets, with an accent on neuroimaging. It provides high-level abstraction of typical processing steps (e.g. data preparation, classification, feature selection, generalization testing), a number of implementations of some popular algorithms (e.g. kNN, GNB, Ridge Regressions, Sparse Multinomial Logistic Regression), and bindings to external machine learning libraries (libsvm, shogun). . While it is not limited to neuroimaging data (e.g. fMRI, or EEG) it is eminently suited for such datasets. Homepage: http://www.pymvpa.org Python-Version: 2.6, 2.7 Tag: devel::lang:python, devel::library, field::medicine:imaging, implemented-in::python, interface::commandline, interface::text-mode, role::devel-lib, role::program, scope::application, use::analysing, works-with-format::TODO, works-with-format::plaintext, works-with::image, works-with::image:raster Section: python Priority: optional Filename: pool/main/p/pymvpa/python-mvpa_0.4.8-1_all.deb Package: python-mvpa-doc Source: pymvpa Version: 0.4.8-1 Installed-Size: 37565 Maintainer: NeuroDebian Team Architecture: all Depends: libjs-jquery Suggests: python-mvpa Size: 8453796 SHA256: 39e6ed0c1e44268d7b2899c8064cbc019d0d001d30d4c58e67202a9edf5c57ab SHA1: 35b943a6441aaf847f3f49d2feaadeb0b2df81e9 MD5sum: 2500dca033972ccab84e9ff9d275e466 Description: documentation and examples for PyMVPA PyMVPA documentation in various formats (HTML, TXT) including * User manual * Developer guidelines * API documentation * BibTeX references file . Additionally, all example scripts shipped with the PyMVPA sources are included. Homepage: http://www.pymvpa.org Tag: devel::doc, devel::examples, devel::lang:python, made-of::html, made-of::pdf, role::documentation Section: doc Priority: optional Filename: pool/main/p/pymvpa/python-mvpa-doc_0.4.8-1_all.deb Package: python-mvpa-lib Source: pymvpa Version: 0.4.8-1 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 238 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libsvm3, python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python-numpy Provides: python2.6-mvpa-lib, python2.7-mvpa-lib Homepage: http://www.pymvpa.org Priority: optional Section: python Filename: pool/main/p/pymvpa/python-mvpa-lib_0.4.8-1_armhf.deb Size: 63456 SHA256: 2d267864809169627a8b42fb8c5a3aba9b57f1bf0291a9d421ec9212a73fd69a SHA1: 72ac7cc43c8445e15b8b550d733453deaa30b2bb MD5sum: dd55a25f817cf2801bbeabe55496709d Description: low-level implementations and bindings for PyMVPA This is an add-on package for the PyMVPA framework. It provides a low-level implementation of an SMLR classifier and custom Python bindings for the LIBSVM library. Python-Version: 2.6, 2.7 Package: python-mvpa2 Source: pymvpa2 Version: 2.1.0-1 Installed-Size: 4104 Maintainer: NeuroDebian team Architecture: all Provides: python2.6-mvpa2, python2.7-mvpa2 Depends: python (>= 2.4), python-numpy, python-support (>= 0.90.0), python-mvpa2-lib (>= 2.1.0-1) Recommends: python-h5py, python-lxml, python-matplotlib, python-mdp, python-nibabel, python-psutil, python-psyco, python-pywt, python-reportlab, python-scipy, python-sklearn, shogun-python-modular, liblapack-dev Suggests: fslview, fsl, python-mvpa2-doc, python-nose, python-openopt, python-rpy2 Size: 2354368 SHA256: ac4abcdd66604580c32e956592f58b146ea55e7219bddf1ef0c63b81cf53dba1 SHA1: b2e23354e94b412541eae74dbc3bec5d1f1a959a MD5sum: 7209aa929e3fa0de6b37a2b94aab267e Description: multivariate pattern analysis with Python v. 2 PyMVPA eases pattern classification analyses of large datasets, with an accent on neuroimaging. It provides high-level abstraction of typical processing steps (e.g. data preparation, classification, feature selection, generalization testing), a number of implementations of some popular algorithms (e.g. kNN, Ridge Regressions, Sparse Multinomial Logistic Regression), and bindings to external machine learning libraries (libsvm, shogun). . While it is not limited to neuroimaging data (e.g. fMRI, or EEG) it is eminently suited for such datasets. . This is a package of PyMVPA v.2. Previously released stable version is provided by the python-mvpa package. Homepage: http://www.pymvpa.org Python-Version: 2.6, 2.7 Section: python Priority: optional Filename: pool/main/p/pymvpa2/python-mvpa2_2.1.0-1_all.deb Package: python-mvpa2-doc Source: pymvpa2 Version: 2.1.0-1 Installed-Size: 16044 Maintainer: NeuroDebian team Architecture: all Depends: libjs-jquery, libjs-underscore Suggests: python-mvpa2 Size: 4911342 SHA256: eaecf5a2483265aaca7dba526e88192c26f7d17a1db85adf8d7de528e0c49df5 SHA1: 70d978f3c332cac22dc9243c2692230684e75f2a MD5sum: bcd1b82d3c007385f5b7f380dc3c73b1 Description: documentation and examples for PyMVPA v. 2 This is an add-on package for the PyMVPA framework. It provides a HTML documentation (tutorial, FAQ etc.) as well as example scripts. Homepage: http://www.pymvpa.org Section: doc Priority: optional Filename: pool/main/p/pymvpa2/python-mvpa2-doc_2.1.0-1_all.deb Package: python-mvpa2-lib Source: pymvpa2 Version: 2.1.0-1 Architecture: armhf Maintainer: NeuroDebian team Installed-Size: 240 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libsvm3, python (<< 2.8), python (>= 2.6), python-numpy (>= 1:1.6.1), python-numpy-abi9, python-support (>= 0.90.0) Provides: python2.6-mvpa2-lib, python2.7-mvpa2-lib Homepage: http://www.pymvpa.org Priority: optional Section: python Filename: pool/main/p/pymvpa2/python-mvpa2-lib_2.1.0-1_armhf.deb Size: 66582 SHA256: bc63e2867d4b023d5cfd5c155924e9de6023f36795582e6af3b94e64cb208e68 SHA1: 975b971e01b5228306a4939268c8dd7caf498280 MD5sum: 685a8985d83b7ca8b5da557e8bce4cc0 Description: low-level implementations and bindings for PyMVPA v. 2 This is an add-on package for the PyMVPA framework. It provides a low-level implementation of an SMLR classifier and custom Python bindings for the LIBSVM library. . This is a package of a development snapshot. The latest released version is provided by the python-mvpa-lib package. Python-Version: 2.6, 2.7 Package: python-myghty Source: myghty Version: 1.1-5 Installed-Size: 3076 Maintainer: Debian Python Modules Team Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0) Recommends: python-paste Enhances: python-pylons Size: 316034 SHA256: 3b2ae8dc115a919d98c256e48dc7e81a9fbd4fdc97e34c5d38e277178a99a9ac SHA1: 443d972dfa86653795ff60e1dc6d995adf41e645 MD5sum: 2fc15283afbd4a20204c2c9f857eccc7 Description: Python based templating framework originally based on HTML::Mason Myghty is a Python based templating framework originally based on HTML::Mason, the enterprise-level framework used by Amazon.com, del.icio.us and Salon.com, among many others. Myghty fully implements Mason's templating language, component-based architecture, and caching system, and goes beyond, adding new paradigms such the Module Components controller paradigm, full Python whitespace syntax, threading support, WSGI support, session support, and much more. Homepage: http://www.myghty.org/ Python-Version: 2.5, 2.6 Section: web Priority: optional Filename: pool/main/m/myghty/python-myghty_1.1-5_all.deb Package: python-myghtyutils Source: myghtyutils Version: 0.52-4 Installed-Size: 164 Maintainer: Debian Python Modules Team Architecture: all Depends: python-support (>= 0.90.0), python Enhances: python-myghty Size: 20076 SHA256: 104b2ebb0c5b0ccb581acad73c79c97ef31e8134c5d1d310947d9dfde6effce7 SHA1: f2bf746b70a15f8d62ddd7a3b204f41774d88d6f MD5sum: a3126f1c5a0450e8aa8ae97757abee41 Description: Set of utility classes used by Myghty templating Utility classes used by Myghty templating: container - the Containment system providing back-end neutral key/value storage, with support for in-memory, DBM files, flat files, and memcached. buffer - some functions for augmenting file objects . util - various utility functions and objects. synchronizer - provides many reader/single writer synchronization using either thread mutexes or lockfiles. session - provides a Session interface built upon the Container, similar interface to mod_python session. Currently needs a mod_python-like request object, this should be changed to something more generic. Homepage: http://www.myghty.org/ Python-Version: 2.5, 2.6 Section: web Priority: optional Filename: pool/main/m/myghtyutils/python-myghtyutils_0.52-4_all.deb Package: python-mygpoclient Source: mygpoclient Version: 1.4-1 Installed-Size: 204 Maintainer: Thomas Perl Architecture: all Provides: python2.5-mygpoclient, python2.6-mygpoclient Depends: python (>= 2.5), python-central (>= 0.6.11), python-simplejson Size: 21578 SHA256: 8ca32c2020df86ac70faeb6fb16c5135a03017c3055d50c49f200a3fdbef4464 SHA1: 3f92d2bc3d9f9cb82739d5847d4f81d7ceba1cec MD5sum: f9136d6a4c4cb4aec6d6b6abe83d52ca Description: Client library for the my.gpodder.org web service The mygpoclient library allows developers to utilize a Pythonic interface to the my.gpodder.org web services. . In addition to the user-specific API endpoints, a public (non-authenticated) API is provided for searching podcasts and retrieving the podcast toplist from the server. Homepage: http://thpinfo.com/2010/mygpoclient/ Python-Version: >= 2.5 Tag: devel::lang:python, implemented-in::python, role::shared-lib, use::entertaining, use::searching, works-with::audio Section: python Priority: extra Filename: pool/main/m/mygpoclient/python-mygpoclient_1.4-1_all.deb Package: python-mysql.connector Source: mysql-connector-python Version: 0.3.2-1 Installed-Size: 148 Maintainer: Debian Python Modules Team Architecture: all Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Size: 37406 SHA256: 3b7b5e2e644ac2b53ded62d70142a537d878e818302bdbaf415b29e38f100445 SHA1: 2d5d7a826b461b65a911476151c58c41c62a09de MD5sum: 93ea272a37585280460c26aee798b491 Description: pure Python implementation of MySQL Client/Server protocol MySQL driver written in Python which does not depend on MySQL C client libraries and implements the DB API v2.0 specification (PEP-249). . MySQL Connector/Python is implementing the MySQL Client/Server protocol completely in Python. This means you don't have to compile anything or MySQL (client library) doesn't even have to be installed on the machine. Homepage: http://launchpad.net/myconnpy Section: python Priority: optional Filename: pool/main/m/mysql-connector-python/python-mysql.connector_0.3.2-1_all.deb Package: python-mysqldb Version: 1.2.3-2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 272 Depends: python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libmysqlclient18 (>= 5.5.24+dfsg-1), zlib1g (>= 1:1.1.4) Suggests: python-egenix-mxdatetime, mysql-server-5.1 | mysql-server, python-mysqldb-dbg Conflicts: python2.3-mysqldb, python2.4-mysqldb Replaces: python2.3-mysqldb, python2.4-mysqldb Provides: python2.6-mysqldb, python2.7-mysqldb Homepage: http://mysql-python.sourceforge.net/ Priority: optional Section: python Filename: pool/main/p/python-mysqldb/python-mysqldb_1.2.3-2_armhf.deb Size: 84940 SHA256: df8977183a52b9e70e6a14a96ba238a1fca94f98fada5208f1dd14bf691e7b19 SHA1: 68610baee3aa9f9eff48afb212f0ce9d9ea50fa4 MD5sum: 2ada96fed4485ba5a6ff96df79803a21 Description: Python interface to MySQL MySQLdb is an interface to the popular MySQL database server for Python. The design goals are: . + Compliance with Python database API version 2.0 + Thread-safety + Thread-friendliness (threads will not block each other) + Compatibility with MySQL-3.23 and later . This package contains modules for all Python versions supported in Debian. Python-Version: 2.6, 2.7 Package: python-mysqldb-dbg Source: python-mysqldb Version: 1.2.3-2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 442 Depends: python-mysqldb (= 1.2.3-2), python-dbg, libc6 (>= 2.13-28), libmysqlclient18 (>= 5.5.24+dfsg-1), zlib1g (>= 1:1.1.4) Suggests: python-egenix-mxdatetime-dbg Homepage: http://mysql-python.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/p/python-mysqldb/python-mysqldb-dbg_1.2.3-2_armhf.deb Size: 145144 SHA256: 66785c26cf06291a3182c0d520a4bf1b5485a11738d0f25205c961b6139b7828 SHA1: babdc530f3049e68bc7d5bbaeffb3c9ec70716cd MD5sum: 6db7029e95a947f6f3a8c0a168d91a08 Description: Python interface to MySQL (debug extension) MySQLdb is an interface to the popular MySQL database server for Python. . This package contains modules for all Python versions supported in Debian built for Python debug interpreter. Package: python-nautilus Source: nautilus-python Version: 1.1-3 Architecture: armhf Maintainer: Debian GNOME Maintainers Installed-Size: 97 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libnautilus-extension1a (>= 2.91), libpango1.0-0 (>= 1.14.0), libpython2.7 (>= 2.7), python-gi (>= 3.0), gir1.2-nautilus-3.0 Priority: optional Section: python Filename: pool/main/n/nautilus-python/python-nautilus_1.1-3_armhf.deb Size: 19448 SHA256: f304d52c0c1d6fb557c1fb42d76a072710c2250e57760584aaa44b5bbd9a1da9 SHA1: 2ada97f897e5bfbf8c0288db9187e794a5efec2d MD5sum: d9aeb41f5a499d5b7d41d6789307957a Description: Python binding for Nautilus components Python binding for Nautilus, to allow Nautilus property page and menu item extensions to be written in Python. Package: python-ncap Source: ncap Version: 1.9.2-1 Architecture: armhf Maintainer: Robert S. Edmonds Installed-Size: 126 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncap44, python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Priority: optional Section: python Filename: pool/main/n/ncap/python-ncap_1.9.2-1_armhf.deb Size: 33052 SHA256: 3b83ef97fe7b011475f1a5137318013ca3c89048ea1676010df6d27b9f985ad4 SHA1: bae5170c035a617b29c561d02ef29b9fd87eafcc MD5sum: 66a9be6865a6916621cb772e4510306f Description: Python bindings for libncap ncap is a network capture library like libpcap (on which it is based) and tcpdump. It produces binary data in its own ncap format, which can be stored in a dump file or transmitted over a UDP socket. Unlike libpcap, it discards data link headers and only supports IPv4 and IPv6 packets, but it can perform reassembly of IP datagrams. . This package contains the Python bindings. Python-Version: 2.6, 2.7 Package: python-necpp Source: necpp Version: 1.5.0+cvs20101003-2.1 Architecture: armhf Maintainer: Emmanuel QUEMENER Installed-Size: 1799 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), python-numpy Provides: python2.6-necpp, python2.7-necpp Priority: optional Section: python Filename: pool/main/n/necpp/python-necpp_1.5.0+cvs20101003-2.1_armhf.deb Size: 506166 SHA256: 3cfec94584a394ae3bbe37f4f193a85d0b08eab3e26e918581c2c7072407c427 SHA1: 9e0a7cd7d352be0eb9326e5edb6fb0104b43afa2 MD5sum: 8912657f64d6af5a2a70b1de7275e2dc Description: Python module for using NEC2++ The NEC2 (Numerical Electromagnetics Code) is software for modelling antennas using the Method of Moments. It was developed at Lawrence Livermore Laboratories, and remains widely used, despite the old fashioned punched card style input required. . With this Python module, it is possible to use NEC2 techniques directly from Python. Python-Version: 2.6, 2.7 Package: python-neo Source: neo Version: 0.2.0-1 Installed-Size: 2204 Maintainer: NeuroDebian Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-numpy (>= 1:1.3~), python-quantities (>= 0.9.0~) Recommends: python-scipy (>= 0.8~), python-tables (>= 2.2~), libjs-jquery, libjs-underscore Size: 1382560 SHA256: 70d14e06feee72c9347f008c102455bfa8463f9ba67262d16337d9827e92cfc8 SHA1: ad3e8f8fc6ac3cfcab5dd349fb9ab012b90dba2c MD5sum: 1ba89388043d65e97d09af46fb33bcef Description: Python IO library for electrophysiological data formats NEO stands for Neural Ensemble Objects and is a project to provide common classes and concepts for dealing with electro-physiological (in vivo and/or simulated) data to facilitate collaborative software/algorithm development. In particular Neo provides: a set a classes for data representation with precise definitions, an IO module with a simple API, documentation, and a set of examples. . NEO offers support for reading data from numerous proprietary file formats (e.g. Spike2, Plexon, AlphaOmega, BlackRock, Axon), read/write support for various open formats (e.g. KlustaKwik, Elan, WinEdr, WinWcp, PyNN), as well as support common file formats, such as HDF5 with Neo-structured content (NeoHDF5, NeoMatlab). . Neo's IO facilities can be seen as a pure-Python and open-source Neuroshare replacement. Homepage: http://neuralensemble.org/trac/neo Section: python Priority: extra Filename: pool/main/n/neo/python-neo_0.2.0-1_all.deb Package: python-netaddr Version: 0.7.7-1 Installed-Size: 3986 Maintainer: Vincent Bernat Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: ipython, python-netaddr-docs Size: 1230160 SHA256: d5e2a7ae53e70aab921f12c1fe54d02fe79222162301608b9389ea8545c5b91c SHA1: 5c400faadb3b3a16fa94f2e46cb63f0cb6ff1ef5 MD5sum: fc027ffd426b1027511cd293b229266d Description: manipulation of various common network address notations (Python 2) netaddr is a Python library for the manipulation of various common network address notations and representations. . It takes the hassle out of fiddling with enumerable variations of network addresses presenting a consistent, extensible, easy-to-use and (above all) Pythonic API. . With it you can validate, convert, categorise, iterate, generate, slice (and dice): - MAC (Media Access Control) - IEEE EUI-48 and EUI-64 - IP version 4 - IP version 6 - CIDR (Classless Inter-Domain Routing) . This is the Python 2 version of the package. Homepage: https://github.com/drkjam/netaddr/ Section: python Priority: optional Filename: pool/main/p/python-netaddr/python-netaddr_0.7.7-1_all.deb Package: python-netaddr-docs Source: python-netaddr Version: 0.7.7-1 Installed-Size: 585 Maintainer: Vincent Bernat Architecture: all Replaces: python-netaddr (<< 0.7.7-1) Depends: libjs-sphinxdoc (>= 1.0) Breaks: python-netaddr (<< 0.7.7-1) Size: 112728 SHA256: ef1417894fc59569af885ef190ae3952918efe6bc1240ef56a02eb8ccec3d3ff SHA1: 2077fdcb00a9488d280f7f8d47dd9a16b7478a05 MD5sum: cf878316899f7cb128e4b37a409c0454 Description: manipulation of various common network address notations (documentation) netaddr is a Python library for the manipulation of various common network address notations and representations. . It takes the hassle out of fiddling with enumerable variations of network addresses presenting a consistent, extensible, easy-to-use and (above all) Pythonic API. . This package contains the documentation. Homepage: https://github.com/drkjam/netaddr/ Section: doc Priority: optional Filename: pool/main/p/python-netaddr/python-netaddr-docs_0.7.7-1_all.deb Package: python-netcdf Source: python-scientific Version: 2.8-4 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 409 Depends: python2.7 | python2.6, python (>= 2.6), python (<< 2.8), python-numpy (>= 1:1.6.1-1), libc6 (>= 2.13-28), libnetcdfc7, python-scientific (>= 2.8-4) Provides: python2.6-netcdf, python2.7-netcdf Priority: optional Section: python Filename: pool/main/p/python-scientific/python-netcdf_2.8-4_armhf.deb Size: 101638 SHA256: fa0140e119f7f5a6a240faf7553e01de9a290a4ddf1b7d3e6202889a3da7d5df SHA1: 037a162af15becfa5f3df56932eb9de4e1d12cfa MD5sum: e5ec080ca8058a737406c93a6dac0892 Description: netCDF interface for Python A Python module to access netCDF files. NetCDF (network Common Data Form) is an interface for scientific data access and a freely-distributed software library that provides an implementation of the interface. Python-Version: 2.6, 2.7 Package: python-netfilter Version: 0.5.7-1 Installed-Size: 120 Maintainer: Jeremy Lainé Architecture: all Depends: python, python-support (>= 0.90.0), iptables Size: 13342 SHA256: 9049dc6ae9b4dadc700c942d7e6fdfe6eea2bd114f9a2298642b557ebed490ec SHA1: c6ce88e45422c6fe5ab85276c41e78f9eaa5644e MD5sum: eb9cd6350fc20cee6de02ea03d875585 Description: Python modules for manipulating netfilter rules These Python modules act as a wrapper around iptables to manipulate the Linux kernel's packet filtering tables. . Typical applications include building firewalls or network access controllers. Homepage: http://opensource.bolloretelecom.eu/projects/python-netfilter Section: python Priority: optional Filename: pool/main/p/python-netfilter/python-netfilter_0.5.7-1_all.deb Package: python-netifaces Source: netifaces Version: 0.8-1 Architecture: armhf Maintainer: Mario Izquierdo (mariodebian) Installed-Size: 100 Depends: libc6 (>= 2.4), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-netifaces, python2.7-netifaces Homepage: http://alastairs-place.net/projects/netifaces/ Priority: optional Section: python Filename: pool/main/n/netifaces/python-netifaces_0.8-1_armhf.deb Size: 11896 SHA256: fb55e6de8996d021d3adc3bbff91a33019cf67af070dd12b8081df013d41105e SHA1: 4a600fb30c16f5ed5db827d2db941e672505f8de MD5sum: c41c569c5a4d6419c5c72a09c4994f20 Description: portable network interface information for Python netifaces provides a (hopefully portable-ish) way for Python programmers to get access to a list of the network interfaces on the local machine, and to obtain the addresses of those network interfaces. Package: python-netifaces-dbg Source: netifaces Version: 0.8-1 Architecture: armhf Maintainer: Mario Izquierdo (mariodebian) Installed-Size: 159 Depends: libc6 (>= 2.4), python-netifaces (= 0.8-1), python-dbg Homepage: http://alastairs-place.net/projects/netifaces/ Priority: extra Section: debug Filename: pool/main/n/netifaces/python-netifaces-dbg_0.8-1_armhf.deb Size: 23532 SHA256: d6e1e43dd6fd9ce90f126170d30b61da09786ee5aef6786c4ed2284ddb04e144 SHA1: 97c07ff5d1c2b62da082d4dacd51193388f0e552 MD5sum: f4f7721d360d3651180fc331e7ae7125 Description: portable network interface information for Python (debug extension) netifaces provides a (hopefully portable-ish) way for Python programmers to get access to a list of the network interfaces on the local machine, and to obtain the addresses of those network interfaces. . This package contains debug symbols of python-netifaces Package: python-netio230a Source: netio230a Version: 1.0.1-3 Installed-Size: 148 Maintainer: Philipp Huebner Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0) Suggests: netio230a-gui Size: 15446 SHA256: 1e1a7535a5a0c4fb2b0b65f233c2258ac6b2043b2825b308bb83339c487b60b4 SHA1: 5184539415c3117f8242d11b8af1dd38211e5a10 MD5sum: 5ed3488d7106ac7699f40c0ed07f9284 Description: Python class to access the Koukaam NETIO-230A This package provides a Python class to access and control the Koukaam NETIO-230A, a cheap but advanced multiple socket with Ethernet control. Furthermore it ships a CLI which has the functionality to query and command the NETIO-230A via TCP (telnet) and a tool to discover all NETIO-230A devices on the local network. Homepage: http://github.com/pklaus/netio230a Section: python Priority: extra Filename: pool/main/n/netio230a/python-netio230a_1.0.1-3_all.deb Package: python-networkx Version: 1.7~rc1-3 Installed-Size: 2439 Maintainer: Debian Python Modules Team Architecture: all Depends: python (>= 2.6), python-support (>= 0.90.0) Recommends: python-numpy, python-scipy, python-pygraphviz | python-pydot, python-pkg-resources, python-matplotlib, python-yaml Size: 743254 SHA256: 535cb946c721a66a78a3f5d446d4dc4043151d1c9b86c029477ac5d385c72e1e SHA1: 8c9e7084f2e9e1fd170e5c89f9ce59d4ff3d2b47 MD5sum: c7eacd9d587eb853240504a008a127a5 Description: tool to create, manipulate and study complex networks NetworkX is a Python-based package for the creation, manipulation, and study of the structure, dynamics, and functions of complex networks. . The structure of a graph or network is encoded in the edges (connections, links, ties, arcs, bonds) between nodes (vertices, sites, actors). If unqualified, by graph it's meant a simple undirected graph, i.e. no self-loops and no multiple edges are allowed. By a network it's usually meant a graph with weights (fields, properties) on nodes and/or edges. . The potential audience for NetworkX includes: mathematicians, physicists, biologists, computer scientists, social scientists. Homepage: http://networkx.lanl.gov/ Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, role::shared-lib, works-with::image, works-with::image:vector Section: python Priority: optional Filename: pool/main/p/python-networkx/python-networkx_1.7~rc1-3_all.deb Package: python-networkx-doc Source: python-networkx Version: 1.7~rc1-3 Installed-Size: 19188 Maintainer: Debian Python Modules Team Architecture: all Depends: libjs-sphinxdoc (>= 1.0) Size: 6924880 SHA256: c1f766a4077324ea2a525e0b3e87ff3ee169e1ea98af3620cab9cd69fdaa2b35 SHA1: c512d19ca35c433d22c31f2aad0449ac661bccd9 MD5sum: 3f3bf8140b452c6d44177921798f9b49 Description: tool to create, manipulate and study complex networks - documentation NetworkX is a Python-based package for the creation, manipulation, and study of the structure, dynamics, and functions of complex networks. . The structure of a graph or network is encoded in the edges (connections, links, ties, arcs, bonds) between nodes (vertices, sites, actors). If unqualified, by graph it's meant a simple undirected graph, i.e. no self-loops and no multiple edges are allowed. By a network it's usually meant a graph with weights (fields, properties) on nodes and/or edges. . The potential audience for NetworkX includes: mathematicians, physicists, biologists, computer scientists, social scientists. . This package contains documentation for NetworkX. Homepage: http://networkx.lanl.gov/ Tag: role::documentation Section: doc Priority: optional Filename: pool/main/p/python-networkx/python-networkx-doc_1.7~rc1-3_all.deb Package: python-neuroshare Version: 0.8.5-1 Architecture: armhf Maintainer: G-Node Debian Team Installed-Size: 145 Depends: libc6 (>= 2.13-28), python (<< 2.8), python (>= 2.6), python-numpy (>= 1:1.6.1), python-numpy-abi9, python-support (>= 0.90.0) Homepage: http://www.g-node.org/neuroshare-tools Priority: extra Section: python Filename: pool/main/p/python-neuroshare/python-neuroshare_0.8.5-1_armhf.deb Size: 20282 SHA256: 69b32720b1962669464e564b93092e7c3946c08efd010cf8de817d078639e79d SHA1: a132967e7eec1b7153745ec934cb373714128cd9 MD5sum: 22a9e6a15b2c460cfb47ba07da82fb79 Description: Python interface and tools for Neuroshare The Neuroshare API is a standardized interface to access electrophysiology data stored in various different file formats. To do so, it uses format- specific shared libraries. . This package provides a high-level Python interface to the Neuroshare API that focuses on convenience for the user and enables access to all available metadata and data. The data is returned in NumPy arrays, which provides a quick route to further examination and analysis. . In addition, this package contains the ns2hdf converter tool that converts neuroshare-compatible files into the HDF5 (Hierarchical Data Format, ver. 5) file format. Python-Version: 2.6, 2.7 Package: python-nevow Source: nevow Version: 0.10.0-4 Installed-Size: 4112 Maintainer: Vincent Bernat Architecture: all Replaces: python2.3-nevow (<< 0.7.0-1.1), python2.4-nevow (<< 0.7.0-1.1) Provides: python2.6-nevow, python2.7-nevow Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), dpkg (>= 1.14.17~), python-twisted-core (>= 10.1.0-1~), python-twisted-web (>= 10.1.0-1~) Breaks: python2.3-nevow (<< 0.7.0-1.1), python2.4-nevow (<< 0.7.0-1.1) Size: 565420 SHA256: fd11919a1a6f6a39dfa4957d1d162195bb0fdefb479a3c835fb40fa8bf657a23 SHA1: e14b842a40675cc54cb5e33503163be35a04ae5a MD5sum: 0f6acda278d382abd5ae821499c6bedf Description: Web application templating system for Python and Twisted Nevow's main focus is on separating the HTML template from both the business logic and the display logic, while allowing the programmer to write pure Python code as much as possible. It separates your code into 'data' and 'render' functions, a simplified implementation of traditional MVC. It has various parts which can be used individually or as a whole, integrated web solution: . - XHTML templates: contain no programming logic, only nodes tagged with nevow attributes - data/render methods: simplified MVC - stan: An s-expression-like syntax for expressing xml in pure Python - formless: For describing the types of objects which may be passed to methods of your classes, validating and coercing string input from either web or command-line sources, and calling your methods automatically once validation passes - freeform: For rendering web forms based on formless type descriptions, accepting form posts and passing them to formless validators, and rendering error forms in the event validation fails - livepage: Cross-browser JavaScript glue for sending client side events to the server and server side events to the client after the page has loaded, without causing the entire page to refresh Homepage: http://divmod.org/trac/wiki/DivmodNevow Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, web::scripting Section: python Priority: optional Filename: pool/main/n/nevow/python-nevow_0.10.0-4_all.deb Package: python-newt Source: newt Version: 0.52.14-11.1 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 320 Depends: libnewt0.52 (= 0.52.14-11.1), python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libslang2 (>= 2.2.4) Provides: python2.6-newt, python2.7-newt Homepage: https://fedorahosted.org/newt/ Priority: optional Section: python Filename: pool/main/n/newt/python-newt_0.52.14-11.1_armhf.deb Size: 100384 SHA256: 5793f0c8b2b2dced07991f251c097b26a46c9626ad289a96054055494415109b SHA1: db9a158fe174b26751d5b835be2d5398c68640c2 MD5sum: 5a8dce875816e0518f8a21f490a27fa4 Description: NEWT module for Python This module allows you to built a text UI for your Python scripts using newt. Package: python-newt-dbg Source: newt Version: 0.52.14-11.1 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 132 Depends: libnewt0.52 (= 0.52.14-11.1), python-newt (= 0.52.14-11.1), python-dbg, libc6 (>= 2.13-28) Homepage: https://fedorahosted.org/newt/ Priority: extra Section: debug Filename: pool/main/n/newt/python-newt-dbg_0.52.14-11.1_armhf.deb Size: 39200 SHA256: 52c7184fbdf0f7749346d5d1be3ff12aab21ae4eeea0e1a9a7f6369096e5745b SHA1: ab59d0ebb6aadfdf79d9b1625c48677b0ea07d2e MD5sum: fed513c6cc5fd2edd8b40e2146dd3784 Description: NEWT module for Python (debug extension) This module allows you to built a text UI for your Python scripts using newt. . This package contains the extension built for the Python debug interpreter. Package: python-nflog Source: nflog-bindings Version: 0.2-3 Architecture: armhf Maintainer: Pierre Chifflier Installed-Size: 151 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libnetfilter-log1 (>= 0.0.15), libnfnetlink0 (>= 1.0.0), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7) Replaces: nflog-bindings-python Provides: python2.6-nflog, python2.7-nflog Homepage: https://www.wzdftpd.net/redmine/projects/nflog-bindings/wiki/ Priority: extra Section: python Filename: pool/main/n/nflog-bindings/python-nflog_0.2-3_armhf.deb Size: 33302 SHA256: 262a9378d46d82555b80442fb7c9337389e11fe45e30aed20410b201dc2f1447 SHA1: 594ae549fc2c441b4969fb85d261f1aad85d2626 MD5sum: 32735b6a82519fb16895c54b0546964e Description: Python bindings for nflog nflog-bindings is a set of high-level modules for several languages (Python and Perl, for the moment), for libnetfilter_log. It provides a userspace API to access packets that have been queued by the kernel packet filter using the NFLOG target. . This package contains the Python bindings for nflog. Package: python-nfqueue Source: nfqueue-bindings Version: 0.4-3 Architecture: armhf Maintainer: Pierre Chifflier Installed-Size: 175 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libnetfilter-queue1 (>= 0.0.15), libnfnetlink0 (>= 1.0.0), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7) Replaces: nfqueue-bindings-python Provides: python2.6-nfqueue, python2.7-nfqueue Homepage: https://www.wzdftpd.net/redmine/projects/nfqueue-bindings/wiki/ Priority: extra Section: python Filename: pool/main/n/nfqueue-bindings/python-nfqueue_0.4-3_armhf.deb Size: 41850 SHA256: f300ce7f6753380fb7681658192b79894cffc11250e82a02f6e3f87e0ade2086 SHA1: 0b5ec046d2748297baf83eeb616036cf0b57251d MD5sum: e10a0c9c928e0bd41409f76d087915db Description: Python bindings for nfqueue nfqueue-bindings is a set of high-level modules for several languages (Python and Perl, for the moment), for libnetfilter_queue. It provides a userspace API to access packets that have been queued by the kernel packet filter using the NFQUEUE target. . This package contains the Python bindings for nfqueue. Package: python-nibabel Source: nibabel Version: 1.2.2-1 Installed-Size: 4139 Maintainer: NeuroDebian Team Architecture: all Provides: python2.6-nibabel, python2.7-nibabel Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python-numpy, python-scipy Recommends: python-dicom, python-fuse Suggests: python-nibabel-doc Size: 1822086 SHA256: ff06e0768dc297fc83ce95761f4932caee41331e277a3e498a3dd6dd62b860ef SHA1: a5ef0d7b7436ad8a51d361dae8ac3c1ab78ea7fc MD5sum: 3157b485421325112f8c2e41c4f357c7 Description: Python bindings to various neuroimaging data formats NiBabel provides read and write access to some common medical and neuroimaging file formats, including: ANALYZE (plain, SPM99, SPM2), GIFTI, NIfTI1, MINC, as well as PAR/REC. The various image format classes give full or selective access to header (meta) information and access to the image data is made available via NumPy arrays. NiBabel is the successor of PyNIfTI. . This package also provides a commandline tools: . - dicomfs - FUSE filesystem on top of a directory with DICOMs - nib-ls - 'ls' for neuroimaging files - parrec2nii - for conversion of PAR/REC to NIfTI images Homepage: http://nipy.sourceforge.net/nibabel Python-Version: 2.6, 2.7 Section: python Priority: extra Filename: pool/main/n/nibabel/python-nibabel_1.2.2-1_all.deb Package: python-nibabel-doc Source: nibabel Version: 1.2.2-1 Installed-Size: 2443 Maintainer: NeuroDebian Team Architecture: all Depends: libjs-jquery Size: 447340 SHA256: 14915dac6db449bcf1f26efd0beecef61289aa2f57ae6156e599ff606348f5a1 SHA1: cce5e0dcfd869bdb0d6875e61ea5cfb15f807231 MD5sum: 1ae934a6bd3ec17a547998c089ecea54 Description: documentation for NiBabel NiBabel provides read and write access to some common medical and neuroimaging file formats, including: ANALYZE (plain, SPM99, SPM2), GIFTI, NIfTI1, MINC, as well as PAR/REC. The various image format classes give full or selective access to header (meta) information and access to the image data is made available via NumPy arrays. NiBabel is the successor of PyNIfTI. . This package provides the documentation in HTML format. Homepage: http://nipy.sourceforge.net/nibabel Tag: role::documentation Section: doc Priority: extra Filename: pool/main/n/nibabel/python-nibabel-doc_1.2.2-1_all.deb Package: python-nids Version: 0.6.1-1 Architecture: armhf Maintainer: Luciano Bello Installed-Size: 102 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libnet1 (>= 1.1.2.1), libnids1.21, libpcap0.8 (>= 0.9.8), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Homepage: http://jon.oberheide.org/pynids/ Priority: extra Section: python Filename: pool/main/p/python-nids/python-nids_0.6.1-1_armhf.deb Size: 17162 SHA256: 2a21ab5a20d72f8a8d4d7f94108c757d4b5494b7c14939fc2b9bad286c0700fb SHA1: 6d000e89c38ecb97c5666d8c9de52665eea71c76 MD5sum: fd3a1821267e95ce2d4774f3020c4f40 Description: Python binding for libnids (aka pynids) This package is commonly known as pynids. It is a Python wrapper for the libnids packet capture analysis library, a Network Intrusion Detection System library offering sniffing, IP defragmentation, TCP stream reassembly and TCP port scan detection. Python-Version: 2.6, 2.7 Package: python-nifti Source: pynifti Version: 0.20100607.1-4 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 1313 Depends: libc6 (>= 2.13-28), libnifti2, python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python2.7, python-numpy, libjs-jquery Provides: python2.6-nifti, python2.7-nifti Homepage: http://niftilib.sourceforge.net/pynifti/ Priority: optional Section: python Filename: pool/main/p/pynifti/python-nifti_0.20100607.1-4_armhf.deb Size: 367188 SHA256: 016fb78e5d2cfa58da194291c5bf426539ce63304f739f9bc5c4b821185d89b7 SHA1: 480c659ea55ab26d469ed0c0c771e61ce19208d5 MD5sum: b12bd02f77c943c9c0395efb18a747c7 Description: Python interface to the NIfTI I/O libraries Using PyNIfTI one can easily read and write NIfTI and ANALYZE images from within Python. The NiftiImage class provides Python-style access to the full header information. Image data is made available via NumPy arrays. Python-Version: 2.6, 2.7 Package: python-nipy Source: nipy Version: 0.1.999-2 Installed-Size: 2713 Maintainer: NeuroDebian Team Architecture: all Provides: python2.6-nipy, python2.7-nipy Depends: python (<< 2.8), python (>= 2.6), python-numpy (>= 1.2), python-support (>= 0.90.0), python-scipy, python-nibabel, python-nipy-lib (>= 0.1.999-2) Recommends: python-matplotlib, mayavi2, python-sympy Suggests: python-mvpa Size: 743360 SHA256: 8a8c3e8782169f0e9c611c5d4cafe51300134e50d5a68c80c799ad9b8765a637 SHA1: b7e7ff789c8e725afed8e303f9038d35099fe13e MD5sum: b5d235f8a65daa8eb9d277e7e7b5cd65 Description: Analysis of structural and functional neuroimaging data NiPy is a Python-based framework for the analysis of structural and functional neuroimaging data. It provides functionality for - General linear model (GLM) statistical analysis - Combined slice time correction and motion correction - General image registration routines with flexible cost functions, optimizers and re-sampling schemes - Image segmentation - Basic visualization of results in 2D and 3D - Basic time series diagnostics - Clustering and activation pattern analysis across subjects - Reproducibility analysis for group studies Homepage: http://neuroimaging.scipy.org Python-Version: 2.6, 2.7 Section: python Priority: extra Filename: pool/main/n/nipy/python-nipy_0.1.999-2_all.deb Package: python-nipy-doc Source: nipy Version: 0.1.999-2 Installed-Size: 9635 Maintainer: NeuroDebian Team Architecture: all Depends: libjs-jquery Recommends: python-nipy Size: 3586290 SHA256: 454e14e72364034fc3ceaba5261fbcfde964997085eba4c0b8147449df86f4d9 SHA1: 434eb415306f886b7599d5b2e9f8928505a3bfeb MD5sum: 853726c32d1d419fde8a367fde182459 Description: documentation and examples for NiPy This package contains NiPy documentation in various formats (HTML, TXT) including * User manual * Developer guidelines * API documentation Homepage: http://neuroimaging.scipy.org Tag: devel::doc, made-of::html, made-of::pdf, role::documentation Section: doc Priority: extra Filename: pool/main/n/nipy/python-nipy-doc_0.1.999-2_all.deb Package: python-nipype Source: nipype Version: 0.5.3-2wheezy2 Installed-Size: 2245 Maintainer: NeuroDebian Team Architecture: all Provides: python2.6-nipype, python2.7-nipype Depends: python (>= 2.6), python-support (>= 0.90.0), python-scipy, python-simplejson, python-traits (>= 4.0) | python-traits4, python-nibabel (>= 1.0.0~), python-networkx (>= 1.3), python-cfflib Recommends: ipython, python-nose, graphviz Suggests: fsl, afni, python-nipy, slicer, matlab-spm8, python-pyxnat Size: 501308 SHA256: 7fd9c23956eb9c19111e6fcf0f41281699e2ec42ff4ae2a4f43361539dbefba7 SHA1: a5a0742780c42b9ed1bfdca839b349eba7b20491 MD5sum: f166c4dd168cd51cd8e22cead8c50e84 Description: Neuroimaging data analysis pipelines in Python Nipype interfaces Python to other neuroimaging packages and creates an API for specifying a full analysis pipeline in Python. Currently, it has interfaces for SPM, FSL, AFNI, Freesurfer, but could be extended for other packages (such as lipsia). Homepage: http://nipy.sourceforge.net/nipype/ Section: python Priority: optional Filename: pool/main/n/nipype/python-nipype_0.5.3-2wheezy2_all.deb Package: python-nipype-doc Source: nipype Version: 0.5.3-2wheezy2 Installed-Size: 12031 Maintainer: NeuroDebian Team Architecture: all Depends: libjs-jquery Suggests: python-nipype Size: 5656660 SHA256: 4edbddf6d2a1dc8f3468e235e9457a484231eb1151382135d4a88852cd4fed5b SHA1: f7b7317a4c6cb3fa1cf0c8bb89a74c95d8cd52d5 MD5sum: 935fb586607e394c1b7583b15eac4197 Description: Neuroimaging data analysis pipelines in Python -- documentation Nipype interfaces Python to other neuroimaging packages and creates an API for specifying a full analysis pipeline in Python. Currently, it has interfaces for SPM, FSL, AFNI, Freesurfer, but could be extended for other packages (such as lipsia). . This package contains Nipype examples and documentation in various formats. Homepage: http://nipy.sourceforge.net/nipype/ Tag: devel::doc, field::medicine:imaging, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/n/nipype/python-nipype-doc_0.5.3-2wheezy2_all.deb Package: python-nitime Source: nitime Version: 0.4-2 Installed-Size: 9294 Maintainer: NeuroDebian Team Architecture: all Depends: python (>= 2.6), python-support (>= 0.90.0), python-numpy, python-scipy Recommends: python-matplotlib, python-nose, python-nibabel, python-networkx Size: 3908476 SHA256: f8dc2b7dc22020af10d283173f4eeda404d9a1f4c2c2652c33e34dcc71fb0520 SHA1: 5743136afda1584c31d0f182124df7db03de0acc MD5sum: a5cabdd27c351b940d1ab16a986356ac Description: timeseries analysis for neuroscience data (nitime) Nitime is a Python module for time-series analysis of data from neuroscience experiments. It contains a core of numerical algorithms for time-series analysis both in the time and spectral domains, a set of container objects to represent time-series, and auxiliary objects that expose a high level interface to the numerical machinery and make common analysis tasks easy to express with compact and semantically clear code. Homepage: http://nipy.org/nitime Section: python Priority: extra Filename: pool/main/n/nitime/python-nitime_0.4-2_all.deb Package: python-nitime-doc Source: nitime Version: 0.4-2 Installed-Size: 6840 Maintainer: NeuroDebian Team Architecture: all Depends: libjs-jquery Suggests: python-nitime Size: 5337600 SHA256: 0c0ca928bdaedfed162d3d9a187be82031707ced45112a8f5003c2615f76bbf4 SHA1: d47d83333578974156075db16075deb1ef5f0bcf MD5sum: 1a72ee1c692707341648ef5fe12515b6 Description: timeseries analysis for neuroscience data (nitime) -- documentation Nitime is a Python module for time-series analysis of data from neuroscience experiments. . This package provides the documentation in HTML format. Homepage: http://nipy.org/nitime Tag: role::documentation Section: doc Priority: extra Filename: pool/main/n/nitime/python-nitime-doc_0.4-2_all.deb Package: python-nmap Version: 0.2.4-1 Installed-Size: 120 Maintainer: Federico Ceratto Architecture: all Provides: python2.6-nmap, python2.7-nmap Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 15642 SHA256: 4de13df523ec7697a469eefe61ecf30b6e8647833c322617146eba412c0a0490 SHA1: db636d9cefa7645b8a83a9247c43e2adf38dc7cc MD5sum: 69fa5333f4598b9424875dde37d494ea Description: Python interface to the Nmap port scanner It helps manipulating nmap scan results and can be useful to systems administrators to automate scanning task and reports. It also supports nmap script outputs. Homepage: http://xael.org/norman/python/python-nmap/ Tag: devel::lang:python, implemented-in::python, network::scanner, role::shared-lib, use::scanning Section: python Priority: optional Filename: pool/main/p/python-nmap/python-nmap_0.2.4-1_all.deb Package: python-nodebox-web Source: nodebox-web Version: 1.9.4.6-2 Installed-Size: 300 Maintainer: Serafeim Zanikolas Architecture: all Provides: python2.6-nodebox-web, python2.7-nodebox-web Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-beautifulsoup, python-soappy, python-feedparser, python-simplejson Size: 44518 SHA256: a44dacde276ab806dc93f70ced9389c0801fbed0d71ba8cd802e2525f61124ee SHA1: 6569df286fad082f01c574faa51d0889bdb4622f MD5sum: 724933366678bb7a71d98b192a6fd9a8 Description: collection of web-related Python modules Nodebox Web is a collection of Python modules to get content from the web. One can query Yahoo! and Google for links, images, news and spelling suggestions, read RSS and Atom newsfeeds, retrieve articles from Wikipedia, collect quality images from morgueFile or Flickr, browse through HTML documents, clean up HTML, validate URLs, and create GIF images from math equations using mimeTeX. . The library uses a caching mechanism that stores things you download from the web, so they can be retrieved faster the next time. Many of the services also work asynchronously. Homepage: http://nodebox.net/code/index.php/Web Tag: devel::lang:python, devel::library, devel::web, implemented-in::python, role::devel-lib, web::scripting, works-with-format::html, works-with-format::xml:rss Section: python Priority: optional Filename: pool/main/n/nodebox-web/python-nodebox-web_1.9.4.6-2_all.deb Package: python-nose Source: nose Version: 1.1.2-3 Installed-Size: 608 Maintainer: Gustavo Noronha Silva Architecture: all Depends: python-pkg-resources, python2.7, python (>= 2.6.6-7~), python (<< 2.8), python2.6 Suggests: python-coverage, python-nose-doc Size: 146660 SHA256: 03bc68559d4fd7133adb934a19b8c982498a1d4ba6ba66331e71086d9c2bdee1 SHA1: eb145e834c79bb2c5b0b6826c69b47ac585ec347 MD5sum: 9b2b196bde63aa8bc0417b5dd0065b48 Description: test discovery and running of Python's unittest nose provides an alternate test discovery and running process for unittest, one that is intended to mimic the behavior of py.test as much as is reasonably possible without resorting to too much magic Homepage: http://somethingaboutorange.com/mrl/projects/nose/ Tag: devel::lang:python, devel::library, devel::testing-qa, implemented-in::python, role::devel-lib, role::program Section: python Priority: optional Filename: pool/main/n/nose/python-nose_1.1.2-3_all.deb Package: python-nose-doc Source: nose Version: 1.1.2-3 Installed-Size: 1408 Maintainer: Gustavo Noronha Silva Architecture: all Replaces: python-nose (<< 1.1.2) Depends: libjs-sphinxdoc (>= 1.0) Breaks: python-nose (<< 1.1.2) Size: 230316 SHA256: 72e296d64bbd2c4e309842812e19796df19da739aceef169def92da859c37707 SHA1: 6a9e562e67e1bb2439b7f70c5c15ef6a18adc0e3 MD5sum: 15b7259b36160e4dc78ad05b7ebc3b34 Description: documentation for discovery and running for Python's unittest nose provides an alternate test discovery and running process for unittest, one that is intended to mimic the behavior of py.test as much as is reasonably possible without resorting to too much magic . This package provides the documentation for nose. Homepage: http://somethingaboutorange.com/mrl/projects/nose/ Section: doc Priority: optional Filename: pool/main/n/nose/python-nose-doc_1.1.2-3_all.deb Package: python-nosexcover Source: nosexcover Version: 1.0.7-1 Installed-Size: 80 Maintainer: Guido Günther Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-nose, python-coverage (>= 3.4) Size: 5204 SHA256: c4ba99f862a020157c54f22b38d6e9da98fc466b149f4264c1e4e2b2feddeb53 SHA1: 43670a917cefb9cca9e14a46be3b079ea31a5fb9 MD5sum: 89f660a5ca17992694da757dbfe4f6c3 Description: Add Cobertura-style XML coverage report to nose A companion to the built-in nose.plugins.cover, this plugin will write out an XML coverage report to a file named coverage.xml. . It will honor all the options you pass to the Nose coverage plugin, especially --cover-package. Homepage: http://pypi.python.org/pypi/nosexcover Section: python Priority: extra Filename: pool/main/n/nosexcover/python-nosexcover_1.0.7-1_all.deb Package: python-notify Source: notify-python Version: 0.1.1-3 Architecture: armhf Maintainer: Gustavo Franco Installed-Size: 172 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), python-gtk2 (>= 2.10) Provides: python2.6-notify, python2.7-notify Homepage: http://www.galago-project.org Priority: optional Section: python Filename: pool/main/n/notify-python/python-notify_0.1.1-3_armhf.deb Size: 17910 SHA256: 9b5ab6c8099387d6b3453f3847a936389785a77b62a32c84363fb4c762aac6f3 SHA1: 733b381a459b7ea1efeaa377c9103cf0eb1e8d25 MD5sum: 0ed8b21235cbff79dd283b72939fbad5 Description: Python bindings for libnotify libnotify sends desktop notifications to a notification daemon, as defined in the Desktop Notifications spec. These notifications can be used to inform the user about an event or display some form of information without getting in the user's way. . python-notify provides just the Python bindings for libnotify. Package: python-notify2 Version: 0.3-2 Installed-Size: 80 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-dbus Recommends: notification-daemon Size: 11112 SHA256: 05e41c2bc50053edc7c9b32b27dc1f71e520e198ea4715475356fdaf82ed48b8 SHA1: 9986308054c086bd0a9df661206db01f1a278fea MD5sum: 5d1d60b37df309f7196df679d42d8236 Description: desktop notifications API for Python notify2 provides a Python interface to the Freedesktop notifications system, which allows programs to display information to the user in an unobtrusive way. Notifications are sent over DBus to a notification daemon, which is responsible for presenting them to the user. . notify2 is intended as a replacement for pynotify (package python-notify), and its interface is largely copied from there, although it isn't a complete clone. . This is the Python 2 version of the package. Homepage: http://pypi.python.org/pypi/notify2 Section: python Priority: optional Filename: pool/main/p/python-notify2/python-notify2_0.3-2_all.deb Package: python-notmuch Source: notmuch Version: 0.13.2-1 Installed-Size: 184 Maintainer: Carl Worth Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libnotmuch3 Size: 34786 SHA256: f20d92bb929c9fd6deed7d755f1976d4fa944e169d593f14465924d1b0a31b26 SHA1: e4cbd88016793c682bb7198eab535a27d324fa3e MD5sum: a68d6822e4923a45c071e3e3a3b51623 Description: python interface to the notmuch mail search and index library Notmuch is a system for indexing, searching, reading, and tagging large collections of email messages in maildir or mh format. It uses the Xapian library to provide fast, full-text search with a very convenient search syntax. . This package provides a Python interface to the notmuch functionality, directly interfacing with a shared notmuch library. Homepage: http://notmuchmail.org/ Section: python Priority: extra Filename: pool/main/n/notmuch/python-notmuch_0.13.2-1_all.deb Package: python-nova Source: nova Version: 2012.1.1-18 Installed-Size: 10965 Maintainer: PKG OpenStack Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-boto, python-m2crypto, python-pycurl, python-daemon, python-carrot, python-kombu, python-lockfile, python-gflags, openssl, python-libxml2, python-ldap, python-sqlalchemy-ext | python-sqlalchemy (<< 0.6.3-2), python-eventlet, python-routes, python-webob, python-cheetah, python-netaddr, python-paste, python-pastedeploy, python-tempita, python-migrate, python-glance, python-novaclient, python-simplejson, python-lxml, sudo Recommends: python-mysqldb Suggests: python-suds (>= 0.4.0) Conflicts: python-cjson Size: 1780448 SHA256: 228c897aad383711edbf1e0cebb57040078aec7381cac6a0af74192bd76ea83d SHA1: 0b9fb8e8e5f291de4e7416b0b00c007229dd14f0 MD5sum: ceb022b83f865cb6312443f65eb1009b Description: OpenStack Compute - libraries OpenStack is a reliable cloud infrastructure. Its mission is to produce the ubiquitous cloud computing platform that will meet the needs of public and private cloud providers regardless of size, by being simple to implement and massively scalable. . OpenStack Compute, codenamed Nova, is a cloud computing fabric controller designed to be modular and easy to extend and adapt. In addition to its "native" OpenStack API, it also supports the Amazon EC2 API, and it supports many different database backends (including SQLite, MySQL, and PostgreSQL), hypervisors (KVM, Xen), and user directory systems (LDAP, SQL). . This package contains the core Python parts of Nova. Homepage: http://launchpad.net/nova Section: python Priority: extra Filename: pool/main/n/nova/python-nova_2012.1.1-18_all.deb Package: python-novaclient Version: 1:2012.1-4 Installed-Size: 328 Maintainer: PKG OpenStack Architecture: all Replaces: simh (<< 3.8.1-3) Depends: python (>= 2.6.6-7~), python (<< 2.8), python-httplib2, python-prettytable, python (>= 2.7) | python-argparse, python-pkg-resources Breaks: simh (<< 3.8.1-3) Size: 51096 SHA256: 3bf7213ef8e8fe716c72e142cd86a99237e237cd58fac78fb851816292b03e0e SHA1: 8841bae9fec948bfc362bb74e4d311a663809106 MD5sum: 85f5b34edf936a52b771a2114cc5124d Description: client library for OpenStack Compute API Python novaclient library and nova CLI tool for interacting with OpenStack Compute (Nova) through the OpenStack Compute API. Homepage: https://github.com/openstack/python-novaclient Section: python Priority: optional Filename: pool/main/p/python-novaclient/python-novaclient_2012.1-4_all.deb Package: python-novnc Source: novnc Version: 2012.1~e3+dfsg+1-4 Installed-Size: 139 Maintainer: PKG OpenStack Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 25860 SHA256: 66ebc333a5ce384f218668ab77b444f412287f163d34c71a22652f1417a73c82 SHA1: 6b2a6ba96738283aa69fa529fd006f62038cc826 MD5sum: c120ceb673a20c5bc2d92d9ecb7b24d0 Description: HTML5 VNC client - libraries VNC client using HTML5 (WebSockets, Canvas) with encryption (wss://) support. . This package installs the core Python parts of NoVNC. Homepage: https://github.com/cloudbuilders/noVNC Section: python Priority: optional Filename: pool/main/n/novnc/python-novnc_2012.1~e3+dfsg+1-4_all.deb Package: python-nss Version: 0.12-1 Architecture: armhf Maintainer: Debian FreeIPA Team Installed-Size: 581 Depends: libc6 (>= 2.13-28), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.13), python2.7, python (>= 2.7), python (<< 2.8) Provides: python2.7-nss Homepage: http://www.mozilla.org/projects/security/pki/python-nss/ Priority: extra Section: python Filename: pool/main/p/python-nss/python-nss_0.12-1_armhf.deb Size: 179622 SHA256: d113eab11f6262eaf31c45018f23ff54f240a1067a85b09ac90600f189006d9f SHA1: 637911351bfb596b32c0c78f3b27ccb9efd306fc MD5sum: 75abf6a842123ab91512c2537c084bea Description: Python bindings for Network Security Services (NSS) This package provides Python bindings for Network Security Services (NSS) and the Netscape Portable Runtime (NSPR). . NSS is a set of libraries supporting security-enabled client and server applications. Applications built with NSS can support SSL v2 and v3, TLS, PKCS #5, PKCS #7, PKCS #11, PKCS #12, S/MIME, X.509 v3 certificates, and other security standards. Specific NSS implementations have been FIPS-140 certified. Package: python-numexpr Source: numexpr Version: 2.0.1-3 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 803 Depends: python-numpy (>= 1:1.6.1), python-numpy-abi9, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Homepage: http://code.google.com/p/numexpr/ Priority: optional Section: python Filename: pool/main/n/numexpr/python-numexpr_2.0.1-3_armhf.deb Size: 296732 SHA256: 2e5267c93bd654bdb113684006fd33330a90025cdc81d07d7dbfd194c09ebaa5 SHA1: 59fd16aa6fddd66f04f53378af784b52f65d2eb3 MD5sum: 67894031e5a03305b04fe15663907bc6 Description: Fast numerical array expression evaluator for Python and NumPy Numexpr package evaluates multiple-operator array expressions many times faster than NumPy can. It accepts the expression as a string, analyzes it, rewrites it more efficiently, and compiles it to faster Python code on the fly. It's the next best thing to writing the expression in C and compiling it with a specialized just-in-time (JIT) compiler, i.e. it does not require a compiler at runtime. Package: python-numexpr-dbg Source: numexpr Version: 2.0.1-3 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 723 Depends: python-numpy (>= 1:1.6.1), python-numpy-abi9, python2.7-dbg | python2.6-dbg, python-dbg (>= 2.6), python-dbg (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), python-numexpr (= 2.0.1-3) Recommends: python-numpy-dbg Homepage: http://code.google.com/p/numexpr/ Priority: extra Section: debug Filename: pool/main/n/numexpr/python-numexpr-dbg_2.0.1-3_armhf.deb Size: 178728 SHA256: ad034fb9aaacf753adda4830aeb90ed96c2a03f9e11c6c00e00bd06b9b098e96 SHA1: 9d9933908bab53f4221d777ea66c8c2aed8a580d MD5sum: 9165086d9c369121b277abe3bd18b423 Description: Fast numerical array expression evaluator for Python and NumPy (debug ext) Numexpr package evaluates multiple-operator array expressions many times faster than NumPy can. It accepts the expression as a string, analyzes it, rewrites it more efficiently, and compiles it to faster Python code on the fly. It's the next best thing to writing the expression in C and compiling it with a specialized just-in-time (JIT) compiler, i.e. it does not require a compiler at runtime. . This package contains the extension built for the Python debug interpreter. Package: python-numm Source: numm Version: 0.4-1 Installed-Size: 142 Maintainer: Dafydd Harries Architecture: all Provides: python2.6-numm, python2.7-numm Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-gst0.10, python-opencv, python-imaging, python-numpy, gstreamer0.10-plugins-good, gstreamer0.10-alsa | gstreamer0.10-audiosource, gstreamer0.10-alsa | gstreamer0.10-audiosink, gstreamer0.10-x | gstreamer0.10-videosink Size: 22770 SHA256: 9609c9ef3c87cfdbd9f20204832e4cfe486352f38d9eaebf7e38648396f74385 SHA1: 5457936c1d1cc1814eab28ec905bac498c1c6417 MD5sum: 9c55dd23c6216b38840114301da061b0 Description: numeric arts for Python For programmers familiar with Python or Matlab who are interested in toying with the sounds and images around them; for artists, designers, and composers seeking a deeper understanding of the numerical systems that underlie modern media production. . numm is a minimalist media library that translates popular sound, image, and video formats to and from NumPy arrays. Homepage: http://numm.org/numm/ Section: python Priority: optional Filename: pool/main/n/numm/python-numm_0.4-1_all.deb Package: python-numpy Version: 1:1.6.2-1.2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 9153 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base Suggests: python-numpy-doc, python-numpy-dbg, python-nose (>= 0.10.1), python-dev, gfortran, gcc (>= 4:4.6.1-5) Provides: python-f2py, python-numpy-abi9, python-numpy-api6, python-numpy-dev, python2.6-numpy, python2.7-numpy Homepage: http://numpy.scipy.org/ Priority: optional Section: python Filename: pool/main/p/python-numpy/python-numpy_1.6.2-1.2_armhf.deb Size: 2457088 SHA256: 2c37c5ee6d838e05238b5509c4d33a14c7d7f5156a32ea68f9ea1d5652a97f7b SHA1: 048ba2abf4e18e21ea18f80e79b3e10d466950b3 MD5sum: 7dd481b9239042e79bd4ee72ca788207 Description: Numerical Python adds a fast array facility to the Python language Numpy contains a powerful N-dimensional array object, sophisticated (broadcasting) functions, tools for integrating C/C++ and Fortran code, and useful linear algebra, Fourier transform, and random number capabilities. . Numpy replaces the python-numeric and python-numarray modules which are now deprecated and shouldn't be used except to support older software. Package: python-numpy-dbg Source: python-numpy Version: 1:1.6.2-1.2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 20932 Depends: python-numpy (= 1:1.6.2-1.2), python-dbg, libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base Homepage: http://numpy.scipy.org/ Priority: extra Section: debug Filename: pool/main/p/python-numpy/python-numpy-dbg_1.6.2-1.2_armhf.deb Size: 5823626 SHA256: b0e0dc4809d745082bd9c7b856597d66813e61403c0c90aaec3b5c7230cd074c SHA1: 69399b80016c1579b54ed9001025d7ac4667beef MD5sum: a54c222d52f89cd93da38981df3bce5b Description: Fast array facility to the Python language (debug extension) Numpy contains a powerful N-dimensional array object, sophisticated (broadcasting) functions, tools for integrating C/C++ and Fortran code, and useful linear algebra, Fourier transform, and random number capabilities. . Numpy replaces the python-numeric and python-numarray modules which are now deprecated and shouldn't be used except to support older software. . This package contains the extension built for the Python debug interpreter. Package: python-numpy-doc Source: python-numpy Version: 1:1.6.2-1.2 Installed-Size: 26732 Maintainer: Debian Python Modules Team Architecture: all Depends: libjs-sphinxdoc (>= 1.0) Size: 5310448 SHA256: ebd07a1d9be338115c7530d614c0c1315a53908ac3eb130d52577a00741197c1 SHA1: 04326eb7930b111d8d14fa88ee7a4c0cfeb434e1 MD5sum: d061788649bf815b213953d0b2726d5a Description: NumPy documentation Numpy contains a powerful N-dimensional array object, sophisticated (broadcasting) functions, tools for integrating C/C++ and Fortran code, and useful linear algebra, Fourier transform, and random number capabilities. . Numpy replaces the python-numeric and python-numarray modules which are now deprecated and shouldn't be used except to support older software. . This package contains documentation for Numpy and f2py. Homepage: http://numpy.scipy.org/ Tag: devel::doc, devel::lang:python, role::documentation Section: doc Priority: optional Filename: pool/main/p/python-numpy/python-numpy-doc_1.6.2-1.2_all.deb Package: python-nut Source: nut Version: 2.6.4-2.3+deb7u1 Installed-Size: 154 Maintainer: Arnaud Quette Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8) Size: 100356 SHA256: a8c8ab01b94295e07de0f0dd84f5f59f41b2dc5b0ab486fe0e5f2e4b25a84bc2 SHA1: 0f5a66fbd47ecf26753d672d5d631c7988e0097a MD5sum: 1846795224807a67c289adcac29db1d2 Description: network UPS tools - Python bindings for NUT server Network UPS Tools (NUT) is a client/server monitoring system that allows computers to share uninterruptible power supply (UPS) and power distribution unit (PDU) hardware. Clients access the hardware through the server, and are notified whenever the power status changes. . This package provides Python bindings to connect to NUT server. Homepage: http://www.networkupstools.org/ Section: python Priority: optional Filename: pool/main/n/nut/python-nut_2.6.4-2.3+deb7u1_all.deb Package: python-nwdiag Source: nwdiag Version: 0.7.0-1 Installed-Size: 226 Maintainer: Kouhei Maeda Architecture: all Provides: python2.7-nwdiag Depends: python2.7, python (>= 2.7), python (<< 2.8), python-pkg-resources, python-blockdiag (>= 1.1.0), python-funcparserlib (>= 0.3.5), python-imaging (>= 1.1.5) Size: 30956 SHA256: 90babfffb7faea9854dd34cdf49eddd4249c10640825ee39a19c49a52d98f61d SHA1: 9ee3f85c688fac95a3189e2461b042cd0a4e7c45 MD5sum: 56f943d0a449403678bfc81933ce6c49 Description: generate network-diagram image file from spec-text file Generate network-diagram from dot like text with multilingualization for node-label. But this supports utf-8 only. And get some examples and generated images. Homepage: http://blockdiag.com/ Section: python Priority: optional Filename: pool/main/n/nwdiag/python-nwdiag_0.7.0-1_all.deb Package: python-nwsclient Source: nwsclient Version: 1.6.4-8 Installed-Size: 556 Maintainer: Dirk Eddelbuettel Architecture: all Provides: python2.6-nwsclient, python2.7-nwsclient Depends: python2.7, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python-nwsserver Size: 99872 SHA256: 381cbb6356f64d4e255adb631a18236cc167918753c2ae62cf84f4586efc072d SHA1: dcad3fc193f36b1768105624d70c22d45a502b56 MD5sum: 57f5339175740a2f7136bd457659122e Description: NetWorkSpaces client for distributed Python applications This Debian package provides the NetWorkSpaces client which makes it easy to use computing clusters from within Python. . See http://www.ddj.com/web-development/200001971 for a recent overview article on NetWorkSpaces. . The python-nwsserver package provides the corresponding server. Section: python Priority: optional Filename: pool/main/n/nwsclient/python-nwsclient_1.6.4-8_all.deb Package: python-nwsserver Source: nwsserver Version: 2.0.0-2 Installed-Size: 272 Maintainer: Dirk Eddelbuettel Architecture: all Provides: python2.6-nwsserver, python2.7-nwsserver Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-twisted-core, python-twisted-web Suggests: r-base-core (>> 2.5.1) Size: 50978 SHA256: 9eb63660843ecec8a36db9efb4664198e300efebc31b92f059d74d6de5e407c6 SHA1: 013e8f46babac14262c8aa027f7aa00e34206712 MD5sum: c9eef3c9ab655cc95411f3780952d875 Description: NetWorkSpaces server for distributed applications This Debian package provides the NetWorkSpaces Server which makes it easy to use computing clusters from within the Python and GNU R languages and scripting environments. . See http://www.ddj.com/web-development/200001971 for a recent overview article on NetWorkSpaces. Section: python Priority: optional Filename: pool/main/n/nwsserver/python-nwsserver_2.0.0-2_all.deb Package: python-nxt Source: nxt-python Version: 2.2.2-1 Installed-Size: 281 Maintainer: Scott Kitterman Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-usb, python-bluez Size: 58182 SHA256: 680e32b60b394c994e7511ec4a09483d54f216dec39f6afbea9050690e36a2ea SHA1: 60be54f58af6effef1ff025390eb3216339ae2e1 MD5sum: 95981e6ccb8c880fe91e4b8ffb2b2b47 Description: python driver/interface/wrapper for the Lego Mindstorms NXT robot NXT-Python is a package for controlling a LEGO NXT robot using the Python programming language. It can communicate using either USB or Bluetooth. Homepage: http://code.google.com/p/nxt-python/ Section: python Priority: optional Filename: pool/main/n/nxt-python/python-nxt_2.2.2-1_all.deb Package: python-nxt-filer Source: nxt-python Version: 2.2.2-1 Installed-Size: 47 Maintainer: Scott Kitterman Architecture: all Depends: python, python-nxt, python-gtk2 Size: 6400 SHA256: 4b6812963ab2acd5bfc41f6513d73243422a271281b5d0fc12ed6cb96845dc31 SHA1: f0d7769059a30412b45cee470e78d58a7116a35b MD5sum: 3b83460c38da2553dd49436393b170ae Description: simple GUI to manage files on a LEGO Mindstorms NXT GTK2 based file management GUI for LEGO Mindstorms NXT Homepage: http://code.google.com/p/nxt-python/ Section: python Priority: optional Filename: pool/main/n/nxt-python/python-nxt-filer_2.2.2-1_all.deb Package: python-oauth Version: 1.0.1-3 Installed-Size: 164 Maintainer: Debian Python Modules Team Architecture: all Provides: python2.6-oauth, python2.7-oauth Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8) Size: 14154 SHA256: 3790ae4c573b0c46cc0a05fb993b9f7f3e0c314a33fa585374267ce5c3874881 SHA1: cd01c1e59a52baf9e1bdcfbf692dc91404cfd0d6 MD5sum: 0bd25be4bedd568ea0cfc9be42599bbc Description: Python library implementing of the OAuth protocol python-oauth implements OAuth, which is an open protocol to allow API authentication in a simple and standard method from desktop and web applications. Homepage: http://code.google.com/p/oauth Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, security::authentication, use::login Section: python Priority: optional Filename: pool/main/p/python-oauth/python-oauth_1.0.1-3_all.deb Package: python-oauth2 Version: 1.5.211-2 Installed-Size: 38 Maintainer: Debian Python Modules Team Architecture: all Provides: python2.6-oauth2, python2.7-oauth2 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-httplib2 Size: 12328 SHA256: b9e443a18cfc768eec788a982fe8c98d3db0e43ca60619fda9067cbfec409a97 SHA1: 390c24a2b7574a712a0fa79ac672f6c4ad478fa7 MD5sum: 7cd0eac55bf901fb38b76057757c736e Description: Library for OAuth version 1.0a (forked from python-oauth) python-oauth2 implements OAuth, which is an open protocol to allow API authentication in a simple and standard method from desktop and web applications. This was forked from python-oauth Homepage: http://github.com/simplegeo/python-oauth2 Section: python Priority: extra Filename: pool/main/p/python-oauth2/python-oauth2_1.5.211-2_all.deb Package: python-oauthlib Version: 0.1.2-1 Installed-Size: 288 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-crypto Size: 27370 SHA256: 5cda3e1c36544cc75f2509484576a0661f1fc40f3a3d95af83965f289f2411ad SHA1: f85a9c97dffd2ad6fda4a0a4996e554b4f5c27ff MD5sum: 8bb6ed602283a80832ffaa4202a35408 Description: generic, spec-compliant implementation of OAuth for Python OAuthLib is a generic utility which implements the logic of OAuth without assuming a specific HTTP request object. It can be used to graft OAuth support onto HTTP libraries. . OAuth1 is almost complete while OAuth2 is already in the works. Homepage: https://github.com/idangazit/oauthlib Section: python Priority: optional Filename: pool/main/p/python-oauthlib/python-oauthlib_0.1.2-1_all.deb Package: python-obexftp Source: obexftp Version: 0.23-1.1+rpi1 Architecture: armhf Maintainer: Hendrik Sattler Installed-Size: 103 Depends: libobexftp0 (= 0.23-1.1+rpi1), libbfb0, libc6 (>= 2.13-28), libmulticobex1, libopenobex1, python (<< 2.8), python (>= 2.7), python-central (>= 0.6.11) Provides: python2.7-obexftp Homepage: http://triq.net/obex Priority: optional Section: python Filename: pool/main/o/obexftp/python-obexftp_0.23-1.1+rpi1_armhf.deb Size: 25918 SHA256: 243cef21bf3cd8620e53f1827040fd4da87145106c61adf7b0b922bb55742abe SHA1: 098ee3c3b851627ebb64b5fcb35702d60f0ac70b MD5sum: ad0b00176475b4a8a52367b2edea0dd4 Description: Python binding to the object exchange file transfer library OBEX, the OBject EXchange protocol, can best be described as binary HTTP. OBEX is optimized for ad-hoc links and can be used to exchange all kind of objects like files, pictures, calendar entries (vCal) and business cards (vCard) over bluetooth, IrDA, USB and serial cable links. . This package contains the binding for the Python programming language. Python-Version: 2.7 Package: python-objgraph Source: objgraph Version: 1.7.1-1 Installed-Size: 108 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: graphviz Suggests: python-objgraph-doc, xdot Size: 16164 SHA256: 7e2eea762b047ea9d8508fdc35730db9c26e5ad65ae696767cd054684e055cec SHA1: b10b4670a4761d13bf79e7b8fc3a2c5f23d4e56e MD5sum: 49b5900550a2d3ebfe2abe4acf322edc Description: Module for exploring Python object reference graphs objgraph is a module that lets you visually explore Python object graphs. . It can be used for counting and statistics, finding root references responsible for large object trees and export the object reference graphs in graphviz format. . This package contains the Python 2 version of the library. Homepage: http://mg.pov.lt/objgraph/ Tag: devel::debugger, devel::lang:python, devel::library, implemented-in::python, role::devel-lib, use::measuring, use::viewing, works-with-format::png, works-with::software:source Section: python Priority: optional Filename: pool/main/o/objgraph/python-objgraph_1.7.1-1_all.deb Package: python-objgraph-doc Source: objgraph Version: 1.7.1-1 Installed-Size: 771 Maintainer: Debian Python Modules Team Architecture: all Replaces: python-objgraph (<< 1.6.0-2), python3-objgraph (<< 1.6.0-2) Depends: libjs-sphinxdoc (>= 1.0) Recommends: graphviz Suggests: xdot Breaks: python-objgraph (<< 1.6.0-2), python3-objgraph (<< 1.6.0-2) Size: 538820 SHA256: 7b289c8e4eb11f3796c32ecc04a311320febda31c74595be6a5065344c76af74 SHA1: a512b79b863e926ad12d7fec8f977f62415f8b76 MD5sum: 0e60e07e09fed1fbd958deeec96f0d45 Description: Module for exploring Python object reference graphs (Documentation) objgraph is a module that lets you visually explore Python object graphs. . It can be used for counting and statistics, finding root references responsible for large object trees and export the object reference graphs in graphviz format. . This package contains the documentation for objgraph. Homepage: http://mg.pov.lt/objgraph/ Tag: devel::doc, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/o/objgraph/python-objgraph-doc_1.7.1-1_all.deb Package: python-ogg Source: pyogg Version: 1.3+repack-5 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 136 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.4), libogg0 (>= 1.0rc3) Suggests: python-ogg-dbg Conflicts: python-pyogg (<< 1.3+repack-1) Replaces: python-pyogg (<< 1.3+repack-1) Provides: python2.6-ogg, python2.7-ogg Homepage: http://ekyo.nerim.net/software/pyogg/index.html Priority: optional Section: python Filename: pool/main/p/pyogg/python-ogg_1.3+repack-5_armhf.deb Size: 18516 SHA256: c4a9053f894b2e6334b7f92484d0d80b8f91904dab422820eedc5e29fdf7ff4d SHA1: d3280b69fdbbfcc1124fcb3fa0bd97797062308a MD5sum: abab67927ed693f5beac6c5cc099ea09 Description: Python interface to the Ogg library This module makes the libogg (Ogg) functions available in Python. With this module you can write Python applications that use the ogg library. Python-Version: 2.6, 2.7 Package: python-ogg-dbg Source: pyogg Version: 1.3+repack-5 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 412 Depends: python-ogg (= 1.3+repack-5), python-dbg, libc6 (>= 2.4), libogg0 (>= 1.0rc3) Conflicts: python-pyogg-dbg (<< 1.3+repack-1) Replaces: python-pyogg-dbg (<< 1.3+repack-1) Homepage: http://ekyo.nerim.net/software/pyogg/index.html Priority: extra Section: debug Filename: pool/main/p/pyogg/python-ogg-dbg_1.3+repack-5_armhf.deb Size: 96300 SHA256: ecedb2fda4697d761c914ef66c98b7917a2ebd031ccf704e43663258e448d2ff SHA1: 72fc2ac8f4f370b35c8c8b48b444c54b7e131bfd MD5sum: d92f41de0fe143ef0afabae3a399871e Description: Python interface to the Ogg library (debug extension) This module makes the libogg (Ogg) functions available in Python. With this module you can write Python applications that use the ogg library. . This package contains the extension built for the Python debug interpreter. Package: python-old-doctools Version: 2.5.5-2.1 Installed-Size: 748 Maintainer: Matthias Klose Architecture: all Replaces: python2.5-dev (<< 2.5.5-2) Depends: python (>= 2.5) Size: 206214 SHA256: c6ae733397a6b1c9a04e592619b2e377b536e9c268a4b4d0b321f9cedeca319b SHA1: c71f2c0063e1af3fb83e705e896e58b0d38bfe48 MD5sum: 2f566a3f15df36698a6b3a4982d240ce Description: Python latex based documentation tools (rescued from python2.5) This package contains the latex based documentation tools as found in the python2.5.x releases, but not included anymore in newer Python releases. . Packages should not depend or build-depend on this package anymore after the squeeze release. Homepage: http://python.org/ Section: python Priority: extra Filename: pool/main/p/python-old-doctools/python-old-doctools_2.5.5-2.1_all.deb Package: python-omniorb Version: 3.6-1 Architecture: armhf Maintainer: Debian CORBA Team Installed-Size: 3467 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libomniorb4-1 (>= 4.1.6), libomnithread3c2 (>= 4.0.6), libstdc++6 (>= 4.4.0) Recommends: python-omniorb-omg Homepage: http://omniorb.sourceforge.net Priority: optional Section: python Filename: pool/main/p/python-omniorb/python-omniorb_3.6-1_armhf.deb Size: 505792 SHA256: 40dc054b638ff68457baebded9038c1280532d03ed78e53c497d2ad720524131 SHA1: ffe99aa6a95264a09fa4cd1043fb1a958f5f8f84 MD5sum: 81d8416a21cafc90daa97ae55179c429 Description: Python bindings for omniORB omniORB4 is a freely available Common Object Request Broker Architecture (CORBA) 2.6 compliant object request broker (ORB) implementation. It is based on the IIOP communications protocol and should be interoperable with any other CORBA 2.6 compliant ORB. . This is the Debian package of omniORBpy, the Python bindings to the omniORB libraries. Package: python-omniorb-dbg Source: python-omniorb Version: 3.6-1 Architecture: armhf Maintainer: Debian CORBA Team Installed-Size: 7928 Depends: python-omniorb (= 3.6-1), python2.7-dbg | python2.6-dbg, python-dbg (>= 2.6), python-dbg (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libomniorb4-1 (>= 4.1.6), libomnithread3c2 (>= 4.0.6), libstdc++6 (>= 4.4.0) Homepage: http://omniorb.sourceforge.net Priority: extra Section: debug Filename: pool/main/p/python-omniorb/python-omniorb-dbg_3.6-1_armhf.deb Size: 3166370 SHA256: c3bc0b8cf9c437b83940dc369fd6f1cf363d3a5a8669e518cefc98bad5b7a00e SHA1: 451bd0145ebcb307df23ea9105ac5ef02443ab7f MD5sum: 5ebee06c9e8cf5cef1c391658148178c Description: Python bindings for omniORB omniORB4 is a freely available Common Object Request Broker Architecture (CORBA) 2.6 compliant object request broker (ORB) implementation. It is based on the IIOP communications protocol and should be interoperable with any other CORBA 2.6 compliant ORB. . This package contains the debug symbols of python-omniorb as well as modules for use with python-dbg. Package: python-omniorb-doc Source: python-omniorb Version: 3.6-1 Installed-Size: 914 Maintainer: Debian CORBA Team Architecture: all Size: 431590 SHA256: 9b2d988f20f8d8c2de1b2335e06a199564a9d4ec67b1e01e4a98c3dfb224c175 SHA1: d206cd1d128450b22f5c6b91f899a9b2ee8e0c84 MD5sum: dde7cf3ffa8d19e905700be42dde3bd2 Description: omniORBpy documentation omniORB4 is a freely available Common Object Request Broker Architecture (CORBA) 2.6 compliant object request broker (ORB) implementation. It is based on the IIOP communications protocol and should be interoperable with any other CORBA 2.6 compliant ORB. . This package contains the documentation of omniORBpy, the Python bindings to omniORB. The bindings themselves can be found in the python-omniorb package. Homepage: http://omniorb.sourceforge.net Tag: devel::doc, devel::examples, made-of::html, made-of::pdf, made-of::postscript, role::documentation Section: doc Priority: optional Filename: pool/main/p/python-omniorb/python-omniorb-doc_3.6-1_all.deb Package: python-omniorb-omg Source: python-omniorb Version: 3.6-1 Installed-Size: 110 Maintainer: Debian CORBA Team Architecture: all Depends: python-omniorb (>= 3.6-1), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Conflicts: python-pyorbit-omg Size: 16438 SHA256: c1a030a106680437e4dc6e30ffa40b184aed34c6be557db7b082e6d41b4be9a0 SHA1: 1cc55d5ae6bd1530d84cb6c7a53f921532e4b1f8 MD5sum: df5929983237f1b30c68d62a4b909ef7 Description: CORBA OMG standard files for python-omniorb omniORB4 is a freely available Common Object Request Broker Architecture (CORBA) 2.6 compliant object request broker (ORB) implementation. It is based on the IIOP communications protocol and should be interoperable with any other CORBA 2.6 compliant ORB. . This package includes the Python files that are necessary to fulfil the OMG standard 02-09-07. It conflicts with python-pyorbit-omg since only one package can provide the default CORBA bindings. Homepage: http://omniorb.sourceforge.net Section: python Priority: optional Filename: pool/main/p/python-omniorb/python-omniorb-omg_3.6-1_all.deb Package: python-ooolib Source: ooolib-python Version: 0.0.17-2.1 Installed-Size: 216 Maintainer: Debian LibreOffice Team Architecture: all Provides: python2.6-ooolib, python2.7-ooolib Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8) Size: 21862 SHA256: 311a4e951312ee2bd9243668585e556a439cd98758db8a3f2db0b75c1785509c SHA1: cd8b230e6f007bf311a7b64adf907f6944be3df6 MD5sum: 230038c1019bdbbd1ef87409529fb62b Description: Python module for creating OpenDocument documents (sp.sheet/text) ooolib is a python module to be used to create simple OpenDocument spreadsheet and text documents. . In contrast to libooolib-perl this supports ODT but *not* the old format. Python-Version: 2.6, 2.7 Section: python Priority: optional Filename: pool/main/o/ooolib-python/python-ooolib_0.0.17-2.1_all.deb Package: python-openbabel Source: openbabel Version: 2.3.1+dfsg-4 Architecture: armhf Maintainer: Debichem Team Installed-Size: 6009 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenbabel4, libstdc++6 (>= 4.6) Provides: python2.6-openbabel, python2.7-openbabel Homepage: http://openbabel.sourceforge.net Priority: optional Section: python Filename: pool/main/o/openbabel/python-openbabel_2.3.1+dfsg-4_armhf.deb Size: 1510704 SHA256: 18892c27c909f3628525c7d0aee2fbadc29c6635f421240366745759d3fb334f SHA1: e5413358e5d6592f179b2103da62241d34c035a6 MD5sum: 8a2d349c5c39893e15b9b89f6d75132e Description: Chemical toolbox library (python bindings) Open Babel is a chemical toolbox designed to speak the many languages of chemical data. It allows one to search, convert, analyze, or store data from molecular modeling, chemistry, solid-state materials, biochemistry, or related areas. Features include: . * Hydrogen addition and deletion * Support for Molecular Mechanics * Support for SMARTS molecular matching syntax * Automatic feature perception (rings, bonds, hybridization, aromaticity) * Flexible atom typer and perception of multiple bonds from atomic coordinates * Gasteiger-Marsili partial charge calculation . File formats Open Babel supports include PDB, XYZ, CIF, CML, SMILES, MDL Molfile, ChemDraw, Gaussian, GAMESS, MOPAC and MPQC. . This package contains the Python binding. Package: python-opencv Source: opencv Version: 2.3.1-11+deb7u4 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 967 Depends: python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopencv-calib3d2.3, libopencv-core2.3, libopencv-features2d2.3, libopencv-flann2.3, libopencv-highgui2.3, libopencv-imgproc2.3, libopencv-legacy2.3, libopencv-ml2.3, libopencv-objdetect2.3, libopencv-video2.3, libpython2.7 (>= 2.7), libstdc++6 (>= 4.4.0), python-numpy-abi9, python-numpy (>= 1:1.6.1) Conflicts: python2.3-opencv (<= 0.9.7-3) Replaces: python2.3-opencv (<= 0.9.7-3) Provides: python2.7-opencv Homepage: http://opencv.willowgarage.com Priority: optional Section: python Filename: pool/main/o/opencv/python-opencv_2.3.1-11+deb7u4_armhf.deb Size: 338262 SHA256: f056e4d27714d52cc1fb5fb37e2d3d93e7f86bfcb6e0107427b5dd14471621a2 SHA1: 66ecbf010f6b989ac44b41b114713bec66d87b02 MD5sum: 2233bb407b15041811d6e21eb7ea9a18 Description: Python bindings for the computer vision library This package contains Python bindings for the OpenCV (Open Computer Vision) library. . The Open Computer Vision Library is a collection of algorithms and sample code for various computer vision problems. The library is compatible with IPL (Intel's Image Processing Library) and, if available, can use IPP (Intel's Integrated Performance Primitives) for better performance. . OpenCV provides low level portable data types and operators, and a set of high level functionalities for video acquisition, image processing and analysis, structural analysis, motion analysis and object tracking, object recognition, camera calibration and 3D reconstruction. Package: python-opengl Source: pyopengl Version: 3.0.1-1 Installed-Size: 3142 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, freeglut3 Suggests: python-tk, python-numpy, libgle3 Size: 557468 SHA256: fa8d30d1ebaeb48b0c2e4ba52dbb0e2ed16a2355dff0b7b57ed5cd846c0e34da SHA1: 7aff4eb3a57fb72bcd27b5d6845500ab7afe379f MD5sum: 459efaac5af210bfa7d273f331cfd3b9 Description: Python bindings to OpenGL PyOpenGL is a cross-platform open source Python binding to the standard OpenGL API providing 2D and 3D graphic drawing. PyOpenGL supports the GL, GLU, GLE, and GLUT libraries. The library can be used with the Tkinter, wxPython, FxPy, and Win32GUI windowing libraries (or almost any Python windowing library which can provide an OpenGL context). Homepage: http://pyopengl.sourceforge.net Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, x11::library Section: python Priority: optional Filename: pool/main/p/pyopengl/python-opengl_3.0.1-1_all.deb Package: python-openid Version: 2.2.5-3 Installed-Size: 608 Maintainer: Mikhail Gusarov Architecture: all Depends: python, python-support (>= 0.90.0) Size: 117596 SHA256: 50cbb92f6cbfe46ad0f35901e2226b366a3a3978bbfc25f69dbd2dbbc454d88b SHA1: d49bd929fafa9e95f90309980daaec030c37d7eb MD5sum: b4e6df0222cc5abc00cac37905ff2a0b Description: OpenID support for servers and consumers Set of Python packages to support use of the OpenID decentralized identity system in your application, both server- and client-side, including: - OpenID 1.0, 1.1 and 2.0 support, - SReg support, - several storage implementations for stateful OpenID authentication. Homepage: http://github.com/openid/python-openid Section: python Priority: optional Filename: pool/main/p/python-openid/python-openid_2.2.5-3_all.deb Package: python-openid-doc Source: python-openid Version: 2.2.5-3 Installed-Size: 17396 Maintainer: Mikhail Gusarov Architecture: all Recommends: python-openid Size: 1460204 SHA256: bf0279d32864d3b899016272028d0ed15d0b23749c1c9d827198e15e723cdf59 SHA1: 759447adb9075f13b56257b7127ed696b7f8460e MD5sum: 31a63618814b15fabef29c54c583865b Description: OpenID support for servers and consumers -- documentation Set of Python packages to support use of the OpenID decentralized identity system in your application, both server- and client-side, including: - OpenID 1.0, 1.1 and 2.0 support, - SReg support, - several storage implementations for stateful OpenID authentication. . This package contains API documentation and examples. Homepage: http://github.com/openid/python-openid Tag: devel::doc, role::documentation, security::authentication Section: doc Priority: optional Filename: pool/main/p/python-openid/python-openid-doc_2.2.5-3_all.deb Package: python-openmeeg Source: openmeeg Version: 2.0.0.dfsg-5 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 679 Depends: libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libmatio0, libopenmeeg1, libpython2.7 (>= 2.7), libstdc++6 (>= 4.4.0), python (<< 2.8), python (>= 2.7), python-numpy (>= 1:1.6.1), python-numpy-abi9, python-support (>= 0.90.0) Provides: python2.7-openmeeg Homepage: http://www-sop.inria.fr/odyssee/software/OpenMEEG/ Priority: extra Section: python Filename: pool/main/o/openmeeg/python-openmeeg_2.0.0.dfsg-5_armhf.deb Size: 147860 SHA256: 6193215ad8ceaf6bd0b1cdafe9a08e8d5fde3f3719820a5e45618d20057493b1 SHA1: b1004248b03aa27d4b0987aec0a32f00e3d5f06c MD5sum: 0513b581e418f9370842ba73d7568745 Description: openmeeg library -- Python bindings OpenMEEG consists of state-of-the art solvers for forward problems in the field of MEG and EEG. Solvers are based on the symmetric Boundary Element method [Kybic et al, 2005], providing excellent accuracy, particularly for superficial cortical sources. OpenMEEG can compute four types of lead fields (EEG, MEG, Internal Potential and Electrical Impedence Tomography). . This package provides Python bindings for OpenMEEG library. Python-Version: 2.7 Package: python-openoffice Source: openoffice-python Version: 1:0.1+20110209-3 Installed-Size: 152 Maintainer: Debian Tryton Maintainers Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-pkg-resources Recommends: python-uno Size: 21046 SHA256: d245cd323fe6c46618c782fb8a27b1870bdce8c01894a664304a4a1b6366db24 SHA1: ae6cf572d9bcd5d3f01bfa6ac95db2539a9dd44b MD5sum: f04505a1c0f57720a8b02e45b3a6a960 Description: Python libraries for interacting with OpenOffice.org/LibreOffice This is a Python module to interact with OpenOffice.org/LibreOffice. It aims to support: . * users writing complex macros for OpenOffice in Python * developers interacting with OpenOffice from outside (eg. use OpenOffice to print a file) . The library is designed to support both writing macros (called by OOo) and interacting with OOo from an external Python program (using the UNO bridge). Homepage: http://openoffice-python.origo.ethz.ch/ Section: python Priority: optional Filename: pool/main/o/openoffice-python/python-openoffice_0.1+20110209-3_all.deb Package: python-openopt Source: openopt Version: 0.38+svn1589-1 Installed-Size: 954 Maintainer: Yaroslav Halchenko Architecture: all Replaces: python-scikits-openopt Provides: python2.6-openopt, python2.7-openopt Depends: python (>= 2.5), python-support (>= 0.90.0), python-numpy Recommends: python-scipy, python-cvxopt, python-matplotlib, python-setproctitle Suggests: lp-solve Conflicts: python-scikits-openopt Size: 244820 SHA256: 31062ee62eddd136838b8ccdf57a050bb5581570375d52a2dd3d6383119bd4c9 SHA1: 2f95423fee973dabc2d539208aa09816fa317a9a MD5sum: a99c6ff221b7a736e43fc0acae874926 Description: Python module for numerical optimization Numerical optimization framework developed in Python which provides connections to lots of solvers with easy and unified OpenOpt syntax. Problems which can be tackled with OpenOpt * Linear Problem (LP) * Mixed-Integer Linear Problem (MILP) * Quadratic Problem (QP) * Non-Linear Problem (NLP) * Non-Smooth Problem (NSP) * Non-Linear Solve Problem (NLSP) * Least Squares Problem (LSP) * Linear Least Squares Problem (LLSP) * Mini-Max Problem (MMP) * Global Problem (GLP) . A variety of solvers is available (e.g. IPOPT, ALGENCAN). Homepage: http://www.openopt.org Python-Version: 2.6, 2.7 Section: python Priority: extra Filename: pool/main/o/openopt/python-openopt_0.38+svn1589-1_all.deb Package: python-openpyxl Source: openpyxl Version: 1.5.8-1 Installed-Size: 357 Maintainer: NeuroDebian Team Architecture: all Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Recommends: python-nose Size: 71520 SHA256: b5b1efdfecfb5891f7f3232bd5d5ee610ad38bc1b59c2f564e676bb7daa62284 SHA1: b467168592a0a1e5d7f86305d4a2fc13bb5ba166 MD5sum: 4291c4a6d4920255eed7e480eb5bc82a Description: module to read/write OpenXML xlsx/xlsm files Openpyxl is a pure Python module to read/write Excel 2007 (OpenXML) xlsx/xlsm files. Homepage: http://bitbucket.org/ericgazoni/openpyxl/ Section: python Priority: optional Filename: pool/main/o/openpyxl/python-openpyxl_1.5.8-1_all.deb Package: python-openscap Source: openscap (0.8.0-4) Version: 0.8.0-4+b1 Architecture: armhf Maintainer: Pierre Chifflier Installed-Size: 2502 Depends: libc6 (>= 2.13-28), libopenscap1 (= 0.8.0-4+b1), libpcre3 (>= 8.10), libxml2 (>= 2.6.27), libxslt1.1 (>= 1.1.25), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Provides: python2.6-openscap, python2.7-openscap Homepage: http://www.open-scap.org/ Priority: extra Section: python Filename: pool/main/o/openscap/python-openscap_0.8.0-4+b1_armhf.deb Size: 510658 SHA256: c9344a26bce5bdee4486904c3c272281df8b801ea42306b87252e8ee500c717e SHA1: 21180b6ca2a84d54770c0da47585d753e081f39f MD5sum: 18714d2d9f4697fdba631902ae21e1bc Description: Set of libraries enabling integration of the SCAP line of standards OpenSCAP is a set of open source libraries providing an easier path for integration of the SCAP line of standards. SCAP is a line of standards managed by NIST with the goal of providing a standard language for the expression of Computer Network Defense related information. . The intended scope of this project is to implement working interface wrappers for parsing and querying SCAP content including: * Common Vulnerabilities and Exposures (CVE) * Common Configuration Enumeration (CCE) * Common Platform Enumeration (CPE) * Common Vulnerability Scoring System (CVSS) * Extensible Configuration Checklist Description Format (XCCDF) * Open Vulnerability and Assessment Language (OVAL) . This package contains the Python bindings for OpenSCAP. Python-Version: 2.6, 2.7 Package: python-openssl Source: pyopenssl Version: 0.13-2+rpi1+deb7u1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 532 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0) Suggests: python-openssl-doc, python-openssl-dbg Homepage: http://launchpad.net/pyopenssl Priority: optional Section: python Filename: pool/main/p/pyopenssl/python-openssl_0.13-2+rpi1+deb7u1_armhf.deb Size: 151832 SHA256: dbeb94499ddf545a5a40aa9da00a992053fcfe3fd5e4209e20393d554f32cb37 SHA1: abc350db77d222e146f45a8dec1c581725ebcc22 MD5sum: ae49862b16e8d52505230b919596d3a2 Description: Python 2 wrapper around the OpenSSL library High-level wrapper around a subset of the OpenSSL library, includes . * SSL.Connection objects, wrapping the methods of Python's portable sockets * Callbacks written in Python * Extensive error-handling mechanism, mirroring OpenSSL's error codes . A lot of the object methods do nothing more than calling a corresponding function in the OpenSSL library. Package: python-openssl-dbg Source: pyopenssl Version: 0.13-2+rpi1+deb7u1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 1958 Depends: python-openssl (= 0.13-2+rpi1+deb7u1), python-dbg, libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0) Homepage: http://launchpad.net/pyopenssl Priority: extra Section: debug Filename: pool/main/p/pyopenssl/python-openssl-dbg_0.13-2+rpi1+deb7u1_armhf.deb Size: 666238 SHA256: ed7c30ae65be19377cc6ca413472cefb7f6c3a3987aecb74805878eed311e9df SHA1: 9ed0d47b256bc5eb54883c3a566c834d0c1806f5 MD5sum: a9a628c3ee52a9d210adf095b1503f4d Description: Python 2 wrapper around the OpenSSL library (debug extension) High-level wrapper around a subset of the OpenSSL library, includes . * SSL.Connection objects, wrapping the methods of Python's portable sockets * Callbacks written in Python * Extensive error-handling mechanism, mirroring OpenSSL's error codes . A lot of the object methods do nothing more than calling a corresponding function in the OpenSSL library. . This package contains the debug extension for python-openssl. Package: python-openssl-doc Source: pyopenssl Version: 0.13-2+rpi1+deb7u1 Architecture: all Maintainer: Debian Python Modules Team Installed-Size: 314 Suggests: python-openssl, python3-openssl Homepage: http://launchpad.net/pyopenssl Priority: optional Section: doc Filename: pool/main/p/pyopenssl/python-openssl-doc_0.13-2+rpi1+deb7u1_all.deb Size: 144812 SHA256: f76f1ea8b4a4396ef576f9ff2650c1e18103b25f20b1f31476266a96ef00e00a SHA1: 33ac7d5dbb0c2df2a3183a6d8d17cc1f4297d225 MD5sum: 7acf12640b2a7c3671de80e97811eacd Description: Python wrapper around the OpenSSL library (documentation package) High-level wrapper around a subset of the OpenSSL library, includes . * SSL.Connection objects, wrapping the methods of Python's portable sockets * Callbacks written in Python * Extensive error-handling mechanism, mirroring OpenSSL's error codes . A lot of the object methods do nothing more than calling a corresponding function in the OpenSSL library. . This package contains documentation for python-openssl. Package: python-openstack-common Source: openstack-common Version: 0.1+git20120203-1 Architecture: armhf Maintainer: PKG OpenStack Installed-Size: 173 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-greenlet, pep8, pylint, python-eventlet, python-pastedeploy, python-routes, python-webob, python-nose, python-mock, python-webtest, python-lxml Homepage: https://github.com/jkoelker/openstack-common Priority: extra Section: python Filename: pool/main/o/openstack-common/python-openstack-common_0.1+git20120203-1_armhf.deb Size: 21688 SHA256: c187f29faa27ba1cdf3f5721d91ae2f12ec72fbea7ff7642654c45c3fd00ce69 SHA1: 943e2668959ee3c509239c118e05ebf5664dc4aa MD5sum: 3f831c3fe02cb34540180c1eb98135ca Description: Common code for Openstack Projects. This package contains common code needed for Openstack Projects. Package: python-openstackx Source: openstackx Version: 0~dev20111219-1 Installed-Size: 288 Maintainer: PKG OpenStack Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-httplib2 Size: 36726 SHA256: f87d92fb744fe1d69db62dbb14a434db3d0f2c0265312792b1d0c6ef34f62651 SHA1: c10b9eb96a71105b7c2c841c829af342ac46d2f4 MD5sum: 8b7b6e181e721bc409199ed9b8062659 Description: client library for OpenStack This is a deprecated binding to OpenStack. You should use python-novaclient instead if possible. Homepage: https://github.com/cloudbuilders/openstackx Section: python Priority: extra Filename: pool/main/o/openstackx/python-openstackx_0~dev20111219-1_all.deb Package: python-openturns Source: openturns Version: 1.0-4 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 27152 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenturns0.1 (= 1.0-4), libstdc++6 (>= 4.6), python2.7, python (>= 2.7), python (<< 2.8), python-rpy2, ghostscript, python-qt4 Provides: python2.7-openturns Homepage: http://trac.openturns.org/ Priority: extra Section: python Filename: pool/main/o/openturns/python-openturns_1.0-4_armhf.deb Size: 6993622 SHA256: 5e8cbf592e3fcca86ecc20d2f48344d6f3167135ed22dbfad1bb86d83650ec3e SHA1: b32553c4968ea58140f0201b20a04260851bff59 MD5sum: 286178d8f58ac2b736eceedb790faca7 Description: Python front-end of OpenTURNS (aka TUI) OpenTURNS is a powerful and generic tool to treat and quantify uncertainties in numerical simulations in design, optimization and control. It allows both sensitivity and reliability analysis studies: * defining the outputs of interest and decision criterion; * quantify and model the source of uncertainties; * propagate uncertainties and/or analyse sensitivity and * rank the sources of uncertainty . OpenTURNS is a large project with more than 300 C++ classes which uses well known and supported software such as R for the statistical methods and BLAS/LAPACK for the linear algebra. . This package provides a textual user interface that gives access to all the functionalities provided by the OpenTURNS library. Package: python-openturns-dev Source: openturns Version: 1.0-4 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 529 Depends: libopenturns-dev, python-openturns (= 1.0-4), python-dev Breaks: libopenturns-dev (<< 1.0) Replaces: libopenturns-dev Homepage: http://trac.openturns.org/ Priority: extra Section: python Filename: pool/main/o/openturns/python-openturns-dev_1.0-4_armhf.deb Size: 213798 SHA256: 6ee9d12530ec8eb1bb8ce4826709a87426194cc7fae587433880122478f29c0b SHA1: cc83f8f2e4fb912177b4f6f740489fe4eb6f815d MD5sum: a5283d7ed9427ab111dac089b42f7811 Description: Python front-end of OpenTURNS (aka TUI) OpenTURNS is a powerful and generic tool to treat and quantify uncertainties in numerical simulations in design, optimization and control. It allows both sensitivity and reliability analysis studies: * defining the outputs of interest and decision criterion; * quantify and model the source of uncertainties; * propagate uncertainties and/or analyse sensitivity and * rank the sources of uncertainty . OpenTURNS is a large project with more than 300 C++ classes which uses well known and supported software such as R for the statistical methods and BLAS/LAPACK for the linear algebra. . This package provides development files for python modules. Package: python-openvswitch Source: openvswitch Version: 1.4.2+git20120612-9.1~deb7u1.1 Installed-Size: 325 Maintainer: Open vSwitch developers Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8) Size: 74348 SHA256: 0bfa79064976187c0291ef97593341609df05fcc86a02c64c29593dcea30bfef SHA1: 66c19d28a491cbc1dd2022588ecafbf1650a7b03 MD5sum: dd3c9071f743aab4680c9744f1a7ee15 Description: Python bindings for Open vSwitch Open vSwitch is a production quality, multilayer, software-based, Ethernet virtual switch. It is designed to enable massive network automation through programmatic extension, while still supporting standard management interfaces and protocols (e.g. NetFlow, sFlow, SPAN, RSPAN, CLI, LACP, 802.1ag). In addition, it is designed to support distribution across multiple physical servers similar to VMware's vNetwork distributed vswitch or Cisco's Nexus 1000V. . This package contains the full Python bindings for Open vSwitch database. Homepage: http://openvswitch.org/ Section: python Priority: extra Filename: pool/main/o/openvswitch/python-openvswitch_1.4.2+git20120612-9.1~deb7u1.1_all.deb Package: python-opster Version: 3.7-1 Installed-Size: 68 Maintainer: Debian Python Modules Team Architecture: all Depends: python, python-support (>= 0.90.0) Size: 13316 SHA256: 9f3e72d36f905c81704f72545931f5eb667c7c67ba28b573ed3e4a15c71d1628 SHA1: 6ecd4f7266b995f80a7b6fb2ab5372338fda8d39 MD5sum: 785f15055b4e4d2197d750dc9a68d90b Description: python command line parsing speedster Opster is a command line parser, intended to make writing command line applications easy and painless. It uses built-in Python types (lists, dictionaries, etc) to define options, which makes configuration clear and concise. Additionally it contains possibility to handle subcommands (i.e. hg commit or svn update). Homepage: http://hg.piranha.org.ua/opster/ Section: python Priority: optional Filename: pool/main/p/python-opster/python-opster_3.7-1_all.deb Package: python-optcomplete Source: optcomplete Version: 1.2-11.1 Installed-Size: 176 Maintainer: Bastian Kleineidam Architecture: all Provides: python2.6-optcomplete, python2.7-optcomplete Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8) Size: 25546 SHA256: 5f7f4a2d2f55f4f2ec319456ea12444790121eb6cafd5fd4d81df21c3ca86b79 SHA1: 8ed45adab66404fdc56005327203f282a80c1064 MD5sum: f731a7ab1b185c2f9335478e3fc7401b Description: provide bash-completion for Python programs provides almost automatically shell completion for any Python program that uses the optparse module. Homepage: http://furius.ca/optcomplete/ Tag: devel::lang:python, devel::library, implemented-in::python, interface::commandline Section: python Priority: optional Filename: pool/main/o/optcomplete/python-optcomplete_1.2-11.1_all.deb Package: python-osd Version: 0.2.14-5.1 Installed-Size: 29 Maintainer: Mauro Lizaur Architecture: all Depends: python-pyosd Size: 5194 SHA256: 3ca800d259008f77d529833ab5f9c3624f1b3fbb1041de3fe691928c6c0dc7a6 SHA1: 197311fdaf2bde2be519e41db8f337a839b23d8e MD5sum: dd4119130542698c09063de08315b543 Description: Transitional dummy package This is a dummy package to ease transition from previous versions of python-osd. . It can be safely removed from your system. Tag: devel::lang:python, devel::library, implemented-in::python, x11::library Section: python Priority: optional Filename: pool/main/p/python-osd/python-osd_0.2.14-5.1_all.deb Package: python-osmgpsmap Source: osm-gps-map Version: 0.7.3-3 Architecture: armhf Maintainer: Debian OpenStreetMap Team Installed-Size: 228 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.8), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18), libgtk2.0-0 (>= 2.8.0), libosmgpsmap2 (>= 0.7.3), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8), python-gtk2 Suggests: libosmgpsmap2-dbg Provides: python2.6-osmgpsmap, python2.7-osmgpsmap Homepage: http://nzjrs.github.com/osm-gps-map/ Priority: optional Section: python Filename: pool/main/o/osm-gps-map/python-osmgpsmap_0.7.3-3_armhf.deb Size: 40674 SHA256: 33fc3e66ed61cda3bf17a3882e8cc80b9f8e030990aba7d8feb2442c7d495733 SHA1: 8ed20f0d96e567ebff06a71168a0b4081255cf7a MD5sum: 5182fff95ad631cee2f61debd9753737 Description: GTK+ library to embed OpenStreetMap maps - Python bindings libosmgpsmap is a library to embed maps in applications that when given GPS co-ordinates, draws a GPS track, and points of interest on a moving map display. Downloads map data from a number of websites, including openstreetmap.org, openaerialmap.org and others. . This package provides the Python bindings for the library. Package: python-otr Version: 0.2.1-1 Architecture: armhf Maintainer: Kjell Braden Installed-Size: 490 Depends: libc6 (>= 2.13-28), libotr2 (>= 3.2.0), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Provides: python2.6-otr, python2.7-otr Homepage: http://python-otr.pentabarf.de/ Priority: optional Section: python Filename: pool/main/p/python-otr/python-otr_0.2.1-1_armhf.deb Size: 99710 SHA256: 2f428172d640305ac5459df964683031538f6d50415b4bf2db5d4463eb04008b SHA1: 8427807a12dd93bff504df793c2affd7c9567598 MD5sum: 6ac346b621a6ca54443da9eb6f4f2636 Description: Python bindings for OTR encryption Python-otr is a set of Python bindings for libotr (see the http://www.cypherpunks.ca/otr/ for more information). This gives developers the posibility to implement Off-the-Record encryption for their python-based Instant Messaging clients. Python-Version: 2.6, 2.7 Package: python-otr-dbg Source: python-otr Version: 0.2.1-1 Architecture: armhf Maintainer: Kjell Braden Installed-Size: 1149 Depends: python-otr (= 0.2.1-1), python-dbg, libc6 (>= 2.13-28), libotr2 (>= 3.2.0) Homepage: http://python-otr.pentabarf.de/ Priority: extra Section: python Filename: pool/main/p/python-otr/python-otr-dbg_0.2.1-1_armhf.deb Size: 364428 SHA256: f2f5d5b0d2fd2a2ea42af18647c84a3fdf19b540c02590b0d7106dab6b13a676 SHA1: d69183bbc2ce875c15918eddd8c5404b386ea16a MD5sum: 8077b7bf2411fb5573893beb2945efbf Description: Python bindings for OTR encryption (debugging extension) This package contains debug symbols for python-otr. Python-Version: 2.6, 2.7 Package: python-ow Source: owfs Version: 2.8p15-1 Architecture: armhf Maintainer: Vincent Danjean Installed-Size: 197 Depends: libc6 (>= 2.13-28), libow-2.8-15 (>= 2.8p4), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Provides: python2.6-ow, python2.7-ow Homepage: http://owfs.org/ Priority: extra Section: python Filename: pool/main/o/owfs/python-ow_2.8p15-1_armhf.deb Size: 38442 SHA256: 0a574d7f49b86a1ecad74f22aad8c30bf1690c0f91887248eed476ac696e6dd5 SHA1: 0738cd9e5bc2321c27202586d8badd446c0531cd MD5sum: d97f77921e553e0207e1ccc6bd14dd60 Description: Dallas 1-wire support: Python bindings The 1-Wire bus is a cheap low-speed bus for devices like weather sensors, access control, etc. It can be attached to your system via serial, USB, I2C, and other interfaces. . Python bindings for the OWFS 1-Wire support library have been produced with SWIG and allow access to libow functions from Python code. Python-Version: 2.6, 2.7 Package: python-ownet Source: owfs Version: 2.8p15-1 Installed-Size: 108 Maintainer: Vincent Danjean Architecture: all Provides: python2.6-ownet, python2.7-ownet Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 15452 SHA256: d0aa4c20cf3a0068a73a539aba3380bd093af87506b26ad87d5b6ff5c04a24c1 SHA1: c787698b91b795cf4ef39c073dbe7539d4ef015c MD5sum: ff52585aa6152fad655cd0cc9bae882e Description: Python module for accessing 1-wire networks The 1-Wire bus is a cheap low-speed bus for devices like weather sensors, access control, etc. It can be attached to your system via serial, USB, I2C, and other interfaces. . OWnet is a standalone Python module for accessing 1-wire sensors through an owserver. Homepage: http://owfs.org/ Python-Version: 2.6, 2.7 Section: python Priority: extra Filename: pool/main/o/owfs/python-ownet_2.8p15-1_all.deb Package: python-packagekit Source: packagekit Version: 0.7.6-3 Installed-Size: 144 Maintainer: Matthias Klumpp Architecture: all Depends: python-dbus, python (>= 2.6.6-7~), python (<< 2.8) Recommends: packagekit Size: 29478 SHA256: 62c3b2044ef17aa59b0e000d786e9405adee35613ed9a817056c48b68611d2cc SHA1: 29f53fdc2ca13f0dce2650d31abe639a1f140f21 MD5sum: dcad3f79b60bb70612f6edcb2bfc3c5e Description: PackageKit backend Python bindings PackageKit allows performing simple software management tasks over a DBus interface e.g refreshing the cache, updating, installing and removing software packages or searching for multimedia codecs and file handlers. . This package provides PackageKit Python bindings which are still needed by some PackageKit backends written in Python. If you want to use PackageKit from Python and don't write a backend, please use the GIRepository instead. Homepage: http://www.packagekit.org Python-Version: 2.6, 2.7 Tag: devel::lang:python, implemented-in::python, role::shared-lib Section: python Priority: optional Filename: pool/main/p/packagekit/python-packagekit_0.7.6-3_all.deb Package: python-packagekit-gtk Source: gnome-packagekit Version: 3.4.2-2 Installed-Size: 334 Maintainer: Matthias Klumpp Architecture: all Depends: python-gtk2, python-packagekit, python (>= 2.6.6-7~), python (<< 2.8) Size: 236316 SHA256: 4a6e9a2562383d594fc8042c9868b1f52276dd0afb217f1014bf188d6b99e59a SHA1: ca64c9a1d606d5c30c31ed2606d0f1f01fbaefcd MD5sum: 1b113d3304d674c31bcc4d96f463a14f Description: Python GTK+ widgets for PackageKit clients PackageKit allows performing simple software management tasks over a DBus interface e.g refreshing the cache, updating, installing and removing software packages or searching for multimedia codecs and file handlers. . This package provides Python GTK+2 widgets to be used in client applications. Homepage: http://www.packagekit.org Python-Version: 2.6, 2.7 Section: python Priority: optional Filename: pool/main/g/gnome-packagekit/python-packagekit-gtk_3.4.2-2_all.deb Package: python-pacparser Source: pacparser (1.3.0-2) Version: 1.3.0-2+b1 Architecture: armhf Maintainer: Manu Garg Installed-Size: 1254 Depends: python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0) Priority: extra Section: python Filename: pool/main/p/pacparser/python-pacparser_1.3.0-2+b1_armhf.deb Size: 397814 SHA256: b2ae8155694c75933e1cf2a9bf038739be0f9e0ba6b8b8f3aea9350df5344ff0 SHA1: 0209e708165eacb21ffa8dcf6421fb24480295b0 MD5sum: 9d3158916370e8a413883de1da511075 Description: Python module to parse proxy auto-config files a library to parse proxy auto-config (PAC) files. Proxy auto-config files are a vastly used proxy configuration method these days. Web browsers can use a PAC file to determine which proxy server to use or whether to go direct for a given URL. PAC files are written in JavaScript and can be programmed to return different proxy methods (e.g. "PROXY proxy1:port; DIRECT") depending upon URL, source IP address, protocol, time of the day etc. PAC files introduce a lot of possibilities. . Needless to say, PAC files are now a widely accepted method for proxy configuration management and companies all over are using them in corporate environments. Almost all popular web browsers support PAC files. The idea behind pacparser is to make it easy to add this PAC file parsing capability to any program (C and Python supported right now). . This package contains the Python bindings for the shared library Package: python-pam Version: 0.4.2-13 Architecture: armhf Maintainer: Dima Barsky Installed-Size: 98 Depends: python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libc6 (>= 2.4), libpam0g (>= 0.99.7.1) Conflicts: python2.3-pam, python2.4-pam Replaces: python2.3-pam, python2.4-pam Provides: python2.6-pam, python2.7-pam Priority: optional Section: python Filename: pool/main/p/python-pam/python-pam_0.4.2-13_armhf.deb Size: 12330 SHA256: 6404b060bfe2aa0006c9ad264ed5d606659ada593b46c2086658bcc87bb8e687 SHA1: 2e0c55c8d07c4474c76431220b2d71f488fbc79c MD5sum: 96dbc6f60dd10302c1c83b2341f8ad41 Description: Python interface to the PAM library This module makes the PAM (Pluggable Authentication Modules) functions available in Python. With this module you can write Python applications that implement authentication services using PAM. Package: python-pandas Source: pandas Version: 0.8.0-2 Installed-Size: 2700 Maintainer: NeuroDebian Team Architecture: all Provides: python2.6-pandas, python2.7-pandas Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python-numpy (>= 1:1.6~), python-dateutil, python-pandas-lib (>= 0.8.0-2) Recommends: python-scipy, python-matplotlib, python-tables, python-tz, python-xlrd, python-statsmodels, python-openpyxl, python-xlwt Suggests: python-pandas-doc Size: 609158 SHA256: 4a7efdace50e0082a64842769b229a92dae3e2016fb0cab84b06ef7708aaeeae SHA1: 694e48469c265b8d137c9e03a2c9e90f96e8cc2e MD5sum: 8a822ad29aa002e58c93302d84603843 Description: data structures for "relational" or "labeled" data pandas is a Python package providing fast, flexible, and expressive data structures designed to make working with "relational" or "labeled" data both easy and intuitive. It aims to be the fundamental high-level building block for doing practical, real world data analysis in Python. pandas is well suited for many different kinds of data: . - Tabular data with heterogeneously-typed columns, as in an SQL table or Excel spreadsheet - Ordered and unordered (not necessarily fixed-frequency) time series data. - Arbitrary matrix data (homogeneously typed or heterogeneous) with row and column labels - Any other form of observational / statistical data sets. The data actually need not be labeled at all to be placed into a pandas data structure Homepage: http://pandas.sourceforge.net Section: python Priority: optional Filename: pool/main/p/pandas/python-pandas_0.8.0-2_all.deb Package: python-pandas-lib Source: pandas Version: 0.8.0-2 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 4756 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), python (<< 2.8), python (>= 2.6), python-numpy (>= 1:1.6.1), python-numpy-abi9, python-support (>= 0.90.0) Provides: python2.6-pandas-lib, python2.7-pandas-lib Homepage: http://pandas.sourceforge.net Priority: optional Section: python Filename: pool/main/p/pandas/python-pandas-lib_0.8.0-2_armhf.deb Size: 1920636 SHA256: 6366b7c37b6f318f684eb2473c0f58dfe1fbfb6e7c5f9b11e3ca41988bd824eb SHA1: e689e791688a846f04edb29449e0c3abcd4325b6 MD5sum: 92c2fa25ecc82ee207c1ea8d9b3a922c Description: low-level implementations and bindings for pandas This is an add-on package for python-pandas providing architecture-dependent extensions. Python-Version: 2.6, 2.7 Package: python-papyon Source: papyon Version: 0.5.6-1 Installed-Size: 1478 Maintainer: Devid Antonio Filoni Architecture: all Provides: python2.6-papyon, python2.7-papyon Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-gobject (>= 2.10), python-openssl (>= 0.6), python-gst0.10, python-farsight, python-crypto Size: 199428 SHA256: 2e8ae93c3cca638c940f2accd437d0632b7f406231130880a83c7be8d7b7c4fa SHA1: 96ddc7bbb26f43bf5bd9fb9bf13099e2a4f2a8dc MD5sum: 6610c6295392ed05de9b46ae92e9d561 Description: MSN client library written in Python papyon is an MSN client library, that tries to abstract the MSN protocol gory details. It is a fork of the unmaintained pymsn MSN library. papyon uses the GLib main event loop to process the network events in an asynchronous manner. Homepage: http://www.freedesktop.org/wiki/Software/papyon Tag: implemented-in::python, protocol::msn-messenger Section: python Priority: optional Filename: pool/main/p/papyon/python-papyon_0.5.6-1_all.deb Package: python-parallel Source: pyparallel Version: 0.2-7 Installed-Size: 136 Maintainer: Matthias Klose Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8) Size: 14440 SHA256: 5272078019edbcd2414324034f86bbc9f34f1d1773a6d41689b3195ba1c7f2f4 SHA1: c7c1ffb1b07bd98ca281ae3a442b7efdb6c1c1b1 MD5sum: 239196d63cc66fc9dd09187799bce3a2 Description: pyparallel - module encapsulating access for the parallel port This module capsulates the access for the parallel port. It provides backends for standard Python running on Windows, Linux, BSD (possibly any POSIX compilant system). The module named "parallel" automaticaly selects the appropriate backend. Python-Version: 2.6, 2.7 Tag: devel::lang:python, devel::library, implemented-in::python, role::app-data Section: python Priority: optional Filename: pool/main/p/pyparallel/python-parallel_0.2-7_all.deb Package: python-paramiko Source: paramiko Version: 1.7.7.1-3.1 Installed-Size: 7058 Maintainer: Jeremy T. Bouse Architecture: all Provides: python2.6-paramiko, python2.7-paramiko Depends: python (>= 2.6.6-7~), python (<< 2.8), python-crypto (>= 2.1.0-2) Size: 809030 SHA256: b3fd1b326bac48f1b31c63180d79e0476daf29cc0e454d6e4054b8caf56b19ee SHA1: 91456272bf03dbd8144856e4c60fca9f1129c4c1 MD5sum: 34fbeb61b91584ccd25b6028f2aae144 Description: Make ssh v2 connections with Python This is a library for making SSH2 connections (client or server). Emphasis is on using SSH2 as an alternative to SSL for making secure connections between Python scripts. All major ciphers and hash methods are supported. SFTP client and server mode are both supported too. Homepage: http://www.lag.net/paramiko/ Tag: devel::lang:python, implemented-in::python, protocol::sftp, protocol::ssh, role::shared-lib Section: python Priority: optional Filename: pool/main/p/paramiko/python-paramiko_1.7.7.1-3.1_all.deb Package: python-parsedatetime Source: parsedatetime Version: 0.8.7-3 Installed-Size: 2696 Maintainer: Debian Python Modules Team Architecture: all Depends: python, python-support (>= 0.90.0), base-files (>= 4.0.4) Recommends: python-pyicu Size: 209366 SHA256: 21a812480fa46129ec82efc3ac2acd3efb532a91dd5cbb92337b5abae1729990 SHA1: ba699b44f2aa605a26eed65419df46bc058eb1c3 MD5sum: 7af18443154a3d6ec2aa93d9fc7de7b1 Description: Python module to parse human-readable date/time expressions parsedatetime is able to parse, for example, the following: . * August 25th, 2008 * 25 Aug 2008 * Aug 25 5pm * 5pm August 25 * next saturday * tomorrow * next thursday at 4pm * at 4pm * eod * tomorrow eod * eod tuesday * eoy * eom * in 5 minutes * 5 minutes from now * 5 hours before now * 2 hours before noon * 2 days from tomorrow Homepage: http://code.google.com/p/parsedatetime Section: python Priority: optional Filename: pool/main/p/parsedatetime/python-parsedatetime_0.8.7-3_all.deb Package: python-parted Source: pyparted Version: 3.6-6 Architecture: armhf Maintainer: Parted Maintainer Team Installed-Size: 533 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libparted0debian1 (>= 2.2-1) Provides: python2.6-parted, python2.7-parted Homepage: http://fedorahosted.org/pyparted/ Priority: optional Section: python Filename: pool/main/p/pyparted/python-parted_3.6-6_armhf.deb Size: 212318 SHA256: 4efc832cd3a0ff340b015b5ff3655a1521e6ce9fe8b1a3559d66c70bfa1342ea SHA1: b5a5238dd113da389c71f659a29830d69c8f5aaf MD5sum: e9268d056c00f54721a83be9e3ef1a28 Description: Python interface for libparted pyparted is a set of Python modules that provide Python programmers an interface to libparted (http://www.gnu.org/software/parted), the GNU parted library for disk partitioning and file system manipulation. . This package contains Python extension itself. Package: python-parted-dbg Source: pyparted Version: 3.6-6 Architecture: armhf Maintainer: Parted Maintainer Team Installed-Size: 1379 Depends: python2.7-dbg | python2.6-dbg, python-dbg (>= 2.6.6-13~), python-dbg (<< 2.8), libc6 (>= 2.13-28), libparted0debian1 (>= 2.2-1), python-parted (= 3.6-6) Homepage: http://fedorahosted.org/pyparted/ Priority: extra Section: debug Filename: pool/main/p/pyparted/python-parted-dbg_3.6-6_armhf.deb Size: 478100 SHA256: 1d3934e0b2448439ac3b02e23529cf61a8a0b82edf67e1d220b1ca17f3751004 SHA1: f1e59d0e69be4f6e07554d453bcd0bf01e4d8996 MD5sum: f2ea3613f5ba3a17f1de103307b7424a Description: Python interface for libparted - Debugging symbols pyparted is a set of Python modules that provide Python programmers an interface to libparted (http://www.gnu.org/software/parted), the GNU parted library for disk partitioning and file system manipulation. . This package contains debugging symbols. Package: python-passfd Version: 0.2-1 Architecture: armhf Maintainer: Martín Ferrari Installed-Size: 79 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28) Provides: python2.6-passfd, python2.7-passfd Priority: optional Section: python Filename: pool/main/p/python-passfd/python-passfd_0.2-1_armhf.deb Size: 6990 SHA256: e8664fd1495d2d150102d4e3bcb8769277ba563a354af7311f360f5d222790de SHA1: d7abfceb3a887f14b01b439c81b326d84aecc74e MD5sum: a08c089d5f5e2aca519130415f1649f6 Description: Python functions to pass file descriptors across UNIX domain This simple extension provides two functions to pass and receive file descriptors across UNIX domain sockets, using the BSD-4.3+ sendmsg() and recvmsg() interfaces. . Direct bindings to sendmsg and recvmsg are not provided, as the API does not map nicely into Python. . Please note that this only supports BSD-4.3+ style file descriptor passing, and was only tested on Linux. Python-Version: 2.6, 2.7 Package: python-passlib Version: 1.5.3-2 Installed-Size: 797 Maintainer: Julien Danjou Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 155752 SHA256: c5b00fa91272cf6d070fe22d34c4dae507a77a902d47f1e7e0a78bed189c4eb3 SHA1: 00545b2d3b65ea340203c8699afd4d77fb3e61fd MD5sum: 4af08185686ab3a19549fbd50794bf90 Description: comprehensive password hashing framework Password hashing library for Python 2 & 3, which provides cross-platform implementations of over 20 password hashing algorithms, as well as a framework for managing existing password hashes. It's designed to be useful for a wide range of tasks; from verifying a hash found in /etc/shadow, to providing full-strength password hashing for multi-user applications. Homepage: http://code.google.com/p/passlib/ Section: python Priority: extra Filename: pool/main/p/python-passlib/python-passlib_1.5.3-2_all.deb Package: python-paste Source: paste Version: 1.7.5.1-4.1 Installed-Size: 2319 Maintainer: Piotr Ożarowski Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pkg-resources, python-formencode (>= 0.5.1-1) Recommends: python-ctypes, python-openid, python-openssl, python-scgi, libjs-mochikit Suggests: python-pastedeploy, python-pastescript, python-pastewebkit, libapache2-mod-wsgi, libapache2-mod-python (>= 3.2.8-3), libapache2-mod-scgi, python-pgsql (>= 2.5.1-1), libjs-sphinxdoc (>= 1.0) Breaks: python-pastescript (<< 1.7.3-7~1) Size: 403890 SHA256: c85ed1eeef0da0e802ba24c256498682fbc2bb2cef1a6114cf3ca8baa6b06a4f SHA1: 2b7d776fbadd0132a3fc1c382ed7db1909079d32 MD5sum: 7b9162ac7193ea33bcaef5a6a8596d6f Description: tools for using a Web Server Gateway Interface stack Python Paste brings consistency to Python web development and web application installation, providing tools for both developers and system administrators. . Paste for Administrators: * Easily install, run, and configure multiple Paste-enabled web applications at once, and integrate them into your website how you want (SCGI, FCGI, AJP, WSGI) * Manage and install web applications system-wide for easy maintenance . Paste for Web Developers: * Increase your web application's audience * Provide starter templates and custom commands for using your web framework * Keep your development and deployment straightened out, and your automated testing streamlined * Attention payed to the full development cycle -- starting projects, converting old projects, updating and deploying projects -- using a set of complementary packages and tools Homepage: http://pythonpaste.org/ Tag: devel::lang:python, devel::library, devel::web, implemented-in::python, role::devel-lib, role::shared-lib Section: python Priority: optional Filename: pool/main/p/paste/python-paste_1.7.5.1-4.1_all.deb Package: python-pastedeploy Source: pastedeploy Version: 1.5.0-3 Installed-Size: 220 Maintainer: Piotr Ożarowski Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-pkg-resources, python-paste Recommends: python-pastescript (>= 1.7.3-6~) Enhances: python-paste Size: 31630 SHA256: 69f88fe7d74e08884477d8d0e7509466e8eb1e44f4b977b4603ecda0fb2aac05 SHA1: d49196be42998a94e326f657c47e94f7bbda9da7 MD5sum: 1137277e333dffe8c5853a770f7391b3 Description: load, configure, and compose WSGI applications and servers Paste Deployment is a system for finding and configuring WSGI applications and servers. For WSGI application consumers it provides a single, simple function (loadapp) for loading a WSGI application from a configuration file or a Python Egg. For WSGI application providers it only asks for a single, simple entry point to your application, so that application users don't need to be exposed to the implementation details of your application. Homepage: http://pythonpaste.org/deploy/ Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, role::shared-lib Section: python Priority: optional Filename: pool/main/p/pastedeploy/python-pastedeploy_1.5.0-3_all.deb Package: python-pastescript Source: pastescript Version: 1.7.5-2 Installed-Size: 736 Maintainer: Piotr Ożarowski Architecture: all Depends: python2.6, python (>= 2.6.6-7~), python (<< 2.8), python2.7, python-paste (>= 1.7.5.1-2~), python-pastedeploy (>= 1.5.0-1~), python-setuptools | python-distribute Suggests: python-flup (>= 0.5-1), python-cherrypy, python-cheetah (>= 1.0-1.1), libjs-sphinxdoc (>= 1.0) Size: 132290 SHA256: f333b53375d95f0bc26116329499e3c353c7be0ac3ea5b1e2b13027ba9407407 SHA1: eef6c9bc2e0e059c6cf1b20a6b69717c55b00610 MD5sum: 3cabc82ec9e05d76dba567387023a10e Description: serving web applications, creating file layouts for Python packages Paster is pluggable command-line frontend, including commands to setup package file layouts . Built-in features: * Creating file layouts for packages. For instance a setuptools-ready file layout. * Serving up web applications, with configuration based on paste.deploy Homepage: http://pythonpaste.org/script/ Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, role::shared-lib Section: python Priority: optional Filename: pool/main/p/pastescript/python-pastescript_1.7.5-2_all.deb Package: python-pastewebkit Source: pastewebkit Version: 1.0-7 Installed-Size: 944 Maintainer: Piotr Ożarowski Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-pastedeploy, python-paste, python-pastescript, python-pkg-resources Size: 64102 SHA256: faa44ea47cba2d3969771118f82d1d9ae92db8d6a004f58b4e6eaaf0939b235d SHA1: 3f7016581548006d9c8e077d49b36df7fd6b0367 MD5sum: 8398bcfdf8f4fd8c0b338cbb6bedc338 Description: port/reimplementation of Webware WebKit in WSGI and Paste This is a reimplementation of the Webware WebKit servlet API. This implementation uses WSGI internally very heavily, and builds upon the framework-neutral tools and services in Paste Homepage: http://pythonpaste.org/webkit/ Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, role::shared-lib Section: python Priority: optional Filename: pool/main/p/pastewebkit/python-pastewebkit_1.0-7_all.deb Package: python-pbs Source: pbs Version: 0.95-1 Installed-Size: 75 Maintainer: Nick Moffitt Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 13762 SHA256: ce2fd58395190ade9e8cf08a0e99ef932ee0ad43e113ba786955506655a137a9 SHA1: 00d5e41f095096f3efebe485d5a7a5d51ab17d55 MD5sum: f589a5317c0fc6ac0e9332cbef90bc4d Description: Python 2 module for executing shell commands as functions PBS is a unique subprocess wrapper that maps your system programs to Python functions dynamically. PBS helps you write shell scripts in Python by giving you the good features of Bash (easy command calling, easy piping) with all the power and flexibility of Python. . This package contains the library for Python 2.x. Homepage: https://github.com/amoffat/pbs Section: python Priority: extra Filename: pool/main/p/pbs/python-pbs_0.95-1_all.deb Package: python-pcapy Source: pcapy Version: 0.10.8-1 Architecture: armhf Maintainer: Arnaud Fontaine Installed-Size: 148 Depends: python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcap0.8 (>= 0.9.8), libstdc++6 (>= 4.3.0) Recommends: python-impacket Suggests: doc-base Provides: python2.6-pcapy, python2.7-pcapy Homepage: http://corelabs.coresecurity.com/index.php?module=Wiki&action=view&type=tool&name=Pcapy Priority: optional Section: python Filename: pool/main/p/pcapy/python-pcapy_0.10.8-1_armhf.deb Size: 22036 SHA256: b60020deccd49d00d0bad11e4f8763e7db80b526a8b1fe1f2fc6f24f9829851d SHA1: 6f867eebc2a4cf7b3edcf7db31819ca186dd385f MD5sum: 633dfd90a2509b7880aca910c84f9a7a Description: Python interface to the libpcap packet capture library Pcapy is a Python extension module that interfaces with the libpcap packet capture library. . Pcapy enables Python scripts to capture packets on the network. Pcapy is highly effective when used in conjunction with a packet-handling package such as Impacket, which is a collection of Python classes for constructing and dissecting network packets. Package: python-pcs Version: 0.5+debian-1.1 Installed-Size: 616 Maintainer: Robert S. Edmonds Architecture: all Depends: python, python-central (>= 0.6.7), python-pypcap Size: 226894 SHA256: 1102791c456b1de55652657597b67aa484d76b6818551a225b12ee7ff37d5b2c SHA1: cb739a6dc931fd55d3fdb6aa91f351cf89a58f28 MD5sum: eb7afd9affae6ca8e690f2ef0c8a540f Description: Packet Construction Set for Python PCS is a set of Python modules and objects that make building network protocol code easier for the protocol developer. It provides functionality to encode and decode network packets in various formats as well as a set of classes for the most commonly used network protocols. libpcap can be used to read packets from dump files or network devices and to inject constructed packets into the network. Python-Version: all Section: net Priority: extra Filename: pool/main/p/python-pcs/python-pcs_0.5+debian-1.1_all.deb Package: python-pdfminer Source: pdfminer Version: 20110515+dfsg-1 Installed-Size: 604 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8) Suggests: pdfminer-data Breaks: pdfminer-data (<< 20110515+dfsg-1) Size: 121316 SHA256: bb45ed8d47b51c8c17e72118287e21924fefc5759a7bfae8cdee08d93b75e45a SHA1: 6a1d23f57e244997d70848bf017bdd2eb2e11a9d MD5sum: 44481ecf513d8ae246971a0172ad6b07 Description: PDF parser and analyser PDFMiner is a tool for extracting information from PDF documents, which focuses entirely on getting and analyzing text data. It allows one to obtain the exact location of text portions in a page, as well as other information such as fonts or lines. It includes a PDF converter that can transform PDF files into other text formats (such as HTML). It has an extensible PDF parser that can be used for other purposes than text analysis. . This package provides the Python module and the command-line tools: pdf2txt and dumppdf. Homepage: http://www.unixuser.org/~euske/python/pdfminer/ Tag: devel::lang:python, devel::library, implemented-in::python, interface::commandline, role::devel-lib, role::program, use::converting, works-with-format::pdf, works-with::text Section: python Priority: optional Filename: pool/main/p/pdfminer/python-pdfminer_20110515+dfsg-1_all.deb Package: python-pdfrw Source: pdfrw Version: 0+svn136-3 Installed-Size: 220 Maintainer: Chris Lamb Architecture: all Replaces: pdfrw Provides: pdfrw Depends: python, python-support (>= 0.90.0), python-reportlab Conflicts: pdfrw Size: 26244 SHA256: 883362d5bd4831b58cb5b33882faddd1fd9c9e18f3891ad6a0439c9806e7874d SHA1: bfa68c180bd7e3ccdfdf4a5411ac9a9316969c13 MD5sum: d43b20aa9c88fdd1b4a090428fa77640 Description: PDF file manipulation library pdfrw can read and write PDF files, and can also be used to read in PDFs which can then be used inside reportlab. . pdfrw tries to be agnostic about the contents of PDF files, and support them as containers, but to do useful work, something a little higher-level is required. It supports the following: . * PDF pages. pdfrw knows enough to find the pages in PDF files you read in, and to write a set of pages back out to a new PDF file. * Form XObjects. pdfrw can take any page or rectangle on a page, and convert it to a Form XObject, suitable for use inside another PDF file * reportlab objects. pdfrw can recursively create a set of reportlab objects from its internal object format. This allows, for example, Form XObjects to be used inside reportlab. Homepage: http://code.google.com/p/rst2pdf/ Section: python Priority: optional Filename: pool/main/p/pdfrw/python-pdfrw_0+svn136-3_all.deb Package: python-pdftools Version: 0.37-3 Installed-Size: 168 Maintainer: Debian Python Modules Team Architecture: all Depends: python, python-support (>= 0.90.0) Recommends: python-qt4 Size: 26434 SHA256: 3abd06735627829db53cc3592fcf3795d52c086010aae14f9f3f77f10667cc08 SHA1: 48ba3a4c7354b36a792f79524f68abe08b77cc91 MD5sum: 6aa5e03feec1693b8b37229905b38930 Description: PDF document reading classes pdftool is a set of classes aim for reading Portable Document Format (PDF) files and interpreting their contents Homepage: http://www.boddie.org.uk/david/Projects/Python/pdftools Python-Version: 2.5, 2.6 Section: python Priority: optional Filename: pool/main/p/python-pdftools/python-pdftools_0.37-3_all.deb Package: python-peak.rules Version: 0.5a1+r2707-1 Installed-Size: 258 Maintainer: Debian Python Modules Team Architecture: all Provides: python-prioritized-methods Depends: python, python-support (>= 0.90.0), python-peak.util, python-peak.util.decorators Size: 115676 SHA256: 5ab9b87bf06b3dc923f80d14e063ad318fb2c8a30f6cd0f30b8ea274cde5cadb SHA1: 4911752610a0de78d68bb6f2fe73f0f0d7d44406 MD5sum: 035f9ec2ff75d3df7150e86edb9f0a27 Description: generic functions support for Python PEAK-Rules is a highly-extensible framework for creating and using generic functions in Python. . PEAK-Rules supports out of the box: multiple-dispatch on positional arguments using tuples of types, full predicate dispatch using strings containing Python expressions, and CLOS-like method combining. Additionally, PEAK-Rules allows one to mix and match dispatch engines and custom method combinations. . This package also provides some third party contribution over PEAK-Rules, in particular: . * prioritized_methods - prioritize methods to resolve dispatch ambiguities Homepage: http://pypi.python.org/pypi/PEAK-Rules Section: python Priority: optional Filename: pool/main/p/python-peak.rules/python-peak.rules_0.5a1+r2707-1_all.deb Package: python-peak.util Version: 20110909-1 Installed-Size: 209 Maintainer: Debian Python Modules Team Architecture: all Depends: python, python-support (>= 0.90.0), python-peak.util.decorators Size: 69148 SHA256: 20fe91165b024892c9b125d7b0e6706d7461fa639e690cbe21d866e0b27630e4 SHA1: 79997da41d4bf80b30b970b37a65d19986e01d48 MD5sum: ab1eaebe396d9a556cac7f9ae6113544 Description: utilities from the Python Enterprise Application Kit The Python Enterprise Application Kit (PEAK) is a set of Python libraries to help develop large-scale Python applications. . PEAK includes libraries and frameworks to support: component integration, component configuration , document-driven testing, event-driven programming, storage management and persistence, domain modelling, and much more. . This package provides a subset of utilities related to PEAK, and commonly found as dependencies for PEAK-based components. In particular, this package provides: . * AddOns - dynamic mixins with private attribute and methods * BytecodeAssembler - code object generation assembling bytecode * Extremes - absolute max and min values (PEP 326 implementation) * SymbolType - symbol type, i.e., enumerations Section: python Priority: optional Filename: pool/main/p/python-peak.util/python-peak.util_20110909-1_all.deb Package: python-peak.util.decorators Source: decoratortools Version: 1.8-2 Installed-Size: 128 Maintainer: Debian Python Modules Team Architecture: all Replaces: python-decoratortools (<< 1.8-2) Depends: python (>= 2.5), python-support (>= 0.90.0) Breaks: python-decoratortools (<< 1.8-2) Size: 24370 SHA256: 9085cbce9e8b0c40b31b341ff00f80849116a80627aed1467a097664accd3de9 SHA1: a041f59e66a239d03d2a7baa1a61e4ce880510d2 MD5sum: 283d2f776f2bc032b268b2ed2bb7d052 Description: version-agnostic decorators support for Python DecoratorTools provides decorator facilities for Python 2.3 and above. It provides class and function decorators as well as support for decorating arbitrary assignments, synchronized methods, and more. . DecoratorTools is part of the PEAK (Python Enterprise Architecture Kit) framework and provides the peak.util.decorators module. Homepage: http://pypi.python.org/pypi/DecoratorTools/ Section: python Priority: optional Filename: pool/main/d/decoratortools/python-peak.util.decorators_1.8-2_all.deb Package: python-pebl Source: pebl Version: 1.0.2-2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 523 Depends: libc6 (>= 2.13-28), python (<< 2.8), python (>= 2.6), python-numpy, python-support (>= 0.90.0), python2.6-numpy, python2.7, python2.7-numpy Recommends: python-pydot, python-boto Suggests: python-pebl-dbg, python-pebl-doc Provides: python2.6-pebl, python2.7-pebl Homepage: https://code.google.com/p/pebl-project/ Priority: optional Section: python Filename: pool/main/p/pebl/python-pebl_1.0.2-2_armhf.deb Size: 117308 SHA256: 389ec596cc92dab623d081c45f964bf363f62e41b96fc6883fe4f4dd3d1626b7 SHA1: 979d39dc1440e262054c6a8c6253af82f516d4bf MD5sum: 027c8eca2a8456f5043b4d36f81c1da1 Description: Python Environment for Bayesian Learning Pebl is a Python library and command line application for learning the structure of a Bayesian network given prior knowledge and observations. Pebl includes the following features: * Can learn with observational and interventional data * Handles missing values and hidden variables using exact and heuristic methods * Provides several learning algorithms; makes creating new ones simple * Has facilities for transparent parallel execution using several cluster/grid resources * Calculates edge marginals and consensus networks * Presents results in a variety of formats Package: python-pebl-dbg Source: pebl Version: 1.0.2-2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 135 Depends: python-pebl (= 1.0.2-2) Homepage: https://code.google.com/p/pebl-project/ Priority: extra Section: debug Filename: pool/main/p/pebl/python-pebl-dbg_1.0.2-2_armhf.deb Size: 34348 SHA256: 13b187b6747eecbcbd9a03138dd1750fc3abe4caab63d7fd0521fb56aafd0646 SHA1: b375f3d2e9d1b6e946e5948de6e4e6a8c2837924 MD5sum: e789062c58bbe71953aff2e84fb7fb9e Description: Python Environment for Bayesian Learning - debug Pebl is a Python library and command line application for learning the structure of a Bayesian network given prior knowledge and observations. . This package contains the debugging symbols. Package: python-pebl-doc Source: pebl Version: 1.0.2-2 Installed-Size: 3856 Maintainer: Debian Python Modules Team Architecture: all Recommends: python-pebl Size: 2824012 SHA256: 7bdd40421615d600f2f7c9621eca92a67dc228ec6498882ee68fd74354ecabf6 SHA1: f695cd1bb6a000c573828368759855dce585b43a MD5sum: 0bacbc75742ab72bce7c3fecfc94a240 Description: Python Environment for Bayesian Learning - documentation Pebl is a Python library and command line application for learning the structure of a Bayesian network given prior knowledge and observations. . This package contains the documentation. Homepage: https://code.google.com/p/pebl-project/ Tag: role::documentation Section: doc Priority: optional Filename: pool/main/p/pebl/python-pebl-doc_1.0.2-2_all.deb Package: python-pefile Version: 1.2.9.1-1 Installed-Size: 240 Maintainer: Robert S. Edmonds Architecture: all Depends: python, python-central (>= 0.6) Size: 41512 SHA256: 1be111eb2306959610f21fba5ea1bcf342e1633a3470e0d056d34a96c82d5be7 SHA1: 33c0c705c26ec845dbc80dc6cd386a1cc5b9e873 MD5sum: 9d276640022bc641e2de0c7e99c85cd5 Description: Portable Executable (PE) parsing module for Python pefile is a Python module to read and work with Portable Executable (PE) files. Most of the information in the PE header is accessible, as well as all the sections, section information and data. . All the basic PE file structures are available with their default names as attributes of the returned instance. . Processed elements such as the import table are made available with lowercase names, to differentiate them from the upper case basic structure names. . pefile has been tested against the limits of valid PE headers; that is, Windows malware. Lots of packed malware attempt to abuse the format beyond its standard use. . Some of the tasks that pefile makes possible are: * Modifying and writing back to the PE image * Header inspection * Section analysis * Retrieving data * Warnings for suspicious and malformed values * Packer detection with PEiD signatures * PEiD signature generation Python-Version: all Section: python Priority: extra Filename: pool/main/p/python-pefile/python-pefile_1.2.9.1-1_all.deb Package: python-pesto Source: pesto Version: 25-1 Installed-Size: 304 Maintainer: Marcelo Jorge Vieira (metal) Architecture: all Provides: python2.6-pesto, python2.7-pesto Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python-repoze.lru Suggests: apache2 | httpd Size: 63754 SHA256: 01478bf9acba70ef8ca248c825ea55e78b4e5b4f588e1355a1069be2a48eaf07 SHA1: 03248a6f4d4979e61345c937eb293f7976862d87 MD5sum: f9ed2acc4357988457212135aebb7db7 Description: Library for Python web applications Its aim is to make writing WSGI web applications easy and fun. Pesto doesn't constrain you -- how you integrate with databases, what templating system you use or how you prefer to lay out your source files is up to you. . Pesto makes it easy to: . - Map any URI to any part of your application. - Produce unicode aware, standards compliant WSGI applications. - Interrogate WSGI request information -- form variables and HTTP request headers. - Create and manipulate HTTP headers, redirects, cookies etc. - Integrate with any other WSGI application or middleware, giving you access to a vast and growing resource. Homepage: http://pesto.redgecko.org/ Python-Version: 2.6, 2.7 Section: python Priority: optional Filename: pool/main/p/pesto/python-pesto_25-1_all.deb Package: python-pexpect Source: pexpect Version: 2.4-1 Installed-Size: 303 Maintainer: Ganesan Rajagopal Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 123146 SHA256: 588498904e400e8e88131a27e99d845b4e7b565016aed345fe0195d320ad00ef SHA1: eae7b01fcbcc503078166143186c3e5ad07c6088 MD5sum: 39c8c3f80478f689e22dd703f359eb79 Description: Python module for automating interactive applications Pexpect is a pure Python module for spawning child applications; controlling them; and responding to expected patterns in their output. Pexpect works like Don Libes' Expect. Pexpect allows your script to spawn a child application and control it as if a human were typing commands. Homepage: http://www.noah.org/wiki/Pexpect Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, role::shared-lib, works-with::software:running Section: python Priority: optional Filename: pool/main/p/pexpect/python-pexpect_2.4-1_all.deb Package: python-pgm Source: pigment-python Version: 0.3.12-2 Architecture: armhf Maintainer: Maintainers of GStreamer packages Installed-Size: 555 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgstreamer-plugins-base0.10-0 (>= 0.10.0), libgstreamer0.10-0 (>= 0.10.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpigment0.3-11, libxml2 (>= 2.6.27), python (<< 2.8), python (>= 2.7), python-central (>= 0.6.11), python-gst0.10, python-imaging, python-gobject, python-gtk2, python-cairo, python-twisted-core Conflicts: python-pigment Replaces: python-pigment Provides: python2.7-pgm Homepage: https://code.fluendo.com/pigment/trac Priority: optional Section: python Filename: pool/main/p/pigment-python/python-pgm_0.3.12-2_armhf.deb Size: 182110 SHA256: d472dd1788d4b1c8925a7cd2817f98d21e3b8407d22925018b369283d0b88394 SHA1: 47a678f0ce2acdcc0b6b0747599bc172964322ec MD5sum: 55ef8bd4a1ec9657101dc2788ac4fbf2 Description: User interfaces with embedded multimedia - Python bindings Pigment allows building of user interfaces with embedded multimedia components. It is designed with portability in mind and its plugin system will select a particular underlying graphical API on each platform. . This package contains the Python bindings. Python-Version: 2.7 Package: python-pgmagick Version: 0.5.1-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 5597 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libboost-python1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgraphicsmagick++3, libstdc++6 (>= 4.6) Provides: python2.6-pgmagick, python2.7-pgmagick Homepage: http://bitbucket.org/hhatto/pgmagick Priority: extra Section: python Filename: pool/main/p/python-pgmagick/python-pgmagick_0.5.1-1_armhf.deb Size: 1123030 SHA256: c055cd41dbf73446c9523b704a05dcfef2ee43c1eb8356cc63e5332fb4a7a873 SHA1: d191065bcedfca82178df50661abfec366191ef4 MD5sum: 491aa2ec7f6df895542979e1bcf4740f Description: Yet Another Python wrapper for GraphicsMagick pgmagick is a boost.python based Python wrapper for GraphicsMagick. Package: python-phoneutils Version: 0.1+git20100219-1 Architecture: armhf Maintainer: Debian freesmartphone.org Team Installed-Size: 110 Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libphone-utils0 (>= 0.1+git20100219), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Homepage: http://www.shr-project.org Priority: extra Section: python Filename: pool/main/p/python-phoneutils/python-phoneutils_0.1+git20100219-1_armhf.deb Size: 13094 SHA256: d4a8cb7677315d985caf77c80ed2b5d297ae726cc6b1a9748e0eda9fc62cccbf SHA1: 3375eaee17f4038b19f28ca9e616655aef5e006c MD5sum: 9b60c241b382fcd597c0efb447509e41 Description: python bindings for libphone-utils This package contains the Python bindings for libphone-utils, which is a library for phonenumber handling. It is currently used by the SHR project for finding the appropriate contact for the number one is called from. Package: python-pika Version: 0.9.5-1 Installed-Size: 536 Maintainer: Jan Dittberner Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8) Size: 58178 SHA256: 5e5de77ca666fea9f988fbee60fdb887b503fe0b2ae6b09873170d267fa11af1 SHA1: c94e77be88eef72d9dc70dec820895e5c59f0196 MD5sum: 79da707ea43a3218ed40d0f945f14c42 Description: AMQP client library Pika is a pure-Python implementation of the AMQP-0-9-1 protocol that tries to stay fairly independent of the underlying network support library. Pika was developed primarily for use with RabitMQ, but also works with other AMQP-0-9-1 brokers. Homepage: http://pika.github.com/ Section: python Priority: optional Filename: pool/main/p/python-pika/python-pika_0.9.5-1_all.deb Package: python-pip Version: 1.1-3 Installed-Size: 457 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pkg-resources, python-setuptools (>= 0.6c1) Recommends: python-dev-all (>= 2.6), build-essential Size: 111846 SHA256: ffd03a25f0c1f457e810a930d83b0cab07e8c70b0f3ce63747544cc6fc39a61f SHA1: c33418777cbb82320a53f63083ecea1da4f8f559 MD5sum: b9f16d9d57fbfb42a96d3b2ece11acae Description: alternative Python package installer pip is a replacement for easy_install, and is intended to be an improved Python package installer. It integrates with virtualenv, doesn't do partial installs, can save package state for replaying, can install from non-egg sources, and can install from version control repositories. Homepage: http://pip.openplans.org/ Tag: admin::package-management, devel::lang:python, devel::packaging, implemented-in::python, role::program Section: python Priority: optional Filename: pool/main/p/python-pip/python-pip_1.1-3_all.deb Package: python-pipeline Version: 0.1.3-3 Installed-Size: 47 Maintainer: Debian QA Group Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0) Size: 7150 SHA256: e7e613dd3a02cb3521a7f974e7517301814f7a376f7bb35f17b9bfa7be0efee4 SHA1: 50d9a904fc25f90e0fc3a2a0d62941717f99578e MD5sum: 61a1c7ffec89141d7c55b2a03b08e518 Description: iterator pipelines for Python python-pipeline provides an easy way to construct pipelines of iterators, with a syntax resembling Unix shell. It supplies counterparts for some common command-line utilities: cat, cut, echo, grep, head, nl, sort, split, tail, uniq, wc, yes. Homepage: http://python-pipeline.googlecode.com/ Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib Section: python Priority: optional Filename: pool/main/p/python-pipeline/python-pipeline_0.1.3-3_all.deb Package: python-pisa Source: pisa Version: 3.0.32-1 Installed-Size: 900 Maintainer: Debian Python Modules Team Architecture: all Provides: python2.5-pisa, python2.6-pisa Depends: python, python-support (>= 0.90.0), python-html5lib, python-imaging, python-pypdf, python-reportlab Size: 240452 SHA256: f3eae2ae8065d02579a71daffb767ffec099135fb3895f6fe6241830ff912ad0 SHA1: 6cd6953e683f639a63c84c959a398f3efa5c39d6 MD5sum: 731b03cc147cb2711c4ea532b522651d Description: PDF generator using HTML and CSS (Python module) pisa is an html2pdf converter using the ReportLab Toolkit, HTML5lib and pyPdf. . It supports HTML 5 and CSS 2.1 (and some of CSS 3). It is completely written in pure Python so it is platform independent. The main benefit of this tool that a user with Web skills like HTML and CSS is able to generate PDF templates very quickly without learning new technologies. Easy integration into Python frameworks like CherryPy, KID Templating, TurboGears, Django, Zope, Plone, Google AppEngine (GAE) etc. Homepage: http://www.xhtml2pdf.com/ Python-Version: 2.5, 2.6 Section: python Priority: extra Filename: pool/main/p/pisa/python-pisa_3.0.32-1_all.deb Package: python-pisock Source: pilot-link Version: 0.12.5-5 Architecture: armhf Maintainer: Ludovic Rousseau Installed-Size: 529 Depends: libc6 (>= 2.13-28), libpisock9, python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Suggests: python-pisock-dbg Conflicts: pilot-link-python Replaces: pilot-link (<< 0.9.3-1), pilot-link-python Provides: python2.6-pisock, python2.7-pisock Homepage: http://www.pilot-link.org/ Priority: extra Section: python Filename: pool/main/p/pilot-link/python-pisock_0.12.5-5_armhf.deb Size: 249068 SHA256: a820a9f3cd2e53fe8633859adc9728a55207183699bdd878c81c7d47a2def757 SHA1: c7b7cb04c753452593d3ce1696221ae4d7d23245 MD5sum: 266bba9b3c09c841c6930f2bfc3b2f46 Description: Python module to communicate with PalmOS PDA This package provides the pisock module, which provides Python programs with means of communicating directly with a PalmOS device. Python-Version: 2.6, 2.7 Package: python-pisock-dbg Source: pilot-link Version: 0.12.5-5 Architecture: armhf Maintainer: Ludovic Rousseau Installed-Size: 980 Depends: python-pisock (= 0.12.5-5), python-dbg, libc6 (>= 2.13-28), libpisock9 Homepage: http://www.pilot-link.org/ Priority: extra Section: debug Filename: pool/main/p/pilot-link/python-pisock-dbg_0.12.5-5_armhf.deb Size: 370318 SHA256: 50e73d7ea51f75701851a18bcb206ec78ef720af84bd977a2ca13c6527d6f3a3 SHA1: 702f32c1124abde044953fd406db9c9914a931be MD5sum: 50ef66dd4bc249948db625cfb027b58e Description: Python module to communicate with PalmOS PDA (debug extension) This package provides the pisock module built for the Python debug interpreter, which provides Python programs with means of communicating directly with a PalmOS device. Package: python-pivy Source: pivy Version: 0.5.0~v609hg-1 Architecture: armhf Maintainer: Teemu Ikonen Installed-Size: 17430 Depends: libc6 (>= 2.13-28), libcoin60, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libice6 (>= 1:1.0.0), libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libsm6, libsoqt4-20, libstdc++6 (>= 4.3.0), libx11-6, libxext6, libxi6, libxmu6, python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python-qt4-gl Provides: python2.6-pivy, python2.7-pivy Homepage: http://pivy.coin3d.org/ Priority: extra Section: python Filename: pool/main/p/pivy/python-pivy_0.5.0~v609hg-1_armhf.deb Size: 3472278 SHA256: 1ccd74fbd3631d89bfa060c3e7b338c7d9c4b5a4e726f65a44ed4f1f5aff2429 SHA1: b4b9fc5988b29dfa1cba762c0338db32ea3ea30a MD5sum: ffb23e3368606e1b20048e2c98a35e47 Description: Coin binding for Python Pivy is a Coin binding for Python. Coin is a high-level 3D graphics library with a C++ API. Coin uses scene-graph data structures to render real-time graphics suitable for mostly all kinds of scientific and engineering visualization applications. Pivy allows: . * Development of Coin applications and extensions in Python * Interactive modification of Coin programs from within the Python interpreter at runtime * Incorporation of Scripting Nodes into the scene graph which are capable of executing Python code and callbacks * Use of Coin within PyQt4 applications with the quarter module Package: python-pkg-resources Source: distribute Version: 0.6.24-1 Installed-Size: 183 Maintainer: Matthias Klose Architecture: all Replaces: python2.3-setuptools, python2.4-setuptools Provides: python2.6-setuptools, python2.7-setuptools Depends: python (>= 2.6), python (<< 2.8) Suggests: python-distribute, python-distribute-doc Conflicts: python-setuptools (<< 0.6c8-3), python2.3-setuptools (<< 0.6b2), python2.4-setuptools (<< 0.6b2) Size: 63608 SHA256: 0646a6c18140a6ca3fcb93a662538ad0f7cbe67ff90a0a95ab0a526b5287fd33 SHA1: c862cbfddca9c9bfa841e04daa353e2eb2cf1e74 MD5sum: 4366a4ab57380a57b9846ff67b3af403 Description: Package Discovery and Resource Access using pkg_resources The pkg_resources module provides an API for Python libraries to access their resource files, and for extensible applications and frameworks to automatically discover plugins. It also provides runtime support for using C extensions that are inside zipfile-format eggs, support for merging packages that have separately-distributed modules or subpackages, and APIs for managing Python's current "working set" of active packages. Homepage: http://packages.python.org/distribute Python-Version: 2.6, 2.7 Tag: devel::lang:python, devel::library, devel::runtime, implemented-in::python, role::devel-lib, role::shared-lib Section: python Priority: optional Filename: pool/main/d/distribute/python-pkg-resources_0.6.24-1_all.deb Package: python-plastex Source: plastex Version: 0.9.2-1 Installed-Size: 3736 Maintainer: Carl Fürstenberg Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0), texlive-latex-base, dvipng, python-imaging Recommends: python-kid, python-genshi, python-cheetah Size: 558918 SHA256: 08ae203a3a9dc16214cf7ebee16499af61477b9133abe3355df45ea3baa469b7 SHA1: 5106023f31e0a33ecd0f82d84aed58e4790e9dd0 MD5sum: fe5f76f47a76b91b8802f2bdae0ff315 Description: LaTeX document processing framework in Python plasTeX is a collection of Python frameworks that allow you to process LaTeX documents. This processing includes, but is not limited to, conversion of LaTeX documents to various document formats. Of course, it is capable of converting to HTML or XML formats such as DocBook and tBook, but it is an open framework that allows you to drive any type of rendering. This means that it could be used to drive a COM object that creates a MS Word Document. . The plasTeX framework allows you to control all of the processes including tokenizing, object creation, and rendering through API calls. You also have access to all of the internals such as counters, the states of "if" commands, locally and globally defined macros, labels and references, etc. In essence, it is a LaTeX document processor that gives you the advantages of an XML document in the context of a language as superb as Python. Homepage: http://plastex.sourceforge.net/ Section: tex Priority: optional Filename: pool/main/p/plastex/python-plastex_0.9.2-1_all.deb Package: python-plastex-doc Source: plastex Version: 0.9.2-1 Installed-Size: 1252 Maintainer: Carl Fürstenberg Architecture: all Suggests: doc-base Size: 289028 SHA256: e87ca43bb36fed1745a6a1117cfc5b4e6e1f9efaf8236165e997b384b0c78bdd SHA1: ff50296ad5e949d9f179c76f66215ddcb9ba8fea MD5sum: b215ee7f0e9914444c345598f53bc134 Description: LaTeX document processing framework in Python - documentation files plasTeX is a collection of Python frameworks that allow you to process LaTeX documents. This processing includes, but is not limited to, conversion of LaTeX documents to various document formats. Of course, it is capable of converting to HTML or XML formats such as DocBook and tBook, but it is an open framework that allows you to drive any type of rendering. This means that it could be used to drive a COM object that creates a MS Word Document. . The plasTeX framework allows you to control all of the processes including tokenizing, object creation, and rendering through API calls. You also have access to all of the internals such as counters, the states of "if" commands, locally and globally defined macros, labels and references, etc. In essence, it is a LaTeX document processor that gives you the advantages of an XML document in the context of a language as superb as Python. . This packages provides the documentation files for python-plastex. Homepage: http://plastex.sourceforge.net/ Tag: devel::doc, devel::lang:python, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/p/plastex/python-plastex-doc_0.9.2-1_all.deb Package: python-playerc Source: player Version: 3.0.2+dfsg-4 Architecture: armhf Maintainer: Michael Janssen Installed-Size: 2558 Depends: python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), libc6 (>= 2.13-28), libgeos-c1 (>= 3.3.1), libjpeg8 (>= 8c), libplayerc3.0, libplayercommon3.0, libplayerinterface3.0, libplayerjpeg3.0, libplayerwkb3.0, libpython2.7 (>= 2.7), zlib1g (>= 1:1.1.4) Provides: python2.7-playerc Homepage: http://playerstage.sourceforge.net/ Priority: extra Section: python Filename: pool/main/p/player/python-playerc_3.0.2+dfsg-4_armhf.deb Size: 461768 SHA256: b1b784df47aa0ab8e0d159ca5bd014bb6225b80d42b5c50448fd907fed6954af SHA1: ab7a02f1261f68592ad6b377ee8da0ed4d3ff9d5 MD5sum: c2fbc87de815766151b77a1150851221 Description: Networked server for robots and sensors - Python wrapper Provides a network interface to a variety of robot and sensor hardware. Player's client/server model allows robot control programs to be written in any programming language and to run on any computer with a network connection to the robot. Player supports multiple concurrent client connections to devices, creating new possibilities for distributed and collaborative sensing and control. . This package contains the Python wrapper module. Python-Version: 2.7 Package: python-plist Source: libplist Version: 1.8-1+deb7u3 Architecture: armhf Maintainer: gtkpod Maintainers Installed-Size: 363 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libplist++1, libplist1 (>= 0.13), libpython2.7 (>= 2.7), libstdc++6 (>= 4.4.0), libxml2 (>= 2.6.27), python (>= 2.7), python (<< 2.8) Homepage: http://www.libimobiledevice.org/ Priority: optional Section: python Filename: pool/main/libp/libplist/python-plist_1.8-1+deb7u3_armhf.deb Size: 86776 SHA256: 63b08578d057305feb05881acb8b1d9c99b645de9db07718ee00ba3b64e09301 SHA1: 21db0a3634be1da08c4bca0e21795637e6d8a92b MD5sum: 536b2f6b65e323c4128a1a292758def4 Description: Library for handling Apple binary and XML property lists libplist is a library for reading and writing the Apple binary and XML property lists format. It's part of the libimobiledevice stack, providing access to iDevices (iPod, iPhone, iPad ...). . This package contains the Python bindings Package: python-plplot Source: plplot Version: 5.9.9-5 Architecture: armhf Maintainer: Andrew Ross Installed-Size: 1309 Depends: libplplot11 (= 5.9.9-5), libc6 (>= 2.13-28), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), plplot-tcl (>= 5.9.9), python (<< 2.8), python (>= 2.6.6-7~), python-numpy (>= 1:1.6.1), python-numpy-abi9, python2.7 | python2.6 Provides: python2.6-plplot, python2.7-plplot Homepage: http://www.plplot.org Priority: optional Section: python Filename: pool/main/p/plplot/python-plplot_5.9.9-5_armhf.deb Size: 310984 SHA256: 6bac118581d15a36e182dd74caa4cca5ad248ca306637e4338a2f3db5bb77f7d SHA1: afdff35ea3b26b52678c65a6a8606cd40b91d228 MD5sum: 17812d9f0d889d35b981b9418576854e Description: Python support for PLplot, a plotting library PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package contains the library plmodule.so for gluing the PLplot scientific plotting library into Python applications. Examples are also provided. Package: python-plplot-qt Source: plplot Version: 5.9.9-5 Architecture: armhf Maintainer: Andrew Ross Installed-Size: 254 Depends: libplplot11 (= 5.9.9-5), python-plplot (= 5.9.9-5), plplot11-driver-qt (= 5.9.9-5), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), python (<< 2.8), python (>= 2.6), python-numpy (>= 1:1.6.1), python-numpy-abi9, python2.7 | python2.6 Provides: python2.6-plplot-qt, python2.7-plplot-qt Homepage: http://www.plplot.org Priority: optional Section: python Filename: pool/main/p/plplot/python-plplot-qt_5.9.9-5_armhf.deb Size: 52122 SHA256: 588ea38bc2c044d48cb88ff018c37235719dda37118e50cd907189275bc2b274 SHA1: c2eb874231116cc6afb0b3350aa732b6621fa86d MD5sum: cd0f8ed520062ab63bce4a8647368ea7 Description: Scientific plotting library (python qt GUI) PLplot is relatively small, portable, freely distributable, and is rich enough to satisfy most users. It has a wide range of plot types including line (linear, log), contour, 3D, fill, and almost 1000 characters (including Greek and mathematical) in its extended font set. The package is designed to make it easy to quickly get graphical output; only a handful of function calls is typically required. For more advanced use, virtually all aspects of plotting are configurable. . This package provides Python bindings to allow use of the PLplot API in qt applications. Package: python-plwm Version: 2.6a+20080530-1.1 Installed-Size: 772 Maintainer: Mike O'Connor Architecture: all Depends: python (>= 2.2), python-support (>= 0.90.0), python-xlib Size: 282128 SHA256: af812c041853ad96ad33c60cbd55fd045ce337388f75ba2f5ce5ba1b46b56548 SHA1: ac44845b2964fe2c1cd8e55f041032859d5ddc7f MD5sum: 8d7b5d92419262f889dd4067c3ad9564 Description: Pointless Window Manager - Python libraries for creating Window Managers PLWM is a Python package, containing classes suitable for implementing a window manager. PLWM is also a window manager, using the PLWM package. Python-Version: 2.5, 2.6 Section: devel Priority: optional Filename: pool/main/p/python-plwm/python-plwm_2.6a+20080530-1.1_all.deb Package: python-ply Source: ply Version: 3.4-3 Installed-Size: 314 Maintainer: Arnaud Fontaine Architecture: all Provides: python-ply-lex-3.2, python-ply-yacc-3.2, python2.6-ply, python2.7-ply Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python-ply-doc, python-pkg-resources Size: 71612 SHA256: 8f2c86408d454f384576ba7b922bfd2f096e08b9fc26a3c8588d2ac54c9a764d SHA1: 1599be1ed85dc95c520f49bb9e87fa6e10eb7ac1 MD5sum: 2c74f3830a271c3ee3fde6ae5bf9cfb1 Description: Lex and Yacc implementation for Python2 PLY is yet another implementation of lex and yacc for Python. Although several other parsing tools are available for Python, there are several reasons why you might want to take a look at PLY: * It's implemented entirely in Python. * It uses LR-parsing which is reasonably efficient and well suited for larger grammars. * PLY provides most of the standard lex/yacc features including support for empty productions, precedence rules, error recovery, and support for ambiguous grammars. * PLY is extremely easy to use and provides very extensive error checking. Homepage: http://www.dabeaz.com/ply/ Section: python Priority: optional Filename: pool/main/p/ply/python-ply_3.4-3_all.deb Package: python-ply-doc Source: ply Version: 3.4-3 Installed-Size: 341 Maintainer: Arnaud Fontaine Architecture: all Size: 93758 SHA256: b33646c18d2181567e405e78400230aecee2faefce07dbcf51968b90b3e25ab5 SHA1: b2b32933de6e391a8de4cfc6de0ce64c03afff28 MD5sum: 254e75d0c36beae77c9d174370832330 Description: Lex and Yacc implementation for Python (documentation) PLY is yet another implementation of lex and yacc for Python. . This package contains the documentation for Ply. Homepage: http://www.dabeaz.com/ply/ Tag: devel::doc, devel::examples, devel::lang:python, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/p/ply/python-ply-doc_3.4-3_all.deb Package: python-pmw Version: 1.3.2-6 Installed-Size: 852 Maintainer: Carlo Segre Architecture: all Depends: python-tk (>= 2.4.3), python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8) Recommends: blt Suggests: python-pmw-doc Size: 119986 SHA256: 5707ea491d5fb4b7684de151f7db70042ef5a620e9d529c43600c90f85317452 SHA1: 6db936c702e9136d45f05e60eb898a4c19bcedc2 MD5sum: 1fc9eab1c073d5f2a356b69844de4082 Description: Pmw -- Python MegaWidgets Pmw is a framework for building high-level compound widgets in Python using the Tkinter interface to the Tk graphics library. It consists of a set of base classes and a library of flexible and extensible megawidgets built on these base classes. . This package provides the pmw modules Tag: devel::lang:python, devel::library, implemented-in::python, role::shared-lib, uitoolkit::tk Section: python Priority: optional Filename: pool/main/p/python-pmw/python-pmw_1.3.2-6_all.deb Package: python-pmw-doc Source: python-pmw Version: 1.3.2-6 Installed-Size: 1284 Maintainer: Carlo Segre Architecture: all Size: 304754 SHA256: e7bb522cc840564faaebffa9896f5931fe19be7b409947a0338209c34182db0c SHA1: 7e051eed5c5da2f8745d969d8afa6be4543082f5 MD5sum: db09300d384ebbc2db5b51d01954d10f Description: Pmw -- Python MegaWidgets Pmw is a framework for building high-level compound widgets in Python using the Tkinter interface to the Tk graphics library. It consists of a set of base classes and a library of flexible and extensible megawidgets built on these base classes. . This package provides the pmw documentation Tag: devel::doc, devel::lang:python, role::documentation, uitoolkit::tk Section: doc Priority: extra Filename: pool/main/p/python-pmw/python-pmw-doc_1.3.2-6_all.deb Package: python-polib Source: polib Version: 1.0.0-2 Installed-Size: 113 Maintainer: Angel Abad Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python-polib-doc Size: 20356 SHA256: e55ca182c10e9c9ca76d7da264e7a04c75854f3f05bd7a4a8e5e06db326d55b8 SHA1: 9d1880b631172c5a6c02698cd518cff3af77c724 MD5sum: 293d561bbcbf3df2a0481fa4a06213cc Description: Python library to parse and manage gettext catalogs polib allows you to manipulate, create, modify gettext files (pot, po and mo files). You can load existing files, iterate through it's entries, add, modify entries, comments or metadata, etc... or create new po files from scratch. Homepage: http://bitbucket.org/izi/polib/src/ Tag: devel::i18n, devel::lang:python, devel::library, implemented-in::python, role::devel-lib, works-with-format::po Section: python Priority: optional Filename: pool/main/p/polib/python-polib_1.0.0-2_all.deb Package: python-polib-doc Source: polib Version: 1.0.0-2 Installed-Size: 413 Maintainer: Angel Abad Architecture: all Depends: libjs-sphinxdoc (>= 1.0) Size: 57228 SHA256: eb0e7285935a651dbb98c50abae488facfb6f6e8554c33e3c147da9b854b6b96 SHA1: 1539c4992aa504a7251ad5dc90e804c58c6bb2c6 MD5sum: 9b9e7b09f9974cdc0b094669907d90dd Description: Python library to parse and manage gettext catalogs (documentation) polib allows you to manipulate, create, modify gettext files (pot, po and mo files). You can load existing files, iterate through it's entries, add, modify entries, comments or metadata, etc... or create new po files from scratch. . This package provides documentation. Homepage: http://bitbucket.org/izi/polib/src/ Section: doc Priority: optional Filename: pool/main/p/polib/python-polib-doc_1.0.0-2_all.deb Package: python-polybori Source: polybori Version: 0.5~rc1-2.2 Architecture: armhf Maintainer: Tim Abbott Installed-Size: 10633 Depends: libboost-python1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), ipython, libpolybori-dev (= 0.5~rc1-2.2), python (<< 2.8), python (>= 2.6), python-central (>= 0.6.11) Provides: polybori Homepage: http://polybori.sourceforge.net/ Priority: optional Section: python Filename: pool/main/p/polybori/python-polybori_0.5~rc1-2.2_armhf.deb Size: 3311778 SHA256: 618d26fc5316a53f7a7ef0f708913dc8b18bd1effd0128e912a7865e7fe4cc6c SHA1: 95a611822614c932b365d921fa0b73da964af4e0 MD5sum: c66b3009a5e338c19f3b08c3e34b8cf5 Description: Polynomials over Boolean Rings, Python module The core of PolyBoRi is a C++ library, which provides high-level data types for Boolean polynomials and monomials, exponent vectors, as well as for the underlying polynomial rings and subsets of the powerset of the Boolean variables. As a unique approach, binary decision diagrams are used as internal storage type for polynomial structures. On top of this C++-library we provide a Python interface. This allows parsing of complex polynomial systems, as well as sophisticated and extendable strategies for Groebner base computation. PolyBoRi features a powerful reference implementation for Groebner basis computation. . This package contains the PolyBoRi Python module and ipbori program. Package: python-popcon Version: 1.1 Installed-Size: 64 Maintainer: Bastian Venthur Architecture: all Depends: python, python-support (>= 0.90.0), python-xdg Size: 4618 SHA256: 081097e75fcf5ade962cf0ef4ebe6a74c43a9b03f0e5737f19264439a326120b SHA1: 6cfa2dd7dcfb1cfc02c5cbe305bc2954f296e5cb MD5sum: fa92d887c6d6307c2db93b6712e5950d Description: Python interface to Debian's Popularity Contest (popcon) This package provides the popcon module, which allows to query Debian's Popularity Contest data. Section: python Priority: extra Filename: pool/main/p/python-popcon/python-popcon_1.1_all.deb Package: python-poppler Source: python-poppler (0.12.1-8) Version: 0.12.1-8+b1 Architecture: armhf Maintainer: Andrea Gasparini Installed-Size: 181 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpoppler-glib8 (>= 0.18), python2.7 | python2.6, python (>= 2.6), python (<< 2.8), python-gtk2 (>= 2.10.0), python-gobject (>= 2.10.1), python-cairo (>= 1.8.4) Provides: python2.6-poppler, python2.7-poppler Homepage: http://launchpad.net/poppler-python Priority: optional Section: python Filename: pool/main/p/python-poppler/python-poppler_0.12.1-8+b1_armhf.deb Size: 39164 SHA256: 16d581695c120199aa04e6faec734259b5fa5df9381b4d2b0ba0d3e77c49f539 SHA1: 3bebe060109806b3b47f6fca86b12525f6a141c8 MD5sum: 4b8ead44158839427db8a39f6879b70b Description: Poppler Python bindings This package includes Python bindings for LibPoppler. It is needed to run programs written in Python and using Poppler set. LibPoppler is a PDF rendering library based on xpdf PDF viewer, and used by kpdf and evince PDF viewers. Package: python-poppler-dbg Source: python-poppler (0.12.1-8) Version: 0.12.1-8+b1 Architecture: armhf Maintainer: Andrea Gasparini Installed-Size: 682 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpoppler-glib8 (>= 0.18), python2.7-dbg | python2.6-dbg, python-dbg (>= 2.6), python-dbg (<< 2.8), python-poppler (= 0.12.1-8+b1), python-gobject-dbg, python-cairo-dbg, python-gtk2-dbg Provides: python2.6-poppler-dbg, python2.7-poppler-dbg Homepage: http://launchpad.net/poppler-python Priority: extra Section: debug Filename: pool/main/p/python-poppler/python-poppler-dbg_0.12.1-8+b1_armhf.deb Size: 202030 SHA256: cf19b525f1a5a49ff54c830810bf6fed7e464a28716df5de0006b8d95f84043b SHA1: ad927f8213dfdc45594552f0e60f4ca678a1edbd MD5sum: 0113e44a89d22583995cfc4dc005c6f8 Description: Poppler Python bindings (debug extension) This package includes Python bindings for LibPoppler. It is needed to run programs written in Python and using Poppler set. LibPoppler is a PDF rendering library based on xpdf PDF viewer, and used by kpdf and evince PDF viewers. . This package contains the extension built for the Python debug interpreter. Package: python-poppler-qt4 Version: 0.16.2-2 Architecture: armhf Maintainer: Ryan Kavanagh Installed-Size: 626 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpoppler-qt4-3 (>= 0.16), libstdc++6 (>= 4.3.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8), python-qt4 Homepage: http://code.google.com/p/python-poppler-qt4/ Priority: optional Section: python Filename: pool/main/p/python-poppler-qt4/python-poppler-qt4_0.16.2-2_armhf.deb Size: 156016 SHA256: e6a7812ea9329c560dabeb49976014d83981475ca16f360e91d11dd3b07b414b SHA1: 3907d0195c9a0e7f0583330bd54abba8f643fe9c MD5sum: c8cf5cff0be77c35cd6da199e98da68f Description: Python binding to Poppler Qt4 C++ library Python binding to libpoppler-qt4 that aims for completeness and for being actively maintained. The bindings closely follow the C++ library API documented at http://people.freedesktop.org/~aacid/docs/qt4/ . Package: python-poster Version: 0.8.1-0.1 Installed-Size: 92 Maintainer: Robert Collins Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0) Size: 13706 SHA256: bcc1b6b1d2f8c1c9f099e08b1a0c9f2035b538fc6a9c152cf03c64d2beff89c7 SHA1: e95514c2c1f87a71fa4d20c19da260b36b23fe78 MD5sum: 11ab79060a45f1750c524dac1203ae4d Description: Python support for HTTP multipart PUT/POST The Python standard library is missing support for multipart HTTP uploads and the poster module provides that. Homepage: http://atlee.ca/software/poster/ Section: python Priority: optional Filename: pool/main/p/python-poster/python-poster_0.8.1-0.1_all.deb Package: python-pp Source: parallelpython Version: 1.6.2-2 Installed-Size: 119 Maintainer: Debian Python Modules Team Architecture: all Depends: python, python-support (>= 0.90.0) Size: 33998 SHA256: 0e2d3caf8a3697f0e161d8da465d2d1dc67f83523c70811868386313993f281b SHA1: dca9cb1f1c22b38de04f325b3ace04533931578c MD5sum: 0e58d52667ef90813527ada240015339 Description: parallel and distributed programming toolkit for Python Parallel Python module (pp) provides an easy and efficient way to create parallel-enabled applications for SMP computers and clusters. pp module features cross-platform portability and dynamic load balancing. Thus application written with PP will parallelize efficiently even on heterogeneous and multi-platform clusters (including clusters running other application with variable CPU loads). Homepage: http://www.parallelpython.com/ Python-Version: 2.6, 2.7 Section: python Priority: optional Filename: pool/main/p/parallelpython/python-pp_1.6.2-2_all.deb Package: python-pqueue Version: 0.2-7.1 Architecture: armhf Maintainer: Chris Lawrence Installed-Size: 77 Depends: libc6 (>= 2.4), python (<< 2.8), python (>= 2.6) Provides: python2.6-pqueue, python2.7-pqueue Priority: optional Section: python Filename: pool/main/p/python-pqueue/python-pqueue_0.2-7.1_armhf.deb Size: 12300 SHA256: ce4d99568f8705eb3a92ff0c9ab1254f31f314df8863780c033eb07461ff3ec0 SHA1: 6c696e025d3d14a6ec1316cddc666c9250aaf41e MD5sum: a403c319ac6fd7199009da8787c4cf61 Description: a priority queue extension for Python This C extension implements a priority-queue object using a Fibonacci heap as the underlying data structure. It supports insertion, deletion, and reprioritization of entries. . All operations are O(log N) or faster; insertion and finding the lowest-priority item are O(1). Python-Version: 2.6, 2.7 Package: python-prctl Version: 1.1.1-1.1 Architecture: armhf Maintainer: Dennis Kaarsemaker Installed-Size: 156 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28), libcap2 (>= 2.10) Priority: extra Section: python Filename: pool/main/p/python-prctl/python-prctl_1.1.1-1.1_armhf.deb Size: 33098 SHA256: f533fc67707aba9b694143c4ded891f6dd0d932e3293f8bb01a6a453ea7e73fc SHA1: 64705bcd8a004264582b41e62b005400c47c74ca MD5sum: 2743ded761220ecf49bebf809a049cd8 Description: Python interface to the prctl() syscall The Linux prctl() function allows you to control specific characteristics of a process' behaviour. Usage of the function is fairly messy though, due to limitations in C and Linux. This module provides a nice non-messy Python(ic) interface. Package: python-prelude Source: libprelude Version: 1.0.0-9 Architecture: armhf Maintainer: Pierre Chifflier Installed-Size: 2352 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libprelude2 (= 1.0.0-9), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libstdc++6 (>= 4.4.0) Provides: python2.6-prelude, python2.7-prelude Priority: extra Section: python Filename: pool/main/libp/libprelude/python-prelude_1.0.0-9_armhf.deb Size: 822296 SHA256: ce6db4293261bd920a9b37030f59a04904d1fd39cd034653e4dc15530461abfb SHA1: a8d91674cbbda8306cf0ab75979ec6849a9c88c9 MD5sum: aeb2735b9f7bef373ec8301944c572d1 Description: Security Information Management System [ Base library ] Prelude is a Universal "Security Information Management" (SIM) system. Its goals are performance and modularity. It is divided in two main parts : - the Prelude sensors, responsible for generating alerts, such as snort sensor, featuring a signature engine, plugins for protocol analysis, and intrusion detection plugins, and the Prelude log monitoring lackey. - the Prelude report server, collecting data from Prelude sensors, and generating user-readable reports. . This package contains the Python bindings for Prelude. Package: python-preludedb Source: libpreludedb Version: 1.0.0-1.1 Architecture: armhf Maintainer: Mickael Profeta Installed-Size: 313 Depends: python (<< 2.8), python (>= 2.6), python-central (>= 0.6.11), libpreludedb0 (= 1.0.0-1.1), python-prelude, libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libprelude2 Priority: extra Section: python Filename: pool/main/libp/libpreludedb/python-preludedb_1.0.0-1.1_armhf.deb Size: 142150 SHA256: 6a8da3d917ff84d2316e4d6fcf8ef575c60df27642dc086dba63ee0e00204637 SHA1: 327fd18070cee58a95682ec08da8be864642bf00 MD5sum: 25d5311ce6009e15045d406f70895ca1 Description: Security Information Management System [ Base library ] Prelude is a Universal "Security Information Management" (SIM) system. Its goals are performance and modularity. It is divided in two main parts : - the Prelude sensors, responsible for generating alerts, such as snort sensor, featuring a signature engine, plugins for protocol analysis, and intrusion detection plugins, and the Prelude log monitoring lackey. - the Prelude report server, collecting data from Prelude sensors, and generating user-readable reports. . This package contains the Python bindings for PreludeDB. Python-Version: 2.6, 2.7 Package: python-presage Source: presage Version: 0.8.8-1 Architecture: armhf Maintainer: Matteo Vescovi Installed-Size: 329 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpresage1 (>= 0.8.5), libstdc++6 (>= 4.4.0) Provides: python2.6-presage, python2.7-presage Homepage: http://presage.sourceforge.net/ Priority: extra Section: python Filename: pool/main/p/presage/python-presage_0.8.8-1_armhf.deb Size: 116372 SHA256: 465340b6da00e46452c4493efb120e815dd1191eaab736124cfceae093f743e1 SHA1: f91d5d662aed7f53c7a608bd89453812ee0a905a MD5sum: 9bc330ec59d649a12f0cf3b4117dbd3f Description: intelligent predictive text entry platform (Python binding) Presage is an intelligent predictive text entry platform. . This package provides the Python binding for libpresage. . This package contains the Python extension module for libpresage. Package: python-presage-dbg Source: presage Version: 0.8.8-1 Architecture: armhf Maintainer: Matteo Vescovi Installed-Size: 954 Depends: python-presage (= 0.8.8-1) Homepage: http://presage.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/p/presage/python-presage-dbg_0.8.8-1_armhf.deb Size: 330392 SHA256: 2c7f6bbc1af5a4fd9879e28ecdfd14284d7bec77989765aeefbf13633051844f SHA1: 1849726df4186545ba38d0949024caf7a5eb1a15 MD5sum: af654c3b2ae45bb8ca9e0df2aed92b20 Description: intelligent predictive text entry platform (Python binding debugging symbols) Presage is an intelligent predictive text entry platform. . This package contains the debugging symbols for the Python extension module for libpresage. Package: python-prettytable Source: prettytable Version: 0.6.1-1 Installed-Size: 53 Maintainer: Debian Python Modules Team Architecture: all Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Size: 21462 SHA256: 8354e7787946571638478ad6e6b873b94d5f06ec5fb9f38e7f5d59cdd4b1d75e SHA1: c9d21489c5752098afe76c028db3750ff3dda80a MD5sum: afc33bde30936ad87117bb627e5a1560 Description: library to represent tabular data in visually appealing ASCII tables PrettyTable is a simple Python library designed to make it quick and easy to represent tabular data in visually appealing ASCII tables. It was inspired by the ASCII tables used in the PostgreSQL shell psql. PrettyTable allows for selection of which columns are to be printed, independent alignment of columns (left or right justified or centred) and printing of "sub-tables" by specifying a row range. Homepage: http://code.google.com/p/prettytable/ Section: python Priority: optional Filename: pool/main/p/prettytable/python-prettytable_0.6.1-1_all.deb Package: python-progressbar Version: 2.2-2 Installed-Size: 44 Maintainer: Debian Python Modules Team Architecture: all Depends: python-support (>= 0.7.1) Size: 6984 SHA256: 0e19d8e286e1c36cea18a2093ffda1bd927aa95e5fc726a76dcb36abcddd3486 SHA1: c448812f310c390d26d8f10ba7601da2b984ba05 MD5sum: 389d8d33d3e012515572dfe45e4d463e Description: text progressbar library for Python This library provides a text mode progressbar. This is typically used to display the progress of a long running operation, providing a visual clue that processing is underway. Homepage: http://pypi.python.org/pypi/progressbar Section: python Priority: optional Filename: pool/main/p/python-progressbar/python-progressbar_2.2-2_all.deb Package: python-protobuf Source: protobuf Version: 2.4.1-3 Architecture: armhf Maintainer: Iustin Pop Installed-Size: 422 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libprotobuf7, libstdc++6 (>= 4.3.0), python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0) Recommends: protobuf-compiler Provides: python2.7-protobuf Homepage: http://code.google.com/p/protobuf/ Priority: optional Section: python Filename: pool/main/p/protobuf/python-protobuf_2.4.1-3_armhf.deb Size: 89886 SHA256: a508110e50d666fe122fae899be6a72d9eff715fdea358c5ebce0dc3fbd9135a SHA1: 7a8682a188b6ff9fe5fe23584c75befbfa588697 MD5sum: 9fa96331149d21582d3e85f0735ec7ce Description: Python bindings for protocol buffers Protocol buffers are a flexible, efficient, automated mechanism for serializing structured data - similar to XML, but smaller, faster, and simpler. You define how you want your data to be structured once, then you can use special generated source code to easily write and read your structured data to and from a variety of data streams and using a variety of languages. You can even update your data structure without breaking deployed programs that are compiled against the "old" format. . Google uses Protocol Buffers for almost all of its internal RPC protocols and file formats. . This package contains the Python bindings for the protocol buffers. You will need the protoc tool (in the protobuf-compiler package) to compile your definition to Python classes, and then the modules in this package will allow you to use those classes in your programs. . This package contains both the traditional Python-based implementation and the new C++-based one, and you can select at runtime between the two. Package: python-protobuf.socketrpc Version: 1.3.2-2 Installed-Size: 392 Maintainer: Debian Python Modules Team Architecture: all Provides: python2.6-protobuf.socketrpc, python2.7-protobuf.socketrpc Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-protobuf (>= 2.2) Size: 27088 SHA256: 5df47e20d344888173c9e3668bb5c8ce0849afcf0044026dbdbdb5a0488ac458 SHA1: d868dd892efc40f03209a62285641edef669fe38 MD5sum: aa8b11514eb4f4f8a07241c65df69427 Description: Python socket RPC for Google protocol buffers . Google's protocol buffer library makes writing rpc services easy, but it does not contain a rpc implementation. The transport details are left up to the user to implement. . This is a simple tcp/ip socket based rpc implementation in Python for people who want a simple implementation of their protobuf rpc services. Homepage: http://code.google.com/p/protobuf-socket-rpc Python-Version: 2.6, 2.7 Section: python Priority: optional Filename: pool/main/p/python-protobuf.socketrpc/python-protobuf.socketrpc_1.3.2-2_all.deb Package: python-protocols Source: pyprotocols Version: 1.0a.svn20070625-5 Architecture: armhf Maintainer: Chris Lamb Installed-Size: 219 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.4), python-decoratortools (>= 1.3) Homepage: http://peak.telecommunity.com/PyProtocols.html Priority: optional Section: python Filename: pool/main/p/pyprotocols/python-protocols_1.0a.svn20070625-5_armhf.deb Size: 53174 SHA256: 916ffc6de7bd702cf834bfa05728d1ff0041036edf3d0a06450ee449cdf1c7eb SHA1: bd6b5e73b18f9dda21a83685d7b59273fc7176de MD5sum: 0f74c0a3226a4ec0fa3a8ecae5a0a459 Description: Open Protocols and Component Adaptation for Python Do you hate having to write lots of if-then logic to test what type something is? Wouldn't it be nice if you could just declare "I want this object to have this behavior" and magically convert whatever value you have, to the type you need? PyProtocols lets you do just that, cleanly, quickly, and robustly -- even with built-in types or other people's classes. . PyProtocols extends the PEP 246 adapt() function with a new "declaration API" that lets you easily define your own protocols and adapters, and declare what adapters should be used to adapt what types, objects, or protocols. In addition to its own Interface type, PyProtocols can also use Twisted and Zope's Interface types too. (Of course, since Twisted and Zope interfaces aren't as flexible, only a subset of the PyProtocols API works with them. Specific limitations are listed in the documentation.) Python-Version: 2.6, 2.7 Package: python-prowlpy Version: 0+20100211.92df046-1 Installed-Size: 56 Maintainer: Luke Faraone Architecture: all Provides: python2.5-prowlpy, python2.6-prowlpy Depends: python, python-support (>= 0.90.0) Size: 5360 SHA256: a69c0f19f296d831d0bee94b83b1ccb321e013411e80d657ddd7238bbeb42022 SHA1: 60206a8c9e5efd918fd2eb338e837cac6ef6c2bd MD5sum: 9793662571fd1981523f9cef30bbdb2c Description: Python support for Apple Push Notifications via Prowl Prowlpy is a Python module designed to interact with the Prowl webservice for Push notifications on Apple iOS devices. Homepage: https://github.com/jacobb/prowlpy Section: python Priority: optional Filename: pool/main/p/python-prowlpy/python-prowlpy_0+20100211.92df046-1_all.deb Package: python-psutil Version: 0.5.1-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 258 Depends: libc6 (>= 2.13-28), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Homepage: http://code.google.com/p/psutil/ Priority: optional Section: python Filename: pool/main/p/python-psutil/python-psutil_0.5.1-1_armhf.deb Size: 54390 SHA256: edc10beaf31bb5c4dbbc71ea8bd60e6c0e17a8a7fe2251f30df15d970bca020f SHA1: aa78314ffe7167325313f3c25d5d52b112a010ab MD5sum: f8156b576ce6856493f7ebf70f7a2768 Description: module providing convenience functions for managing processes psutil is a module providing an interface for retrieving information on running processes and system utilization (CPU, memory) in a portable way by using Python, implementing many functionalities offered by tools like ps, top and Windows task manager. . It currently supports Linux, OS X, FreeBSD and Windows. Package: python-psycopg2 Source: psycopg2 Version: 2.4.5-1 Architecture: armhf Maintainer: Fabio Tranchitella Installed-Size: 760 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libpq5 (>= 8.3~) Recommends: python-egenix-mxdatetime Suggests: python-psycopg2-doc Provides: python2.6-psycopg2, python2.7-psycopg2 Homepage: http://initd.org/projects/psycopg Priority: optional Section: python Filename: pool/main/p/psycopg2/python-psycopg2_2.4.5-1_armhf.deb Size: 232034 SHA256: 25086bd5c95f3f5bc8b10ed818380215ccb9e5cc69b729582e6167e763a55eb2 SHA1: 49074208a3aa5e42fad0733ba20497ce1eb4bb58 MD5sum: bbf8f93a53cac2c3732c9e1ad842c0aa Description: Python module for PostgreSQL psycopg is a PostgreSQL database adapter for the Python programming language (just like pygresql and popy.) This is version 2, a complete rewrite of the original code to provide new-style classes for connection and cursor objects and other sweet candies. Like the original, psycopg 2 was written with the aim of being very small and fast, and stable as a rock. . psycopg is different from the other database adapter because it was designed for heavily multi-threaded applications that create and destroy lots of cursors and make a conspicuous number of concurrent INSERTs or UPDATEs. psycopg 2 also provides full asynchronous operations for the really brave programmer. . The main advantages of psycopg2 are that it supports the full Python DBAPI-2.0 and being thread safe at level 2. It also includes some extensions to the standard DBAPI-2.0 to allow for better thread performance. Package: python-psycopg2-dbg Source: psycopg2 Version: 2.4.5-1 Architecture: armhf Maintainer: Fabio Tranchitella Installed-Size: 1929 Depends: python-psycopg2 (= 2.4.5-1), python-dbg, libc6 (>= 2.13-28), libpq5 (>= 8.3~) Homepage: http://initd.org/projects/psycopg Priority: extra Section: debug Filename: pool/main/p/psycopg2/python-psycopg2-dbg_2.4.5-1_armhf.deb Size: 556772 SHA256: 2f3b3a3119cadbee6c35e4b211f0580fac25b7c9b3eb7e745ede016b796b9649 SHA1: e2aa29455200146980617186034ad37169ec9dff MD5sum: 0f2655289c28d227fc3c938b110367fd Description: Python module for PostgreSQL (debug extension) psycopg is a PostgreSQL database adapter for the Python programming language (just like pygresql and popy.) This is version 2, a complete rewrite of the original code to provide new-style classes for connection and cursor objects and other sweet candies. Like the original, psycopg 2 was written with the aim of being very small and fast, and stable as a rock. . This package contains the extensions built for the Python debug interpreter. Package: python-psycopg2-doc Source: psycopg2 Version: 2.4.5-1 Installed-Size: 871 Maintainer: Fabio Tranchitella Architecture: all Replaces: python-psycopg2-docs Depends: libjs-sphinxdoc (>= 1.0) Suggests: python-psycopg2 | python3-psycopg2 Breaks: python-psycopg2-docs Size: 262640 SHA256: 43d8ce451a9de33db7ce15b229707b5019e451cec03f97073a74066eeeae55d7 SHA1: bb949c921b05dd3d3e7961654bb4a4882fd127fb MD5sum: 69d3d9aeeb1e4172f11e957912c30eb9 Description: Python module for PostgreSQL (documentation package) psycopg is a PostgreSQL database adapter for the Python programming language (just like pygresql and popy.) This is version 2, a complete rewrite of the original code to provide new-style classes for connection and cursor objects and other sweet candies. Like the original, psycopg 2 was written with the aim of being very small and fast, and stable as a rock. . psycopg is different from the other database adapter because it was designed for heavily multi-threaded applications that create and destroy lots of cursors and make a conspicuous number of concurrent INSERTs or UPDATEs. psycopg 2 also provides full asynchronous operations for the really brave programmer. . The main advantages of psycopg2 are that it supports the full Python DBAPI-2.0 and being thread safe at level 2. It also includes some extensions to the standard DBAPI-2.0 to allow for better thread performance. . This package contains the psycopg2 documentation Homepage: http://initd.org/projects/psycopg Section: doc Priority: optional Filename: pool/main/p/psycopg2/python-psycopg2-doc_2.4.5-1_all.deb Package: python-ptrace Version: 0.6.4-2 Installed-Size: 324 Maintainer: Pierre Chifflier Architecture: all Provides: python2.6-ptrace, python2.7-ptrace Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 73358 SHA256: 8c2c33897c21f31cb33892ddcf2f443bc24c3533ed309f1d5d42fe577282b534 SHA1: c666e904b8f2d9fadafe2a1d1066a3f2ae21309c MD5sum: eda5ed154b8678ca3059274d2bea58c5 Description: Python bindings for ptrace This package provides Python bindings for the ptrace library. It allows to control, debug, or modify processes using the ptrace syscall. . Features: * High level Python object API * Able to control multiple processes: catch fork events * Read/write bytes to arbitrary addresses * Execution step by step using ptrace_singlestep() or hardware int 3 * Can use distorm disassembler * Dump registers, memory mappings, stack, etc. * Syscall tracer and parser (strace command) Homepage: http://fusil.hachoir.org/trac/wiki/Ptrace Python-Version: 2.6, 2.7 Section: python Priority: extra Filename: pool/main/p/python-ptrace/python-ptrace_0.6.4-2_all.deb Package: python-pudb Source: pudb Version: 2012.1-1 Installed-Size: 225 Maintainer: David Paleino Architecture: all Provides: python2.6-pudb, python2.7-pudb Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-urwid (>= 0.9.9.1), python-pygments (>= 1.0) Suggests: ipython Size: 39136 SHA256: 220b38efef0fce1bd7afdc6043a24b87f4baa916a1953c6e65b86549ce562e2b SHA1: 9754a5582dea437c418482271408db8c69e09939 MD5sum: 5ed6303f24d3790912d0bacac10abc73 Description: full-screen, console-based Python debugger PuDB is a full-screen, console-based visual debugger for Python. . Its goal is to provide all the niceties of modern GUI-based debuggers in a more lightweight and keyboard-friendly package. PuDB allows you to debug code right where you write and test it -- in a terminal. If you've worked with the excellent (but nowadays ancient) DOS-based Turbo Pascal or C tools, PuDB's UI might look familiar. Homepage: http://pypi.python.org/pypi/pudb/ Tag: devel::debugger, implemented-in::python, role::program Section: python Priority: optional Filename: pool/main/p/pudb/python-pudb_2012.1-1_all.deb Package: python-py Source: codespeak-lib Version: 1.4.8-1 Installed-Size: 261 Maintainer: Chris Lamb Architecture: all Replaces: python-codespeak-lib (<< 1.2.1-3) Provides: python-codespeak-lib, python2.6-py, python2.7-py Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pkg-resources Suggests: subversion, python-pytest, python-pytest-xdist Breaks: python-codespeak-lib (<< 1.2.1-3) Size: 73904 SHA256: 0e938c54b54449f7c76c80bd18c7080ea893786f7e04fe98e432e56a5a384e08 SHA1: 7417876960349db18e99fbdb7e0771a9c714d91e MD5sum: 69b67b9273d60ca29e3db4e29d79603f Description: Advanced Python development support library The Codespeak py lib aims at supporting a decent Python development process addressing deployment, versioning and documentation perspectives. It includes: . * py.path: path abstractions over local and Subversion files * py.code: dynamic code compile and traceback printing support Homepage: http://codespeak.net/py/ Section: python Priority: optional Filename: pool/main/c/codespeak-lib/python-py_1.4.8-1_all.deb Package: python-py++ Source: pyplusplus Version: 1.0.0-1 Architecture: armhf Maintainer: Mathieu Malaterre Installed-Size: 35274 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pygccxml Provides: python2.6-py++, python2.7-py++ Homepage: http://www.language-binding.net/pyplusplus/pyplusplus.html Priority: optional Section: python Filename: pool/main/p/pyplusplus/python-py++_1.0.0-1_armhf.deb Size: 3464954 SHA256: d289d8abfa5495f532d92232c8430c6e06d041447577dd7bc998f80c9406676b SHA1: 1ab58b365fd8f4239614168bce0e0da8e569e017 MD5sum: 7a36cf7f1fb506779d7268e5fbe76526 Description: OO-framework for creating a code generator for Boost.Python Py++ uses GCC C++ compiler to parse C++ source files and allows you to expose C++ code to Python in quick and elegant way using the Boost.Python library. It uses the following steps to do so: - source code is passed to GCC-XML - GCC-XML passes it to GCC C++ compiler - GCC-XML generates an XML description of a C++ program from GCC's internal representation. - Py++ uses pygccxml package to read GCC-XML generated file. . Feature list: - Py++ support almost all features found in Boost.Python library - Py++ generates code, which will help you to understand compiler generated error messages - Py++ has more than one mode of writing code into files: - single file - multiple files - multiple files, where single class code is split to few files - Py++ will save your compilation time - it will rewrite a file, only in case of change - You have full control over generated code. Your code could be inserted almost anywhere. - Py++ will check the "completeness" of the bindings. It will check for you that the exposed declarations don't have references to unexposed ones. - Py++ provides enough functionality to extract source code documentation and write it as Python documentation string - Py++ provides simple and powerful framework to create a wrapper for functions, which could not be exposed as is to Python Package: python-pyalsa Version: 1.0.25-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 423 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libasound2 (>= 1.0.17), libc6 (>= 2.13-28) Provides: python2.6-pyalsa, python2.7-pyalsa Homepage: http://www.alsa-project.org/main/index.php/Main_Page Priority: extra Section: python Filename: pool/main/p/python-pyalsa/python-pyalsa_1.0.25-1_armhf.deb Size: 120764 SHA256: 123956fd278cee18b211624bd97e7ffc60934bc84a83c3f90b26a00a82084877 SHA1: a713ebd28b89462ce79b1c9bf3075997ebe24338 MD5sum: a28cb9fc591737de7dc36af787151a98 Description: Official ALSA Python binding library Official ALSA Python binding, including hardware management, mixer and sequencer control. . ALSA is the Advanced Linux Sound Architecture. Package: python-pyamf Source: pyamf Version: 0.6.1+dfsg-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 1698 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28) Suggests: python-django (>= 0.96), python-elixir (>= 0.7.1), python-sqlalchemy (>= 0.4), python-twisted-core, python-twisted-web Enhances: python-django, python-pylons, python-twisted Homepage: http://www.pyamf.org/ Priority: optional Section: python Filename: pool/main/p/pyamf/python-pyamf_0.6.1+dfsg-3_armhf.deb Size: 432748 SHA256: 0e9c50208810db4a38c8d43d1efc03ff5a93c0f2c4b8539a9deab3371f334d80 SHA1: d237f68dbee1bebd1a57c35a31bf9ceafd585727 MD5sum: 1e7a0dec0b8db58249d18dbf92fba37b Description: Action Message Format support for Python PyAMF provides Action Message Format (AMF) support for Python that is compatible with the Flash Player. It includes integration with Python web frameworks like Django, Pylons, Twisted, and more. . The Adobe Integrated Runtime and Adobe Flash Player use AMF to communicate between an application and a remote server. AMF encodes remote procedure calls (RPC) into a compact binary representation that can be transferred over HTTP/HTTPS or the RTMP/RTMPS protocol. Objects and data values are serialized into this binary format, which increases performance, allowing applications to load data up to 10 times faster than with text-based formats such as XML or SOAP. Package: python-pyamf-dbg Source: pyamf Version: 0.6.1+dfsg-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 4187 Depends: python-dbg (>= 2.6), python-pyamf (= 0.6.1+dfsg-3), python2.7-dbg | python2.6-dbg, python-dbg (<< 2.8), libc6 (>= 2.13-28) Homepage: http://www.pyamf.org/ Priority: extra Section: debug Filename: pool/main/p/pyamf/python-pyamf-dbg_0.6.1+dfsg-3_armhf.deb Size: 1357568 SHA256: f455ec1befa77905a45d9e50670770373a6019d43a86cbcdf17309c5b62326e0 SHA1: ee7ea9e6a0d16d129a9d6bce3e736c3a113bf93f MD5sum: 00869b6256c2562a352e908e514efae9 Description: Action Message Format support for Python (debugging files) PyAMF provides Action Message Format (AMF) support for Python that is compatible with the Flash Player. It includes integration with Python web frameworks like Django, Pylons, Twisted, and more. . The Adobe Integrated Runtime and Adobe Flash Player use AMF to communicate between an application and a remote server. AMF encodes remote procedure calls (RPC) into a compact binary representation that can be transferred over HTTP/HTTPS or the RTMP/RTMPS protocol. Objects and data values are serialized into this binary format, which increases performance, allowing applications to load data up to 10 times faster than with text-based formats such as XML or SOAP. . This package contains the extension built for the Python debug interpreter. Package: python-pyamf-doc Source: pyamf Version: 0.6.1+dfsg-3 Installed-Size: 1532 Maintainer: Debian Python Modules Team Architecture: all Recommends: libjs-mootools Suggests: python-pyamf Size: 1240538 SHA256: 95be0c014e0833d6ba06c71956d0c800395705ba56b783a80f4c00da52b8ec2e SHA1: 78a4bae692140375ce632c2a107ce047fa236196 MD5sum: 70c07d47fa3d56ec4df3e042ccec3625 Description: Action Message Format support for Python (documentation) PyAMF provides Action Message Format (AMF) support for Python that is compatible with the Flash Player. It includes integration with Python web frameworks like Django, Pylons, Twisted, and more. . The Adobe Integrated Runtime and Adobe Flash Player use AMF to communicate between an application and a remote server. AMF encodes remote procedure calls (RPC) into a compact binary representation that can be transferred over HTTP/HTTPS or the RTMP/RTMPS protocol. Objects and data values are serialized into this binary format, which increases performance, allowing applications to load data up to 10 times faster than with text-based formats such as XML or SOAP. . This package contains tutorials and examples. Homepage: http://www.pyamf.org/ Tag: role::documentation Section: doc Priority: optional Filename: pool/main/p/pyamf/python-pyamf-doc_0.6.1+dfsg-3_all.deb Package: python-pyao Source: pyao Version: 0.82-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 88 Depends: python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libao4 (>= 1.1.0), libc6 (>= 2.13-28) Provides: python2.6-pyao, python2.7-pyao Priority: extra Section: python Filename: pool/main/p/pyao/python-pyao_0.82-5_armhf.deb Size: 11354 SHA256: 7d4226b31ef3b6d5c3b5a5b82f82d1954fb2efc20b48037e57b82bfe231b392b SHA1: f829cc8d67557589e4e699b1de88dc53389d0ca4 MD5sum: 691c02697a67485e932175c0f11f3d67 Description: Python interface to the Audio Output library This module makes the libao (Audio Output) functions available in Python. With this module you can write Python applications that use the cross platform audio output library. Package: python-pyao-dbg Source: pyao Version: 0.82-5 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 178 Depends: python2.7-dbg | python2.6-dbg, python-dbg (>= 2.6.6-3~), python-dbg (<< 2.8), libao4 (>= 1.1.0), libc6 (>= 2.13-28), python-pyao (= 0.82-5) Priority: extra Section: debug Filename: pool/main/p/pyao/python-pyao-dbg_0.82-5_armhf.deb Size: 37698 SHA256: 047cb521d1e4b20f7d42142128172b92f609f8f5abde4401db2e8de89569d526 SHA1: 5db71f116f9ba219a968f78ad89624d5fead992d MD5sum: 1a05a4108176713a99aea62b7f712124 Description: Python interface to the Audio Output library - debug symbols This module makes the libao (Audio Output) functions available in Python. With this module you can write Python applications that use the cross platform audio output library. . This package provides debugging symbols for python-pyao package. Package: python-pyasn1 Source: pyasn1 Version: 0.1.3-1 Installed-Size: 348 Maintainer: Jan Lübbe Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: doc-base Size: 51408 SHA256: 0e08369b5d5bc27ff367493b4716931320c2a0fc65b1aac19aaf732ff9811749 SHA1: 806c64c4d1ae51d1c1f1b9a85297935718cf763c MD5sum: 3d5200ca1edc82b2c9b53edf790af609 Description: ASN.1 library for Python This is an implementation of ASN.1 types and codecs in Python programming language. It has been first written to support particular protocol (SNMP) but then generalized to be suitable for a wide range of protocols based on ASN.1 specification. Homepage: http://pyasn1.sourceforge.net/ Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, role::shared-lib Section: python Priority: optional Filename: pool/main/p/pyasn1/python-pyasn1_0.1.3-1_all.deb Package: python-pyassimp Source: assimp Version: 3.0~dfsg-1 Installed-Size: 121 Maintainer: IOhannes m zmoelnig (gpg-key at iem) Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libassimp3 (>= 3.0~dfsg-1), libassimp3 (<< 3.0~dfsg+1~) Size: 24920 SHA256: 283de2edbfd2be79a7887fd891a1ff2638281108eb68c9d2b6d565a7bc885496 SHA1: 0794cb72ff90eace787e097d87bda00c6182fb91 MD5sum: 19370a1f1638a5ec3b9aadf49a37df9f Description: 3D model import library (Python bindings) Assimp is a library to import various well-known 3D model formats ("assets") in a uniform manner. Assimp aims at providing a full asset conversion pipeline for use in game engines / realtime rendering systems of any kind but is not limited to this audience. . This package provides Python bindings to the Assimp library. Homepage: http://assimp.sourceforge.net/ Section: python Priority: extra Filename: pool/main/a/assimp/python-pyassimp_3.0~dfsg-1_all.deb Package: python-pyatspi Source: pyatspi Version: 2.5.3+dfsg-3 Installed-Size: 187 Maintainer: Debian Accessibility Team Architecture: all Replaces: python-pyatspi2 (<< 2.5.3+dfsg-2.1) Depends: python (>= 2.6.6-7~), python (<< 2.8), python-gi (>= 2.90.1), gir1.2-atspi-2.0 (>= 2.1.4), libatk-adaptor, libgail-common Breaks: python-pyatspi2 (<< 2.5.3+dfsg-2.1) Size: 30012 SHA256: f0fce0e4cc4fa98a38944b07f70f37f3d57ab073de5a05b34f917c60140ad99b SHA1: 51e19cfd463a90a47870912bebe6df5d336e74d0 MD5sum: d290c64b428fb05b998b1a94762d0822 Description: Assistive Technology Service Provider Interface - Python bindings at-spi is the "Assistive Technology Service Provider Interface". . This package contains Python bindings for the client side aspects of at-spi2. Homepage: http://live.gnome.org/Accessibility Tag: devel::library, role::devel-lib, role::program, suite::gnome, uitoolkit::gtk Section: python Priority: optional Filename: pool/main/p/pyatspi/python-pyatspi_2.5.3+dfsg-3_all.deb Package: python-pyatspi2 Source: pyatspi Version: 2.5.3+dfsg-3 Installed-Size: 28 Maintainer: Debian Accessibility Team Architecture: all Depends: python-pyatspi (= 2.5.3+dfsg-3) Size: 2788 SHA256: 11733f1d2345db8cf72b2033241c5daebb4c6a1d3ef9ef19bc0996662ce39e5f SHA1: 343c8f7f60999ab076423559fa388e94faa2f380 MD5sum: 34572ab0d5268efa3c8224f56ac97e63 Description: Transitional package for assistive technology Python bindings at-spi is the "Assistive Technology Service Provider Interface". . This empty transitional package can be safely removed. Homepage: http://live.gnome.org/Accessibility Section: python Priority: optional Filename: pool/main/p/pyatspi/python-pyatspi2_2.5.3+dfsg-3_all.deb Package: python-pyaudio Version: 0.2.4-2 Architecture: armhf Maintainer: Hubert Pham Installed-Size: 598 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28), libportaudio2 (>= 19+svn20101113) Provides: python2.6-pyaudio, python2.7-pyaudio Homepage: http://people.csail.mit.edu/hubert/pyaudio/ Priority: optional Section: python Filename: pool/main/p/python-pyaudio/python-pyaudio_0.2.4-2_armhf.deb Size: 78628 SHA256: 99cc39b8ce585c1ed4a09bc5a5f8c22f472076ba39fb69bcaa200193416ef8ab SHA1: b06d631b997c862af432badeff0927d22814ee6b MD5sum: 0b564fc1fd9cf479bac373625d30e26b Description: Python bindings for PortAudio v19 PyAudio provides Python bindings for PortAudio v19, the cross-platform audio I/O library. PyAudio makes it easy to use Python to play and record audio via pythonic wrappers around the PortAudio API. Currently, PyAudio currently only supports blocking-mode audio I/O. Package: python-pybabel Source: python-babel Version: 0.9.6-1 Installed-Size: 12 Maintainer: Debian Python Modules Team Architecture: all Depends: python-babel Size: 7278 SHA256: 00b890fbb9f96f3b438706c2c2365a3a5b30b9155acc98b5bfd39e94655537b9 SHA1: f1dca21808d4248d49d56b3d30446fd2e348d7fc MD5sum: 5e889c461d487a83b05db40dae18c592 Description: tools for internationalizing Python applications (transitional dummy package) Babel is composed of two major parts. First tools to build and work with gettext message catalogs. Second a Python interface to the CLDR (Common Locale Data Repository), providing access to various locale display names, localized number and date formatting, etc. . This is a dummy package for transition from python-pybabel to python-babel. Homepage: http://babel.edgewall.org/ Tag: role::dummy Section: oldlibs Priority: extra Filename: pool/main/p/python-babel/python-pybabel_0.9.6-1_all.deb Package: python-pybiggles Source: python-biggles Version: 1.6.6-1 Architecture: armhf Maintainer: Deepak Tripathi Installed-Size: 280 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libplot2c2, libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxt6, python-numpy Conflicts: python2.3-biggles (<< 1.6.4-1.2), python2.4-biggles (<< 1.6.4-1.2) Replaces: python2.3-biggles (<< 1.6.4-1.2), python2.4-biggles (<< 1.6.4-1.2) Provides: python2.6-pybiggles, python2.7-pybiggles Homepage: http://biggles.sourceforge.net/ Priority: optional Section: python Filename: pool/main/p/python-biggles/python-pybiggles_1.6.6-1_armhf.deb Size: 64124 SHA256: 40e88e880ece328801d0e8e0d85a2ecb65828fd4c29f424f5379ab153e1b534a SHA1: 4297ee48429481f7bac12f7346f0bdf86a7aa78a MD5sum: 2e4003af4c431812afa01e9e606725ed Description: Scientific plotting package for Python Biggles is a Python module for creating publication-quality 2D scientific plots. It supports multiple output formats (postscript, x11, png, svg, gif), understands simple TeX, and sports a high-level, elegant interface. It's intended for technical users with sophisticated plotting needs. Python-Version: 2.6, 2.7 Package: python-pycalendar Source: pycalendar Version: 2.0~svn188-1 Installed-Size: 524 Maintainer: Rahul Amaram Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 81452 SHA256: 40f82ec3c188d082fce67a858f5cf0ed2809a638f6d82f7642211c924b2ca2a6 SHA1: 572ba993000e7784f7086224dc9f6610b14e86b4 MD5sum: 3fb6b26eaebab85a646e81ae31a10bbe Description: iCalendar/vCard Library PyCalendar is a Python-based iCalendar (RFC 5545) and vCard (RFC 2426) library that allows iCalendar and vCard data to be parsed, manipulated and generated using an API that closely resembles the object models of iCalendar and vCard. The library includes options to validate input data, and also output data in XML format. The package also includes a tool to parse Olson timezone database information to generate iCalendar compatible timezone definitions. Homepage: http://svn.mulberrymail.com/repos/PyCalendar/ Section: python Priority: optional Filename: pool/main/p/pycalendar/python-pycalendar_2.0~svn188-1_all.deb Package: python-pycallgraph Source: pycallgraph Version: 0.5.1-3 Installed-Size: 140 Maintainer: Carl Chenet Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0), graphviz Size: 12946 SHA256: 1d18cafad66213a9b758319055afb71d22e85d97fefe95206f2015bf753f9ab3 SHA1: 8f932cbb2ee36f1e457b3ba851c9cb7babfb3871 MD5sum: 4d3b6da66ec2ace48e97dcd801ae6815 Description: Python library that creates call graphs for Python programs It uses GraphViz to generate call graphs from one execution of your Python code. It's very easy to use and can point out possible problems with your code execution. Homepage: http://pycallgraph.slowchop.com Python-Version: 2.4, 2.5, 2.6 Section: python Priority: optional Filename: pool/main/p/pycallgraph/python-pycallgraph_0.5.1-3_all.deb Package: python-pycha Source: pycha Version: 0.6.0-3 Installed-Size: 251 Maintainer: Vincent Bernat Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-cairo (>= 1.2), python-pkg-resources, python-gtk2 Size: 35072 SHA256: 2153f0ed0866520ec464734831951fe360cc6f67385ed7d88a3bb0ad28162a17 SHA1: bc3f807bce63fa7d50511d5a03300b6ee028afe3 MD5sum: ed25c5e9fafe8def8c44a34db23d0daf Description: chart-drawing library using Cairo This package provides a Python library for drawing most common charts (pie, line, scatter or bar) using Cairo as backend. It aims to be simple to use, flexible, lightweight, attractive and customizable but still providing sane default settings. Homepage: http://bitbucket.org/lgs/pycha/ Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, works-with-format::png, works-with::image, works-with::image:raster Section: python Priority: optional Filename: pool/main/p/pycha/python-pycha_0.6.0-3_all.deb Package: python-pychart Version: 1.39-7 Installed-Size: 636 Maintainer: Santiago Ruano Rincón Architecture: all Replaces: python2.3-pychart, python2.4-pychart Provides: python2.4-pychart, python2.5-pychart, python2.6-pychart Depends: python (>= 2.3), python-support (>= 0.90.0) Recommends: ghostscript Suggests: python-pychart-doc Conflicts: python2.3-pychart, python2.4-pychart Size: 81718 SHA256: 9b2ee1396a5f2fcef5913e9487050b56a0169c07da1eb984cfc289f1f3158f0d SHA1: 1d238d884ecd7a7d9fda2aba3570fc9b413ebdbf MD5sum: 7da6b507021f81b9e1efe06286933591 Description: Python library for creating high quality charts PyChart is a Python library for creating high quality Encapsulated Postscript, PDF, PNG, or SVG charts. It currently supports line plots, bar plots, range-fill plots, and pie charts. Because it is based on Python, you can make full use of Python's scripting power. . You need to have ghostscript installed for PNG generation and X11 displaying. Homepage: http://home.gna.org/pychart/ Python-Version: 2.4, 2.5, 2.6 Tag: devel::lang:python, field::chemistry, field::mathematics, field::physics, field::statistics, implemented-in::python, role::shared-lib, use::viewing Section: python Priority: optional Filename: pool/main/p/python-pychart/python-pychart_1.39-7_all.deb Package: python-pychart-doc Source: python-pychart Version: 1.39-7 Installed-Size: 1064 Maintainer: Santiago Ruano Rincón Architecture: all Recommends: python-pychart Size: 323858 SHA256: fcaf7cf1e38d78ddfc0c516d920e7965eae3311cd3b3e53ffce8a616ef320654 SHA1: 278d594d67d50e43d9cc80141b1bc1d350b3f8aa MD5sum: 030bba2b7357796bfd7fdb08a6159c53 Description: Documentation for PyChart PyChart is a Python library for creating high quality Encapsulated Postscript, PDF, PNG, or SVG charts. It currently supports line plots, bar plots, range-fill plots, and pie charts. Because it is based on Python, you can make full use of Python's scripting power. . This package contains PyChart documentations and example files. Homepage: http://home.gna.org/pychart/ Tag: devel::doc, devel::examples, devel::lang:python, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/p/python-pychart/python-pychart-doc_1.39-7_all.deb Package: python-pyclamav Source: python-clamav (0.4.1-7) Version: 0.4.1-7+b2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 33 Depends: libc6 (>= 2.13-28), libclamav7 (>= 0.99~rc1), python (>= 2.6), python (<< 2.8), clamav-freshclam Breaks: python-clamav (<< 0.4.1-4~) Replaces: python-clamav (<< 0.4.1-4~) Provides: python-clamav Homepage: http://xael.org/norman/python/pyclamav/index.html Priority: optional Section: python Filename: pool/main/p/python-clamav/python-pyclamav_0.4.1-7+b2_armhf.deb Size: 17228 SHA256: d0dd5791166b1f663648e52d725fd6de30627fa3039a15ce18b21d6e100a240e SHA1: 5b06e40d72de5972aad687bb897806f7ae70bf84 MD5sum: 41a83338ce1e83e62edee79f8e5f092f Description: Python bindings to ClamAV This package adds virus detection capabilities to Python software, thanks to the ClamAV antivirus toolkit without the need for running clamav-daemon. For virus scanning with clamav-daemon, python-pyclamd is an available alternative. Package: python-pyclamd Source: pyclamd Version: 0.2.2-1 Installed-Size: 68 Maintainer: Debian Python Modules Team Architecture: all Depends: python, python-support (>= 0.90.0), clamav-daemon Size: 6548 SHA256: fbebf4d061a772e01b6b4be7b0b0262ff84c849d81904b6d25c848a65e67f40a SHA1: 63ee584d697e046b62f96db7236f11a30ee75187 MD5sum: 951677ec17c397103e57e79db120960d Description: Python interface to the ClamAV daemon This package adds virus detection capabilities to Python software in an efficient and easy way, thanks to the ClamAV antivirus toolkit. Homepage: http://xael.org/norman/python/pyclamd/ Tag: implemented-in::python, role::program Section: python Priority: optional Filename: pool/main/p/pyclamd/python-pyclamd_0.2.2-1_all.deb Package: python-pycountry Source: pycountry Version: 0.14.1+ds1-3 Installed-Size: 96 Maintainer: David Paleino Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), iso-codes, python-lxml Size: 12098 SHA256: 28ee24914f9d543728a1a49c3e05ef1779fc6cdc9f8f00c946813109a0a2551a SHA1: 87358ee68ceb17a4b97cd277a6e9a9495d00844a MD5sum: d604cf14e92f5b4ea30b00365ed09a1f Description: ISO databases accessible from Python pycountry provides ISO country, subdivision, language, currency and script definitions and their translations, taken from the iso-codes package. Homepage: http://pypi.python.org/pypi/pycountry/ Section: python Priority: extra Filename: pool/main/p/pycountry/python-pycountry_0.14.1+ds1-3_all.deb Package: python-pycparser Source: pycparser Version: 2.07+dfsg-1 Installed-Size: 369 Maintainer: Debian Python Modules Team Architecture: all Depends: python-ply, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 71316 SHA256: f650cdd9ea990b73d8706eb6519d4dac11a5f04c50f05acf5458778e5a7393e8 SHA1: d896611559bd20bdfb5c98605909b7f2af9851fa MD5sum: 26bc32ea34ab7e3d2c1756a807990435 Description: C parser in Python pycparser is a complete parser of the C language, written in pure Python using the PLY parsing library. It parses C code into an AST and can serve as a front-end for C compilers or analysis tools. Homepage: https://code.google.com/p/pycparser/ Section: python Priority: optional Filename: pool/main/p/pycparser/python-pycparser_2.07+dfsg-1_all.deb Package: python-pycryptopp Source: pycryptopp Version: 0.5.29-1 Architecture: armhf Maintainer: Bert Agaz Installed-Size: 1430 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.4), libcrypto++9, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Provides: python2.6-pycryptopp, python2.7-pycryptopp Homepage: http://allmydata.org/trac/pycryptopp Priority: optional Section: python Filename: pool/main/p/pycryptopp/python-pycryptopp_0.5.29-1_armhf.deb Size: 443714 SHA256: e7026682753d12dddd5bd72e6c7b34cae76311a8ba33a43f626f6c480a01fab1 SHA1: 6cb0f1f98fbc7caffb087fd96cacbb8e62ec9b4a MD5sum: 1edaca3c5c0b6b6dad94bb85d248b139 Description: Python wrappers for the Crypto++ library PyCryptopp is a set of Python wrappers for a few of the best crypto algorithms from the Crypto++ library (including SHA-256, AES, RSA signatures and Elliptic Curve DSA signatures). Package: python-pycryptopp-dbg Source: pycryptopp Version: 0.5.29-1 Architecture: armhf Maintainer: Bert Agaz Installed-Size: 5705 Depends: python-pycryptopp (= 0.5.29-1), python-dbg, libc6 (>= 2.4), libcrypto++9, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0) Provides: python2.6-pycryptopp-dbg, python2.7-pycryptopp-dbg Homepage: http://allmydata.org/trac/pycryptopp Priority: extra Section: debug Filename: pool/main/p/pycryptopp/python-pycryptopp-dbg_0.5.29-1_armhf.deb Size: 1623902 SHA256: b8b98ac33fdf2093ada7f071f703a170a668239c53db5bdb88da50150bd34bcb SHA1: f7e3ac81637fdfd1d532d829517f8ba15debe273 MD5sum: 773204b5b4183afe801ce0b08d406c92 Description: Python wrappers for the Crypto++ library (debug extension) PyCryptopp is a set of Python wrappers for a few of the best crypto algorithms from the Crypto++ library (including SHA-256, AES, RSA signatures and Elliptic Curve DSA signatures). . This package contains the extension built for the Python debug interpreter. Package: python-pycurl Source: pycurl Version: 7.19.0-5 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 261 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.25.0), libgcrypt11 (>= 1.4.5), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Suggests: libcurl4-gnutls-dev, python-pycurl-dbg Homepage: http://pycurl.sourceforge.net Priority: optional Section: python Filename: pool/main/p/pycurl/python-pycurl_7.19.0-5_armhf.deb Size: 85028 SHA256: b282da7f7cf66f136e11725d7957d50121bbd366231b31ccc91be4f47cadcd63 SHA1: b8f0ea3597a6a56a6fabe78bffbb4aa30e71ce60 MD5sum: f3c1254592951b11bb40905c5f0b2e91 Description: Python bindings to libcurl This module provides the Python bindings to libcurl. Please refer to the libcurl documentation available in libcurl4-gnutls-dev Debian package. . NOTE: the SSL support is provided by GnuTLS. Package: python-pycurl-dbg Source: pycurl Version: 7.19.0-5 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 589 Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.25.0), libgcrypt11 (>= 1.4.5), python-dbg, python-pycurl (= 7.19.0-5) Homepage: http://pycurl.sourceforge.net Priority: extra Section: debug Filename: pool/main/p/pycurl/python-pycurl-dbg_7.19.0-5_armhf.deb Size: 211104 SHA256: 00c7d0c0b43dc46a09c3ad23e4cfc921c478f564ec82a7bdf1f54a8d9941ce9c SHA1: a3ae94fa7f42ae4688acacbf1b0f1039acfe2d18 MD5sum: bad47c18366de06e5c56a2c2cb3a6b5e Description: Python bindings to libcurl (debug extension) This module provides the Python bindings to libcurl. Please refer to the libcurl documentation available in libcurl4-gnutls-dev Debian package. . This package contains the extension built for the Python debug interpreter. Package: python-pydds Source: dds Version: 2.1.2+ddd105-1 Architecture: armhf Maintainer: Christoph Berg Installed-Size: 210 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-pydds, python2.7-pydds Homepage: http://privat.bahnhof.se/wb758135/ Priority: extra Section: python Filename: pool/main/d/dds/python-pydds_2.1.2+ddd105-1_armhf.deb Size: 86394 SHA256: e752005bdb2229a3b1c07a22219e25c97d606313fcba3996ab56c9f26c40941e SHA1: 82427963ae8ba38fa34336c253a1e6fb79886db5 MD5sum: 6838dc68b45f2df7171fb96af81d37b8 Description: bridge double dummy solver - Python extension dds is a double dummy solver. This package contains pydds, the Python extension. . A double dummy solver computes the optimal line of play for a bridge deal, with all hands open (both sides dummy). Package: python-pydhcplib Source: pydhcplib Version: 0.6.2-3 Installed-Size: 181 Maintainer: Philipp Kern Architecture: all Provides: python2.6-pydhcplib, python2.7-pydhcplib Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 31120 SHA256: 788dc0710f221c5a02ed85fdaeefebc64fd02b7886689b4f5240184919e0c9f9 SHA1: b3f42366fe8e9ccb3796aebf9688c1c735e8d2f0 MD5sum: 73f1f4a3544950e6f4eb8342273c2fa2 Description: Python DHCP client/server library pydhcplib is a Python library which provides two levels of support for DHCP clients and servers. The first is the low-level support for encoding and decoding DHCP packets (the library supports both RFC 2131 and RFC 2132 options). The second is support communicate as a client or as a server, sending and receiving packets over the wire. Section: python Priority: optional Filename: pool/main/p/pydhcplib/python-pydhcplib_0.6.2-3_all.deb Package: python-pydirector Source: pydirector Version: 1.0.0-2 Installed-Size: 204 Maintainer: Debian Calendarserver Team Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0), python-twisted-core Size: 26646 SHA256: 585a3dab108a3af22db822b872f133c1108c9a336ead8ce4c97db6de99556210 SHA1: e6838385df29f9bf20db0b751fb7072732f952b4 MD5sum: d9f2e67efdfd436b057836560314f491 Description: pure Python TCP load balancer pydirector takes inbound TCP connections and connects them to one of a number of backend servers. It's * async I/O based * supports multiple scheduling algorithms like random, round robin, leastconns, last recently used * transparently detects failed servers Python-Version: 2.6, 2.7 Section: python Priority: extra Filename: pool/main/p/pydirector/python-pydirector_1.0.0-2_all.deb Package: python-pydoctor Source: pydoctor Version: 0.3+bzr567-1 Installed-Size: 372 Maintainer: Debian Calendarserver Team Architecture: all Depends: python (>= 2.4), python-support (>= 0.90.0), python-nevow, python-epydoc Size: 55154 SHA256: 712d176b60d910c34af627231f295bb9f1d52130c85cd2e4b6f3c092b0c8d978 SHA1: a4b2cf059b3f0688818c3fa3df21a0e22b7ddd1a MD5sum: 234676f30665dd96f08cb9796b2f6c69 Description: Python API document generator Pydoctor is a tool for generating API documentation for Python modules based on their docstrings via static analysis. . It was written primarily to replace epydoc for the purposes of the Twisted project as epydoc has difficulties with zope.interface. Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib Section: python Priority: optional Filename: pool/main/p/pydoctor/python-pydoctor_0.3+bzr567-1_all.deb Package: python-pydot Source: pydot Version: 1.0.2-1 Installed-Size: 136 Maintainer: Peter Collingbourne Architecture: all Depends: python (>= 2.4), python-support (>= 0.7.1), python-pyparsing (>= 1.4.10), graphviz Conflicts: dot2tex (<< 2.8.0) Size: 20194 SHA256: d30a99a860705c4f4445f3608e280082cff4189485a7d65ef6116e56174609cd SHA1: 53329519cafcd65c48cc90ca85644680bad94ea8 MD5sum: e555885c0872dc813ca511d99559b198 Description: Python interface to Graphviz's dot This package provides you with a full Python interface for creating, handling, modifying and processing graphs in Graphviz's dot language. Homepage: http://dkbza.org/pydot.html Python-Version: 2.4, 2.5 Tag: devel::lang:python, field::mathematics, field::statistics, implemented-in::python, role::shared-lib, use::viewing Section: python Priority: optional Filename: pool/main/p/pydot/python-pydot_1.0.2-1_all.deb Package: python-pydoubles Source: pydoubles Version: 1.4-2 Installed-Size: 103 Maintainer: David Villa Alises Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python-hamcrest Size: 13270 SHA256: 052e979f59c7536296c58476f3963c5936ab047e687d820d0d878ac3412c5879 SHA1: 488e07d5f84ec4aa33a60bd7d1a0acb3f459f99a MD5sum: 6023426706522355c49d0e5d8b49c4a4 Description: pyDoubles is a test doubles framework for the Python platform. pyDoubles is a test doubles framework for the Python platform. Test doubles frameworks are also called mocking or isolation frameworks. pyDoubles can be used as a testing tool or as a Test Driven Development tool. . It generates stubs, spies, and mock objects using a fluent interface that will make your unit tests more readable. Moreover, it’s been designed to make your tests less fragile when possible. Homepage: http://www.pydoubles.org Section: python Priority: optional Filename: pool/main/p/pydoubles/python-pydoubles_1.4-2_all.deb Package: python-pyds9 Version: 1.4-1 Installed-Size: 81 Maintainer: Debian Science Maintainers Architecture: all Depends: libxpa1, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: saods9, python-numpy, python-pyfits, xpa-tools Size: 11910 SHA256: 7879d97fdf948a375105fc81f0a7f19c6c6d79e760149291d0a5c3bc8ec4307e SHA1: b6961b220d459fa0246ac360f3123950a926003f MD5sum: f64b26bb8c33d0a1aff024a1c5c54641 Description: Communication with the ds9 image display program The XPA messaging system provides seamless communication between many kinds of Unix programs, including Tcl/Tk programs such as ds9. The pyds9 module uses a Python interface to XPA to communicate with ds9. It supports communication with all of ds9’s XPA access points. Homepage: http://hea-www.harvard.edu/saord/ds9/pyds9/ Section: python Priority: optional Filename: pool/main/p/python-pyds9/python-pyds9_1.4-1_all.deb Package: python-pyentropy Source: pyentropy Version: 0.4.1-1 Installed-Size: 73 Maintainer: Yaroslav Halchenko Architecture: all Provides: python2.6-pyentropy, python2.7-pyentropy Depends: python, python-support (>= 0.90.0), python-numpy (>= 1.3) Recommends: python-scipy Suggests: python-nose Size: 21112 SHA256: 194caadc55079e009d20219beb940eca761ee35fa87aa6c55d046bf10e2ae848 SHA1: aade379480f4f5e93dd8562462cf686a385d8a46 MD5sum: 22077799a7b11e527faaebd64b63c4e6 Description: Python module for estimation information theoretic quantities A Python module for estimation of entropy and information theoretic quantities using cutting edge bias correction methods, such as * Panzeri-Treves (PT) * Quadratic Extrapolation (QE) * Nemenman-Shafee-Bialek (NSB) Homepage: http://code.google.com/p/pyentropy Python-Version: 2.6, 2.7 Section: python Priority: extra Filename: pool/main/p/pyentropy/python-pyentropy_0.4.1-1_all.deb Package: python-pyepl Source: pyepl Version: 1.1.0-3.1 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 1967 Depends: python (<< 2.8), python (>= 2.6), python-central (>= 0.6.11), python-pyepl-common (= 1.1.0-3.1), python-numpy, python-imaging, python-pygame, python-pyode, python-opengl, ttf-dejavu, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libode1, libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0) Conflicts: python2.3-pyepl, python2.4-pyepl Replaces: python2.3-pyepl, python2.4-pyepl Provides: python2.6-pyepl, python2.7-pyepl Homepage: http://pyepl.sourceforge.net/ Priority: optional Section: python Filename: pool/main/p/pyepl/python-pyepl_1.1.0-3.1_armhf.deb Size: 545934 SHA256: fb09e51dd10e7e01225d2110805bc07957adca325ab630d051da2c787901464d SHA1: 046c50dce694fb28c5fa90179181f6cc6fbec04d MD5sum: a34afb85f96920bc778936446f38faeb Description: module for coding psychology experiments in Python PyEPL is a stimuli delivery and response registration toolkit to be used for generating psychology (as well as neuroscience, marketing research, and other) experiments. . It provides - presentation: both visual and auditory stimuli - responses registration: both manual (keyboard/joystick) and sound (microphone) time-stamped - sync-pulsing: synchronizing your behavioral task with external acquisition hardware - flexibility of encoding various experiments due to the use of Python as a description language - fast execution of critical points due to the calls to linked compiled libraries . This toolbox is here to be an alternative for a widely used commercial product E'(E-Prime) . This package provides PyEPL for supported versions of Python. Python-Version: 2.6, 2.7 Package: python-pyepl-common Source: pyepl Version: 1.1.0-3.1 Installed-Size: 840 Maintainer: NeuroDebian Team Architecture: all Depends: python Size: 817762 SHA256: 554ac45feba2ef71cfa4d861cbee54606dd5f250548c6e52bdc6e613bdb03ea6 SHA1: d10af79dc5114ae96b65a626211fbc9dfcc2839b MD5sum: 4659107128001f6f22212490bc14fdea Description: module for coding psychology experiments in Python PyEPL is a stimuli delivery and response registration toolkit to be used for generating psychology (as well as neuroscience, marketing research, and other) experiments. . It provides - presentation: both visual and auditory stimuli - responses registration: both manual (keyboard/joystick) and sound (microphone) time-stamped - sync-pulsing: synchronizing your behavioral task with external acquisition hardware - flexibility of encoding various experiments due to the use of Python as a description language - fast execution of critical points due to the calls to linked compiled libraries . This toolbox is here to be an alternative for a widely used commercial product E'(E-Prime) . This package provides common files such as images. Homepage: http://pyepl.sourceforge.net/ Tag: role::app-data Section: python Priority: optional Filename: pool/main/p/pyepl/python-pyepl-common_1.1.0-3.1_all.deb Package: python-pyevolve Source: pyevolve Version: 0.6~rc1+svn398+dfsg-2 Installed-Size: 380 Maintainer: Christian Kastner Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0) Recommends: python-pyevolve-doc, python-matplotlib (>= 0.98.5), python-tk, python-numpy, python-pydot Suggests: python-visual, python-mysqldb Size: 65342 SHA256: a1eea1d338b56d0d3532c165b0887c7fce9b7ab4f64cca78b5257dac19101638 SHA1: a9b994ac84ed285e90ea196e166640207a498182 MD5sum: 6b3e93370e918e672d530c04f3381f06 Description: Complete genetic algorithm framework Pyevolve was developed to be a complete genetic algorithm framework written in pure Python. It provides an easy-to-use API, implementing the most common features of GA, including various selectors and scaling schemes. It is also easily extendable, allowing users to create new representations and genetic operators. Various methods of interactive and non-interactive visualization are supported. . This package contains the Python modules. Homepage: http://pyevolve.sourceforge.net Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, role::shared-lib Section: python Priority: optional Filename: pool/main/p/pyevolve/python-pyevolve_0.6~rc1+svn398+dfsg-2_all.deb Package: python-pyevolve-doc Source: pyevolve Version: 0.6~rc1+svn398+dfsg-2 Installed-Size: 2736 Maintainer: Christian Kastner Architecture: all Recommends: python-pyevolve, libjs-jquery Size: 1300838 SHA256: e372cd3951b9b89aadf5e700403fe05f093940c7b701bc040e8f53ff552d0e05 SHA1: d27c3940fa9bb186a4c0e3588c55fb3d6decff94 MD5sum: ca2af4cc091de9cc662557ac0fb28a47 Description: Documentation for the Pyevolve genetic algorithm framework Pyevolve was developed to be a complete genetic algorithm framework written in pure Python. It provides an easy-to-use API, implementing the most common features of GA, including various selectors and scaling schemes. It is also easily extendable, allowing users to create new representations and genetic operators. Various methods of interactive and non-interactive visualization are supported. . This package contains the documentation for Pyevolve in HTML form, and some examples. Homepage: http://pyevolve.sourceforge.net Tag: devel::doc, devel::examples, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/p/pyevolve/python-pyevolve-doc_0.6~rc1+svn398+dfsg-2_all.deb Package: python-pyexiv2 Source: pyexiv2 Version: 0.3.2-5 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 747 Depends: libboost-python1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libexiv2-12, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: python-pyexiv2-doc Breaks: lazygal (<< 0.5), phatch-cli (<< 0.2.7.1-1~) Provides: python2.6-pyexiv2, python2.7-pyexiv2 Homepage: http://tilloy.net/dev/pyexiv2/ Priority: optional Section: python Filename: pool/main/p/pyexiv2/python-pyexiv2_0.3.2-5_armhf.deb Size: 170480 SHA256: 1b911d67f7fa9772174f25b1e81b7b2af89519cd3c713f4e167993a911199b64 SHA1: 9139f65e04ca4f0719e15e538b03cb861268e863 MD5sum: 31b884eb02c4e135e43e99a88d3bd5fa Description: Python binding to Exiv2 pyexiv2 is a Python binding to Exiv2, the C++ library for manipulation of EXIF and IPTC image metadata. It is a Python module that allows your Python scripts to read and write metadata (EXIF, IPTC, XMP, thumbnail) embedded in image files (JPEG, TIFF, ...). . It is designed as a high level interface to the functionalities offered by exiv2 (and is built on top of it). Using Python's built-in data types and standard modules, it provides easy manipulation of image metadata. Package: python-pyexiv2-doc Source: pyexiv2 Version: 0.3.2-5 Installed-Size: 422 Maintainer: Michal Čihař Architecture: all Depends: libjs-jquery Recommends: python-pyexiv2 Size: 115238 SHA256: a5dbdc5bb179812bac1a1f5ca68f6acd80583f708947209781f91dbdd3c8c293 SHA1: c5ca9a1ad3d54c779e913b5750b7ed3e086adeb7 MD5sum: 97fb7209b8e86c3208e0236107f791d7 Description: Documentation for Python binding to Exiv2 pyexiv2 is a Python binding to Exiv2, the C++ library for manipulation of EXIF and IPTC image metadata. It is a Python module that allows your Python scripts to read and write metadata (EXIF, IPTC, XMP, thumbnail) embedded in image files (JPEG, TIFF, ...). . It is designed as a high level interface to the functionalities offered by exiv2 (and is built on top of it). Using Python's built-in data types and standard modules, it provides easy manipulation of image metadata. . This package contains documentation. Homepage: http://tilloy.net/dev/pyexiv2/ Tag: role::documentation Section: doc Priority: optional Filename: pool/main/p/pyexiv2/python-pyexiv2-doc_0.3.2-5_all.deb Package: python-pyface Version: 4.1.0-1 Installed-Size: 2796 Maintainer: Debian Python Modules Team Architecture: all Replaces: python-traitsbackendqt, python-traitsbackendwx Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-traits, python-wxgtk2.8, python-qt4 Breaks: python-traitsbackendqt, python-traitsbackendwx Size: 537288 SHA256: 069ade844ce441cb0a722f4531676f6f09248082f0b94d3b68be3cb079d85411 SHA1: 1ddc61b1e8da9747e52835fe0c9459409e75da45 MD5sum: 1e976508117b85ae597de519597f6937 Description: traits-capable windowing framework The pyface project contains a toolkit-independent GUI abstraction layer, which is used to support the "visualization" features of the Traits package. Thus, you can write code in terms of the Traits API (views, items, editors, etc.), and let pyface and your selected toolkit and back-end take care of the details of displaying them. . The following GUI backends are supported: o wxPython o PyQt o PySide Homepage: http://pypi.python.org/pypi/pyface Section: python Priority: optional Filename: pool/main/p/python-pyface/python-pyface_4.1.0-1_all.deb Package: python-pyfann Source: libfann Version: 2.1.0~beta~dfsg-8 Architecture: armhf Maintainer: Christian Kastner Installed-Size: 508 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libfann2 (= 2.1.0~beta~dfsg-8) Suggests: libfann-dev (= 2.1.0~beta~dfsg-8), libfann-doc (= 2.1.0~beta~dfsg-8) Homepage: http://leenissen.dk/fann/wp/ Priority: optional Section: python Filename: pool/main/libf/libfann/python-pyfann_2.1.0~beta~dfsg-8_armhf.deb Size: 156426 SHA256: a6fbfba3039df5fcf12f0d65de1311c3f7a89d6b5c3e35170c21da3c06873479 SHA1: a1524d1e631365e91df1cc7b70de64ea774a0030 MD5sum: 1b8d88fba0bf2259201e1fcf30b4dc80 Description: Python bindings for FANN Fast Artificial Neural Network Library is a free open source neural network library, which implements multilayer artificial neural networks in C with support for both fully connected and sparsely connected networks. Cross-platform execution in both fixed and floating point are supported. It includes a framework for easy handling of training data sets. It is easy to use, versatile, well documented, and fast. A Python binding is available, and bindings for PHP, C++, .NET, Delphi, Octave, Ruby, Pure Data and Mathematica can be downloaded from FANN's homepage. . This package contains the Python wrapper for FANN. Package: python-pyfann-dbg Source: libfann Version: 2.1.0~beta~dfsg-8 Architecture: armhf Maintainer: Christian Kastner Installed-Size: 936 Depends: python-pyfann (= 2.1.0~beta~dfsg-8) Homepage: http://leenissen.dk/fann/wp/ Priority: extra Section: debug Filename: pool/main/libf/libfann/python-pyfann-dbg_2.1.0~beta~dfsg-8_armhf.deb Size: 336980 SHA256: dd0574ddaa28d6413d5748fbd372f240d496af5f2824b58ab2a2d9aa8642c4f0 SHA1: a9652ce1f65fe75b2817bc85b1e79c3f1dc49964 MD5sum: 6105c331411e190bab8a17a64ead255b Description: Debugging symbols for FANN Python wrapper Fast Artificial Neural Network Library is a free open source neural network library, which implements multilayer artificial neural networks in C with support for both fully connected and sparsely connected networks. Cross-platform execution in both fixed and floating point are supported. It includes a framework for easy handling of training data sets. It is easy to use, versatile, well documented, and fast. A Python binding is available, and bindings for PHP, C++, .NET, Delphi, Octave, Ruby, Pure Data and Mathematica can be downloaded from FANN's homepage. . This package contains the debugging symbols for the FANN Python wrapper. Package: python-pyfiglet Source: pyfiglet Version: 0.6+dfsg-1 Installed-Size: 176 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8) Recommends: toilet-fonts Suggests: figlet Size: 13512 SHA256: 49922d54fd055f9e6302839dc13136daf2b14c7f59d592a1144b132e163adb15 SHA1: 090dc18e5257a6c8403103a8b7419cccecc4a001 MD5sum: 2e3071a6e2ff246e644b23f3845efd48 Description: Python port of the FIGlet specification FIGLet is a program that creates large characters out of ordinary screen characters. It takes ASCII text and renders it in ASCII art fonts. . This is a Python port of the original C figlet. It can be used on the commandline or as an Object Oriented driver library in your own programs. Homepage: http://sourceforge.net/projects/pyfiglet/ Tag: devel::lang:python, devel::library, implemented-in::python, interface::commandline, role::devel-lib, role::program, scope::utility, use::converting, works-with::text Section: python Priority: optional Filename: pool/main/p/pyfiglet/python-pyfiglet_0.6+dfsg-1_all.deb Package: python-pyfits Source: pyfits Version: 1:3.0.8-2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 1645 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4), python (<< 2.8), python (>= 2.6.6-7~), python-numpy (>= 1:1.6.1), python-numpy-abi9, python-pkg-resources, python2.7 | python2.6 Suggests: fitscheck Provides: python2.6-pyfits, python2.7-pyfits Homepage: http://www.stsci.edu/resources/software_hardware/pyfits Priority: optional Section: python Filename: pool/main/p/pyfits/python-pyfits_3.0.8-2_armhf.deb Size: 465028 SHA256: a45b55b396a4140514df66d12b0fa3915eb7c7a3e19ba1a874e8de0dfa8f33d8 SHA1: 9f781fd939609629513dd26716f2298194eda28a MD5sum: f168179b0a7404a178bbb140d15aa8fa Description: Python module for reading, writing, and manipulating FITS files FITS (Flexible Image Transport System) is a data format most used in astronomy. PyFITS is a Python module for reading, writing, and manipulating FITS files. The module uses Python's object-oriented features to provide quick, easy, and efficient access to FITS files. The use of Python's array syntax enables immediate access to any FITS extension, header cards, or data items. . This package provides PyFITS on the Python 2 module path. It is complemented by python3-pyfits. Package: python-pyfribidi Source: pyfribidi Version: 0.11.0+repack-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 80 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libfribidi0 (>= 0.19.2) Homepage: http://pyfribidi.sourceforge.net/ Priority: optional Section: python Filename: pool/main/p/pyfribidi/python-pyfribidi_0.11.0+repack-1_armhf.deb Size: 11346 SHA256: 45b1029f2970f52ee7d385a2af3c86a903d9f331926c86f6d42a3381180ae64a SHA1: 10c618fca5b4e9b653cecea18ec3dc948509df7c MD5sum: 93107edcdb2599db8ecf3e1baad22c26 Description: FriBidi Python bindings FriBiDi is a bidirectional algorithm implementation for Hebrew and/or Arabic languages. . This package contains the Python module for the FriBidi C library. Package: python-pyfribidi-dbg Source: pyfribidi Version: 0.11.0+repack-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 114 Depends: python-pyfribidi (= 0.11.0+repack-1), libc6 (>= 2.13-28), libfribidi0 (>= 0.19.2) Homepage: http://pyfribidi.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/p/pyfribidi/python-pyfribidi-dbg_0.11.0+repack-1_armhf.deb Size: 26346 SHA256: 3bb2bb9dbfd296fef1b4a8ffb3128adf1fdbd3b3476305b3d6baafcdb7a0cfcb SHA1: dd5baba53b940feb1cfb2638d0c58a7b02668aff MD5sum: 38d9889c6bc303480145b53fb73959a8 Description: FriBidi Python bindings (debug symbols) FriBiDi is a bidirectional algorithm implementation for Hebrew and/or Arabic languages. . This package contains detached debugging symbols for python-pyfribidi. Package: python-pyftpdlib Version: 0.7.0-1 Installed-Size: 311 Maintainer: Janos Guljas Architecture: all Provides: python2.6-pyftpdlib, python2.7-pyftpdlib Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 68718 SHA256: 1259e105774b49945dc7aa173c130a689f8ed3d3129d33b8ee47e1145c877765 SHA1: d0c4295efab8a90dd7112763a898e14581da4439 MD5sum: 8551dd46b1b1fe13333842296a839156 Description: Python FTP server library Python FTP server library provides a high-level portable interface to easily write asynchronous FTP servers with Python. . pyftpdlib is currently the most complete RFC-959 FTP server implementation available for Python programming language. It is used in projects like Google Chromium and Bazaar. Homepage: http://code.google.com/p/pyftpdlib/ Section: python Priority: optional Filename: pool/main/p/python-pyftpdlib/python-pyftpdlib_0.7.0-1_all.deb Package: python-pygame Source: pygame Version: 1.9.1release+dfsg-8 Architecture: armhf Maintainer: Ed Boraas Installed-Size: 7371 Depends: python-numpy (>= 1:1.6.1), python-numpy-abi9, ttf-freefont, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libportmidi0, libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libsmpeg0, libx11-6 Suggests: timidity Conflicts: python2.3-pygame, python2.4-pygame Replaces: python2.3-pygame, python2.4-pygame Provides: python2.6-pygame, python2.7-pygame Homepage: http://www.pygame.org/ Priority: optional Section: python Filename: pool/main/p/pygame/python-pygame_1.9.1release+dfsg-8_armhf.deb Size: 2843632 SHA256: b22be6e0e27e532b1cd203314e8cb54b0d8c1621366d1763ef60c8bc81bc05b8 SHA1: 014609fc2abaddebffe1edb63bb7ed8829b3f733 MD5sum: 38dfdbee9cf18ad1cd3fdef905386720 Description: SDL bindings for games development in Python A multimedia development kit for Python. Pygame provides modules for you to access the video display, play sounds, track time, read the mouse and joystick, control the CD player, render true type fonts and more. It does this using mainly the cross-platform SDL library, a lightweight wrapper to OS-specific APIs. . This package also includes Pygame's API documentation and examples. Package: python-pygccxml Source: pygccxml Version: 1.0.0-4 Installed-Size: 12964 Maintainer: Aron Xu Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), gccxml Size: 1536858 SHA256: 3d5da45ac913848156d66561bbdaf3c1d67410f61b430e1dd1735770e73ab695 SHA1: a965d9f9e77cb9115d8c2ee52f69bf8bf04f87e2 MD5sum: 9f957b6edf4e4188e5b2422ac84c34b3 Description: specialized XML reader reads the output from gccxml The purpose of pygccxml is to read a generated file and provide a simple framework to navigate C++ declarations using Python classes. . This package provides the Python module that can be import for uses. Homepage: http://www.language-binding.net/pygccxml/pygccxml.html Section: python Priority: optional Filename: pool/main/p/pygccxml/python-pygccxml_1.0.0-4_all.deb Package: python-pygetdata Source: libgetdata Version: 0.7.3-6 Architecture: armhf Maintainer: Michael Milligan Installed-Size: 292 Depends: libgetdata4 (= 0.7.3-6), python-numpy, python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), zlib1g (>= 1:1.1.4) Breaks: libgetdata-python (<< 0.7.3-1) Replaces: libgetdata-python (<< 0.7.3-1) Homepage: http://getdata.sourceforge.net/ Priority: extra Section: python Filename: pool/main/libg/libgetdata/python-pygetdata_0.7.3-6_armhf.deb Size: 118444 SHA256: 8d8f3beefac25ae347279e52097725757c6cb5e31b0d887b2a5a31e295d74924 SHA1: 4c3bd6097c99ab741f72ddaad66abc3abbcd496c MD5sum: 1bd72dd1129b731d062bb67b6eafe865 Description: library to read/write dirfile data - python bindings The GetData Project is the reference implementation of the Dirfile Standards, a filesystem-based, column-oriented database format for time-ordered binary data. The Dirfile database format is designed to provide a fast, simple format for storing and reading data. Package: python-pyglet Source: pyglet Version: 1.1.4.dfsg-2 Installed-Size: 4180 Maintainer: Debian Python Modules Team Architecture: all Provides: python2.6-pyglet, python2.7-pyglet Depends: python (>= 2.4), python-support (>= 0.90.0), python-ctypes | python (>= 2.5), libgtk2.0-0, libgl1 | libgl1-mesa-swx11, libglu1 | libglu1-mesa Recommends: libasound2 | libopenal1 Size: 970014 SHA256: 4b5b9e6d2b687673bc7f8eb57f04fea28a1a0968dcf016a582408e9f1f9d0a22 SHA1: b4bd9dfdd383892dccc2dbd5cb90f4536f64f270 MD5sum: ce07b086bda13551742299e63f7605df Description: cross-platform windowing and multimedia library This library provides an object-oriented programming interface for developing games and other visually-rich applications with Python. pyglet has virtually no external dependencies. For most applications and game requirements, pyglet needs nothing else besides Python, simplifying distribution and installation. It also handles multiple windows and fully aware of multi-monitor setups. . pyglet might be seen as an alternative to PyGame. Homepage: http://www.pyglet.org Tag: uitoolkit::gtk Section: python Priority: optional Filename: pool/main/p/pyglet/python-pyglet_1.1.4.dfsg-2_all.deb Package: python-pygments Source: pygments Version: 1.5+dfsg-1+deb7u1 Installed-Size: 2425 Maintainer: Piotr Ożarowski Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8) Suggests: ttf-bitstream-vera Size: 456340 SHA256: 74b0c4a38fc5931f355cfba53eb93286f866a1d5d9b8e87c02696e6aef1366c8 SHA1: f069727b9bef5d52947846085c1d8040365b45d5 MD5sum: 0ebc12cc7f2e00210eae10bee2e5943f Description: syntax highlighting package written in Python Pygments aims to be a generic syntax highlighter for general use in all kinds of software such as forum systems, wikis or other applications that need to prettify source code. . Highlights are: * a wide range of common languages and markup formats is supported * special attention is paid to details, increasing quality by a fair amount * support for new languages and formats are added easily * a number of output formats, presently HTML, LaTeX and ANSI sequences * it is usable as a command-line tool and as a library Homepage: http://pygments.org/ Recommends: python-chardet, python-pkg-resources Section: python Priority: optional Filename: pool/main/p/pygments/python-pygments_1.5+dfsg-1+deb7u1_all.deb Package: python-pygoocanvas Source: pygoocanvas Version: 0.14.1-1 Architecture: armhf Maintainer: Jose Carlos Garcia Sogo Installed-Size: 753 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgoocanvas3 (>= 0.15), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpython2.7 (>= 2.7), python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), python-gtk2 Provides: python2.7-pygoocanvas Priority: optional Section: python Filename: pool/main/p/pygoocanvas/python-pygoocanvas_0.14.1-1_armhf.deb Size: 100770 SHA256: c7849de867c6aaea29003cfabb2429459c670b00653a9cb56cdcf5c0d3929434 SHA1: cec7e3e0c9f98d99eb2e5cd166c578b4057c0981 MD5sum: 63bc01e4cc589a07bdf9f7952b0e1775 Description: GooCanvas Python bindings This package include Python bindings for GooCanvas. It is needed to run programs written in Python and using GooCanvas set. Package: python-pygooglechart Source: pygooglechart Version: 0.3.0-1 Installed-Size: 148 Maintainer: Debian Python Modules Team Architecture: all Depends: python, python-support (>= 0.90.0) Size: 19322 SHA256: d03158f1800da2a4081ea2781de3f3a779411a5fc630c61f868a9b7d9f36eadf SHA1: fa4252bfcdad67a1f4742df9e496918458512543 MD5sum: d6d939ca827936d39786bf2a369873dd Description: complete wrapper for the Google Chart API Google Chart API is an extremely simple tool that lets you easily create a chart from some data. You embed the data and formatting parameters in an HTTP request, and Google returns a PNG image of the chart. . This package contains a Python wrapper for those API. . For a complete API documentation please refer to Google page: http://code.google.com/apis/chart/ . Homepage: http://pygooglechart.slowchop.com/ Python-Version: 2.5, 2.6 Section: python Priority: optional Filename: pool/main/p/pygooglechart/python-pygooglechart_0.3.0-1_all.deb Package: python-pygrace Source: pygrace Version: 0.4p2-3 Installed-Size: 126 Maintainer: Georges Khaznadar Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-numpy, grace (>= 1:5.1.22) Size: 19284 SHA256: 2854d7fae828487b167de13a4dbcba340c6f8e309390fd5f7d880cbcfe85a8eb SHA1: c1c8d2e0fcd54b6e5a373eb2ddbd8e286062f53e MD5sum: a139e7e51f7a85c7836f7cd474a6e2f8 Description: Python bindings for grace Grace is a point-and-click tool that allows the user to draw X-Y plots. . So python-grace inherits: User defined scaling, tick marks, labels, symbols, line styles, colors. Polynomial regression, splines, running averages, DFT/FFT, cross/auto-correlation, etc. . In addition, python-grace interacts nicely with numpy. Homepage: http://www.cacr.caltech.edu/~mmckerns/software.html Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, role::shared-lib, science::data-acquisition, science::plotting Section: python Priority: extra Filename: pool/main/p/pygrace/python-pygrace_0.4p2-3_all.deb Package: python-pygraph Source: python-graph Version: 1.8.1-1 Installed-Size: 869 Maintainer: Debian Python Modules Team Architecture: all Depends: python, python-support (>= 0.90.0), python-pydot, python-pkg-resources Suggests: libgv-python, python-pyparsing Size: 156300 SHA256: b7e09e7b93b153b292d6d57a71fb7ece44cd3d841e989870a5e573d7a2931160 SHA1: 1818cde09cf2d99ec1ddb65731cb80c990640eec MD5sum: fca1b550c5944edb3c098cf604552ee9 Description: library for working with graphs in Python This software provides a suitable data structure for representing graphs and a whole set of important algorithms. . Provided features and algorithms: . * Support for directed, undirected, weighted and non-weighted graphs * Support for hypergraphs * Canonical operations * XML import and export * DOT-Language output (for usage with Graphviz) * Random graph generation . * Accessibility (transitive closure) * Breadth-first search * Critical path algorithm * Cut-vertex and cut-edge identification * Cycle detection * Depth-first search * Heuristic search (A* algorithm) * Identification of connected components * Minimum spanning tree (Prim's algorithm) * Mutual-accessibility (strongly connected components) * Shortest path search (Dijkstra's algorithm) * Topological sorting * Transitive edge identification Homepage: http://code.google.com/p/python-graph/ Section: python Priority: optional Filename: pool/main/p/python-graph/python-pygraph_1.8.1-1_all.deb Package: python-pygraphviz Version: 1.1-2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 459 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28), libcdt4, libcgraph5, graphviz (>= 2.16) Provides: python2.6-pygraphviz, python2.7-pygraphviz Homepage: http://networkx.lanl.gov/pygraphviz/ Priority: optional Section: python Filename: pool/main/p/python-pygraphviz/python-pygraphviz_1.1-2_armhf.deb Size: 118158 SHA256: 7d5dee6e245ca5f989e57fcf4e1735236b3d323773fb8410bf25bdcb9bcc6b16 SHA1: 819419197c6d077a690e38a94a06ad8a0b9d6b91 MD5sum: b84fe255e2a5f49d02db618f354b7553 Description: Python interface to the Graphviz graph layout and visualization package Pygraphviz is a Python interface to the Graphviz graph layout and visualization package. . With Pygraphviz you can create, edit, read, write, and draw graphs using Python to access the Graphviz graph data structure and layout algorithms. Package: python-pygraphviz-dbg Source: python-pygraphviz Version: 1.1-2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 605 Depends: python-pygraphviz (= 1.1-2), python-dbg, libc6 (>= 2.13-28), libcdt4, libcgraph5 Homepage: http://networkx.lanl.gov/pygraphviz/ Priority: extra Section: debug Filename: pool/main/p/python-pygraphviz/python-pygraphviz-dbg_1.1-2_armhf.deb Size: 191932 SHA256: 731c921fef2034abe1b8be82e977ab9393ba1c7f8ef7a618c1887bdf77bf5878 SHA1: 85282654cb0000798bc967abb8715ecdbad56f40 MD5sum: cdcbfcdbbefacadb8882a033ee36569f Description: Python interface to the Graphviz graph layout and visualization package (debug extension) Pygraphviz is a Python interface to the Graphviz graph layout and visualization package. . With Pygraphviz you can create, edit, read, write, and draw graphs using Python to access the Graphviz graph data structure and layout algorithms. . This package contains the debug extension for python-openssl. Package: python-pygresql Source: pygresql Version: 1:4.0-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 416 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-egenix-mxdatetime, libc6 (>= 2.13-28), libpq5 Suggests: python-pygresql-dbg Conflicts: python2.3-pygresql, python2.4-pygresql Replaces: python2.3-pygresql, python2.4-pygresql Provides: python-pg, python2.6-pygresql, python2.7-pygresql Priority: optional Section: python Filename: pool/main/p/pygresql/python-pygresql_4.0-3_armhf.deb Size: 116898 SHA256: 271995edb8f59393c223477aed650eae86b4a96c6157d0ff40b8f71a469d9cbc SHA1: 1c6b026a22fcf84ec6704eb64546287c7429d39d MD5sum: 3c83551a89b451e451a17f17fb2f5aa7 Description: PostgreSQL module for Python PyGreSQL is a Python module that interfaces to a PostgreSQL database. It embeds the PostgreSQL query library to allow easy use of the powerful PostgreSQL features from a Python script. Python-Version: 2.6, 2.7 Package: python-pygresql-dbg Source: pygresql Version: 1:4.0-3 Architecture: armhf Maintainer: Debian QA Group Installed-Size: 441 Depends: python-pygresql (= 1:4.0-3), python-dbg, libc6 (>= 2.13-28), libpq5, python-egenix-mxdatetime-dbg Provides: python-pg Priority: extra Section: debug Filename: pool/main/p/pygresql/python-pygresql-dbg_4.0-3_armhf.deb Size: 161314 SHA256: e25351b9ffd519ef9707aade866a7d9b1fc91d6e8a4fa88ec8c2253f0bf1b396 SHA1: cb482d56cc0b56071850b99df3acfbcf5f3ecb42 MD5sum: 61528081d7b7169c478479dd0b02a82b Description: PostgreSQL module for Python (debug extension) PyGreSQL is a Python module that interfaces to a PostgreSQL database. It embeds the PostgreSQL query library to allow easy use of the powerful PostgreSQL features from a Python script. . This package contains the extension built for the Python debug interpreter. Package: python-pyhsm Version: 1.0.4-1 Installed-Size: 170 Maintainer: Yubico Open Source Maintainers Architecture: all Provides: python2.7-pyhsm Depends: python, python-support (>= 0.90.0), python-crypto, python-serial Recommends: yhsm-tools Suggests: python-argparse, yhsm-docs Size: 39658 SHA256: e2b056563d40a3bf3f86308e34ebf3c2443b27224e589a3925d2261374ab5c5e SHA1: 90bbd6f40fda1651f154b72fca86bd9313a285f7 MD5sum: f8549a551836714813ebda8a3e003bf0 Description: Python code for talking to a Yubico YubiHSM hardware YubiHSM is an easy to use and affordable crypto appliance that you connect to the USB port of a server. You can then store cryptographic keys on the YubiHSM and use them from the server without any possibility for an attacker to extract the crypto keys from the YubiHSM. . Supported operations include YubiKey OTP validation, AES ECB encrypt/decrypt/decrypt-compare, HMAC-SHA1 hashing (enabling OATH code validation), and Random number entropy generation. . This package contains the core Python code for interacting with the YubiHSM. Homepage: https://github.com/Yubico/python-pyhsm Python-Version: 2.7 Section: python Priority: optional Filename: pool/main/p/python-pyhsm/python-pyhsm_1.0.4-1_all.deb Package: python-pyicu Source: pyicu Version: 1.4-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 988 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.3.0), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Provides: python2.6-pyicu, python2.7-pyicu Homepage: http://pyicu.osafoundation.org/ Priority: optional Section: python Filename: pool/main/p/pyicu/python-pyicu_1.4-1_armhf.deb Size: 335110 SHA256: 0fe018380388a9a0caf4af8f44a4e3e870dda5dc7cce61ca32f021455dee9a1a SHA1: 97e36f9df21399bfc575bfe7112c67c47a547efe MD5sum: bb320f1c1a9e145307999103c9a7eee4 Description: Python extension wrapping the ICU C++ API ICU is a C++ and C library that provides robust and full-featured Unicode and locale support. This package provides an extension for Python which wraps the ICU C++ API. Package: python-pyicu-dbg Source: pyicu Version: 1.4-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 4967 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libicu48 (>= 4.8-1), libstdc++6 (>= 4.3.0), python2.7-dbg | python2.6-dbg, python-dbg (>= 2.6), python-dbg (<< 2.8), python-pyicu (= 1.4-1) Provides: python2.6-pyicu-dbg, python2.7-pyicu-dbg Homepage: http://pyicu.osafoundation.org/ Priority: extra Section: debug Filename: pool/main/p/pyicu/python-pyicu-dbg_1.4-1_armhf.deb Size: 1650992 SHA256: 0f6a89b167eb111bd675fdf3e4440325742cd5274d400b3c6bcb09adf584d145 SHA1: fd431fe25b7582ce1281cf950187a1e1a002a44f MD5sum: 576b313ad075c336bc6e04d4c982aacc Description: Python extension wrapping the ICU C++ API (debug extension) ICU is a C++ and C library that provides robust and full-featured Unicode and locale support. This package provides an extension for Python which wraps the ICU C++ API. . This package contains the extension built for the Python debug interpreter. Package: python-pyinotify Source: pyinotify Version: 0.9.3-1.1 Installed-Size: 92 Maintainer: Mikhail Gusarov Architecture: all Provides: python2.6-pyinotify, python2.7-pyinotify Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python-pyinotify-doc Size: 29524 SHA256: 216989520cc238c9a3494297c874b3422f5345d567546441bf210d62bdd978ac SHA1: 0c9cf1445627b1d9e8b59fa2f501428fa4e09f66 MD5sum: fe6e153ccca165f85f7c7fcbd7fcec73 Description: simple Linux inotify Python bindings pyinotify is a simple wrapper for the Linux inotify mechanism. . inotify is a Linux Kernel feature available since 2.6.13. inotify makes it possible for applications to easily be notified of filesystem changes. Homepage: https://github.com/seb-m/pyinotify Tag: devel::lang:python, implemented-in::c, role::shared-lib Section: python Priority: optional Filename: pool/main/p/pyinotify/python-pyinotify_0.9.3-1.1_all.deb Package: python-pyinotify-doc Source: pyinotify Version: 0.9.3-1.1 Installed-Size: 1236 Maintainer: Mikhail Gusarov Architecture: all Recommends: python-pyinotify, python3-pyinotify Size: 131268 SHA256: c223d9f9ca4ca39c1918e816cf61720f50750c7d9b6c755919d8eabd6d6357cd SHA1: 6ac19c2fd0d16279d44f684df95b4151e2ce21c5 MD5sum: e323ff13152195082fe193fdf812f7c8 Description: simple Linux inotify Python bindings -- documentation pyinotify is a simple wrapper for the Linux inotify mechanism. . inotify is a Linux Kernel feature available since 2.6.13. inotify makes it possible for applications to easily be notified of filesystem changes. . This package contains API documentation and examples. Homepage: https://github.com/seb-m/pyinotify Tag: admin::kernel, devel::doc, devel::examples, devel::lang:python, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/p/pyinotify/python-pyinotify-doc_0.9.3-1.1_all.deb Package: python-pyip Source: pyip Version: 0.7-1 Installed-Size: 80 Maintainer: Debian Python Modules Team Architecture: all Depends: python-support (>= 0.2) Size: 15120 SHA256: d60b7561b0946b12cd2283a5b00bc4d90f817c9033f8c5cd9874245315d4257b SHA1: e77096dba1597fa6267a33caae94364bf0e1e4f2 MD5sum: 6777dcb88634dc3898b8630b0ff8b3d2 Description: Python modules for raw ip packet assembling/disassembling pyip is a Python package offering modules to assemble/disassemble raw ip packets, including ip, udp, and icmp. The package comes with an implementation of ping and traceroute, using the raw ip modules. . Homepage: http://cheeseshop.python.org/pypi/pyip/ Section: python Priority: optional Filename: pool/main/p/pyip/python-pyip_0.7-1_all.deb Package: python-pyisomd5sum Source: isomd5sum Version: 1:1.0.7+git.20110618.6c9cd2f-1 Architecture: armhf Maintainer: Ryan Finnie Installed-Size: 98 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Suggests: genisoimage Provides: python2.6-pyisomd5sum, python2.7-pyisomd5sum Homepage: http://fedorahosted.org/releases/i/s/isomd5sum Priority: optional Section: python Filename: pool/main/i/isomd5sum/python-pyisomd5sum_1.0.7+git.20110618.6c9cd2f-1_armhf.deb Size: 13900 SHA256: e1712a0f24b0df8df373539e778cf3f46f6536cb99645130b9391c4aa6a2ca86 SHA1: 824ba30e2d2dbaa4cfac07a81aedcf6e6051c0f6 MD5sum: 4f1b41737db7f26083ea10777d6b94fa Description: ISO9660 checksum Python module isomd5sum is a set of utilities for implanting a MD5 checksum in an ISO (or any block device), then verifying the checksum later. isomd5sum is not simply an MD5 of the entire ISO; it checksums the data inside a standard ISO9660 image and write block checksum information to an ISO9660 header, that will carry over to burning the CD. . This package contains Python modules for isomd5sum. Package: python-pyjavaproperties Source: pyjavaproperties Version: 0.6-1 Installed-Size: 28 Maintainer: Debian Python Modules Team Architecture: all Provides: python2.7-pyjavaproperties Depends: python, python-support (>= 0.90.0) Size: 13606 SHA256: 4dd0d1d84c2a0f882696102ec22ce379a1e991e1952edabe2b8754b184a92732 SHA1: aaa847f071889d7e84a85f760b54368606e365b8 MD5sum: 39cd794e6c5325d75d0d6f037a18ed6a Description: Python implementation of java.util.Properties This module is designed to be a python equivalent to the java.util.Properties class. Currently, the basic input/output methods are supported, and there are plans to add the XML input/output methods found in J2SE 5.0. . Fundamentally, this module is designed so that users can easily parse and manipulate Java Properties files - that's it. There's a fair number of us pythonistas who work in multi-language shops, and constantly writing your own parsing mechanism is just painful. Not to mention Java guys are notoriously unwilling to use anything which is cross-language for configuration, unless it's XML, which is a form of self-punishment. :) Homepage: http://pypi.python.org/pypi/pyjavaproperties/ Section: python Priority: optional Filename: pool/main/p/pyjavaproperties/python-pyjavaproperties_0.6-1_all.deb Package: python-pykaraoke Source: pykaraoke Version: 0.7.5-1 Architecture: armhf Maintainer: Python Applications Packaging Team Installed-Size: 533 Depends: libc6 (>= 2.13-28), libsdl1.2debian (>= 1.2.11), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pygame, ttf-dejavu Recommends: timidity, freepats, python-mutagen Suggests: python-numpy Provides: python2.6-pykaraoke, python2.7-pykaraoke Homepage: http://www.kibosh.org/pykaraoke/ Priority: optional Section: python Filename: pool/main/p/pykaraoke/python-pykaraoke_0.7.5-1_armhf.deb Size: 119674 SHA256: d22260440d000a95ece6d0e2e27781566421b4f525f8519957840aaa76ca8c64 SHA1: 13d3442c65047f8b8e57c05ef774310798b306e2 MD5sum: 075154d5f2eee2a324557817594d72a8 Description: free CDG/MIDI/MPEG karaoke player PyKaraoke is a free karaoke player. You can use this program to play your collection of CDG, MIDI and MPEG karaoke songs. . This package includes the Python modules needed to play CDG files, MIDI/KAR files and MPEG files. . This package also includes the arch-dependent modules that gives a major performance boost to the CDG player. . Features: * CDG (MP3+G, OGG+G) playback - Play standard CDG karaoke files * MIDI (.MID/.KAR) playback - Play MIDI format karaoke files * MPEG playback - Play karaoke songs and movies in MPEG format . MIDI/KAR support on Linux, requires the following: * Timidity++ * Sounds/patches for Timidity++ (e.g. freepats or eawpatches) Package: python-pykcs11 Source: pykcs11 Version: 1.2.4-1 Architecture: armhf Maintainer: Ludovic Rousseau Installed-Size: 1093 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libstdc++6 (>= 4.4.0), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Provides: python2.6-pykcs11, python2.7-pykcs11 Homepage: http://www.bit4id.org/trac/pykcs11/ Priority: optional Section: python Filename: pool/main/p/pykcs11/python-pykcs11_1.2.4-1_armhf.deb Size: 286964 SHA256: 8b1d5c5b7efc8006bf685b51293110a539de1367a090ed3a946883b20ca5370c SHA1: a8eb984161eca6da54f3a8c1b8f7d329d5b3889a MD5sum: 27dd6cd363c83c033f667530379792b6 Description: PKCS#11 wrapper for Python PyKCS11 let you access to almost all PKCS#11 functions and data types using any PKCS#11 library, such as the various modules supplied by smartcard vendors. . The wrapper comes with 2 interfaces: a low level and very thin interface over the original PKCS#11 API, generated using the SWIG compiler (designed for library tests); and an high level interface that offers a simpler access (with few limits) to the PKCS#11 APIs. . Keywords: pkcs11 Package: python-pyke Source: pyke Version: 1.1.1-3 Installed-Size: 527 Maintainer: Debian Python Modules Team Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-ply (<< 3.5) | python-ply-yacc-3.2, python-ply (>= 3.2), python-ply-lex-3.2 Suggests: python-wxgtk2.8 Size: 88034 SHA256: e90d28488b1cecef9533992895e78ffb81668239209a8144f4e7d400d85c2dcf SHA1: e0d61f533a2b840ef879d8a15a417336e80b62fc MD5sum: 3ed9b32488227b55f03c071745b0459b Description: Prolog-inspired Python logic programming toolkit Pyke introduces a form of Logic Programming (inspired by Prolog) to Python by providing a knowledge-based inference engine (or "expert system"). . Unlike Prolog, Pyke integrates with Python code allowing one to invoke Pyke from Python and intermingle Python statements and expressions within your expert system rules. Homepage: http://pyke.sourceforge.net/ Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib Section: python Priority: optional Filename: pool/main/p/pyke/python-pyke_1.1.1-3_all.deb Package: python-pyke-doc Source: pyke Version: 1.1.1-3 Installed-Size: 1392 Maintainer: Debian Python Modules Team Architecture: all Size: 815294 SHA256: 11c4e713886dabb5901eb357aa54006728ed4960fbc1051835f6d0dbfa4faeee SHA1: ea3591afa097a95a9e31e8e55aa15a6a3c407e4c MD5sum: 0eb9f8af5ac753536446942d605316cc Description: Prolog-inspired Python logic programming toolkit (documentation) Pyke introduces a form of Logic Programming (inspired by Prolog) to Python by providing a knowledge-based inference engine (or "expert system"). . Unlike Prolog, Pyke integrates with Python code allowing one to invoke Pyke from Python and intermingle Python statements and expressions within your expert system rules. . This package contains the HTML documentation for Pyke. Homepage: http://pyke.sourceforge.net/ Tag: devel::doc, devel::examples, role::documentation Section: doc Priority: optional Filename: pool/main/p/pyke/python-pyke-doc_1.1.1-3_all.deb Package: python-pykickstart Source: pykickstart Version: 1.83-1 Installed-Size: 696 Maintainer: Debian Python Modules Team Architecture: all Provides: pykickstart Depends: python, python-support (>= 0.90.0), python-urlgrabber Size: 118468 SHA256: 84c6fac5fbc14ba825ed63af13a2e2296fb980b49d301c0be7b7716ae3897d7b SHA1: 7590ef5bcb5bf904bf31799ea7fe351682d38bf6 MD5sum: e698217aa5ab3d5bbae35cf37aa28265 Description: Python library for manipulating kickstart files pykickstart is a Python library consisting of a data representation of kickstart files, a parser to read file into that representation, and a writer to generate kickstart files. . The kickstart file is a simple text file, containing a list of items, each identified by a keyword. The kickstart configuration format is used for image configuration and to automate operating system installations. Homepage: http://fedoraproject.org/wiki/Pykickstart Section: python Priority: optional Filename: pool/main/p/pykickstart/python-pykickstart_1.83-1_all.deb Package: python-pylast Source: pylast Version: 0.5.11-1 Installed-Size: 175 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 24324 SHA256: 27f1b1f4b15c0a922311f79b9aa68e564ab9c8dd88aabad1ba963712a7e4ca75 SHA1: a5daee2bdf3225cb641bafb3be793c82f1337c7b MD5sum: 85fbe3bf90a72af4c57ed49e2ca195db Description: Python interface to Last.fm and other compatible services Last.fm is a service providing a way to keep a record of what the users listen to and offering music recommendations based on that record. . This interface allows access to all the data exposed by the Last.fm API as well as to the scrobbling functionality. Homepage: http://code.google.com/p/pylast/ Section: python Priority: optional Filename: pool/main/p/pylast/python-pylast_0.5.11-1_all.deb Package: python-pylibacl Version: 0.5.1-1.1 Architecture: armhf Maintainer: Iustin Pop Installed-Size: 269 Depends: python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libacl1 (>= 2.2.51-8), libc6 (>= 2.13-28), libjs-sphinxdoc (>= 1.0) Suggests: python-pylibacl-dbg Provides: python2.6-pylibacl, python2.7-pylibacl Homepage: http://pylibacl.k1024.org/ Priority: optional Section: python Filename: pool/main/p/python-pylibacl/python-pylibacl_0.5.1-1.1_armhf.deb Size: 60458 SHA256: 7e9206e24a29b6d023f3434444465152bfc4efccfdaf9613c69d6cfabc38edbe SHA1: a41b353449972447f4dc4a213437633908ae46f7 MD5sum: 6d755ea25546b00e6dfb3a74328c2fa5 Description: module for manipulating POSIX.1e ACLs pylibacl is a Python interface to the libacl library. It allows manipulation of the POSIX.1e-compliant filesystem ACLs present in some operating systems (GNU/Linux included). Package: python-pylibacl-dbg Source: python-pylibacl Version: 0.5.1-1.1 Architecture: armhf Maintainer: Iustin Pop Installed-Size: 273 Depends: python-pylibacl (= 0.5.1-1.1), python-dbg, libacl1 (>= 2.2.51-8), libc6 (>= 2.13-28) Homepage: http://pylibacl.k1024.org/ Priority: extra Section: debug Filename: pool/main/p/python-pylibacl/python-pylibacl-dbg_0.5.1-1.1_armhf.deb Size: 80534 SHA256: c02eebe0f0d42a64cdee7dfa1c0b0d1a9f5c7cf9ca68442222d27f606245a987 SHA1: dbbc0b9a33bc3923fa6776e7141b622a7ecf222f MD5sum: 90026c8dfeb11ae491b4a6cc03f0557a Description: module for manipulating POSIX.1e ACLs (debug extension) pylibacl is a Python interface to the libacl library. It allows manipulation of the POSIX.1e-compliant filesystem ACLs present in some operating systems (GNU/Linux included). . This package contains the extension built for the Python debug interpreter. Package: python-pylibmc Source: pylibmc (1.2.2-1) Version: 1.2.2-1+b1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 165 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libmemcached10, zlib1g (>= 1:1.1.4) Suggests: memcached Homepage: http://pypi.python.org/pypi/pylibmc/ Priority: optional Section: python Filename: pool/main/p/pylibmc/python-pylibmc_1.2.2-1+b1_armhf.deb Size: 40512 SHA256: 4761800f7da7528de7e1c2ab66dbe2e9551414bf0f8fce4b1394ef4491e699b7 SHA1: 6c3e174624f741618bd448197c6b65f66973779a MD5sum: a4d28fcbde0fc37271c7683f322fc23c Description: libmemcached wrapper Pylibmc is a Python wrapper around the accompanying C Python extension _pylibmc, which is a wrapper around libmemcached from TangentOrg. It also manages pooling in a multi-threading environment. Package: python-pylibmc-dbg Source: pylibmc (1.2.2-1) Version: 1.2.2-1+b1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 402 Depends: libc6 (>= 2.13-28), libmemcached10, zlib1g (>= 1:1.1.4), python-dbg, python-pylibmc (= 1.2.2-1+b1) Homepage: http://pypi.python.org/pypi/pylibmc/ Priority: extra Section: debug Filename: pool/main/p/pylibmc/python-pylibmc-dbg_1.2.2-1+b1_armhf.deb Size: 142140 SHA256: 94570e8d96ab8a19adc7d55a5564bd57cf985febfd277fc3615f3ca2c0775569 SHA1: 8bee040f6412dc1eab406d7e30d0730af522f531 MD5sum: 015bbd5ee112cf32cf13a8a76fce9096 Description: libmemcached wrapper (debug mode) Pylibmc is a Python wrapper around the accompanying C Python extension _pylibmc, which is a wrapper around libmemcached from TangentOrg. It also manages pooling in a multi-threading environment. . This package provides the debug mode. Package: python-pylirc Source: pylirc Version: 0.0.5-3 Architecture: armhf Maintainer: lirc Maintainer Team Installed-Size: 76 Depends: libc6 (>= 2.13-28), liblircclient0, python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Homepage: http://pylirc.mccabe.nu/ Priority: optional Section: python Filename: pool/main/p/pylirc/python-pylirc_0.0.5-3_armhf.deb Size: 6696 SHA256: 4f9660fba89eb404f1434774734c4a0cb163930d3b2ea0c768d1e255a4c2eb30 SHA1: a464dcd9323d4f1cf1e954a435b131f9fee0b109 MD5sum: 3175b342e4a78d99cb04f7996e06ef81 Description: Python bindings for Linux Infra-red Remote Control (LIRC) support A module for Python that interacts with Linux Infra-red Remote Control (LIRC) to give Python programs the ability to receive commands from remote controls. Package: python-pylons Source: pylons Version: 1.0-2 Installed-Size: 840 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-routes (>= 1.12), python-webhelpers (>= 0.6.4), python-beaker (>= 1.3), python-paste (>= 1.7.2), python-pastedeploy (>= 1.3.3), python-pastescript (>= 1.7.3-5~), python-formencode (>= 1.2.1), python-simplejson (>= 2.0.8), python-decorator (>= 2.3.2), python-nose (>= 0.10.4), python-mako (>= 0.2.4), python-webob (>= 0.9.6.1), python-weberror (>= 0.10.1), python-webtest (>= 1.1), python-tempita (>= 0.2), python-pkg-resources Recommends: python-sqlalchemy (>= 0.5) | python-sqlobject Suggests: python-jinja2, python-genshi (>= 0.4.4), python-cheetah (>= 1.0-1.1), python-kid (>= 0.9.1-3), python-myghty (>= 1.1), python-pygments (>= 0.7), python-migrate, python-docutils (>= 0.4), ipython, libapache2-mod-wsgi Size: 130454 SHA256: 28fbf38849ea4fddf896a0109e012287409feb20d88b4b277ff66708e9407b72 SHA1: b7a1a8b2a3da81fd6332c53ee4e4159c69f37770 MD5sum: dcb46cc1dfde029ce270e4b2cabd3932 Description: Python web framework emphasizing flexibility and rapid development Pylons combines the very best ideas from the worlds of Ruby, Python and Perl, providing a structured but extremely flexible Python web framework. It's also one of the first projects to leverage the emerging WSGI standard, which allows extensive re-use and flexibility, but only if you need it. Out of the box, Pylons aims to make web development fast, flexible and easy. Homepage: http://pylonshq.com/ Tag: devel::lang:python, devel::web Section: web Priority: optional Filename: pool/main/p/pylons/python-pylons_1.0-2_all.deb Package: python-pymad Source: pymad Version: 0.6-1.2 Architecture: armhf Maintainer: Jamie Wilkinson Installed-Size: 85 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.4), libmad0 (>= 0.15.1b-3) Conflicts: python2.3-pymad (<< 0.5.4-3.1), python2.4-pymad (<< 0.5.4-3.1) Replaces: python2.3-pymad (<< 0.5.4-3.1), python2.4-pymad (<< 0.5.4-3.1) Provides: python2.6-pymad, python2.7-pymad Priority: optional Section: python Filename: pool/main/p/pymad/python-pymad_0.6-1.2_armhf.deb Size: 12892 SHA256: ed7d32c1dc3c76babbe11874c1ee5195b38456d0035d5f3760a9090959408a89 SHA1: 8f01657407cf49cb454e7270f2980140d0f4742f MD5sum: 4f18797f9b67eda472689160edbbd112 Description: Python wrapper to the MPEG Audio Decoder library This module makes the MAD MP3 decoder library available to Python programs. It provides a high-level API to the MAD functions, that make reading audio data from an MPEG stream simple. Python-Version: 2.6, 2.7 Package: python-pyme Source: pyme Version: 1:0.8.1-2 Architecture: armhf Maintainer: Arnaud Fontaine Installed-Size: 1389 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libgpg-error0 (>= 1.10), libgpgme11 (>= 1.2.0) Suggests: python-pyme-doc Provides: python2.6-pyme, python2.7-pyme Homepage: http://pyme.sourceforge.net Priority: optional Section: python Filename: pool/main/p/pyme/python-pyme_0.8.1-2_armhf.deb Size: 233360 SHA256: cc2a3006bc82ad2573e20b8abff9c7d231e9d14bb37c744b8ae78c740a355500 SHA1: 0988499decc4538df8f8ab3d80d2e8a14db1846a MD5sum: b2dcdae8c769b5f740dd206202a3b356 Description: Python interface to the GPGME GnuPG encryption library Pyme is, for the most part, a direct interface to the C GPGME library. However, it is re-packaged in a more Pythonic way -- object-oriented with classes and modules. Take a look at the classes defined here -- they correspond directly to certain object types in GPGME for C. . Features: * Feature-rich, full implementation of the GPGME library. Supports all GPGME features except interactive editing (coming soon). Callback functions may be written in pure Python. * Ability to sign, encrypt, decrypt, and verify data. * Ability to list keys, export and import keys, and manage the keyring. * Fully object-oriented with convenient classes and modules. Package: python-pyme-doc Source: pyme Version: 1:0.8.1-2 Installed-Size: 588 Maintainer: Arnaud Fontaine Architecture: all Size: 62402 SHA256: 1650c43417586a4744400aa055c4854741ae7c90264654c7e8d56d963880344e SHA1: b167e79d18783ab8b653f015031016687a3c3bbb MD5sum: 95b1698a9431bf72eafed51711e0b600 Description: Python interface to the GPGME GnuPG encryption library This package contains the documentation for Pyme. Homepage: http://pyme.sourceforge.net Tag: devel::doc, devel::examples, devel::lang:python, made-of::html, role::documentation, security::cryptography Section: doc Priority: optional Filename: pool/main/p/pyme/python-pyme-doc_0.8.1-2_all.deb Package: python-pymetar Version: 0.19-1 Installed-Size: 119 Maintainer: Uli Martens Architecture: all Provides: python2.6-pymetar, python2.7-pymetar Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 23006 SHA256: fd5f0933bd095493b0232aae685d4d622f1a63bf5a05b0782f8acc22610c3fec SHA1: 6d9e74177f911aefddc53086ff90f27b351b0492 MD5sum: 096001e91506d9701b3f6cdccad2e459 Description: Python interface to METAR reports The pymetar Python interface provides full and easy to use access to the METAR reports available from the NOAA (National Oceanic and Atmospheric Administration, http://www.noaa.gov). . METAR (METeorological Aviation Report) is the international standard code format for hourly surface weather observations. . This package consists of the Python library itself and a command line tool for end users. Homepage: http://www.schwarzvogel.de/software-pymetar.shtml Tag: devel::lang:python, devel::library, field::aviation, implemented-in::python, interface::commandline, role::app-data, role::program, scope::utility Section: python Priority: optional Filename: pool/main/p/python-pymetar/python-pymetar_0.19-1_all.deb Package: python-pymodbus Source: pymodbus Version: 0.9.0+r175-3 Installed-Size: 414 Maintainer: Debian Python Modules Team Architecture: all Provides: python2.6-pymodbus, python2.7-pymodbus Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: python-twisted-core, python-serial Size: 56440 SHA256: f21f8e4d1ed3b997524a9d2fc8de851c38bff134a3c2cfebaef72c08201fafe1 SHA1: 6a20b02c5ffce39df8d4f54a2bd56de88a4ed6a2 MD5sum: 759a73ccabc54d26807648384b727700 Description: full Modbus protocol implementation Pymodbus is a full Modbus protocol implementation. It is currently supports the following : . Client Features: * Can perform single get/set on discrete and registers * Can perform multiple get/set on discrete and registers * Working on diagnostic/file/pipe/setting/info requests * Can fully scrape a host to be cloned . Server Features: * Can function as a fully implemented TCP/ASCII modbus server * Full server control context * Working on serial communication * Working on functioning as a RTU * Can mimic a server based on the supplied input data . For asynchronous communication, you need python-twisted-core. For serial communication, you need python-serial. Homepage: http://code.google.com/p/pymodbus Section: python Priority: optional Filename: pool/main/p/pymodbus/python-pymodbus_0.9.0+r175-3_all.deb Package: python-pymongo Source: pymongo Version: 2.2-4+deb7u1 Architecture: armhf Maintainer: Federico Ceratto Installed-Size: 357 Depends: python-bson (= 2.2-4+deb7u1), python (>= 2.6.6-7~), python (<< 2.8) Recommends: python-gridfs (>= 2.2-4+deb7u1) Provides: python2.6-pymongo, python2.7-pymongo Homepage: http://api.mongodb.org/python/ Priority: optional Section: python Filename: pool/main/p/pymongo/python-pymongo_2.2-4+deb7u1_armhf.deb Size: 81742 SHA256: 17649ee71b3986c81806613b4d829b634242d40d229b9957292c8742c8bddf98 SHA1: d2c83734f65cb454710b8a755d91533e3dcc4cda MD5sum: 9174e0590ffd30d33e7a90c68d567a37 Description: Python interface to the MongoDB document-oriented database MongoDB is a high-performance, open source, schema-free document-oriented data store. Pymongo provides an interface to easily access it from Python. Consider installing the python-pymongo-ext C extension to improve performance. Package: python-pymongo-doc Source: pymongo Version: 2.2-4+deb7u1 Installed-Size: 1820 Maintainer: Federico Ceratto Architecture: all Depends: libjs-jquery, libjs-underscore Size: 846246 SHA256: 4c324bb0e33a294d457f4cc58956896ff2156337e14b0e94274524af9971c026 SHA1: 70f2fd4115c422edafb912700dff531058b49d66 MD5sum: e1081a9b82f15ace4abab2e7bfe2ef4c Description: Python interface to the MongoDB document-oriented database (documentation) MongoDB is a high-performance, open source, schema-free document-oriented data store. Pymongo provides an interface to easily access it from Python. . This package contains the HTML documentation. Homepage: http://api.mongodb.org/python/ Section: doc Priority: optional Filename: pool/main/p/pymongo/python-pymongo-doc_2.2-4+deb7u1_all.deb Package: python-pymssql Source: pymssql Version: 1.0.2+dfsg-1 Architecture: armhf Maintainer: Josselin Mouette Installed-Size: 177 Depends: libc6 (>= 2.13-28), libsybdb5 (>= 0.63), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Priority: optional Section: python Filename: pool/main/p/pymssql/python-pymssql_1.0.2+dfsg-1_armhf.deb Size: 48648 SHA256: 700c13b4a6c1591882db072c4381000c00f250a89ad21d73950d8565ffc4a0a8 SHA1: 121f1f7568ac6e8d9c6e6f89344d2c36a7cf160b MD5sum: 5ab67bcf2887d13f2adf5e0a9d928d76 Description: Python database access for MS SQL server and Sybase This package contains a Python module allowing direct access to Microsoft SQL server and Sybase databases. It is designed for simplicity and performance, and conforms to Python DB-API 2.0. . It also includes a Nagios plugin to check MS SQL servers remotely. Package: python-pymtp Source: pymtp Version: 0.0.4-4 Installed-Size: 124 Maintainer: Thomas Perl Architecture: all Depends: python, libmtp9, python-support (>= 0.90.0) Size: 12610 SHA256: 9f98c86005f139afb11e8952e9dca1f15bcf2ff7d4e97acb65f5405640a2c163 SHA1: 039e9bb72eb505600840f05769ff203a6cd7d3e6 MD5sum: 7e73bcd30684811a7789cf412922f642 Description: Pythonic binding to LibMTP to interact with MTP devices PyMTP is a Pythonic binding to LibMTP that allows Python programs to interact with LibMTP-supported devices. These devices include several popular MP3 players, including Creative Zen, several iRiver devices, NormSoft Pocket Tunes and many others. Homepage: http://nick125.com/projects/pymtp Python-Version: 2.6, 2.7 Section: python Priority: extra Filename: pool/main/p/pymtp/python-pymtp_0.0.4-4_all.deb Package: python-pynetsnmp Source: pynetsnmp Version: 0.28.14-1.2 Installed-Size: 94 Maintainer: Bhavani Shankar Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), libsnmp15, python-twisted-core Size: 19008 SHA256: a014a3a9af08a8f6201ec0b0f3169bdd1bcdbbf4505625f34a0c2acaaf40f7c1 SHA1: 1641219fc9691fc2c189d05d403f30e428646834 MD5sum: fb568e54de279be7f519029cb2aafdcc Description: Python ctypes bindings for NET-SNMP with Twisted integration pynetsnmp is a set of Python ctypes binding for NET-SNMP, an implementation of the Simple Network Management Protocol (SNMP). . pynetsnmp is a replacement for the various Python bindings provided by PySNMP* implementations (available as the Debian packages python-pysnmp*). . It also implements a glue with the Python Twisted Matrix networking framework which replaces the TwistedSNMP implementation (available as the python-twisted-snmp Debian package). Homepage: http://www.zenoss.com/ Section: python Priority: optional Filename: pool/main/p/pynetsnmp/python-pynetsnmp_0.28.14-1.2_all.deb Package: python-pynn Source: pynn Version: 0.7.4-1 Installed-Size: 778 Maintainer: NeuroDebian Team Architecture: all Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Recommends: python-jinja2, python-cheetah Suggests: python-neuron, python-brian, python-csa Size: 192044 SHA256: cb6172dce7ed32f0a68a14b13e72f1e9ed08b5da1980c26f61a1a617c2865942 SHA1: 12e032067296bb18f6a4d7bcf5dfeb453dafcf40 MD5sum: 0f00d42096b11a86507234302434424f Description: simulator-independent specification of neuronal network models PyNN allows for coding a model once and run it without modification on any simulator that PyNN supports (currently NEURON, NEST, PCSIM and Brian). PyNN translates standard cell-model names and parameter names into simulator-specific names. Homepage: http://neuralensemble.org/trac/PyNN Section: python Priority: extra Filename: pool/main/p/pynn/python-pynn_0.7.4-1_all.deb Package: python-pyo Version: 0.6.1-1 Architecture: armhf Maintainer: Debian Multimedia Maintainers Installed-Size: 5028 Depends: python (>= 2.6.6-7~), libc6 (>= 2.13-28), liblo7 (>= 0.26~repack), libportaudio2 (>= 19+svn20101113), libportmidi0, libsndfile1 (>= 1.0.20), python2.7 | python2.6, python (<< 2.8) Recommends: python-tk, python-imaging-tk, python-wxgtk2.8 Homepage: http://code.google.com/p/pyo/ Priority: optional Section: python Filename: pool/main/p/python-pyo/python-pyo_0.6.1-1_armhf.deb Size: 1189618 SHA256: 6e168acf1bc69570a6fd4edbd10efa8096cea1fc092e31bb8db6238aa1717b91 SHA1: c3fcce57eec39d09ec6dbc4dbe4c78d6e262aca3 MD5sum: 2ccca934a4fc2789601cc6ea45ef55ce Description: Python module written in C to help digital signal processing script creation pyo is a Python module containing classes for a wide variety of audio signal processing types. With pyo, user will be able to include signal processing chains directly in Python scripts or projects, and to manipulate them in real time through the interpreter. Tools in pyo module offer primitives, like mathematical operations on audio signal, basic signal processing (filters, delays, synthesis generators, etc.), but also complex algorithms to create sound granulation and others creative audio manipulations. . pyo supports OSC protocol (Open Sound Control), to ease communications between softwares, and MIDI protocol, for generating sound events and controlling process parameters. . pyo allows creation of sophisticated signal processing chains with all the benefits of a mature, and wildly used, general programming language. Package: python-pyodbc Source: pyodbc Version: 2.1.7-1 Architecture: armhf Maintainer: Deepak Tripathi Installed-Size: 218 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libstdc++6 (>= 4.3.0) Provides: python2.6-pyodbc, python2.7-pyodbc Homepage: http://code.google.com/p/pyodbc/ Priority: optional Section: python Filename: pool/main/p/pyodbc/python-pyodbc_2.1.7-1_armhf.deb Size: 77832 SHA256: 1e7b65cce0dffa0f9bc33b7f8976b0882cb8300b7bc934eb5b8a743624a27110 SHA1: 8554541ce5a9d8a6525b280b42274829f2cc6019 MD5sum: 7f934d6651e663660d050c85e2fe7109 Description: Python module for ODBC database access A Python DB API 2 module for ODBC. No 3rd party libraries are required. Only native Python datatypes are used, such as decimal and datetime. It implements the Python Database API Specification v2.0. For example: import pyodbc Next, create a connection by passing an ODBC connection string to the connect method. This step causes ODBC to load the database driver (the SQL Server driver in this example) and connect to the database. cnxn = pyodbc.connect('DSN=northwind') Package: python-pyodbc-dbg Source: pyodbc Version: 2.1.7-1 Architecture: armhf Maintainer: Deepak Tripathi Installed-Size: 947 Depends: python-pyodbc (= 2.1.7-1), python-dbg, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libstdc++6 (>= 4.3.0) Homepage: http://code.google.com/p/pyodbc/ Priority: extra Section: debug Filename: pool/main/p/pyodbc/python-pyodbc-dbg_2.1.7-1_armhf.deb Size: 340570 SHA256: 327bcfb270f97a75864c198f8fdc2e89383e0172cb71d6abc62e9bd171f69517 SHA1: 5d8698db77f0f8066dc367fbcd5cbbc11d8321b1 MD5sum: f16f90fb3c522c6d500d104d87d0840c Description: Python module for ODBC database access - Debugging symbols A Python DB API 2 module for ODBC. No 3rd party libraries are required. Only native Python datatypes are used, such as decimal and datetime. It implements the Python Database API Specification v2.0. For example: import pyodbc Next, create a connection by passing an ODBC connection string to the connect method. This step causes ODBC to load the database driver (the SQL Server driver in this example) and connect to the database. cnxn = pyodbc.connect('DSN=northwind') . This package contains debugging symbols. Package: python-pyode Source: pyode Version: 1.2.0-4+cvs20090320 Architecture: armhf Maintainer: ExpPsy Maintainers Installed-Size: 830 Depends: python (<< 2.8), python (>= 2.6), python-central (>= 0.6.11), libc6 (>= 2.13-28), libode1, libstdc++6 (>= 4.1.1) Conflicts: python2.3-pyode, python2.4-pyode Replaces: python2.3-pyode, python2.4-pyode Provides: python2.6-pyode, python2.7-pyode Homepage: http://pyode.sourceforge.net/ Priority: optional Section: python Filename: pool/main/p/pyode/python-pyode_1.2.0-4+cvs20090320_armhf.deb Size: 198342 SHA256: 15966b7a0afcc003bb932bb2c748ed7190ead519ae85d97beeca10b6fb96a66f SHA1: 6b71ab5a2ac039380f42e006299f1f20d2dbb129 MD5sum: a370f50d734964e99b6ea1cfcdff3729 Description: Python bindings for The Open Dynamics Engine PyODE is a set of open-source Python bindings for The Open Dynamics Engine, an open-source physics engine. PyODE also includes an XODE parser. . This package provides PyODE for supported versions of Python. Python-Version: 2.6, 2.7 Package: python-pyode-doc Source: pyode Version: 1.2.0-4+cvs20090320 Installed-Size: 88 Maintainer: ExpPsy Maintainers Architecture: all Size: 14864 SHA256: 2c6eadffb6cd20a6aded7218349c44f7cd4934c5b7ee2da1ebbcf4be4fad7962 SHA1: ad7e91d615f1313cb5a89ce1301946ca133b48bc MD5sum: 5a435208a0e68d95017347ed6dc3fb85 Description: Python bindings for The Open Dynamics Engine PyODE is a set of open-source Python bindings for The Open Dynamics Engine, an open-source physics engine. PyODE also includes an XODE parser. . This package provides examples for PyODE. Homepage: http://pyode.sourceforge.net/ Tag: devel::doc, devel::examples, devel::lang:python, role::documentation Section: doc Priority: optional Filename: pool/main/p/pyode/python-pyode-doc_1.2.0-4+cvs20090320_all.deb Package: python-pyoptical Source: pyoptical Version: 0.3-1 Installed-Size: 92 Maintainer: NeuroDebian Team Architecture: all Depends: python, python-support (>= 0.90.0), python-serial Enhances: psychopy, python-pyepl Size: 8812 SHA256: 70f0a9739e611dd2a89f1308098f7f376ff24a0a6fba2f88fdba6208851ac228 SHA1: 6c477250254a445b5e62c4416b62a0a20e28fcbb MD5sum: 2622e7c402b17f5b603d9c61a0b81b2b Description: python interface to the CRS 'OptiCAL' photometer The 'OptiCAL' is a photometer that is produced by Cambridge Research Systems (CRS). This device is a standard tool for gamma-calibration of display devices in vision research. This package provides a free-software replacement for the Windows-software distributed by the manufacturer that allows querying an OptiCAL via a serial connection. pyoptical can be used as a library for third-party applications or as a standalone command line tool. Homepage: http://github.com/esc/pyoptical Python-Version: 2.5, 2.6 Section: python Priority: extra Filename: pool/main/p/pyoptical/python-pyoptical_0.3-1_all.deb Package: python-pyorbit Source: pyorbit Version: 2.24.0-6 Architecture: armhf Maintainer: Sebastien Bacher Installed-Size: 248 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libidl0, liborbit2 (>= 1:2.14.10) Conflicts: python2.3-pyorbit, python2.4-pyorbit Replaces: python2.3-pyorbit, python2.4-pyorbit Provides: python2.6-pyorbit, python2.7-pyorbit Priority: optional Section: python Filename: pool/main/p/pyorbit/python-pyorbit_2.24.0-6_armhf.deb Size: 98142 SHA256: 33cd54301b24c1d548e75d1042a24ce732cb58fb3367b58d5f851f2456f55d01 SHA1: 387c4e3359831994b7ba22faf92a5ac6d5253c1d MD5sum: 69ff59df457610084b7c16cd2972f1c4 Description: A Python language binding for the ORBit2 CORBA implementation It aims to take advantage of new features found in ORBit2 to make language bindings more efficient. This includes: - Use of ORBit2 type libraries to generate stubs - use of the ORBit_small_invoke_stub() call for operation invocation, which allows for short circuited invocation on local objects. . Where it makes sense, this binding tries to stay compatible with the Python language mapping, which can be found on the OMG web site: http://www.omg.org/cgi-bin/doc?formal/02-09-07 Python-Version: 2.6, 2.7 Package: python-pyorbit-dev Source: pyorbit Version: 2.24.0-6 Installed-Size: 64 Maintainer: Sebastien Bacher Architecture: all Depends: python-pyorbit (>= 2.24.0-6), liborbit2-dev (>= 1:2.12) Size: 10386 SHA256: 5d9178093cc5ca9717c0c880b587712d2beded2a636f2981cdd4ca27b7ff6d02 SHA1: 5f7c4e141624d26d65801a32c3c7e18a98e16fee MD5sum: 802d0b19a1ff44ccb12ff74f763d30d4 Description: PyORBit: development files It aims to take advantage of new features found in ORBit2 to make language bindings more efficient. This includes: - Use of ORBit2 type libraries to generate stubs - use of the ORBit_small_invoke_stub() call for operation invocation, which allows for short circuited invocation on local objects. . Where it makes sense, this binding tries to stay compatible with the Python language mapping, which can be found on the OMG web site: http://www.omg.org/cgi-bin/doc?formal/02-09-07 . This package contains development files necessary to develop extensions for the module using the C language. Tag: devel::library, role::devel-lib Section: python Priority: optional Filename: pool/main/p/pyorbit/python-pyorbit-dev_2.24.0-6_all.deb Package: python-pyorbit-omg Source: pyorbit Version: 2.24.0-6 Installed-Size: 64 Maintainer: Sebastien Bacher Architecture: all Replaces: python-omniorb2-omg, python2.3-omniorb2-omg, python2.3-pyorbit-omg, python2.4-omniorb2-omg, python2.4-pyorbit-omg Depends: python (>= 2.4), python-support (>= 0.90.0), python-pyorbit (>= 2.24.0-6) Conflicts: python-omniorb-omg, python-omniorb2-omg, python2.3-omniorb2-omg, python2.3-pyorbit-omg, python2.4-omniorb2-omg, python2.4-pyorbit-omg Size: 9316 SHA256: 1eec7fb97e54b1bf763283cf347e7700ffe5b40c88134210014b3ddea720d297 SHA1: c7a72b2fbc2e6f08d1175190b568602393f68aca MD5sum: efcedc56f326a47b2f9369c70cc04ff8 Description: PyORBit - Python CORBA OMG standard files This package includes the Python files that are necessary to fulfill the OMG standard 02-09-07. Python-Version: 2.5, 2.6 Tag: devel::lang:python, devel::library, protocol::corba, role::devel-lib Section: python Priority: optional Filename: pool/main/p/pyorbit/python-pyorbit-omg_2.24.0-6_all.deb Package: python-pyosd Source: python-osd Version: 0.2.14-5.1 Architecture: armhf Maintainer: Mauro Lizaur Installed-Size: 74 Depends: python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), libc6 (>= 2.13-28), libxosd2 (>= 2.2.14) Suggests: python-twisted Conflicts: python-osd (<< 0.2.14-4) Replaces: python-osd (<< 0.2.14-4) Provides: python2.7-pyosd Priority: optional Section: python Filename: pool/main/p/python-osd/python-pyosd_0.2.14-5.1_armhf.deb Size: 13560 SHA256: d44fe9368105d13858100b336662e354307c427af312f2ea8b35259f62faf031 SHA1: 2c4d9fcbdda57a9248a01299a008862bc384f6f7 MD5sum: 568e77dad4282a4abefbfb2648640ae0 Description: Python bindings for X On-Screen Display library PyOSD is a Python module for displaying text on your X display, much like the "On Screen Displays" used on TVs and some monitors. . It can be used by anything that needs your attention, such as showing the song currently playing in a Python-based MP3 player. Python-Version: 2.7 Package: python-pyparsing Source: pyparsing Version: 1.5.6+dfsg1-2 Installed-Size: 229 Maintainer: Kevin Coyner Architecture: all Provides: python2.6-pyparsing, python2.7-pyparsing Depends: python (>= 2.6.6-7~), python (<< 2.8) Size: 64658 SHA256: 32601b8364da034bde0e22cb965e907863b811d6a9700907a5f2913772b5a1d5 SHA1: 20b5f47edf04befcd54a1cade2683a66f17684c0 MD5sum: 1690f7a80775f925881c500ed88b1331 Description: Python parsing module The parsing module is an alternative approach to creating and executing simple grammars, vs. the traditional lex/yacc approach, or the use of regular expressions. The parsing module provides a library of classes that client code uses to construct the grammar directly in Python code. . Here's an example: . from pyparsing import Word, alphas greet = Word(alphas) + "," + Word(alphas) + "!" hello = "Hello, World!" print hello, "->", greet.parseString(hello) Homepage: http://pyparsing.wikispaces.com/ Tag: devel::code-generator, devel::lang:python, devel::library, implemented-in::python, role::devel-lib Section: python Priority: optional Filename: pool/main/p/pyparsing/python-pyparsing_1.5.6+dfsg1-2_all.deb Package: python-pyparsing-doc Source: pyparsing Version: 1.5.6+dfsg1-2 Installed-Size: 3376 Maintainer: Kevin Coyner Architecture: all Size: 665564 SHA256: 83e6781503a5612e07d1672ca8beaa04cc9122a6fa101d5acf3de427a7bc998d SHA1: b55ce342ded5b7bd16d5efbd98b51929ff724fc2 MD5sum: 4d33b4fd363b6357eb4e780b3c91dc84 Description: Python parsing module, documentation package The parsing module is an alternative approach to creating and executing simple grammars, vs. the traditional lex/yacc approach, or the use of regular expressions. The parsing module provides a library of classes that client code uses to construct the grammar directly in Python code. . Here's an example: . from pyparsing import Word, alphas greet = Word(alphas) + "," + Word(alphas) + "!" hello = "Hello, World!" print hello, "->", greet.parseString(hello) . This package contains documentation for python-pyparsing. Homepage: http://pyparsing.wikispaces.com/ Section: doc Priority: optional Filename: pool/main/p/pyparsing/python-pyparsing-doc_1.5.6+dfsg1-2_all.deb Package: python-pypcap Version: 1.1.2+debian-2.2 Architecture: armhf Maintainer: Robert S. Edmonds Installed-Size: 168 Depends: python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8) Conflicts: python-libpcap Provides: python2.6-pypcap, python2.7-pypcap Priority: optional Section: python Filename: pool/main/p/python-pypcap/python-pypcap_1.1.2+debian-2.2_armhf.deb Size: 40756 SHA256: f4457a4df21d35c44911a9a33f2b88a61aa22c4405e507bd23163c8157eda55d SHA1: 51cafea2c03fbe1e827694293fcbcb69cf00a40a MD5sum: 9ff49b591e30ca181c026a4d2554c2eb Description: object-oriented Python interface for libpcap pypcap is an objected-oriented Python interface for libpcap which supports packet injection and user callback functions. Package: python-pypdf Version: 1.13-1 Installed-Size: 216 Maintainer: Debian Python Modules Team Architecture: all Depends: python, python-support (>= 0.90.0) Size: 35186 SHA256: a5a0596bd8dfc55c5b0a3126331e8f043c63980bf4f17e025c6055843f4752ce SHA1: 7a9aeca869f7747aeb852f7837fc128d7b51bb33 MD5sum: 9ac13e922aeeb6ab16e130c952aac621 Description: PDF toolkit implemented solely in Python A PDF toolkit implemented solely in Python. It is capable of: * extracting document information (title, author, ...), * splitting documents page by page, * merging documents page by page, * cropping pages, * merging multiple pages into a single page, * encrypting and decrypting PDF files. By being Pure-Python, it should run on any Python platform without any dependencies on external libraries. It can also work entirely on StringIO objects rather than file streams, allowing for PDF manipulation in memory. It is therefore a useful tool for websites that manage or manipulate PDFs. Homepage: http://pybrary.net/pyPdf/ Python-Version: all Tag: implemented-in::python, role::program, security::cryptography, use::editing, works-with-format::pdf Section: python Priority: optional Filename: pool/main/p/python-pypdf/python-pypdf_1.13-1_all.deb Package: python-pyphantomjs Source: phantomjs Version: 1.4.1+dfsg-1 Installed-Size: 463 Maintainer: TANIGUCHI Takaki Architecture: all Provides: python2.6-pyphantomjs, python2.7-pyphantomjs Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python (>= 2.7) | python-argparse, python-qt4 Size: 135964 SHA256: a1e98fa9726328e6e5ce99efdd5ba6815536b54c6dd34bd5c3ecaf34831067d0 SHA1: c94609159cc1e248ff3e48126dbd85c279eeafdc MD5sum: c2e13194bac7bdfcbb358435030312cc Description: Python porting of phantomjs JavaScript-driven tool PhantomJS is a headless WebKit with JavaScript API. It has fast and native support for various web standards: DOM handling, CSS selector, JSON, Canvas, and SVG. . PhantomJS is an optimal solution for headless testing of web-based applications, site scraping, pages capture, SVG renderer, PDF converter and many other use cases. . This package is Python porting of PhantomJS. Homepage: http://www.phantomjs.org/ Section: python Priority: extra Filename: pool/main/p/phantomjs/python-pyphantomjs_1.4.1+dfsg-1_all.deb Package: python-pypm Version: 0.0.7-7 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 160 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libportmidi0 Suggests: timidity, freepats Replaces: python-portmidi Provides: python-portmidi Homepage: http://bitbucket.org/aalex/pyportmidi/ Priority: optional Section: python Filename: pool/main/p/python-pypm/python-pypm_0.0.7-7_armhf.deb Size: 45488 SHA256: 341461c482f62473133cb63c453361a08f20e2867ebb82a656182b79f008e2d8 SHA1: ca5c710d903be5c14738b6a79d6e2c1f603252eb MD5sum: 169c2c329c617410ad89d4f51940d024 Description: Python interface to libportmidi pyPortMidi is a Python wrapper for PortMidi. PortMidi is a cross-platform C library for realtime MIDI control. Using pyPortMidi, you can send and receive MIDI data in realtime from Python. . Besides using pyPortMidi to communicate to synthesizers and the like, it is possible to use pyPortMidi as a way to send MIDI messages between software packages on the same computer. . The original code is from John Harrison. See http://python-pyrex.media.mit.edu/~harrison/pyportmidi.html Package: python-pyproj Version: 1.8.9-1 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 1088 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.7), proj-data Provides: python2.6-pyproj, python2.7-pyproj Homepage: http://code.google.com/p/pyproj/ Priority: optional Section: python Filename: pool/main/p/python-pyproj/python-pyproj_1.8.9-1_armhf.deb Size: 491278 SHA256: 172b91c4eac315eea62feb01f1a2ffaf28d2f58fb152c747a1f7cb14f635893e SHA1: 06308aa6f0dd50697547c990fd37f69bcb1c93e6 MD5sum: 85e4c20d7fc963a948b3884b74f28df9 Description: Python interface to PROJ.4 library PROJ.4 performs cartographic transformations between geographic (lat/lon) and map projection (x/y) coordinates. It can also transform directly from one map projection coordinate system to another. . This is a Python binding to PROJ.4, it can receive coordinates as numpy arrays, Python arrays, lists or scalars. This module is optimized for numpy arrays. Package: python-pypsignifit Source: psignifit3 Version: 3.0~beta.20120611.1-1 Architecture: armhf Maintainer: Nikolaus Valentin Hänel Installed-Size: 2235 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python-numpy, python-matplotlib, python-scipy Homepage: http://psignifit.sourceforge.net Priority: extra Section: python Filename: pool/main/p/psignifit3/python-pypsignifit_3.0~beta.20120611.1-1_armhf.deb Size: 622250 SHA256: 50d04dc7d7a18a17670eadd1a8c1bf8411769e6da32f96c87e5cc16ab7c4dfa9 SHA1: 5b7545e51f8aedc06f71fa0c36d495de6923f73a MD5sum: 0c831861a39fc6fa89789c7bce8cff82 Description: psychometric analysis of psychophysics data in Python Psignifit allows fitting of psychometric functions to datasets while maintaining full control over a large number of parameters. Psignifit performs the calculation of confidence intervals as well as goodness-of-fit tests. In addition it offers: . * full Bayesian treatment of psychometric functions including Bayesian model selection and goodness of fit assessment * identification of influential observations and outlier detection * flexible shape definition of the psychometric function . This package provides the Python bindings. Package: python-pypureomapi Source: pypureomapi Version: 0.2-1 Installed-Size: 77 Maintainer: Helmut Grohne Architecture: all Depends: python (>= 2.6.6-7~), python2.7 | python2.6, python (<< 2.8) Size: 9432 SHA256: 5171f94820d9e1c98325273a449dfb88e08b7ab7ce8e58d5077bebebd7be3c2a SHA1: 1e19446fc9f5356a38e101d3952c2b24fb8bb0e0 MD5sum: 4e74798d24fe999d16779f0b4cf0aba9 Description: ISC DHCP OMAPI protocol implementation in Python This module grew out of frustration about pyomapi and later pyomapic. The extension modules mentioned can be used to query the ISC DHCP server for information about leases. pyomapic does this job using swig and the static library provided with ISC DHCP. It leaks and has basically no error checking. Adding error checking later turned out to be a maintenance hell with swig, so a pure Python implementation for omapi, pypureomapi was born. It can mostly be used as a drop-in replacement for pyomapic. Homepage: http://code.google.com/p/pypureomapi/ Section: python Priority: extra Filename: pool/main/p/pypureomapi/python-pypureomapi_0.2-1_all.deb Package: python-pyquery Source: pyquery Version: 1.2.1-1 Installed-Size: 87 Maintainer: Debian Python Modules Team Architecture: all Provides: python2.6-pyquery, python2.7-pyquery Depends: python-lxml, python-webob, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-cssselect Size: 21882 SHA256: b874b0f889a024bd0f5e11f0e0939a864078176894cac25778b3c98ba59d7e15 SHA1: 656977e66f18559178f332071ccc61253c73b46a MD5sum: fc82dc197eec0b4d6b92a08dc613b76d Description: jQuery-like library for python pyquery allows you to make jQuery queries on XML documents. The API is as similar to jQuery as possible. pyquery uses lxml for fast XML and HTML manipulation. Homepage: http://pyquery.org/ Section: python Priority: extra Filename: pool/main/p/pyquery/python-pyquery_1.2.1-1_all.deb Package: python-pyrad Source: pyrad Version: 1.2-1+deb7u2 Installed-Size: 173 Maintainer: Jeremy Lainé Architecture: all Replaces: pyrad (<< 0.8-1.1) Provides: pyrad, python2.6-pyrad, python2.7-pyrad Depends: python, python-support (>= 0.90.0) Conflicts: pyrad (<< 0.8-1.1) Size: 29926 SHA256: 5d9ab1b31a7a231bbc9efce97b65baa3a52ee664719cfee655e1238bbeeddbaa SHA1: 1919871040e60642aed07ab9307246642fc1a853 MD5sum: 0ee10e5eeb9d8919b0df083f3d8abb75 Description: Python module for creating and decoding RADIUS packets It takes care of all the details like building RADIUS packets, sending them and decoding responses. This package contains several modules: - client: RADIUS client code - dictionary: RADIUS attribute dictionary - server: Basic RADIUS server and proxy classes. - packet: a RADIUS packet as send to/from servers - tools: utility functions Homepage: http://www.wiggy.net/code/pyrad/ Section: python Priority: optional Filename: pool/main/p/pyrad/python-pyrad_1.2-1+deb7u2_all.deb Package: python-pyramid Version: 1.2.3+dfsg-1 Installed-Size: 1960 Maintainer: Debian Python Modules Team Architecture: all Provides: python2.6-pyramid, python2.7-pyramid Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-chameleon (>= 1.2.3), python-mako (>= 0.3.6), python-paste (>= 1.7), python-pastedeploy, python-pastescript (>= 1.7.4), python-webob (>= 1.0.2), python-repoze.lru, python-pkg-resources, python-zope.component (>= 3.6.0), python-zope.interface (>= 3.5.1), python-zope.deprecation, python-venusian (>= 1.0a1), python-translationstring, python-setuptools, python-zope.configuration Suggests: ipython Size: 604806 SHA256: 81834582efbcf0281d2a96845e29eb8af8aa3762b3381c01c26c80157faa43ea SHA1: 570f6d440963aa1073a3f2f5015ffcb7665e36e0 MD5sum: 1aa6e74376a1fc483cf6c20177fc6f9b Description: Pyramid web application framework, a Pylons project Pyramid is a small, fast, down-to-earth, open source Python web development framework. It makes real-world web application development and deployment more fun, more predictable, and more productive. . Pyramid is a Pylons project, and underlies the newest web frameworks produced by the Pylons community. . Pyramid was previously known as repoze.bfg. Homepage: http://docs.pylonshq.com/ Section: python Priority: extra Filename: pool/main/p/python-pyramid/python-pyramid_1.2.3+dfsg-1_all.deb Package: python-pyramid-beaker Source: pyramid-beaker Version: 0.6.1+ds1-1 Installed-Size: 31 Maintainer: Debian Python Modules Team Architecture: all Provides: python2.6-pyramid-beaker, python2.7-pyramid-beaker Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pyramid, python-beaker Pre-Depends: dpkg (>= 1.15.6) Size: 8662 SHA256: 6d472e2c0a11213cd70744cd8b35826483bc5bd067a184dca14ac3be63b86a95 SHA1: 515dacea66a0cfcfc310b3aa4f900a33858c8084 MD5sum: b43c937dc80b0a6a704cbc378a5b7a3f Description: Beaker sessioning bindings for Pyramid pyramid_beaker provides a session factory for the Pyramid web framework backed by the Beaker sessioning system. Homepage: https://github.com/Pylons/pyramid_beaker Section: python Priority: extra Filename: pool/main/p/pyramid-beaker/python-pyramid-beaker_0.6.1+ds1-1_all.deb Package: python-pyramid-tm Version: 0.4-1 Installed-Size: 111 Maintainer: Free Ekanayaka Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pyramid, python-transaction Size: 13984 SHA256: f006a860fa5b143dabf27b02721783253ca074d64ea337f9129c2896346afabe SHA1: 5442338f36ef35d864541f6a996f26cc91b56539 MD5sum: abe89004e2f8ccc256fc1bc61f1010c5 Description: Transaction management for the Pyramid web framework The pyramid_tm package allows Pyramid requests to join the active transaction as provided by the transaction package. Homepage: http://pypi.python.org/pypi/pyramid_tm Section: python Priority: extra Filename: pool/main/p/python-pyramid-tm/python-pyramid-tm_0.4-1_all.deb Package: python-pyramid-zcml Version: 0.9.2-1 Installed-Size: 633 Maintainer: Free Ekanayaka Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pyramid, python-zope.configuration Size: 86024 SHA256: 78d09401a7daf5f4fe1852d3e488cca315ffb7541da5d73cc2acc5e72f6588fc SHA1: dd3b808153ae556c99ea3c79758f1f1af97e5592 MD5sum: 529b629b9780554d86bc7666110dab0c Description: Declarative configuration for the Pyramid web framework The pyramid_zcml package provides ZCML (Zope Configuration Markup Language) directives for all "configurator" methods available in the Pyramid web framework. Homepage: http://pypi.python.org/pypi/pyramid_zcml Section: python Priority: extra Filename: pool/main/p/python-pyramid-zcml/python-pyramid-zcml_0.9.2-1_all.deb Package: python-pyrex Source: pyrex Version: 0.9.8.5-2 Installed-Size: 1256 Maintainer: Paul Brossier Architecture: all Depends: python, python-central (>= 0.6.11) Recommends: python-all-dev Size: 220602 SHA256: e6788a73d40e6e72f82fba495ec93e0e479fac2d59939420bcd4a676780ecac5 SHA1: eb5c25d9c28209c5897c13c9b91d4f57fd7e4550 MD5sum: f99f891350303063fb802f59d1cc22b0 Description: compile native-code modules for Python from Python-like syntax Pyrex lets you write code that mixes Python and C data types any way you want, and compiles it into a C extension for Python. . You can get very large speedups for tasks that don't need all the dynamic features of Python, with very small differences in syntax and much less hassle than writing your modules from scratch in C. Homepage: http://www.cosc.canterbury.ac.nz/greg.ewing/python/Pyrex/ Python-Version: all Tag: devel::code-generator, devel::lang:c, devel::lang:c++, devel::lang:python, devel::library, implemented-in::python, interface::commandline, role::program, scope::utility Section: python Priority: optional Filename: pool/main/p/pyrex/python-pyrex_0.9.8.5-2_all.deb Package: python-pyrrd Source: pyrrd Version: 0.1.0-1 Installed-Size: 307 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), rrdtool, python-rrdtool Size: 48100 SHA256: 7f2173f683f3fa1f7bc0fdb14d74a1149cb7226d11c1100586e7462fec59f3d8 SHA1: dbb7a68381b477b768e41fb3469bd5313c822b03 MD5sum: 9376bf04bfedc41ef258804000b88e6f Description: object-oriented Python interface for RRD PyRRD is a module that wraps RRDTool (round-robin database tool) to allow for the greatest possible programmatic ease in creating, updating, querying and graphing RRD data. The idea is to make RRDTool insanely easy to use and to be aesthetically pleasing for Python programmers. . It is different from python-rrdtool, which is more a simple way to use rrdtool as by command line, because python-pyrrd wraps options in objects that are more easy and comfortable to use. Homepage: http://code.google.com/p/pyrrd/ Section: python Priority: optional Filename: pool/main/p/pyrrd/python-pyrrd_0.1.0-1_all.deb Package: python-pyrss2gen Version: 1.0.0-9 Installed-Size: 66 Maintainer: Matthijs Möhlmann Architecture: all Replaces: python2.3-pyrss2gen (<< 1.0.0-2), python2.4-pyrss2gen (<< 1.0.0-2) Provides: python2.6-pyrss2gen, python2.7-pyrss2gen Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Conflicts: python2.3-pyrss2gen (<< 1.0.0-2), python2.4-pyrss2gen (<< 1.0.0-2) Size: 7352 SHA256: eb9de10e6f3322232ca9a92a14077228118ebb56f0ed452ce28c2ec30da019dc SHA1: b4a49cf675ee16d3dd1a5ae39615f3e1c1b0b14e MD5sum: d9119c40d0b2fdbcf40468b9920c0427 Description: interface for generating RSS 2.0 feeds PyRSS2Gen is the interface to RSS 2.0 feeds. PyRSS2Gen builds the feed up by using a XML generator. Homepage: http://www.dalkescientific.com/Python/PyRSS2Gen.html Python-Version: 2.6, 2.7 Section: python Priority: optional Filename: pool/main/p/python-pyrss2gen/python-pyrss2gen_1.0.0-9_all.deb Package: python-pyscard Source: pyscard Version: 1.6.12.1-3 Architecture: armhf Maintainer: Ludovic Rousseau Installed-Size: 779 Depends: libc6 (>= 2.13-28), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python-wxgtk2.8 Homepage: http://pyscard.sourceforge.net/ Priority: optional Section: python Filename: pool/main/p/pyscard/python-pyscard_1.6.12.1-3_armhf.deb Size: 158832 SHA256: f5a17bb405d5c5701fb3d58ef15d54ddcb74904d63b84fe3d3030903a42fac7b SHA1: 3ada045ac6cb0b07fbb41260d2db328e7bb02884 MD5sum: 6f3a44e5064ac75452d570ae20106b86 Description: Python wrapper above PC/SC API This package contains a wrapper to use PC/SC from a Python program. PC/SC (or winscard or pcsc) is an API to communicate with smart cards and smart card readers. . The package also contains some example of codes. Python-Version: 2.6, 2.7 Package: python-pyscript Source: pyscript Version: 0.6.1-3 Installed-Size: 528 Maintainer: Arnaud Fontaine Architecture: all Depends: python (>= 2.3), python-support (>= 0.90.0) Suggests: python-pyscript-doc Size: 91606 SHA256: 3274b6f79f9c587f8d8759d0751198f24744653250cb56ffcc38dc79d8a8b746 SHA1: c36c17ad02277418a992bb3fa763a79db1f07032 MD5sum: d03a028fd9cdaa01f448b34452f9759b Description: Python module for producing postscript graphics PyScript is a Python module for producing high quality postscript graphics. Rather than use a GUI to draw a picture, the picture is programmed using Python and the PyScript objects. Homepage: http://pyscript.sourceforge.net Python-Version: 2.5, 2.6 Section: python Priority: optional Filename: pool/main/p/pyscript/python-pyscript_0.6.1-3_all.deb Package: python-pyscript-doc Source: pyscript Version: 0.6.1-3 Installed-Size: 456 Maintainer: Arnaud Fontaine Architecture: all Size: 320090 SHA256: 53523b9c0d0d896778d6c9cac3540b81dafa07f1dd5c5c045782bc53bb29f2d2 SHA1: dfc2d9a0f4804be8e627aad03521930486608560 MD5sum: 83bcd54d268a3ff90a50f01354d68c14 Description: Python module for producing postscript graphics This package contains the documentation for Pyscript. Homepage: http://pyscript.sourceforge.net Tag: devel::doc, devel::examples, devel::lang:python, made-of::pdf, role::documentation, works-with-format::postscript Section: doc Priority: optional Filename: pool/main/p/pyscript/python-pyscript-doc_0.6.1-3_all.deb Package: python-pysearch Version: 3.1-1.1 Installed-Size: 36 Maintainer: Thomas Bläsing Architecture: all Depends: python-yahoo Size: 5546 SHA256: 8eccd0d77aa30e67f7f557417a0bdac0ac16bb1aeafd6172e4c30662be8057eb SHA1: 72a3beb5d244f6ee338f8ed29ddcd008ba962e07 MD5sum: 891c4de17f008cd01224d957fdc308cf Description: transitional dummy package This is a dummy package to ease transition to new package name (python-yahoo). . It can be safely removed from your system. Tag: role::dummy Section: python Priority: optional Filename: pool/main/p/python-pysearch/python-pysearch_3.1-1.1_all.deb Package: python-pyshp Source: pyshp Version: 1.1.4-1 Installed-Size: 154 Maintainer: Debian GIS Project Architecture: all Provides: python2.6-pyshp, python2.7-pyshp Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 27012 SHA256: 6fee3464a9fcd42b5b7721bf81fdd242ac5b29cf3198d57440d856b4d7b48581 SHA1: fb8ad7e90c58a7da953782607fe0a48c41655b10 MD5sum: ac70ee55a991c19546237165cf9dd8d0 Description: read/write support for ESRI Shapefile format PySHP provides read and write support for the ESRI Shapefile format. The Shapefile format is a popular Geographic Information System vector data format created by ESRI. Homepage: http://code.google.com/p/pyshp/ Section: python Priority: extra Filename: pool/main/p/pyshp/python-pyshp_1.1.4-1_all.deb Package: python-pyside Source: pyside Version: 1.1.1-3 Installed-Size: 145 Maintainer: Debian Python Modules Team Architecture: all Depends: python-pyside.phonon (>= 1.1.1-3), python-pyside.qtcore (>= 1.1.1-3), python-pyside.qtdeclarative (>= 1.1.1-3), python-pyside.qtgui (>= 1.1.1-3), python-pyside.qthelp (>= 1.1.1-3), python-pyside.qtnetwork (>= 1.1.1-3), python-pyside.qtopengl (>= 1.1.1-3), python-pyside.qtscript (>= 1.1.1-3), python-pyside.qtsql (>= 1.1.1-3), python-pyside.qtsvg (>= 1.1.1-3), python-pyside.qttest (>= 1.1.1-3), python-pyside.qtuitools (>= 1.1.1-3), python-pyside.qtwebkit (>= 1.1.1-3), python-pyside.qtxml (>= 1.1.1-3) Size: 122350 SHA256: f6a09d6d9098ae4e2b36e01945b9e74c5c6abd4a4b90318e0d7497318168623b SHA1: 860d53b26d53830a2cb6e905dd1d0b180fc65db5 MD5sum: a3c90a860885c39d86ef494c9c4dbe90 Description: Python bindings for Qt4 (big metapackage) Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . Python bindings for Qt4 framework. This is a metapackage for all modules. Homepage: http://www.pyside.org/ Section: python Priority: optional Filename: pool/main/p/pyside/python-pyside_1.1.1-3_all.deb Package: python-pyside.phonon Source: pyside Version: 1.1.1-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 1440 Depends: python-pyside.qtgui (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libphonon4 (>= 4:4.6.0really4.5.0), libpyside1.1 (= 1.1.1-3), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.7.0), libshiboken1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), phonon, python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-pyside.phonon, python2.7-pyside.phonon Homepage: http://www.pyside.org/ Priority: optional Section: python Filename: pool/main/p/pyside/python-pyside.phonon_1.1.1-3_armhf.deb Size: 413126 SHA256: fc948e19651fb59ee76f123a2f7f81d1183f75fbb1014f6f6e172194373db09c SHA1: 84333c9aefecdbefde4028e07a548db5b607554c MD5sum: 3f439e69de4c5933c5734691d61d75b3 Description: Qt 4 Phonon module - Python bindings Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . This package provides Python bindings for the Phonon multimedia module. Package: python-pyside.qtcore Source: pyside Version: 1.1.1-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 4516 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside1.1 (= 1.1.1-3), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libqtcore4 (>= 4:4.8.0), libshiboken1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Provides: python2.6-pyside.qtcore, python2.7-pyside.qtcore Homepage: http://www.pyside.org/ Priority: optional Section: python Filename: pool/main/p/pyside/python-pyside.qtcore_1.1.1-3_armhf.deb Size: 1384396 SHA256: 4be8413be0d6fe9fb1d8e7c8753206da677c6ac776e15a9fbc5f95d461076c4d SHA1: a361b4cf7bd8af5b3ac8c0911d710b39fe19324e MD5sum: a05eb86e6f1067f462035113d3195d09 Description: Qt 4 core module - Python bindings Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . This package provides Python bindings for the QtCore module. Package: python-pyside.qtdeclarative Source: pyside Version: 1.1.1-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 754 Depends: python-pyside.qtgui (= 1.1.1-3), python-pyside.qtnetwork (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside1.1 (= 1.1.1-3), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libqt4-declarative (>= 4:4.7.0), libqt4-network (>= 4:4.7.0), libqt4-opengl (>= 4:4.7.0), libqt4-script (>= 4:4.7.0), libqt4-sql (>= 4:4.7.0), libqt4-svg (>= 4:4.7.0), libqt4-xmlpatterns (>= 4:4.7.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.7.0), libshiboken1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-pyside.qtdeclarative, python2.7-pyside.qtdeclarative Homepage: http://www.pyside.org/ Priority: optional Section: python Filename: pool/main/p/pyside/python-pyside.qtdeclarative_1.1.1-3_armhf.deb Size: 199856 SHA256: 42005b84d7f8acd140e05cc7a6918ff893d2428417e89b3083aa0f6970016cef SHA1: 55beb0751a638c71208922a6f821ff67fa6292a9 MD5sum: df1dd45b91152f1a142e798249253592 Description: Qt 4 Declarative module - Python bindings Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . This package provides Python bindings for the QtDeclarative module. Package: python-pyside.qtgui Source: pyside Version: 1.1.1-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 19128 Depends: python-pyside.qtcore (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside1.1 (= 1.1.1-3), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.8.0), libshiboken1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-pyside.qtgui, python2.7-pyside.qtgui Homepage: http://www.pyside.org/ Priority: optional Section: python Filename: pool/main/p/pyside/python-pyside.qtgui_1.1.1-3_armhf.deb Size: 5434130 SHA256: d6da072df9f2b7b40dd3f8af0ff3b66b3ac805010b2d020bd2ec620361a4dbc6 SHA1: 899b83deb13d9e5761a131394b085ff6b9161d60 MD5sum: 2492ae98a2471a14f0edcbf507614518 Description: Qt 4 GUI module - Python bindings Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . This package provides Python bindings for the QtGui module. Package: python-pyside.qthelp Source: pyside Version: 1.1.1-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 751 Depends: python-pyside.qtgui (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside1.1 (= 1.1.1-3), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libqt4-help (>= 4:4.8.0), libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.7.0), libshiboken1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-pyside.qthelp, python2.7-pyside.qthelp Homepage: http://www.pyside.org/ Priority: optional Section: python Filename: pool/main/p/pyside/python-pyside.qthelp_1.1.1-3_armhf.deb Size: 194714 SHA256: 28391a928932c9c80d8680b2f7b26dcd2ec1809f057eb1d1283c1b8fbcb02fcb SHA1: 3f962d589c4d84f5f56d11891c4492695e3628a1 MD5sum: e24f73076b22e199724989ca0d4691e4 Description: Qt 4 help module - Python bindings Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . This package provides Python bindings for the QtHelp module. Package: python-pyside.qtnetwork Source: pyside Version: 1.1.1-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 1664 Depends: python-pyside.qtcore (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside1.1 (= 1.1.1-3), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libqt4-network (>= 4:4.8.0), libqtcore4 (>= 4:4.7.0), libshiboken1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-pyside.qtnetwork, python2.7-pyside.qtnetwork Homepage: http://www.pyside.org/ Priority: optional Section: python Filename: pool/main/p/pyside/python-pyside.qtnetwork_1.1.1-3_armhf.deb Size: 484934 SHA256: 213d1cdea76290f75abe7ffa37447b16b4881574a69dc3700a5c8f0bf0798ec1 SHA1: ddaa33f87f7d7616c969b36b1d3c57c41cc63385 MD5sum: b0be61210dfc09c252610a66b2d492c4 Description: Qt 4 network module - Python bindings Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . This package provides Python bindings for the QtNetwork module. Package: python-pyside.qtopengl Source: pyside Version: 1.1.1-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 713 Depends: python-pyside.qtgui (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside1.1 (= 1.1.1-3), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libqt4-opengl (>= 4:4.8.0), libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.7.0), libshiboken1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-pyside.qtopengl, python2.7-pyside.qtopengl Homepage: http://www.pyside.org/ Priority: optional Section: python Filename: pool/main/p/pyside/python-pyside.qtopengl_1.1.1-3_armhf.deb Size: 196116 SHA256: 70511a3fd4f70df0ec6576134415f352d77e6e3301888922b4547b458318e213 SHA1: 4cc126c52a548c0a5aa5d9a59d8c823edc20894d MD5sum: 02252f421429348d9db1e995eaa661b1 Description: Qt 4 OpenGL module - Python bindings Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . This package provides Python bindings for the QtOpenGL module. . OpenGL is a standard API for rendering 3D graphics. OpenGL only deals with 3D rendering and provides little or no support for GUI programming issues. Package: python-pyside.qtscript Source: pyside Version: 1.1.1-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 627 Depends: python-pyside.qtcore (= 1.1.1-3), python-pyside.qtgui (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside1.1 (= 1.1.1-3), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libqt4-script (>= 4:4.7.0), libqt4-scripttools (>= 4:4.7.0), libqtcore4 (>= 4:4.7.0), libshiboken1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-pyside.qtscript, python2.7-pyside.qtscript Homepage: http://www.pyside.org/ Priority: optional Section: python Filename: pool/main/p/pyside/python-pyside.qtscript_1.1.1-3_armhf.deb Size: 186510 SHA256: 4afbfd3a3c278505ca8d7507741ec82f7f32f109bc23ceb8dbf65dc054d04b2d SHA1: ce4ed12ad14e41eafb80b0369e4937467b9dfb39 MD5sum: 5da1c252466eb0cb954fc24f2c442068 Description: Qt 4 script module - Python bindings Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . This package provides Python bindings for the QtScript and QtScriptTools modules. Package: python-pyside.qtsql Source: pyside Version: 1.1.1-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 931 Depends: python-pyside.qtgui (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside1.1 (= 1.1.1-3), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libqt4-sql (>= 4:4.7.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.7.0), libshiboken1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-pyside.qtsql, python2.7-pyside.qtsql Homepage: http://www.pyside.org/ Priority: optional Section: python Filename: pool/main/p/pyside/python-pyside.qtsql_1.1.1-3_armhf.deb Size: 278258 SHA256: c9baae6379a0493d28da9f98339803e371bd620a053b49f510e5071d031f27c5 SHA1: f707648012fa653a91cca6628371d6c5d932eb21 MD5sum: 0f236b74a97ab5a42be7a2ca6367b16d Description: Qt 4 SQL module - Python bindings Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . This package provides Python bindings for the QtSql module. Package: python-pyside.qtsvg Source: pyside Version: 1.1.1-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 359 Depends: python-pyside.qtgui (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside1.1 (= 1.1.1-3), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libqt4-svg (>= 4:4.7.0), libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.7.0), libshiboken1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-pyside.qtsvg, python2.7-pyside.qtsvg Homepage: http://www.pyside.org/ Priority: optional Section: python Filename: pool/main/p/pyside/python-pyside.qtsvg_1.1.1-3_armhf.deb Size: 85184 SHA256: ff8d0277604bcc09c60e74d580abd7db094aa5a016c8ac772e5552d74c270317 SHA1: 9ca5e1d4f4d86d55d44761950e4b7050f1c9e043 MD5sum: 51b3af331c1293498a1717bf8a36bf50 Description: Qt 4 SVG module - Python bindings Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . This package provides Python bindings for the QtSvg module. . Scalable Vector Graphics (SVG) is a language for describing two-dimensional graphics and graphical applications in XML. Package: python-pyside.qttest Source: pyside Version: 1.1.1-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 244 Depends: python-pyside.qtgui (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside1.1 (= 1.1.1-3), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libqt4-test (>= 4:4.7.0), libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.7.0), libshiboken1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-pyside.qttest, python2.7-pyside.qttest Homepage: http://www.pyside.org/ Priority: optional Section: python Filename: pool/main/p/pyside/python-pyside.qttest_1.1.1-3_armhf.deb Size: 60452 SHA256: faeed106bfc5aa7e6361f2173257d2daafd49280383107fd985c593c03bc6189 SHA1: 9c1abf0bf5c3972bb109f7b8cf02093fbc07d641 MD5sum: 643ebf8fffc76bc8617d7f579d8aac22 Description: Qt 4 test module - Python bindings Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . This package provides Python bindings for the QtTest module. Package: python-pyside.qtuitools Source: pyside Version: 1.1.1-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 1445 Depends: python-pyside.qtgui (= 1.1.1-3), python-pyside.qtxml (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside1.1 (= 1.1.1-3), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libqt4-designer (>= 4:4.7.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.7.0), libshiboken1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-pyside.qtuitools, python2.7-pyside.qtuitools Homepage: http://www.pyside.org/ Priority: optional Section: python Filename: pool/main/p/pyside/python-pyside.qtuitools_1.1.1-3_armhf.deb Size: 485150 SHA256: 9870ef2d0cc57bae8098d1c8e7729b18b49d49a0c421dd2a88c7a3398a713b9a SHA1: 9b0b2f10159ba4df77a34e2fd08d411ef05a54b7 MD5sum: 400e29423764d5f0cd24340f9f514b0c Description: Qt 4 UI tools module - Python bindings Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . This package provides Python bindings for the QtUiTools module. Package: python-pyside.qtwebkit Source: pyside Version: 1.1.1-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 1081 Depends: python-pyside.qtgui (= 1.1.1-3), python-pyside.qtnetwork (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside1.1 (= 1.1.1-3), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libqt4-network (>= 4:4.7.0), libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.7.0), libqtwebkit4 (>= 2.2.0), libshiboken1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-pyside.qtwebkit, python2.7-pyside.qtwebkit Homepage: http://www.pyside.org/ Priority: optional Section: python Filename: pool/main/p/pyside/python-pyside.qtwebkit_1.1.1-3_armhf.deb Size: 309746 SHA256: 1458e4e42221746c7e4782c261baf4a2c7fc51055f9648ecbeb8e683be9e261a SHA1: c88b085b9d8f59d8168599be7f12febbe357b5f2 MD5sum: b6550d8aed56e146177d8ece3e0b69de Description: Qt 4 WebKit module - Python bindings Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . This package provides Python bindings for the QtWebKit module. Package: python-pyside.qtxml Source: pyside Version: 1.1.1-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 1265 Depends: python-pyside.qtcore (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside1.1 (= 1.1.1-3), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), libqt4-xml (>= 4:4.7.0), libqt4-xmlpatterns (>= 4:4.7.0), libqtcore4 (>= 4:4.7.0), libshiboken1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-pyside.qtxml, python2.7-pyside.qtxml Homepage: http://www.pyside.org/ Priority: optional Section: python Filename: pool/main/p/pyside/python-pyside.qtxml_1.1.1-3_armhf.deb Size: 347030 SHA256: c4fbf049f55ac4186e1624d15bdae989c09c676c68d18e358fa1b68082b74b54 SHA1: 52f48bfc9824c42716b479a571f18a3a6de28cc9 MD5sum: db293892988d89b3310074298f3c645d Description: Qt 4 XML module - Python bindings Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . This package provides Python bindings for the QtXml and QtXmlPatterns modules. Package: python-pysnmp4 Version: 4.2.2-1 Installed-Size: 1088 Maintainer: Jan Lübbe Architecture: all Replaces: python-pysnmp-common, python-pysnmp2 Provides: python-pysnmp-common, python-pysnmp2 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pyasn1, python-crypto, libsmi2ldbl, libsmi2-common Recommends: python-pysnmp4-mibs, python-pysnmp4-apps, python-twisted Suggests: python-pysnmp4-doc, doc-base Conflicts: python-pysnmp-common, python-pysnmp2 Size: 152422 SHA256: 474a059a4042d97b0d4c3a74fe06d912e0801d2e351d186bfb53a899891e0112 SHA1: 3de7fcbc8d94c66060e92de0555d09b3a0d28f1e MD5sum: 4aca5889106d9a60b1f318a3e6c69108 Description: Python SNMP library for agents and managers (unstable branch) This is a Python implementation of SNMP v.1/v.2c/v.3 engine. Its general functionality is to assemble/disassemble SNMP messages from/into given SNMP Object IDs along with associated values. PySNMP also provides a few transport methods specific to TCP/IP networking. . PySNMP is written entirely in Python and is self-sufficient in terms that it does not rely on any third party tool (it isn't a wrapper). . PySNMP 4.x is the unstable branch. Homepage: http://pysnmp.sourceforge.net/ Tag: devel::lang:python, implemented-in::python, protocol::snmp, role::shared-lib Section: python Priority: optional Filename: pool/main/p/python-pysnmp4/python-pysnmp4_4.2.2-1_all.deb Package: python-pysnmp4-apps Version: 0.3.2-1 Installed-Size: 204 Maintainer: Jan Luebbe Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pysnmp4 Suggests: python-pysnmp4-mibs Size: 36018 SHA256: eeee34f623471fd725c74cf435010d2c3a3b8239cc398a908d2c72d7b45b5b54 SHA1: f3c2a3ea5332e3555579f1dce98f8debb868c5c5 MD5sum: 37b88566e30d3fba756682e97fa72fbf Description: Applications for the Python SNMP library This package contains a set of SNMP applications written on top of the PYSNMP v4 package, which is written entirely in Python and is self-sufficient in terms that it does not rely on any third party tool. Homepage: http://pysnmp.sourceforge.net/ Tag: devel::lang:python, devel::library, implemented-in::python, protocol::snmp Section: python Priority: optional Filename: pool/main/p/python-pysnmp4-apps/python-pysnmp4-apps_0.3.2-1_all.deb Package: python-pysnmp4-doc Source: python-pysnmp4 Version: 4.2.2-1 Installed-Size: 285 Maintainer: Jan Lübbe Architecture: all Size: 67102 SHA256: 14e633a7471ffb376d0b3180497b87cffe3c422406dcbfb150362f96e51d17cb SHA1: d763dd2fbbc1dcc7b7326d7056d631772b052fd3 MD5sum: eee8d989592079689cf175580209ef2f Description: Python SNMP library for agents and managers (unstable branch) This is a Python implementation of SNMP v.1/v.2c/v.3 engine. Its general functionality is to assemble/disassemble SNMP messages from/into given SNMP Object IDs along with associated values. PySNMP also provides a few transport methods specific to TCP/IP networking. . PySNMP is written entirely in Python and is self-sufficient in terms that it does not rely on any third party tool (it isn't a wrapper). . PySNMP 4.x is the unstable branch. . This package contains the documentation for PySNMP. Homepage: http://pysnmp.sourceforge.net/ Tag: devel::doc, devel::lang:python, protocol::snmp, role::documentation Section: doc Priority: optional Filename: pool/main/p/python-pysnmp4/python-pysnmp4-doc_4.2.2-1_all.deb Package: python-pysnmp4-mibs Version: 0.1.3-1 Installed-Size: 11554 Maintainer: Jan Lübbe Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pysnmp4 Size: 1983950 SHA256: 7b262b1b68ebc196e12d2b71d73e88e47dd6172d2ce871369cc8f65ff7e7873f SHA1: 837b5ae70c2dc3f9b6a49bddaba02133cd08129f MD5sum: 181f5e807ab7fdbff71c2c35fa0aff13 Description: MIBs for the Python SNMP library This package contains MIBs compiled for PySNMP v4, which is written entirely in Python and is self-sufficient in terms that it does not rely on any third party tool. Homepage: http://pysnmp.sourceforge.net/ Tag: devel::lang:python, implemented-in::python, protocol::snmp, role::app-data Section: python Priority: optional Filename: pool/main/p/python-pysnmp4-mibs/python-pysnmp4-mibs_0.1.3-1_all.deb Package: python-pysolr Version: 2.0.15-1 Installed-Size: 72 Maintainer: Ulises Vitulli Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0), python-simplejson Recommends: python-httplib2 Suggests: python-beautifulsoup Size: 19258 SHA256: bd9334d1a5a02dffba21b20cf12c563c49a5aea68115067f813a26abdb386b85 SHA1: 3374ce16e4c00f0a0fb1e9a691a84866bc7e4463 MD5sum: 0cc7ab64af268fb7d465747096c30783 Description: lightweight Python wrapper for quering Apache Solr This module provides an interface that queries the Apache Solr server using a pure Python implementation and returns the results based on the query . Features: * Basic operations such as selecting, updating & deleting. * Index optimization. * "More Like This" support (if setup in Solr). * Spelling correction (if setup in Solr). * Timeout support. Homepage: https://github.com/toastdriven/pysolr Section: python Priority: optional Filename: pool/main/p/python-pysolr/python-pysolr_2.0.15-1_all.deb Package: python-pyspatialite Source: pyspatialite Version: 3.0.1-2 Architecture: armhf Maintainer: Debian GIS Project Installed-Size: 218 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libgeos-c1 (>= 3.3.3), libproj0, libspatialite3 (>= 2.4.0~rc2) Homepage: http://pyspatialite.googlecode.com/ Priority: extra Section: python Filename: pool/main/p/pyspatialite/python-pyspatialite_3.0.1-2_armhf.deb Size: 56650 SHA256: b6a2dff62f2cd75a4f9161e0ffa2d5d4408f6d9307a071ef88ff11366d5429fe SHA1: 01f9d1fcd9c1d85aac282731bfa4c31d0ba4c2b4 MD5sum: 51872ba3ea145b00e57fc0696a029a60 Description: Python interface to Spatialite pyspatialite is an interface to the SQLite 3.x embedded relational database engine with spatialite extensions. . It is almost fully compliant with the Python database API version 2.0 and also exposes the unique features of SQLite and spatialite. Package: python-pysqlite1.1 Version: 1.1.8a-6 Architecture: armhf Maintainer: Joel Rosdahl Installed-Size: 181 Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.5.9), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python-pysqlite1.1-dbg Conflicts: python-sqlite, python2.3-pysqlite1.1, python2.3-sqlite, python2.4-pysqlite1.1, python2.4-sqlite Replaces: python2.3-pysqlite1.1, python2.4-pysqlite1.1 Provides: python2.6-pysqlite1.1, python2.7-pysqlite1.1 Priority: extra Section: python Filename: pool/main/p/python-pysqlite1.1/python-pysqlite1.1_1.1.8a-6_armhf.deb Size: 48616 SHA256: b6a0f1a468631678a0891a02b83d225ffd5fbe46e125af192f4876d7766d9227 SHA1: eb65234949caa036d5d734798b2bd75c5e7ab4f7 MD5sum: ac3b506b63a2c9004101e526cfb00dfa Description: python interface to SQLite 3 pysqlite is an interface to the SQLite database server for Python. It aims to be fully compliant with Python database API version 2.0 while also exploiting the unique features of SQLite. . pysqlite 1.1.x is built against SQLite 3 but uses the same API as pysqlite 1.0.x (for SQLite 2, packaged as python-sqlite). Newer interfaces to SQLite 3 can be found in the package python-pysqlite2 and python-apsw. Package: python-pysqlite1.1-dbg Source: python-pysqlite1.1 Version: 1.1.8a-6 Architecture: armhf Maintainer: Joel Rosdahl Installed-Size: 310 Depends: python-pysqlite1.1 (= 1.1.8a-6), python-dbg, libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.5.9) Conflicts: python-sqlite-dbg Priority: extra Section: debug Filename: pool/main/p/python-pysqlite1.1/python-pysqlite1.1-dbg_1.1.8a-6_armhf.deb Size: 100460 SHA256: 26819e0a15a9476d69727d5934959bb1c8657e06c8d0799fb204fba0c10aeeab SHA1: 0cc1e138cde205af3ce7b891da3f08969fe03835 MD5sum: 58e7683a17308e232c66e86357facdee Description: python interface to SQLite 3 (debug extension) pysqlite is an interface to the SQLite database server for Python. It aims to be fully compliant with Python database API version 2.0 while also exploiting the unique features of SQLite. . pysqlite 1.1.x is built against SQLite 3 but uses the same API as pysqlite 1.0.x (for SQLite 2, packaged as python-sqlite). Newer interfaces to SQLite 3 can be found in the package python-pysqlite2 and python-apsw. . This package contains the extension built for the Python debug interpreter. Package: python-pysqlite2 Version: 2.6.3-3 Architecture: armhf Maintainer: Joel Rosdahl Installed-Size: 224 Depends: libc6 (>= 2.13-28), libsqlite3-0 (>= 3.5.9), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python-pysqlite2-doc, python-pysqlite2-dbg Conflicts: python2.3-pysqlite2, python2.4-pysqlite2 Replaces: python2.3-pysqlite2, python2.4-pysqlite2 Provides: python2.6-pysqlite2, python2.7-pysqlite2 Priority: optional Section: python Filename: pool/main/p/python-pysqlite2/python-pysqlite2_2.6.3-3_armhf.deb Size: 60086 SHA256: e6e7f2b41d75ff897b164df15f1709db6172baa5a3eb28a8864175320c1312b2 SHA1: fb6ff75b29c570ec3fec4f37fd204a6d1540e850 MD5sum: c232372c4426ea0cc2f5e518b3d688d7 Description: Python interface to SQLite 3 pysqlite is a DB-API 2.0-compliant database interface for SQLite. . This package is built against SQLite 3. For an interface to SQLite 2, see the package python-sqlite. An alternative Python SQLite 3 module is packaged as python-apsw. . SQLite is a relational database management system contained in a relatively small C library. It is a public domain project created by D. Richard Hipp. Unlike the usual client-server paradigm, the SQLite engine is not a standalone process with which the program communicates, but is linked in and thus becomes an integral part of the program. The library implements most of SQL-92 standard, including transactions, triggers and most of complex queries. . pysqlite makes this powerful embedded SQL engine available to Python programmers. It stays compatible with the Python database API specification 2.0 as much as possible, but also exposes most of SQLite's native API, so that it is for example possible to create user-defined SQL functions and aggregates in Python. . If you need a relational database for your applications, or even small tools or helper scripts, pysqlite is often a good fit. It's easy to use, easy to deploy, and does not depend on any other Python libraries or platform libraries, except SQLite. SQLite itself is ported to most platforms you'd ever care about. . It's often a good alternative to MySQL, the Microsoft JET engine or the MSDE, without having any of their license and deployment issues. Package: python-pysqlite2-dbg Source: python-pysqlite2 Version: 2.6.3-3 Architecture: armhf Maintainer: Joel Rosdahl Installed-Size: 782 Depends: python-pysqlite2 (= 2.6.3-3), python-dbg, libc6 (>= 2.13-28), libsqlite3-0 (>= 3.5.9) Priority: extra Section: debug Filename: pool/main/p/python-pysqlite2/python-pysqlite2-dbg_2.6.3-3_armhf.deb Size: 247592 SHA256: 870de58885b7cd057171ad16709b1e007632af62f0f8577a98b73911c5e05d60 SHA1: 65733ee1a8c4c737f250a1cc52923bb15027e900 MD5sum: a7774bf2b1fac2a3a32fdbdf4f8c1c76 Description: Python interface to SQLite 3 (debug extension) pysqlite is a DB-API 2.0-compliant database interface for SQLite. . This package is built against SQLite 3. For an interface to SQLite 2, see the package python-sqlite. An alternative Python SQLite 3 module is packaged as python-apsw. . This package contains the extension built for the Python debug interpreter. Package: python-pysqlite2-doc Source: python-pysqlite2 Version: 2.6.3-3 Installed-Size: 322 Maintainer: Joel Rosdahl Architecture: all Depends: libjs-jquery, libjs-underscore Size: 70608 SHA256: d0e6a97a8d1b22f00b6fa1e78592ed73dcee9b57d1c419bcce55a6917c766ca9 SHA1: 91dc795316b89032bab1d6c8642b120ba43ffda0 MD5sum: 4a8f6ce4117e36688aa12c1e67b8aa7e Description: Documentation for python-pysqlite2 pysqlite is a DB-API 2.0-compliant database interface for SQLite. . SQLite is a relational database management system contained in a relatively small C library. It is a public domain project created by D. Richard Hipp. Unlike the usual client-server paradigm, the SQLite engine is not a standalone process with which the program communicates, but is linked in and thus becomes an integral part of the program. The library implements most of SQL-92 standard, including transactions, triggers and most of complex queries. . This package only contains the documentation. Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/p/python-pysqlite2/python-pysqlite2-doc_2.6.3-3_all.deb Package: python-pytango Source: pytango Version: 7.2.3-2 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 11665 Depends: libboost-python1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcos4-1 (>= 4.1.6), libgcc1 (>= 1:4.4.0), liblog4tango4, libomniorb4-1 (>= 4.1.6), libomnithread3c2 (>= 4.0.6), libstdc++6 (>= 4.4.0), libtango7, python-numpy (>= 1:1.6.1), python-numpy-abi9, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), ipython Provides: python2.6-pytango, python2.7-pytango Homepage: http://www.tango-controls.org/static/PyTango/latest/doc/html/ Priority: extra Section: python Filename: pool/main/p/pytango/python-pytango_7.2.3-2_armhf.deb Size: 2583398 SHA256: c9d27934e1149f0faa44d97a4890b3a1a022bdb4faa1db73870d0c039bf80519 SHA1: f340559bc358939251844998d478787fc8e1a78c MD5sum: 9aff544ceb25ec85e8f48b8836a3d6ec Description: API for the TANGO control system (documentation) TANGO is an object oriented distributed control system using CORBA, mainly developed by the Controls Section of the ALBA Synchrotron. PyTango provides bindings for its client- and server-side C++ APIs. With PyTango, you can write TANGO device servers and TANGO applications (scripts, CLIs, GUIs) that access TANGO device servers in pure Python. Package: python-pytango-doc Source: pytango Version: 7.2.3-2 Installed-Size: 5108 Maintainer: Debian Science Maintainers Architecture: all Depends: libjs-sphinxdoc (>= 1.0) Size: 818082 SHA256: 9303a1fa5494f26b2b497ad6067498c2cfb6255a2ce6716669fedec8646bc35a SHA1: 4c185bb3fe4e35c0d5c907aa127dbb131c9d07e4 MD5sum: 8079503d20b06d4002f861a6ef725312 Description: API for the TANGO control system TANGO is an object oriented distributed control system using CORBA, mainly developed by the Controls Section of the ALBA Synchrotron. PyTango provides bindings for its client- and server-side C++ APIs. With PyTango, you can write TANGO device servers and TANGO applications (scripts, CLIs, GUIs) that access TANGO device servers in pure Python. . This package contains the documentation for the python-pytango library. Homepage: http://www.tango-controls.org/static/PyTango/latest/doc/html/ Section: doc Priority: extra Filename: pool/main/p/pytango/python-pytango-doc_7.2.3-2_all.deb Package: python-pytc Version: 0.8-1 Architecture: armhf Maintainer: Vernon Tang Installed-Size: 138 Depends: libc6 (>= 2.13-28), libtokyocabinet9 (>= 1.4.47), python (<< 2.8), python (>= 2.6), python-central (>= 0.6.11) Provides: python2.6-pytc, python2.7-pytc Homepage: http://pypi.python.org/pypi/pytc/ Priority: optional Section: python Filename: pool/main/p/python-pytc/python-pytc_0.8-1_armhf.deb Size: 31904 SHA256: f6ee8e5948eb55f8e91fd920e8793c9fd79e53cf24d3b9fb7328ee9bfac567f1 SHA1: d33869f621b6dcf0f113e2cce700c984df673776 MD5sum: e8e4b9011f75b0e317f212c37b946f21 Description: Python bindings for the Tokyo Cabinet database This package contains the pytc module, which gives Python programs the ability to work with Tokyo Cabinet database files. Python-Version: 2.6, 2.7 Package: python-pytc-dbg Source: python-pytc Version: 0.8-1 Architecture: armhf Maintainer: Vernon Tang Installed-Size: 437 Depends: python-pytc (= 0.8-1), libc6 (>= 2.13-28), libtokyocabinet9 (>= 1.4.47), python-dbg Provides: python2.6-pytc-dbg, python2.7-pytc-dbg Homepage: http://pypi.python.org/pypi/pytc/ Priority: extra Section: debug Filename: pool/main/p/python-pytc/python-pytc-dbg_0.8-1_armhf.deb Size: 140080 SHA256: d555f046d8ea2bf3b6ec0a9cb1c0666b6658f8e51935a5cd50f7a6dda210d034 SHA1: 705be4d06974dc1cf02163f48891972c0da2a059 MD5sum: c1176c2601cca8042d60658169831695 Description: Python bindings for the Tokyo Cabinet database (debug extension) This package contains the pytc module, which gives Python programs the ability to work with Tokyo Cabinet database files. . This package contains the extension built for the Python debug interpreter. Python-Version: 2.6, 2.7 Package: python-pytest Source: pytest Version: 2.2.4-2 Installed-Size: 424 Maintainer: Debian Python Modules Team Architecture: all Replaces: python-py (<< 1.4) Depends: python-pkg-resources, python-py (>= 1.4.8), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Breaks: python-py (<< 1.4) Size: 89834 SHA256: 1f677fc763226e21b1fc1ca2fe8db2e6e4ab18d59766f3d3296bdd07d85de2fd SHA1: f340aae6fb18971da62e63a46b6a307b1a132198 MD5sum: eff32a7b5170d1309904501670ea0ad3 Description: Simple, powerful testing in Python This testing tool has for objective to allow the developers to limit the boilerplate code around the tests, promoting the use of built-in mechanisms such as the `assert` keyword. Homepage: http://pytest.org/ Section: python Priority: optional Filename: pool/main/p/pytest/python-pytest_2.2.4-2_all.deb Package: python-pytest-doc Source: pytest Version: 2.2.4-2 Installed-Size: 1601 Maintainer: Debian Python Modules Team Architecture: all Depends: libjs-sphinxdoc (>= 1.0) Recommends: python-pytest | python3-pytest Size: 270944 SHA256: 99836e0037518a6f531d9f1d83878adbbc2c15b58588574bcd7b46dbda08cf34 SHA1: 5afed87e024a1f4a392e05085d3124b7f8b941be MD5sum: 6fc2b70ae487e17b26388a6c0e854584 Description: Simple, powerful testing in Python - Documentation This testing tool has for objective to allow the developers to limit the boilerplate code around the tests, promoting the use of built-in mechanisms such as the `assert` keyword. . This package contains the documentation for pytest. Homepage: http://pytest.org/ Section: doc Priority: optional Filename: pool/main/p/pytest/python-pytest-doc_2.2.4-2_all.deb Package: python-pytest-xdist Source: pytest-xdist Version: 1.8-0.1 Installed-Size: 139 Maintainer: Adam Schmalhofer Architecture: all Provides: python2.6-pytest-xdist, python2.7-pytest-xdist Depends: python-execnet (>= 1.0.9), python-py, python-pytest, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Enhances: python-pytest Size: 21984 SHA256: 812675396ab1e9d7263335249f6db33586631c31aacceeecb37852d5d1e66e2e SHA1: 312d222b361a9d4da55b78b0a20b8b5442e80be4 MD5sum: a6d66a825c9790fe1a3840d94bbc8d77 Description: xdist plugin for py.test The pytest-xdist plugin extends py.test with some unique test execution modes: . * Looponfail: Run your tests repeatedly in a subprocess. After each run py.test waits until a file in your project changes and then re-runs the previously failing tests. This is repeated until all tests pass after which again a full run is performed. * Load-balancing: if you have multiple CPUs or hosts you can use those for a combined test run. This allows to speed up development or to use special resources of remote machines. * Multi-Platform coverage: you can specify different Python interpreters or different platforms and run tests in parallel on all of them. . Before running tests remotely, py.test efficiently synchronizes your program source code to the remote place. All test results are reported back and displayed to your local test session. You may specify different Python versions and interpreters. Homepage: http://bitbucket.org/hpk42/pytest-xdist Section: python Priority: optional Filename: pool/main/p/pytest-xdist/python-pytest-xdist_1.8-0.1_all.deb Package: python-pyth Source: pyth Version: 0.5.6-3 Installed-Size: 271 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-beautifulsoup, python-docutils, python-reportlab Size: 26182 SHA256: 4c9a270918a0c6e41b6fff0fb44477711867952bd4891e4bb62d5b4df185ad33 SHA1: 86fa5f3f5ab5296f4d2cc5a8bd5c9e9c3aceee54 MD5sum: af3bfa8cb57ebb21f08800a2f25f75e7 Description: Python text markup and conversion Pyth is a library used to manipulate different formats of marked-up text. . The following list of document formats are currently available: * XHTML (fully supported: read, write) * RTF (fully supported: read, write) * PDF (only output) . It also can generate documents from Python markup a la Nevow's stan and has limited experimental support for LaTeX. Homepage: http://github.com/brendonh/pyth Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, works-with-format::html, works-with-format::pdf, works-with-format::tex, works-with::text Section: python Priority: optional Filename: pool/main/p/pyth/python-pyth_0.5.6-3_all.deb Package: python-pythoncard Source: pythoncard Version: 0.8.2-2 Installed-Size: 3734 Maintainer: Debian QA Group Architecture: all Replaces: python2.3-pythoncard (<< 0.8.1-6) Provides: python2.6-pythoncard, python2.7-pythoncard Depends: python, python-support (>= 0.90.0), python-wxgtk2.8 Suggests: pythoncard-tools, pythoncard-doc Conflicts: python2.3-pythoncard (<< 0.8.1-6) Size: 1960406 SHA256: 8af35db5c259021e69bb0c8157aad4694fef1b116aaca4c870c67fece2b6c8ac SHA1: c8f95bb836544faef1b6ddf57375cd63955cf756 MD5sum: e46e67a010b19fd76f77d16e01ca87c2 Description: wxPython-based GUI construction framework (underlying Python libraries) PythonCard is a GUI construction framework for building cross-platform desktop applications on Windows, Mac OS X, and Linux, using the Python language. It is based on the wxPython bindings for the wxWidgets toolkit (formerly known as wxWindows). . This package includes the PythonCard Python package and all of its associated Python modules. Homepage: http://pythoncard.sourceforge.net/ Python-Version: 2.6, 2.7 Tag: devel::lang:python, devel::ui-builder, implemented-in::python Section: python Priority: optional Filename: pool/main/p/pythoncard/python-pythoncard_0.8.2-2_all.deb Package: python-pythonmagick Source: pythonmagick Version: 0.9.7-2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 4835 Depends: libboost-python1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libmagick++5 (>= 8:6.7.4.0), libmagickcore5 (>= 8:6.7.4.0), libstdc++6 (>= 4.4.0), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Provides: python2.6-pythonmagick, python2.7-pythonmagick Homepage: http://www.imagemagick.org Priority: extra Section: python Filename: pool/main/p/pythonmagick/python-pythonmagick_0.9.7-2_armhf.deb Size: 963558 SHA256: 672d5429e3de31221992791d49879836cd6d28bfff6735e78ddf949a79303fe4 SHA1: 302bc611b4387a91d855c123ad2feefc4fc71a99 MD5sum: fabf8853dd3c5887e576c85a5fc4095a Description: Object-oriented Python interface to ImageMagick PythonMagick an interface to ImageMagick to give all the functionality of ImageMagick++ into Python. Package: python-pytils Version: 0.2.3-2 Installed-Size: 548 Maintainer: Mikhail Gusarov Architecture: all Depends: python, python-support (>= 0.90.0) Suggests: python-django Size: 133608 SHA256: 8e7ff507568d7e154af76928eeb27eacc9b72f9cd768cfb72ef87c79667497cb SHA1: fa05925caf368202bd3cbfd5b7d5d5e1252b68d2 MD5sum: 1e4097a362c5c7c448288b03d44e32ed Description: Python library for processing strings in Russian pytils contains several tools for processing strings in Russian: * choosing plural forms * in-words numerals representation * formatting dates in different styles * transliteration Homepage: http://pyobject.ru/projects/pytils/ Tag: culture::russian, implemented-in::python Section: python Priority: optional Filename: pool/main/p/python-pytils/python-pytils_0.2.3-2_all.deb Package: python-pytools Source: pytools Version: 2011.5-2 Installed-Size: 179 Maintainer: Tomasz Rybak Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-decorator, python-numpy Size: 31816 SHA256: 570f73fdc8b8a681f3e07a043dbf796e5d6595d57a2feb35114a39cd5a02579a SHA1: 8fd9b9f462f4bc2a6eae9df174df6a09e63e3d17 MD5sum: 56801a5d75b3f1214e6c3aa956e108f7 Description: big bag of things supplementing Python standard library Pytools is a big bag of things that are needed by packages created by Andreas Kloeckner. They are used by python-pycuda and python-pyopencl packages. They contain, amongst other: * A ton of small tool functions such as len_iterable, argmin, tuple generation, permutation generation, ASCII table pretty printing, GvR's mokeypatch_xxx() hack, the elusive flatten, and much more * A time-series logging module, pytools.log * Batch job submission, pytools.batchjob * A lexer, pytools.lex Homepage: http://mathema.tician.de/software/pytools Section: python Priority: optional Filename: pool/main/p/pytools/python-pytools_2011.5-2_all.deb Package: python-pytyrant Version: 1.1.17-1 Architecture: armhf Maintainer: David Watson Installed-Size: 50 Depends: python, python-support (>= 0.90.0) Homepage: http://code.google.com/p/pytyrant/ Priority: optional Section: python Filename: pool/main/p/python-pytyrant/python-pytyrant_1.1.17-1_armhf.deb Size: 6602 SHA256: 090d026fa29daf3043a1acfec49c9c6c462d137c8d9eb577005f65891713fbdc SHA1: 9d444894f095bfd9ee4776628617cbce71fb77b7 MD5sum: e81c1347761f995412afedf994d968aa Description: Pure Python client implementation of the Tokyo Tyrant protocol A pure Python client implementation of the binary Tokyo Tyrant protocol. Tokyo Cabinet is a "super hyper ultra database manager" written and maintained by Mikio Hirabayashi and released under the LGPL. . Tokyo Tyrant is the de facto database server for Tokyo Cabinet written and maintained by the same author. It supports a REST HTTP protocol, memcached, and its own simple binary protocol. This library implements the full binary protocol for the Tokyo Tyrant 1.1.17 in pure Python as defined here:: . http://tokyocabinet.sourceforge.net/tyrantdoc/ Package: python-pyudev Source: pyudev Version: 0.13-1 Installed-Size: 193 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libudev0 (>= 151) Suggests: python-gobject, python-qt4, python-pyside.qtcore Size: 33296 SHA256: 48dc50f75d40955ed03607a1cbc1af1f498275c520af0f2432a1492a70e944ea SHA1: e0f3b5dfb83b6622f0d7312729d095602b71a1ce MD5sum: 47f4120e42c07c99be293c3433b1962d Description: Python bindings for libudev This module provides a Python binding to the udev library. . It supports additional integration for GObject, PyQt4 and PySide. Homepage: http://packages.python.org/pyudev/ Section: python Priority: optional Filename: pool/main/p/pyudev/python-pyudev_0.13-1_all.deb Package: python-pyvorbis Source: pyvorbis Version: 1.5-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 163 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python-ogg, libc6 (>= 2.4), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2) Suggests: python-pyvorbis-dbg Homepage: http://ekyo.nerim.net/software/pyogg/index.html Priority: optional Section: python Filename: pool/main/p/pyvorbis/python-pyvorbis_1.5-1_armhf.deb Size: 49664 SHA256: 0ee05adfb36730d93f799aa4067b77eeae21b68d370a2682e0fccd7fb6a5d3cc SHA1: c08db96b0c59a4f727b8b7a4818dc90adcab7a04 MD5sum: 5945b520b59ba5c37c621250f66a8f11 Description: Python interface to the Ogg Vorbis library This module makes the libvorbis (Ogg Vorbis) functions available in Python. With this module you can write Python applications that use the ogg vorbis library. Package: python-pyvorbis-dbg Source: pyvorbis Version: 1.5-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 543 Depends: python-pyvorbis (= 1.5-1), python-dbg, python-ogg-dbg, libc6 (>= 2.4), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2) Homepage: http://ekyo.nerim.net/software/pyogg/index.html Priority: extra Section: debug Filename: pool/main/p/pyvorbis/python-pyvorbis-dbg_1.5-1_armhf.deb Size: 187266 SHA256: c028d374613faf1e94cef8cede68a82d8110e3a1c430a92547832d891fbea713 SHA1: 5ba87e00c2127a061deb08f2b0dd1624e72d90c7 MD5sum: 065e331389a6ab6bf69ace4eff4dcfea Description: Python interface to the Ogg Vorbis library (debug extension) This module makes the libvorbis (Ogg Vorbis) functions available in Python. With this module you can write Python applications that use the ogg vorbis library. . This package contains the extension built for the Python debug interpreter. Package: python-pyvtk Source: pyvtk Version: 0.4.74-3 Installed-Size: 444 Maintainer: Steve M. Robbins Architecture: all Replaces: python2.3-pyvtk (<< 0.4.66-6), python2.4-pyvtk (<< 0.4.66-6) Provides: python2.5-pyvtk, python2.6-pyvtk Depends: python, python-support (>= 0.90.0) Conflicts: python2.3-pyvtk (<< 0.4.66-6), python2.4-pyvtk (<< 0.4.66-6) Size: 32722 SHA256: 456e739128e5e69935d2bd02bce0c939ea2325aad861d7f92c46cdd8835966b5 SHA1: c57958b08a794a2b3f58b71ac44a6e203ea2c699 MD5sum: b70bacfe656bdd7a8aa9429503fbb7e0 Description: module for manipulating VTK files PyVTK provides python classes to read and write a VTK file and to create a VTK file from standard Python objects. Only VTK File Format version 2.0 is supported. Features include: - ascii and binary output, ascii input - DataSet formats: StructuredPoints, StructuredGrid, RectilinearGrid, PolyData, UnstructuredGrid - Data formats: PointData, CellData - DataSetAttr formats: Scalars, ColorScalars, LookupTable, Vectors, Normals, TextureCoordinates, Tensors, Field Homepage: http://cens.ioc.ee/projects/pyvtk/ Python-Version: 2.5, 2.6 Tag: devel::lang:python, devel::library, implemented-in::python, use::viewing Section: python Priority: optional Filename: pool/main/p/pyvtk/python-pyvtk_0.4.74-3_all.deb Package: python-pywapi Source: pywapi Version: 0.2.2-1 Installed-Size: 108 Maintainer: Runa Sandvik Architecture: all Depends: python, python-support (>= 0.90.0) Size: 9638 SHA256: cab8d96c701bbcc8a4b05f8f317d351ff7a712fbf31fd0551a55c7cc0975bf35 SHA1: d59d2afeeeb77e505ef2f0277e1f2d95c1772812 MD5sum: 162565a32ea4290de306b20172da673a Description: Python wrapper around different weather APIs The module provides a Python wrapper around the Yahoo! Weather, Google Weather and National Oceanic and Atmospheric Administration (NOAA) APIs. Fetch weather reports using zip code, location id, city name, state, country etc. Homepage: http://code.google.com/p/python-weather-api/ Section: python Priority: optional Filename: pool/main/p/pywapi/python-pywapi_0.2.2-1_all.deb Package: python-pywbem Source: pywbem Version: 0.7.0-4 Installed-Size: 640 Maintainer: Debian Python Modules Team Architecture: all Depends: python (>= 2.3), python-support (>= 0.90.0), python-ply Recommends: python-twisted-web, python-twisted-core, python-elementtree | python (>= 2.5) Size: 106828 SHA256: 60f0161e86322445fbb3cacaf95643a74ca781c48e6d39865ff95aeaaa04d880 SHA1: aebd8e99b729250edbc7650a1b15286eebb7b0aa MD5sum: 4655942c91a4383a6bd4c2326dd7ae72 Description: Python WBEM Client and Provider Interface PyWBEM is a Python library that implements a Web Based Enterprise Management (WBEM) client. It performs WBEM operations using the CIM-XML and CIM Operations over HTTP protocols as defined by the Distributed Management Task Force (DMTF). WBEM is used to remotely describe and manage distributed computing environments. It is a peer and perhaps successor to the SNMP protocol. Homepage: http://pywbem.sourceforge.net/ Section: python Priority: extra Filename: pool/main/p/pywbem/python-pywbem_0.7.0-4_all.deb Package: python-pywcs Version: 1.11-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 479 Depends: python (<< 2.8), python (>= 2.6), python-numpy (>= 1:1.6.1), python-numpy-abi9, python-support (>= 0.90.0), libc6 (>= 2.13-28), libwcs4 (>= 4.8.1) Provides: python2.6-pywcs, python2.7-pywcs Homepage: https://trac6.assembla.com/astrolib Priority: optional Section: python Filename: pool/main/p/python-pywcs/python-pywcs_1.11-1_armhf.deb Size: 140504 SHA256: 4515746a58d5996fe8e30699838d2c450007c25e373a813ad2e7462a0516f567 SHA1: 54f2bd36eafba35212bcb98b83ba030354f21593 MD5sum: a18ce62a09378ba3ec5ec3c33bd020be Description: Set of routines for handling the FITS WCS standard This is a thin wrapper around the high- and mid-level interfaces of Dr. Mark Calabretta's WCSLIB for handling the World Coordinate System. . In addition, there are extensions (written in C) to support Spitzer Simple Imaging Polynomial (SIP) convention keywords and Paper IV table lookup distortion. Package: python-pywt Source: pywavelets Version: 0.2.0-5 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 1584 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libjs-sphinxdoc (>= 1.0), python-numpy (>= 1:0.9.8-2) Provides: python2.6-pywt, python2.7-pywt Homepage: http://www.pybytes.com/pywavelets/ Priority: optional Section: python Filename: pool/main/p/pywavelets/python-pywt_0.2.0-5_armhf.deb Size: 572584 SHA256: 8305c05f2d84aec1a9e80358774c60e5c92691a9d72e66621749697b2808a4cb SHA1: b6a36deea0d4e5ff1142e9a842866a697faf59e3 MD5sum: 7e40599e398c839bb65a5ba588d388fc Description: Python extension implementing of wavelet transformations PyWavelets implements the discrete wavelet transform (DWT) popular in numerical harmonic analysis for numerous families of wavelets, including Haar, Daubechies, Symlet, Coiflet, biorthogonal wavelets in one and two dimensions. Package: python-pyx Source: pyx (0.11.1-2) Version: 0.11.1-2+b1 Architecture: armhf Maintainer: Stuart Prescott Installed-Size: 1916 Depends: libc6 (>= 2.13-28), libkpathsea6, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: texlive-latex-base Suggests: python-pyx-doc, python-imaging, texlive-fonts-recommended Provides: python2.6-pyx, python2.7-pyx Homepage: http://pyx.sourceforge.net/ Priority: optional Section: python Filename: pool/main/p/pyx/python-pyx_0.11.1-2+b1_armhf.deb Size: 364270 SHA256: 2bd9d9e7bb79a4779b2bafe45034330f041ea6443ff8b19e056f3e734eab6aa9 SHA1: a16e21334f1fb4380cec95ce6ecbafc5460cfd9c MD5sum: 6b33394716d13abe6a137e8dc78b538c Description: Python module for generating PostScript graphics PyX is a Python module for generating encapsulated PostScript figures using an abstraction of PostScript and TeX. . The module provides access to PostScript features such as paths, line-styles, fill patterns, transformations, and clipping. Geometric operations on paths such as intersections, transformations, and splitting are also supported. . PyX also allows flexible graph generation with many different graph and axis styles. Package: python-pyx-doc Source: pyx Version: 0.11.1-2 Installed-Size: 2483 Maintainer: Stuart Prescott Architecture: all Depends: libjs-jquery, libjs-sphinxdoc (>= 1.0) Recommends: pdf-viewer Size: 1333060 SHA256: e422ad7bab4d2782dcfc6e87211ae1849f05712ed86aa9a5e9e3a41308ccd589 SHA1: 381f730dde8a5d9e88303f88316931f599029b58 MD5sum: 1b7bef7d4ac07914df3e162f78b273d1 Description: Python module for generating PostScript graphics (documentation) PyX is a Python module for generating encapsulated PostScript figures using an abstraction of PostScript and TeX. . This package contains the manual for PyX in PDF and HTML formats and examples. Homepage: http://pyx.sourceforge.net/ Tag: devel::doc, devel::examples, devel::lang:python, made-of::html, made-of::pdf, role::documentation, role::examples, science::plotting, science::visualisation, use::viewing Section: doc Priority: optional Filename: pool/main/p/pyx/python-pyx-doc_0.11.1-2_all.deb Package: python-pyxattr Version: 0.5.1-1.1 Architecture: armhf Maintainer: Iustin Pop Installed-Size: 234 Depends: python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libattr1 (>= 1:2.4.46-8), libc6 (>= 2.13-28), libjs-sphinxdoc (>= 1.0) Suggests: python-pyxattr-dbg Provides: python2.6-pyxattr, python2.7-pyxattr Homepage: http://pyxattr.k1024.org/ Priority: optional Section: python Filename: pool/main/p/python-pyxattr/python-pyxattr_0.5.1-1.1_armhf.deb Size: 40012 SHA256: 6095fc45580c4e3a44e1d163ac88b7750af0b5929cc93923f5b7117418930d18 SHA1: 39f34271918eff5de8802f0bb0111670de14a621 MD5sum: d19c83f16bce380ce6c252a64a769840 Description: module for manipulating filesystem extended attributes pyxattr is a Python interface to the libattr library. It allows manipulation of the filesystem extended attributes present in some operating systems (GNU/Linux included). Package: python-pyxattr-dbg Source: python-pyxattr Version: 0.5.1-1.1 Architecture: armhf Maintainer: Iustin Pop Installed-Size: 219 Depends: python-pyxattr (= 0.5.1-1.1), python-dbg (>= 2.6), libattr1 (>= 1:2.4.46-8), libc6 (>= 2.13-28), python2.7-dbg | python2.6-dbg, python-dbg (<< 2.8) Provides: python2.6-pyxattr-dbg, python2.7-pyxattr-dbg Homepage: http://pyxattr.k1024.org/ Priority: extra Section: debug Filename: pool/main/p/python-pyxattr/python-pyxattr-dbg_0.5.1-1.1_armhf.deb Size: 49162 SHA256: 14c512d9e0478039108cfdd1ad63b94becea6779ffaa906f27c888f6b69a1e0c SHA1: a88e9800ebf818522b142e116b92392f2dab5123 MD5sum: fe7d66e4fdbc8fde8ab15199bddc73d1 Description: module for manipulating filesystem extended attributes (debug extension) pyxattr is a Python interface to the libattr library. It allows manipulation of the filesystem extended attributes present in some operating systems (GNU/Linux included). . This package contains the extension built for the Python debug interpreter. Package: python-pyxid Source: pyxid Version: 1.0-1 Installed-Size: 80 Maintainer: NeuroDebian Team Architecture: all Depends: python (>= 2.5), python-support (>= 0.90.0) Size: 10752 SHA256: c9c4bb1e60fda7843b9e423dc94d966a8770b6b13ea1feac333949d39fa31e3a SHA1: 1167614784c903401de7fb3f9204e82faa9f071f MD5sum: 0637bea21a876691f37c4a27ba8ce4a5 Description: interface for Cedrus XID and StimTracker devices pyxid is a Python library for interfacing with Cedrus XID (eXperiment Interface Device) and StimTracker devices. XID devices are used in software such as SuperLab, Presentation, and ePrime for receiving input as part of stimulus/response testing experiments. . pyxid handles all of the low level device handling for XID devices in Python projects. Homepage: https://github.com/cedrus-opensource/pyxid Section: python Priority: optional Filename: pool/main/p/pyxid/python-pyxid_1.0-1_all.deb Package: python-pyxine Source: pyxine Version: 0.1alpha2-7 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 481 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libxext6, libxine1 (>= 1.1.8), zlib1g (>= 1:1.1.4) Homepage: http://pyxine.sourceforge.net/ Priority: optional Section: python Filename: pool/main/p/pyxine/python-pyxine_0.1alpha2-7_armhf.deb Size: 134688 SHA256: 4a4f43749857c1b37dfffb06ef5be46317b7d5ebe91ea8fe74a1d34ba1a72ea7 SHA1: 0418c2ef7e52852f572b9ac230ea620af7b320ad MD5sum: 6280914b34e0254c01abb73797857cae Description: interface to the xine media player for Python Pyxine provides Python bindings for libxine, the backend of the xine media player. Using Pyxine, it is possible to write simple (or complex) user-interfaces to xine. This makes it much easier for one to write custom xine UIs. Pyxine can also be used as a generic audio and video playing library for Python. Python-Version: 2.6, 2.7 Package: python-pyxine-dbg Source: pyxine Version: 0.1alpha2-7 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 2285 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.4.0), libxext6, libxine1 (>= 1.1.8), zlib1g (>= 1:1.1.4), python-pyxine (= 0.1alpha2-7), python-dbg Homepage: http://pyxine.sourceforge.net/ Priority: extra Section: debug Filename: pool/main/p/pyxine/python-pyxine-dbg_0.1alpha2-7_armhf.deb Size: 790464 SHA256: ce7ef9bc10ca0aba684dcb2867f26ca4832ffaf596e08b04f4fa0a7749094945 SHA1: 4b0358414d81ae7ed2692497a9513fe4798da8da MD5sum: 6e36c61ddd0566e8d20602e18b089d6d Description: interface to the xine media player for Python (debug extension) Pyxine provides Python bindings for libxine, the backend of the xine media player. Using Pyxine, it is possible to write simple (or complex) user-interfaces to xine. This makes it much easier for one to write custom xine UIs. Pyxine can also be used as a generic audio and video playing library for Python. . This package contains the debug extension for python-pyxine. Package: python-pyxmpp Source: pyxmpp Version: 1.1.2-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 758 Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-dnspython (>= 1.0), python-m2crypto, python-libxml2 (>= 2.6.11~) Recommends: python-zope.interface Suggests: python-pyxmpp-doc Conflicts: python2.3-pyxmpp (<< 1.0.0-1.1), python2.4-pyxmpp (<< 1.0.0-1.1) Replaces: python2.3-pyxmpp (<< 1.0.0-1.1), python2.4-pyxmpp (<< 1.0.0-1.1) Provides: python2.6-pyxmpp, python2.7-pyxmpp Homepage: http://pyxmpp.jajcus.net/ Priority: optional Section: python Filename: pool/main/p/pyxmpp/python-pyxmpp_1.1.2-1_armhf.deb Size: 162810 SHA256: b32803d11143a1834306dfa14e1868d287fb349ba42abfbc1356e37c5a5d26b0 SHA1: 9c57fdacc998d579a6573af524605e1fd775911d MD5sum: a43e77f5114ad060ab4419f0959ff4d1 Description: XMPP and Jabber implementation for Python PyXMPP is a Python XMPP (RFC 3920,3921) and Jabber (http://www.jabber.org/protocol/) implementation. It is based on libxml2 -- fast and fully-featured XML parser. . PyXMPP provides most core features of the XMPP protocol and several JSF-defined extensions. PyXMPP provides building blocks for creating Jabber clients and components. Developer uses them to setup XMPP streams, handle incoming events and create outgoing stanzas (XMPP "packets"). . Features: * nearly complete XMPP Core (RFC 3920) protocol for client connections (includes SASL, TLS and Stringprep) * mostly complete XMPP IM (RFC 3921) protocol (lacks privacy lists) * XMPP error objects including translations to and from legacy codes for backward compatibility (JEP-0086). * legacy authentication ("digest" and "plain") (JEP-0078). * component protocol (JEP-0114). * Service Discovery (JEP-0030). * vCards -- both Jabber "vcard-temp" and RFC 2426 * basic parts of the Multi-User Chat protocol (JEP-0045) * delayed delivery timestamps (JEP-0091). Package: python-pyxmpp-doc Source: pyxmpp Version: 1.1.2-1 Installed-Size: 9226 Maintainer: Debian Python Modules Team Architecture: all Size: 937418 SHA256: 6e03e27da3bc64499a513b4bcf4e390719576041bac94e73c3dadcee1210f230 SHA1: ebee30f5f11a1c837688c710c672e330c58c8a15 MD5sum: 1eec952f501609bdeff19714f0eaf39d Description: XMPP and Jabber implementation for Python (documentation) PyXMPP is a Python XMPP (RFC 3920,3921) and Jabber (http://www.jabber.org/protocol/) implementation. It is based on libxml2 -- fast and fully-featured XML parser. . PyXMPP provides most core features of the XMPP protocol and several JSF-defined extensions. PyXMPP provides building blocks for creating Jabber clients and components. Developer uses them to setup XMPP streams, handle incoming events and create outgoing stanzas (XMPP "packets"). . Features: * nearly complete XMPP Core (RFC 3920) protocol for client connections (includes SASL, TLS and Stringprep) * mostly complete XMPP IM (RFC 3921) protocol (lacks privacy lists) * XMPP error objects including translations to and from legacy codes for backward compatibility (JEP-0086). * legacy authentication ("digest" and "plain") (JEP-0078). * component protocol (JEP-0114). * Service Discovery (JEP-0030). * vCards -- both Jabber "vcard-temp" and RFC 2426 * basic parts of the Multi-User Chat protocol (JEP-0045) * delayed delivery timestamps (JEP-0091). . This package contains the API documentation of PyXMPP. Homepage: http://pyxmpp.jajcus.net/ Tag: devel::doc, devel::examples, devel::lang:python, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/p/pyxmpp/python-pyxmpp-doc_1.1.2-1_all.deb Package: python-pyxnat Source: pyxnat Version: 0.9.0~dev0-1.1 Installed-Size: 1158 Maintainer: Yannick Schwartz Architecture: all Depends: python-lxml, python-simplejson, python-httplib2 (>= 0.7.0) Recommends: python-networkx, python-matplotlib Size: 210040 SHA256: b15e5ee2a1b75ff090d7c0fffc73b33031b26763f5d54064d64e3dc629dd10c4 SHA1: b0e673de4154e0709dcdb35e36798c42801f8c24 MD5sum: 587a86e05858aa720f24fbbabf24a01b Description: Interface to access neuroimaging data on XNAT servers pyxnat is a simple Python library that relies on the REST API provided by the XNAT platform since its 1.4 version. XNAT is an extensible database for neuroimaging data. The main objective is to ease communications with an XNAT server to plug-in external tools or Python scripts to process the data. It features: . - resources browsing capabilities - read and write access to resources - complex searches - disk-caching of requested files and resources Homepage: http://packages.python.org/pyxnat/ Section: python Priority: extra Filename: pool/main/p/pyxnat/python-pyxnat_0.9.0~dev0-1.1_all.deb Package: python-qgis-common Source: qgis Version: 1.7.4+1.7.5~20120320-1.1 Installed-Size: 3359 Maintainer: Debian GIS Project Architecture: all Depends: gdal-bin, python-gdal Size: 835208 SHA256: 1f8629f47dd9e87a53b906a61cf2bd641d0c48b54eaa3e52939e0e2f594c5bc2 SHA1: 6640b6704cddc30aa8d35bd0754c8f0e04f815dd MD5sum: 50cb603a88d1244e426cbb64d4dda35c Description: Python bindings to Quantum GIS - architecture-independent files Quantum GIS is a Geographic Information System (GIS) which manages, analyzes and display databases of geographic information. . This package contains architecture-independent files for the Quantum GIS Python bindings. Homepage: http://qgis.org/ Section: python Priority: extra Filename: pool/main/q/qgis/python-qgis-common_1.7.4+1.7.5~20120320-1.1_all.deb Package: python-qmf Source: qpid-cpp Version: 0.16-6+deb7u1+rpi1 Architecture: armhf Maintainer: Cajus Pollmeier Installed-Size: 418 Depends: python2.7, python (>= 2.7), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpython2.7 (>= 2.7), libqmf1, libqpidclient2, libstdc++6 (>= 4.3.0) Provides: python2.7-qmf Homepage: http://qpid.apache.org Priority: extra Section: python Filename: pool/main/q/qpid-cpp/python-qmf_0.16-6+deb7u1+rpi1_armhf.deb Size: 84810 SHA256: 8d9e91aa5b39c1ab8bae842944a457da3ffe4ec157ac429b616ab4f21c8b138d SHA1: cc69e59057e786e3b6c7ca3195accc966bb17cd0 MD5sum: 62ee16d6da6699e2f9c451ad013e0340 Description: enterprise messaging system - Python QMF module Apache Qpid is a cross-platform enterprise messaging system which implements the Advanced Message Queuing Protocol (AMQP), providing message brokers written in C++ and Java, along with clients for C++, Java JMS, .Net, Python, and Ruby. . This package provides the Apache Qpid Python QMF bindings. Package: python-qmf2 Source: qpid-cpp Version: 0.16-6+deb7u1+rpi1 Architecture: armhf Maintainer: Cajus Pollmeier Installed-Size: 70 Depends: python2.7, python (>= 2.7), python (<< 2.8) Provides: python2.7-qmf2 Homepage: http://qpid.apache.org Priority: extra Section: python Filename: pool/main/q/qpid-cpp/python-qmf2_0.16-6+deb7u1+rpi1_armhf.deb Size: 10164 SHA256: f6772d119432e60937148b9ddaa96dfaea799b4a582c5655292cb3c40e7dea63 SHA1: 460281e68d7bfea7a9780d950281a1121e459fe0 MD5sum: 8ede189daeb46834c852a4bc65a88211 Description: enterprise messaging system - Python QMF2 bindings Apache Qpid is a cross-platform enterprise messaging system which implements the Advanced Message Queuing Protocol (AMQP), providing message brokers written in C++ and Java, along with clients for C++, Java JMS, .Net, Python, and Ruby. . This package provides the Python QMF2 bindings. Package: python-qpid Source: qpid-python Version: 0.16-1 Installed-Size: 1383 Maintainer: Cajus Pollmeier Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-setuptools Size: 263270 SHA256: 7e1c58beaedea25d94b38289f0c8c53183cc93aea63cd9bd1e2213063b489a97 SHA1: 5bc844a64bd7f8ccbf8dee17755c988a813e00e6 MD5sum: d4ca221d256e45ed0b35430c3eb99686 Description: Python bindings for qpid/mlib Qpid/C++ is a C++ implementation of the AMQP protocol described at http://amqp.org/ . This package contains the qpid python bindings. Homepage: http://qpid.apache.org Section: python Priority: extra Filename: pool/main/q/qpid-python/python-qpid_0.16-1_all.deb Package: python-qpid-extras-qmf Source: qpid-qmf Version: 0.16-1 Installed-Size: 197 Maintainer: Cajus Pollmeier Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-setuptools Size: 33098 SHA256: 214d94ce152610f632e6a400f2dceded9a0f79a8264b649f72d62c36f94185cd SHA1: c8a866bf344b0e985ed596a7d171cc2b61509fbc MD5sum: 6d4ac7327b7c35ad0c0e206b11eb944c Description: Python bindings for qpid/mlib Qpid/C++ is a C++ implementation of the AMQP protocol described at http://amqp.org/ . This package contains the qpid python bindings. Homepage: http://qpid.apache.org Section: python Priority: extra Filename: pool/main/q/qpid-qmf/python-qpid-extras-qmf_0.16-1_all.deb Package: python-qrencode Version: 1.01-2 Architecture: armhf Maintainer: Daniel Kahn Gillmor Installed-Size: 66 Depends: libc6 (>= 2.13-28), libqrencode3 (>= 3.2.0), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python-imaging Provides: python2.6-qrencode, python2.7-qrencode Homepage: http://pypi.python.org/pypi/qrencode Priority: extra Section: python Filename: pool/main/p/python-qrencode/python-qrencode_1.01-2_armhf.deb Size: 5844 SHA256: a4297358251ce79a274d4db890abc83045d4ebc7369c6b57366a41affb7e17c0 SHA1: 5cee667bb240ddadac77f39e55a3378b81c29565 MD5sum: b8e82303782815370fbd9b8731f837d9 Description: Python bindings for the Qrencode QR Code generator library This package contains modules that allow you to use the Qrencode QR Code generator library in Python programs. Python-Version: 2.6, 2.7 Package: python-qrtools Source: qr-tools Version: 1.2-2 Installed-Size: 11 Maintainer: Koichi Akabe Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-imaging, python-zbar, qrencode Size: 4844 SHA256: 24bb4ca3ed38388385a50aee8034612d24e99f6edc06f1c213ef323631667104 SHA1: 5e3f3ba2c1982be4be09f9683b5958c6a437c2fe MD5sum: b087f6f76c53c23cea8e1a3dc45b1bd0 Description: high level library for reading and generating QR codes This package provides high level APIs for reading and creating QR codes with minimal effort on Python. This library uses zbar barcode library. Homepage: https://launchpad.net/qr-tools Section: python Priority: optional Filename: pool/main/q/qr-tools/python-qrtools_1.2-2_all.deb Package: python-qscintilla2 Source: qscintilla2 Version: 2.6.2-2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 1720 Depends: python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqscintilla2-8, libqtcore4 (>= 4:4.8.0~), libqtgui4 (>= 4:4.8.0~), libstdc++6 (>= 4.3.0), python-qt4 (>= 4.8.3-3~), sip-api-8.1 Homepage: http://www.riverbankcomputing.co.uk/software/qscintilla Priority: optional Section: python Filename: pool/main/q/qscintilla2/python-qscintilla2_2.6.2-2_armhf.deb Size: 355702 SHA256: 714a61b7ca232999fef47624adc9ac89e07dcdc0f3218cc055ad9d3eb2d6ef20 SHA1: 089d5d39e379ceebacd5de21b1220d77f191fddf MD5sum: f8215fbf43667090d7999753e5e57106 Description: Python bindings for QScintilla 2 QScintilla is a text editor for Qt4 with features especially useful when writing and debugging source code. These include support for syntax styling, error indicators, code completion, call tips and margins. . This package contains Python bindings so QScintilla 2 can be used in PyQt4 applications. Package: python-qt-dev Source: python-qt3 Version: 3.18.1-5 Installed-Size: 1333 Maintainer: Debian Python Modules Team Architecture: all Replaces: python-qt3 (<< 3.17.3-2) Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Suggests: python-sip-dev Size: 249586 SHA256: ae0b38996a862f7af66c71485b0345cc7b8f4502f72c2d296146834ed5b1273b SHA1: 3dc47c03388764e54e367f8943211ddf1b45c4e1 MD5sum: 15aeabb0a26d2d456e7a557718753d82 Description: Qt3 bindings for Python - Development files Development .sip files with definitions of PyQt classes. They are needed to build PyQt, but also as building blocks of other packages based on them, like PyKDE. Homepage: http://www.riverbankcomputing.co.uk/pyqt/index.php Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, uitoolkit::qt Section: python Priority: optional Filename: pool/main/p/python-qt3/python-qt-dev_3.18.1-5_all.deb Package: python-qt3 Version: 3.18.1-5 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 22437 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.4.0), libx11-6, libxext6, python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), sip-api-8.1 Suggests: python-qt3-gl, python-qt3-doc, libqt3-mt-mysql | libqt3-mt-odbc | libqt3-mt-psql Provides: python2.6-qt3, python2.7-qt3 Homepage: http://www.riverbankcomputing.co.uk/pyqt/index.php Priority: optional Section: python Filename: pool/main/p/python-qt3/python-qt3_3.18.1-5_armhf.deb Size: 4347950 SHA256: 95f6a2ceda404b2adbccaf3f8972287b9c0df0d92ea8566493e75f8d2322f856 SHA1: cb5ce15cf239db11767a7d42c71597034735f9f1 MD5sum: c9c8b2c5600092565776704fdb4c39a4 Description: Qt3 bindings for Python Python binding module that allows use of Qt X Window toolkit v3. You can use it to create portable graphics-capable scripts (there are PyQt versions for Linux, Windows and MacOS X). . At this moment PyQt offers a vast subset of Qt API. There are some minor issues related to the differences between C++ and Python (types, etc), but usually you'll be able to write code pretty the same way in both languages (with syntax differences, of course) Package: python-qt3-dbg Source: python-qt3 Version: 3.18.1-5 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 62414 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.4.0), libx11-6, libxext6, python-dbg, python-qt3 (= 3.18.1-5), python-sip-dbg Homepage: http://www.riverbankcomputing.co.uk/pyqt/index.php Priority: extra Section: debug Filename: pool/main/p/python-qt3/python-qt3-dbg_3.18.1-5_armhf.deb Size: 16923234 SHA256: e3fcb4fa0b1e14ffd04809f5d6aca50c8090210e73ea19d60975b2c6f8f416ed SHA1: c4722b89937ea8004135ef7d1c5c58862c6229ab MD5sum: 4b808f9dcfe8021eee0d59fededfd0e0 Description: Qt3 bindings for Python (debug extension) Python binding module that allows use of Qt X Window toolkit v3. You can use it to create portable graphics-capable scripts (there are PyQt versions for Linux, Windows and MacOS X). . This package contains the extension built for the Python debug interpreter. Package: python-qt3-doc Source: python-qt3 Version: 3.18.1-5 Installed-Size: 894 Maintainer: Debian Python Modules Team Architecture: all Suggests: python-qt3 Size: 349124 SHA256: 04b6b3fa0f09d9ba32d89f07e83e5d12c70c5a4ed937ad47f62ce9015ce3bf7c SHA1: 5778a18d989f0937d5c2032e3abc2c09160c2e05 MD5sum: a3b8e7cdf6457436657358ebd1fcc718 Description: Qt3 bindings for Python - Documentation and examples Documentation and examples for the PyQt (bindings that allow the use of Qt library from within a Python script). Homepage: http://www.riverbankcomputing.co.uk/pyqt/index.php Tag: devel::doc, devel::lang:python, role::documentation, uitoolkit::qt Section: doc Priority: optional Filename: pool/main/p/python-qt3/python-qt3-doc_3.18.1-5_all.deb Package: python-qt3-gl Source: python-qt3 Version: 3.18.1-5 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 405 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.3.0), libx11-6, libxext6, libxmu6, python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python-qt3 (= 3.18.1-5), python-opengl (>= 2.0.1.09-2.2) Suggests: python-qt3-doc Provides: python2.6-qt3-gl, python2.7-qt3-gl Homepage: http://www.riverbankcomputing.co.uk/pyqt/index.php Priority: optional Section: python Filename: pool/main/p/python-qt3/python-qt3-gl_3.18.1-5_armhf.deb Size: 120290 SHA256: a71901c031bf7d04e6a21c21f5623762526e0246327a0b803ad61dfc6bd9feec SHA1: 3f11b346851206b08d2948fa566ca544783522f3 MD5sum: f509ccf971d854cd8f9da0ecf6f22529 Description: Qt3 OpenGL bindings for Python Python binding module that allows use of the OpenGL facilities offered by the Qt X Window toolkit v3. You can use it to create portable graphics-capable scripts (there are PyQt versions for Linux, Windows and MacOS X). Package: python-qt3-gl-dbg Source: python-qt3 Version: 3.18.1-5 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 1574 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.3.0), libx11-6, libxext6, libxmu6, python-dbg, python-qt3-gl (= 3.18.1-5), python-qt3-dbg (= 3.18.1-5) Homepage: http://www.riverbankcomputing.co.uk/pyqt/index.php Priority: extra Section: debug Filename: pool/main/p/python-qt3/python-qt3-gl-dbg_3.18.1-5_armhf.deb Size: 539754 SHA256: dad1d5e724e30adb498dffe2d18b749240e0e9d0b9231b481f2b69e503352af2 SHA1: efbe5f1581d694fed47ace5f6c2669a2555ca24c MD5sum: d351e6761aabc690a420cc52adc5516e Description: Qt3 OpenGL bindings for Python (debug extension) Python binding module that allows use of the OpenGL facilities offered by the Qt X Window toolkit v3. You can use it to create portable graphics-capable scripts (there are PyQt versions for Linux, Windows and MacOS X). . This package contains the extension built for the Python debug interpreter. Package: python-qt4 Version: 4.9.3-4 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 20808 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpython2.7 (>= 2.7), libqt4-dbus (>= 4:4.8.0-1~), libqt4-declarative (>= 4:4.8.0-1~), libqt4-designer (>= 4:4.8.0-1~), libqt4-help (>= 4:4.8.0-1~), libqt4-network (>= 4:4.8.0-1~), libqt4-script (>= 4:4.8.0-1~), libqt4-scripttools (>= 4:4.8.0-1~), libqt4-svg (>= 4:4.8.0-1~), libqt4-test (>= 4:4.8.0-1~), libqt4-xml (>= 4:4.8.0-1~), libqt4-xmlpatterns (>= 4:4.8.0-1~), libqtassistantclient4 (>= 4.6.3), libqtcore4 (>= 4:4.8.0-1~), libqtgui4 (>= 4:4.8.0-1~), libqtwebkit4 (>= 2.2.0), libstdc++6 (>= 4.4.0), sip-api-8.1 Suggests: python-qt4-dbg Breaks: python-kde4 (<= 4:4.6.80-3+b1), python-qscintilla2 (<= 2.5.1-1), python-qt4-dbg (<< 4.8.3-3), python-qt4-gl (<< 4.8.3-3), python-qt4-gl-dbg (<< 4.8.3-3), python-qt4-phonon (<< 4.8.3-3), python-qt4-phonon-dbg (<< 4.8.3-3), python-qt4-sql (<< 4.8.3-3), python-qt4-sql-dbg (<< 4.8.3-3), python-qwt3d-qt4 (<< 0.1.7~cvs20090625-7), python-qwt5-qt4 (<= 5.2.1~cvs20091107+dfsg-6), python-sip4 (<< 4.13.1~) Replaces: python-qt4-dev (<< 4.4.4-3~) Provides: python2.6-qt4, python2.7-qt4 Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/ Priority: optional Section: python Filename: pool/main/p/python-qt4/python-qt4_4.9.3-4_armhf.deb Size: 4922000 SHA256: f7d9337af48d938e6eb009f03fe371dd64c78346b929fb7cdc6c450d459adae7 SHA1: 378eb279d0427a9d3834b4d78095b932a0f29b68 MD5sum: 415459e3fde2717074c8cc6207c85398 Description: Python bindings for Qt4 PyQt4 exposes the Qt4 API to Python. The following modules are supported: * QtCore * QtDBus * QtGui * QtNetwork * QtXml * QtScript * QtSvg * QtTest * QtAssistant * QtWebKit * QtOpenGL (in python-qt4-gl) * QtSql (in python-qt4-sql) * phonon (in python-qt4-phonon) Package: python-qt4-dbg Source: python-qt4 Version: 4.9.3-4 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 178819 Depends: python-dbg, python-qt4 (= 4.9.3-4), python-sip-dbg, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.8.0-1~), libqt4-declarative (>= 4:4.8.0-1~), libqt4-designer (>= 4:4.8.0-1~), libqt4-help (>= 4:4.8.0-1~), libqt4-network (>= 4:4.8.0-1~), libqt4-script (>= 4:4.8.0-1~), libqt4-scripttools (>= 4:4.8.0-1~), libqt4-svg (>= 4:4.8.0-1~), libqt4-test (>= 4:4.8.0-1~), libqt4-xml (>= 4:4.8.0-1~), libqt4-xmlpatterns (>= 4:4.8.0-1~), libqtassistantclient4 (>= 4.6.3), libqtcore4 (>= 4:4.8.0-1~), libqtgui4 (>= 4:4.8.0-1~), libqtwebkit4 (>= 2.2.0), libstdc++6 (>= 4.4.0) Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/ Priority: extra Section: debug Filename: pool/main/p/python-qt4/python-qt4-dbg_4.9.3-4_armhf.deb Size: 64971738 SHA256: 923b2b302e2fcdf8cf7547b1f2de35a06778ef5e6244c9a14fb052af0cd34ad4 SHA1: 7abbd145fa8408036e1f44d79e8e9e6d6dcdacc0 MD5sum: 744daaf6a11404412aca0a2b7724a373 Description: Python bindings for Qt4 (debug extensions) PyQt4 exposes the Qt4 API to Python. . This package contains the extensions built for the Python debug interpreter. Package: python-qt4-dbus Source: python-qt4 Version: 4.9.3-4 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 148 Depends: python-dbus (>= 0.84.0-2~), python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.8.0-1~), libstdc++6 (>= 4.3.0) Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/ Priority: optional Section: python Filename: pool/main/p/python-qt4/python-qt4-dbus_4.9.3-4_armhf.deb Size: 30968 SHA256: 865da75a669954876ca8fc01f5ccc0c2ccc2679c1f9ff4f05d7eaa2db7324369 SHA1: 31a1120e2157a2ad638d447e223bfb6dfe1524bc MD5sum: ab7e0385170a7828544ad6246b64821d Description: D-Bus Support for PyQt4 The D-Bus module of PyQt4 provides integration of PyQt4 applications with the D-Bus main loop. Package: python-qt4-dbus-dbg Source: python-qt4 Version: 4.9.3-4 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 1146 Depends: python-dbg, python-dbus-dbg, python-qt4-dbus (= 4.9.3-4), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.8.0-1~), libstdc++6 (>= 4.3.0) Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/ Priority: extra Section: debug Filename: pool/main/p/python-qt4/python-qt4-dbus-dbg_4.9.3-4_armhf.deb Size: 291428 SHA256: d7bf6ef800c59f652a6082a186de5a869e3468c51e4479e1eb5553505f958ee8 SHA1: 53fbfdeb3b7fcf3ae686df1e4c41cac7fb318f99 MD5sum: 10055d1d7c4508d762858eb4f98d2bfc Description: D-Bus Support for PyQt4 (debug extensions) The D-Bus module of PyQt4 provides integration of PyQt4 applications with the D-Bus main loop. . This package contains the extensions built for the Python debug interpreter. Package: python-qt4-dev Source: python-qt4 Version: 4.9.3-4 Installed-Size: 2455 Maintainer: Debian Python Modules Team Architecture: all Depends: python-sip-dev Size: 261308 SHA256: 2798ccc3e93b7be88db61e0cbe955768fe760720d4b31a39bad3daf9918bcbad SHA1: c8d2a349c99c3e1f545a250302bae25787249e0f MD5sum: 7c43008567c18fc5b1ee535c233474ec Description: Development files for PyQt4 This package contains the source SIP files from which the Python bindings for Qt4 are created. They are needed for building PyQt4 as well as creating bindings for own Qt4 widgets written in C++. Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/ Section: python Priority: optional Filename: pool/main/p/python-qt4/python-qt4-dev_4.9.3-4_all.deb Package: python-qt4-doc Source: python-qt4 Version: 4.9.3-4 Installed-Size: 24940 Maintainer: Debian Python Modules Team Architecture: all Depends: libjs-sphinxdoc (>= 1.0) Suggests: qt4-doc Size: 8586716 SHA256: a059dbaa4a91cdcbf83e888c7bde5aab36e8f553e099bb75634616967cb49d35 SHA1: 8226561f88b1402cda9ff8cc253f43b095f856be MD5sum: 2108c74d5546d56b36b16e08c44a5d26 Description: Documentation and examples for PyQt4 PyQt4 exposes the Qt4 GUI toolkit in Python. . This package contains Python-specific documentation for PyQt4 and the Qt example programs ported to Python. Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/ Tag: devel::doc, devel::examples, role::documentation Section: doc Priority: optional Filename: pool/main/p/python-qt4/python-qt4-doc_4.9.3-4_all.deb Package: python-qt4-gl Source: python-qt4 Version: 4.9.3-4 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 540 Depends: python-qt4 (= 4.9.3-4), python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-opengl (>= 4:4.8.0-1~), libqtcore4 (>= 4:4.8.0-1~), libqtgui4 (>= 4:4.8.0-1~), libstdc++6 (>= 4.3.0) Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/ Priority: optional Section: python Filename: pool/main/p/python-qt4/python-qt4-gl_4.9.3-4_armhf.deb Size: 116092 SHA256: 2a8cbe1ad64be304e4c50fe855fe6efb3873fdbf54f1b18475cee75d16f02f5a SHA1: 41a17c18ddae3578f9aae58ff2bc8c0b95eb246f MD5sum: f4ff6847118ff420854ea57845b9bde5 Description: Python bindings for Qt4's OpenGL module The OpenGL module of PyQt4 provides widgets and utility classes for OpenGL rendering in a PyQt4 application. Package: python-qt4-gl-dbg Source: python-qt4 Version: 4.9.3-4 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 6398 Depends: python-dbg, python-qt4-dbg (= 4.9.3-4), python-qt4-gl (= 4.9.3-4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-opengl (>= 4:4.8.0-1~), libqtcore4 (>= 4:4.8.0-1~), libqtgui4 (>= 4:4.8.0-1~), libstdc++6 (>= 4.3.0) Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/ Priority: extra Section: debug Filename: pool/main/p/python-qt4/python-qt4-gl-dbg_4.9.3-4_armhf.deb Size: 2363470 SHA256: 947b5707f211e17e36ab57f30306a2a32b5df4641f713b849892882692722204 SHA1: d73efa14a03aad7ca45058d9cd1952b44267f55c MD5sum: 69415d7f26d142bd4c5223c1670135e8 Description: Python bindings for Qt4's OpenGL module (debug extension) The OpenGL module of PyQt4 provides widgets and utility classes for OpenGL rendering in a PyQt4 application. . This package contains the extension built for the Python debug interpreter. Package: python-qt4-phonon Source: python-qt4 Version: 4.9.3-4 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 675 Depends: python-qt4 (= 4.9.3-4), python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libphonon4 (>= 4:4.6.0really4.3.80), libqtcore4 (>= 4:4.8.0-1~), libqtgui4 (>= 4:4.8.0-1~), libstdc++6 (>= 4.3.0), phonon Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/ Priority: optional Section: python Filename: pool/main/p/python-qt4/python-qt4-phonon_4.9.3-4_armhf.deb Size: 127128 SHA256: 10ae142f72755897d1fde88b50ced55571c36a0c934dc1b868bd98168f35989f SHA1: d20270045e1a8e46307f4e3973c9b624495caeb6 MD5sum: f2be43d40b98545062fa4e3331dd6dc9 Description: Python bindings for Phonon The Phonon module of PyQt4 provides bindings for the Phonon Multimedia library to Python. Package: python-qt4-phonon-dbg Source: python-qt4 Version: 4.9.3-4 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 10223 Depends: python-dbg, python-qt4-dbg (= 4.9.3-4), python-qt4-phonon (= 4.9.3-4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libphonon4 (>= 4:4.6.0really4.3.80), libqtcore4 (>= 4:4.8.0-1~), libqtgui4 (>= 4:4.8.0-1~), libstdc++6 (>= 4.3.0), phonon Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/ Priority: extra Section: debug Filename: pool/main/p/python-qt4/python-qt4-phonon-dbg_4.9.3-4_armhf.deb Size: 3786738 SHA256: c6a0224b756bc500e92af87bcf72945d740c8e29191bdc2b1a31b7edce601481 SHA1: fc79bc281ab6116c5e3bb56a21842278a12a1f87 MD5sum: 0a17cd338477aa0560d5ff424b852f72 Description: Python bindings for Phonon (debug extensions) The Phonon module of PyQt4 provides bindings for the Phonon Multimedia library to Python. . This package contains the extensions built for the Python debug interpreter. Package: python-qt4-sql Source: python-qt4 Version: 4.9.3-4 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 606 Depends: python-qt4 (= 4.9.3-4), python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-sql (>= 4:4.8.0-1~), libqtcore4 (>= 4:4.8.0-1~), libqtgui4 (>= 4:4.8.0-1~), libstdc++6 (>= 4.3.0) Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/ Priority: optional Section: python Filename: pool/main/p/python-qt4/python-qt4-sql_4.9.3-4_armhf.deb Size: 125622 SHA256: e013418f676c70c91c3a78d7a58e983d8722f61a5db5ce576745498af44fbb37 SHA1: 7334ff8b7733054717cbd07399de3b2bd86dc32c MD5sum: 188912f53536d0ec19bf6dc2d80b11fc Description: Python bindings for PyQt4's SQL module The SQL module of PyQt4 provides widgets and utility classes for SQL database access. Package: python-qt4-sql-dbg Source: python-qt4 Version: 4.9.3-4 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 7366 Depends: python-dbg, python-qt4-dbg (= 4.9.3-4), python-qt4-sql (= 4.9.3-4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-sql (>= 4:4.8.0-1~), libqtcore4 (>= 4:4.8.0-1~), libqtgui4 (>= 4:4.8.0-1~), libstdc++6 (>= 4.3.0) Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/ Priority: extra Section: debug Filename: pool/main/p/python-qt4/python-qt4-sql-dbg_4.9.3-4_armhf.deb Size: 2773982 SHA256: 5075142dad396e8eaa2189eb1defd36d2cb4c6d38e649b345de6ba1d516c18db SHA1: dc1916cdce69f679501091549999aefd2108a1ee MD5sum: ce94f3bcc901229e43c28fae9a594e77 Description: Python bindings for PyQt4's SQL module (debug extension) The SQL module of PyQt4 provides widgets and utility classes for SQL database access. . This package contains the extension built for the Python debug interpreter. Package: python-qtmobility Source: pyside-mobility Version: 0.2.3+git4~8118106-2 Installed-Size: 30 Maintainer: Debian Python Modules Team Architecture: all Depends: python-qtmobility.connectivity (>= 0.2.3+git4~8118106-2), python-qtmobility.contacts (>= 0.2.3+git4~8118106-2), python-qtmobility.feedback (>= 0.2.3+git4~8118106-2), python-qtmobility.gallery (>= 0.2.3+git4~8118106-2), python-qtmobility.location (>= 0.2.3+git4~8118106-2), python-qtmobility.messaging (>= 0.2.3+git4~8118106-2), python-qtmobility.multimediakit (>= 0.2.3+git4~8118106-2), python-qtmobility.organizer (>= 0.2.3+git4~8118106-2), python-qtmobility.publishsubscribe (>= 0.2.3+git4~8118106-2), python-qtmobility.sensors (>= 0.2.3+git4~8118106-2), python-qtmobility.serviceframework (>= 0.2.3+git4~8118106-2), python-qtmobility.systeminfo (>= 0.2.3+git4~8118106-2), python-qtmobility.versit (>= 0.2.3+git4~8118106-2) Size: 3978 SHA256: 29060c9c9b7552115949021d0253f94e64e27d6af4a624673634aae94b78a01d SHA1: dc8b9277db6cdbe264d01a08b1296a43856f2b00 MD5sum: 00a22b46c1bee49ad38ae2a06034f669 Description: Python bindings for Qt4 Mobility (big metapackage) Qt is a cross-platform C++ application framework. Qt's primary feature is its rich set of widgets that provide standard GUI functionality. . Python bindings for Qt4 framework. This is a metapackage for all modules. Homepage: http://www.pyside.org/ Section: python Priority: optional Filename: pool/main/p/pyside-mobility/python-qtmobility_0.2.3+git4~8118106-2_all.deb Package: python-quantities Version: 0.10.1-1 Installed-Size: 323 Maintainer: Debian Science Maintainers Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-numpy (>= 1.4) Size: 62378 SHA256: 582b79266d6b47aebde2eee3e0c9737d1b7699fbb6c49744e3968a9a40a53e0a SHA1: 60aa4263bc9a7a61a96000c1565e278956f2f8fd MD5sum: b8e154ae3ec6ae63655110c2ae7035ff Description: Library for computation of physical quantities with units, based on numpy Quantities is designed to handle arithmetic and conversions of physical quantities, which have a magnitude, dimensionality specified by various units, and possibly an uncertainty. Quantities builds on the popular numpy library and is designed to work with numpy ufuncs, many of which are already supported. Homepage: http://packages.python.org/quantities/ Section: python Priority: extra Filename: pool/main/p/python-quantities/python-quantities_0.10.1-1_all.deb Package: python-quantum Source: quantum Version: 2012.1-5+deb70u1 Installed-Size: 821 Maintainer: PKG OpenStack Architecture: all Replaces: quantum-plugin-cisco (<< 2012.1-3), quantum-plugin-linuxbridge (<< 2012.1-3), quantum-plugin-nicira (<< 2012.1-3), quantum-plugin-openvswitch (<< 2012.1-3), quantum-plugin-ryu (<< 2012.1-3), quantum-plugin-sample (<< 2012.1-3), quantum-server (<< 2012.1-3) Provides: python2.6-quantum, python2.7-quantum Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-paste, python-pastedeploy, python-routes, python-eventlet, python-lxml, python-mox, python-gflags, python-simplejson, python-sqlalchemy (>= 0.7~), python-sqlalchemy (<< 0.8), python-webob, python-quantumclient Breaks: quantum-plugin-cisco (<< 2012.1-3), quantum-plugin-linuxbridge (<< 2012.1-3), quantum-plugin-nicira (<< 2012.1-3), quantum-plugin-openvswitch (<< 2012.1-3), quantum-plugin-ryu (<< 2012.1-3), quantum-plugin-sample (<< 2012.1-3), quantum-server (<< 2012.1-3) Size: 136424 SHA256: b5f6561c55cb06d239c83c9f38ff53d560d10c12c8d65e4a975047c065422c34 SHA1: d990065b12174d29f12fd6b06d0d0b3b17c00afb MD5sum: b4ef66e28a70466566c3a76cf80bd851 Description: Quantum is a virutal network service for Openstack. (python library) Quantum is a virtual network service for Openstack, and a part of Netstack. Just like OpenStack Nova provides an API to dynamically request and configure virtual servers, Quantum provides an API to dynamically request and configure virtual networks. These networks connect "interfaces" from other OpenStack services (e.g., virtual NICs from Nova VMs). The Quantum API supports extensions to provide advanced network capabilities (e.g., QoS, ACLs, network monitoring, etc.) . This package provides the Python library. Homepage: http://launchpad.net/quantum Section: python Priority: optional Filename: pool/main/q/quantum/python-quantum_2012.1-5+deb70u1_all.deb Package: python-quantumclient Version: 2012.1-1 Installed-Size: 155 Maintainer: PKG OpenStack Architecture: all Replaces: quantum-client Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-paste, python-pastedeploy, python-gflags, quantum-common (>= 2012.1~e3) Size: 15040 SHA256: 33ae280a70f4812ec1109ba19872ebe15684e84c5828608f7f6a34b8b604aa99 SHA1: e18615993dc9399121aa608114643d7712767519 MD5sum: e06b4a82caa6f3ccf05ab51b04d5a8e0 Description: client API library for Quantum Quantum provides an API to dynamically request and configure virtual networks. These networks connect "interfaces" from other OpenStack services (e.g., vNICs from Nova VMs). The Quantum API supports extensions to provide advanced network capabilities (e.g., QoS, ACLs, network monitoring, etc). . This package provides the client agent. Homepage: http://launchpad.net/quantum Section: python Priority: extra Filename: pool/main/p/python-quantumclient/python-quantumclient_2012.1-1_all.deb Package: python-quixote Source: quixote Version: 2.7~b2-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 455 Depends: python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), libc6 (>= 2.4) Recommends: python-quixote-doc Conflicts: python2.3-quixote (<< 2.4-6), python2.4-quixote (<< 2.4-6), quixote (<< 2.4-6) Replaces: python2.3-quixote (<< 2.4-6), python2.4-quixote (<< 2.4-6), quixote (<< 2.4-6) Provides: python2.7-quixote Homepage: http://www.mems-exchange.org/software/quixote/ Priority: optional Section: python Filename: pool/main/q/quixote/python-quixote_2.7~b2-1_armhf.deb Size: 113132 SHA256: 931e6e10984eaf2d1a834724eec8f724737445f981cfb22a9ee59a5398acf419 SHA1: 653244b56dc28c3f1586be889487cb78f41d26a7 MD5sum: 3e039570856a4fcb51e59c885028957f Description: A highly Pythonic Web application framework Quixote is yet another framework for developing Web applications in Python. The design goals were: . 1) To allow easy development of Web applications where the emphasis is more on complicated programming logic than complicated templating. . 2) To make the templating language as similar to Python as possible, in both syntax and semantics. The aim is to make as many of the skills and structural techniques used in writing regular Python code applicable to Web applications built using Quixote. . 3) No magic. When it's not obvious what to do in a certain case, Quixote refuses to guess. . If you view a web site as a program, and web pages as subroutines, Quixote just might be the tool for you. If you view a web site as a graphic design showcase, and each web page as an individual work of art, Quixote is probably not what you're looking for. Python-Version: 2.7 Package: python-quixote-doc Source: quixote Version: 2.7~b2-1 Installed-Size: 288 Maintainer: Debian Python Modules Team Architecture: all Replaces: quixote-doc (<< 2.4-6) Depends: python-quixote Conflicts: quixote-doc (<< 2.4-6) Size: 95816 SHA256: 58ee941753a9fd19e93df55761568ff761b4b95c9c3ad27f086f2cf6958a0025 SHA1: 9ae1a25fa120f0431c862e11a51c29e00f667a22 MD5sum: 604fa547df1d419d3376fb45cedf0cda Description: Quixote web application framework documentation This package contains the documentation and examples for Quixote. Quixote is yet another framework for developing Web applications in Python. If you view a web site as a program, and web pages as subroutines, Quixote just might be the tool for you. If you view a web site as a graphic design showcase, and each web page as an individual work of art, Quixote is probably not what you're looking for. The full list of its design goals is listed in the description to python-quixote package. Homepage: http://www.mems-exchange.org/software/quixote/ Tag: devel::doc, devel::examples, devel::web, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/q/quixote/python-quixote-doc_2.7~b2-1_all.deb Package: python-quixote1 Source: quixote1 Version: 1.2-4.1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 477 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Conflicts: python-quixote, python2.3-quixote1, python2.4-quixote1, quixote, quixote1 Replaces: python-quixote, python2.3-quixote1, python2.4-quixote1, quixote, quixote1 Provides: python2.6-quixote1, python2.7-quixote1 Priority: optional Section: web Filename: pool/main/q/quixote1/python-quixote1_1.2-4.1_armhf.deb Size: 121464 SHA256: acbb732e804c1d15b350e681f7d575d8e2f33f6284112cd1848ad1bec58edc4d SHA1: 37f29ecc8b77e186ba3b949052a79ffdc39db7f3 MD5sum: 54f1971d9163ea0200c73a56a1b882c5 Description: A highly Pythonic Web application framework Quixote is yet another framework for developing Web applications in Python. The design goals were: . 1) To allow easy development of Web applications where the emphasis is more on complicated programming logic than complicated templating. . 2) To make the templating language as similar to Python as possible, in both syntax and semantics. The aim is to make as many of the skills and structural techniques used in writing regular Python code applicable to Web applications built using Quixote. . 3) No magic. When it's not obvious what to do in a certain case, Quixote refuses to guess. . If you view a web site as a program, and web pages as subroutines, Quixote just might be the tool for you. If you view a web site as a graphic design showcase, and each web page as an individual work of art, Quixote is probably not what you're looking for. Python-Version: 2.6, 2.7 Package: python-qwt3d-doc Source: pyqwt3d Version: 0.1.7~cvs20090625-9 Installed-Size: 92 Maintainer: Gudjon I. Gudjonsson Architecture: all Size: 19302 SHA256: faa7fb47db394420548df71bc7acfc7ac1cb6e9a263f62fc0ae0f8a4a64537bf SHA1: 61d1393349ff86a2770b83c4c3babfc4bd6d76d7 MD5sum: b02b03e33fdb199980875cc43552985b Description: Documentation for the Python-qwt3d library PyQwt3D is a set of Python bindings for the QwtPlot3D C++ class library which extends the Qt framework with widgets to visualize 3-dimensional data. This package contains documentation and examples for both Qt3 and Qt4. The examples show how easy it is to make a 3D plot and how to save a 3D plot to an image or an (E)PS/PDF file. Homepage: http://pyqwt.sourceforge.net/ Tag: devel::doc, devel::examples, role::documentation Section: doc Priority: optional Filename: pool/main/p/pyqwt3d/python-qwt3d-doc_0.1.7~cvs20090625-9_all.deb Package: python-qwt3d-qt4 Source: pyqwt3d Version: 0.1.7~cvs20090625-9 Architecture: armhf Maintainer: Gudjon I. Gudjonsson Installed-Size: 1169 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglu1-mesa | libglu1, libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libqwtplot3d-qt4-0, libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4), python-numpy (>= 1:1.6.1), python-numpy-abi9, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), sip-api-8.1, python-qt4-gl Homepage: http://pyqwt.sourceforge.net/ Priority: optional Section: python Filename: pool/main/p/pyqwt3d/python-qwt3d-qt4_0.1.7~cvs20090625-9_armhf.deb Size: 292272 SHA256: b868437910b9c2b24d58ab61a3a13dbcbd4b26df4ecec23fbc2a85b5589f2524 SHA1: fddb90da6b9d04c295bd91bfefa5ce0baac7f3ca MD5sum: af05c35ca18a3b411d1d130f1d57b22a Description: Python bindings of the QwtPlot3D library PyQwt3D is a set of Python bindings for the QwtPlot3D C++ class library which extends the Qt framework with widgets to visualize 3-dimensional data. . This package contains the Qt4 Python bindings Package: python-qwt5-doc Source: pyqwt5 Version: 5.2.1~cvs20091107+dfsg-6 Installed-Size: 268 Maintainer: Gudjon I. Gudjonsson Architecture: all Size: 43754 SHA256: 49f19cd605a6126eb22ca8673db357db18417431962fb6da5ba2c8444685f545 SHA1: f2ec1aa082289266c635530c20eb2c2544dc4f76 MD5sum: 2bbf008fa2a59ece70766d48377873a6 Description: Python Qwt5 technical widget library, documentation and examples PyQwt is a set of Python bindings for the Qwt C++ class library which extends the Qt framework with widgets for scientific and engineering applications. It provides a widget to plot 2-dimensional data and various widgets to display and control bounded or unbounded floating point values. . This package contains the examples for both Qt versions. Homepage: http://pyqwt.sourceforge.net/ Tag: devel::examples, devel::lang:python, role::documentation Section: doc Priority: optional Filename: pool/main/p/pyqwt5/python-qwt5-doc_5.2.1~cvs20091107+dfsg-6_all.deb Package: python-qwt5-qt4 Source: pyqwt5 (5.2.1~cvs20091107+dfsg-6) Version: 5.2.1~cvs20091107+dfsg-6+b1 Architecture: armhf Maintainer: Gudjon I. Gudjonsson Installed-Size: 3309 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libqwt5-qt4 (>= 5.2.2), libstdc++6 (>= 4.3.0), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-qt4 (>= 4.9.3), sip-api-8.1, python-numpy Recommends: libqwt-dev Homepage: http://pyqwt.sourceforge.net/ Priority: optional Section: python Filename: pool/main/p/pyqwt5/python-qwt5-qt4_5.2.1~cvs20091107+dfsg-6+b1_armhf.deb Size: 755792 SHA256: 4d5a4ca13cec0e8aafd530b198a29336132cb748a9bb59473d16a2e9bbb9d337 SHA1: c25f179198708375c5cc0954ad5c7443d30dd50d MD5sum: 26ed93f7ec10cf29147afd24287c3267 Description: Python version of the Qwt5 technical widget library PyQwt is a set of Python bindings for the Qwt C++ class library which extends the Qt framework with widgets for scientific and engineering applications. It provides a widget to plot 2-dimensional data and various widgets to display and control bounded or unbounded floating point values. . This version is compiled against Qt, version 4. Package: python-rabbyt Source: rabbyt Version: 0.8.1-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 878 Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Suggests: python-pygame Provides: python2.6-rabbyt, python2.7-rabbyt Homepage: http://matthewmarshall.org/projects/rabbyt/ Priority: extra Section: python Filename: pool/main/r/rabbyt/python-rabbyt_0.8.1-1_armhf.deb Size: 276052 SHA256: 27139822f16fde771b6c86e44c4f15c07792cc3e7758aac0ff4782b514d0cb9a SHA1: 70d73663e138f0463057b98868d4ea5ef25f6adb MD5sum: d2ce74b6fdbe64ae8593aa50e6f5cdd6 Description: sprite library for Python with game development in mind Rabbyt is a sprite library for Python. It has two goals: . * To be fast, without sacrificing ease of use. * To be easy to use, without sacrificing speed. . Rabbyt makes it very easy to create lots of sprites very fast that run very fast with little code. Package: python-radare2 Source: radare2-bindings Version: 0.9-1 Architecture: armhf Maintainer: Sebastian Reichel Installed-Size: 3429 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmagic1, libpython2.7 (>= 2.7), libradare2-0.9 (>= 0.9), libstdc++6 (>= 4.4.0), python2.7, python (>= 2.7), python (<< 2.8) Homepage: http://www.radare.org Priority: extra Section: python Filename: pool/main/r/radare2-bindings/python-radare2_0.9-1_armhf.deb Size: 713054 SHA256: 060baa3fe045effe7c75c4b7d688f688bdc7bdf4e37afeaf7762156a7703815c SHA1: afc0039ca6111a8de03bdfe9229bed04bfd06e3f MD5sum: c1f4120e1c3d1215d080e6cb47ef42c8 Description: Python bindings for radare2 The project aims to create a complete, portable, multi-architecture, unix-like toolchain for reverse engineering. . It is composed by an hexadecimal editor (radare) with a wrapped IO layer supporting multiple backends for local/remote files, debugger (osx,bsd,linux,w32), stream analyzer, assembler/disassembler (rasm) for x86,arm,ppc,m68k,java,msil,sparc code analysis modules and scripting facilities. A bindiffer named radiff, base converter (rax), shellcode development helper (rasc), a binary information extractor supporting (pe, mach0, elf, class, ...) named rabin, and a block-based hash utility called rahash. . This package contains the Python bindings. Package: python-radicale Source: radicale Version: 0.7-1.1+deb7u2 Installed-Size: 173 Maintainer: Jonas Smedegaard Architecture: all Provides: python2.6-radicale, python2.7-radicale Depends: python (>= 2.6.6-7~), python (<< 2.8) Size: 29254 SHA256: 26b0af1c0bc64c06ddbfee9ec1d2c1bf0a7f8da160a93ae409353934ee58b824 SHA1: f4b3147c20dedf037d1d90c0f2b64698ee5e6ccf MD5sum: 6a5f3022e94550adc979bfad5ece629d Description: simple calendar server - module The Radicale Project is a complete CalDAV (calendar) and CardDAV (contact) server solution. . Calendars and address books are available for both local and remote access, possibly limited through authentication policies. They can be viewed and edited by calendar and contact clients on mobile phones or computers. . This package contains the Radicale Python module. Homepage: http://radicale.org/ Python-Version: 2.6, 2.7 Section: python Priority: optional Filename: pool/main/r/radicale/python-radicale_0.7-1.1+deb7u2_all.deb Package: python-radix Source: py-radix Version: 0.5-3 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 114 Depends: libc6 (>= 2.4), python2.7 | python2.6, python (>= 2.6), python (<< 2.8) Provides: python2.6-radix, python2.7-radix Homepage: http://www.mindrot.org/projects/py-radix/ Priority: extra Section: python Filename: pool/main/p/py-radix/python-radix_0.5-3_armhf.deb Size: 16062 SHA256: 24b60c37bc61c214fd3d20c9ef9fdd8219e8f2333072008646ba9106bec6de9a SHA1: 93a64edeb58a850c958b684cbad89da88f40ef5b MD5sum: 4ecb2a144c23bd415e1768a1ad41ed86 Description: radix tree implementation for storage of IPv4 and IPv6 networks py-radix is an implementation of a radix tree for Python, which supports storage and lookups of IPv4 and IPv6 networks. This is a Python equivalent to Dave Plonka's Perl Net::Patricia (it even steals the same radix tree code from MRTd). . The radix tree (a.k.a Patricia tree) is the data structure most commonly used for routing table lookups. It efficiently stores network prefixes of varying lengths and allows fast lookups of containing networks. py-radix's implementation is built solely for networks (the data structure itself is more general). Package: python-rainbow Source: rainbow Version: 0.8.6-1 Installed-Size: 92 Maintainer: Luke Faraone Architecture: all Depends: python-support (>= 0.90.0) Size: 14746 SHA256: 09e24c9474feb959f27dad273af996c202a150c590eb25776befbb9e3e669f0f SHA1: 8b98fdfe79ba31cdd921fed61b853999db8d644f MD5sum: 199ee6ccddf5298164d91b252360d231 Description: core rainbow shared module Rainbow is a isolation shell which implements portions of the Bitfrost security architecture, as used on the OLPC XO-1 and elsewhere. . This package contains the shared Python module used by the rainbow frontend. Homepage: http://wiki.laptop.org/go/Rainbow Section: python Priority: optional Filename: pool/main/r/rainbow/python-rainbow_0.8.6-1_all.deb Package: python-rapi2 Source: librapi2 Version: 0.15-2.1 Architecture: armhf Maintainer: Jonny Lamb Installed-Size: 373 Depends: libc6 (>= 2.13-28), librapi2, libsynce0, python (<< 2.8), python (>= 2.6) Provides: python2.6-rapi2, python2.7-rapi2 Homepage: http://www.synce.org/ Priority: optional Section: python Filename: pool/main/libr/librapi2/python-rapi2_0.15-2.1_armhf.deb Size: 120548 SHA256: ba62ee89063c14930299cbe51856c07b24b64449aa09a5642f238d6ef4dc7703 SHA1: d85fe4101e42a29c0b16db591067a112e9b3c0ce MD5sum: e73689167f9573500130e45ef6916eb0 Description: Make RAPI calls to a Windows Mobile device, Python bindings librapi2 is equivalent to rapi.dll on a Window machine. It allows you to make remote calls to your Window Mobile device. . This package contains the Python bindings for the library. Python-Version: 2.6, 2.7 Package: python-rbtools Source: rbtools Version: 0.3.4-1 Installed-Size: 280 Maintainer: Tzafrir Cohen Architecture: all Provides: python2.6-rbtools, python2.7-rbtools Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pkg-resources Size: 49430 SHA256: 2b48c432588367e2af620c7b0758500385ce3caa834462dda809f50f2d98190e SHA1: 3b3cf3cabc5063e1257ec1021a4ed1c2c71be520 MD5sum: f4b65e72d8dd6f2fbff94e7b359d2548 Description: command-line client-side script for Review Board collection of command-line tools for use with the Review Board code review system. . At the moment it only includes the script post-review, which is intended for posting / updating reviews from the command-line. Homepage: http://www.reviewboard.org/ Section: python Priority: optional Filename: pool/main/r/rbtools/python-rbtools_0.3.4-1_all.deb Package: python-rdflib Source: rdflib Version: 2.4.2-1 Architecture: armhf Maintainer: Nacho Barrientos Arias Installed-Size: 1446 Depends: libc6 (>= 2.4), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python-pkg-resources Provides: python2.6-rdflib, python2.7-rdflib Homepage: http://rdflib.net/ Priority: optional Section: python Filename: pool/main/r/rdflib/python-rdflib_2.4.2-1_armhf.deb Size: 329580 SHA256: 9668a37881b352d6ef7e0b3885817233e665a4a38deada234c30c531111a895a SHA1: 0b464e8ab39259cb43494b61238a8d6e3ee3d3c5 MD5sum: 06ced583e319c8a1ab09a635dae9bd94 Description: RDF library containing an RDF triple store and RDF/XML parser/serializer RDFLib is a Python library for working with RDF, a simple yet powerful language for representing information. The library contains an RDF/XML parser/serializer that conforms to the RDF/XML Syntax Specification and both in-memory and persistent Graph backend. . This package also provides a serialization format converter called rdfpipe in order to deal with the different formats RDFLib works with. Package: python-rdkit Source: rdkit Version: 201203-3 Architecture: armhf Maintainer: Debichem Team Installed-Size: 8647 Depends: libboost-python1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpython2.7 (>= 2.7), librdkit1, libstdc++6 (>= 4.6), python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), python-numpy, rdkit-data Suggests: rdkit-doc Homepage: http://www.rdkit.org Priority: optional Section: python Filename: pool/main/r/rdkit/python-rdkit_201203-3_armhf.deb Size: 2481648 SHA256: 70f0ae829b66bb89feb3f04325813930843e7482bcf77bb0dae8a16fc7006b6c SHA1: c173984fd403934a7e48ad0acb3d06325e80cd7e MD5sum: 86c8cc768f08bca1178315e1e27b42c4 Description: Collection of cheminformatics and machine-learning software RDKit is a Python/C++ based cheminformatics and machine-learning software environment. Features Include: . * Chemical reaction handling and transforms * Substructure searching with SMARTS * Canonical SMILES * Molecule-molecule alignment * Large number of descriptors * Fragmentation using RECAP rules * 2D coordinate generation and depiction * 3D coordinate generation using geometry embedding * UFF forcefield * Calculation of (R/S) stereochemistry codes * Pharmacophore searching * Calculation of shape similarity * Atom pairs and topological torsions fingerprints * Feature maps and feature-maps vectors * Machine-learning algorithms * Gasteiger-Marsili partial charge calculation . File formats RDKit supports include MDL Mol, SDF, TDT, SMILES and RDKit binary format. Package: python-recaptcha Version: 1.0.6-1 Installed-Size: 204 Maintainer: Vincent Bernat Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-crypto Size: 7094 SHA256: 28e953dd6e166d92ba23152e0e41a65bd189cadfd1ff40647b003515cbd1a661 SHA1: 0996acdc5f23f2b7cad90ccc715246bcfa0bfc14 MD5sum: 4a23cf7361a2695414d39e254c3ba9cb Description: client library for reCAPTCHA and Mailhide This Python package provides modules implementing clients for both reCAPTCHA and reCAPTCHA Mailhide. . reCAPTCHA hinders automated abuse of web sites (such as comment spam or bogus registrations) by using a CAPTCHA to try to ensure that humans are involved. . reCAPTCHA Mailhide allows web sites to obfuscate email addresses, revealing them only if a reCAPTCHA is solved. . These modules access a web service that requires sites running the reCAPCHA client to register (at no cost) for an API key. On the other hand, no imaging libraries or complex computations are needed to generate and check CAPTCHAs. Homepage: http://pypi.python.org/pypi/recaptcha-client Section: python Priority: optional Filename: pool/main/p/python-recaptcha/python-recaptcha_1.0.6-1_all.deb Package: python-recoll Source: recoll Version: 1.17.3-2 Architecture: armhf Maintainer: Kartik Mistry Installed-Size: 673 Depends: recoll (>= 1.17.3-2), python2.7, python (>= 2.7), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libxapian22 Homepage: http://www.lesbonscomptes.com/recoll Priority: optional Section: python Filename: pool/main/r/recoll/python-recoll_1.17.3-2_armhf.deb Size: 314538 SHA256: f1275dd48b2ca8852b15226e7f636c76ce3b6c5c1f96334170cb09418fa663b9 SHA1: b66a8436f1adbedfb8603e85911a44118b013180 MD5sum: 7508031e322516706299277ee842e31b Description: Python extension for recoll Personal full text search package which is based on a very strong backend (Xapian), for which it provides an easy to use and feature-rich interface. . This package provides Python extension module for recoll which can be use to extend recoll such as an Ubuntu Unity Lens. Package: python-redis Version: 2.4.13-1 Installed-Size: 175 Maintainer: Chris Lamb Architecture: all Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Size: 31764 SHA256: 843e624ad153eb1e50655d79854f3ad342b3b0929c4c5f06f927a5a633fdd879 SHA1: 7461555d6087f246fd44e301f66afab9fd9e545f MD5sum: d4641485cde56b92ca85a90a56d0e9df Description: Persistent key-value database with network interface (Python library) Redis is a key-value database in a similar vein to memcache but the dataset is non-volatile. Redis additionally provides native support for atomically manipulating and querying data structures such as lists and sets. . The dataset is stored entirely in memory and periodically flushed to disk. . This package contains Python bindings to Redis. Homepage: http://github.com/andymccurdy/redis-py/ Section: python Priority: optional Filename: pool/main/p/python-redis/python-redis_2.4.13-1_all.deb Package: python-regex Version: 0.1.20120613-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 985 Depends: libc6 (>= 2.13-28), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Homepage: https://code.google.com/p/mrab-regex-hg/ Priority: optional Section: python Filename: pool/main/p/python-regex/python-regex_0.1.20120613-1_armhf.deb Size: 327388 SHA256: 936991eeacff55abf5fd5be7ee94936655cc353815a5843fae0219dd4c6f0642 SHA1: af9bf5208e0d8d62f9f1f164c7b50bba497744e6 MD5sum: 07e43740b33fdba297b8f05c9aa98c68 Description: alternative regular expression module This new regex implementation is intended eventually to replace Python's current re module implementation. . For testing and comparison with the current 're' module the new implementation is in the form of a module called 'regex'. Package: python-regex-dbg Source: python-regex Version: 0.1.20120613-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 1894 Depends: libc6 (>= 2.13-28), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python-all-dbg, python-regex (= 0.1.20120613-1) Homepage: https://code.google.com/p/mrab-regex-hg/ Priority: extra Section: debug Filename: pool/main/p/python-regex/python-regex-dbg_0.1.20120613-1_armhf.deb Size: 664266 SHA256: 8b4e738a8e934fa31420f432411967135095ea17cf9526dd0d1d469ee471c04f SHA1: 2d8b0feb3ef0403280b2452a303202f0464da819 MD5sum: 8c35c9d624cc7427848f7fdc858a89f5 Description: alternative regular expression module (debug extension) This new regex implementation is intended eventually to replace Python's current re module implementation. . For testing and comparison with the current 're' module the new implementation is in the form of a module called 'regex'. . This package contains the debug extension for python-regex. Package: python-relational Source: relational Version: 1.1-1 Installed-Size: 184 Maintainer: Salvo 'LtWorf' Tomaselli Architecture: all Depends: python (>= 2.6), python-support (>= 0.90.0) Size: 25888 SHA256: ed202099d6414faab508a63d96148bbf319eb2ce5c0a70ac9202a2b22db67fce SHA1: 09292333b4ef3c52b4459c9a6ede1e4bde24e944 MD5sum: 4b2860269be5ada9cf148b0600110578 Description: Educational tool for relational algebra (standalone module) Relational is primarily a tool to provide a workspace for experimenting with relational algebra, an offshoot of first-order logic. . This package provides a standalone Python module that can be used for executing relational queries. Homepage: http://galileo.dmi.unict.it/wiki/relational/doku.php Section: python Priority: optional Filename: pool/main/r/relational/python-relational_1.1-1_all.deb Package: python-relatorio Source: relatorio Version: 0.5.6-2 Installed-Size: 141 Maintainer: Debian Tryton Maintainers Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-genshi, python-lxml, python-pkg-resources Suggests: python-pycha, python-yaml Size: 17496 SHA256: 9c51d46a8e41e8d489f5c22730a03e9ff86aa93b7dab134ef1a974bf61c8087f SHA1: 40c8312dab3475d55d71a4d99a4f52966ab7486e MD5sum: 8863a68626ac4ad938cc81a25ed80047 Description: Python module to create reports from Python objects This is a Python module to create reports from Python objects. Output plugins to several formats are included, such as documents (odt, ods, pdf) or images (png, svg). Homepage: http://relatorio.openhex.org/ Section: python Priority: optional Filename: pool/main/r/relatorio/python-relatorio_0.5.6-2_all.deb Package: python-remctl Source: remctl Version: 3.2-4 Architecture: armhf Maintainer: Russ Allbery Installed-Size: 125 Depends: libc6 (>= 2.13-28), libremctl1 (>= 3.1), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Provides: python2.6-remctl, python2.7-remctl Homepage: http://www.eyrie.org/~eagle/software/remctl/ Priority: optional Section: python Filename: pool/main/r/remctl/python-remctl_3.2-4_armhf.deb Size: 37040 SHA256: 8c2224869a48ac8600b58540de2f6e49fe151fd90718a93b8628adc4adc6b4b4 SHA1: c531bdebca264c13f8f20384327d5317401dc1d0 MD5sum: 070be439783299c1a8cebda5fbc0db87 Description: Python extension for Kerberos-authenticated command execution remctl is a client/server protocol for executing specific commands on a remote system with Kerberos authentication. The allowable commands must be listed in a server configuration file, and the executable run on the server may be mapped to any command name. Each command is also associated with an ACL containing a list of Kerberos principals authorized to run that command. . This package contains the Python remctl extension, which provides native Python bindings for the libremctl client library. Package: python-renderpm Source: python-reportlab Version: 2.5-1.1 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 174 Depends: python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libart-2.0-2 (>= 2.3.18), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1) Suggests: python-renderpm-dbg Provides: python2.6-renderpm, python2.7-renderpm Priority: optional Section: python Filename: pool/main/p/python-reportlab/python-renderpm_2.5-1.1_armhf.deb Size: 61892 SHA256: d07273df047b374c0cefea489891683f24e3905715930a2352e13aed3965634f SHA1: 73db93dda11d48cfe0074cbe5748d28cb4585c66 MD5sum: 37c0d94d4498318c63ca3d48ecf6476f Description: python low level render interface This package contains the python low level render interface, used in the ReportLab library. Python-Version: 2.6, 2.7 Package: python-renderpm-dbg Source: python-reportlab Version: 2.5-1.1 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 636 Depends: python-renderpm (= 2.5-1.1), python-dbg, libart-2.0-2 (>= 2.3.18), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1) Priority: extra Section: debug Filename: pool/main/p/python-reportlab/python-renderpm-dbg_2.5-1.1_armhf.deb Size: 232954 SHA256: 039019e98f2c8d5f24078eb5bc464f8e0aadfac0ee14d217df3f8762de71143c SHA1: 863d8f2f1a849e2ceeb9508f4ad9ad99da13e02a MD5sum: d917838309a1dec1ce296170a4b342d9 Description: python low level render interface (debug extension) This package contains the python low level render interface, used in the ReportLab library. . This package contains the extension built for the python debug interpreter. Package: python-renpy Source: renpy Version: 6.13.12-1 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 2754 Depends: libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libglew1.7 (>= 1.7.0), libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libswscale2 (>= 5:0.8-2~), zlib1g (>= 1:1.1.4), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Suggests: renpy Homepage: http://www.renpy.org/ Priority: optional Section: python Filename: pool/main/r/renpy/python-renpy_6.13.12-1_armhf.deb Size: 999470 SHA256: 99972f06deb8502e7d71f71634d2bb8e21a96fcb167597ed450e3b7ba758d02d SHA1: e574643b2d5b7e61d81e0ad82df2d57e25ef7609 MD5sum: 5a238c121fc024d3d4280d0d2b8277d3 Description: framework for developing visual-novel type games - Python module Ren'Py is a programming language and runtime, intended to ease the creation of visual-novel type games. It contains features that make it easy to display thoughts, dialogue, and menus; to display images to the user; to write game logic; and to support the saving and loading of games. . This package includes the native Python module. Package: python-reportbug Source: reportbug Version: 6.4.4+deb7u2 Installed-Size: 367 Maintainer: Reportbug Maintainers Architecture: all Depends: python (>= 2.6), python-support (>= 0.90.0), apt, python-debian, python-debianbts Suggests: reportbug Size: 135312 SHA256: d02293d8086105b3213e66db6eb212c35145ba431a512347c1d9dba588066e0a SHA1: 0884f96e9c2681f58b7134cefc31ebe53e74b2db MD5sum: 009ef99e793adfa0f19384fc0a2e0f9d Description: Python modules for interacting with bug tracking systems reportbug is a tool designed to make the reporting of bugs in Debian and derived distributions relatively painless. . This package includes Python modules which may be reusable by other tools that want to interact with the Debian bug tracking system. . To actually report a bug, install the reportbug package. Homepage: http://alioth.debian.org/projects/reportbug/ Section: python Priority: standard Filename: pool/main/r/reportbug/python-reportbug_6.4.4+deb7u2_all.deb Package: python-reportlab Version: 2.5-1.1 Installed-Size: 3472 Maintainer: Matthias Klose Architecture: all Replaces: python2.3-reportlab, python2.4-reportlab Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8) Recommends: python-reportlab-accel, python-renderpm, python-imaging (>= 1.1.6) Suggests: pdf-viewer, python-egenix-mxtexttools (>= 2.0.6-3.1), python-reportlab-doc Conflicts: python2.3-reportlab, python2.4-reportlab Size: 571950 SHA256: cf224ec58d9c54ac719ba374ca95ffb21ef5ade65f39f34a81dfdc8bb3b9d653 SHA1: 7b2b840614026237193d546f657077f77705a84b MD5sum: 409c76f05537bc8ddecf5794be76d64b Description: ReportLab library to create PDF documents using Python ReportLab is a library that lets you directly create documents in Adobe's Portable Document Format (PDF) using the Python programming language. . ReportLab library creates PDF based on graphics commands without intervening steps. It's therefore extremely fast, and flexible (since you're using a full-blown programming language). . Sample use cases are: * Dynamic PDF generation on the web * High-volume corporate reporting and database publishing * As embeddable print engine for other applications, including a 'report language' so that users can customize their own reports. * As 'build system' for complex documents with charts, tables and text such as management accounts, statistical reports and scientific papers * from XML to PDF in one step Python-Version: 2.6, 2.7 Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, works-with-format::pdf, works-with::text Section: python Priority: optional Filename: pool/main/p/python-reportlab/python-reportlab_2.5-1.1_all.deb Package: python-reportlab-accel Source: python-reportlab Version: 2.5-1.1 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 187 Depends: python-reportlab (>= 2.5-1.1), python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libc6 (>= 2.13-28) Conflicts: python2.3-reportlab-accel, python2.4-reportlab-accel Replaces: python2.3-reportlab-accel, python2.4-reportlab-accel Provides: python2.6-reportlab-accel, python2.7-reportlab-accel Priority: optional Section: python Filename: pool/main/p/python-reportlab/python-reportlab-accel_2.5-1.1_armhf.deb Size: 56892 SHA256: a90cada6a6daa2c3823f7c006db2de7b13976ce9d762816e4ef63b50fbeae393 SHA1: 45a75aa2135ae742e49c30d5b027b6ff8f5c740e MD5sum: 9d4d5fd27fa9f63c6dd54f3909f5438e Description: C coded extension accelerator for the ReportLab Toolkit A C coded extension accelerator for the ReportLab Toolkit. Python-Version: 2.6, 2.7 Package: python-reportlab-accel-dbg Source: python-reportlab Version: 2.5-1.1 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 611 Depends: python-reportlab-accel (= 2.5-1.1), python-dbg, libc6 (>= 2.13-28) Priority: extra Section: debug Filename: pool/main/p/python-reportlab/python-reportlab-accel-dbg_2.5-1.1_armhf.deb Size: 216758 SHA256: b728ce7c2ca3812f588bf17ad0367e32867114b8f1a7d6f4ecbe51a31c61338b SHA1: 11c4b70885e18f329c1e666a4e5144b476a243fc MD5sum: e58eb876b40c6c1e60b681294d950ea7 Description: C coded extension accelerator for the ReportLab Toolkit A C coded extension accelerator for the ReportLab Toolkit. Package: python-reportlab-doc Source: python-reportlab Version: 2.5-1.1 Installed-Size: 1916 Maintainer: Matthias Klose Architecture: all Suggests: python-reportlab Size: 1055092 SHA256: f29b2e04fde2972e53a7ae55cfdcb889c54ed5b3ecb3eeea790930f500465ec8 SHA1: 1c0f2b11f1de04dbbd4e7a1d6b4bb4140c8840af MD5sum: 3733e05f6d63ef04ba473d7197201420 Description: Documentation for the ReportLab Python library (PDF format) ReportLab is a library that lets you directly create documents in Adobe's Portable Document Format (PDF) using the Python programming language. . This package contains the documentation for ReportLab in PDF format. Tag: devel::doc, devel::lang:python, role::documentation, works-with-format::pdf, works-with::text Section: doc Priority: optional Filename: pool/main/p/python-reportlab/python-reportlab-doc_2.5-1.1_all.deb Package: python-repoze.lru Version: 0.5-2 Installed-Size: 45 Maintainer: Debian Python Modules Team Architecture: all Provides: python2.6-repoze.lru, python2.7-repoze.lru Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 11880 SHA256: 5e29c1e2e6eba307023f6ee2c9be5ec73df8c580d6c546fd29147b73dc5b9f4d SHA1: f679a447b6a3c3ab05251010cfd97e0a193cbc21 MD5sum: c85fa1082370813d7a8898332af8c01e Description: tiny LRU cache implementation and decorator repoze.lru is a LRU (least recently used) cache implementation. Keys and values that are not used frequently will be evicted from the cache faster than keys and values that are used frequently. Homepage: http://www.repoze.org/ Section: python Priority: extra Filename: pool/main/p/python-repoze.lru/python-repoze.lru_0.5-2_all.deb Package: python-repoze.sphinx.autointerface Version: 0.4-1 Installed-Size: 108 Maintainer: Debian Python Modules Team Architecture: all Depends: python, python-support (>= 0.90.0), python-sphinx (>= 0.6.1), python-zope.interface Size: 7212 SHA256: 614c193d3cd94b6921d7bb10454081157b8cc31213edc7f2d6e9c516d4245a60 SHA1: 0f6326230cae11bf91bd0680bd4560069c93ee5d MD5sum: b71fbc1d0a7285ec03755d7796cb8ecd Description: Sphinx extension that auto-generates API docs from Zope interfaces This package defines an extension for the Sphinx documentation system. The extension allows generation of API documentation by introspection of zope.interface instances in code. Homepage: http://pypi.python.org/pypi/repoze.sphinx.autointerface/ Section: python Priority: optional Filename: pool/main/p/python-repoze.sphinx.autointerface/python-repoze.sphinx.autointerface_0.4-1_all.deb Package: python-repoze.tm2 Version: 1.0b2-1 Installed-Size: 244 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-transaction, libjs-sphinxdoc (>= 1.0) Size: 41140 SHA256: 5dd9acf3882682ec5aa32024c849f2780f9fecbfff09bed2fd8130f2ba9e0821 SHA1: f3aab053c866f7f067dd20a23594c01b9768c59d MD5sum: 2190d1a050ca58cf1f70a55242463882 Description: Zope-like transaction manager via WSGI middleware repoze.tm2 is Python WSGI middleware which uses the ZODB (Zope Object DataBase) package's transaction manager to wrap a call to its pipeline children inside a transaction. Homepage: http://www.repoze.org/ Section: python Priority: extra Filename: pool/main/p/python-repoze.tm2/python-repoze.tm2_1.0b2-1_all.deb Package: python-repoze.what Version: 1.0.9-2 Installed-Size: 718 Maintainer: Debian QA Group Architecture: all Depends: python, python-support (>= 0.90.0), python-repoze.who (>= 1.0), python-repoze.who (<= 1.99), python-repoze.who-plugins, python-paste (>= 1.7), python-zope.interface Recommends: python-pkg-resources Suggests: libjs-jquery Size: 140692 SHA256: a400c49473757767df1c0a7735edb5d750b34a9a84ed74ab419c619d3fe74d98 SHA1: ae02c87c9c9ea83ff9cbf24d3b42f7e55566312f MD5sum: e3cdeb6d8f00d4e8f70c404b75b95212 Description: authorization framework for Python WSGI applications repoze.what is an authorization framework for WSGI applications, based on repoze.who (which deals with authentication and identification). . On the one hand, it enables an authorization system based on the groups to which the authenticated or anonymous user belongs and the permissions granted to such groups by loading these groups and permissions into the request on the way in to the downstream WSGI application. . And on the other hand, it enables you the programmer to manage groups and permissions from the application itself or another program, under a backend-independent API. For example, it would be easy to switch from one back-end to another, and even use this framework to migrate the data. . This is just the authorization pattern it supports out-of-the-box, but it supports other authorization patterns with custom predicates. It's highly extensible, so it's very unlikely that it will get in the way and can be extended to check for many conditions (e.g.: checking that the user comes from a given country, based on her IP address). Homepage: http://www.repoze.org/ Section: python Priority: optional Filename: pool/main/p/python-repoze.what/python-repoze.what_1.0.9-2_all.deb Package: python-repoze.what-plugins Version: 20090531-2 Installed-Size: 288 Maintainer: Debian Python Modules Team Architecture: all Depends: python-support (>= 0.90.0), python-repoze.what, python-repoze.who, python-repoze.who-plugins, python-sqlalchemy, python-pylons, python-decorator Size: 17074 SHA256: 0dcc089de3ba1c0d9eb8a3f1a2a4d73d882910be4eae07d050547507dbfe3903 SHA1: c6b6194e0ec9d305058b4d158ffa7713243ebf2f MD5sum: cdc855f697162080553b4b1457fd4fbe Description: authorization framework for Python WSGI applications - plugins collection repoze.what is an authorization framework for WSGI applications, based on repoze.who (which deals with authentication and identification). . This package contains a collection of plugins for repoze.what, in particular: . * repoze.what.plugins.sql - adapter plugin for SQLAlchemy * repoze.what-pylons - integration with Pylons / TurboGears * repoze.what-quickstart - simple authentication and authorization * repoze.what.plugins.xml - XML adapter plugin Section: python Priority: optional Filename: pool/main/p/python-repoze.what-plugins/python-repoze.what-plugins_20090531-2_all.deb Package: python-repoze.who Version: 1.0.18-2 Installed-Size: 653 Maintainer: Debian QA Group Architecture: all Depends: python, python-support (>= 0.90.0), python-zope.interface, python-pkg-resources, python-paste Suggests: python-psycopg2, libjs-jquery Size: 149594 SHA256: 2594519e92c8ed3f4b2ebf22ac5d681110190e22f5cc6b5879597e79cefff108 SHA1: c8cea38adcc62becf69a49715181210724d69810 MD5sum: 6793c0c8f0917920208bcb0560ab3681 Description: identification and authentication framework for Python WSGI applications repoze.who is an identification and authentication framework for arbitrary Python WSGI applications; it acts as WSGI middleware. . repoze.who is inspired by Zope 2's Pluggable Authentication Service (PAS), but is not dependent on Zope in any way; it is useful for any WSGI application. . It provides no facility for authorization (ensuring whether a user can or cannot perform the operation implied by the request). This is considered to be the domain of the WSGI application. Homepage: http://www.repoze.org/ Section: python Priority: optional Filename: pool/main/p/python-repoze.who/python-repoze.who_1.0.18-2_all.deb Package: python-repoze.who-plugins Version: 20090913-1 Installed-Size: 352 Maintainer: Debian Python Modules Team Architecture: all Depends: python-support (>= 0.90.0), python-repoze.who, python-zopeinterface, python-paste (>= 1.7), python-pastedeploy (>= 1.3.3), python-openid (>= 2.0), python-pkg-resources, python-sqlalchemy (>= 0.5), python-ldap (>= 2.3.5) Size: 27096 SHA256: 908879edfc69d50d366b6ed5de60bfde9d403415430a223c982e752bed588f10 SHA1: 5bdb4f47b646007ca9136c74270a6db81a28d0bb MD5sum: 28289db3e1293e032d7170eaf5a7200b Description: authentication framework for Python WSGI applications - plugins collection repoze.who is an identification and authentication framework for arbitrary Python WSGI applications; it acts as WSGI middleware and is inspired by Zope 2's Pluggable Authentication Service (PAS). . This package contains a collection of plugins for repoze.who, in particular: . * repoze.who-friendlyform - developer-friendly forms * repoze.who-plugins.sa - SQLAlchemy integration * repoze.who-testutil - test utilities for repoze.who applications * repoze.who.plugins.ldap - LDAP authentication * repoze.who.plugins.openid - login via OpenID Section: python Priority: optional Filename: pool/main/p/python-repoze.who-plugins/python-repoze.who-plugins_20090913-1_all.deb Package: python-requests Source: requests Version: 0.12.1-1+deb7u1 Installed-Size: 290 Maintainer: Debian Python Modules Team Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), ca-certificates, python-six Size: 56260 SHA256: 2de76723ce8ffc2e53aa2888d50430e40c393d45a516b9dfa6e651c982497f3b SHA1: 9115d337d9b8d96352dea64e4f1092694f2b805b MD5sum: c1876873d0e24fc420934f0c076461e3 Description: elegant and simple HTTP library for Python, built for human beings Requests allow you to send HTTP/1.1 requests. You can add headers, form data, multipart files, and parameters with simple Python dictionaries, and access the response data in the same way. It's powered by httplib and urllib3, but it does all the hard work and crazy hacks for you. . Features . - International Domains and URLs - Keep-Alive & Connection Pooling - Sessions with Cookie Persistence - Browser-style SSL Verification - Basic/Digest Authentication - Elegant Key/Value Cookies - Automatic Decompression - Unicode Response Bodies - Multipart File Uploads - Connection Timeouts Homepage: http://python-requests.org Recommends: python-chardet, python-gevent, python-oauthlib Section: python Priority: optional Filename: pool/main/r/requests/python-requests_0.12.1-1+deb7u1_all.deb Package: python-restkit Version: 4.1.3-2 Installed-Size: 166 Maintainer: Debian Python Modules Team Architecture: all Provides: python2.6-restkit, python2.7-restkit Depends: python (>= 2.6.6-7~), python (<< 2.8), python-http-parser (>= 0.7.5), python-socketpool (>= 0.4.1), python-nose, python-webob Size: 45832 SHA256: d833ad3990ceba00938264f6231019bc803c8346e85b541cfdb4c245db54187a SHA1: e4b3016f06dd81f62a4a87367e80bacf721f6398 MD5sum: 0ac2637f9ce2141e61260199f369ee35 Description: Restkit is an HTTP resource kit for Python Restkit is an HTTP resource kit for Python. It allows you to easily access to HTTP resource and build objects around it. It's the base of couchdbkit a Python CouchDB framework. . Restkit is a full HTTP client using pure socket calls and its own HTTP parser. It's not based on httplib or urllib2. Homepage: http://benoitc.github.com/restkit Tag: devel::lang:python, devel::library, role::devel-lib Section: python Priority: extra Filename: pool/main/p/python-restkit/python-restkit_4.1.3-2_all.deb Package: python-rfoo Source: rfoo Version: 1.3.0-2 Architecture: armhf Maintainer: Jerome Kieffer Installed-Size: 163 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28) Homepage: http://code.google.com/p/rfoo/ Priority: extra Section: python Filename: pool/main/r/rfoo/python-rfoo_1.3.0-2_armhf.deb Size: 17602 SHA256: d81d1bb8af768c83bc3ed1c07e0fce7f5e2be2583c3ec8b737d8d65ce584fc22 SHA1: cabe435573fa119a4f4781e796ade3f021fbf4e9 MD5sum: 0c3a1037f16fb41b4e96b0e1f75c3afe Description: Fast RPC package for Python (and a remote console) rfoo (remote foo) is a fast Python RPC package which can do 160,000 RPC calls per second on a regular PC. It includes a fast serialization module called rfoo.marsh which extends the Python built in marshal module by eliminating serialization of code objects and protecting against bad input. The result is a safe to use ultra fast serializer. . Included with rfoo is rconsole, a remote Python console with auto completion, which can be used to inspect and modify the namespace of a running script. Package: python-rgain Source: rgain Version: 1.0.1-1 Installed-Size: 133 Maintainer: Debian Python Modules Team Architecture: all Depends: python-gst0.10, python-mutagen, gstreamer0.10-plugins-base, gstreamer0.10-plugins-good, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 18396 SHA256: 8d3030b02598da5502b18ebe917792924dab2f037a6bce750df273fcc41c9cd3 SHA1: 7cc8c33ccd89e2ef7eb06ba10519632d08e617e8 MD5sum: 14dce430de3ab614d864780dcb7304ae Description: Replay Gain volume normalization Python tools This package provides a Python package to calculate the Replay Gain values of audio files and normalize the volume of those files according to the values. Two basic scripts exploiting these capabilities are shipped as well. . Replay Gain is a proposed standard designed to solve the very problem of varying volumes across audio files. Its specifications are available at http://replaygain.org/ . Homepage: https://bitbucket.org/fk/rgain/ Section: python Priority: optional Filename: pool/main/r/rgain/python-rgain_1.0.1-1_all.deb Package: python-rhash Source: rhash Version: 1.2.9-8+deb7u1 Installed-Size: 64 Maintainer: Aleksey Kravchenko Architecture: all Depends: librhash0 (>= 1.2.9-8+deb7u1), python (>= 2.6.6-7~), python (<< 2.8) Size: 12930 SHA256: 880b724790bf2f17d01a09485ae9ffcccbe29a491aae5b0119b68a182ad46df4 SHA1: 01c2690a4fb308c863a50523ad6a1ddca74f6fdb MD5sum: b436a079a3da90878d3ab771c0369331 Description: Python interface for LibRHash hash sums calculation library LibRHash is a professional, portable, thread-safe C library for computing magnet links and a wide variety of hash sums, such as CRC32, MD4, MD5, SHA1, SHA256, SHA512, AICH, ED2K, Tiger, DC++ TTH, BitTorrent BTIH, GOST R 34.11-94, RIPEMD-160, HAS-160, EDON-R, Whirlpool and Snefru. . This package contains the files needed to use the RHash library in Python programs. Homepage: http://rhash.sourceforge.net/ Section: python Priority: optional Filename: pool/main/r/rhash/python-rhash_1.2.9-8+deb7u1_all.deb Package: python-rhn Source: rhnlib Version: 2.5.52-1 Installed-Size: 150 Maintainer: Miroslav Suchý Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-openssl Size: 28964 SHA256: a2d7aaf9351d17c2cb15e34bc11edf24a9792a9901f2b48fd8786b45feeaff13 SHA1: 5cff70d6e70720e23e294a0eb64a920aafc5ca3c MD5sum: f037c3907000cd084686844cc596dff9 Description: Python libraries for the RHN project Collection of base Python modules used by the Red Hat Network (http://rhn.redhat.com) and Spacewalk software. This is common library used by both clients and server. Homepage: https://fedorahosted.org/spacewalk Section: python Priority: extra Filename: pool/main/r/rhnlib/python-rhn_2.5.52-1_all.deb Package: python-rivet Source: rivet Version: 1.8.0-1 Architecture: armhf Maintainer: Debian Science Maintainers Installed-Size: 490 Depends: python-support (>= 0.90), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhepmc4, librivet11, libstdc++6 (>= 4.4.0) Multi-Arch: foreign Homepage: http://rivet.hepforge.org/ Priority: optional Section: python Filename: pool/main/r/rivet/python-rivet_1.8.0-1_armhf.deb Size: 160070 SHA256: af3aa2ea3800385d6ae475f8ab49eea5125b95bc5523f37e9537a431d9bba142 SHA1: b0a418a01451e17a17ea8ceb602a7ec37459eff7 MD5sum: d97de8502ef1afd7e506b06a7f694bfb Description: Python bindings of Rivet Rivet is a toolkit for validation of Monte Carlo event generators. Using a computationally efficient model for observable computations, Rivet provides a set of experimental analyses useful for generator sanity checks, as well as a convenient infrastructure for adding your own analysis. . This package provides Python bindings of Rivet. Package: python-roman Source: python-docutils Version: 0.8.1-8 Installed-Size: 97 Maintainer: Debian Python Modules Team Architecture: all Depends: python (>= 2.3), python-support (>= 0.90.0) Size: 51930 SHA256: 2fd16fdce9c1a5fd0f4dd3f317e54a62ac04b4bc4009fb5bf11eb1c658d1ec9a SHA1: e7b26e42b3aa1b86a94ca308c6b922b8801595d2 MD5sum: 3ee616abae9cc70be39a92e706356633 Description: module for generating/analyzing Roman numerals for Python 2 This module converts from and to Roman numerals. It can convert numbers from 1 to 4999 and understands the common shortcuts (IX == 9), but not illegal ones (MIM == 1999). . This package includes Python 2 modules. Homepage: http://docutils.sourceforge.net/ Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib Section: python Priority: optional Filename: pool/main/p/python-docutils/python-roman_0.8.1-8_all.deb Package: python-rope Source: rope Version: 0.9.2-1 Installed-Size: 904 Maintainer: David Spreen Architecture: all Depends: python (>= 2.5), python-central (>= 0.6.7) Recommends: python-svn, mercurial, git-core Size: 147272 SHA256: 723fd08a8d0e50ee51385fc178cc0f0153daee910c326153fa695797345137fc SHA1: 3bf4b4d6eafc62bc5eeb68b4f4a097f423cb18da MD5sum: fcd269ca164153c337046558096edc34 Description: Python refactoring library Rope is a Python refactoring library that can be used with several editors and IDEs. It provides many refactoring operations as well as forms of code assistance like auto-completion and access to documentation. To make use of refactoring in Mercurial, Git and Svn repositories, the recommended packages must be installed. For a complete list of features, see the project homepage. . In Debian, this package is used by the Python-ropemacs package, an Emacs mode that adds Python refactoring and IDE features to the Emacs editor. Homepage: http://rope.sourceforge.net/ Python-Version: >=2.5 Section: devel Priority: optional Filename: pool/main/r/rope/python-rope_0.9.2-1_all.deb Package: python-ropemacs Source: ropemacs Version: 0.6c2-4 Installed-Size: 156 Maintainer: David Spreen Architecture: all Depends: python (>= 2.5), python-central (>= 0.6.11), pymacs, python-rope Size: 24358 SHA256: 15700c4dc5c7437d09b5a730c20f33aef07618b30a1fee9956d33035857d0153 SHA1: 75efe14da5525d09b81654776928b1e8181763f5 MD5sum: c5fcd12bbfa522156fc1cebeabda7ca8 Description: Emacs mode for Python refactoring Rope is a Python library that can be used with several editors and IDEs. It provides many refactoring operations as well as forms of code assistance like auto-completion and access to documentation. For a complete list of features, see the project homepage. . This package provides the features of the python-rope library through a Emacs minor mode (on top of the Python mode) using the pymacs package. Homepage: http://rope.sourceforge.net/ropemacs.html Python-Version: >=2.5 Section: devel Priority: optional Filename: pool/main/r/ropemacs/python-ropemacs_0.6c2-4_all.deb Package: python-routes Source: routes Version: 1.13-2 Installed-Size: 657 Maintainer: Piotr Ożarowski Architecture: all Depends: python (>= 2.6.6-7~), python (<< 2.8), python-repoze.lru, libjs-sphinxdoc (>= 1.0), python-pkg-resources Recommends: python-webob Suggests: python-paste Size: 119652 SHA256: 57e9cebd4be2f3b227ede2c62d2c14d5994164bc38e262cc93dbed0f4d0ec718 SHA1: 8cb79c2abb146207419242ab31a591e208ad8d0c MD5sum: 0e33fea57727962ea3b8ea6652d463fb Description: Routing Recognition and Generation Tools Routes is a Python re-implementation of the Rails routes system for mapping URLs to Controllers/Actions and generating URLs. Routes makes it easy to create pretty and concise URLs that are RESTful with little effort. . Speedy and dynamic URL generation means you get a URL with minimal cruft (no big dangling query args). Shortcut features like Named Routes cut down on repetitive typing. . Current features: * Named Routes * Sophisticated Route lookup and URL generation * Wildcard path's before and after static parts * Groupings syntax to allow flexible URLs to accommodate almost any need * Sub-domain support built-in * Conditional matching based on domain, cookies, HTTP method (RESTful), and more * Easily extensible utilizing custom condition functions and route generation functions * Extensive unit tests Homepage: http://routes.groovie.org/ Tag: devel::lang:python, devel::library, devel::web, implemented-in::python, role::devel-lib, role::shared-lib Section: python Priority: optional Filename: pool/main/r/routes/python-routes_1.13-2_all.deb Package: python-rpm Source: rpm Version: 4.10.0-5+deb7u2 Architecture: armhf Maintainer: Michal Čihař Installed-Size: 1148 Depends: libc6 (>= 2.13-28), librpm3 (>= 4.10.0), librpmbuild3 (>= 4.10.0), librpmio3 (>= 4.10.0), librpmsign1 (>= 4.10.0), python (>= 2.6.6-7~), python (<< 2.8) Conflicts: python2.3-rpm, python2.4-rpm Replaces: python2.3-rpm, python2.4-rpm Provides: python2.6-rpm, python2.7-rpm Homepage: http://rpm.org/ Priority: extra Section: python Filename: pool/main/r/rpm/python-rpm_4.10.0-5+deb7u2_armhf.deb Size: 984644 SHA256: 3bfb95c65d6ee7e3dc45a2adfff90ad306645bd1233af26ff0da1ffd1f7d5aaa SHA1: 832bc64f32df6e9952340ade77c0f6e024f3ef5a MD5sum: a8699bfc3080bf3b4027c2124d7f07f3 Description: Python bindings for RPM The RPM Package Manager (RPM) is a command-line driven package management system capable of installing, uninstalling, verifying, querying, and updating computer software packages. . This package includes the Python bindings for librpm, allowing Python scripts to manipulate RPM packages and the RPM database. Package: python-rpy Source: rpy Version: 1.0.3-22 Architecture: armhf Maintainer: Dirk Eddelbuettel Installed-Size: 186 Depends: libc6 (>= 2.13-28), python (<< 2.8), python (>= 2.6.6-7~), python-numpy (>= 1:1.6.1), python-numpy-abi9, python2.7 | python2.6, r-base-core (>= 2.15.1) Suggests: python-rpy-docs Conflicts: python2.2-rpy (<< 0.99.2-4), python2.3-rpy (<< 0.99.2-4), python2.4-rpy (<< 0.99.2-4) Breaks: r-base-core (>= 2.16.0) Replaces: python2.2-rpy (<< 0.99.2-4), python2.3-rpy (<< 0.99.2-4), python2.4-rpy (<< 0.99.2-4) Provides: python2.6-rpy, python2.7-rpy Priority: optional Section: python Filename: pool/main/r/rpy/python-rpy_1.0.3-22_armhf.deb Size: 62326 SHA256: 15c655c03988952196eca0d24ddfa302b65b27f1a82782856e907bc824d56916 SHA1: a09af8e818d7ac92f427d8d3ae12410f7f504575 MD5sum: f59aa9c703b278d4daed551d2883ac07 Description: Python interface to the GNU R language and environment This Debian package provides RPy, a very simple yet robust Python interface to the GNU R Programming Language. It can manage different types of R objects, and can execute arbitrary R functions, including graphic functions. . URL: http://rpy.sourceforge.net/ Python-Version: 2.6, 2.7 Package: python-rpy-doc Source: rpy Version: 1.0.3-22 Installed-Size: 353 Maintainer: Dirk Eddelbuettel Architecture: all Replaces: python-rpy-docs Provides: python-rpy-docs Depends: dpkg (>= 1.15.4) | install-info Conflicts: python-rpy-docs Size: 259294 SHA256: fc39f0422aaacf7cba5573180961c1db0062b5d3638ce09383722bfdf66388de SHA1: b03c0a1f8f95db94c85b569f26958e76d2129c5a MD5sum: fb5e4c4c4a568819827c7d5a11c00edd Description: Python interface to the GNU R language (documentation package) This Debian package provides RPy, a very simple yet robust Python interface to the GNU R Programming Language. It can manage different types of R objects, and can execute arbitrary R functions, including graphic functions. . This Debian package contains documentation and examples from the rpy source package. . URL: http://rpy.sourceforge.net/ Tag: devel::doc, devel::examples, devel::lang:python, devel::lang:r, role::documentation Section: doc Priority: optional Filename: pool/main/r/rpy/python-rpy-doc_1.0.3-22_all.deb Package: python-rpy2 Source: rpy2 Version: 2.2.6-1 Architecture: armhf Maintainer: Dirk Eddelbuettel Installed-Size: 735 Depends: libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), liblapack3gf | liblapack.so.3gf | libatlas3gf-base, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-numpy, r-base-core (>= 2.15.0) Suggests: python-rpy-docs Provides: python2.6-rpy2, python2.7-rpy2 Homepage: http://rpy.sourceforge.net/ Priority: optional Section: python Filename: pool/main/r/rpy2/python-rpy2_2.2.6-1_armhf.deb Size: 180554 SHA256: 29fae7ae9ceab5f171ad734c2ad91833697c02ab3f4b2c82ca16a82359cd844e SHA1: 6023e4a26c1a0553c8a3498bceb96b7c75513a1a MD5sum: bcbdd90f3807a82f0048a3bd7ed15008 Description: Python interface to the GNU R language and environment (version 2) This Debian package provides RPy2, a very simple yet robust Python interface to the GNU R Programming Language. It can manage different types of R objects, and can execute arbitrary R functions, including graphic functions. Rpy2 is a rewrite and extension of the older RPy interface. Package: python-rra Source: librra Version: 0.14-1.2 Architecture: armhf Maintainer: Jonny Lamb Installed-Size: 143 Depends: libc6 (>= 2.13-28), libmimedir0, librapi2, librra0, libsynce0, python (<< 2.8), python (>= 2.6) Provides: python2.6-rra, python2.7-rra Homepage: http://www.synce.org/ Priority: optional Section: python Filename: pool/main/libr/librra/python-rra_0.14-1.2_armhf.deb Size: 41332 SHA256: f91da1513bc866efe9d565d528f6ffb91630449b9b898b06afb9f85fa8807747 SHA1: 651a14d8c715105d76f35ea8cde18298ff05e1d0 MD5sum: 27701579f78851c8c599ae4e89548e11 Description: Library for syncing with Windows Mobile devices (Python bindings) rra provides the necessary low level magic to synchronise intelligently with Windows Mobile devices, allowing you to tell what's been created/changed/deleted since the last time the device was contacted. It can convert the internal Windows Mobile formats to standards such as vCard, vEvent or vTodo. . This package contains the librra Python bindings. Python-Version: 2.6, 2.7 Package: python-rrdtool Source: rrdtool Version: 1.4.7-2+rpi1 Architecture: armhf Maintainer: Debian RRDtool Team Installed-Size: 193 Depends: python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libc6 (>= 2.13-28), librrd4 (>= 1.4~rc2) Conflicts: python-rrd (<< 1.2.26), python2.3-rrd, python2.4-rrd Replaces: python-rrd (<< 1.2.26), python2.3-rrd, python2.4-rrd Provides: python-rrd, python2.6-rrdtool, python2.7-rrdtool Homepage: http://oss.oetiker.ch/rrdtool/ Priority: optional Section: python Filename: pool/main/r/rrdtool/python-rrdtool_1.4.7-2+rpi1_armhf.deb Size: 107712 SHA256: df5ba9e1552c9dd153273ab65c32f67b02ce55631cd409399ebaa4500de4e079 SHA1: 86842480182fb1b17ff1850bcfd66d87d5c6c7c1 MD5sum: 54428fd66b115a9296a890683f62c396 Description: time-series data storage and display system (Python interface) The Round Robin Database Tool (RRDtool) is a system to store and display time-series data (e.g. network bandwidth, machine-room temperature, server load average). It stores the data in Round Robin Databases (RRDs), a very compact way that will not expand over time. RRDtool processes the extracted data to enforce a certain data density, allowing for useful graphical representation of data values. . This package contains a Python interface to RRDs. Package: python-rsvg Source: gnome-python-desktop (2.32.0+dfsg-2) Version: 2.32.0+dfsg-2+b1 Architecture: armhf Maintainer: Loic Minier Installed-Size: 141 Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.14.4), python2.7 | python2.6, python (>= 2.6), python (<< 2.8), librsvg2-common, python-gtk2 Replaces: python-gnome2-desktop (<< 2.24.0-2) Priority: optional Section: python Filename: pool/main/g/gnome-python-desktop/python-rsvg_2.32.0+dfsg-2+b1_armhf.deb Size: 41488 SHA256: 0be4c4bb2a80f624151734c025bf5e0205538b1bc71bb9e0b7f446f6e6450a61 SHA1: c0ea1ca20622a9fd9c864d149ca334a1ee2f9f56 MD5sum: 8897e55c1877a3c248de634a19d310bc Description: Python bindings for the RSVG library This package contains a module that allows one to use librsvg to read and write SVG files from Python applications. Package: python-rtai Source: rtai Version: 3.8.1-4 Installed-Size: 156 Maintainer: Edelhard Becker Architecture: all Depends: python (>= 2.1), python-support (>= 0.90.0) Size: 47544 SHA256: 8f3c8b7e2fd7d6340e38a3eb78f7bd12486561e61b829c00eef3561f8f34971e SHA1: fd0d883a3e29e021c3021322da9fe4cc31e2fbee MD5sum: 2fb5c75f124c155079361bc5409eaeae Description: Real Time Application Interface (documentation) RTAI is a realtime extension with a broad variety of services which make realtime programmers' lifes easier. Some of them are . choose between FIFO and RR scheduling policies on a per task basis. extended POSIX APIs and message queues, in kernel and user space semaphores can be typed as: counting, binary and resource a mailbox implementation LXRT for hard real time in user space . This package contains the Python bindings. Homepage: http://www.rtai.org/ Python-Version: 2.6, 2.7 Section: python Priority: extra Filename: pool/main/r/rtai/python-rtai_3.8.1-4_all.deb Package: python-rtfcomp Source: librtfcomp Version: 1.1-5 Architecture: armhf Maintainer: Jonny Lamb Installed-Size: 77 Depends: libc6 (>= 2.4), librtfcomp0, python (<< 2.8), python (>= 2.6) Provides: python2.6-rtfcomp, python2.7-rtfcomp Homepage: http://www.synce.org/ Priority: optional Section: python Filename: pool/main/libr/librtfcomp/python-rtfcomp_1.1-5_armhf.deb Size: 9808 SHA256: e4a63a6ba76085ccc7cc6b6e729e7dc1c00bce3e70e8a5a1f3e5bfcc275956b9 SHA1: 39778731dfab8dd828bfaeac41fc37e12e9ea852 MD5sum: e18bbf69793e69e2a668f27891de2e4a Description: Library to read compressed RTF files (Python bindings) Can decompress and recompress compressed RTF and convert from UTF8 to RTF for use in things like the AirSync protocols. . This package contains the Python bindings for the library. Python-Version: 2.6, 2.7 Package: python-rtslib Source: rtslib Version: 2.1-2 Installed-Size: 1431 Maintainer: Ritesh Raj Sarraf Architecture: all Provides: python2.6-rtslib, python2.7-rtslib Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-ipaddr, python-netifaces, python-configobj Size: 428546 SHA256: 6c126255e99e73e7c94308d13f5cfd55f052e9ad3a4194235fa8d458fb46df02 SHA1: 24c47e4e4b24aef87d884f4643b160f66eb1ecfa MD5sum: edbfb707cfdc4eb6b388b0058aacbdfc Description: LIO core target management framework - python libs This package contains the LIO rtslib library that provides an object API to the LIO SCSI Target as well as third-party target fabric modules . It is part of the Linux Kernel SCSI Target's userspace management library Homepage: http://www.risingtidesystems.com/git/?p=rtslib.git;a=summary Section: python Priority: optional Filename: pool/main/r/rtslib/python-rtslib_2.1-2_all.deb Package: python-samba Source: samba4 Version: 4.0.0~beta2+dfsg1-3.2 Architecture: armhf Maintainer: Samba Debian Maintainers Installed-Size: 8666 Depends: python-ldb (>= 1.1.2~), python-tdb, python (>= 2.7), python (<< 2.8), libacl1 (>= 2.2.51-8), libattr1 (>= 1:2.4.46-8), libbsd0 (>= 0.3.0), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libdcerpc0, libgcc1 (>= 1:4.4.0), libgensec0, libgssapi3-heimdal (>= 1.4.0+git20110226), libhdb9-heimdal (>= 1.4.0+git20110226), libkrb5-26-heimdal (>= 1.4.0+git20110226), libldap-2.4-2 (>= 2.4.7), libldb1 (>= 0.9.21), libndr-standard0, libndr0, libpam0g (>= 0.99.7.1), libpython2.7 (>= 2.7), libregistry0, libroken18-heimdal (>= 1.4.0+git20110226), libsamba-credentials0, libsamba-hostconfig0, libsamba-policy0, libsamba-util0, libsamdb0, libsmbclient-raw0, libtalloc2 (>= 2.0.4~git20101213), libtdb1 (>= 1.2.7+git20101214), libtevent0 (>= 0.9.16), libwbclient0 (>= 2:3.2.0), python-talloc (>= 2.0.6) Provides: python2.7-samba Homepage: http://www.samba.org/ Priority: optional Section: python Filename: pool/main/s/samba4/python-samba_4.0.0~beta2+dfsg1-3.2_armhf.deb Size: 2527806 SHA256: 08c1979bcdf2355b39762747b23ff2a658ffd813d886c6a1eff61fb876a59c2d SHA1: bd411e4e304ac78f46f89b6896b2955230ccadc2 MD5sum: 57d58307913c61dea6cab75c7b91cba8 Description: Python bindings for Samba Samba is an implementation of the SMB/CIFS protocol for Unix systems, providing support for cross-platform file sharing with Microsoft Windows, OS X, and other Unix systems. Samba can also function as a domain controller or member server in both NT4-style and Active Directory domains. . These packages contain snapshot versions of Samba 4, the next-generation version of Samba. . This package contains Python bindings for most Samba 4 libraries. Package: python-satellites Source: pysatellites Version: 1.0-6 Installed-Size: 177 Maintainer: Georges Khaznadar Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), ffmpeg, iceweasel | www-browser, python-qt4, xplanet, celestia-common, python-matplotlib, python-tk Size: 38338 SHA256: 9dfed7c9846cdb2189ab7337e623c4651b13c3881ef94f29c8cd68ceb38754dd SHA1: 1c91939f574dabf71b6deacb649327940849506d MD5sum: 960818e8da7299473e1d8e79e65da158 Description: simulates the launching of satellites This program can be used to train people to spatial mechanics at an elementary level. You are given the power to launch a satellite, from outside the atmosphere, around a handful of predefined planets, or around any special object you may imagine. Input the initial velocity vector of the satellite, and you will get the simulated trajectory, as well as some information like the plots of variation of speed. As an extra, you can compute a movie, which represents the planet seen from the satellite's point of view during its orbital period. Tag: devel::lang:python, field::astronomy, field::physics, implemented-in::python, interface::x11, role::app-data, role::examples, role::program, science::modelling, science::plotting, science::visualisation, scope::application, uitoolkit::qt, use::analysing, use::calculating, use::comparing, use::simulating, use::viewing, x11::application Section: python Priority: extra Filename: pool/main/p/pysatellites/python-satellites_1.0-6_all.deb Package: python-scapy Source: scapy Version: 2.2.0-1 Installed-Size: 1348 Maintainer: David Villa Alises Architecture: all Replaces: scapy (<< 1.0.5-1) Provides: scapy Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8) Suggests: tcpdump, tcpreplay, wireshark, graphviz, imagemagick, python-gnuplot, python-crypto, python-pyx, ebtables, python-visual, sox, xpdf, gv, hexer, librsvg2-bin, python-pcapy Conflicts: scapy (<< 1.0.5-1) Size: 238220 SHA256: a720a5c4e03ec4f009756132559a5058094085b1d2b607b57da39fe241be3d9b SHA1: 6527f88aa1289fdd36906bfb3cf3128df76beb4e MD5sum: e0f4ab6d747fb5352c436acd397ff23d Description: Packet generator/sniffer and network scanner/discovery Scapy is a powerful interactive packet manipulation tool, packet generator, network scanner, network discovery, packet sniffer, etc. It can for the moment replace hping, 85% of nmap, arpspoof, arp-sk, arping, tcpdump, tethereal, p0f, .... . In scapy you define a set of packets, then it sends them, receives answers, matches requests with answers and returns a list of packet couples (request, answer) and a list of unmatched packets. This has the big advantage over tools like nmap or hping that an answer is not reduced to (open/closed/filtered), but is the whole packet. Homepage: http://www.secdev.org/projects/scapy/ Tag: admin::monitoring, implemented-in::python, interface::shell, network::scanner, protocol::ethernet, role::program, scope::utility, use::scanning, works-with::network-traffic Section: net Priority: optional Filename: pool/main/s/scapy/python-scapy_2.2.0-1_all.deb Package: python-scgi Source: scgi Version: 1.13-1 Architecture: armhf Maintainer: Neil Schemenauer Installed-Size: 120 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28) Conflicts: python2.4-scgi Provides: python2.6-scgi, python2.7-scgi Homepage: http://python.ca/scgi/ Priority: optional Section: python Filename: pool/main/s/scgi/python-scgi_1.13-1_armhf.deb Size: 22086 SHA256: f416be877982206150bbf058a33e7dd6999f0394e43dc23329968d1b2333c48e SHA1: a2305afeacff5baf7dfd71eaed3b223d5a2b49c0 MD5sum: 7d2b8fa01d7f6bb46937748406f8f127 Description: Server-side implementation of the SCGI protocol The SCGI protocol is a replacement for the Common Gateway Interface (CGI) protocol. It is a proposed standard for applications to interface with HTTP servers. It is similar to FastCGI but is designed to be easier to implement. . This is a Python package implementing the server side of the SCGI protocol. Python-Version: 2.6, 2.7 Package: python-scientific Version: 2.8-4 Installed-Size: 1012 Maintainer: Debian QA Group Architecture: all Provides: python2.6-scientific, python2.7-scientific Depends: python (>= 2.6.6-7~), python (<< 2.8), python2.7, python-numpy (>= 1:1.6.1-1) Recommends: python-netcdf (>= 2.4.11), python-tk (>= 2.4.3), pyro Suggests: python-scientific-doc Size: 153668 SHA256: 6a94ba28d3322658cbbefb879b8fca87720c901bf55f2abb2cfa59645db9b9cd SHA1: f6e2624251e154ae3288d9d3d6d7dc0727e3bb2c MD5sum: cf4b169f03cde3b1ac5c5ebb5e48bd24 Description: Python modules useful for scientific computing ScientificPython is a collection of Python modules that are useful for scientific computing. Most modules are rather general, others belong to specific domains and will be of interest to only a small number of users (e.g. the module Scientific.IO.PDB). Almost all modules make extensive use of Numerical Python (NumPy) Python-Version: 2.6, 2.7 Tag: devel::lang:python, devel::library, implemented-in::python, role::app-data Section: python Priority: optional Filename: pool/main/p/python-scientific/python-scientific_2.8-4_all.deb Package: python-scientific-doc Source: python-scientific Version: 2.8-4 Installed-Size: 3885 Maintainer: Debian QA Group Architecture: all Depends: python-numpy Size: 393258 SHA256: 244e88326c40973e0c2048bd223eb3b0d3e814cd46114e363714991874f89372 SHA1: 19ce557e0df7fd1c24367c3645cf3792ef08c17d MD5sum: bf82fd501a4d228e4a56a18d10c9138d Description: Python modules useful for scientific computing ScientificPython is a collection of Python modules that are useful for scientific computing. Most modules are rather general, others belong to specific domains and will be of interest to only a small number of users (e.g. the module Scientific.IO.PDB). Almost all modules make extensive use of Numerical Python (NumPy) Tag: devel::doc, devel::lang:python, role::documentation Section: doc Priority: optional Filename: pool/main/p/python-scientific/python-scientific-doc_2.8-4_all.deb Package: python-scikits-learn Source: scikit-learn Version: 0.11.0-2+deb7u1 Installed-Size: 27 Maintainer: NeuroDebian Team Architecture: all Depends: python-sklearn, python (>= 2.6), python-support (>= 0.90.0) Size: 22260 SHA256: db046497a3581f9ba17f810a097342cc8ce07c7fb5491cfe551c1dbb54eadf34 SHA1: 8f6dd05bd51e053fa94702a77fe4e6f7827a43a6 MD5sum: a8490fa445f485aa51e5d190a6a6640b Description: transitional compatibility package for scikits.learn -> sklearn migration Provides old namespace (scikits.learn) and could be removed if dependent code migrated to use sklearn for clarity of the namespace. Homepage: http://scikit-learn.sourceforge.net Section: python Priority: optional Filename: pool/main/s/scikit-learn/python-scikits-learn_0.11.0-2+deb7u1_all.deb Package: python-scikits.statsmodels Source: statsmodels Version: 0.4.2-1 Installed-Size: 21 Maintainer: NeuroDebian Team Architecture: all Depends: python-statsmodels, python (>= 2.5), python-support (>= 0.90.0) Size: 10080 SHA256: 4571468ce415cdadc77450ade1ad0ce88be4782dd869f2668488851644313582 SHA1: d339c276d265efc57ce20cfdcd93d7a0b1322327 MD5sum: f5219fa8cce00fe3db4fefb118477b1d Description: transitional compatibility package for statsmodels migration Provides old namespace (scikits.statsmodels) and could be removed if dependent code migrated to use statsmodels for clarity of the namespace. Homepage: http://statsmodels.sourceforge.net/ Section: python Priority: extra Filename: pool/main/s/statsmodels/python-scikits.statsmodels_0.4.2-1_all.deb Package: python-scipy Version: 0.10.1+dfsg2-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 31947 Depends: python-numpy (>= 1:1.6.1), python-numpy-abi9, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libamd2.2.0 (>= 1:3.4.0), libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), liblapack3 | liblapack.so.3 | libatlas3-base, libstdc++6 (>= 4.4.0), libumfpack5.4.0 (>= 1:3.4.0) Recommends: g++ | c++-compiler, python-dev, python-imaging Suggests: python-profiler Provides: python2.6-scipy, python2.7-scipy Homepage: http://www.scipy.org/ Priority: extra Section: python Filename: pool/main/p/python-scipy/python-scipy_0.10.1+dfsg2-1_armhf.deb Size: 10293878 SHA256: 2fc2ade74630169b8b5e6bc602ee65b02a0df95d1341d7366d8c4801637df345 SHA1: 02de2d5f0037c776c13a244f2c7e63e8d0a7fd3c MD5sum: 14d140b574f8409b44e9b1402cb62882 Description: scientific tools for Python SciPy supplements the popular NumPy module (python-numpy package), gathering a variety of high level science and engineering modules together as a single package. . SciPy is a set of Open Source scientific and numeric tools for Python. It currently supports special functions, integration, ordinary differential equation (ODE) solvers, gradient optimization, genetic algorithms, parallel programming tools, an expression-to-C++ compiler for fast execution, and others. Package: python-scipy-dbg Source: python-scipy Version: 0.10.1+dfsg2-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 81543 Depends: python-dbg (>= 2.6), python-numpy-dbg (>= 1:1.5.1), python-scipy (= 0.10.1+dfsg2-1), python-numpy (>= 1:1.6.1), python-numpy-abi9, python2.7-dbg | python2.6-dbg, python-dbg (<< 2.8), libamd2.2.0 (>= 1:3.4.0), libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), liblapack3 | liblapack.so.3 | libatlas3-base, libstdc++6 (>= 4.4.0), libumfpack5.4.0 (>= 1:3.4.0) Homepage: http://www.scipy.org/ Priority: extra Section: debug Filename: pool/main/p/python-scipy/python-scipy-dbg_0.10.1+dfsg2-1_armhf.deb Size: 24536758 SHA256: ca0c27e31599b588ca28ebe939fe2875c089733efa52d4ab69bb079ee9514f34 SHA1: 6c5902d4bcf8b50ccd7934905ec2ac553254612c MD5sum: bbe12173bf71e3d382cc34b7b9bbf4a6 Description: scientific tools for Python - debugging symbols SciPy supplements the popular NumPy module (python-numpy package), gathering a variety of high level science and engineering modules together as a single package. . SciPy is a set of Open Source scientific and numeric tools for Python. It currently supports special functions, integration, ordinary differential equation (ODE) solvers, gradient optimization, genetic algorithms, parallel programming tools, an expression-to-C++ compiler for fast execution, and others. . This package provides debugging symbols for python-scipy. Package: python-sciscipy Source: sciscipy Version: 0.3.0-3 Architecture: armhf Maintainer: Debian Science Team Installed-Size: 83 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28), scilab-full-bin Homepage: http://forge.scilab.org/index.php/p/sciscipy/ Priority: optional Section: python Filename: pool/main/s/sciscipy/python-sciscipy_0.3.0-3_armhf.deb Size: 12634 SHA256: 2b1b626ad45ef3bb348d2895bf14d418948dcaa665ef33db44e6dee3d8681d15 SHA1: a6e9dd60163052f4fc3fe7fa03cf7e5ae5669c39 MD5sum: 847ed2e520f32cb107c6c99a16daf24c Description: Python binding of Scilab Sciscipy provides a Python API to map Scilab features. Three main methods are available: * sciscipy.eval(sci_cmd) * sciscipy.read(sci_var_name) * sciscipy.write(sci_var_name, py_var_name) . Also, to increase the level of enjoyment, a python module called scilab is also provided. This package contains a class 'Scilab' that can be used to issue any valid scilab function. Package: python-scitools Source: scitools Version: 0.9.0-1 Installed-Size: 2266 Maintainer: Debian Python Modules Team Architecture: all Depends: python-numpy, python-gnuplot, python-matplotlib, python-tk, python (>= 2.5), python-support (>= 0.90.0) Recommends: python-scipy, python-scientific, imagemagick, python-vtk, python-pyx, python-pmw, blt Suggests: netpbm, ffmpeg, octave Size: 807572 SHA256: da461f066aad0de9f542b9506ac10c68038d7f0bcadc3fb15390940765aadb59 SHA1: 81ed143eff509ae3fbef6acf616ac0968a76ea2a MD5sum: f4ad3b4ef6fcc8500cfab887940fb4a3 Description: Python library for scientific computing SciTools is a Python package containing lots of useful tools for scientific computing in Python. The package is built on top of other widely used packages such as NumPy, SciPy, ScientificPython, Gnuplot, etc. . SciTools also comes with a plotting interface called Easyviz, which is a unified interface to various packages for scientific visualization and plotting. Both curve plots and more advanced 2D/3D visualization of scalar and vector fields are supported. The Easyviz interface was designed with three ideas in mind: 1) a simple, Matlab-like syntax; 2) a unified interface to lots of visualization engines (backends): Gnuplot, Matplotlib, Grace, Veusz, Pmw.Blt.Graph, PyX, Matlab, VTK, VisIt, OpenDX; and 3) a minimalistic interface which offers only basic control of plots: curves, linestyles, legends, title, axis extent and names. More fine-tuning of plots can be done by adding backend-specific commands. Homepage: http://scitools.googlecode.com Python-Version: 2.6, 2.7 Tag: devel::lang:python, devel::library, field::mathematics, implemented-in::python, role::devel-lib, science::plotting, science::visualisation, use::calculating, use::viewing Section: python Priority: extra Filename: pool/main/s/scitools/python-scitools_0.9.0-1_all.deb Package: python-sclapp Source: sclapp Version: 0.5.3-2 Installed-Size: 154 Maintainer: Debian QA Group Architecture: all Depends: python, python-central (>= 0.6.11) Size: 44582 SHA256: 8e13424416140e39842be43b7aa53dc534f0064990a4942e0b31e11ea6090831 SHA1: 172d6c021ff8530d2c0893e8af5a02d112104679 MD5sum: 07e18d2e6bd489f70dd2ab2d9bb3ccdc Description: framework for Python command-line applications sclapp is a Python module that makes it easy to write well-behaved command-line applications and helps authors deal with the following issues: . * Signal handling * Terminal character encodings * Standard output failures (broken pipes) * Common command-line options (like --help and --version) Homepage: http://www.alittletooquiet.net/software/sclapp/ Python-Version: all Tag: devel::lang:python, devel::library, implemented-in::python, interface::commandline, role::devel-lib, role::plugin, role::program, works-with::text Section: python Priority: optional Filename: pool/main/s/sclapp/python-sclapp_0.5.3-2_all.deb Package: python-scour Source: scour Version: 0.26-3 Installed-Size: 218 Maintainer: Alessio Treglia Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python-rsvg, python-cairo Size: 47272 SHA256: 005e7a26ee6ed1cadbecf1c7be29a72af379bc39efe7b3a006c96a5311e394ae SHA1: c3ae3df982b74e227b683c573293c937ec6d205d MD5sum: 6a786e24b89ac354eda358837dc6eb63 Description: SVG scrubber and optimizer Scour is a Python module that aggressively cleans SVG files, removing a lot of unnecessary information that certain tools or authors embed into their documents. The goal of scour is to provide an identically rendered image (i.e. a scoured document should have no discernable visible differences from the original file) while minimizing the file size. . WARNING: Scour is intended to be run on files that have been edited in Vector Graphics editors such as Inkscape or Adobe Illustrator. Scour attempts to optimize the file, and as result, it will change the file's structure and (possibly) its semantics. If you have hand-edited your SVG files, you will probably not be happy with the output of Scour. . Never use scour to overwrite your original file! . This package also provides a dh_scour debhelper extension which optimizes all shipped SVGs during package build. If python-rsvg and python-cairo are available, it will also do a before/after comparison and discard the optimized image if they differ by more than 0.05%. Homepage: http://codedread.com/scour/ Section: python Priority: optional Filename: pool/main/s/scour/python-scour_0.26-3_all.deb Package: python-scrapy Version: 0.14.4-1 Installed-Size: 1756 Maintainer: Debian Python Modules Team Architecture: all Provides: python2.6-scrapy, python2.7-scrapy Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-twisted-core, python-twisted-web, python-twisted-conch, python-twisted-mail, python-libxml2, python-boto, python-w3lib Recommends: python-lxml, python-guppy, python-django, ipython, python-pygments, python-imaging, python-mysqldb Suggests: python-openssl Size: 313872 SHA256: 54751f0ef522ea4d8a7a53f75a860764404ffdfbf20021f57a1beedb0d43b687 SHA1: 5991853bf62b7df2d24fb413bf755422f7655caa MD5sum: ca51cf5d79a9a41f9d4e0313e262997d Description: Python web scraping and crawling framework Scrapy is a fast high-level screen scraping and web crawling framework, used to crawl websites and extract structured data from their pages. It can be used for a wide range of purposes, from data mining to monitoring and automated testing. . This package provides the python-scrapy script and modules. Homepage: http://scrapy.org/ Section: python Priority: optional Filename: pool/main/p/python-scrapy/python-scrapy_0.14.4-1_all.deb Package: python-scrapy-doc Source: python-scrapy Version: 0.14.4-1 Installed-Size: 1692 Maintainer: Debian Python Modules Team Architecture: all Recommends: libjs-jquery, libjs-underscore Size: 530964 SHA256: 6e6ae66b5b4f57f6355777679b87fbdd01e3de891504614c5f30487d9bd4b1f9 SHA1: 348eda5634d71d4de782b819b570867f21e728cf MD5sum: 879660ca5e79ce2a6b155210f1a76491 Description: Python web scraping and crawling framework documentation Scrapy is a fast high-level screen scraping and web crawling framework, used to crawl websites and extract structured data from their pages. It can be used for a wide range of purposes, from data mining to monitoring and automated testing. . This package provides the python-scrapy documentation in HTML format. Homepage: http://scrapy.org/ Tag: made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/p/python-scrapy/python-scrapy-doc_0.14.4-1_all.deb Package: python-scriptutil Version: 1-1 Installed-Size: 32 Maintainer: Matthew Johnson Architecture: all Depends: python (>= 2.4), python-support (>= 0.7.1) Size: 5152 SHA256: 9eb256b21c755815de8495cc11120b0d42b27e93d9e44c554ce67bc2823c5492 SHA1: c800ae7f328a48380b1eef9766cb310f63aae9c1 MD5sum: 66d0e054d224698fd7398ad0e22a11c7 Description: Python module which provides the functionality of find and grep This package contains a python module which provides a recursive find on the filesystem and searching within those files. Section: python Priority: optional Filename: pool/main/p/python-scriptutil/python-scriptutil_1-1_all.deb Package: python-selinux Source: libselinux Version: 2.1.9-5 Architecture: armhf Maintainer: Debian SELinux maintainers Installed-Size: 1023 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libselinux1 (>= 2.1.9) Provides: python2.6-selinux, python2.7-selinux Priority: optional Section: python Filename: pool/main/libs/libselinux/python-selinux_2.1.9-5_armhf.deb Size: 326348 SHA256: 5663d07f4a198750616b5b982cfd982eb3030177ef2054bf8580d6b9a2872930 SHA1: 264662de5e5f6868f4d25ca50c968370960cc450 MD5sum: e90dfcd01fab7607e3cae86cfb30a0f0 Description: Python bindings to SELinux shared libraries This package provides the Python bindings needed for developing Python SELinux applications. . Security-enhanced Linux is a patch of the Linux kernel and a number of utilities with enhanced security functionality designed to add mandatory access controls to Linux. The Security-enhanced Linux kernel contains new architectural components originally developed to improve the security of the Flask operating system. These architectural components provide general support for the enforcement of many kinds of mandatory access control policies, including those based on the concepts of Type Enforcement, Role-based Access Control, and Multi-level Security. Package: python-semanage Source: libsemanage Version: 2.1.6-6 Architecture: armhf Maintainer: Debian SELinux maintainers Installed-Size: 462 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libsemanage1 (>= 2.1.6) Homepage: http://userspace.selinuxproject.org/ Priority: optional Section: python Filename: pool/main/libs/libsemanage/python-semanage_2.1.6-6_armhf.deb Size: 107610 SHA256: 9be13da819f8c18df4e96041d2ea13bc3f5399a3d72987e35ad268af6f8ce9ea SHA1: 336c2801e536498357f66e5177b04f7bb8e27707 MD5sum: 3b66e63f6ebce8937532d065e15a822f Description: Python bindings for SELinux policy management This package provides Python bindings for the management of SELinux policies. . Security-enhanced Linux is a patch of the Linux kernel and a number of utilities with enhanced security functionality designed to add mandatory access controls to Linux. The Security-enhanced Linux kernel contains new architectural components originally developed to improve the security of the Flask operating system. These architectural components provide general support for the enforcement of many kinds of mandatory access control policies, including those based on the concepts of Type Enforcement, Role-based Access Control, and Multi-level Security. Package: python-sendfile Source: py-sendfile Version: 1.2.4-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 81 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.4) Provides: python2.6-sendfile, python2.7-sendfile Homepage: http://code.sp-its.at/projects/py-sendfile Priority: optional Section: python Filename: pool/main/p/py-sendfile/python-sendfile_1.2.4-1_armhf.deb Size: 8254 SHA256: d654ef350a7992d0f8e7d03406227b7c7760b620e8655a7179efb65812971b77 SHA1: 1d4bdc72159b74e2f427f6d5bdc8166c153724d5 MD5sum: 8776184ac00c20cf563d7d03b448e374 Description: Python interface to sendfile(2) python-sendfile provides an interface to sendfile(2) for Python modules and applications. Python-Version: 2.6, 2.7 Package: python-sendfile-dbg Source: py-sendfile Version: 1.2.4-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 140 Depends: python-sendfile (= 1.2.4-1), python-dbg, libc6 (>= 2.4) Provides: python2.6-sendfile-dbg, python2.7-sendfile-dbg Homepage: http://code.sp-its.at/projects/py-sendfile Priority: extra Section: debug Filename: pool/main/p/py-sendfile/python-sendfile-dbg_1.2.4-1_armhf.deb Size: 21234 SHA256: b6d7c75f7811805ef01a52ab4e6776b201928c7d099a0cd71f3e8b4dfc3d2248 SHA1: 1db1d84d2b23adf1ac136c3b96e7e38067f583a4 MD5sum: 770711dbdd82225702a6d3dfab60f613 Description: Python interface to sendfile(2) (debug) python-sendfile provides an interface to sendfile(2) for Python modules and applications. . This package contains the extension built for the Python debug interpreter. Python-Version: 2.6, 2.7 Package: python-sepolgen Source: sepolgen Version: 1.1.5-3 Installed-Size: 378 Maintainer: Debian SELinux maintainers Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-selinux, python-setools (>= 3.3.7-2) Size: 77044 SHA256: be3c60489eae6243f6231c8658a3d20760fc696dff6b1f8666a7305f8bec93a8 SHA1: 8a45707c72e4045d4a4c08d8c2e9fe0f6bd09ff0 MD5sum: 94ce759e98bd27c430f9c2c4987406c7 Description: Python module used in SELinux policy generation This package contains a Python module that forms the core of the modern audit2allow (which is a part of the package policycoreutils). . The sepolgen library is structured to give flexibility to the application using it. The library contains: Reference Policy Representation, which are Objects for representing policies and the reference policy interfaces. Secondly, it has objects and algorithms for representing access and sets of access in an abstract way and searching that access. It also has a parser for reference policy "headers". It contains infrastructure for parsing SELinux related messages as produced by the audit system. It has facilities for generating policy based on required access. Tag: devel::library, role::devel-lib Section: python Priority: optional Filename: pool/main/s/sepolgen/python-sepolgen_1.1.5-3_all.deb Package: python-seqdiag Source: seqdiag Version: 0.7.3-1 Installed-Size: 160 Maintainer: Kouhei Maeda Architecture: all Provides: python2.7-seqdiag Depends: python2.7, python (>= 2.7), python (<< 2.8), python-pkg-resources, python-blockdiag (>= 1.1.2), python-funcparserlib (>= 0.3.5), python-imaging (>= 1.1.5) Size: 22594 SHA256: 53edd09eaac4c437643257cc60960e4a6ba6735b61e8e41d3edf33ef99d0dd76 SHA1: 1e1befd104dfec4e7698ed7a06399e63181abcf8 MD5sum: 75c7395203053f32ea3bb4e22f59a0b8 Description: seqdiag generate sequence-diagram image file from spec-text file Generate sequence-diagram from dot like text with multilingualization for node-label. But this supports utf-8 only. And get some examples and generated images. Homepage: http://blockdiag.com/ Section: python Priority: optional Filename: pool/main/s/seqdiag/python-seqdiag_0.7.3-1_all.deb Package: python-serial Source: pyserial Version: 2.5-2.1 Installed-Size: 472 Maintainer: Matthias Klose Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8) Suggests: python-wxgtk2.8 | python-wxgtk2.6 | python-wxgtk Size: 79040 SHA256: 6c37df7bb75e438cbc92c4483b50becd426b6b1bb75ea7e5b533b8be0db37e9a SHA1: cf5d4f9f07e5e95a54d4161c83fd72561b4f319f MD5sum: bb71849d8f69de32802af744dc01c1fe Description: pyserial - module encapsulating access for the serial port This module capsulates the access for the serial port. It provides backends for standard Python running on Windows, Linux, BSD (possibly any POSIX compilant system). The module named "serial" automatically selects the appropriate backend. Python-Version: 2.6, 2.7 Tag: devel::lang:python, devel::library, implemented-in::python, role::app-data Section: python Priority: optional Filename: pool/main/p/pyserial/python-serial_2.5-2.1_all.deb Package: python-sesame Source: pysesame Version: 0.24-1 Installed-Size: 76 Maintainer: Nacho Barrientos Arias Architecture: all Depends: python (>= 2.3), python-support (>= 0.2) Size: 9944 SHA256: 338ebb88372505a4052e7fbed4b3efad0e91a5c7d7af9ec4bd8315295f45271d SHA1: 55a2c06a997b9eca15c052540f94d8380539da85 MD5sum: 7e61ca878e4dad1fc77778b4b8e9c2c4 Description: Python wrapper for Sesame's REST HTTP API Sesame is an open source RDF Schema-based storage and querying facility. . Now you can easily do the following from your Python script: . * Log in * Log out * Request a list of available repositories * Evaluate a SeRQL-select, RQL or RDQL query * Evaluate a SeRQL-construct query * Extract RDF from a repository * Uploading data to a repository * Add data from the web to a repository * Clear a repository * Remove statements . Homepage: http://pysesame.projects.semwebcentral.org/ Section: python Priority: optional Filename: pool/main/p/pysesame/python-sesame_0.24-1_all.deb Package: python-setools Source: setools Version: 3.3.7-3 Architecture: armhf Maintainer: Debian SELinux maintainers Installed-Size: 1481 Depends: python2.7, python (>= 2.7), python (<< 2.8), libapol4, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqpol1, libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.3.0), libxml2 (>= 2.7.4) Provides: python2.7-setools Homepage: http://oss.tresys.com/projects/setools Priority: optional Section: python Filename: pool/main/s/setools/python-setools_3.3.7-3_armhf.deb Size: 430402 SHA256: 9176c38f00857ae377c4a91b655591b3241b42c6906f6df700105e37216cb6d0 SHA1: e0d97585e85a283f31624fc21982af4a2ccf2b01 MD5sum: 6625887e266f8f7b407d9764dace747f Description: SETools Python bindings SETools is a collection tools for analysing security policyon SELinux systems. This package includes Python bindings for the following setools libraries: libapol, libpoldiff, libqpol, libseaudit, and libsefs. Package: python-setproctitle Version: 1.0.1-1 Architecture: armhf Maintainer: Örjan Persson Installed-Size: 75 Depends: libc6 (>= 2.4), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Homepage: http://code.google.com/p/py-setproctitle/ Priority: extra Section: python Filename: pool/main/p/python-setproctitle/python-setproctitle_1.0.1-1_armhf.deb Size: 9970 SHA256: 7bee3b7d34e88e3e193ce0d4197275354326134016ce1751863d2bcbf8f2a7e4 SHA1: d4ac30742d3ab93d2e49bae1a748bb39edd97b76 MD5sum: 7085d4f2f98fe69da10bce0591106d9a Description: A setproctitle implementation for Python The library allows a process to change its title (as displayed by system tools such as ps and top). . Changing the title is mostly useful in multi-process systems, for example when a master process is forked: changing the children's title allows to identify the task each process is busy with. The technique is used by PostgreSQL and the OpenSSH Server for example. Package: python-setproctitle-dbg Source: python-setproctitle Version: 1.0.1-1 Architecture: armhf Maintainer: Örjan Persson Installed-Size: 95 Depends: python-setproctitle (= 1.0.1-1) Homepage: http://code.google.com/p/py-setproctitle/ Priority: extra Section: debug Filename: pool/main/p/python-setproctitle/python-setproctitle-dbg_1.0.1-1_armhf.deb Size: 14758 SHA256: 0cec7c763abd23920103139514c95a210b560c99a629f49e7f9abf0e6b101191 SHA1: e1ad7dcb82060174c93621fd0e7958cfb99f5063 MD5sum: e7f6abab1265ec6fe8326b4184f8fe3b Description: A setproctitle implementation for Python The library allows a process to change its title (as displayed by system tools such as ps and top). . This is the debugging symbols for setproctitle. Package: python-setupdocs Version: 1.0.5-3 Installed-Size: 184 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-setuptools, python-sphinx Size: 9514 SHA256: bef9cc3bd7857108ff060fd1ffa9492127281b5caec5a62ae624bde223e04d42 SHA1: 28c76ba55b0d3f7b31fd4ece5db4e1824559e301 MD5sum: 39ad97c2b603362d590b638c9b2ae7a8 Description: setuptools plugin that automates building of docs from reST source python-setupdocs is a python-setuptools plugin that automates building of docs from reStructured Text (reST) source Homepage: http://pypi.python.org/pypi/setupdocs Section: python Priority: optional Filename: pool/main/p/python-setupdocs/python-setupdocs_1.0.5-3_all.deb Package: python-setuptools Source: distribute Version: 0.6.24-1 Installed-Size: 1094 Maintainer: Matthias Klose Architecture: all Replaces: python-distribute (<< 0.6.6), python2.3-setuptools, python2.4-setuptools Provides: python-distribute, python2.6-setuptools, python2.7-setuptools Depends: python (>= 2.6), python (<< 2.8), python-pkg-resources (= 0.6.24-1) Conflicts: python-distribute (<< 0.7), python2.3-setuptools (<< 0.6b2), python2.4-setuptools (<< 0.6b2) Size: 449296 SHA256: d336fd4199b5040f91f360c73d70452cbb2346c78d42b756ba8b0b7756b6ebed SHA1: f34104077e8589cf763aee73d469f26e9d3cd5ef MD5sum: fc4e42f3fc10eedd087d7329415033b4 Description: Python Distutils Enhancements (setuptools compatibility) Extensions to the python-distutils for large or complex distributions. . Package providing compatibility with old setuptools (0.6c9). Homepage: http://packages.python.org/distribute Python-Version: 2.6, 2.7 Tag: devel::lang:python, implemented-in::python, interface::commandline, role::plugin, use::configuring Section: python Priority: optional Filename: pool/main/d/distribute/python-setuptools_0.6.24-1_all.deb Package: python-sfml Version: 1.5-2 Architecture: armhf Maintainer: Debian Games Team Installed-Size: 382 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsfml-audio1.6, libsfml-graphics1.6, libsfml-system1.6, libsfml-window1.6, libstdc++6 (>= 4.3.0), python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0) Provides: python2.6-sfml, python2.7-sfml Homepage: http://www.sfml-dev.org Priority: extra Section: python Filename: pool/main/p/python-sfml/python-sfml_1.5-2_armhf.deb Size: 109410 SHA256: ffd7d8635ec5558aafa2d6d41835f6d5a43fa37a69396fedda1f15813bd06878 SHA1: dfd32fca356df290cbefcbddfa5424f2b4e43202 MD5sum: aa70cabb9cff10a4d94c8a75b05786f9 Description: Simple and Fast multimedia library - Python Bindings SFML is an modern multimedia library offering a wide range of subsystems useful to produce an multimedia application. It offers OpenGL integration for hardware accelerated Graphics, Windowing and input support, audio and network facilities and supports besides GNU/Linux MS Windows and Mac OS X, too . This package contains the Python bindings for SFML Package: python-shapely Version: 1.2.14-1 Architecture: armhf Maintainer: Pietro Battiston Installed-Size: 474 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libgeos-c1 (>= 3.3.3) Suggests: python-numpy, python-matplotlib Enhances: python-numpy Homepage: http://trac.gispython.org/lab/wiki/Shapely Priority: optional Section: python Filename: pool/main/p/python-shapely/python-shapely_1.2.14-1_armhf.deb Size: 118990 SHA256: 5a563dcc17bc04cf2988b978c80b1900ec29f9add8c54382aa1e8d1dc7b421e2 SHA1: 8bb61b69223b3f5273d5b0dc43de06451455d1cf MD5sum: 20b95ff87d2445c03c88a697a6994694 Description: geometric objects, predicates, and operations Shapely is a package for manipulation and analysis of 2D features. Its capabilities include: * calculating the point set unions, intersections, or differences of features * predicate tests on features: intersects, touches, contains, and more. . Supported geometric types are points, line strings, linear rings, polygons, and homogeneous or heterogeneous collections thereof. Package: python-sidl Source: babel Version: 1.4.0.dfsg-8.1 Architecture: armhf Maintainer: "Adam C. Powell, IV" Installed-Size: 9587 Depends: python-numpy (>= 1:1.6.1), python-numpy-abi9, python (<< 2.8), python (>= 2.6), python-central (>= 0.6.11), libc6 (>= 2.13-28), libsidl-1.4.0 Homepage: https://computation.llnl.gov/casc/components/babel.html Priority: extra Section: python Filename: pool/main/b/babel/python-sidl_1.4.0.dfsg-8.1_armhf.deb Size: 2921100 SHA256: 8ce2053861d7864db88adc9347e0a65da50a5f5fe2a7bc1b2356745e04d5599f SHA1: 041592faedcf12fa2f404734e403f8c46ffc8587 MD5sum: faf91b35c04222535bf0ab3d4c975239 Description: Scientific Interface Definition Language (SIDL) Python runtime Babel is a compiler for the Scientific Interface Definition Language (SIDL), currently under development as a support tool for parallel simulation codes. . SIDL is in the same "phylum" as CORBA or COM, but with an eye toward future extension to data redistribution on massively parallel architectures. In addition, because of the large amount of existing and very important scientific code written in FORTRAN, SIDL is designed with support for that language in mind. . Babel is written in Java, and currently has backends for: FORTRAN 77, C, C++, Java and Python. . This package contains the Python runtime module. Python-Version: 2.6, 2.7 Package: python-sigmask Source: qmtest Version: 2.4.1-1 Architecture: armhf Maintainer: Matthias Klose Installed-Size: 68 Depends: python (<< 2.8), python (>= 2.6), libc6 (>= 2.13-28) Provides: python2.6-sigmask, python2.7-sigmask Priority: optional Section: devel Filename: pool/main/q/qmtest/python-sigmask_2.4.1-1_armhf.deb Size: 7932 SHA256: 4db4326178b9429527eac86e94ad10d5032718820d701d1b41ab0c6201c72f6c SHA1: 00766dc9178881fbf55349dba1d32f49e5856b12 MD5sum: 9826c9061d5d256b63fedadd3597a73b Description: module for saving and restoring the signal mask. Simple Python support for saving and restoring the signal mask. Python-Version: 2.6, 2.7 Package: python-silo Source: silo-llnl Version: 4.8-13 Architecture: armhf Maintainer: Alastair McKinstry Installed-Size: 100 Depends: python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsiloh5-0 (= 4.8-13), libstdc++6 (>= 4.4.0) Provides: python2.6-silo, python2.7-silo Homepage: https://wci.llnl.gov/codes/silo Priority: optional Section: python Filename: pool/main/s/silo-llnl/python-silo_4.8-13_armhf.deb Size: 12368 SHA256: c621996d6010726aaae9ff967d8e613dcf86052751c80df15ce5bfa2265e8de4 SHA1: 6422ce53db4bf0b0495c83ef64d8f61a7b214f90 MD5sum: 30afcadfd8a89e737854130c19f53bdf Description: Python interface to the SILO Scientific I/O library This is a python interface to SILO, a scientific I/O library. Package: python-simplegeneric Source: simplegeneric Version: 0.8.1-1 Installed-Size: 92 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 11900 SHA256: 10a8846689633c47a8d66bbdc28b35cc4e7a43be89f6f89cb4328ece272f341e SHA1: faff9807b4afa54493006a43e3e6693c92bbbfa6 MD5sum: 2b62882897fd0ccbccf0aab18b9e27ab Description: simple generic functions for Python The simplegeneric module lets you define simple single-dispatch generic functions, akin to Python's built-in generic functions like len(), iter() and so on. However, instead of using specially-named methods, these generic functions use simple lookup tables, akin to those used by e.g. pickle.dump() and other generic functions found in the Python standard library. Homepage: http://pypi.python.org/pypi/simplegeneric Section: python Priority: extra Filename: pool/main/s/simplegeneric/python-simplegeneric_0.8.1-1_all.deb Package: python-simplejson Source: simplejson Version: 2.5.2-1 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 439 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28) Recommends: libjs-jquery Provides: python2.6-simplejson, python2.7-simplejson Homepage: http://undefined.org/python/#simplejson Priority: optional Section: python Filename: pool/main/s/simplejson/python-simplejson_2.5.2-1_armhf.deb Size: 94426 SHA256: 81d400f8bc54d9cada42b60330562b9ff0d37afd408b1fa8f98835923917b3fe SHA1: 693fb6b1f2365139b282499523d9c85d549e4339 MD5sum: bc35af12265ade8827ec2a6a04a74711 Description: simple, fast, extensible JSON encoder/decoder for Python simplejson is a simple, fast, complete, correct and extensible JSON encoder and decoder. . The encoder may be subclassed to provide serialization in any kind of situation, without any special support by the objects to be serialized (somewhat like pickle). . The decoder can handle incoming JSON strings of any specified encoding (UTF-8 by default). . simplejson is the externally maintained development version of the json library included with Python 2.6 and Python 3.0, but maintains backwards compatibility with Python 2.5. Package: python-simplemediawiki Version: 1.0.2-2 Installed-Size: 58 Maintainer: Benjamin Mako Hill Architecture: all Provides: python2.6-simplemediawiki, python2.7-simplemediawiki Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 5324 SHA256: 4cf888582012a64afffa853a2ca385ee5eae8eaf1c32709a30b3a69ecb28a9a8 SHA1: 4c33803e760cff079bb068388549baa35872e671 MD5sum: 555ad940a07379fa1e20292f753a291e Description: extremely low-level Python wrapper to the MediaWiki API SimpleMediawiki is an extremely low-level wrapper to the MediaWiki API. It automatically handles cookies and gzip compression so that you can make basic calls to the API in the easiest way possible. It also provides a few functions to make day-to-day API access easier. . To use this module, instantiate a MediaWiki object, passing it the URL of api.php for the wiki you want to work with. Calls go through MediaWiki.call(). A generic login wrapper as well as functions to determine limits and get a list of namespaces are provided for your convenience. Homepage: https://github.com/ianweller/python-simplemediawiki Python-Version: 2.6, 2.7 Tag: devel::lang:python, implemented-in::python Section: python Priority: extra Filename: pool/main/p/python-simplemediawiki/python-simplemediawiki_1.0.2-2_all.deb Package: python-simpleparse Source: simpleparse Version: 2.1.0a1-6 Installed-Size: 432 Maintainer: Debian Python Modules Team Architecture: all Depends: python (>= 2.4), python-simpleparse-mxtexttools (>= 2.1.0a1-6), python-support (>= 0.90.0), python2.6-simpleparse-mxtexttools, python2.7-simpleparse-mxtexttools Suggests: python-simpleparse-doc Size: 66136 SHA256: 298c2416e928f6a8e30b748b99f2afff527529ff284a70897a2bab292b0dadc1 SHA1: 5997c49cad9a32f46feaf7eaf0f4acb15106f76f MD5sum: 1026ab0e5c0cd19baf30cfadf527bad2 Description: A simple parser generator for Python SimpleParse is a BSD-licensed Python package providing a simple parser generator for use with the mxTextTools text-tagging engine. SimpleParse allows you to generate tagging tables for use with the text-tagging engine directly from your EBNF grammar. Homepage: http://simpleparse.sourceforge.net/ Python-Version: 2.6, 2.7 Tag: devel::code-generator, devel::lang:python, devel::library, implemented-in::python, role::app-data, role::devel-lib Section: python Priority: optional Filename: pool/main/s/simpleparse/python-simpleparse_2.1.0a1-6_all.deb Package: python-simpleparse-doc Source: simpleparse Version: 2.1.0a1-6 Installed-Size: 1840 Maintainer: Debian Python Modules Team Architecture: all Size: 227562 SHA256: 9ab2cc9961642e876c5b5779969e149ead83836056d9d4cf472c9f2c337359f2 SHA1: 637f7427518f827e1de2cfaee1cfa02d4ffc0783 MD5sum: 7df06ad8b46273bb6330dc42eeff2c7d Description: Documentation for simpleparse, a simple parser generator for Python SimpleParse is a BSD-licensed Python package providing a simple parser generator for use with the mxTextTools text-tagging engine. SimpleParse allows you to generate tagging tables for use with the text-tagging engine directly from your EBNF grammar. . This package contains documentation and examples. Homepage: http://simpleparse.sourceforge.net/ Tag: devel::doc, devel::examples, devel::lang:python, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/s/simpleparse/python-simpleparse-doc_2.1.0a1-6_all.deb Package: python-simpleparse-mxtexttools Source: simpleparse Version: 2.1.0a1-6 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 252 Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.4) Provides: python2.6-simpleparse-mxtexttools, python2.7-simpleparse-mxtexttools Homepage: http://simpleparse.sourceforge.net/ Priority: optional Section: python Filename: pool/main/s/simpleparse/python-simpleparse-mxtexttools_2.1.0a1-6_armhf.deb Size: 73620 SHA256: 61b7bc761e7d10b09d29a600523c322bcedb69630514995e5ea78f7133a159a8 SHA1: dfe88db8d252cac4a4e4578bf42413bda26dd386 MD5sum: 1c1818c574677f9ca85f3396c65dac14 Description: A simple parser generator for Python - architecture dependent files SimpleParse is a BSD-licensed Python package providing a simple parser generator for use with the mxTextTools text-tagging engine. SimpleParse allows you to generate tagging tables for use with the text-tagging engine directly from your EBNF grammar. . This package contains a version of mxTextTools modified for SimpleParse. Python-Version: 2.6, 2.7 Package: python-simpletal Source: simpletal Version: 4.1-7 Installed-Size: 328 Maintainer: Igor Stroh Architecture: all Depends: python (>= 2.2), python-support (>= 0.90.0) Size: 48926 SHA256: 5beac5942e7edd18fd70e5ce59b9c1fad46afb260e41589dd85c44a3756f0006 SHA1: d90bba5bfc6714a927a230a83aa902e3d87c3c5c MD5sum: 03b0d5c355707d2116a0f4bbb1adfc3b Description: Simple TAL, TALES and METAL implementation SimpleTAL is a reimplementation of the ZOPE TAL (Template Attribute Language), TALES (TAL Expression Syntax) and METAL (Macro Expansion for TAL) languages. More information and specifications of these languages is available at http://www.zope.org/Wikis/DevSite/Projects/ZPT/FrontPage . Homepage: http://www.owlfish.com/software/simpleTAL/ Tag: devel::lang:python, devel::library, implemented-in::python, web::scripting Section: python Priority: optional Filename: pool/main/s/simpletal/python-simpletal_4.1-7_all.deb Package: python-simpy Version: 2.3.1-1 Installed-Size: 270 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: python-simpy-doc, python-simpy-gui Size: 42092 SHA256: 8f2136ee125aee7de667b34cab2397104cd591908cfc971cbfaeaddbfa8c72db SHA1: d06ab6055e0b75acdb645d4be4785a06a65da616 MD5sum: 15b776092828640e64cba36949099682 Description: python-based simulation package SimPy is a process-based discrete-event simulation language based on standard Python. . It provides the modeller with components of a simulation model. These include processes, for active components like customers, messages, and vehicles, and resources, for passive components that form limited capacity congestion points like servers, checkout counters, and tunnels. It also provides monitor variables to aid in gathering statistics. SimPy comes with extensive plotting capabilities. Homepage: http://simpy.sourceforge.net/ Tag: devel::lang:python, devel::library, implemented-in::python, role::devel-lib, science::modelling, use::simulating Section: python Priority: optional Filename: pool/main/p/python-simpy/python-simpy_2.3.1-1_all.deb Package: python-simpy-doc Source: python-simpy Version: 2.3.1-1 Installed-Size: 3721 Maintainer: Debian Python Modules Team Architecture: all Depends: libjs-sphinxdoc (>= 1.0) Size: 2036620 SHA256: 510b4bcdc1a6184993af478637cdc6a664c9257ca84e83e2d17b1e8fad4e9042 SHA1: a1785ac1b379c3dffa93da8b57a1ea8d0d0f9060 MD5sum: e41c8f8e4d1b7ae735e3d5e3aac09bec Description: python-based simulation package, Documentation and examples SimPy is a process-based discrete-event simulation language based on standard Python. . It provides the modeller with components of a simulation model. These include processes, for active components like customers, messages, and vehicles, and resources, for passive components that form limited capacity congestion points like servers, checkout counters, and tunnels. It also provides monitor variables to aid in gathering statistics. SimPy comes with extensive plotting capabilities. . This package contains the HTML docs and examples of SimPy. Homepage: http://simpy.sourceforge.net/ Tag: devel::doc, field::physics, made-of::html, role::documentation Section: doc Priority: optional Filename: pool/main/p/python-simpy/python-simpy-doc_2.3.1-1_all.deb Package: python-simpy-gui Source: python-simpy Version: 2.3.1-1 Installed-Size: 165 Maintainer: Debian Python Modules Team Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-simpy (= 2.3.1-1), python-tk Size: 29736 SHA256: 932f02dcd8bf4b0b8c8273d07ba4a141e154dcece620fa4cf3a7da0319eef767 SHA1: adda73d27d38efce07951ab785e75376f9befa77 MD5sum: d7a4d2d83627293a767fbb90dedba774 Description: python-based simulation package, GUI SimPy is a process-based discrete-event simulation language based on standard Python. . It provides the modeller with components of a simulation model. These include processes, for active components like customers, messages, and vehicles, and resources, for passive components that form limited capacity congestion points like servers, checkout counters, and tunnels. It also provides monitor variables to aid in gathering statistics. SimPy comes with extensive plotting capabilities. . This package contains the GUI parts of SimPy. Homepage: http://simpy.sourceforge.net/ Section: python Priority: optional Filename: pool/main/p/python-simpy/python-simpy-gui_2.3.1-1_all.deb Package: python-sip Source: sip4 Version: 4.13.3-2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 430 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Breaks: python-qscintilla (<< 2.4.3-3~), python-qt4 (<< 4.9~), python-qwt3d-qt4 (<< 0.1.7~cvs20090625-7~), python-qwt5-qt4 (<< 5.2.1~cvs20091107+dfsg-6~) Provides: python2.6-sip, python2.7-sip, sip-api-8.0, sip-api-8.1 Homepage: http://www.riverbankcomputing.co.uk/software/sip/ Priority: optional Section: python Filename: pool/main/s/sip4/python-sip_4.13.3-2_armhf.deb Size: 136122 SHA256: eb37ae85c21237fac6672f0b1c7a53577122f2f6fe9bed57bad7a432aeef3bbf SHA1: 66f261d871e92b428265e625bd530feb3733ad52 MD5sum: e5265e219a0ee8300ff3971cbfae7692 Description: Python/C++ bindings generator runtime library SIP is a tool for generating bindings for C++ classes with some ideas borrowed from SWIG, but capable of tighter bindings because of its specificity towards C++ and Python. Package: python-sip-dbg Source: sip4 Version: 4.13.3-2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 1444 Depends: python-sip (= 4.13.3-2), python-dbg, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Provides: python2.6-sip-dbg, python2.7-sip-dbg Homepage: http://www.riverbankcomputing.co.uk/software/sip/ Priority: extra Section: debug Filename: pool/main/s/sip4/python-sip-dbg_4.13.3-2_armhf.deb Size: 549754 SHA256: bc2f014a223ffc7239834fe093e33ab9468f1d01ec83ec3aa4974de88dcfff50 SHA1: faa0ddde19de92661d73b9cd4e7888573687c342 MD5sum: d8c9be6ed45fecf11be2e414271173fd Description: Python/C++ bindings generator runtime library (debug extension) SIP is a tool for generating bindings for C++ classes with some ideas borrowed from SWIG, but capable of tighter bindings because of its specificity towards C++ and Python. . This package contains the extension built for the Python debug interpreter. Package: python-sip-dev Source: sip4 Version: 4.13.3-2 Architecture: armhf Maintainer: Debian Python Modules Team Installed-Size: 493 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-sip (= 4.13.3-2), python-dev, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1) Suggests: python-sip-doc Homepage: http://www.riverbankcomputing.co.uk/software/sip/ Priority: optional Section: python Filename: pool/main/s/sip4/python-sip-dev_4.13.3-2_armhf.deb Size: 178056 SHA256: 54fff3c6a0f790f87933cd8e1d8ce1d445fe6f53931d3f150275d8424230e1bb SHA1: 6b99936f2e213d6ccc4cedc17174b7515a55f1bd MD5sum: fb8064ba136602639bb64ee9e876daf0 Description: Python/C++ bindings generator development files SIP is a tool for generating bindings for C++ classes with some ideas borrowed from SWIG, but capable of tighter bindings because of its specificity towards C++ and Python. . SIP was originally designed to generate Python bindings for KDE and so has explicit support for the signal slot mechanism used by the Qt/KDE class libraries. . Features: - connecting Qt signals to Python functions and class methods - connecting Python signals to Qt slots - overloading virtual member functions with Python class methods - protected member functions - abstract classes - enumerated types - global class instances - static member functions. . This package contains the code generator tool and the development headers needed to develop Python bindings with sip. Package: python-sip-doc Source: sip4 Version: 4.13.3-2 Installed-Size: 1218 Maintainer: Debian Python Modules Team Architecture: all Depends: libjs-jquery, libjs-underscore Size: 248556 SHA256: ab283b8476e946f6e01c2376490d26d5b3d75969798ce1543d8e591e4f5db8ca SHA1: b55710772c888b3ff325eed334627d96b36dc9f2 MD5sum: 63092e4f9368497f0c2dcd5205cbf9d3 Description: Python/C++ bindings generator documentation SIP is a tool for generating bindings for C++ classes with some ideas borrowed from SWIG, but capable of tighter bindings because of its specificity towards C++ and Python. . SIP was originally designed to generate Python bindings for KDE and so has explicit support for the signal slot mechanism used by the Qt/KDE class libraries. . Features: - connecting Qt signals to Python functions and class methods - connecting Python signals to Qt slots - overloading virtual member functions with Python class methods - protected member functions - abstract classes - enumerated types - global class instances - static member functions. . This package contains the html documentation. Homepage: http://www.riverbankcomputing.co.uk/software/sip/ Section: doc Priority: optional Filename: pool/main/s/sip4/python-sip-doc_4.13.3-2_all.deb Package: python-six Source: six Version: 1.1.0-2 Installed-Size: 62 Maintainer: Colin Watson Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 6122 SHA256: 90da6665b0c2a08f671b04e0cd38bac721548829d99d5c534c4d7fd69566914d SHA1: df377becbe8869aad9410044d14fa25ad0ce3151 MD5sum: 1cdd9ba4b56b418fd9ded01b956f427d Description: Python 2 and 3 compatibility library (Python 2 interface) Six is a Python 2 and 3 compatibility library. It provides utility functions for smoothing over the differences between the Python versions with the goal of writing Python code that is compatible on both Python versions. . This package provides Six on the Python 2 module path. It is complemented by python3-six. Homepage: http://packages.python.org/six/ Section: python Priority: optional Filename: pool/main/s/six/python-six_1.1.0-2_all.deb Package: python-skimage Source: skimage Version: 0.6.1-1 Installed-Size: 3642 Maintainer: Stefan van der Walt Architecture: all Provides: python2.6-skimage, python2.7-skimage Depends: python (<< 2.8), python (>= 2.6), python-numpy, python-support (>= 0.90.0), python2.6, python-scipy (>= 0.9), python-skimage-lib (>= 0.6.1-1), libfreeimage3 Recommends: python-nose, python-matplotlib (>= 1.0), python-imaging Suggests: python-skimage-doc, python-opencv Size: 2539004 SHA256: 04d34e0bcfc52ad026dad600a30d1c22edd92f4833267c8ffde2a40056880301 SHA1: ecfb9c3956dc1dd4c2e25386365c01b5f7e1634d MD5sum: 8b9f8fa9c8961cc96d20f24ab04aaef2 Description: Python modules for image processing scikits-image is a collection of image processing algorithms for Python. It performs tasks such as image loading, filtering, morphology, segmentation, color conversions, and transformations. Homepage: http://scikits-image.org Section: python Priority: optional Filename: pool/main/s/skimage/python-skimage_0.6.1-1_all.deb Package: python-skimage-doc Source: skimage Version: 0.6.1-1 Installed-Size: 4893 Maintainer: Stefan van der Walt Architecture: all Depends: libjs-jquery Suggests: python-skimage Size: 3608356 SHA256: d2c7584bb22f1795ebc461a16ca750243357d2b3b22729a6e6db81644d6c719c SHA1: 76657a4480130fd24009ad7a57ccb83a993f0cf7 MD5sum: 035ca81f51f0c8fb39f58a2589bc4dd2 Description: Documentation and examples for scikits-image This package contains documentation and example scripts for python-skimage. Homepage: http://scikits-image.org Section: doc Priority: optional Filename: pool/main/s/skimage/python-skimage-doc_0.6.1-1_all.deb Package: python-skimage-lib Source: skimage Version: 0.6.1-1 Architecture: armhf Maintainer: Stefan van der Walt Installed-Size: 2169 Depends: libc6 (>= 2.13-28), python (<< 2.8), python (>= 2.6), python-numpy (>= 1:1.6.1), python-numpy-abi9, python-support (>= 0.90.0) Recommends: python-skimage Provides: python2.6-skimage-lib, python2.7-skimage-lib Homepage: http://scikits-image.org Priority: optional Section: python Filename: pool/main/s/skimage/python-skimage-lib_0.6.1-1_armhf.deb Size: 883318 SHA256: 8fd1ed68a06bdefef63308d51efefe0d3444eb86f69263c3a281ba332c45c08f SHA1: e3ef1906b68ed1e3fadac763dddefb0ccb882efa MD5sum: a765bfe27e35c409b3df380b58d07b17 Description: Optimized low-level algorithms for scikits-image This is an add-on package for python-skimage. It provides optimized, low-level implementations of algorithms. Python-Version: 2.6, 2.7 Package: python-sklearn Source: scikit-learn Version: 0.11.0-2+deb7u1 Installed-Size: 2450 Maintainer: NeuroDebian Team Architecture: all Replaces: python-scikits-learn (<< 0.9~) Provides: python2.6-sklearn, python2.7-sklearn Depends: python (>= 2.6), python-support (>= 0.90.0), python-numpy, python-scipy, python-sklearn-lib (>= 0.11.0-2+deb7u1), python-joblib (>= 0.4.5) Recommends: python-nose, python-psyco, python-matplotlib Suggests: python-dap, python-scikits-optimization, python-sklearn-doc, ipython Enhances: python-mdp, python-mvpa2 Breaks: python-scikits-learn (<< 0.9~) Size: 894642 SHA256: d30a94ae764acab784316dd721512020f1bb174953d02b18677695e544fd57e7 SHA1: ec8aa1913d364b6ef4d433059a1bf9318010c4f3 MD5sum: a5687324b5dd0897419b8ab2b3b5e76e Description: Python modules for machine learning and data mining scikit-learn is a collection of Python modules relevant to machine/statistical learning and data mining. Non-exhaustive list of included functionality: - Gaussian Mixture Models - Manifold learning - kNN - SVM (via LIBSVM) Homepage: http://scikit-learn.sourceforge.net Python-Version: 2.6, 2.7 Section: python Priority: optional Filename: pool/main/s/scikit-learn/python-sklearn_0.11.0-2+deb7u1_all.deb Package: python-sklearn-doc Source: scikit-learn Version: 0.11.0-2+deb7u1 Installed-Size: 34447 Maintainer: NeuroDebian Team Architecture: all Replaces: python-scikits-learn-doc Depends: libjs-jquery Suggests: python-sklearn Conflicts: python-scikits-learn-doc Size: 25109562 SHA256: fff813ea59d4b9e25ab841db494af00d76b775be69957dff1cff1aee09ba3029 SHA1: 0ced719a4d3d79f3ba19558e7c7f5985e1bae5b7 MD5sum: 618f168f4b2698626a178b5df53de3a9 Description: documentation and examples for scikit-learn This package contains documentation and example scripts for python-sklearn. Homepage: http://scikit-learn.sourceforge.net Tag: devel::doc, devel::lang:python, role::documentation Section: doc Priority: optional Filename: pool/main/s/scikit-learn/python-sklearn-doc_0.11.0-2+deb7u1_all.deb Package: python-sklearn-lib Source: scikit-learn Version: 0.11.0-2+deb7u1 Architecture: armhf Maintainer: NeuroDebian Team Installed-Size: 3394 Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), python (<< 2.8), python (>= 2.6), python-numpy (>= 1:1.6.1), python-numpy-abi9, python-support (>= 0.90.0) Conflicts: python-scikits-learn-lib Replaces: python-scikits-learn-lib Provides: python2.6-sklearn-lib, python2.7-sklearn-lib Homepage: http://scikit-learn.sourceforge.net Priority: optional Section: python Filename: pool/main/s/scikit-learn/python-sklearn-lib_0.11.0-2+deb7u1_armhf.deb Size: 1369590 SHA256: b0cea1928fd5a6b26b0350f7008dcf9ee5797c21a9a361402d8fb3cdbdda3855 SHA1: db3135161294d89b5df7db926e18ad8141d4ae4f MD5sum: e2b828735eceefe2e3fa177a57288e85 Description: low-level implementations and bindings for scikit-learn This is an add-on package for python-sklearn. It provides low-level implementations and custom Python bindings for the LIBSVM library. Python-Version: 2.6, 2.7 Package: python-sleekxmpp Source: sleekxmpp Version: 1.0~beta5-2 Installed-Size: 848 Maintainer: Jonas Smedegaard Architecture: all Provides: python2.6-sleekxmpp, python2.7-sleekxmpp Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Recommends: python-dnspython Size: 144278 SHA256: 3ade2b8c77d13a43b90e81ddf1ffbfed3073c4a93ed62eec7f5390d83c017a08 SHA1: d1a2c2822c3babd5e45f384a215f2546aacd976a MD5sum: 41974846ee02f4ec19757c9e6c11f01f Description: Python XMPP (Jabber) Library Implementing Everything as a Plugin SleekXMPP is a pet project of an XMPP enthusiast. The goals of the project are ease of implementation, and complete draft XEP (XMPP Extended Protocol) coverage. Ideally the community will be able to use this for bots, easy XEP protocoling, etc. Homepage: http://wiki.github.com/fritzy/SleekXMPP Python-Version: 2.6, 2.7, 2.6, 2.7 Section: python Priority: optional Filename: pool/main/s/sleekxmpp/python-sleekxmpp_1.0~beta5-2_all.deb Package: python-slides Source: slides Version: 1.0.1-13 Installed-Size: 80 Maintainer: Debian QA Group Architecture: all Replaces: python2.3-slides, python2.4-slides Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8) Conflicts: python2.3-slides, python2.4-slides Size: 5932 SHA256: b41bdf62fa52e49f30f53a495117420d51e02bbdbf43fc6fd483386f4b84c116 SHA1: efa5529f00662e26dd6d0609e1603e08de5bfe32 MD5sum: c59ea0897ea8e6fbc964d68b8d11b7a9 Description: Python-based Slide Maker Slides is an LGPL slides generator, unique in that you write a Python program in order to create your presentation. That is, you write a program that when run generates the slides for your presentation, currently in HTML. Python-Version: 2.6, 2.7 Tag: devel::lang:python, devel::library, implemented-in::python, use::viewing Section: python Priority: extra Filename: pool/main/s/slides/python-slides_1.0.1-13_all.deb Package: python-slimmer Version: 0.1.30-6 Installed-Size: 159 Maintainer: Janos Guljas Architecture: all Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Size: 23116 SHA256: 16e0bff1f7dfc040627145d8efb0a6ebe71eec2aa76f207752cfe9463e9ca63c SHA1: 4f1ab1facad2c60ed230587f1b74a2913ab9c728 MD5sum: 2b7eb4baa0082004de0cac5f3755a806 Description: HTML, XHTML, CSS, JavaScript optimizer Slimmer is a simple set of functions for compressing/optimizing HTML, XHTML and CSS documents as strings. Homepage: http://pypi.python.org/pypi/slimmer/ Section: python Priority: optional Filename: pool/main/p/python-slimmer/python-slimmer_0.1.30-6_all.deb Package: python-smartpm Source: smart Version: 1.4-2 Architecture: armhf Maintainer: Michael Vogt Installed-Size: 1825 Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), python-pycurl, python-pexpect Replaces: smartpm-core (<= 1.1.1) Provides: python2.6-smartpm, python2.7-smartpm Priority: optional Section: admin Filename: pool/main/s/smart/python-smartpm_1.4-2_armhf.deb Size: 326534 SHA256: 861398cc108e38dbf8f8804523401170353a20ee14eb85d80c8d28e8b4b5181e SHA1: d91e507f7ddbd38f3c0ea7c29a55eb90a26e3ecf MD5sum: baea0e03c8d52367458fbe54b9b34ac3 Description: Python library of the Smart Package Manager An alternative package manager that works with dpkg/rpm The Smart Package Manager project has the ambitious objective of creating smart and portable algorithms for solving adequately the problem of managing software upgrading and installation. This tool works in all major distributions (APT, APT-RPM, YUM, URPMI, etc). . This package contains a Python library for Smart. Package: python-smartypants Source: smartypants Version: 1.6.0.3-2 Installed-Size: 81 Maintainer: Julien Danjou Architecture: all Replaces: smartypants Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8) Conflicts: smartypants Size: 13018 SHA256: 56f07b53975e394d24da9d4a6fad52eba4d85123789c3d0b69e4ffb76873f7bc SHA1: ae4f6f1fa6707667298c6b2addd8e0a1bcadcbc8 MD5sum: 04d92e311bb9cdc2df6b32f53c610424 Description: smart-quotes plugin for pyblosxom It can perform the following transformations: . * Straight quotes ( " and ' ) into "curly" quote HTML entities * Backticks-style quotes (``like this'') into "curly" quote HTML entities * Dashes (-- and ---) into en- and em-dash entities * Three consecutive dots (... or . . .) into an ellipsis entity . This means you can write, edit, and save your posts using plain old ASCII straight quotes, plain dashes, and plain dots, but your published posts (and final HTML output) will appear with smart quotes, em-dashes, and proper ellipses. . SmartyPants does not modify characters within
, , , 
 or %s")
  >>> tmpl % "Peter > Lustig"
  Markup('Peter > Lustig')
 .
 If you want to make an object unicode that is not yet unicode
 but don't want to lose the taint information, you can use the
 `soft_unicode` function:
 .
  >>> from markupsafe import soft_unicode
  >>> soft_unicode(42)
  '42'
  >>> soft_unicode(Markup('foo'))
  Markup('foo')

Package: python3-markupsafe-dbg
Source: markupsafe
Version: 0.15-1
Architecture: armhf
Maintainer: Piotr Ożarowski 
Installed-Size: 98
Depends: python3-markupsafe (= 0.15-1), libc6 (>= 2.13-28), python3-dbg (>= 3.2), python3-dbg (<< 3.3)
Homepage: http://pypi.python.org/pypi/MarkupSafe
Priority: extra
Section: debug
Filename: pool/main/m/markupsafe/python3-markupsafe-dbg_0.15-1_armhf.deb
Size: 16060
SHA256: 5227f2857c817fb820c05b4c815d55d6c3561f89af39134b0e8a88d586cdd3e2
SHA1: 63cba22010c93095b55a54d884df2e2559248789
MD5sum: 171a0dc2454ab47d87399edcebb2c401
Description: XML/HTML/XHTML Markup safe string for Python3
 This package contains the extension built for the Python3 debug interpreter.

Package: python3-mdp
Source: mdp
Version: 3.3-1
Installed-Size: 1455
Maintainer: Tiziano Zito 
Architecture: all
Depends: python3 (>= 3.2.3-3~), python3-numpy
Size: 471826
SHA256: 39b57b1f844c7cf100320358b1cb82f86cc1894e77f9829543f2e8825f23da7c
SHA1: accdd2b28a992ff3aefd4642d8243d0999993c88
MD5sum: 5f6fc6c918519fbcd8d1deffcb8abc4d
Description: Modular toolkit for Data Processing
 Python data processing framework for building complex data processing software
 by combining widely used machine learning algorithms into pipelines and
 networks. Implemented algorithms include: Principal Component Analysis (PCA),
 Independent Component Analysis (ICA), Slow Feature Analysis (SFA), Independent
 Slow Feature Analysis (ISFA), Growing Neural Gas (GNG), Factor Analysis,
 Fisher Discriminant Analysis (FDA), and Gaussian Classifiers.
 .
 This package contains MDP for Python 3.
Homepage: http://mdp-toolkit.sourceforge.net/
Section: python
Priority: optional
Filename: pool/main/m/mdp/python3-mdp_3.3-1_all.deb

Package: python3-minimal
Source: python3-defaults
Version: 3.2.3-6
Installed-Size: 78
Maintainer: Matthias Klose 
Architecture: all
Replaces: python3 (<< 3.2.3-1~), python3.1 (<< 3.1-2)
Depends: python3.2-minimal (>= 3.2.3), dpkg (>= 1.13.20)
Breaks: idle3 (<< 3.1), python3 (<< 3.2.3-1~), python3-all (<< 3.1), python3-all-dbg (<< 3.1), python3-all-dev (<< 3.1), python3-dbg (<< 3.1), python3-dev (<< 3.1), python3-examples (<< 3.1)
Size: 20948
SHA256: 56acc5d4a86138ecac049c8edac41ab37e2f2ba18a6dc4f6b29be395e7b9e581
SHA1: 1962245c42a83a6ae9239bfbf038aab321cdc6bd
MD5sum: 5149d291609b63e114250a13737ad2f1
Description: minimal subset of the Python language (default python3 version)
 This package contains the interpreter and some essential modules.  It's used
 in the boot process for some basic tasks.
 See /usr/share/doc/python3.2-minimal/README.Debian for a list of the modules
 contained in this package.
Homepage: http://www.python.org/
Tag: devel::interpreter, devel::lang:python, devel::library,
 implemented-in::c, implemented-in::python, role::devel-lib,
 role::program, role::shared-lib
Section: python
Priority: optional
Filename: pool/main/p/python3-defaults/python3-minimal_3.2.3-6_all.deb

Package: python3-mock
Source: python-mock
Version: 0.8.0-3
Installed-Size: 133
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.2.3-3~)
Suggests: python-mock-doc
Size: 34404
SHA256: c8d6361b26dbade49858b1eadc3797be0baa45e5b85a5666b47f32d09fe2f037
SHA1: 2d65c8d8a888dc748eca8c6b7e0c24d03a63e9d8
MD5sum: c4dfc968d31e1041b8b802279c7a811a
Description: Mocking and Testing Library (Python3 version)
 mock provides a core mock.Mock class that is intended to reduce the
 need to create a host of trivial stubs throughout your test suite.
 After performing an action, you can make assertions about which methods
 / attributes were used and arguments they were called with. You can
 also specify return values and set specific attributes in the normal
 way.
 .
 This package contains the Python 3 version of the library.
Homepage: http://www.voidspace.org.uk/python/mock/
Section: python
Priority: extra
Filename: pool/main/p/python-mock/python3-mock_0.8.0-3_all.deb

Package: python3-mpi4py
Source: mpi4py
Version: 1.3+hg20120611-3
Architecture: armhf
Maintainer: NeuroDebian Team 
Installed-Size: 1344
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenmpi1.3, zlib1g (>= 1:1.1.4), python3 (>= 3.2.3-3~), python3 (<< 3.3)
Recommends: mpi-default-bin
Suggests: python3-numpy
Homepage: http://code.google.com/p/mpi4py/
Priority: extra
Section: python
Filename: pool/main/m/mpi4py/python3-mpi4py_1.3+hg20120611-3_armhf.deb
Size: 435046
SHA256: 7f38cb6a00b945c6811bbb35b9cc6751c302c94e23110673f1f794a230ae293e
SHA1: 133d00b7312783ba3106509ecdaed6a01ccf0ade
MD5sum: 3d432a0258f0f8296362d5b107c8645d
Description: bindings of the Message Passing Interface (MPI) standard
 MPI for Python (mpi4py) provides bindings of the Message Passing
 Interface (MPI) standard for the Python programming language,
 allowing any Python program to exploit multiple processors.
 .
 mpi4py is constructed on top of the MPI-1/MPI-2 specification
 and provides an object oriented interface which closely follows MPI-2
 C++ bindings.  It supports point-to-point (sends, receives) and
 collective (broadcasts, scatters, gathers) communications of any
 picklable Python object as well as optimized communications of Python
 object exposing the single-segment buffer interface (NumPy arrays,
 builtin bytes/string/array objects).

Package: python3-mpi4py-dbg
Source: mpi4py
Version: 1.3+hg20120611-3
Architecture: armhf
Maintainer: NeuroDebian Team 
Installed-Size: 2597
Depends: python3-mpi4py (= 1.3+hg20120611-3)
Homepage: http://code.google.com/p/mpi4py/
Priority: extra
Section: debug
Filename: pool/main/m/mpi4py/python3-mpi4py-dbg_1.3+hg20120611-3_armhf.deb
Size: 831352
SHA256: 5797fa0abb9a67992d263b76bd8f1ef821d5632eb4d21033dccd6048c3b48b1a
SHA1: f4f778015437de2de7410c393bca97e338c0654e
MD5sum: f8abffaab82490d738bf1eaba5761cbd
Description: bindings of the MPI standard -- debug symbols
 MPI for Python (mpi4py) provides bindings of the Message Passing
 Interface (MPI) standard for the Python programming language,
 allowing any Python program to exploit multiple processors.
 .
 mpi4py is constructed on top of the MPI-1/MPI-2 specification
 and provides an object oriented interface which closely follows MPI-2
 C++ bindings.  It supports point-to-point (sends, receives) and
 collective (broadcasts, scatters, gathers) communications of any
 picklable Python object as well as optimized communications of Python
 object exposing the single-segment buffer interface (NumPy arrays,
 builtin bytes/string/array objects).
 .
 This package provides debug symbols.

Package: python3-netaddr
Source: python-netaddr
Version: 0.7.7-1
Installed-Size: 3917
Maintainer: Vincent Bernat 
Architecture: all
Depends: python3 (>= 3.2)
Suggests: ipython3, python-netaddr-docs
Size: 1226298
SHA256: a87c2cc6f0e8fd391a1a9e72e958b04db65834c4297657225427977087963518
SHA1: f9adba2c51d4c2e4b16d3aaa16e68165a4d17b4b
MD5sum: 411095c74569aef6dd6f6111d1c6e0f8
Description: manipulation of various common network address notations (Python 3)
 netaddr is a Python library for the manipulation of various common
 network address notations and representations.
 .
 It takes the hassle out of fiddling with enumerable variations of
 network addresses presenting a consistent, extensible, easy-to-use
 and (above all) Pythonic API.
 .
 With it you can validate, convert, categorise, iterate, generate,
 slice (and dice):
  - MAC (Media Access Control)
  - IEEE EUI-48 and EUI-64
  - IP version 4
  - IP version 6
  - CIDR (Classless Inter-Domain Routing)
 .
 This is the Python 3 version of the package.
Homepage: https://github.com/drkjam/netaddr/
Section: python
Priority: optional
Filename: pool/main/p/python-netaddr/python3-netaddr_0.7.7-1_all.deb

Package: python3-nose
Source: nose
Version: 1.1.2-3
Installed-Size: 548
Maintainer: Gustavo Noronha Silva 
Architecture: all
Depends: python3-pkg-resources, python3 (>= 3.2), python3.2
Suggests: python-nose-doc
Size: 144514
SHA256: 5aa2b8cf105b76e20572d804ce387a7f0cf8e7e2c9e2ad0b6f8ffaa01b3e184c
SHA1: db9d9bfb2be626b980318e7c2d6be3a2637117d5
MD5sum: f4c1efb1c76d135514c618cc2f1839a9
Description: test discovery and running for Python3 unittest
 nose provides an alternate test discovery and running process for Python3
 unittest, one that is intended to mimic the behavior of py.test as
 much as is reasonably possible without resorting to too much magic
Homepage: http://somethingaboutorange.com/mrl/projects/nose/
Section: python
Priority: optional
Filename: pool/main/n/nose/python3-nose_1.1.2-3_all.deb

Package: python3-notify2
Source: python-notify2
Version: 0.3-2
Installed-Size: 65
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.1.3-13~), python3-dbus
Recommends: notification-daemon
Size: 10842
SHA256: 6237429778ceae61419e3a0d7bc7d7b4be819b2084b60b30993cd027573d1614
SHA1: d035a0afe64f6852f30694af9d4a6dfc12b9c29e
MD5sum: 587e820d43b7a8a2daa7ec69b93c4522
Description: desktop notifications API for Python 3
 notify2 provides a Python interface to the Freedesktop notifications system,
 which allows programs to display information to the user in an unobtrusive way.
 Notifications are sent over DBus to a notification daemon, which is responsible
 for presenting them to the user.
 .
 notify2 is intended as a replacement for pynotify (package python-notify), and
 its interface is largely copied from there, although it isn't a complete clone.
 .
 This is the Python 3 version of the package.
Homepage: http://pypi.python.org/pypi/notify2
Section: python
Priority: optional
Filename: pool/main/p/python-notify2/python3-notify2_0.3-2_all.deb

Package: python3-numpy
Source: python-numpy
Version: 1:1.6.2-1.2
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 6977
Depends: python3 (>= 3.2.3-3~), python3 (<< 3.3), python3.2, libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base
Suggests: python-numpy-doc, python3-numpy-dbg, python3-nose (>= 0.10.1), python3-dev, gfortran, gcc (>= 4:4.6.1-5)
Provides: python3-f2py, python3-numpy-abi9, python3-numpy-api6, python3-numpy-dev, python3.2-numpy
Homepage: http://numpy.scipy.org/
Priority: optional
Section: python
Filename: pool/main/p/python-numpy/python3-numpy_1.6.2-1.2_armhf.deb
Size: 1773426
SHA256: d1f1984433b4fd4dee39ba68637d9c512a3466646af07a345abb9849d5177bfc
SHA1: 3de21fb4b15bfbaffb2969c964188334f2974f22
MD5sum: 853bdb448641a7df4b451af04549af6f
Description: Numerical Python adds a fast array facility to the Python language
 Numpy contains a powerful N-dimensional array object, sophisticated
 (broadcasting) functions, tools for integrating C/C++ and Fortran
 code, and useful linear algebra, Fourier transform, and random number
 capabilities.
 .
 Numpy replaces the python-numeric and python-numarray modules which are
 now deprecated and shouldn't be used except to support older
 software.
 .
 This package contains Numpy for Python 3.

Package: python3-numpy-dbg
Source: python-numpy
Version: 1:1.6.2-1.2
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 12988
Depends: python3-numpy (= 1:1.6.2-1.2), python3-dbg, libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base
Homepage: http://numpy.scipy.org/
Priority: extra
Section: debug
Filename: pool/main/p/python-numpy/python3-numpy-dbg_1.6.2-1.2_armhf.deb
Size: 3626866
SHA256: 5ea073e4b1424224725d7a63fcdde9c98d1dea1a26bf40345686c4b7b3b648fd
SHA1: 0646c127abbf9b6cf545c90c9ffe79d27ae0b61f
MD5sum: 2c2fc24e5f7a9bdf1f0b3764e2219e9b
Description: Fast array facility to the Python language (debug extension)
 Numpy contains a powerful N-dimensional array object, sophisticated
 (broadcasting) functions, tools for integrating C/C++ and Fortran
 code, and useful linear algebra, Fourier transform, and random number
 capabilities.
 .
 Numpy replaces the python-numeric and python-numarray modules which
 are now deprecated and shouldn't be used except to support older
 software.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-objgraph
Source: objgraph
Version: 1.7.1-1
Installed-Size: 79
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Recommends: graphviz
Suggests: python-objgraph-doc, xdot
Size: 16138
SHA256: 16a611150c2a4807be8e8f01e07d228d7f64fd9f910219907c772bca7433df03
SHA1: c284c0f9e6387952ea831d4660216c6ceb60691b
MD5sum: fcf5039646e1dc932b1991c9371df964
Description: Module for exploring Python object reference graphs (Python3 version)
 objgraph is a module that lets you visually explore Python object graphs.
 .
 It can be used for counting and statistics, finding root references
 responsible for large object trees and export the object reference graphs in
 graphviz format.
 .
 This package contains the Python 3 version of the library.
Homepage: http://mg.pov.lt/objgraph/
Tag: devel::debugger, devel::lang:python, devel::library,
 implemented-in::python, role::devel-lib, use::measuring, use::viewing,
 works-with-format::png, works-with::software:source
Section: python
Priority: optional
Filename: pool/main/o/objgraph/python3-objgraph_1.7.1-1_all.deb

Package: python3-openssl
Source: pyopenssl
Version: 0.13-2+rpi1+deb7u1
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 377
Depends: python3 (>= 3.2.3-3~), python3 (<< 3.3), libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0)
Suggests: python-openssl-doc, python3-openssl-dbg
Homepage: http://launchpad.net/pyopenssl
Priority: optional
Section: python
Filename: pool/main/p/pyopenssl/python3-openssl_0.13-2+rpi1+deb7u1_armhf.deb
Size: 105196
SHA256: d34bda20f2247b2c14b55dc7911892bc6aa69b0bfe55e07beea2605c1a33ba42
SHA1: 94e177b25b3fbba94489290548b300fbe28b350c
MD5sum: 02aac99fc4f75758547b86194658f131
Description: Python 3 wrapper around the OpenSSL library
 High-level wrapper around a subset of the OpenSSL library, includes
 .
   * SSL.Connection objects, wrapping the methods of Python's portable
     sockets
   * Callbacks written in Python
   * Extensive error-handling mechanism, mirroring OpenSSL's error
     codes
 .
 A lot of the object methods do nothing more than calling a
 corresponding function in the OpenSSL library.
 .
 This package contains the Python 3 version of pyopenssl.

Package: python3-openssl-dbg
Source: pyopenssl
Version: 0.13-2+rpi1+deb7u1
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 999
Depends: python3-openssl (= 0.13-2+rpi1+deb7u1), python3-dbg, libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0)
Homepage: http://launchpad.net/pyopenssl
Priority: extra
Section: debug
Filename: pool/main/p/pyopenssl/python3-openssl-dbg_0.13-2+rpi1+deb7u1_armhf.deb
Size: 339418
SHA256: 6c0968d449356d626fe0f2ddc0550e22f832cdf5fa6c56a1965cd5927edae7d9
SHA1: e98aeeeb827ba6c90cd608b3f96de35afcec3e2e
MD5sum: ea2a0f289625b4e97ec974a41e612086
Description: Python 3 wrapper around the OpenSSL library (debug extension)
 High-level wrapper around a subset of the OpenSSL library, includes
 .
   * SSL.Connection objects, wrapping the methods of Python's portable
     sockets
   * Callbacks written in Python
   * Extensive error-handling mechanism, mirroring OpenSSL's error
     codes
 .
 A lot of the object methods do nothing more than calling a
 corresponding function in the OpenSSL library.
 .
 This package contains the debug extension for python3-openssl.

Package: python3-pbs
Source: pbs
Version: 0.95-1
Installed-Size: 62
Maintainer: Nick Moffitt 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Size: 13568
SHA256: ca7c870da311946d9ec4c6cc0e23784a0b347da833f0dc3ff19a3d1574b6d09f
SHA1: 914d4587423d03e6fd847bd714676c2568ab9f13
MD5sum: bf755320fe6cc2a920c88231c53a5b43
Description: Python 3 module for executing shell commands as functions
 PBS is a unique subprocess wrapper that maps your system programs to
 Python functions dynamically. PBS helps you write shell scripts in
 Python by giving you the good features of Bash (easy command calling,
 easy piping) with all the power and flexibility of Python.
 .
 This package contains the library for Python 3.x.
Homepage: https://github.com/amoffat/pbs
Section: python
Priority: extra
Filename: pool/main/p/pbs/python3-pbs_0.95-1_all.deb

Package: python3-pip
Source: python-pip
Version: 1.1-3
Installed-Size: 353
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.2), python3.2, python3-pkg-resources, python3-setuptools
Recommends: python3-dev (>= 3.2), build-essential
Size: 79700
SHA256: e144a20bf1236fbed9d215c26fa47c31ffa774eb3bd269256bd3fb36594e9cff
SHA1: 8619667d9c3ea82e13138546baa3c56d6228b68d
MD5sum: 359e23ac512542da8263cde2353f86e0
Description: alternative Python package installer - Python 3 version of the package
 pip is a replacement for easy_install, and is intended to be an improved
 Python package installer.  It integrates with virtualenv, doesn't do partial
 installs, can save package state for replaying, can install from non-egg
 sources, and can install from version control repositories.
 .
 This is the Python 3 version of the package.
Homepage: http://pip.openplans.org/
Section: python
Priority: optional
Filename: pool/main/p/python-pip/python3-pip_1.1-3_all.deb

Package: python3-pipeline
Source: python-pipeline
Version: 0.1.3-3
Installed-Size: 51
Maintainer: Debian QA Group 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Size: 7084
SHA256: 3a4f6b411f50e1bd14cc9b9cb8a2ae9d4b737b8918e0e36bab74c18e4f40d1f0
SHA1: 85d98547318e7737d8cf5f89ab7b935b3781b3fc
MD5sum: eb36f8fa9f45ffffe3b3127b021909ab
Description: iterator pipelines for Python 3
 python3-pipeline provides an easy way to construct pipelines of iterators,
 with a syntax resembling Unix shell. It supplies counterparts for some
 common command-line utilities: cat, cut, echo, grep, head, nl, sort, split,
 tail, uniq, wc, yes.
Homepage: http://python-pipeline.googlecode.com/
Tag: devel::lang:python, devel::library, implemented-in::python,
 role::devel-lib
Section: python
Priority: optional
Filename: pool/main/p/python-pipeline/python3-pipeline_0.1.3-3_all.deb

Package: python3-pkg-resources
Source: distribute
Version: 0.6.24-1
Installed-Size: 142
Maintainer: Matthias Klose 
Architecture: all
Provides: python3.2-setuptools
Depends: python3 (>= 3.1.2-8~)
Suggests: python3-setuptools
Conflicts: python-pkg-resources (= 0.6.10-2)
Size: 33858
SHA256: 84d392fd60903cefe9d5aba2dd7691c1f486412d3c245901668903722eaa4497
SHA1: c2e78de5cd1f31b6fa63a0c3ed68b21fb289be80
MD5sum: b73bb7d3e073636ace43c3f4e7d6a234
Description: Package Discovery and Resource Access using pkg_resources
 The pkg_resources module provides an API for Python libraries to
 access their resource files, and for extensible applications and
 frameworks to automatically discover plugins.  It also provides
 runtime support for using C extensions that are inside zipfile-format
 eggs, support for merging packages that have separately-distributed
 modules or subpackages, and APIs for managing Python's current
 "working set" of active packages.
Homepage: http://packages.python.org/distribute
Section: python
Priority: optional
Filename: pool/main/d/distribute/python3-pkg-resources_0.6.24-1_all.deb

Package: python3-ply
Source: ply
Version: 3.4-3
Installed-Size: 269
Maintainer: Arnaud Fontaine 
Architecture: all
Provides: python3-ply-lex-3.2, python3-ply-yacc-3.2
Depends: python3 (>= 3.1.3-13~)
Suggests: python-ply-doc, python3-pkg-resources
Size: 67738
SHA256: 7da1f12fd799e6b36ef7bd43b31eeaa5622b7b6f8175401c036cad9f25148dcc
SHA1: c6d53d34fddd56a445f805d6eb9073e7b98bb9e4
MD5sum: c85b457ac22770316c6aa16fed109314
Description: Lex and Yacc implementation for Python3
 PLY   is   yet  another   implementation   of   lex   and  yacc   for
 Python.  Although  several  other  parsing tools  are  available  for
 Python, there are  several reasons why you might want  to take a look
 at PLY:
  * It's implemented entirely in Python.
  * It uses  LR-parsing which is reasonably efficient  and well suited
    for larger grammars.
  * PLY  provides most  of  the standard  lex/yacc features  including
    support for  empty productions, precedence  rules, error recovery,
    and support for ambiguous grammars.
  * PLY is  extremely easy  to use and  provides very  extensive error
    checking.
Homepage: http://www.dabeaz.com/ply/
Section: python
Priority: optional
Filename: pool/main/p/ply/python3-ply_3.4-3_all.deb

Package: python3-polib
Source: polib
Version: 1.0.0-2
Installed-Size: 100
Maintainer: Angel Abad 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Suggests: python-polib-doc
Size: 20250
SHA256: 4fd5a2ad3590bc17699e1492ddf951d9ad44538b7444d7e9e1dcd0bf9f8d40b8
SHA1: d2a77ee68bdb3137e442dbcb9f57b9139916d3fb
MD5sum: 5d15657891e0c51903fb9f249bd8e774
Description: Python 3 library to parse and manage gettext catalogs
 polib allows you to manipulate, create, modify gettext files
 (pot, po and mo files). You can load existing files, iterate
 through it's entries, add, modify entries, comments or metadata,
 etc... or create new po files from scratch.
 .
 This package provides Python 3 library.
Homepage: http://bitbucket.org/izi/polib/src/
Section: python
Priority: optional
Filename: pool/main/p/polib/python3-polib_1.0.0-2_all.deb

Package: python3-postgresql
Source: py-postgresql
Version: 1.0.2-1
Architecture: armhf
Maintainer: William Grzybowski 
Installed-Size: 1749
Depends: python3 (>= 3.2), python3 (<< 3.3), libc6 (>= 2.13-28), libjs-jquery
Homepage: http://python.projects.postgresql.org/
Priority: optional
Section: python
Filename: pool/main/p/py-postgresql/python3-postgresql_1.0.2-1_armhf.deb
Size: 623570
SHA256: 5423957f9e4b6cb5e2369292c6a25d1814ac2507a39762cc02ce90bc417e02cc
SHA1: 0378b7716fd17be030e7c16eed170533056175b7
MD5sum: 7ef150200f46099ca984984518e12ba8
Description: pgsql driver, cluster management tools, and client tools
 py-postgresql is a python3 DB-API driver, including cluster management
 tools and client development tools. Written in pure Python 3 with
 optional optimizations written in C.
 .
 It provides support for protocol-level prepared statements, the COPY interface,
 arrays and composite types, and a console to run quick tests and simple
 scripts in PostgreSQL called pg_python.

Package: python3-prettytable
Source: prettytable
Version: 0.6.1-1
Installed-Size: 52
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Size: 20712
SHA256: 1b88510e3a73e2e4149989277ec128882b0ec88438b1d2f25a76a9159037f7f9
SHA1: 7fdb04e0aafa321369b47a6513790b7dcdd42f21
MD5sum: e65a0fce98ec8ed6bc7134a20a9d68c2
Description: library to represent tabular data in visually appealing ASCII tables (Python3)
 PrettyTable is a simple Python library designed to make it quick and
 easy to represent tabular data in visually appealing ASCII tables. It
 was inspired by the ASCII tables used in the PostgreSQL shell
 psql. PrettyTable allows for selection of which columns are to be
 printed, independent alignment of columns (left or right justified or
 centred) and printing of "sub-tables" by specifying a row range.
 .
 This package contains the Python 3 version of prettytable.
Homepage: http://code.google.com/p/prettytable/
Section: python
Priority: optional
Filename: pool/main/p/prettytable/python3-prettytable_0.6.1-1_all.deb

Package: python3-psycopg2
Source: psycopg2
Version: 2.4.5-1
Architecture: armhf
Maintainer: Fabio Tranchitella 
Installed-Size: 546
Depends: python3 (>= 3.2), python3 (<< 3.3), libc6 (>= 2.13-28), libpq5 (>= 8.3~)
Suggests: python-psycopg2-doc
Provides: python3.2-psycopg2
Homepage: http://initd.org/projects/psycopg
Priority: optional
Section: python
Filename: pool/main/p/psycopg2/python3-psycopg2_2.4.5-1_armhf.deb
Size: 157258
SHA256: 6308cb42270c0d5670643bea6e2f9d93fc4d2a0bdec1e640cb270b8c2191ebe1
SHA1: 0acdc4611008f23ea7b93a1d51e1254d9a0ce637
MD5sum: ad82d3e22bc1e930b4b64335181641db
Description: Python 3 module for PostgreSQL
 psycopg is a PostgreSQL database adapter for the Python3 programming language
 (just like pygresql and popy.) This is version 2, a complete rewrite of the
 original code to provide new-style classes for connection and cursor objects
 and other sweet candies. Like the original, psycopg 2 was written with the
 aim of being very small and fast, and stable as a rock.
 .
 psycopg is different from the other database adapter because it was designed
 for heavily multi-threaded applications that create and destroy lots of
 cursors and make a conspicuous number of concurrent INSERTs or UPDATEs.
 psycopg 2 also provides full asynchronous operations for the really brave
 programmer.
 .
 The main advantages of psycopg2 are that it supports the full Python DBAPI-2.0
 and being thread safe at level 2. It also includes some extensions to the
 standard DBAPI-2.0 to allow for better thread performance.

Package: python3-psycopg2-dbg
Source: psycopg2
Version: 2.4.5-1
Architecture: armhf
Maintainer: Fabio Tranchitella 
Installed-Size: 942
Depends: python3-psycopg2 (= 2.4.5-1), python3-dbg, libc6 (>= 2.13-28), libpq5 (>= 8.3~)
Homepage: http://initd.org/projects/psycopg
Priority: extra
Section: debug
Filename: pool/main/p/psycopg2/python3-psycopg2-dbg_2.4.5-1_armhf.deb
Size: 259338
SHA256: 6317c22eee2095067eb2afb41b8a893228840f92074d15a5a8dee11906054272
SHA1: bac2ac1cb3a1866d2273f3a15c55baad9215b1b0
MD5sum: 6f78907d682530bbe35897c2c23505e8
Description: Python 3 module for PostgreSQL (debug extension)
 psycopg is a PostgreSQL database adapter for the Python3 programming language
 (just like pygresql and popy.) This is version 2, a complete rewrite of the
 original code to provide new-style classes for connection and cursor objects
 and other sweet candies. Like the original, psycopg 2 was written with the
 aim of being very small and fast, and stable as a rock.
 .
 This package contains the extensions built for the Python debug interpreter.

Package: python3-py
Source: codespeak-lib
Version: 1.4.8-1
Installed-Size: 254
Maintainer: Chris Lamb 
Architecture: all
Depends: python3 (<< 3.3), python3 (>= 3.1.3-13~), python3-pkg-resources
Suggests: subversion, python-pytest, python-pytest-xdist
Size: 71816
SHA256: 00b1a592740aa508156ae26a1f4beedc0507ff56d279440125b46e2f92d0a0d0
SHA1: 220c118eadc7ed4a2865d68d28699cad94a60da8
MD5sum: 0ad332be4242545577ecf4dab47fad77
Description: Advanced Python development support library
 The Codespeak py lib aims at supporting a decent Python development process
 addressing deployment, versioning and documentation perspectives. It includes:
 .
  * py.path: path abstractions over local and Subversion files
  * py.code: dynamic code compile and traceback printing support
 .
 This package provides the Python3 modules.
Homepage: http://codespeak.net/py/
Section: python
Priority: optional
Filename: pool/main/c/codespeak-lib/python3-py_1.4.8-1_all.deb

Package: python3-pyatspi
Source: pyatspi
Version: 2.5.3+dfsg-3
Installed-Size: 165
Maintainer: Debian Accessibility Team 
Architecture: all
Replaces: python3-pyatspi2 (<< 2.5.3+dfsg-2.1)
Depends: python3 (>= 3.2.3-3~), python3-gi (>= 2.90.1), gir1.2-atspi-2.0 (>= 2.1.4), libatk-adaptor, libgail-common
Breaks: python3-pyatspi2 (<< 2.5.3+dfsg-2.1)
Size: 29484
SHA256: bc529bd49da2d4ae8061e9849d30f1c915a2d6a3ab8f2cf21c6e27768f2ca72b
SHA1: b85febfcfc44aca7ddb8764b5ce3e66f94d6ef69
MD5sum: d79aaaaefb116835a0df304447254cac
Description: Assistive Technology Service Provider Interface - Python3 bindings
 at-spi is the "Assistive Technology Service Provider Interface".
 .
 This package contains Python3 bindings for the client side aspects of at-spi2.
Homepage: http://live.gnome.org/Accessibility
Section: python
Priority: optional
Filename: pool/main/p/pyatspi/python3-pyatspi_2.5.3+dfsg-3_all.deb

Package: python3-pyatspi2
Source: pyatspi
Version: 2.5.3+dfsg-3
Installed-Size: 28
Maintainer: Debian Accessibility Team 
Architecture: all
Depends: python3-pyatspi (= 2.5.3+dfsg-3)
Size: 2784
SHA256: 3deb0e718b5b2c3dc8e4f454080e896d866a7910fb38b3092b1366ca3ce3c7aa
SHA1: 5392ce1d4a4fabb27efefb1f425085f116f7f4b2
MD5sum: e12b7b9ab961249c53e9618569a41806
Description: Transitional package for assistive technology Python3 bindings
 at-spi is the "Assistive Technology Service Provider Interface".
 .
 This empty transitional package can be safely removed.
Homepage: http://live.gnome.org/Accessibility
Section: python
Priority: optional
Filename: pool/main/p/pyatspi/python3-pyatspi2_2.5.3+dfsg-3_all.deb

Package: python3-pycparser
Source: pycparser
Version: 2.07+dfsg-1
Installed-Size: 348
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3-ply, python3 (>= 3.2)
Size: 70882
SHA256: 8baa1f2da7b125221081b636bc622d81a156bbe7e2d6a4c206dd29e88590b39d
SHA1: 88724a8dd7e4593e8505e81759d875a6ff96f220
MD5sum: f0a6630ded376f4119bb93cdcd49e5fb
Description: C parser in Python 3
 pycparser is a complete parser of the C language, written in pure Python using
 the PLY parsing library. It parses C code into an AST and can serve as a
 front-end for C compilers or analysis tools.
 .
 This package contains the Python 3 version.
Homepage: https://code.google.com/p/pycparser/
Section: python
Priority: optional
Filename: pool/main/p/pycparser/python3-pycparser_2.07+dfsg-1_all.deb

Package: python3-pyfits
Source: pyfits
Version: 1:3.0.8-2
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 1361
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4), python3 (<< 3.3), python3 (>= 3.2), python3-numpy (>= 1:1.6.1), python3-numpy-abi9, python3-pkg-resources
Suggests: fitscheck
Provides: python3.2-pyfits
Homepage: http://www.stsci.edu/resources/software_hardware/pyfits
Priority: optional
Section: python
Filename: pool/main/p/pyfits/python3-pyfits_3.0.8-2_armhf.deb
Size: 379698
SHA256: 381ccc736c907c80cf87737301b4ad145a13e11bd20fc1cf091fac0262816e63
SHA1: a5addcdba5b5367d41d2aa65c1a62c3662bc2b78
MD5sum: cb03e3c7338a43ccfe38b45b786431ef
Description: Python3 module for reading, writing, and manipulating FITS files
 FITS (Flexible Image Transport System) is a data format most used in
 astronomy. PyFITS is a Python module for reading, writing, and manipulating
 FITS files. The module uses Python's object-oriented features to provide quick,
 easy, and efficient access to FITS files. The use of Python's array syntax
 enables immediate access to any FITS extension, header cards, or data items.
 .
 This package provides PyFITS on the Python 3 module path. It is complemented
 by python-pyfits.

Package: python3-pygments
Source: pygments
Version: 1.5+dfsg-1+deb7u1
Installed-Size: 1822
Maintainer: Piotr Ożarowski 
Architecture: all
Depends: python3 (>= 3.2.3-3~)
Suggests: ttf-bitstream-vera
Size: 361820
SHA256: da95b690e2976e740158474d5d31376b76bcc9b60f30ec3a275b9ebd9233a36c
SHA1: fe8e6224ba08c6c45bc3e371e85252ff4ba7b363
MD5sum: 6fa52f01a6b859537d02d54d15f6e0aa
Description: syntax highlighting package written in Python 3
 Pygments aims to be a generic syntax highlighter for general use in all kinds
 of software such as forum systems, wikis or other applications that need to
 prettify source code.
 .
 Highlights are:
   * a wide range of common languages and markup formats is supported
   * special attention is paid to details, increasing quality by a fair amount
   * support for new languages and formats are added easily
   * a number of output formats, presently HTML, LaTeX and ANSI sequences
   * it is usable as a command-line tool and as a library
Homepage: http://pygments.org/
Recommends: python3-pkg-resources
Section: python
Priority: optional
Filename: pool/main/p/pygments/python3-pygments_1.5+dfsg-1+deb7u1_all.deb

Package: python3-pyinotify
Source: pyinotify
Version: 0.9.3-1.1
Installed-Size: 91
Maintainer: Mikhail Gusarov 
Architecture: all
Depends: python3 (>= 3.2)
Suggests: python-pyinotify-doc
Size: 28540
SHA256: 7f61941a37923c0a1c5f088842d0dd98a14f4c8a0c9037a4d38dab9498db02c4
SHA1: df39797498f58bcbbe0e14b3f35df847f1a87713
MD5sum: a5232fc0381ec39113b8c7517010d2f2
Description: simple Linux inotify Python bindings
 pyinotify is a simple wrapper for the Linux inotify mechanism.
 .
 inotify is a Linux Kernel feature available since 2.6.13. inotify makes
 it possible for applications to easily be notified of filesystem changes.
 .
 This is the Python 3 version of the package.
Homepage: https://github.com/seb-m/pyinotify
Section: python
Priority: optional
Filename: pool/main/p/pyinotify/python3-pyinotify_0.9.3-1.1_all.deb

Package: python3-pykde4
Source: pykde4
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 22637
Depends: kde-runtime, kdepim-runtime, libakonadi-kde4 (>= 4:4.7.0), libakonadi-kmime4 (>= 4:4.7), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.7.4-6), libkdecore5 (>= 4:4.7.4-6), libkdeui5 (>= 4:4.7.4-6), libkdnssd4 (>= 4:4.7.4-6), libkemoticons4 (>= 4:4.7.4-6), libkfile4 (>= 4:4.7.4-6), libkhtml5 (>= 4:4.7.4-6), libkidletime4 (>= 4:4.7.4-6), libkio5 (>= 4:4.7.4-6), libknewstuff2-4 (>= 4:4.7.4-6), libknewstuff3-4 (>= 4:4.7.4-6), libkparts4 (>= 4:4.7.4-6), libkprintutils4 (>= 4:4.7.4-6), libkpty4 (>= 4:4.7.4-6), libktexteditor4 (>= 4:4.7.4-6), libnepomuk4 (>= 4:4.7.4-6), libnepomukquery4a (>= 4:4.7.4-6), libphonon4 (>= 4:4.6.0really4.5.0), libplasma3 (>= 4:4.7.4-6), libpython3.2 (>= 3.2~a4), libqt4-network (>= 4:4.7.1), libqt4-svg (>= 4:4.7.1), libqt4-xml (>= 4:4.7.1), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsolid4 (>= 4:4.7.4-6), libsoprano4 (>= 2.7.0), libstdc++6 (>= 4.1.1), phonon, python3 (>= 3.2), python3 (<< 3.3), python3-pyqt4, sip-py3api-8.1, python3-sip
Homepage: http://www.kde.org
Priority: optional
Section: python
Filename: pool/main/p/pykde4/python3-pykde4_4.8.4-1_armhf.deb
Size: 4962752
SHA256: dca409f1c5d574468a7c7d59fd417db514def1bca84e3209324ea8ad06919cc9
SHA1: 20f51902b3519cdc7c321fe060ed54ff6f7b12c8
MD5sum: 85efe5021f10d2415a1801b55f9f8302
Description: Python 3 bindings for the KDE Development Platform
 This package contains PyKDE, the Python 3 bindings for the KDE
 libraries, that allow you to write KDE programs using Python 3 instead of
 C++. It contains at least the following modules under the
 PyKDE namespace:
 .
  * dnssd
  * kdecore
  * kdeui
  * khtml
  * kio
  * knewstuff
  * kparts
  * kterminal
  * ktexteditor
  * kutils
  * nepomuk
  * plasma
  * solid
 .
 And a few KDE related technologies like:
 .
  * akonadi
  * phonon
  * soprano
 .
 This package provides modules for all supported Python 3 versions.

Package: python3-pykde4-dbg
Source: pykde4
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 69916
Depends: kdelibs5-dbg, python3-pykde4 (= 4:4.8.4-1), python3-dbg
Recommends: python3-pyqt4-dbg
Homepage: http://www.kde.org
Priority: extra
Section: debug
Filename: pool/main/p/pykde4/python3-pykde4-dbg_4.8.4-1_armhf.deb
Size: 24640016
SHA256: d0c85fdbfaa3aaa3a9211e805db82b6393157423d0196999709ce8a1ef461713
SHA1: 14b1369d5fbf89006c8879ecd5c016dd627f5319
MD5sum: eb310e996dd409af4ccba22a315a1669
Description: debugging symbols for PyKDE bindings for Python 3
 This package contains debugging files used to investigate problems with
 Python 3 bindings for the KDE Development Platform. Install this package if
 you are experiencing crashes of the PyKDE application and wish to report a
 problem to the developers.

Package: python3-pylast
Source: pylast
Version: 0.5.11-1
Installed-Size: 162
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Size: 24288
SHA256: dd68d40b7ae41234f4b02efc407947bcad8c0084f648671aed786b95586a0af4
SHA1: 5926ed504534b3c52ddad3bacafa31803d56564b
MD5sum: c8961e5f8334e859e3753710f267f2f0
Description: Python 3 interface to Last.fm and other compatible services
 Last.fm is a service providing a way to keep a record of what the users listen
 to and offering music recommendations based on that record.
 .
 This interface allows access to all the data exposed by the Last.fm API as
 well as to the scrobbling functionality.
 .
 This package provides the Python 3 module.
Homepage: http://code.google.com/p/pylast/
Section: python
Priority: optional
Filename: pool/main/p/pylast/python3-pylast_0.5.11-1_all.deb

Package: python3-pylibacl
Source: python-pylibacl
Version: 0.5.1-1.1
Architecture: armhf
Maintainer: Iustin Pop 
Installed-Size: 75
Depends: python3 (>= 3.2), python3 (<< 3.3), libacl1 (>= 2.2.51-8), libc6 (>= 2.13-28)
Suggests: python3-pylibacl-dbg
Provides: python3.2-pylibacl
Homepage: http://pylibacl.k1024.org/
Priority: optional
Section: python
Filename: pool/main/p/python-pylibacl/python3-pylibacl_0.5.1-1.1_armhf.deb
Size: 15918
SHA256: 885fe33f6f00de2979039fa68ad8d9b24fb3b6aa63b329e123bea213e7e553b3
SHA1: b76834cbe2f64aeb001d0dd9968e4892e6fcc101
MD5sum: 450fdb7c83c0e162420170d795ee0a2a
Description: module for manipulating POSIX.1e ACLs (Python3 version)
 pylibacl is a Python interface to the libacl library. It allows
 manipulation of the POSIX.1e-compliant filesystem ACLs present
 in some operating systems (GNU/Linux included).
 .
 This package contains the extension build for the Python3
 interpreter.

Package: python3-pylibacl-dbg
Source: python-pylibacl
Version: 0.5.1-1.1
Architecture: armhf
Maintainer: Iustin Pop 
Installed-Size: 156
Depends: python3-pylibacl (= 0.5.1-1.1), python3-dbg, libacl1 (>= 2.2.51-8), libc6 (>= 2.13-28)
Homepage: http://pylibacl.k1024.org/
Priority: extra
Section: debug
Filename: pool/main/p/python-pylibacl/python3-pylibacl-dbg_0.5.1-1.1_armhf.deb
Size: 41558
SHA256: dcf9df7a77877ad30750ae3ab1f1c06d2ac18076a57512a603dbcff8e7cf4b00
SHA1: f0f3185e4fc254fddfaf3a56e57f310968a3f575
MD5sum: 6204629b3e1994c2140e540be9308ca3
Description: module for manipulating POSIX.1e ACLs (Python3 debug extension)
 pylibacl is a Python interface to the libacl library. It allows
 manipulation of the POSIX.1e-compliant filesystem ACLs present
 in some operating systems (GNU/Linux included).
 .
 This package contains the extension built for the Python3 debug
 interpreter.

Package: python3-pyparsing
Source: pyparsing
Version: 1.5.6+dfsg1-2
Installed-Size: 208
Maintainer: Kevin Coyner 
Architecture: all
Depends: python3 (>= 3.2.3-3~)
Size: 63746
SHA256: e8debcf5a63ec33a1186fd1ce93acb909ae3b0e203607c6ad9fe5d311a145656
SHA1: bcc0155d8de5cfcd24b671e3501715061d75402f
MD5sum: 6d594924cb9a2c47349ae2c6def843ae
Description: Python parsing module, Python3 package
 The parsing module is an alternative approach to creating and
 executing simple grammars, vs. the traditional lex/yacc approach, or
 the use of regular expressions.  The parsing module provides a
 library of classes that client code uses to construct the grammar
 directly in Python code.
 .
 Here's an example:
 .
  from pyparsing import Word, alphas
  greet = Word(alphas) + "," + Word(alphas) + "!"
  hello = "Hello, World!"
  print hello, "->", greet.parseString(hello)
 .
 This package contains the Python3 version of python-pyparsing.
Homepage: http://pyparsing.wikispaces.com/
Section: python
Priority: optional
Filename: pool/main/p/pyparsing/python3-pyparsing_1.5.6+dfsg1-2_all.deb

Package: python3-pyqt4
Source: python-qt4
Version: 4.9.3-4
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 10432
Depends: python3 (>= 3.2.3-3~), python3 (<< 3.3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.8.0-1~), libqt4-declarative (>= 4:4.8.0-1~), libqt4-designer (>= 4:4.8.0-1~), libqt4-help (>= 4:4.8.0-1~), libqt4-network (>= 4:4.8.0-1~), libqt4-script (>= 4:4.8.0-1~), libqt4-scripttools (>= 4:4.8.0-1~), libqt4-svg (>= 4:4.8.0-1~), libqt4-test (>= 4:4.8.0-1~), libqt4-xml (>= 4:4.8.0-1~), libqt4-xmlpatterns (>= 4:4.8.0-1~), libqtassistantclient4 (>= 4.6.3), libqtcore4 (>= 4:4.8.0-1~), libqtgui4 (>= 4:4.8.0-1~), libqtwebkit4 (>= 2.2.0), libstdc++6 (>= 4.4.0), sip-py3api-8.1
Suggests: python3-pyqt4-dbg
Breaks: python3-sip (<< 4.13.1~)
Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/
Priority: optional
Section: python
Filename: pool/main/p/python-qt4/python3-pyqt4_4.9.3-4_armhf.deb
Size: 2498132
SHA256: 7cf27e4c1bd013a7be72a6a433f237a4b19c4195980bbdc3445cef47486a71d8
SHA1: 06c2166d60549b58ef14305b00d18245ac8e0d25
MD5sum: 25c8420b8c975041215e9ed6bfac39ca
Description: Python3 bindings for Qt4
 PyQt4 exposes the Qt4 API to Python3. The following modules are supported:
  * QtCore
  * QtDBus
  * QtGui
  * QtNetwork
  * QtXml
  * QtScript
  * QtSvg
  * QtTest
  * QtAssistant
  * QtWebKit
  * QtOpenGL (in python3-pyqt4.qtopengl)
  * QtSql (in python3-pyqt4.qtsql)
  * phonon (in python3-pyqt4.phonon)

Package: python3-pyqt4-dbg
Source: python-qt4
Version: 4.9.3-4
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 39377
Depends: python3-dbg, python3-pyqt4 (= 4.9.3-4), python3-sip-dbg
Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/
Priority: extra
Section: debug
Filename: pool/main/p/python-qt4/python3-pyqt4-dbg_4.9.3-4_armhf.deb
Size: 14873150
SHA256: d30e20ce900d34e642071ef9c2db7b7a0b8029022a2811fe734ec683c378250b
SHA1: 6827ad40f9f4d359f93be5b8791db53c409a95d9
MD5sum: 7f923ebe2c47446d891fce0fce43927e
Description: Python3 bindings for Qt4 (debug extensions)
 PyQt4 exposes the Qt4 API to Python3.
 .
 This package contains the extensions built for the Python3 debug interpreter.

Package: python3-pyqt4.phonon
Source: python-qt4
Version: 4.9.3-4
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 353
Depends: python3-pyqt4 (= 4.9.3-4), python3 (>= 3.2), python3 (<< 3.3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libphonon4 (>= 4:4.6.0really4.3.80), libqtcore4 (>= 4:4.8.0-1~), libqtgui4 (>= 4:4.8.0-1~), libstdc++6 (>= 4.3.0), phonon
Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/
Priority: optional
Section: python
Filename: pool/main/p/python-qt4/python3-pyqt4.phonon_4.9.3-4_armhf.deb
Size: 95260
SHA256: ed46e05b79aff7fa4da61317e334821e38b881f815f3c4a872c222da1eef6d1c
SHA1: 22e440286f0bd7a4a00e2f855f9efbbc7711fb3b
MD5sum: ce703ad5f65c5afaf2ff122ff4879af4
Description: Python3 bindings for Phonon
 The Phonon module of PyQt4 provides bindings for the Phonon Multimedia library
 to Python3.

Package: python3-pyqt4.phonon-dbg
Source: python-qt4
Version: 4.9.3-4
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 5112
Depends: python3-dbg, python3-pyqt4-dbg (= 4.9.3-4), python3-pyqt4.phonon (= 4.9.3-4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libphonon4 (>= 4:4.6.0really4.3.80), libqtcore4 (>= 4:4.8.0-1~), libqtgui4 (>= 4:4.8.0-1~), libstdc++6 (>= 4.3.0), phonon
Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/
Priority: extra
Section: debug
Filename: pool/main/p/python-qt4/python3-pyqt4.phonon-dbg_4.9.3-4_armhf.deb
Size: 1827720
SHA256: 353167586c388804911fdaba2913e9e73a666d3869b029a045f65045d8e4dc3a
SHA1: 0c7b6b13cb8088b6d21493d34e0395ca692eefe0
MD5sum: c42ba2c95af11a5dea5540b77fed73b5
Description: Python3 bindings for Phonon (debug extensions)
 The Phonon module of PyQt4 provides bindings for the Phonon Multimedia library
 to Python3.
 .
 This package contains the extensions built for the Python3 debug interpreter.

Package: python3-pyqt4.qsci
Source: qscintilla2
Version: 2.6.2-2
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 877
Depends: python3 (>= 3.2), python3 (<< 3.3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqscintilla2-8, libqtcore4 (>= 4:4.8.0~), libqtgui4 (>= 4:4.8.0~), libstdc++6 (>= 4.3.0), python3-pyqt4, sip-py3api-8.1
Homepage: http://www.riverbankcomputing.co.uk/software/qscintilla
Priority: optional
Section: python
Filename: pool/main/q/qscintilla2/python3-pyqt4.qsci_2.6.2-2_armhf.deb
Size: 186612
SHA256: 96b06331b36e687e12a0e51ee7629a1f0012d87f820cf1ea2961502e4e3b95bf
SHA1: b692de83adaf35828a25c1d5f4cf0ca61f1e1fdd
MD5sum: 3a4c27e1bb3669de978c75c19b2cde45
Description: Python 3 bindings for QScintilla 2
 QScintilla is a text editor for Qt4 with features especially useful when
 writing and debugging source code. These include support for syntax
 styling, error indicators, code completion, call tips and margins.
 .
 This package contains Python 3 bindings so QScintilla 2 can be used in
 PyQt4 applications.

Package: python3-pyqt4.qtopengl
Source: python-qt4
Version: 4.9.3-4
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 288
Depends: python3-pyqt4 (= 4.9.3-4), python3 (>= 3.2), python3 (<< 3.3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-opengl (>= 4:4.8.0-1~), libqtcore4 (>= 4:4.8.0-1~), libqtgui4 (>= 4:4.8.0-1~), libstdc++6 (>= 4.3.0)
Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/
Priority: optional
Section: python
Filename: pool/main/p/python-qt4/python3-pyqt4.qtopengl_4.9.3-4_armhf.deb
Size: 83572
SHA256: 324eb421a3f4b6e3a21031354d0a122dc7805ec711e0c5ca2bc42936f4e69730
SHA1: b6b9ef6465e3f3ba834af26302cfabd4b88205f4
MD5sum: 3008e2cda3ca14ac9502b230124dd945
Description: Python 3 bindings for Qt4's OpenGL module
 The OpenGL module of PyQt4 provides widgets and utility classes
 for OpenGL rendering in a Python3 PyQt4 application.

Package: python3-pyqt4.qtopengl-dbg
Source: python-qt4
Version: 4.9.3-4
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 3206
Depends: python3-dbg, python3-pyqt4-dbg (= 4.9.3-4), python3-pyqt4.qtopengl (= 4.9.3-4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-opengl (>= 4:4.8.0-1~), libqtcore4 (>= 4:4.8.0-1~), libqtgui4 (>= 4:4.8.0-1~), libstdc++6 (>= 4.3.0)
Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/
Priority: extra
Section: debug
Filename: pool/main/p/python-qt4/python3-pyqt4.qtopengl-dbg_4.9.3-4_armhf.deb
Size: 1135830
SHA256: b0d771a814e72357e8cb4969be5ea34b2179e27eef40be203b863572536fc2b3
SHA1: a5b02cb8f4e6a94b5cd7a5102f88f725923f6f3a
MD5sum: caeb4ae5fa9116bfde7881f93cddfd59
Description: Python 3 bindings for Qt4's OpenGL module (debug extension)
 The OpenGL module of PyQt4 provides widgets and utility classes
 for OpenGL rendering in a Python3 PyQt4 application.
 .
 This package contains the extension built for the Python 3 debug interpreter.

Package: python3-pyqt4.qtsql
Source: python-qt4
Version: 4.9.3-4
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 318
Depends: python3-pyqt4 (= 4.9.3-4), python3 (>= 3.2), python3 (<< 3.3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-sql (>= 4:4.8.0-1~), libqtcore4 (>= 4:4.8.0-1~), libqtgui4 (>= 4:4.8.0-1~), libstdc++6 (>= 4.3.0)
Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/
Priority: optional
Section: python
Filename: pool/main/p/python-qt4/python3-pyqt4.qtsql_4.9.3-4_armhf.deb
Size: 94592
SHA256: f0e73f1fc6c5a50068ab2f1610cada5d2d2582e01e69249ffdb5d834f074bd36
SHA1: b206d716dccaf468720a0c42ce2267586e76a52b
MD5sum: 0eaee8cef8638316e4ca0bc8f474cf8f
Description: Python3 bindings for PyQt4's SQL module
 The SQL module of PyQt4 provides widgets and utility classes for
 Python3 SQL database access.

Package: python3-pyqt4.qtsql-dbg
Source: python-qt4
Version: 4.9.3-4
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 3694
Depends: python3-dbg, python3-pyqt4-dbg (= 4.9.3-4), python3-pyqt4.qtsql (= 4.9.3-4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-sql (>= 4:4.8.0-1~), libqtcore4 (>= 4:4.8.0-1~), libqtgui4 (>= 4:4.8.0-1~), libstdc++6 (>= 4.3.0)
Homepage: http://www.riverbankcomputing.co.uk/software/pyqt/
Priority: extra
Section: debug
Filename: pool/main/p/python-qt4/python3-pyqt4.qtsql-dbg_4.9.3-4_armhf.deb
Size: 1384462
SHA256: 2e819cb69b349c78e0061ff039c20348f085c8f8406e4ba7f05974d0d07cd4a0
SHA1: 86849028f699e38676569280338ebc6b1890965c
MD5sum: 854a1140578d7522c03979c170f3411d
Description: Python3 bindings for PyQt4's SQL module (debug extension)
 The SQL module of PyQt4 provides widgets and utility classes for
 Python3 SQL database access.
 .
 This package contains the extension built for the Python3 debug interpreter.

Package: python3-pyshp
Source: pyshp
Version: 1.1.4-1
Installed-Size: 110
Maintainer: Debian GIS Project 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Size: 26154
SHA256: 06e218535322cdd721eb7c62f98460982e5322ce16467f265fd35e665d17bdfc
SHA1: de656773cf408c4cc5fadb2402c0a16d48503f13
MD5sum: 13aaba1a02775639a85667f5c26be065
Description: read/write support for ESRI Shapefile format - Python 3.x
 PySHP provides read and write support for the ESRI Shapefile format.
 The Shapefile format is a popular Geographic Information System vector
 data format created by ESRI.
 .
 This package contains the module for Python 3.x.
Homepage: http://code.google.com/p/pyshp/
Section: python
Priority: extra
Filename: pool/main/p/pyshp/python3-pyshp_1.1.4-1_all.deb

Package: python3-pyside
Source: pyside
Version: 1.1.1-3
Installed-Size: 144
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3-pyside.phonon (>= 1.1.1-3), python3-pyside.qtcore (>= 1.1.1-3), python3-pyside.qtdeclarative (>= 1.1.1-3), python3-pyside.qtgui (>= 1.1.1-3), python3-pyside.qthelp (>= 1.1.1-3), python3-pyside.qtnetwork (>= 1.1.1-3), python3-pyside.qtopengl (>= 1.1.1-3), python3-pyside.qtscript (>= 1.1.1-3), python3-pyside.qtsql (>= 1.1.1-3), python3-pyside.qtsvg (>= 1.1.1-3), python3-pyside.qttest (>= 1.1.1-3), python3-pyside.qtuitools (>= 1.1.1-3), python3-pyside.qtwebkit (>= 1.1.1-3), python3-pyside.qtxml (>= 1.1.1-3)
Size: 122176
SHA256: 786c7b4eb69373d734c40027096fd9b40d6e4e64ac3921e135e62ed76e53ebc1
SHA1: c81636f0066b2bb21b033df8f0c8655d250c82fb
MD5sum: 6d4b54d7addfd28bf202de0edb06de95
Description: Python3 bindings for Qt4 (big metapackage)
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 Python3 bindings for Qt4 framework. This is a metapackage for all modules.
Homepage: http://www.pyside.org/
Section: python
Priority: optional
Filename: pool/main/p/pyside/python3-pyside_1.1.1-3_all.deb

Package: python3-pyside.phonon
Source: pyside
Version: 1.1.1-3
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 713
Depends: python3-pyside.qtgui (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libphonon4 (>= 4:4.6.0really4.5.0), libpyside-py3-1.1 (= 1.1.1-3), libpython3.2 (>= 3.2~a4), libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.7.0), libshiboken-py3-1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), phonon, python3 (>= 3.2), python3 (<< 3.3)
Provides: python3.2-pyside.phonon
Homepage: http://www.pyside.org/
Priority: optional
Section: python
Filename: pool/main/p/pyside/python3-pyside.phonon_1.1.1-3_armhf.deb
Size: 206796
SHA256: 31926a3c95f311de9474c4253d08252e1d751fa17f84d2fd36752d997d652335
SHA1: 8e0aa33f445421b0ae9750e8b10c8fb87ab8c5b7
MD5sum: e4e2c6e106735ef988a286d7bec3e134
Description: Qt 4 Phonon module - Python3 bindings
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides Python3 bindings for the Phonon multimedia module.

Package: python3-pyside.qtcore
Source: pyside
Version: 1.1.1-3
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 2244
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside-py3-1.1 (= 1.1.1-3), libpython3.2 (>= 3.2~a4), libqtcore4 (>= 4:4.8.0), libshiboken-py3-1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python3 (>= 3.2), python3 (<< 3.3)
Provides: python3.2-pyside.qtcore
Homepage: http://www.pyside.org/
Priority: optional
Section: python
Filename: pool/main/p/pyside/python3-pyside.qtcore_1.1.1-3_armhf.deb
Size: 690794
SHA256: bf6d10354e5e8cd83c7fa543731db810e1040e6053a746aef74e7450842c1c0a
SHA1: 37b0340a40c793f5eade36a5d55e8147f99aa4e9
MD5sum: febff0b0a8a008f422a317395520b963
Description: Qt 4 core module - Python3 bindings
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides Python3 bindings for the QtCore module.

Package: python3-pyside.qtdeclarative
Source: pyside
Version: 1.1.1-3
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 371
Depends: python3-pyside.qtgui (= 1.1.1-3), python3-pyside.qtnetwork (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside-py3-1.1 (= 1.1.1-3), libpython3.2 (>= 3.2~a4), libqt4-declarative (>= 4:4.7.0), libqt4-network (>= 4:4.7.0), libqt4-opengl (>= 4:4.7.0), libqt4-script (>= 4:4.7.0), libqt4-sql (>= 4:4.7.0), libqt4-svg (>= 4:4.7.0), libqt4-xmlpatterns (>= 4:4.7.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.7.0), libshiboken-py3-1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python3 (>= 3.2), python3 (<< 3.3)
Provides: python3.2-pyside.qtdeclarative
Homepage: http://www.pyside.org/
Priority: optional
Section: python
Filename: pool/main/p/pyside/python3-pyside.qtdeclarative_1.1.1-3_armhf.deb
Size: 100300
SHA256: b4cb9603043f0ff60ac3e86232369d3df9cb06414c51b055972bdae5c8156362
SHA1: 8e90ff4ef046a77c920ff40ad623de6756eb11b6
MD5sum: 83aac96dc432e0838d0d1ec53410d1dc
Description: Qt 4 Declarative module - Python3 bindings
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides Python3 bindings for the QtDeclarative module.

Package: python3-pyside.qtgui
Source: pyside
Version: 1.1.1-3
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 9536
Depends: python3-pyside.qtcore (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside-py3-1.1 (= 1.1.1-3), libpython3.2 (>= 3.2~a4), libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.8.0), libshiboken-py3-1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python3 (>= 3.2), python3 (<< 3.3)
Provides: python3.2-pyside.qtgui
Homepage: http://www.pyside.org/
Priority: optional
Section: python
Filename: pool/main/p/pyside/python3-pyside.qtgui_1.1.1-3_armhf.deb
Size: 2713696
SHA256: ff84e3418baee8dacda3c0de1c709bc955ca39e51a68c16648b98bc0982a47df
SHA1: c2491af533ce440c204305693a242b042d0b3daa
MD5sum: 53b4a7bc53176ff41b327b0e302bf474
Description: Qt 4 GUI module - Python3 bindings
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides Python3 bindings for the QtGui module.

Package: python3-pyside.qthelp
Source: pyside
Version: 1.1.1-3
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 370
Depends: python3-pyside.qtgui (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside-py3-1.1 (= 1.1.1-3), libpython3.2 (>= 3.2~a4), libqt4-help (>= 4:4.8.0), libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.7.0), libshiboken-py3-1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python3 (>= 3.2), python3 (<< 3.3)
Provides: python3.2-pyside.qthelp
Homepage: http://www.pyside.org/
Priority: optional
Section: python
Filename: pool/main/p/pyside/python3-pyside.qthelp_1.1.1-3_armhf.deb
Size: 97666
SHA256: ba0333be6b879c22328c9747a05d6887c9d9bfdee2fc4981a57f17875d5cf81c
SHA1: 42b611b2976531b28bf9cc1a1c1b0744e163e383
MD5sum: 4e13448b0a651e0973c9b16b878168dd
Description: Qt 4 help module - Python3 bindings
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides Python3 bindings for the QtHelp module.

Package: python3-pyside.qtnetwork
Source: pyside
Version: 1.1.1-3
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 825
Depends: python3-pyside.qtcore (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside-py3-1.1 (= 1.1.1-3), libpython3.2 (>= 3.2~a4), libqt4-network (>= 4:4.8.0), libqtcore4 (>= 4:4.7.0), libshiboken-py3-1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python3 (>= 3.2), python3 (<< 3.3)
Provides: python3.2-pyside.qtnetwork
Homepage: http://www.pyside.org/
Priority: optional
Section: python
Filename: pool/main/p/pyside/python3-pyside.qtnetwork_1.1.1-3_armhf.deb
Size: 242720
SHA256: 2031c129c60b03bfd7c6aa7f000a2274bd19e62464a5c28bce8093a7f12597d5
SHA1: 550b082fd6dc332b59a9171c4b5ff182ac7a2fb5
MD5sum: 4194359ef0af986c42dea2ed193efdb8
Description: Qt 4 network module - Python3 bindings
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides Python3 bindings for the QtNetwork module.

Package: python3-pyside.qtopengl
Source: pyside
Version: 1.1.1-3
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 350
Depends: python3-pyside.qtgui (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside-py3-1.1 (= 1.1.1-3), libpython3.2 (>= 3.2~a4), libqt4-opengl (>= 4:4.8.0), libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.7.0), libshiboken-py3-1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python3 (>= 3.2), python3 (<< 3.3)
Provides: python3.2-pyside.qtopengl
Homepage: http://www.pyside.org/
Priority: optional
Section: python
Filename: pool/main/p/pyside/python3-pyside.qtopengl_1.1.1-3_armhf.deb
Size: 98356
SHA256: 1742629e3492e855beb7abf81e20fb2d5f84d6f8647ab35e65372d53ece49b2c
SHA1: 617b7017e0f19b552eeb5e725fe1bdb17eebd43b
MD5sum: f4d62d235626b0fb9f712881d9f5dfea
Description: Qt 4 OpenGL module - Python3 bindings
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides Python3 bindings for the QtOpenGL module.
 .
 OpenGL is a standard API for rendering 3D graphics. OpenGL only deals with 3D
 rendering and provides little or no support for GUI programming issues.

Package: python3-pyside.qtscript
Source: pyside
Version: 1.1.1-3
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 307
Depends: python3-pyside.qtcore (= 1.1.1-3), python3-pyside.qtgui (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside-py3-1.1 (= 1.1.1-3), libpython3.2 (>= 3.2~a4), libqt4-script (>= 4:4.7.0), libqt4-scripttools (>= 4:4.7.0), libqtcore4 (>= 4:4.7.0), libshiboken-py3-1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python3 (>= 3.2), python3 (<< 3.3)
Provides: python3.2-pyside.qtscript
Homepage: http://www.pyside.org/
Priority: optional
Section: python
Filename: pool/main/p/pyside/python3-pyside.qtscript_1.1.1-3_armhf.deb
Size: 93916
SHA256: 060ab36af7c1136a82d8a0e80326ef3d40da7b2b7894194002e88aa871d583b2
SHA1: 0f5916e64c2f64ec235c739e3d97b9e6a965539d
MD5sum: 4ae6312565d398d0edd4dfaf66bb7ff6
Description: Qt 4 script module - Python3 bindings
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides Python3 bindings for the QtScript and QtScriptTools
 modules.

Package: python3-pyside.qtsql
Source: pyside
Version: 1.1.1-3
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 459
Depends: python3-pyside.qtgui (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside-py3-1.1 (= 1.1.1-3), libpython3.2 (>= 3.2~a4), libqt4-sql (>= 4:4.7.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.7.0), libshiboken-py3-1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python3 (>= 3.2), python3 (<< 3.3)
Provides: python3.2-pyside.qtsql
Homepage: http://www.pyside.org/
Priority: optional
Section: python
Filename: pool/main/p/pyside/python3-pyside.qtsql_1.1.1-3_armhf.deb
Size: 139294
SHA256: a4c25676a79c738a850ae540faea6dc97a5495f63d1fa23ca35b596003286a8f
SHA1: c32c247b238ba027b41d36d42bc3cbd91a96d620
MD5sum: 63035b622f2ed715b400710be4694e10
Description: Qt 4 SQL module - Python3 bindings
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides Python3 bindings for the QtSql module.

Package: python3-pyside.qtsvg
Source: pyside
Version: 1.1.1-3
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 174
Depends: python3-pyside.qtgui (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside-py3-1.1 (= 1.1.1-3), libpython3.2 (>= 3.2~a4), libqt4-svg (>= 4:4.7.0), libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.7.0), libshiboken-py3-1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python3 (>= 3.2), python3 (<< 3.3)
Provides: python3.2-pyside.qtsvg
Homepage: http://www.pyside.org/
Priority: optional
Section: python
Filename: pool/main/p/pyside/python3-pyside.qtsvg_1.1.1-3_armhf.deb
Size: 43212
SHA256: 375195fcbad1b226efdcf91f59304930edfe5374c4e411c3cca62904c5cc76cd
SHA1: 836c27cb9f4af05affb35996cb9b3088dc0252e6
MD5sum: 33d68e05dd86b081bfe1f1b257e7ba79
Description: Qt 4 SVG module - Python3 bindings
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides Python3 bindings for the QtSvg module.
 .
 Scalable Vector Graphics (SVG) is a language for describing two-dimensional
 graphics and graphical applications in XML.

Package: python3-pyside.qttest
Source: pyside
Version: 1.1.1-3
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 116
Depends: python3-pyside.qtgui (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside-py3-1.1 (= 1.1.1-3), libpython3.2 (>= 3.2~a4), libqt4-test (>= 4:4.7.0), libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.7.0), libshiboken-py3-1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python3 (>= 3.2), python3 (<< 3.3)
Provides: python3.2-pyside.qttest
Homepage: http://www.pyside.org/
Priority: optional
Section: python
Filename: pool/main/p/pyside/python3-pyside.qttest_1.1.1-3_armhf.deb
Size: 30454
SHA256: a1acd8e0796419aa1c8a38ec341dda55e77eeb0740f54a71b5e0c710cec87456
SHA1: b69082dd674b7efb7a2cfc21092108dc2446e29d
MD5sum: 4e92af15bd307fcbdab3362bddf92925
Description: Qt 4 test module - Python3 bindings
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides Python3 bindings for the QtTest module.

Package: python3-pyside.qtuitools
Source: pyside
Version: 1.1.1-3
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 716
Depends: python3-pyside.qtgui (= 1.1.1-3), python3-pyside.qtxml (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside-py3-1.1 (= 1.1.1-3), libpython3.2 (>= 3.2~a4), libqt4-designer (>= 4:4.7.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.7.0), libshiboken-py3-1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python3 (>= 3.2), python3 (<< 3.3)
Provides: python3.2-pyside.qtuitools
Homepage: http://www.pyside.org/
Priority: optional
Section: python
Filename: pool/main/p/pyside/python3-pyside.qtuitools_1.1.1-3_armhf.deb
Size: 243258
SHA256: e918f46589c16124784ccacb8b883468a04ec65b32061d9254fd6eeb19277785
SHA1: b8dcbd4c56780cde76e6bd2080a81625c84b8964
MD5sum: 3060b25a07bad353669820ebedfb1386
Description: Qt 4 UI tools module - Python3 bindings
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides Python3 bindings for the QtUiTools module.

Package: python3-pyside.qtwebkit
Source: pyside
Version: 1.1.1-3
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 534
Depends: python3-pyside.qtgui (= 1.1.1-3), python3-pyside.qtnetwork (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside-py3-1.1 (= 1.1.1-3), libpython3.2 (>= 3.2~a4), libqt4-network (>= 4:4.7.0), libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.7.0), libqtwebkit4 (>= 2.2.0), libshiboken-py3-1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python3 (>= 3.2), python3 (<< 3.3)
Provides: python3.2-pyside.qtwebkit
Homepage: http://www.pyside.org/
Priority: optional
Section: python
Filename: pool/main/p/pyside/python3-pyside.qtwebkit_1.1.1-3_armhf.deb
Size: 155114
SHA256: 5179f50f66706a4939c5a0d57f8fed847178ee4a3c88358e269805e792ba330e
SHA1: e84297ce6a5237297599c2c25a3d124da073fe51
MD5sum: 9a4c8fb0cd9c0be27a9bb2dba1f4c619
Description: Qt 4 WebKit module - Python3 bindings
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides Python3 bindings for the QtWebKit module.

Package: python3-pyside.qtxml
Source: pyside
Version: 1.1.1-3
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 625
Depends: python3-pyside.qtcore (= 1.1.1-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpyside-py3-1.1 (= 1.1.1-3), libpython3.2 (>= 3.2~a4), libqt4-xml (>= 4:4.7.0), libqt4-xmlpatterns (>= 4:4.7.0), libqtcore4 (>= 4:4.7.0), libshiboken-py3-1.1 (>= 1.1.0), libstdc++6 (>= 4.4.0), python3 (>= 3.2), python3 (<< 3.3)
Provides: python3.2-pyside.qtxml
Homepage: http://www.pyside.org/
Priority: optional
Section: python
Filename: pool/main/p/pyside/python3-pyside.qtxml_1.1.1-3_armhf.deb
Size: 173978
SHA256: 19e15bb38367ede950db4debd798ffc1f6d5b15a5843f46295b1623761f73261
SHA1: 88ba5b4011963f6735e9c5619c4e8d4c5b72d82c
MD5sum: 9ff4e03ebd99b8935a64810d63961ded
Description: Qt 4 XML module - Python3 bindings
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package provides Python3 bindings for the QtXml and QtXmlPatterns modules.

Package: python3-pytest
Source: pytest
Version: 2.2.4-2
Installed-Size: 385
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3-pkg-resources, python3-py (>= 1.4.8), python3 (>= 3.1.3-13~)
Size: 88128
SHA256: b4cd5cee39f4fec0752df79218503e2ce44546e70dd52a4e96af2e80fc50ea0f
SHA1: d37f7245b316f106280690ffb216a1407676d22b
MD5sum: d0bd1cbadfedab45ea015efc0fde41e3
Description: Simple, powerful testing in Python3
 This testing tool has for objective to allow the developers to limit the
 boilerplate code around the tests, promoting the use of built-in
 mechanisms such as the `assert` keyword.
 .
 This package provides the Python 3 module and the py3.test script.
Homepage: http://pytest.org/
Section: python
Priority: optional
Filename: pool/main/p/pytest/python3-pytest_2.2.4-2_all.deb

Package: python3-pytools
Source: pytools
Version: 2011.5-2
Installed-Size: 148
Maintainer: Tomasz Rybak 
Architecture: all
Depends: python3 (>= 3.2), python3-decorator, python3-numpy
Size: 30004
SHA256: 360216ab3a8e5cab22ac463d7e07979093de67ca27b951645e438adf8d4569a2
SHA1: 0ef25fa528534009f00e4f6547f1da2c05dfb6fa
MD5sum: 9cf2a99c2447f26c2608e83a9ce965a0
Description: big bag of things supplementing Python 3 standard library
 Pytools is a big bag of things that are needed by packages created by
 Andreas Kloeckner. They are used by python-pycuda and python-pyopencl
 packages. They contain, amongst other:
  * A ton of small tool functions such as len_iterable, argmin, tuple
    generation, permutation generation, ASCII table pretty printing,
    GvR's mokeypatch_xxx() hack, the elusive flatten, and much more
  * A time-series logging module, pytools.log
  * Batch job submission, pytools.batchjob
  * A lexer, pytools.lex
 .
 This package contains Python 3 modules.
Homepage: http://mathema.tician.de/software/pytools
Section: python
Priority: optional
Filename: pool/main/p/pytools/python3-pytools_2011.5-2_all.deb

Package: python3-pyudev
Source: pyudev
Version: 0.13-1
Installed-Size: 160
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.1.3-13~), libudev0 (>= 151)
Size: 32478
SHA256: 2117fe4581de753397b7135474350bcef318b789aee3b6cad12cc2008773a10c
SHA1: e4a57301d232a045afa147cd40217c5ca2b2596b
MD5sum: 9453848368184c7c0cd68189ad516d66
Description: Python3 bindings for libudev
 This module provides a Python3 binding to the udev library.
 .
 It supports additional integration for GObject, PyQt4 and PySide.
Homepage: http://packages.python.org/pyudev/
Section: python
Priority: optional
Filename: pool/main/p/pyudev/python3-pyudev_0.13-1_all.deb

Package: python3-pyxattr
Source: python-pyxattr
Version: 0.5.1-1.1
Architecture: armhf
Maintainer: Iustin Pop 
Installed-Size: 69
Depends: python3 (>= 3.2), python3 (<< 3.3), libattr1 (>= 1:2.4.46-8), libc6 (>= 2.13-28)
Suggests: python3-pyxattr-dbg
Provides: python3.2-pyxattr
Homepage: http://pyxattr.k1024.org/
Priority: optional
Section: python
Filename: pool/main/p/python-pyxattr/python3-pyxattr_0.5.1-1.1_armhf.deb
Size: 12038
SHA256: 78dfd65f2f9a3525bb9fc25f27527d5fabca33a48ad9644bd2371eb7db70e96d
SHA1: b36af183f2e0e2f9a0d4ea25a4ea18413830eed6
MD5sum: 59886bfa452e63c51c0d0dd28c5c063a
Description: module for manipulating filesystem extended attributes (Python3)
 pyxattr is a Python interface to the libattr library. It allows
 manipulation of the filesystem extended attributes present in some
 operating systems (GNU/Linux included).
 .
 This package contains the extension built for the Python3
 interpreter.

Package: python3-pyxattr-dbg
Source: python-pyxattr
Version: 0.5.1-1.1
Architecture: armhf
Maintainer: Iustin Pop 
Installed-Size: 129
Depends: python3-pyxattr (= 0.5.1-1.1), python3-dbg (>= 3.2), libattr1 (>= 1:2.4.46-8), libc6 (>= 2.13-28), python3-dbg (<< 3.3)
Provides: python3.2-pyxattr-dbg
Homepage: http://pyxattr.k1024.org/
Priority: extra
Section: debug
Filename: pool/main/p/python-pyxattr/python3-pyxattr-dbg_0.5.1-1.1_armhf.deb
Size: 27998
SHA256: d570cdf6f033c5d62f44242d61c2be79f0dc91b0f7e195032f06f48c617bc818
SHA1: 61e900a7c1bbb5862ed8ba55d4abf196d0711a14
MD5sum: 7b47eeec705e4cb5b964db1e73a39ed5
Description: module for manipulating filesystem extended attributes (Python3 debug version)
 pyxattr is a Python interface to the libattr library. It allows
 manipulation of the filesystem extended attributes present in some
 operating systems (GNU/Linux included).
 .
 This package contains the extension built for the Python3 debug
 interpreter.

Package: python3-regex
Source: python-regex
Version: 0.1.20120613-1
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 655
Depends: libc6 (>= 2.13-28), python3 (>= 3.2)
Homepage: https://code.google.com/p/mrab-regex-hg/
Priority: optional
Section: python
Filename: pool/main/p/python-regex/python3-regex_0.1.20120613-1_armhf.deb
Size: 195714
SHA256: ca3c525a77040460b29d54030fa07710c80cd615d891bfb2cf68e3f6fdc7cf00
SHA1: c8b96f0aec1ff514a05b35c68354807fbd074a04
MD5sum: 2dfcee55f917827f22551e3c4de32464
Description: alternative regular expression module (Python 3)
 This new regex implementation is intended eventually to replace Python's
 current re module implementation.
 .
 For testing and comparison with the current 're' module the new implementation
 is in the form of a module called 'regex'.
 .
 This is the Python 3 version of the package.

Package: python3-regex-dbg
Source: python-regex
Version: 0.1.20120613-1
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 1112
Depends: libc6 (>= 2.13-28), python3-all-dbg, python3-regex (= 0.1.20120613-1), python3-dbg (>= 3.2)
Homepage: https://code.google.com/p/mrab-regex-hg/
Priority: extra
Section: debug
Filename: pool/main/p/python-regex/python3-regex-dbg_0.1.20120613-1_armhf.deb
Size: 380294
SHA256: b7f74cb0915552fc820ef774d5999998c0223dd0387a2b6e65b351ec3523ed43
SHA1: 7b7cbbbbb9f6f98f3ec210ee75080f6efa65f62c
MD5sum: 54df503461601a85d69c45f0aece70ed
Description: alternative regular expression module (Python 3 debug extension)
 This new regex implementation is intended eventually to replace Python's
 current re module implementation.
 .
 For testing and comparison with the current 're' module the new implementation
 is in the form of a module called 'regex'.
 .
 This package contains the debug extension for python3-regex.

Package: python3-requests
Source: requests
Version: 0.12.1-1+deb7u1
Installed-Size: 224
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.2.3-3~), ca-certificates, python3-six
Size: 55238
SHA256: fbc660c2c9acaee16c7c47daf5a2280375f3ce9f02682f915b591b61003424a2
SHA1: 60b2d2362473e6f283741ac0ec6e3af6a6860340
MD5sum: 7272fa5eb23e9d0f4db626ddfd952cf7
Description: elegant and simple HTTP library for Python3, built for human beings
 Requests allow you to send HTTP/1.1 requests. You can add headers, form data,
 multipart files, and parameters with simple Python dictionaries, and access the
 response data in the same way. It's powered by httplib and urllib3, but it does
 all the hard work and crazy hacks for you.
 .
 Features
 .
   - International Domains and URLs
   - Keep-Alive & Connection Pooling
   - Sessions with Cookie Persistence
   - Browser-style SSL Verification
   - Basic/Digest Authentication
   - Elegant Key/Value Cookies
   - Automatic Decompression
   - Unicode Response Bodies
   - Multipart File Uploads
   - Connection Timeouts
 .
 This package contains the Python 3 version of the library.
Homepage: http://python-requests.org
Recommends: python3-chardet
Section: python
Priority: optional
Filename: pool/main/r/requests/python3-requests_0.12.1-1+deb7u1_all.deb

Package: python3-roman
Source: python-docutils
Version: 0.8.1-8
Installed-Size: 101
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Size: 51436
SHA256: b4c7c18e8a6c299fee748d90f1ecda4c47bad038dcac91506cc4331d0fa8a38a
SHA1: 22eadd2ea8273e2cac49d5cb01d74bf0b8384b1b
MD5sum: 7ac84d5c2dbfcbfdc18968a010d83300
Description: module for generating/analyzing Roman numerals for Python 3
 This module converts from and to Roman numerals. It can convert numbers
 from 1 to 4999 and understands the common shortcuts (IX == 9), but not
 illegal ones (MIM == 1999).
 .
 This package includes Python 3 modules.
Homepage: http://docutils.sourceforge.net/
Tag: devel::lang:python, devel::library, implemented-in::python,
 role::devel-lib
Section: python
Priority: optional
Filename: pool/main/p/python-docutils/python3-roman_0.8.1-8_all.deb

Package: python3-scipy
Source: python-scipy
Version: 0.10.1+dfsg2-1
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 17312
Depends: python3-numpy (>= 1:1.6.1), python3-numpy-abi9, python3 (>= 3.2.3-3~), python3 (<< 3.3), libamd2.2.0 (>= 1:3.4.0), libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), liblapack3 | liblapack.so.3 | libatlas3-base, libstdc++6 (>= 4.4.0), libumfpack5.4.0 (>= 1:3.4.0)
Recommends: g++ | c++-compiler
Homepage: http://www.scipy.org/
Priority: extra
Section: python
Filename: pool/main/p/python-scipy/python3-scipy_0.10.1+dfsg2-1_armhf.deb
Size: 6164240
SHA256: 56f07fd96f9e5f8e4cdd903b45983c44c75a3587abf7a012da2f7fe7a0b30a82
SHA1: 0179229509626803c0da01b8a19a92d434298b29
MD5sum: 46a0ab840896d8ed1e07cb5c9fe792ed
Description: scientific tools for Python 3
 SciPy supplements the popular NumPy module (python-numpy package), gathering a
 variety of high level science and engineering modules together as a single
 package.
 .
 SciPy is a set of Open Source scientific and numeric tools for Python. It
 currently supports special functions, integration, ordinary differential
 equation (ODE) solvers, gradient optimization, genetic algorithms, parallel
 programming tools, an expression-to-C++ compiler for fast execution, and
 others.
 .
 This package provides the Python 3 version.

Package: python3-scipy-dbg
Source: python-scipy
Version: 0.10.1+dfsg2-1
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 40878
Depends: python3-dbg (>= 3.2), python3-numpy-dbg, python3-scipy (= 0.10.1+dfsg2-1), python3-numpy (>= 1:1.6.1), python3-numpy-abi9, python3-dbg (<< 3.3), libamd2.2.0 (>= 1:3.4.0), libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), liblapack3 | liblapack.so.3 | libatlas3-base, libstdc++6 (>= 4.4.0), libumfpack5.4.0 (>= 1:3.4.0)
Homepage: http://www.scipy.org/
Priority: extra
Section: debug
Filename: pool/main/p/python-scipy/python3-scipy-dbg_0.10.1+dfsg2-1_armhf.deb
Size: 12340614
SHA256: 8baae0d479b68ac77f571e349ccd026f29a3bb629b1a0f20511ade2c6b19dd8d
SHA1: cb8f5fdc6e1121808260b88191104e93ab9b1f1f
MD5sum: 9c13968dfe55118ca9d874f0ff00d359
Description: scientific tools for Python 3 - debugging symbols
 SciPy supplements the popular NumPy module (python-numpy package), gathering a
 variety of high level science and engineering modules together as a single
 package.
 .
 SciPy is a set of Open Source scientific and numeric tools for Python. It
 currently supports special functions, integration, ordinary differential
 equation (ODE) solvers, gradient optimization, genetic algorithms, parallel
 programming tools, an expression-to-C++ compiler for fast execution, and
 others.
 .
 This package provides debugging symbols for python3-scipy.

Package: python3-serial
Source: pyserial
Version: 2.5-2.1
Installed-Size: 288
Maintainer: Matthias Klose 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Suggests: python3-wxgtk2.8 | python3-wxgtk
Size: 51772
SHA256: d2f6835e33c3e1061d3804792559a15b90e74d8b9c4f08dc6b29196f42f8912a
SHA1: c485fe5ff7ae53d17300ce62e4eba3972c6f4396
MD5sum: 19b39bc4d7f2a267e5cfa70061a223c8
Description: pyserial - module encapsulating access for the serial port
 This module capsulates the access for the serial port. It provides
 backends for standard Python running on Windows, Linux, BSD (possibly
 any POSIX compilant system). The module named "serial" automatically
 selects the appropriate backend.
Section: python
Priority: optional
Filename: pool/main/p/pyserial/python3-serial_2.5-2.1_all.deb

Package: python3-setuptools
Source: distribute
Version: 0.6.24-1
Installed-Size: 939
Maintainer: Matthias Klose 
Architecture: all
Provides: python3.2-setuptools
Depends: python3 (>= 3.1.2-8~), python3-pkg-resources (= 0.6.24-1)
Conflicts: python-setuptools (= 0.6.10-2)
Size: 363228
SHA256: 0b9a5c559190d498803d90c8fec09c68af979c0a8aa9ba950876189295ac76f1
SHA1: 9bcf393c43a027c008affef1078f99f7cc38f954
MD5sum: e2495808351e2e6562f74b8523f316b7
Description: Python3 Distutils Enhancements (setuptools compatibility)
 Extensions to the python-distutils for large or complex distributions.
 .
 Package providing compatibility with old setuptools (0.6c9).
Homepage: http://packages.python.org/distribute
Section: python
Priority: optional
Filename: pool/main/d/distribute/python3-setuptools_0.6.24-1_all.deb

Package: python3-simplegeneric
Source: simplegeneric
Version: 0.8.1-1
Installed-Size: 61
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Size: 11416
SHA256: a350a2ab3719d3094e23f1292a67f223090844f9cfbdea44993ec0b82f310e33
SHA1: 3de03491461d39cf1c1e462568917ddb8896507f
MD5sum: 84511272e05c05d278da17d0d280e084
Description: simple generic functions for Python3
 The simplegeneric module lets you define simple single-dispatch generic
 functions, akin to Python's built-in generic functions like len(), iter() and
 so on. However, instead of using specially-named methods, these generic
 functions use simple lookup tables, akin to those used by e.g. pickle.dump()
 and other generic functions found in the Python standard library.
 .
 This package contains the Python 3 version of the library.
Homepage: http://pypi.python.org/pypi/simplegeneric
Section: python
Priority: optional
Filename: pool/main/s/simplegeneric/python3-simplegeneric_0.8.1-1_all.deb

Package: python3-simpy
Source: python-simpy
Version: 2.3.1-1
Installed-Size: 235
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Recommends: python-simpy-doc
Size: 41582
SHA256: 956558e7117c6a45a852e31eb3341c2149527ed769de862aeb5e8130847dbc79
SHA1: 18824619720a80bf3d4073360ab4d23224a17af4
MD5sum: 0048237dea5bb98ed674b47f6d9d3ed8
Description: python-based simulation package (Python3 version)
 SimPy is a process-based discrete-event simulation language based on
 standard Python.
 .
 It provides the modeller with components of a simulation  model.
 These include processes, for active components like customers, messages,
 and vehicles, and resources, for passive components that form limited
 capacity congestion points like servers, checkout counters, and tunnels.
 It also provides monitor variables to aid in gathering statistics.
 SimPy comes with extensive plotting capabilities.
 .
 This package contains the Python3 version of SimPy.
Homepage: http://simpy.sourceforge.net/
Section: python
Priority: optional
Filename: pool/main/p/python-simpy/python3-simpy_2.3.1-1_all.deb

Package: python3-sip
Source: sip4
Version: 4.13.3-2
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 238
Depends: python3 (>= 3.2), python3 (<< 3.3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1)
Provides: python3.2-sip, sip-py3api-8.0, sip-py3api-8.1
Homepage: http://www.riverbankcomputing.co.uk/software/sip/
Priority: optional
Section: python
Filename: pool/main/s/sip4/python3-sip_4.13.3-2_armhf.deb
Size: 76180
SHA256: 1edf89a241531e3b3115c077e066c3ace0524725d720e8b648c2d8d580d499fb
SHA1: 5fb40205eadd6267e2f22ab0d7b21081f5d79905
MD5sum: 0df39fa096dfd9fbf46e887380f970bf
Description: Python 3/C++ bindings generator runtime library
 SIP is a tool for generating bindings for C++ classes with some ideas
 borrowed from SWIG, but capable of tighter bindings because of its
 specificity towards C++ and Python.
 .
 This package provides SIP with support for Python 3

Package: python3-sip-dbg
Source: sip4
Version: 4.13.3-2
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 743
Depends: python3-sip (= 4.13.3-2), python3-dbg, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1)
Homepage: http://www.riverbankcomputing.co.uk/software/sip/
Priority: extra
Section: debug
Filename: pool/main/s/sip4/python3-sip-dbg_4.13.3-2_armhf.deb
Size: 285818
SHA256: 496a98e11efb24ed2efb9f102b0aa80db70548e616c94c2e3dce2aa9407fdd08
SHA1: b475e619371a60f24c5e1172fb7a801a917cd34d
MD5sum: 679f031100ed650b3f4dfdba5efd2c5f
Description: Python 3/C++ bindings generator runtime library (debug extension)
 SIP is a tool for generating bindings for C++ classes with some ideas
 borrowed from SWIG, but capable of tighter bindings because of its
 specificity towards C++ and Python.
 .
 This package contains debugging symbols for python3-sip

Package: python3-sip-dev
Source: sip4
Version: 4.13.3-2
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 136
Depends: python3-sip (= 4.13.3-2), python3-dev
Suggests: python-sip-doc
Homepage: http://www.riverbankcomputing.co.uk/software/sip/
Priority: optional
Section: python
Filename: pool/main/s/sip4/python3-sip-dev_4.13.3-2_armhf.deb
Size: 33630
SHA256: 86a0eb0cb1e205101154d1d05035dd12ecb308c5b02c4b8dfb2b0ddd837b983c
SHA1: d9e56a36e8d9d3e0ec51d07962832987ebb56003
MD5sum: 6e9640f47b82cc1de452139e8303f6b6
Description: Python 3/C++ bindings generator development files
 SIP is a tool for generating bindings for C++ classes with some ideas
 borrowed from SWIG, but capable of tighter bindings because of its
 specificity towards C++ and Python.
 .
 SIP was originally designed to generate Python bindings for KDE and so
 has explicit support for the signal slot mechanism used by the Qt/KDE
 class libraries.
 .
 Features:
     - connecting Qt signals to Python functions and class methods
     - connecting Python signals to Qt slots
     - overloading virtual member functions with Python class methods
     - protected member functions
     - abstract classes
     - enumerated types
     - global class instances
     - static member functions.
 .
 This package contains the code generator tool and the development headers
 needed to develop Python 3 bindings with sip.

Package: python3-six
Source: six
Version: 1.1.0-2
Installed-Size: 49
Maintainer: Colin Watson 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Size: 5896
SHA256: dfcf27d51f663147f0a9a7d911f2e95b8a67bec0635fcd4bbb49356b79dcf753
SHA1: 426e18bae3eb38bab949a33130e8cb4780bc4339
MD5sum: 7c0dfacbddc058b23d8ca3284c5067aa
Description: Python 2 and 3 compatibility library (Python 3 interface)
 Six is a Python 2 and 3 compatibility library. It provides utility
 functions for smoothing over the differences between the Python versions
 with the goal of writing Python code that is compatible on both Python
 versions.
 .
 This package provides Six on the Python 3 module path. It is complemented
 by python-six.
Homepage: http://packages.python.org/six/
Section: python
Priority: optional
Filename: pool/main/s/six/python3-six_1.1.0-2_all.deb

Package: python3-sleekxmpp
Source: sleekxmpp
Version: 1.0~beta5-2
Installed-Size: 664
Maintainer: Jonas Smedegaard 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Suggests: python3-dnspython
Size: 140586
SHA256: 727f659d363c1f0b3112a47313af1086c245c50259486ba95f2921b61e80f91c
SHA1: 5cb45bc444bd1daa74d62b986821074774c43f11
MD5sum: c67bd1ae276a390cc6e2a381e2db14ac
Description: Python XMPP (Jabber) Library Implementing Everything as a Plugin
 SleekXMPP is a pet project of an XMPP enthusiast. The goals of the
 project are ease of implementation, and complete draft XEP (XMPP
 Extended Protocol) coverage. Ideally the community will be able to use
 this for bots, easy XEP protocoling, etc.
Homepage: http://wiki.github.com/fritzy/SleekXMPP
Section: python
Priority: optional
Filename: pool/main/s/sleekxmpp/python3-sleekxmpp_1.0~beta5-2_all.deb

Package: python3-slimmer
Source: python-slimmer
Version: 0.1.30-6
Installed-Size: 119
Maintainer: Janos Guljas 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Size: 22410
SHA256: 1bda1d80db901c9b3a1856b41a0c725d9de577b8895c7cb0a967df712a801694
SHA1: b1255150407fe31b0656eb77e3e84682681a5be7
MD5sum: bbdd1ee1f0c9c8638f7a6c8a649bbd3b
Description: HTML, XHTML, CSS, JavaScript optimizer for Python3
 Slimmer is a simple set of functions for compressing/optimizing
 HTML, XHTML and CSS documents as strings.
 .
 This package provides module for python3 series.
Homepage: http://pypi.python.org/pypi/slimmer/
Section: python
Priority: optional
Filename: pool/main/p/python-slimmer/python3-slimmer_0.1.30-6_all.deb

Package: python3-spf
Source: pyspf
Version: 2.0.7-3
Installed-Size: 142
Maintainer: Scott Kitterman 
Architecture: all
Depends: python3 (>= 3.2.3-3~), python3-dns
Recommends: python3-authres
Suggests: python3-yaml
Size: 49884
SHA256: 34dddd785a52c428c79b37179d1d8a28fb13d4f938b34a18d5c2cd31bcee1c0e
SHA1: 1940fb4dda2e37c30bc22ab0abc78599ca39bf0e
MD5sum: 760ca977427cbb3d69c603f1e1356c4c
Description: sender policy framework (SPF) module for Python 3
 This module provides SPF (Sender Policy Framework) for Python 3.  It is RFC
 4408 compliant.
 .
 For more information about SPF see http://www.openspf.org/
Homepage: http://cheeseshop.python.org/pypi/pyspf/
Section: python
Priority: optional
Filename: pool/main/p/pyspf/python3-spf_2.0.7-3_all.deb

Package: python3-sphinx
Source: sphinx
Version: 1.1.3+dfsg-4
Installed-Size: 1230
Maintainer: Jakub Wilk 
Architecture: all
Depends: python3 (>= 3.1.3-13~), python3-docutils (>= 0.7), python3-pygments (>= 1.2), python3-jinja2 (>= 2.3), sphinx-common (= 1.1.3+dfsg-4)
Recommends: python3-imaging
Suggests: jsmath, libjs-mathjax, texlive-latex-recommended, texlive-latex-extra, texlive-fonts-recommended, sphinx-doc
Size: 309370
SHA256: 3f3ded80bae45d13d50cd5be8f79de48f7f07d582115af2c727cea08e9908332
SHA1: 7a9e674084a31f0ef0a4d32baa0864719236bf42
MD5sum: a99b1927a97804aae5e33d15d53d1a8b
Description: documentation generator for Python projects (implemented in Python 3)
 Sphinx is a tool for producing documentation for Python projects, using
 reStructuredText as markup language.
 .
 Sphinx features:
  * HTML, CHM, LaTeX output,
  * Cross-referencing source code,
  * Automatic indices,
  * Code highlighting, using Pygments,
  * Extensibility. Existing extensions:
    - automatic testing of code snippets,
    - including docstrings from Python modules.
 .
 This package includes Python 3 modules.
Homepage: http://sphinx.pocoo.org/
Section: python
Priority: optional
Filename: pool/main/s/sphinx/python3-sphinx_1.1.3+dfsg-4_all.deb

Package: python3-sqlalchemy
Source: sqlalchemy
Version: 0.7.8-1
Installed-Size: 2824
Maintainer: Piotr Ożarowski 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Suggests: python-sqlalchemy-doc
Size: 552962
SHA256: 501eb7fd566f135a3c2912ddbbe867585e6ba9eb202553ce4eb78c1339bb6287
SHA1: 0eb15b9de28741ec5cd32c8ab619e33c1979bd53
MD5sum: df1eb5c179edba7cac627efbd3c7452f
Description: SQL toolkit and Object Relational Mapper for Python 3
 SQLAlchemy is an SQL database abstraction library for Python.
 Its strengths are:
  * full power and flexibility of SQL. SQLAlchemy provides a full suite
    of well known enterprise-level persistence patterns, designed for
    efficient and high-performing database access, adapted into a simple
    and Pythonic domain language.
  * extremely easy to use for all the basic tasks, such as: accessing pooled
    connections, constructing SQL from Python expressions, finding object
    instances, and committing object modifications back to the database.
  * powerful enough for complicated tasks, such as: eager load a graph of
    objects and their dependencies via joins; map recursive adjacency
    structures automatically; map objects to not just tables but to any
    arbitrary join or select statement; combine multiple tables together to
    load whole sets of otherwise unrelated objects from a single result set;
    commit entire graphs of object changes in one step.
  * built to conform to what DBAs demand, including the ability to swap out
    generated SQL with hand-optimized statements, full usage of bind parameters
    for all literal values, fully transactionalized and consistent updates
    using Unit of Work.
  * modular. Different parts of SQLAlchemy can be used independently of the
    rest, including the connection pool, SQL construction, and ORM. SQLAlchemy
    is constructed in an open style that allows plenty of customization, with
    an architecture that supports custom datatypes, custom SQL extensions, and
    ORM plugins which can augment or extend mapping functionality.
Homepage: http://www.sqlalchemy.org/
Section: python
Priority: optional
Filename: pool/main/s/sqlalchemy/python3-sqlalchemy_0.7.8-1_all.deb

Package: python3-stdnum
Source: python-stdnum
Version: 0.7-1
Installed-Size: 387
Maintainer: Arthur de Jong 
Architecture: all
Depends: python3 (>= 3.1.3-13~), python3-setuptools, python3-pkg-resources
Size: 91370
SHA256: 7abb752761a8e3d2e49075936f8060e63ed53509708a3918585bc1e95d9d0b43
SHA1: b824328de40a5f583e0179d737e863fc20a10f90
MD5sum: aea5f300140cadf860942060ddb5cd52
Description: Python module to handle standardized numbers and codes (Python3 version)
 A Python module to parse, validate and reformat standard numbers and codes
 in different formats.
 .
 Currently this package supports the following formats:
 .
  * UID (Umsatzsteuer-Identifikationsnummer, Austrian VAT number)
  * BTW, TVA, NWSt (Belgian VAT number)
  * EGN (ЕГН, Единен граждански номер, Bulgarian personal identity codes)
  * PNF (ЛНЧ, Личен номер на чужденец, Bulgarian number of a foreigner)
  * VAT (Идентификационен номер по ДДС, Bulgarian VAT number)
  * CPF (Cadastro de Pessoas Físicas, Brazillian national identifier)
  * Αριθμός Εγγραφής Φ.Π.Α. (Cypriot VAT number)
  * DIČ (Daňové identifikační číslo, Czech VAT number)
  * RČ (Rodné číslo, the Czech birth number)
  * Ust ID Nr. (Umsatzsteur Identifikationnummer, the German VAT number)
  * CPR (personnummer, the Danish citizen number)
  * CVR (Momsregistreringsnummer, Danish VAT number)
  * EAN (International Article Number)
  * KMKR (Käibemaksukohuslase, Estonian VAT number)
  * CIF (Certificado de Identificación Fiscal, Spanish company tax number)
  * DNI (Documento nacional de identidad, Spanish personal identity codes)
  * NIE (Número de Identificación de Extranjeros, Spanish foreigner number)
  * NIF (Número de Identificación Fiscal, Spanish VAT number)
  * VAT (European Union VAT number)
  * ALV nro (Arvonlisäveronumero, Finnish VAT number)
  * HETU (Henkilötunnus, Finnish personal identity code)
  * SIREN (a French company identification number)
  * n° TVA (taxe sur la valeur ajoutée, French VAT number)
  * VAT (United Kingdom (and Isle of Man) VAT registration number)
  * FPA, ΦΠΑ (Foros Prostithemenis Aksias, the Greek VAT number)
  * GRid (Global Release Identifier)
  * OIB (Osobni identifikacijski broj, Croatian identification number)
  * ANUM (Közösségi adószám, Hungarian VAT number)
  * IBAN (International Bank Account Number)
  * PPS No (Personal Public Service Number, Irish personal number)
  * VAT (Irish VAT number)
  * IMEI (International Mobile Equipment Identity)
  * IMSI (International Mobile Subscriber Identity)
  * ISAN (International Standard Audiovisual Number)
  * ISBN (International Standard Book Number)
  * ISIL (International Standard Identifier for Libraries)
  * ISMN (International Standard Music Number)
  * ISSN (International Standard Serial Number)
  * Partita IVA (Italian VAT number)
  * PVM (Pridėtinės vertės mokestis mokėtojo kodas, Lithuanian VAT number)
  * TVA (taxe sur la valeur ajoutée, Luxembourgian VAT number)
  * PVN (Pievienotās vērtības nodokļa, Latvian VAT number)
  * MEID (Mobile Equipment Identifier)
  * VAT (Maltese VAT number)
  * BSN (Burgerservicenummer, the Dutch national identification number)
  * BTW-nummer (Omzetbelastingnummer, the Dutch VAT number)
  * Onderwijsnummer (Dutch school number)
  * NIP (Numer Identyfikacji Podatkowej, Polish VAT number)
  * NIF (Número de identificação fiscal, Portuguese VAT number)
  * CF (Cod de înregistrare în scopuri de TVA, Romanian VAT number)
  * CNP (Cod Numeric Personal, Romanian Numerical Personal Code)
  * VAT (Moms, Mervärdesskatt, Swedish VAT number)
  * ID za DDV (Davčna številka, Slovenian VAT number)
  * IČ DPH (IČ pre daň z pridanej hodnoty, Slovak VAT number)
  * RČ (Rodné číslo, the Slovak birth number)
  * SSN (U.S. Social Security Number)
 .
 Furthermore a number of generic check digit algorithms are available:
 .
  * the Verhoeff algorithm
  * the Luhn and Luhn mod N algorithms
  * some algorithms described in ISO/IEC 7064: Mod 11, 2, Mod 37, 2,
    Mod 97, 10, Mod 11, 10 and Mod 37, 36
 .
 This package contains the Python 3 version of the library.
Homepage: http://arthurdejong.org/python-stdnum/
Section: python
Priority: optional
Filename: pool/main/p/python-stdnum/python3-stdnum_0.7-1_all.deb

Package: python3-stemmer
Source: pystemmer
Version: 1.2.0+dfsg-1
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 78
Depends: python3 (>= 3.2), python3 (<< 3.3), libc6 (>= 2.13-28), libstemmer0d (>= 0+svn527)
Suggests: python-stemmer-doc
Homepage: http://snowball.tartarus.org/
Priority: optional
Section: python
Filename: pool/main/p/pystemmer/python3-stemmer_1.2.0+dfsg-1_armhf.deb
Size: 20372
SHA256: ccbe4544800e6ef5a50d1731283e94d493fa28cfd12707cc7046560c4f030408
SHA1: 7172c0691cc9adc83192abb44bb0c58edf41b73e
MD5sum: 107c4b8985c69d82af37667faa38a027
Description: Python 3 bindings for libstemmer - snowball stemming algorithms
 PyStemmer provides access to efficient algorithms for calculating a "stemmed"
 form of a word.  This is a form with most of the common morphological endings
 removed; hopefully representing a common linguistic base form.  This is most
 useful in building search engines and information retrieval software; for
 example, a search with stemming enabled should be able to find a document
 containing "cycling" given the query "cycles".
 .
 PyStemmer provides algorithms for several (mainly European) languages, by
 wrapping the libstemmer library from the Snowball project in a Python 3
 module.
 .
 It also provides access to the classic Porter stemming algorithm for English:
 although this has been superseded by an improved algorithm, the original
 algorithm may be of interest to information retrieval researchers wishing to
 reproduce results of earlier experiments.

Package: python3-stemmer-dbg
Source: pystemmer
Version: 1.2.0+dfsg-1
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 220
Depends: python3-stemmer (= 1.2.0+dfsg-1), python3-dbg (>= 3.2), python3-dbg (<< 3.3), libc6 (>= 2.13-28), libstemmer0d (>= 0+svn527)
Homepage: http://snowball.tartarus.org/
Priority: extra
Section: debug
Filename: pool/main/p/pystemmer/python3-stemmer-dbg_1.2.0+dfsg-1_armhf.deb
Size: 65726
SHA256: 49c8ba55c7422fccab3cdda1b0498381e11d6b8c8080b6a9df9bc1ad3b449b5d
SHA1: eda850744548a0d85018a34f4936ed4c1f9a1987
MD5sum: ff2be8f63e5c4058adbc6ad17b7fb81a
Description: Python 3 bindings for libstemmer (debug extension)
 PyStemmer provides access to efficient algorithms for calculating a "stemmed"
 form of a word.  This is a form with most of the common morphological endings
 removed; hopefully representing a common linguistic base form.
 .
 PyStemmer provides algorithms for several (mainly European) languages, by
 wrapping the libstemmer library from the Snowball project in a Python 3
 module.
 .
 This package contains the extensions built for the Python 3 debug interpreter.

Package: python3-stsci.distutils
Source: stsci.distutils
Version: 0.3-1
Installed-Size: 126
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.1.3-13~), python3-pkg-resources, python3-d2to1
Size: 25030
SHA256: b152ebf92607a61da03fda5e58149afdcc4ac7135a2b1cdb49d984ef0804fa0b
SHA1: d37798a1bad55cefd707a41a51d7de462cb6d5bb
MD5sum: 871cf92e41269f7e1bcf3fe48705c6ee
Description: Python3 packaging utilities for STScI's packages
 This package contains utilities used to package some of STScI's Python
 projects; specifically those projects that comprise stsci_python_ and
 Astrolib_. It currently consists mostly of some setup_hook scripts meant
 for use with distutils2 and/or d2to1, and a customized easy_install
 command meant for use with distribute.
 .
 This is the Python 3 version.
Homepage: http://pypi.python.org/pypi/stsci.distutils
Section: python
Priority: optional
Filename: pool/main/s/stsci.distutils/python3-stsci.distutils_0.3-1_all.deb

Package: python3-subunit
Source: subunit
Version: 0.0.8+bzr176-1
Installed-Size: 124
Maintainer: Jelmer Vernooij 
Architecture: all
Depends: python3 (>= 3.1.3-13~), python3-testtools (>= 0.9.4)
Size: 32562
SHA256: da48edd33f42e0b655bd7f9cf53c70603fca02d601a46599010de49d86d2c93f
SHA1: 2be162f6a89c6c5079d304a70f9dbba67f089200
MD5sum: 715024648f110eeefd0b5af47b5fa709
Description: unit testing protocol - Python3 bindings to generate and consume streams
 subunit is a protocol for test activity serialisation deserialisation. This
 permits executing tests remotely, or saving the result of test runs for later
 introspection.
 .
 The python3-subunit package includes the Python version 3 language bindings.
Homepage: http://code.launchpad.net/subunit
Section: python
Priority: optional
Filename: pool/main/s/subunit/python3-subunit_0.0.8+bzr176-1_all.deb

Package: python3-sunlight
Source: python-sunlight
Version: 1.1.5-1
Installed-Size: 79
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Suggests: python-sunlight-doc
Size: 11482
SHA256: 9114a0a75a2efd565f03108265c185cd41a011f2e6945bf0039efae610547c70
SHA1: adf11ea63704e684520ff57ef4c2034b4f23d504
MD5sum: 9eda91a33b461aae16e49d6eaa543665
Description: set of bindings to access U.S. government data (Python 3)
 This module can be used to access U.S. government data, as provided by
 The Sunlight Foundation's API services. Data provided includes such data
 as federal and state-local legislators, legislative instruments, and searches
 against the congressional record.
 .
 This package is for use by developers or other applications, and does not
 provide any userspace scripts or binaries.
 .
 This package provides Python 3 module bindings only.
Homepage: https://github.com/sunlightlabs/python-sunlight
Section: python
Priority: optional
Filename: pool/main/p/python-sunlight/python3-sunlight_1.1.5-1_all.deb

Package: python3-svipc
Source: yp-svipc
Version: 0.14-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 86
Depends: python3-numpy (>= 1:1.6.1), python3-numpy-abi9, python3 (>= 3.2), python3 (<< 3.3), libc6 (>= 2.13-28)
Suggests: yorick-svipc, python-svipc
Homepage: https://github.com/mdcb/yp-svipc
Priority: extra
Section: python
Filename: pool/main/y/yp-svipc/python3-svipc_0.14-2_armhf.deb
Size: 20258
SHA256: 564725eff532da65542ef62694ae237b63f314843ec261ef4e76f6ddb71e409b
SHA1: 30b3aa1ff61925bc610ce8940192a47a44485685
MD5sum: 94f5f8d8b7731f09fcd621bdaa68fc2a
Description: interprocess communication (shared memory...) for Python 3
 This package allows one to access the System V Interprocess
 communication mechanisms from within Python 3:
   + message queues;
   + semaphore sets;
   + shared memory segments.
 .
 This plug-in serves primarily two use cases:
   + parallel (multiprocess) computing;
   + mixed applications (e.g. python/yorick).
 .
 A sibling package is available for Yorick: yorick-svipc

Package: python3-tempita
Source: python-tempita
Version: 0.5.1-1
Installed-Size: 132
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Size: 14648
SHA256: e1da0ee2e2fad3ab050024ab14f53f9a49a9d488921f01e336560b9645f54f59
SHA1: ddb08a8a8aa7539015888a780e97148481c74ec6
MD5sum: b3c035d536e714023918c7e19569b885
Description: very small text templating language
 Tempita is a small and simple Python text templating language mainly used
 by Paster (python-pastescript) to roll out new projects.
Section: python
Priority: optional
Filename: pool/main/p/python-tempita/python3-tempita_0.5.1-1_all.deb

Package: python3-testtools
Source: python-testtools
Version: 0.9.14-2
Installed-Size: 455
Maintainer: Robert Collins 
Architecture: all
Depends: python3 (>= 3.1.3-13~), python3-pkg-resources
Size: 99650
SHA256: 5baf9cb91e814216fab2e3b7e113b59574503b04b4bcf5970fdc839e31639602
SHA1: 66a461d3f4abcd7eed3b4f80f7b6bbb21bef207e
MD5sum: c100eff45091c2027fcc404516bd6cac
Description: Extensions to the Python unittest library
 testtools (formerly pyunit3k) is a set of extensions to the Python standard
 library's unit testing framework. These extensions have been derived from
 years of experience with unit testing in Python and come from many different
 sources. It's hoped that these extensions will make their way into the
 standard library eventually. Also included are backports from Python trunk of
 unittest features that are not otherwise available to existing unittest users.
Homepage: https://launchpad.net/testtools
Section: python
Priority: optional
Filename: pool/main/p/python-testtools/python3-testtools_0.9.14-2_all.deb

Package: python3-tk
Source: python3-stdlib-extensions
Version: 3.2.3-1
Architecture: armhf
Maintainer: Matthias Klose 
Installed-Size: 100
Depends: python3 (>= 3.2), python3 (<< 3.3), blt (>= 2.4z-4.1), libc6 (>= 2.13-28), libx11-6, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0)
Suggests: tix, python3-tk-dbg
Provides: python3.2-tk
Priority: optional
Section: python
Filename: pool/main/p/python3-stdlib-extensions/python3-tk_3.2.3-1_armhf.deb
Size: 25618
SHA256: 73757a57c5ca4ea7d4c3021412e6ad763ad95dac8a4457342a5e7c437b628d75
SHA1: d0bf3fb4908bff244b83932dfb8fc6802370317a
MD5sum: 84fe052924834fdd3f5b1d0538dad548
Description: Tkinter - Writing Tk applications with Python 3.x
 A module for writing portable GUI applications with Python 3.x using Tk.
 Also known as Tkinter.

Package: python3-tk-dbg
Source: python3-stdlib-extensions
Version: 3.2.3-1
Architecture: armhf
Maintainer: Matthias Klose 
Installed-Size: 244
Depends: python3-tk (= 3.2.3-1), blt (>= 2.4z-4.1), libc6 (>= 2.13-28), libx11-6, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0)
Priority: extra
Section: debug
Filename: pool/main/p/python3-stdlib-extensions/python3-tk-dbg_3.2.3-1_armhf.deb
Size: 75666
SHA256: 87b1f06e6f8e2038c2bb2a5baaf566d433e9e2ea3600637bd75ca307f7bb297a
SHA1: f8c965e4ac24beea673d79c5f98bbe5fc87bf3fe
MD5sum: 4d70e4d0447acd760b7cd2613696157b
Description: Tkinter - Writing Tk applications with Python 3.x (debug extension)
 A module for writing portable GUI applications with Python using Tk.
 Also known as Tkinter.
 .
 This package contains the extensions built for the python3 debug interpreter.

Package: python3-tornado
Source: python-tornado
Version: 2.3-2+deb7u1
Installed-Size: 744
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: ca-certificates, python3 (>= 3.2.3-3~)
Size: 181990
SHA256: b9006daeb2ae85e102f719988fe3f3ae50f2b8f2073ffaaf7b4089c7a6f9e37d
SHA1: 7fd7a6f0bc3331a8a226675407d1002bd87bb8f8
MD5sum: f6f4413807132a9984a136ee3cb054d5
Description: scalable, non-blocking web server and tools - Python 3 package
 Tornado is an open source version of the scalable, non-blocking
 web server and tools that power FriendFeed. The FriendFeed application
 is written using a web framework that looks a bit like web.py or
 Google's webapp, but with additional tools and optimizations to take
 advantage of the underlying non-blocking infrastructure.
 .
 This is the Python 3 version of the package.
Homepage: http://www.tornadoweb.org/
Section: python
Priority: optional
Filename: pool/main/p/python-tornado/python3-tornado_2.3-2+deb7u1_all.deb

Package: python3-tz
Source: python-tz
Version: 2012c-1
Installed-Size: 151
Maintainer: Debian/Ubuntu Zope Team 
Architecture: all
Depends: tzdata, python3 (>= 3.1.3-13~)
Size: 31734
SHA256: ce6707443913ac0922c5358a0862fc92c157512ad2a98dd74152f95d92062f59
SHA1: 9513d77b9341c0ea7b6e3990273403c3fb5b20ad
MD5sum: e3dbdeae793ea84dc56f02f19cb4d9f4
Description: Python3 version of the Olson timezone database
 python-tz brings the Olson tz database into Python. This library allows
 accurate and cross platform timezone calculations using Python 2.3 or higher.
 It also solves the issue of ambiguous times at the end of daylight savings,
 which you can read more about in the Python Library Reference
 (datetime.tzinfo).
 .
 This package contains the Python 3 version of the library.
Homepage: http://pypi.python.org/pypi/pytz/
Section: python
Priority: optional
Filename: pool/main/p/python-tz/python3-tz_2012c-1_all.deb

Package: python3-unidecode
Source: unidecode
Version: 0.04.9-1
Installed-Size: 868
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.1.3-13~)
Size: 192784
SHA256: f776e7dac4924804fe7ce399bd3f237c361e8ee1d25c95ff94416feb5fd9aa5e
SHA1: 3b71b500af63f43215357131702f4028485ec5b2
MD5sum: 10677fef9c7e83986593d46e65a1ae71
Description: ASCII transliterations of Unicode text (Python 3 module)
 It often happens that you have text data in Unicode, but you need to represent
 it in ASCII for display. One could represent non-roman Unicode characters as
 "???" or "\\15BA\\15A0\\1610", but neither is useful to the user reading the
 text.
 .
 Unidecode tries to represent it in ASCII characters (i.e., the universally
 displayable characters between 0x00 and 0x7F), where the compromises taken
 when mapping between two character sets are chosen to be near what a human
 with a US keyboard would choose.
 .
 This module generally produces better results than simply stripping accents
 from characters (which can be done in Python with built-in functions). It is
 based on hand-tuned character mappings that for example also contain ASCII
 approximations for symbols and non-Latin alphabets.
 .
 unidecode is a Python 3 port of the Text::Unidecode Perl module.
Homepage: http://pypi.python.org/pypi/Unidecode
Tag: devel::lang:python, devel::library, implemented-in::python,
 interface::commandline, role::devel-lib, role::program,
 role::shared-lib, scope::utility, use::converting, works-with::text,
 works-with::unicode
Section: python
Priority: optional
Filename: pool/main/u/unidecode/python3-unidecode_0.04.9-1_all.deb

Package: python3-uno
Source: libreoffice
Version: 1:3.6.1-1+rpi1
Architecture: armhf
Maintainer: Debian LibreOffice Maintainers 
Installed-Size: 530
Depends: libreoffice-core (= 1:3.6.1-1+rpi1), python3 (>= 3.2.3-3~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpython3.2 (>= 3.2~a4), libstdc++6 (>= 4.4.0), uno-libs3 (>= 3.6.0~beta), ure
Enhances: libreoffice
Conflicts: python-uno
Homepage: http://udk.openoffice.org/python/python-bridge.html
Priority: optional
Section: python
Filename: pool/main/libr/libreoffice/python3-uno_3.6.1-1+rpi1_armhf.deb
Size: 180912
SHA256: e7042f3be8584df01a65476168e32a1a350200535eaa164f137d1c09cba18269
SHA1: ffd897863554e4eae0eeb55b28d02ea0ec74a490
MD5sum: 46e06410462470286e17d645fa9dd847
Description: Python-UNO bridge
 The Python-UNO bridge allows use of the standard LibreOffice API
 with the Python scripting language. It additionally allows
 others to develop UNO components in Python, thus Python UNO components
 may be run within the LibreOffice process and can be called from C++
 or the built in StarBasic scripting language.

Package: python3-urllib3
Source: python-urllib3
Version: 1.3-3
Installed-Size: 121
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: python3 (>= 3.2.3-3~), python3-six
Recommends: ca-certificates
Size: 25804
SHA256: 0f755eca023c6acf3c06936bd432ea54f383f89dd5a0d1f11c14ad085cd18faf
SHA1: 6e601d2845004e70583a1b6c231d17b6519ebfe1
MD5sum: fb00ebef95720e5a5335509b1f6fba04
Description: HTTP library with thread-safe connection pooling for Python3
 urllib3 supports features left out of urllib and urllib2 libraries.
 .
   - Re-use the same socket connection for multiple requests (HTTPConnectionPool
     and HTTPSConnectionPool) (with optional client-side certificate
     verification).
   - File posting (encode_multipart_formdata).
   - Built-in redirection and retries (optional).
   - Supports gzip and deflate decoding.
   - Thread-safe and sanity-safe.
   - Small and easy to understand codebase perfect for extending and
     building upon.
 .
 This package contains the Python 3 version of the library.
Homepage: http://urllib3.readthedocs.org
Section: python
Priority: optional
Filename: pool/main/p/python-urllib3/python3-urllib3_1.3-3_all.deb

Package: python3-urwid
Source: urwid
Version: 1.0.1-2
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 780
Depends: python3 (>= 3.2), python3 (<< 3.3), libc6 (>= 2.13-28)
Homepage: http://excess.org/urwid/
Priority: optional
Section: python
Filename: pool/main/u/urwid/python3-urwid_1.0.1-2_armhf.deb
Size: 183572
SHA256: 721891c343a6cbe67af351d92d164c1306673efc4857cf907a504ced23bd0834
SHA1: 880bbc5ff5fbf45d9202e93db1da1cb731e0d137
MD5sum: e3ff45453b60a108e19855735c16acf2
Description: curses-based UI/widget library for Python 3
 Urwid is a console user interface library that includes many features
 useful for text console application developers including:
 .
  * Fluid interface resizing (xterm window resizing/fbset on Linux console)
  * Web application display mode using Apache and CGI
  * Support for UTF-8, simple 8-bit and CJK encodings
  * Multiple text alignment and wrapping modes built-in
  * Ability to create user-defined text layout classes
  * Simple markup for setting text attributes
  * Powerful list box that handles scrolling between different widget types
  * List box contents may be managed with a user-defined class
  * Flexible edit box for editing many different types of text
  * Buttons, check boxes and radio boxes
  * Customizable layout for all widgets
  * Easy interface for creating HTML screen shots

Package: python3-uwsgidecorators
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Installed-Size: 59
Maintainer: Janos Guljas 
Architecture: all
Depends: python3 (>= 3.2.3-3~), uwsgi-core, uwsgi-plugin-python3
Size: 17060
SHA256: 168290a4d62c950804cd50a2120ae50053e1df77b952f13abbee1acb318b6f16
SHA1: 6698e7802aa382d6e35327941464d77828436c48
MD5sum: d7cfb880ec7b547dcd0b677397d9c5aa
Description: module of decorators for elegant access to uWSGI API (Python 3)
 uwsgidecorators is a simple Python module that exports a bunch of decorators
 to allow a more elegant approach to uWSGI API. Provided decorators helps with
 creating of RPC functions, timers, filemonitors.
 .
 This package provides uwsgidecorators module, accessible from Python 3.X
 runtime.
 .
 uwsgidecorators module doesn't targeted at Python 3 officially, so some
 decorators may not work or work improperly.
Homepage: http://projects.unbit.it/uwsgi/
Section: python
Priority: extra
Filename: pool/main/u/uwsgi/python3-uwsgidecorators_1.2.3+dfsg-5+deb7u2_all.deb

Package: python3-wadllib
Source: python-wadllib
Version: 1.3.0-2
Installed-Size: 146
Maintainer: Stefano Rivera 
Architecture: all
Depends: python3 (>= 3.2), python3-pkg-resources, python3-lazr.uri
Size: 33194
SHA256: 244e43c93d7ea015d7268f60bb964db6a8c0b21e976510bca9ba7b6b948a4426
SHA1: 76f78c416e836718d0ec4ce2fa41452f9a79189f
MD5sum: bf8dc3d6def3c06162613f797ba0de69
Description: Python 3 library for navigating WADL files
 The Web Application Description Language (WADL) is an XML vocabulary for
 describing the capabilities of HTTP resources. wadllib can be used in
 conjunction with an HTTP library to navigate and manipulate those resources.
 .
 This package provides wadllib for Python 3.x.
Homepage: https://launchpad.net/wadllib
Section: python
Priority: optional
Filename: pool/main/p/python-wadllib/python3-wadllib_1.3.0-2_all.deb

Package: python3-yaml
Source: pyyaml
Version: 3.10-4+deb7u1
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 399
Depends: python3 (>= 3.2.3-3~), python3 (<< 3.3), libc6 (>= 2.13-28), libyaml-0-2
Homepage: http://pyyaml.org/
Priority: optional
Section: python
Filename: pool/main/p/pyyaml/python3-yaml_3.10-4+deb7u1_armhf.deb
Size: 102522
SHA256: e4b06cdb067961d934f2c48e21da8da67f13576f7daac2378a6b3d6031da0391
SHA1: a5099e4e613a543b9004801025608b6c8eb83049
MD5sum: ebab024b6280c1d347feb0b453d22e20
Description: YAML parser and emitter for Python3
 Python3-yaml is a complete YAML 1.1 parser and emitter for Python3.  It can
 parse all examples from the specification. The parsing algorithm is simple
 enough to be a reference for YAML parser implementors. A simple extension API
 is also provided.  The package is built using libyaml for improved speed.

Package: python3-yaml-dbg
Source: pyyaml
Version: 3.10-4+deb7u1
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 225
Depends: python3-yaml (= 3.10-4+deb7u1), python3-dbg, libc6 (>= 2.13-28), libyaml-0-2
Homepage: http://pyyaml.org/
Priority: extra
Section: debug
Filename: pool/main/p/pyyaml/python3-yaml-dbg_3.10-4+deb7u1_armhf.deb
Size: 84918
SHA256: 3c6eef53eff57f1d56060886c26f9ce82e3ba53a0605da018e88b6625da937ca
SHA1: c9b7fd0d0e1cbe7b8f9bf68530b93a219799afc7
MD5sum: 7053043b13054792e4656de954936cf9
Description: YAML parser and emitter for Python3 (debug build)
 Python3-yaml is a complete YAML 1.1 parser and emitter for Python3.  It can
 parse all examples from the specification. The parsing algorithm is simple
 enough to be a reference for YAML parser implementors. A simple extension API
 is also provided.  The package is built using libyaml for improved speed.
 .
 This package contains the extension built for the Python3 debug interpreter.

Package: python3-zmq
Source: pyzmq
Version: 2.2.0-1
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 694
Depends: python3 (>= 3.2), python3 (<< 3.3), libc6 (>= 2.13-28), libzmq1
Homepage: http://www.zeromq.org/bindings:python
Priority: optional
Section: python
Filename: pool/main/p/pyzmq/python3-zmq_2.2.0-1_armhf.deb
Size: 206220
SHA256: 4d02772c9b66afda9bc334247d81abcef059caca0a81d11ec5a7997ea44a9a7c
SHA1: 8598cd41110fbf4e91564ec6423576958310e7bd
MD5sum: d3acc484738f4468e5e4b6957890b015
Description: Python3 bindings for 0MQ library
 Python bindings for 0MQ. 0MQ is a small, fast, and free
 software library that gives you message-passing concurrency
 for applications in most common languages.
 .
 The 0MQ lightweight messaging kernel is a library which
 extends the standard socket interfaces with features
 traditionally provided by specialised messaging middleware
 products. 0MQ sockets provide an abstraction of asynchronous
 message queues, multiple messaging patterns, message
 filtering (subscriptions), seamless access to multiple
 transport protocols and more.
 .
 This package contains the extension built for the Python3 interpreter.

Package: python3-zmq-dbg
Source: pyzmq
Version: 2.2.0-1
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 1976
Depends: python3-zmq (= 2.2.0-1), python3-dbg (>= 3.2), python3-dbg (<< 3.3), libc6 (>= 2.13-28), libzmq1
Recommends: python-dbg
Homepage: http://www.zeromq.org/bindings:python
Priority: extra
Section: debug
Filename: pool/main/p/pyzmq/python3-zmq-dbg_2.2.0-1_armhf.deb
Size: 765030
SHA256: d6245cdc1beb70b2c96677b394c4ba822d34616ec4bf7b7cb38543a9e08ec7f2
SHA1: 1d86c4ce631a21044af15184981893d7254cc790
MD5sum: 4cf8eef7722f9f2ff2d4306e7159261a
Description: Python3 bindings for 0MQ library - debugging files
 Python bindings for 0MQ. 0MQ is a small, fast, and free
 software library that gives you message-passing concurrency
 for applications in most common languages.
 .
 The 0MQ lightweight messaging kernel is a library which
 extends the standard socket interfaces with features
 traditionally provided by specialised messaging middleware
 products. 0MQ sockets provide an abstraction of asynchronous
 message queues, multiple messaging patterns, message
 filtering (subscriptions), seamless access to multiple
 transport protocols and more.
 .
 This package contains the extension built for the Python3 debug interpreter.

Package: python3-zope.exceptions
Source: zope.exceptions
Version: 3.6.1-3
Installed-Size: 80
Maintainer: Debian/Ubuntu Zope Team 
Architecture: all
Depends: python3-zope, python3 (>= 3.2.3-3~), python3-pkg-resources, python3-zope.interface
Size: 11560
SHA256: 7f1548d694f9e3d077c9849001bee100bf64e16d7a9e81abc47f9f9e277402b8
SHA1: 5a92b1b08013762dd6e24c5206f97a7a551db53a
MD5sum: de78d954f2359334bac57535b64c3175
Description: Zope exceptions
 This package contains exception interfaces and implementations which are so
 general purpose that they don't belong in Zope application-specific packages.
Homepage: http://pypi.python.org/pypi/zope.exceptions
Section: zope
Priority: extra
Filename: pool/main/z/zope.exceptions/python3-zope.exceptions_3.6.1-3_all.deb

Package: python3-zope.fixers
Source: zope.fixers
Version: 1.0-1
Installed-Size: 136
Maintainer: Debian/Ubuntu Zope Team 
Architecture: all
Depends: python3 (>= 3.1.3-13~), python3-pkg-resources
Size: 8790
SHA256: 6ab4c8d454766a4954207def4559a32f2768ee84d3852be6035ad3c82314b966
SHA1: c2a4ea898d00f2f071cf243bdd9a312f1f6b4568
MD5sum: e24bbcd001de0ce2aa1d4728f5328f56
Description: Fixers for Zope3 and the frameworks built with it
 This package provides fixers for Zope Component Architecture
 and the frameworks built with it.
Section: zope
Priority: extra
Filename: pool/main/z/zope.fixers/python3-zope.fixers_1.0-1_all.deb

Package: python3-zope.interface
Source: zope.interface
Version: 3.6.1-3
Architecture: armhf
Maintainer: Debian/Ubuntu Zope Team 
Installed-Size: 508
Depends: python3 (>= 3.2.3-3~), python3 (<< 3.3), python3-pkg-resources, libc6 (>= 2.13-28)
Provides: python3-zope, python3.2-zope.interface
Homepage: http://pypi.python.org/pypi/zope.interface
Priority: optional
Section: zope
Filename: pool/main/z/zope.interface/python3-zope.interface_3.6.1-3_armhf.deb
Size: 112654
SHA256: 4023ff1d2484b966b7d0404e7d1483d7e7b6253c6e2d2ce8c55558bde2a20204
SHA1: c53c4ecfc467a603361c6ed1cf97818a30c2affd
MD5sum: b3fc2bbcce3d288935a4a74b960a0666
Description: Interfaces for Python3
 This package provides an implementation of object interfaces for Python.
 Interfaces are a mechanism for labeling objects as conforming to a given API
 or contract. So, this package can be considered as implementation of the
 Design By Contract methodology support in Python.

Package: python3-zope.interface-dbg
Source: zope.interface
Version: 3.6.1-3
Architecture: armhf
Maintainer: Debian/Ubuntu Zope Team 
Installed-Size: 166
Depends: python3-zope.interface (= 3.6.1-3), python3-dbg, libc6 (>= 2.13-28)
Homepage: http://pypi.python.org/pypi/zope.interface
Priority: extra
Section: debug
Filename: pool/main/z/zope.interface/python3-zope.interface-dbg_3.6.1-3_armhf.deb
Size: 37676
SHA256: 1d525930c1b6765149b3faa24886b1ee93600d6080c8d6986e1c9c73bd0f3d3f
SHA1: f88c3d6c1a70d901857d5d6fa9ca6d9c7d0b17ba
MD5sum: 704fd561fa3e7f894c2437ed74b30353
Description: Interfaces for Python3 (debug extension)
 This package provides an implementation of object interfaces for Python.
 Interfaces are a mechanism for labeling objects as conforming to a given API
 or contract. So, this package can be considered as implementation of the
 Design By Contract methodology support in Python.
 .
 This package contains the extension built for the Python3 debug interpreter.

Package: python3-zope.testrunner
Source: zope.testrunner
Version: 4.0.3-3
Installed-Size: 981
Maintainer: Debian/Ubuntu Zope Team 
Architecture: all
Depends: python3-zope, python3-setuptools, python3 (>= 3.1.3-13~), python3.2, python3-pkg-resources, python3-zope.exceptions, python3-zope.interface
Size: 149438
SHA256: 1ac4b0fa079072e90d078dc8d86653f8559964a61e8794812bac61ed1512e1e2
SHA1: 04fe1e3ade6d4a4cc4534eb6e1fd5c69e498f9ba
MD5sum: d678205d21cd1cb24f41ba9b865595af
Description: Flexible test runner with layer support for Python 3
 The testrunner module is used to run automated tests defined using the
 unittest framework and previously available in zope.testing.
 .
 Its primary feature is that it finds tests by searching directory trees. It
 doesn't require the manual concatenation of specific test suites. It is
 highly customizable and should be usable with any project.
Homepage: http://pypi.python.org/pypi/zope.testrunner
Section: zope
Priority: optional
Filename: pool/main/z/zope.testrunner/python3-zope.testrunner_4.0.3-3_all.deb

Package: python3.2
Version: 3.2.3-7+deb7u1
Architecture: armhf
Maintainer: Matthias Klose 
Installed-Size: 8059
Depends: python3.2-minimal (= 3.2.3-7+deb7u1), mime-support, libbz2-1.0, libc6 (>= 2.13-28), libdb5.1, libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libncursesw5 (>= 5.6+20070908), libreadline6 (>= 6.0), libsqlite3-0 (>= 3.5.9), libssl1.0.0 (>= 1.0.0), libtinfo5
Suggests: python3.2-doc, binutils
Conflicts: python3-profiler (<= 3.2-2)
Breaks: python-virtualenv (<< 1.7.1.2-2~)
Replaces: python3-profiler (<= 3.2-2)
Provides: python3.2-celementtree, python3.2-cjkcodecs, python3.2-ctypes, python3.2-elementtree, python3.2-gdbm, python3.2-profiler, python3.2-wsgiref
Priority: optional
Section: python
Filename: pool/main/p/python3.2/python3.2_3.2.3-7+deb7u1_armhf.deb
Size: 2503780
SHA256: 58be6e77db06eccf680ce08b6c499264df2b8f61c3daef961dda5f87d0412947
SHA1: 8bea32305dc1c5ccda2086edca55a996a22295e2
MD5sum: 61666e544dabf509321e8ed1aff830d1
Description: Interactive high-level object-oriented language (version 3.2)
 Version 3.2 of the high-level, interactive object oriented language,
 includes an extensive class library with lots of goodies for
 network programming, system administration, sounds and graphics.

Package: python3.2-dbg
Source: python3.2
Version: 3.2.3-7+deb7u1
Architecture: armhf
Maintainer: Matthias Klose 
Installed-Size: 37472
Depends: python3.2 (= 3.2.3-7+deb7u1), libbz2-1.0, libc6 (>= 2.13-28), libdb5.1, libexpat1 (>= 2.1~beta3), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libncursesw5 (>= 5.6+20070908), libreadline6 (>= 6.0), libsqlite3-0 (>= 3.5.9), libssl1.0.0 (>= 1.0.0), libtinfo5, zlib1g (>= 1:1.2.0), python
Suggests: python3-gdbm-dbg, python3-tk-dbg
Priority: extra
Section: debug
Filename: pool/main/p/python3.2/python3.2-dbg_3.2.3-7+deb7u1_armhf.deb
Size: 13720192
SHA256: 86d90698d7cd6e5dd168c51efd0e73b32949422090641c56fde2f5d7b4708286
SHA1: 31af5deb2219a6d6260ddb556c8e4fed83b49b7e
MD5sum: 09b8406bf96559c67f7fd4317a4b5ba3
Description: Debug Build of the Python Interpreter (version 3.2)
 Python interpreter configured with --pydebug. Dynamically loaded modules are
 searched in /usr/lib/python3.2/lib-dynload/debug first.

Package: python3.2-dev
Source: python3.2
Version: 3.2.3-7+deb7u1
Architecture: armhf
Maintainer: Matthias Klose 
Installed-Size: 36954
Depends: python3.2 (= 3.2.3-7+deb7u1), libpython3.2 (= 3.2.3-7+deb7u1), libssl-dev, libexpat1-dev
Recommends: libc6-dev | libc-dev
Replaces: python3.2 (<< 3.2.2-4)
Priority: optional
Section: python
Filename: pool/main/p/python3.2/python3.2-dev_3.2.3-7+deb7u1_armhf.deb
Size: 30850998
SHA256: c03cf898bc1fa8b798288ebd840c74fec13c54571625f869ae3e4ad411806b83
SHA1: 0fa6d69414d4ec85431e620ce76a2feb41405b73
MD5sum: b0fa25d2b324182c5e1f762908c9cd18
Description: Header files and a static library for Python (v3.2)
 Header files, a static library and development tools for building
 Python (v3.2) modules, extending the Python interpreter or embedding
 Python (v3.2) in applications.
 .
 Maintainers of Python packages should read README.maintainers.

Package: python3.2-doc
Source: python3.2
Version: 3.2.3-7+deb7u1
Installed-Size: 30058
Maintainer: Matthias Klose 
Architecture: all
Depends: libjs-jquery, libjs-underscore
Suggests: python3.2
Size: 6202830
SHA256: 3e1f77d0c9358d00686dd4abcabdd5705a4fe0a447d98858bf3f05195ec45b72
SHA1: 00a6ea4078367e95af95a6d7ab5fbf04c8dba0f1
MD5sum: 0c0ffde539e519a292d38fe6d9eece74
Description: Documentation for the high-level object-oriented language Python (v3.2)
 These is the official set of documentation for the interactive high-level
 object-oriented language Python (v3.2). All documents are provided
 in HTML format. The package consists of ten documents:
 .
   * What's New in Python3.2
   * Tutorial
   * Python Library Reference
   * Macintosh Module Reference
   * Python Language Reference
   * Extending and Embedding Python
   * Python/C API Reference
   * Installing Python Modules
   * Documenting Python
   * Distributing Python Modules
Section: doc
Priority: optional
Filename: pool/main/p/python3.2/python3.2-doc_3.2.3-7+deb7u1_all.deb

Package: python3.2-examples
Source: python3.2
Version: 3.2.3-7+deb7u1
Installed-Size: 1489
Maintainer: Matthias Klose 
Architecture: all
Depends: python3.2 (>= 3.2.3-7+deb7u1)
Size: 384602
SHA256: 93c5c7f695b0425f1e7d469fba48c32a893c00cab7c7ddee777a82fda35d6cd0
SHA1: 10557fe420129380b3146532a50ff939faf728f6
MD5sum: a9fd74849e28edf662b89004a5e2e465
Description: Examples for the Python language (v3.2)
 Examples, Demos and Tools for Python (v3.2). These are files included in
 the upstream Python distribution (v3.2).
Section: python
Priority: optional
Filename: pool/main/p/python3.2/python3.2-examples_3.2.3-7+deb7u1_all.deb

Package: python3.2-minimal
Source: python3.2
Version: 3.2.3-7+deb7u1
Architecture: armhf
Maintainer: Matthias Klose 
Installed-Size: 5431
Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.1~beta3), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.2.0)
Recommends: python3.2
Suggests: binfmt-support
Conflicts: binfmt-support (<< 1.1.2)
Replaces: python3.2 (<< 3.2~b2-1~)
Priority: optional
Section: python
Filename: pool/main/p/python3.2/python3.2-minimal_3.2.3-7+deb7u1_armhf.deb
Size: 1762028
SHA256: ca851d2c88c30a18325c15b3cac3f12aa43450033b002d142d7999c6f3d4fb90
SHA1: 5bb06d30f8d6ca6cdbca4636bb6d330494eb0424
MD5sum: 432b3091853360cfe0b38b4bfbe29eda
Description: Minimal subset of the Python language (version 3.2)
 This package contains the interpreter and some essential modules.  It can
 be used in the boot process for some basic tasks.
 See /usr/share/doc/python3.2-minimal/README.Debian for a list of the modules
 contained in this package.

Package: pythoncad
Version: 0.1.37.0-3
Installed-Size: 3396
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python (>= 2.6.6-3+squeeze3~), python-gtk2 (>= 1.99.16), python-gobject
Size: 469766
SHA256: 2bca015c23e59251b4a6aa5c8dac25f8ccfa835579718eeee23d137386a0f062
SHA1: cc4c141fa4707b5914894184136c179007a46d85
MD5sum: e314b1f28c088b293df935a72f5793f5
Description: Computer Aided Drafting (CAD) program
 PythonCAD is a scriptable (with the Python language) and easy to use drafting
 program.
 .
 Please note that this version still doesn't support DWG and DXF file formats.
Homepage: http://sourceforge.net/projects/pythoncad/
Tag: implemented-in::python, interface::x11, role::program, uitoolkit::gtk,
 use::editing, x11::application
Section: graphics
Priority: optional
Filename: pool/main/p/pythoncad/pythoncad_0.1.37.0-3_all.deb

Package: pythoncard
Version: 0.8.2-2
Installed-Size: 27
Maintainer: Debian QA Group 
Architecture: all
Depends: python-pythoncard (= 0.8.2-2), pythoncard-tools (= 0.8.2-2), pythoncard-doc (= 0.8.2-2)
Size: 26460
SHA256: 8e5b4b1f8eea03fb765fefcd7e27cb8ab7d43a9390d79e24d4c1319d5b1cf962
SHA1: b44ea2e6ed3dfa4643600da7f5a6cc675a308ef4
MD5sum: 131bc93bbebaaa3cc32df6696f912778
Description: wxPython-based GUI construction framework (meta-package)
 PythonCard is a GUI construction framework for building cross-platform desktop
 applications on Windows, Mac OS X, and Linux, using the Python language.  It
 is based on the wxPython bindings for the wxWidgets toolkit (formerly known
 as wxWindows).
 .
 This is a meta-package which is intended to be used by developers who want the
 entire upstream distribution available to them.  Maintainers of other packages
 that rely on PythonCard functionality should consider depending on one or more
 of the related packages (python-pythoncard, pythoncard-tools) as needed instead
 of this meta-package.
Homepage: http://pythoncard.sourceforge.net/
Section: devel
Priority: optional
Filename: pool/main/p/pythoncard/pythoncard_0.8.2-2_all.deb

Package: pythoncard-doc
Source: pythoncard
Version: 0.8.2-2
Installed-Size: 2199
Maintainer: Debian QA Group 
Architecture: all
Size: 1007076
SHA256: 5fe9b8a0f8403c6adbb445dea680eab8f933cbf26ae889630fb2fd260d63aca8
SHA1: fd46d1040983e74a5ae55ad6af4f5ab1836f2c86
MD5sum: e3673b0d3fb635173295560cb1bd3c6a
Description: wxPython-based GUI construction framework (documentation and samples)
 PythonCard is a GUI construction framework for building cross-platform desktop
 applications on Windows, Mac OS X, and Linux, using the Python language.  It
 is based on the wxPython bindings for wxWidgets toolkit (formerly known
 as wxWindows).
 .
 This package provides all of the developer documentation and samples
 associated with the upstream package.
Homepage: http://pythoncard.sourceforge.net/
Tag: devel::doc, devel::examples, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/p/pythoncard/pythoncard-doc_0.8.2-2_all.deb

Package: pythoncard-tools
Source: pythoncard
Version: 0.8.2-2
Installed-Size: 34
Maintainer: Debian QA Group 
Architecture: all
Depends: python-pythoncard (= 0.8.2-2)
Size: 32224
SHA256: c408e99715419791edd6d90084b32fd1c087ac361ef8f35bea1cbaaec291c20d
SHA1: a44ef3599d745e4e1c938a15f9b718ff4c2ca4c5
MD5sum: 7eca214b5c50752d4ef6223473179dea
Description: wxPython-based GUI construction framework (optional development tools)
 PythonCard is a GUI construction framework for building cross-platform desktop
 applications on Windows, Mac OS X, and Linux, using the Python language.  It
 is based on the wxPython bindings for the wxWidgets toolkit (formerly known
 as wxWindows).
 .
 This package takes the tools that are part of the PythonCard distribution
 (such as codeEditor and resourceEditor) and makes them available to be called
 from the command-line and from the standard Debian menus.  It has been split
 out as a separate package since only developers will need access to the tools
 directly - packages which depend on PythonCard will just import the tool
 modules and use what they need.
Homepage: http://pythoncard.sourceforge.net/
Tag: devel::lang:python, devel::ui-builder, implemented-in::python,
 interface::commandline, role::program, scope::utility,
 uitoolkit::wxwidgets
Section: devel
Priority: optional
Filename: pool/main/p/pythoncard/pythoncard-tools_0.8.2-2_all.deb

Package: pythontracer
Version: 8.10.16-1.1
Architecture: armhf
Maintainer: TANIGUCHI Takaki 
Installed-Size: 190
Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), libc6 (>= 2.13-28), python-gtk2
Homepage: http://code.google.com/p/pythontracer/
Priority: extra
Section: python
Filename: pool/main/p/pythontracer/pythontracer_8.10.16-1.1_armhf.deb
Size: 48268
SHA256: 5cd9c837a5d941506b1117a82dd60f22ecb40c2a483ce7287d77e30a8e2e9e2b
SHA1: 85562a070fb9ee6f344ffd3b3c14eaff6efeb49d
MD5sum: f9b76de6cb1a055236f75682dee5e27f
Description: Python programs' execution tracer and profiler
 Lets you see your Python program's execution as a tree of function
 invocations, each tree node exposing the real time, and CPU time (user/sys)
 of that call.
 .
 This project consists of two main components: A Python tracer that can run
 your Python programs (much like "cProfile" and friends). A Gtk+ based GUI
 that can show the trace results.
 .
 It uses a tiny auxiliary library written for it "graphfile" to allow
 append-only writing and reading static DAG's directly from file without
 reading it whole into memory at any stage.

Package: pytimechart
Version: 1.0.0~rc1-3
Installed-Size: 248
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), python-chaco, python-enthoughtbase, python-wxgtk2.8, python-gtk2
Size: 47768
SHA256: 92d13be6f414deadd86c1994126831b640cba8ca4fafe857b0437e78cbce80bd
SHA1: 551078902e56f0bb51b367c87c0f3d32a5b2f3f2
MD5sum: 31aefc77f1fd49f8aad7eb68bb2ebd5f
Description: GUI Viewer for Linux kernel traces
 PyTimechart provides explorability and overall visualization of Linux
 kernel traces. It is a tool that parses ftrace text traces, and
 display them with the help of a very powerful dynamic plot framework,
 python-chaco. The GUI makes the best it can to ease the browsing of
 huge traces.
Homepage: http://gitorious.org/pytimechart
Python-Version: 2.6, 2.7
Section: python
Priority: optional
Filename: pool/main/p/pytimechart/pytimechart_1.0.0~rc1-3_all.deb

Package: pytone
Version: 3.0.0-1
Architecture: armhf
Maintainer: Alexander Wirt 
Installed-Size: 692
Depends: libao4 (>= 1.1.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), python-pymad, python-pyao (>= 0.82), python-eyed3, python-pysqlite2
Recommends: python-pyvorbis
Suggests: python-xmms, mpg321
Priority: optional
Section: sound
Filename: pool/main/p/pytone/pytone_3.0.0-1_armhf.deb
Size: 165362
SHA256: 698146512917cefaf156a3ec65cb2a3362fd296dea0dd43f26166a91a411263f
SHA1: 3cef2bc61cf225e5deb70214c92e76b6c2d2ca56
MD5sum: 56d4abd5647a030a76ecc603e74121ae
Description: Music jukebox with advanced features for DJs and a text-mode user interface
 Pytone is a curses based Jukebox program with advanced features like
 crossfading, multiple players (libmad,xmms,mpg321/123), prehearing
 with a second soundcard, search functionality, logging, mixer functions
 and an English and German interface.

Package: pytrainer
Version: 1.9.1-2
Installed-Size: 2507
Maintainer: Debian running development group 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-libxml2, python-lxml, python-pysqlite2, python-glade2, python-gtk2, python-matplotlib, python-scipy, python-numpy, gpsbabel, iceweasel | firefox | abrowser, python-webkit, python-soappy, zenity, python-migrate (>= 0.5.4)
Size: 406052
SHA256: c277fced729e0910ad81cc3b63b2eabc91d10439a62f11a77545b39dc0dbb7cf
SHA1: 90172a96c2c861f23266a3e79182196cf6c0a75d
MD5sum: e61bf4e7c2067ebff2018edd066ae448
Description: tool for logging sport activities
 This package provides a logging tool for runners, cyclists, etc. It
 uses data from GPS-enabled devices that generate GPX files.
Homepage: http://sourceforge.net/projects/pytrainer/
Tag: role::program
Section: utils
Priority: optional
Filename: pool/main/p/pytrainer/pytrainer_1.9.1-2_all.deb

Package: pyvnc2swf
Version: 0.9.5-5
Installed-Size: 296
Maintainer: Vincent Bernat 
Architecture: all
Depends: python (>= 2.6.6-7~), python-tk, python-pygame
Size: 70432
SHA256: 24e7a9e87327a0975faeab9e6ace2ef639c398ed4d587db919231b5c2fa66684
SHA1: 3342af9f502b8de047fe9d1c745fd3d36ef18c16
MD5sum: 106b0fc5827b95d8befaf071dacbc285
Description: screen recording tool with Flash (SWF) output
 Pyvnc2swf captures screen motion using the VNC protocol and generates
 a Shockwave Flash (SWF) movie which can be played back in any browser
 supporting the SWF format.
 .
 In contrast to vnc2swf, pyvnc2swf is being actively developed and has
 more functions: recording and adding an audio track, editing and
 adding a seekbar.
Homepage: http://www.unixuser.org/~euske/vnc2swf/pyvnc2swf.html
Tag: devel::lang:python, devel::library, implemented-in::python,
 interface::commandline, interface::x11, role::program, scope::utility,
 uitoolkit::tk, works-with-format::swf, works-with::video,
 x11::application
Section: video
Priority: optional
Filename: pool/main/p/pyvnc2swf/pyvnc2swf_0.9.5-5_all.deb

Package: pyxplot
Version: 0.8.4-5
Architecture: armhf
Maintainer: Stuart Prescott 
Installed-Size: 1696
Depends: texlive-latex-base, texlive-latex-extra, libc6 (>= 2.13-28), libcfitsio3 (>= 3.060), libfftw3-3, libgsl0ldbl (>= 1.9), libkpathsea6, libpng12-0 (>= 1.2.13-4), libreadline6 (>= 6.0), libtinfo5, libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4)
Recommends: gv | postscript-viewer, imagemagick, pyxplot-doc
Suggests: wget, unzip
Homepage: http://www.pyxplot.org.uk/
Priority: optional
Section: math
Filename: pool/main/p/pyxplot/pyxplot_0.8.4-5_armhf.deb
Size: 677048
SHA256: 8d357a27c44d7f6dc116319478c1540814ee2a82ac82cca934cebec2c06a72be
SHA1: 812ebd0b7b8603d8349687896dbc587606034bb0
MD5sum: a3b17864eb70b063f8927cb9902122dc
Description: data plotting program producing publication-quality output
 PyXPlot is a command-line graphing/plotting package with a simple interface
 resembling that of Gnuplot.
 .
 PyXPlot aims to improve significantly upon the quality of Gnuplot's output,
 producing publication-quality figures. To this end, text is rendered with all
 of the beauty and flexibility of the LaTeX typesetting environment.
 .
 Extensive documentation and examples can be found in the pyxplot-doc package.
 A gallery of sample plots is available from the project's web site.

Package: pyxplot-doc
Source: pyxplot
Version: 0.8.4-5
Installed-Size: 21662
Maintainer: Stuart Prescott 
Architecture: all
Replaces: pyxplot (<< 0.8)
Depends: pyxplot
Recommends: pdf-viewer, www-browser
Breaks: pyxplot (<< 0.8)
Size: 18235252
SHA256: e68baeba1add5d39c51208eea564212c1c396317d2119e759376d884e8b5f44d
SHA1: f1d780570ebedf4c7c706a5757f91417a75e388c
MD5sum: c228948c164e753ca6787eab647ef76f
Description: documentation for pyxplot data plotting program
 PyXPlot is a command-line graphing/plotting package with a simple interface
 resembling that of Gnuplot.
 .
 PyXPlot aims to improve significantly upon the quality of Gnuplot's output,
 producing publication-quality figures. To this end, text is rendered with all
 of the beauty and flexibility of the LaTeX typesetting environment.
 .
 This package includes extensive documentation and examples. A gallery of
 sample plots is available from the project's web site.
Homepage: http://www.pyxplot.org.uk/
Tag: made-of::html, made-of::pdf, role::documentation
Section: doc
Priority: optional
Filename: pool/main/p/pyxplot/pyxplot-doc_0.8.4-5_all.deb

Package: pyzor
Version: 1:0.5.0-2
Installed-Size: 184
Maintainer: Carl Chenet 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-gdbm
Size: 32422
SHA256: 2752854a224c7a79a18f9efcbe46e8a29fc15ceaa6a59c03a162c2911af04228
SHA1: 23b33c7f620da61faf48149c1ba5e5cae12cf340
MD5sum: c297e6b5a7a6ec0bfe04f436313bb511
Description: spam-catcher using a collaborative filtering network
 Pyzor is a collaborative, networked system to detect and block spam using
 identifying digests of messages.
 .
 It establishes a distributed database of spam digests which can be used to
 filter out known spam.
 .
 Pyzor is a reimplementation of Razor in Python.
Homepage: http://pyzor.sourceforge.net/
Tag: implemented-in::python, mail::filters, network::service, role::program,
 use::filtering, works-with::mail
Section: mail
Priority: optional
Filename: pool/main/p/pyzor/pyzor_0.5.0-2_all.deb

Package: q4wine
Version: 0.121-4
Architecture: armhf
Maintainer: Boris Pek 
Installed-Size: 3542
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libqt4-sql-sqlite, icoutils (>= 0.26.0), wget, sudo
Suggests: fuseiso
Conflicts: q4wine-unstable
Replaces: q4wine-unstable
Homepage: http://q4wine.brezblock.org.ua/
Priority: optional
Section: otherosfs
Filename: pool/main/q/q4wine/q4wine_0.121-4_armhf.deb
Size: 1457254
SHA256: c41c85fbb1bf8ab196ab6c89584b7df6390ca781840f96f9ba755e3e038d1900
SHA1: 2a928ab407ba7f3823819f3b12154163896d4ea4
MD5sum: c19e0f11774aa8f9548e1115af5dbac2
Description: Qt4 GUI for wine (WINE)
 Q4Wine will help you manage wine prefixes and installed applications.
 .
 General features:
  - Can export Qt color theme into wine colors settings.
  - Can easy work with different wine versions at same time.
  - Easy creating, deleting and managing prefixes (WINEPREFIX).
  - Easy controlling for wine process.
  - Easy installer wizard for wine applications.
  - Autostart icons support.
  - Easy CD-image use.
  - You can extract icons from PE files (.exe .dll).
  - Easy backup and restore for managed prefixes.
  - Winetricks support.
  - And more...

Package: qalc
Source: libqalculate
Version: 0.9.7-8
Architecture: armhf
Maintainer: Vincent Legout 
Installed-Size: 200
Depends: libc6 (>= 2.13-28), libcln6, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libncurses5 (>= 5.5-5~), libqalculate5, libreadline6 (>= 6.0), libstdc++6 (>= 4.4.0), libtinfo5, libxml2 (>= 2.6.27)
Recommends: libgnomevfs2-common | wget
Homepage: http://qalculate.sourceforge.net/
Priority: optional
Section: math
Filename: pool/main/libq/libqalculate/qalc_0.9.7-8_armhf.deb
Size: 93026
SHA256: 8559001943f220fcd522115d4f91e6359075b8097ec9a659cb2a53f2360fc617
SHA1: 44f1cb870bd99a7e674c5e2e3270e83726e05679
MD5sum: f2b86c2fa66c8a9989ad43c79ea6b74a
Description: Powerful and easy to use command line calculator
 Qalculate! is small and simple to use but with much power and versatility
 underneath.  Features include customizable functions, units, arbitrary
 precision using a one-line fault-tolerant expression entry.
 .
 This package contains the command line version of Qalculate!.

Package: qalculate
Source: qalculate-gtk
Version: 0.9.7-3
Installed-Size: 49
Maintainer: Vincent Legout 
Architecture: all
Depends: qalculate-gtk
Size: 26684
SHA256: be3e518daf1a6ae95fc299f063acdf81ed9e77c5f2488c4943bbd283193dcaf3
SHA1: 12d9ae57a647962c7fe1a5c00856e1f67f79d4a6
MD5sum: 5587ac4745caf98e85b6648d677c73b2
Description: Powerful and easy to use desktop calculator - transitional
 Qalculate! is small and simple to use but with much power and versatility
 underneath.  Features include customizable functions, units, arbitrary
 precision, plotting, and a graphical interface that uses a one-line
 fault-tolerant expression entry (although it supports optional traditional
 buttons).
 .
 This is a transitional package that can be removed once you installed
 qalculate-gtk.
Homepage: http://qalculate.sourceforge.net/
Tag: field::mathematics, implemented-in::c++, interface::x11, role::program,
 scope::utility, suite::gnome, uitoolkit::gtk, x11::application
Section: oldlibs
Priority: extra
Filename: pool/main/q/qalculate-gtk/qalculate_0.9.7-3_all.deb

Package: qalculate-gtk
Version: 0.9.7-3
Architecture: armhf
Maintainer: Vincent Legout 
Installed-Size: 2814
Depends: libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcln6, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgnome2-0 (>= 2.17.3), libgtk2.0-0 (>= 2.8.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libqalculate5, libstdc++6 (>= 4.6), libxml2 (>= 2.6.27), qalc, gnuplot-x11
Breaks: qalculate (<< 0.8)
Replaces: qalculate
Homepage: http://qalculate.sourceforge.net/
Priority: optional
Section: math
Filename: pool/main/q/qalculate-gtk/qalculate-gtk_0.9.7-3_armhf.deb
Size: 1305884
SHA256: 83141723ad457129fbdddeeabda59ac7f7c1a01a3a0b2705bc0bd9ac68d427f8
SHA1: 782013930cc2835ddfa0ee3a16b8fa71deee1378
MD5sum: 0be03ffcbfb3d39e98af6b68ca9e8542
Description: Powerful and easy to use desktop calculator - GTK+ version
 Qalculate! is small and simple to use but with much power and versatility
 underneath.  Features include customizable functions, units, arbitrary
 precision, plotting, and a graphical interface that uses a one-line
 fault-tolerant expression entry (although it supports optional traditional
 buttons).
 .
 This package contains the GTK+ user interface of qalculate.

Package: qantenna
Version: 0.2.3-2
Architecture: armhf
Maintainer: Lisandro Damián Nicanor Pérez Meyer 
Installed-Size: 680
Depends: necpp, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: http://qantenna.sourceforge.net/
Priority: extra
Section: hamradio
Filename: pool/main/q/qantenna/qantenna_0.2.3-2_armhf.deb
Size: 217620
SHA256: e9a5f577864c50701debdf79fd561465b3fdf7daac61c5313498a0e61c00925b
SHA1: 7100c7a7f19d0270f848bf8c1fa1e4b20230ee7b
MD5sum: fc910d4e5c11c3e3a4b47121984e4203
Description: Qt based program for viewing antennas as described by NEC files
 QAntenna is a program for viewing antennas as decribed by NEC files and
 also to show the radiation patterns generated by nec2++.
 .
 It can also create snapshots of the views.
 .
 It requires Qt 4 and OpenGL support.

Package: qapt-batch
Source: qapt
Version: 1.3.0-2
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 724
Depends: kde-runtime, libapt-inst1.5 (>= 0.8.0), libapt-pkg4.12 (>= 0.8.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libqapt1 (>= 0.3.0), libqt4-dbus (>= 4:4.6.1), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1), libxapian22, libqapt-runtime
Homepage: https://projects.kde.org/projects/extragear/sysadmin/libqapt/
Priority: optional
Section: kde
Filename: pool/main/q/qapt/qapt-batch_1.3.0-2_armhf.deb
Size: 92198
SHA256: e664f65b8cfff706699c6d88288b3060a76fbcbd25640b5323dec9d2b837ee17
SHA1: 50f41e07010cc3fb6e510da55d4b403cda06005c
MD5sum: 74ff6f8b4cbf6249e56693e7fda9e64f
Description: Batch package manager for KDE
 QApt is a collection of tools and a library providing a wrapper around
 APT. The intention is to ease the use of APT in Qt-based programs.
 .
 QApt Batch is a simple GUI for doing batch package management operations.
 It can install and remove packages, as well as update the package cache via a
 command line interface. It also has an attach function invokable via the
 command line. QApt Batch is a drop-in replacement for the "install-package"
 batch package management tool.

Package: qapt-dbg
Source: qapt
Version: 1.3.0-2
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 3107
Depends: libqapt1 (= 1.3.0-2)
Recommends: kdelibs5-dbg
Homepage: https://projects.kde.org/projects/extragear/sysadmin/libqapt/
Priority: extra
Section: debug
Filename: pool/main/q/qapt/qapt-dbg_1.3.0-2_armhf.deb
Size: 2979106
SHA256: ba2500e80aae870d02fb5a75718b9e5247f1777793e51d221d26e3556f97ea23
SHA1: 29b66314d456bb94173d44492e50a509dd06f30e
MD5sum: f9f3af161b17924a1abb864f917cb61d
Description: QApt debugging symbols
 QApt is a collection of tools and a library providing a wrapper around
 APT. The intention is to ease the use of APT in Qt-based programs.
 .
 This package contains debugging symbols for QApt.
 When QApt crashes, in most cases this package is
 needed to get a backtrace that is useful for developers. If you have
 experienced a QApt crash without this package
 installed, please install it, try to reproduce the problem and fill a bug
 report with a new backtrace attached.

Package: qapt-deb-installer
Source: qapt
Version: 1.3.0-2
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 511
Depends: kde-runtime, libapt-inst1.5 (>= 0.8.0), libapt-pkg4.12 (>= 0.8.0), libc6 (>= 2.13-28), libdebconf-kde0, libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libqapt1 (>= 1.1.65), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libxapian22, libqapt-runtime
Homepage: https://projects.kde.org/projects/extragear/sysadmin/libqapt/
Priority: optional
Section: kde
Filename: pool/main/q/qapt/qapt-deb-installer_1.3.0-2_armhf.deb
Size: 61184
SHA256: bd348f027e3dca86bf869230c8b2c3f003e96265f2701e70468d84f5dae97db4
SHA1: cf46a1a4263f951f794a8295d15214bc6819b1d2
MD5sum: 87cac6fe3cb0781e8a028a945981f58f
Description: tool for installing deb files
 QApt is a collection of tools and a library providing a wrapper around
 APT. The intention is to ease the use of APT in Qt-based programs.
 .
 The QApt deb installer allows you to install local .deb files. The QApt Deb
 Installer resolves and downloads dependencies. The APT package manager does
 the same, but only for remote packages.

Package: qapt-utils
Source: qapt
Version: 1.3.0-2
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 34
Depends: qapt-batch, qapt-deb-installer, plasma-runner-installer, kde-thumbnailer-deb
Recommends: gstreamer0.10-qapt
Homepage: https://projects.kde.org/projects/extragear/sysadmin/libqapt/
Priority: optional
Section: kde
Filename: pool/main/q/qapt/qapt-utils_1.3.0-2_armhf.deb
Size: 10412
SHA256: 79510bd2de67f58cf5b725198443d2064aaa9bb7d9b55462739a75492a104e4e
SHA1: 2f0190c01d92eb13a58e269fd4fee27e5eb86d72
MD5sum: 1de37c75b8951a07166310a8ddeaf515
Description: complete collection of QApt package management utilities
 QApt is a collection of tools and a library providing a wrapper around
 APT. The intention is to ease the use of APT in Qt-based programs.
 .
 This is a metapackage depending on all of QApt's extra package management
 utilities.

Package: qasconfig
Source: qastools
Version: 0.17.2-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 227
Depends: qastools-common, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0)
Homepage: http://xwmw.org/qastools
Priority: optional
Section: sound
Filename: pool/main/q/qastools/qasconfig_0.17.2-2_armhf.deb
Size: 65558
SHA256: 5bf4475f8788af20a9c1e989b57fb77234aa37174297ee259ef6b9e75e8f5b92
SHA1: fafa9a4298e58c3ef1c5a504de10569d156c1dad
MD5sum: 34ad83a81bd9e39773b83d6335debdff
Description: ALSA configuration browser
 QasTools is a collection of desktop applications for the
 Linux sound system ALSA.
 .
 The applications included are:
  - QasConfig - browser for the ALSA configuration tree
  - QasHctl - mixer for ALSA's High level Control Interface
  - QasMixer - desktop mixer with features similar to alsamixer
 .
 ALSA's configuration resides in a tree structure which gets built
 from the contents of the files /etc/asound.conf and ~/.asoundrc.
 QasConfig is a simple browser for this configuration tree and can
 help to analyze and debug an ALSA setup.

Package: qashctl
Source: qastools
Version: 0.17.2-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 685
Depends: qastools-common, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.2), libstdc++6 (>= 4.4.0)
Homepage: http://xwmw.org/qastools
Priority: optional
Section: sound
Filename: pool/main/q/qastools/qashctl_0.17.2-2_armhf.deb
Size: 249216
SHA256: e7d42be61e5350d7450f3cce286734f71038b4ff72cffedfc2352b1c95398cf7
SHA1: 86ea0af8fb6321820ca18a0c76871eddaa9ff14a
MD5sum: 4785ff890fbd7234a1e9964e0322fa6c
Description: mixer for ALSA's High level Control Interface
 QasTools is a collection of desktop applications for the
 Linux sound system ALSA.
 .
 The applications included are:
  - QasConfig - browser for the ALSA configuration tree
  - QasHctl - mixer for ALSA's High level Control Interface
  - QasMixer - desktop mixer with features similar to alsamixer
 .
 QasHctl is a mixer application for ALSA's
 "High level Control Interface". This interface allows more complex
 interaction than the "Simple Mixer Interface" which is used by
 alsamixer and QasMixer.

Package: qasmixer
Source: qastools
Version: 0.17.2-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 766
Depends: qastools-common, libasound2 (>= 1.0.17), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.2), libstdc++6 (>= 4.4.0)
Homepage: http://xwmw.org/qastools
Priority: optional
Section: sound
Filename: pool/main/q/qastools/qasmixer_0.17.2-2_armhf.deb
Size: 283810
SHA256: da454efad6392b5d478508dc28c6f8fc698f9aa71376375283d701425254f119
SHA1: 9dc59759d5f4b12bdaf57e496dff48904a780ade
MD5sum: 3f3c81ba5527dc65c899b120d8e1148c
Description: ALSA mixer for the desktop
 QasTools is a collection of desktop applications for the
 Linux sound system ALSA.
 .
 The applications included are:
  - QasConfig - browser for the ALSA configuration tree
  - QasHctl - mixer for ALSA's High level Control Interface
  - QasMixer - desktop mixer with features similar to alsamixer
 .
 QasMixer is a desktop mixer application for ALSA's
 "Simple Mixer Interface" and offers features similar to alsamixer.
 It also features a sytem tray icon with basic mixer functionality.

Package: qastools-common
Source: qastools
Version: 0.17.2-2
Installed-Size: 148
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Size: 22928
SHA256: 99b5bc6f78414f2edcc775bb2030d620ca02285153aa7f0713b5d17970d59b4e
SHA1: e70bc36f4f21216aba3bc4db032f15c22450a69e
MD5sum: 3b7059d1f8b50fa9c651f150fba90ab2
Description: QasTools common files
 QasTools is a collection of desktop applications for the
 Linux sound system ALSA.
 .
 The applications included are:
  - QasConfig - browser for the ALSA configuration tree
  - QasHctl - mixer for ALSA's High level Control Interface
  - QasMixer - desktop mixer with features similar to alsamixer
 .
 This package contains common files shared by all applications.
Homepage: http://xwmw.org/qastools
Section: sound
Priority: optional
Filename: pool/main/q/qastools/qastools-common_0.17.2-2_all.deb

Package: qbankmanager
Version: 0.9.55beta-3
Architecture: armhf
Maintainer: Micha Lenk 
Installed-Size: 1770
Depends: libaqbanking34 (>= 4.99.2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgwengui-qt4-0, libgwenhywfar60 (>= 4.1.0), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6)
Priority: extra
Section: utils
Filename: pool/main/q/qbankmanager/qbankmanager_0.9.55beta-3_armhf.deb
Size: 704722
SHA256: 6279ae01cf98e6ffce75c5564c86b72f80df03566b0de82f051e9ff3c2153135
SHA1: 5d7cfaac85ca13d7a5284b04772363ac24da66ff
MD5sum: b0ef667b6f2b1d4ab8c6b665fdeca3c1
Description: online banking program with graphical interface
 This package contains an application for doing online banking, e.g. via the
 homebanking computer interface (HBCI).

Package: qbittorrent
Version: 2.9.8-1+deb7u1
Architecture: armhf
Maintainer: Cristian Greco 
Installed-Size: 5111
Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libtorrent-rasterbar6 (>= 0.15.10), python (>= 2.5), geoip-database
Suggests: qbittorrent-dbg
Homepage: http://www.qbittorrent.org/
Priority: optional
Section: net
Filename: pool/main/q/qbittorrent/qbittorrent_2.9.8-1+deb7u1_armhf.deb
Size: 2703398
SHA256: bf03b88005ba6ff50373452f47c963d1db0fd1838db2a127d0e3a85e6c715633
SHA1: 1ab2522d07ac6ef28cf46fa74d52ef5c9e909aa9
MD5sum: 7de7058c74e635d2094f2f1de5895089
Description: bittorrent client based on libtorrent-rasterbar with a Qt4 GUI
 BitTorrent client written in C++ and based on libtorrent-rasterbar with a Qt4
 user interface. qBittorrent is a feature rich but lightweight client that is
 very similar to uTorrent. Its main features are:
 .
  * Polished uTorrent-like user interface
  * Well integrated and extensible search engine
  * Remote control through a Web user interface
  * Vuze-compatible protocol encryption
  * uTorrent-compatible Peer eXchange (PeX)
  * DHT (trackerless) support
  * UPnP / NAT-PMP port forwarding
  * IPv6 compliant
  * RSS support with advanced download filters
  * Advanced control over torrent content and trackers
  * IP Filtering (eMule / Peer Guardian filters)
  * Torrents queueing and prioritizing
  * Good localization (~25 languages supported)
  * Unicode support

Package: qbittorrent-dbg
Source: qbittorrent
Version: 2.9.8-1+deb7u1
Architecture: armhf
Maintainer: Cristian Greco 
Installed-Size: 54884
Depends: qbittorrent (= 2.9.8-1+deb7u1) | qbittorrent-nox (= 2.9.8-1+deb7u1)
Homepage: http://www.qbittorrent.org/
Priority: extra
Section: debug
Filename: pool/main/q/qbittorrent/qbittorrent-dbg_2.9.8-1+deb7u1_armhf.deb
Size: 22987482
SHA256: bbe08dd8699a3881a30f29317cbafc5d4496c1d9618b724730e424c5f6cc6821
SHA1: 30abcb4133a9937090cbc82ae761ef6c0e775176
MD5sum: 751d0f905716ac6911e01ca094613511
Description: debug symbols for qbittorrent and qbittorrent-nox
 BitTorrent client written in C++ and based on libtorrent-rasterbar with a Qt4
 user interface. qBittorrent is a feature rich but lightweight client that is
 very similar to uTorrent.
 .
 This package contains the debugging symbols for qbittorrent and
 qbittorrent-nox.

Package: qbittorrent-nox
Source: qbittorrent
Version: 2.9.8-1+deb7u1
Architecture: armhf
Maintainer: Cristian Greco 
Installed-Size: 3487
Depends: libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libtorrent-rasterbar6 (>= 0.15.10)
Suggests: qbittorrent-dbg
Homepage: http://www.qbittorrent.org/
Priority: optional
Section: net
Filename: pool/main/q/qbittorrent/qbittorrent-nox_2.9.8-1+deb7u1_armhf.deb
Size: 1941382
SHA256: 966dced465997f78e081924b910793cfbef896a6d260c9b296200584c4b087aa
SHA1: 35a579d66aadf77dc1cd40052cffbc118a8c8cda
MD5sum: d3dc31fe18070ad4d1d1ed30e06d6d87
Description: bittorrent client based on libtorrent-rasterbar (without X support)
 BitTorrent client written in C++ and based on libtorrent-rasterbar.
 qBittorrent-nox is a version of qBittorrent (Qt4 application) that does not
 require X and can be controlled via a WebUI, thus is more suitable for headless
 servers. It is a feature rich but lightweight client that is very similar to
 rTorrent. Its main features are:
 .
  * Remote control through a Web user interface
  * Vuze-compatible protocol encryption
  * uTorrent-compatible Peer eXchange (PeX)
  * DHT (trackerless) support
  * UPnP / NAT-PMP port forwarding
  * IPv6 compliant
  * Advanced control over torrent content and trackers
  * IP Filtering (eMule / Peer Guardian filters)
  * Torrents queueing and prioritizing
  * Good localization (~25 languages supported)
  * Unicode support

Package: qbrew
Version: 0.4.1-3
Architecture: armhf
Maintainer: Tobias Quathamer 
Installed-Size: 986
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: http://www.usermode.org/code.html
Priority: extra
Section: x11
Filename: pool/main/q/qbrew/qbrew_0.4.1-3_armhf.deb
Size: 393150
SHA256: 5688a44601ebfd7abab998195843ca3e09ef61a07973ec70971534ccb0a38387
SHA1: 87793612ef5ce3de304e3f88cb4c7de829d0249b
MD5sum: bd4b187a3dc7ef5cc20759354ac4d15a
Description: Homebrewer's recipe calculator
 QBrew is a recipe calculator for homebrewed beers. It uses Qt for creating
 its graphical user interface and provides facilities for creating own beer
 recipes. It even has a manual describing how to brew your first beer
 yourself!

Package: qbzr
Version: 0.22.2-1
Installed-Size: 2508
Maintainer: Debian Bazaar Maintainers 
Architecture: all
Depends: bzr (>= 2.1), python-configobj, python-pygments, python-qt4, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8)
Suggests: bzr-search, python-docutils, python-enchant
Enhances: bzr
Size: 452032
SHA256: 51f6e903941984f6495d0521b62707ab793f56fcd6111a5e2e5c55b30c6f9536
SHA1: 1767d5fcb2556fd437d3a9c0c03a3790a4f9c312
MD5sum: bc9aa0bc89896ce8d4935480d316af0f
Description: Graphical interface for Bazaar using the Qt toolkit
 QBzr is a cross-platform GUI frontend for Bazaar, based on the Qt toolkit.
 .
 It provides GUI frontend for many core bzr commands and several universal
 dialogs and helper commands. Equivalents for core bzr commands
 has the same names as CLI commands but with prefix "q".
 .
 Basic q-commands:
 .
  * qadd - GUI for adding files or directories.
  * qannotate - Show the origin of each line in a file.
  * qbind - Convert the current branch into a checkout of the supplied branch.
  * qbranch - Create a new copy of a branch.
  * qcat - View the contents of a file as of a given revision.
  * qcommit - GUI for committing revisions.
  * qconflicts - Show conflicts.
  * qdiff - Show differences in working tree in a GUI window.
  * qexport - Export current or past revision to a directory or archive.
  * qinfo - Shows information about the current location.
  * qinit - Initializes a new branch or shared repository.
  * qlog - Show log of a repository, branch, file, or directory in a Qt window.
  * qmerge - Perform a three-way merge.
  * qplugins - Display information about installed plugins.
  * qpull - Turn this branch into a mirror of another branch.
  * qpush - Update a mirror of this branch.
  * qrevert - Revert changes files.
  * qsend - Mail or create a merge-directive for submitting changes.
  * qswitch - Set the branch of a checkout and update.
  * qtag - Edit tags.
  * qunbind - Convert the current checkout into a regular branch.
  * quncommit - Move the tip of a branch to an earlier revision.
  * qupdate - Update working tree with latest changes in the branch.
  * qversion - Show version/system information.
 .
 Hybrid dialogs:
 .
  * qgetnew - Creates a new working tree (either a checkout or full branch).
  * qgetupdates - Fetches external changes into the working tree.
 .
 Additional commands:
 .
  * qbrowse - Show inventory or working tree.
  * qconfig - Configure Bazaar and QBzr.
  * qviewer - Simple file viewer.
 .
 Miscellaneous:
 .
  * bug-url - print full URL to a specific bug, or open it in your browser.
Homepage: http://wiki.bazaar.canonical.com/QBzr
Tag: devel::rcs, implemented-in::python, interface::x11, role::program,
 uitoolkit::qt, works-with::vcs, x11::application
Section: vcs
Priority: optional
Filename: pool/main/q/qbzr/qbzr_0.22.2-1_all.deb

Package: qc-usb-source
Source: qc-usb
Version: 0.6.6-8
Installed-Size: 133
Maintainer: Martín Ferrari 
Architecture: all
Depends: module-assistant, debhelper (>= 5), make, bzip2
Size: 106954
SHA256: 1f4e1f2f49da9d2aa0f80571c3355bb655140ff3b925da359a68afa6141fc63a
SHA1: 8589ee60851bae91d6a03fd6d3e44a1c56cfa01f
MD5sum: 0af15dd8cdce1d5a3fe53c118d9abd18
Description: source for the QuickCam Express driver
 This package provides the source code for the quickcam kernel module for
 Logitech's QuickCam Express webcam and similar webcams. This driver
 supersedes the qce-ga driver.
 .
 Kernel source or headers are required to compile these modules.
Tag: admin::kernel, hardware::camera, hardware::usb, role::source,
 use::driver
Section: kernel
Priority: extra
Filename: pool/main/q/qc-usb/qc-usb-source_0.6.6-8_all.deb

Package: qc-usb-utils
Source: qc-usb
Version: 0.6.6-8
Architecture: armhf
Maintainer: Martín Ferrari 
Installed-Size: 71
Depends: libc6 (>= 2.13-28)
Suggests: qc-usb-modules
Priority: extra
Section: kernel
Filename: pool/main/q/qc-usb/qc-usb-utils_0.6.6-8_armhf.deb
Size: 26626
SHA256: d4c722ce0bac3b255ee2752e439e692fd23157616218af2c695b6b002c17ca40
SHA1: 3f0e269af7c69c6acd05e630d43bdbce2cf9cde6
MD5sum: 7d08d23f18941705144cd41c3fdb5d49
Description: utility programs for the QuickCam Express driver
 Utilities to tweak parameters of your QuickCam Express or similar webcam, and
 the quickcam kernel module.
 These programs are completely useless without a qc-usb-modules package.

Package: qca2-utils
Source: qca2
Version: 2.0.3-4
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 280
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqca2 (= 2.0.3-4), libqtcore4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0)
Breaks: libqca2 (<< 2.0.3-3~)
Replaces: libqca2 (<< 2.0.3-3~)
Homepage: http://delta.affinix.com/qca/
Priority: optional
Section: libs
Filename: pool/main/q/qca2/qca2-utils_2.0.3-4_armhf.deb
Size: 113090
SHA256: c97090f0bee95bb628fee2d5ae337e6959a86160e4271a6ea3e6745f192b1539
SHA1: f29452bc39813cc5fba4f3347bb282bc40932ea6
MD5sum: 56258284d4de58e56b286d2fa89d8e3c
Description: command line tool for the Qt Cryptographic Architecture
 The Qt Cryptographic Architecture (QCA) provides a straightforward and cross-
 platform API for a range of cryptographic features, including SSL/TLS,
 X.509 certificates, SASL, OpenPGP, S/MIME CMS, and smart cards.
 .
 This package contains the command line tool for the Qt Cryptographic
 Architecture.

Package: qcad
Version: 2.0.5.0-1+090318.1-2
Installed-Size: 31
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: librecad
Size: 7424
SHA256: 63766be8c604608d97e497d0dd9a5c0775aea949bfd5f7c64c17299793b4af50
SHA1: 81ccd371b087cce4a0be5e078dfd5c451eeb161f
MD5sum: d2fae554a41ad7da558379af30801f0c
Description: Transitional package for QCad to LibreCAD
 QCad has been removed from wheezy for depending on qt3 and containing non-
 distributable fonts, patterns, libraries, and documentation.
 .
 LibreCAD is the community-maintained qt4 port of QCad and has superseded Qcad
 in Debian as the package "librecad".
Tag: interface::x11, role::program, scope::application, uitoolkit::qt,
 use::editing, works-with-format::vrml, works-with::3dmodel,
 x11::application
Section: graphics
Priority: optional
Filename: pool/main/q/qcad/qcad_2.0.5.0-1+090318.1-2_all.deb

Package: qcam
Version: 0.91-12.1
Architecture: armhf
Maintainer: Paul Slootman 
Installed-Size: 136
Depends: libc6 (>= 2.7), libjpeg62 (>= 6b1), libx11-6, libxext6
Priority: extra
Section: graphics
Filename: pool/main/q/qcam/qcam_0.91-12.1_armhf.deb
Size: 45642
SHA256: 4a9a6141ede23cb77534db307f6e1b649d3f6b9146454c8456739ec09325935c
SHA1: bc13e8d2ec4be133e269394cf6daa0917c6e20cc
MD5sum: 9764fd9b0ced1638f428a17a755e389b
Description: QuickCam image grabber
 Tools for grabbing single frames and videos from black and white
 Connectix QuickCam digital cameras.  Includes X11 and svgalib versions.
 .
 Note that nowadays there is support in the 2.2 kernels for this camera in
 the video4linux project, which is probably better than this.

Package: qcomicbook
Version: 0.8.2-1
Architecture: armhf
Maintainer: Bart Martens 
Installed-Size: 1355
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpoppler-qt4-3 (>= 0.16), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Recommends: unrar-free, zip, unzip, unace, bzip2 | pbzip2
Suggests: unrar
Homepage: http://qcomicbook.linux-projects.net/
Priority: optional
Section: graphics
Filename: pool/main/q/qcomicbook/qcomicbook_0.8.2-1_armhf.deb
Size: 793186
SHA256: 6fa365cb4b44da4dbbcd78983f118d845d137788de100eb376ac50badecaa1d3
SHA1: c57fcb3991731b8be2ce4c72124c01a1773171ff
MD5sum: 9390fa3b04f6ce1810e13acb045876c7
Description: qt viewer for comic book archives (cbr/cbz/cba/cbg/cbb)
 QComicBook is a viewer for comic book archives containing
 jpeg/png images, which aims at convenience and simplicity.
 Features include: automatic decompression, full-screen mode,
 page scaling, mouse or keyboard navigation etc.
 .
 QComicBook for now supports archives:
  - cbr - rar-compressed
  - cbz - zip-compressed
  - cba - ace-compressed
  - cbg - targzipped
  - cbb - tarbzip2ped

Package: qconf
Version: 1.4-3
Architecture: armhf
Maintainer: Boris Pek 
Installed-Size: 171
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.3.0)
Homepage: http://delta.affinix.com/qconf
Priority: optional
Section: devel
Filename: pool/main/q/qconf/qconf_1.4-3_armhf.deb
Size: 54430
SHA256: b5adccb479e334b3669d2d07bfaf32eb1a7871eaa7fd49ce26ff5eb91e3f166f
SHA1: ab173dabadf93cf840fdc19ba9c408a32221e737
MD5sum: c4431fecef60490084d0cac02591eee7
Description: Nice configure script for your qmake-based project
 It is intended for developers who don’t need (or want)
 to use the more complex GNU autotools. With qconf/qmake,
 it is easy to maintain a cross-platform project that
 uses a familiar configuration interface on unix.

Package: qct
Version: 1.7-3
Installed-Size: 456
Maintainer: Vincent Danjean 
Architecture: all
Depends: mercurial | bzr | monotone | subversion | cvs, python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-qt4
Enhances: bzr, cogito, cvs, mercurial, monotone, subversion
Breaks: mercurial (<< 1.9.1-1)
Size: 55862
SHA256: 8e42b63d217a1876be6f67297bbe4e04d7dd018fe879b46313e4966d22048f9d
SHA1: a3dcaa43e5b064ae2e23203454c1c56d1e511e01
MD5sum: 9284c2e8b4e1ebea56136e8e7e2550b3
Description: GUI commit tool
 qct is a GUI enabled commit tool for various VCS (currently Mercurial,
 Bazaar, Perforce, Subversion, Monotone, CVS). It aims to be
 Cross-Platform (Linux, Windows-Native, MacOS, cygwin). It allows the user
 to view diffs, select which files to commit (or ignore / revert), write
 commit messages and perform the commit itself.
Homepage: http://qct.sourceforge.net/
Tag: devel::buildtools, devel::rcs, implemented-in::python,
 interface::commandline, interface::x11, role::plugin, uitoolkit::qt,
 use::synchronizing, use::viewing, works-with::file
Section: vcs
Priority: extra
Filename: pool/main/q/qct/qct_1.7-3_all.deb

Package: qdacco
Version: 0.8.2-1
Architecture: armhf
Maintainer: Innocent De Marchi 
Installed-Size: 378
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqdaccolib0.7 (>= 0.7), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), dacco-common
Suggests: festival
Homepage: http://sourceforge.net/project/showfiles.php?group_id=80666
Priority: optional
Section: text
Filename: pool/main/q/qdacco/qdacco_0.8.2-1_armhf.deb
Size: 142310
SHA256: 85586df48ba0bd9f28e96a91af8f114990ce75677b14c0ef298ed527d5d04d1e
SHA1: 91cc65c04f082b243f9f541c0b06c6435beb85cd
MD5sum: e4baf0084ea1f7c42654e4b3bec9e7d9
Description: offline Dacco Catalan <-> English dictionary frontend (qt)
 Dacco is a free Catalan-English dictionary. It gives two versions, one
 qt based and other text based. Both are frontends to use the dacco
 dictionary.

Package: qdbm-cgi
Source: qdbm
Version: 1.8.78-2
Architecture: armhf
Maintainer: KURASHIKI Satoru 
Installed-Size: 111
Depends: libbz2-1.0, libc6 (>= 2.13-28), libqdbm14 (>= 1.8.74), zlib1g (>= 1:1.1.4)
Homepage: http://fallabs.com/qdbm/
Priority: optional
Section: database
Filename: pool/main/q/qdbm/qdbm-cgi_1.8.78-2_armhf.deb
Size: 35748
SHA256: 3b800fbe4491ea72bd0892d267073f1e0a2111e8550db363210cd194e5ee17bc
SHA1: 5a1ba65904f97a478e2a8cba70270d5533d2a7f3
MD5sum: 5dde6713368a2000e4100a7bc0651e43
Description: QDBM Database CGI commands
 This is the QDBM Database command package to be used as CGI commands.

Package: qdbm-doc
Source: qdbm
Version: 1.8.78-2
Installed-Size: 1940
Maintainer: KURASHIKI Satoru 
Architecture: all
Size: 349248
SHA256: 1e56aa7424aedd1d02d730ca5da0ef2c5d97f3fa2827a3fdd4ca20e876527963
SHA1: 79fb65c724dc7fce462b4b6e2305314a54d39c33
MD5sum: 3f07f1a46b556a3ca4b143314733da3e
Description: QDBM Database Documentation
 Documentation for all of the API's and utilities provided by QDBM.
Homepage: http://fallabs.com/qdbm/
Tag: devel::doc, made-of::html, role::documentation, works-with::db
Section: doc
Priority: optional
Filename: pool/main/q/qdbm/qdbm-doc_1.8.78-2_all.deb

Package: qdbm-util
Source: qdbm
Version: 1.8.78-2
Architecture: armhf
Maintainer: KURASHIKI Satoru 
Installed-Size: 205
Depends: libc6 (>= 2.13-28), libqdbm14 (>= 1.8.74), zlib1g (>= 1:1.1.4)
Homepage: http://fallabs.com/qdbm/
Priority: optional
Section: database
Filename: pool/main/q/qdbm/qdbm-util_1.8.78-2_armhf.deb
Size: 85474
SHA256: faa0239c591e17e3051621a3c9d810171b353d8c0469fbe144085ac99115d970
SHA1: 9d99054a5e314e9f833a33836c1eaae8de98815d
MD5sum: 1b80040b26c1272572ef31dd3c02dc0a
Description: QDBM Database Utilities
 QDBM is an embeded database library compatible with GDBM and NDBM.
 It features hash database and B+ tree database and is developed referring
 to GDBM for the purpose of the following three points: higher processing
 speed, smaller size of a database file, and simpler API.
 This is the QDBM Database utilities package.

Package: qdbus
Source: qt4-x11
Version: 4:4.8.2+dfsg-11+rpi1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 178
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-xml (= 4:4.8.2+dfsg-11+rpi1), libqtcore4 (= 4:4.8.2+dfsg-11+rpi1), libqtdbus4 (= 4:4.8.2+dfsg-11+rpi1), libstdc++6 (>= 4.4.0)
Breaks: libqt4-dbus (<< 4:4.7.4-1)
Replaces: libqt4-dbus (<< 4:4.7.4-1)
Multi-Arch: foreign
Homepage: http://qt-project.org/
Priority: optional
Section: utils
Filename: pool/main/q/qt4-x11/qdbus_4.8.2+dfsg-11+rpi1_armhf.deb
Size: 66054
SHA256: 7b2d56339e98a85768d5c341d1d60f0b7b1e785ddcda719f0796e83ff7978ab2
SHA1: e49cbcce7bd23ff66a24a8872cda10b602060516
MD5sum: e5d5d144c9cc9e20db1c9e4761135e10
Description: Qt 4 D-Bus tool
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains qdbus, a command-line tool interface to D-Bus that uses
 the QtDBus library.

Package: qelectrotech
Version: 0.22+svn897-1
Architecture: armhf
Maintainer: laurent trinques <5c04pi0810@gmail.com>
Installed-Size: 3231
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0)
Recommends: qelectrotech-examples (= 0.22+svn897-1), qelectrotech-data (= 0.22+svn897-1)
Homepage: http://qelectrotech.org/
Priority: optional
Section: electronics
Filename: pool/main/q/qelectrotech/qelectrotech_0.22+svn897-1_armhf.deb
Size: 1324322
SHA256: 32f432900830591703beeba0e134f7d7145ac74e8d9802c07ccabcfc3ad16c3b
SHA1: a472655cdb5e8cdadb99e5c3c0c159840a9baac4
MD5sum: 84aeb60a6c914d3eaeded32044d90f77
Description: Electric schematic editor
 QElectroTech is a Qt4 application written in C++ .
 This program is helps you to design electric schematics.
 It includes both a schematic editor and a symbol editor.
 It uses XML files to store the produced contents.

Package: qelectrotech-data
Source: qelectrotech
Version: 0.22+svn897-1
Installed-Size: 1100
Maintainer: laurent trinques <5c04pi0810@gmail.com>
Architecture: all
Recommends: qelectrotech (= 0.22+svn897-1)
Size: 43228
SHA256: 3098832069fad7fa385c6c3edd85f7ac066ece3840e41b42abdcae7108d0504e
SHA1: 3d4833057a8e48f93271ee38a5d68a2bc200d221
MD5sum: 0d1710569d0b11de928f973ca70578bc
Description: symbols needed for qelectrotech
 QElectroTech is a Qt4 application written in C++ .
 This program is helps you to design electric schematics.
 It includes both a schematic editor and a symbol editor.
 It uses XML files to store the produced contents.
 .
 This package provide symbols needed for qelectrotech.
Homepage: http://qelectrotech.org/
Tag: role::app-data
Section: electronics
Priority: optional
Filename: pool/main/q/qelectrotech/qelectrotech-data_0.22+svn897-1_all.deb

Package: qelectrotech-examples
Source: qelectrotech
Version: 0.22+svn897-1
Installed-Size: 236
Maintainer: laurent trinques <5c04pi0810@gmail.com>
Architecture: all
Recommends: qelectrotech (= 0.22+svn897-1)
Conflicts: qelectrotech-doc
Size: 24506
SHA256: 5cdc08344932639c0bae38d751e59ef191d01251b5ce890087259106e83245e8
SHA1: a5e12992b0352d2f554e3511b25e8f66f7a39a73
MD5sum: b2bc810db083a07d3e9722a51d87e790
Description: examples files for qelectrotech
 QElectroTech is a Qt4 application written in C++ .
 This program is helps you to design electric schematics.
 It includes both a schematic editor and a symbol editor.
 It uses XML files to store the produced contents.
 .
 This package provide examples files for qeletrotech.
Homepage: http://qelectrotech.org/
Tag: role::app-data
Section: electronics
Priority: optional
Filename: pool/main/q/qelectrotech/qelectrotech-examples_0.22+svn897-1_all.deb

Package: qemu
Version: 1.1.2+dfsg-6+deb7u25
Architecture: armhf
Maintainer: Debian QEMU Team 
Installed-Size: 347
Depends: qemu-system (>= 1.1.2+dfsg-6+deb7u25), qemu-user (>= 1.1.2+dfsg-6+deb7u25), qemu-utils (>= 1.1.2+dfsg-6+deb7u25)
Suggests: qemu-user-static
Homepage: http://www.qemu.org/
Priority: optional
Section: misc
Filename: pool/main/q/qemu/qemu_1.1.2+dfsg-6+deb7u25_armhf.deb
Size: 118746
SHA256: 5404f326e9c684c0de7427f2ce91d9c1d99fb1895f50549fb3cb7529feecbbbb
SHA1: 59517e36621b8f19fe297ab13edeb3ef73dc77b0
MD5sum: 6a0c8d5e675056130a51b100fea34f87
Description: fast processor emulator
 QEMU is a fast processor emulator: currently the package supports
 ARM, CRIS, i386, M68k (ColdFire), MicroBlaze, MIPS, PowerPC, SH4,
 SPARC and x86-64 emulation. By using dynamic translation it achieves
 reasonable speed while being easy to port on new host CPUs. QEMU has
 two operating modes:
 .
  * User mode emulation: QEMU can launch Linux processes compiled for
    one CPU on another CPU.
  * Full system emulation: QEMU emulates a full system, including a
    processor and various peripherals. It enables easier testing and
    debugging of system code. It can also be used to provide virtual
    hosting of several virtual machines on a single server.
 .
 As QEMU requires no host kernel patches to run, it is very safe and
 easy to use.
 .
 This package is a metapackage depending on all qemu-related packages.

Package: qemu-keymaps
Source: qemu
Version: 1.1.2+dfsg-6+deb7u25
Installed-Size: 147
Maintainer: Debian QEMU Team 
Architecture: all
Replaces: qemu (<< 0.11.0-2), qemu-system (<< 0.12.4+dfsg-4)
Breaks: qemu (<< 0.11.0-2), qemu-system (<< 0.12.4+dfsg-4)
Size: 53066
SHA256: a485e4688ad524ce7237e76e389114ef5029c9d36537cd894b7230cdc42ea1fb
SHA1: 0d3cb29325b4300bde8ad354984502021d5965ac
MD5sum: 716be78a8374f141d975e4fac5ccfc28
Description: QEMU keyboard maps
 QEMU is a fast processor emulator: currently the package supports
 ARM, CRIS, i386, M68k (ColdFire), MicroBlaze, MIPS, PowerPC, SH4,
 SPARC and x86-64 emulation. By using dynamic translation it achieves
 reasonable speed while being easy to port on new host CPUs.
 .
 This package provides keyboard maps to be used by the qemu-system
 package or QEMU derivatives.
Homepage: http://www.qemu.org/
Section: misc
Priority: optional
Filename: pool/main/q/qemu/qemu-keymaps_1.1.2+dfsg-6+deb7u25_all.deb

Package: qemu-launcher
Version: 1.7.4-1
Installed-Size: 440
Maintainer: Linas Žvirblis <0x0007@gmail.com>
Architecture: all
Depends: perl, libgtk2-gladexml-perl (>= 1.005), liblocale-gettext-perl (>= 1.05), qemu (>= 0.9.0)
Suggests: qemuctl
Size: 55038
SHA256: 3917e59b0ff8d51813ccd6865a0bb898b738fbc2f355f0080c46361c3771fe7d
SHA1: bd2e20f145e0bb547e83e661b209728d84f3d6a0
MD5sum: bc8812a28fe00a11e318620b4ac0f2c1
Description: GTK+ front-end to QEMU computer emulator
 Qemu Launcher provides a graphical front-end to all basic, and many
 advanced QEMU computer emulator options. It allows you to create,
 save, and run multiple virtual machine configurations, create and
 convert disk images.
 .
 Qemu Launcher utilizes the full system emulation mode of QEMU that
 allows you to run unmodified operating system on virtual hardware.
Tag: role::program, uitoolkit::gtk
Section: otherosfs
Priority: optional
Filename: pool/main/q/qemu-launcher/qemu-launcher_1.7.4-1_all.deb

Package: qemu-system
Source: qemu
Version: 1.1.2+dfsg-6+deb7u25
Architecture: armhf
Maintainer: Debian QEMU Team 
Installed-Size: 58709
Depends: libaio1 (>= 0.3.93), libasound2 (>= 1.0.16), libbluetooth3 (>= 4.91), libbrlapi0.5, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libfdt1, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.24.0), libgnutls26 (>= 2.12.17-0), libiscsi1 (>= 1.4), libjpeg8 (>= 8c), libncurses5 (>= 5.5-5~), libpng12-0 (>= 1.2.13-4), libpulse0 (>= 0.99.1), libsasl2-2 (>= 2.1.24), libsdl1.2debian (>= 1.2.11), libtinfo5, libusbredirparser0 (>= 0.4.3), libuuid1 (>= 2.16), libvdeplug2, libx11-6, zlib1g (>= 1:1.1.4), qemu-keymaps (>= 1.1.2+dfsg-6+deb7u25), vgabios (>= 0.6c-3~), seabios (>= 1.7.0~), openbios-ppc (>= 1.0+svn1060), openbios-sparc (>= 1.0+svn1060), openhackware, ipxe-qemu | ipxe (<< 1.0.0+git-20120202.f6840ba-2)
Recommends: vde2, qemu-utils
Suggests: samba
Breaks: qemu (<< 0.11.0-2)
Replaces: qemu (<< 0.11.0-2)
Homepage: http://www.qemu.org/
Priority: optional
Section: misc
Filename: pool/main/q/qemu/qemu-system_1.1.2+dfsg-6+deb7u25_armhf.deb
Size: 24333458
SHA256: ecc5101afd009e53fcf506439be56632cc03e525dd2756b69c3a1fb7b0e4dae9
SHA1: 89623a642e33d27563ce65da7a8a80bdb02c386d
MD5sum: b5d276080a08e26dc041b3a62b55141d
Description: QEMU full system emulation binaries
 QEMU is a fast processor emulator: currently the package supports
 ARM, CRIS, i386, M68k (ColdFire), MicroBlaze, MIPS, PowerPC, SH4,
 SPARC and x86-64 emulation. By using dynamic translation it achieves
 reasonable speed while being easy to port on new host CPUs.
 .
 This package provides the full system emulation binaries. In this mode
 QEMU emulates a full system, including a processor and various
 peripherals. It enables easier testing and debugging of system code.
 It can also be used to provide virtual hosting of several virtual
 machines on a single server.

Package: qemu-user
Source: qemu
Version: 1.1.2+dfsg-6+deb7u25
Architecture: armhf
Maintainer: Debian QEMU Team 
Installed-Size: 18329
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0)
Suggests: sudo
Breaks: qemu (<< 0.11.0-2)
Replaces: qemu (<< 0.11.0-2)
Homepage: http://www.qemu.org/
Priority: optional
Section: misc
Filename: pool/main/q/qemu/qemu-user_1.1.2+dfsg-6+deb7u25_armhf.deb
Size: 7084606
SHA256: def1fdb66912f6006b0499bc66e883e5b58bf6b4d8e6ccbd0a226b94cb0bcce5
SHA1: def296fbdfbc05518e02b577a57faf9979071ccf
MD5sum: c22806e0b6ac28a206365c80236a456f
Description: QEMU user mode emulation binaries
 QEMU is a fast processor emulator: currently the package supports
 ARM, CRIS, i386, M68k (ColdFire), MicroBlaze, MIPS, PowerPC, SH4,
 SPARC and x86-64 emulation. By using dynamic translation it achieves
 reasonable speed while being easy to port on new host CPUs.
 .
 This package provides the user mode emulation binaries. In this mode
 QEMU can launch Linux processes compiled for one CPU on another CPU.

Package: qemu-user-static
Source: qemu
Version: 1.1.2+dfsg-6+deb7u25
Architecture: armhf
Maintainer: Debian QEMU Team 
Installed-Size: 33663
Recommends: binfmt-support
Suggests: sudo
Homepage: http://www.qemu.org/
Priority: optional
Section: misc
Filename: pool/main/q/qemu/qemu-user-static_1.1.2+dfsg-6+deb7u25_armhf.deb
Size: 14816150
SHA256: 0190693dc615bb82c97316c1c710ab00b08af9966f2751ffae4b548e2ce8234e
SHA1: 3b4be424f4caa6a08c4485ecb48a55ae9f62c0fb
MD5sum: 8b82416169344b78ae8ebc89a6c00289
Description: QEMU user mode emulation binaries (static version)
 QEMU is a fast processor emulator: currently the package supports
 ARM, CRIS, i386, M68k (ColdFire), MicroBlaze, MIPS, PowerPC, SH4,
 SPARC and x86-64 emulation. By using dynamic translation it achieves
 reasonable speed while being easy to port on new host CPUs.
 .
 This package provides the user mode emulation binaries, built
 statically. In this mode QEMU can launch Linux processes compiled for
 one CPU on another CPU.

Package: qemu-utils
Source: qemu
Version: 1.1.2+dfsg-6+deb7u25
Architecture: armhf
Maintainer: Debian QEMU Team 
Installed-Size: 1194
Depends: libaio1 (>= 0.3.93), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libiscsi1 (>= 1.4), libuuid1 (>= 2.16), zlib1g (>= 1:1.1.4)
Recommends: sharutils
Suggests: debootstrap
Conflicts: kvm (= 85+dfsg-4.1)
Breaks: qemu (<< 0.11.0-2), qemu-user (<< 0.11.1-2)
Replaces: qemu (<< 0.11.0-2), qemu-user (<< 0.11.1-2)
Homepage: http://www.qemu.org/
Priority: optional
Section: misc
Filename: pool/main/q/qemu/qemu-utils_1.1.2+dfsg-6+deb7u25_armhf.deb
Size: 628720
SHA256: e1aad5d5944fb969037d9a2cf61c24a8f4090901a3e44f677e1f963736696d42
SHA1: b93aedb0c090cd729ef377259836314174444b7c
MD5sum: a193b92450495f7a9a2ef622bf872565
Description: QEMU utilities
 QEMU is a fast processor emulator: currently the package supports
 ARM, CRIS, i386, M68k (ColdFire), MicroBlaze, MIPS, PowerPC, SH4,
 SPARC and x86-64 emulation. By using dynamic translation it achieves
 reasonable speed while being easy to port on new host CPUs.
 .
 This package provides QEMU related utilities:
  * qemu-img: QEMU disk image utility
  * qemu-io:  QEMU disk exerciser
  * qemu-nbd: QEMU disk network block device server

Package: qemuctl
Version: 0.2-2
Installed-Size: 136
Maintainer: Miguel Gea Milvaques 
Architecture: all
Depends: perl, qemu (>= 0.8.0-1), libgtk2-gladexml-perl (>= 1.005-1)
Recommends: qemu-launcher
Size: 11852
SHA256: 25de2518a8d6aeca07f79ba638988c9c3829b83e25f70b63184735d7cb089dcb
SHA1: 79b9e1466c2f95c814c6638f3f29d18808df960d
MD5sum: b53a3c8aa9a19ab75743a20ccd8c3415
Description: controlling GUI for qemu
 This is a controller GUI for the run-time options of QEMU computer
 emulator. It can be used as a stand-alone application or as a plug-in
 for Qemu Launcher. (Only x86 PC emulator is supported.)
  Homepage: http://qemuctl.sourceforge.net/
Tag: admin::virtualization, hardware::emulation, implemented-in::perl,
 interface::x11, role::program, uitoolkit::gtk
Section: misc
Priority: optional
Filename: pool/main/q/qemuctl/qemuctl_0.2-2_all.deb

Package: qemulator
Source: virtualbricks
Version: 0.6.352-1
Installed-Size: 27
Maintainer: Francesco Namuri 
Architecture: all
Depends: virtualbricks
Size: 3106
SHA256: e4be12954925c5928e6002da9438a106c1a660f3ab77691a5b1848e703a27c76
SHA1: c217488c6da3ab49b0970a80e0a0b0947511aae0
MD5sum: b79f2502474bf27b6ad003992eda5958
Description: transitional dummy package to virtualbriks
 This is a transitional package to virtualbricks, and can be safely removed
 after the installation is complete.
Tag: hardware::emulation, implemented-in::python, interface::x11,
 role::program, scope::utility, uitoolkit::gtk, x11::application
Section: oldlibs
Priority: extra
Filename: pool/main/v/virtualbricks/qemulator_0.6.352-1_all.deb

Package: qfits-tools
Source: qfits
Version: 6.2.0-5
Architecture: armhf
Maintainer: Aurelien Jarno 
Installed-Size: 139
Depends: libc6 (>= 2.7), libqfits0 (>= 6.2.0)
Homepage: http://www.eso.org/projects/aot/qfits/
Priority: optional
Section: science
Filename: pool/main/q/qfits/qfits-tools_6.2.0-5_armhf.deb
Size: 49908
SHA256: 8efa87cdfc77e8cb529bc6a0e624efb00263f9abf4550991d012075e229e5357
SHA1: 3716b1c776d1d88842f82d0beb1b29f14c37e0d3
MD5sum: 353bcb9e4d4cde858d414928bcb77be9
Description: FITS manipulation tools
 qfits is a stand-alone library written in C to interact with files
 complying with the FITS format, which is a data format most used in
 astronomy.
 .
 This package includes tools for simple manipulations of FITS files.

Package: qfreefax
Version: 0.1-1
Architecture: armhf
Maintainer: Adam Cécile (Le_Vert) 
Installed-Size: 291
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: http://qfreefax.sourceforge.net/
Priority: extra
Section: net
Filename: pool/main/q/qfreefax/qfreefax_0.1-1_armhf.deb
Size: 132460
SHA256: 43015b85f6ffae0959dcbc24577d192fba5f28b467477a57ebc12b7710a40932
SHA1: 3c2ea9de286c38136fdb887aa91bd0ad621a1e51
MD5sum: 4e0107a02aa465a844b4aba80c373137
Description: GUI for fax fonctionality provided by French ISP "Free"
 qFreeFax allow users to send fax through a nice QT4 based GUI.
 .
 Please notice this in only suitable for people having a Free ADSL
 account, from the French ISP "Free".

Package: qgfe
Version: 1.0-1.1
Architecture: armhf
Maintainer: Giorgio Pioda 
Installed-Size: 536
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.4.0), libx11-6, libxext6, gnuplot (>= 4.0.0-5)
Priority: optional
Section: math
Filename: pool/main/q/qgfe/qgfe_1.0-1.1_armhf.deb
Size: 179842
SHA256: 1aea195320af24c46a8634e81657dd15098481e89f1127ac7f866db1a8022d05
SHA1: a2abbb3503cdd42d850ace57609ede5b80d66783
MD5sum: 98843260eb935f47e4c176fbf96efef9
Description: QT based Gnuplot Front End
 Qgfe is a GUI for gnuplot so that there is no need to learn gnuplot
 language to start using it; qgfe allows to export gnuplot scripts
 for further manual costumization of the plots.

Package: qgis-api-doc
Source: qgis
Version: 1.7.4+1.7.5~20120320-1.1
Installed-Size: 84320
Maintainer: Debian GIS Project 
Architecture: all
Size: 50620744
SHA256: b870bdd63e9a4a609ccaaffbb2034972a354d688966cd3b78ab44100b0019ca5
SHA1: 219981b1a6f2d6ae9889ca008e1ade9dc0690bde
MD5sum: c597666470883877ff61290fb4409d3a
Description: Quantum GIS API documentation
 Quantum GIS is a Geographic Information System (GIS) which manages, analyzes
 and display databases of geographic information.
 .
 This package contains the Quantum GIS API documentation.
Homepage: http://qgis.org/
Section: science
Priority: extra
Filename: pool/main/q/qgis/qgis-api-doc_1.7.4+1.7.5~20120320-1.1_all.deb

Package: qgis-common
Source: qgis
Version: 1.7.4+1.7.5~20120320-1.1
Installed-Size: 23501
Maintainer: Debian GIS Project 
Architecture: all
Size: 6834976
SHA256: 01586e4469e184b04ec875494b4c663d1e05d367d65deed6b6f485d4f5f479f6
SHA1: ff22169131f327630685f6a08c7f331ec73ba277
MD5sum: c17507acc978171790f18660fea23c4b
Description: Quantum GIS - architecture-independent data
 Quantum GIS is a Geographic Information System (GIS) which manages, analyzes
 and display databases of geographic information.
 .
 This package contains architecture-independent supporting data files for use
 with Quantum GIS.
Homepage: http://qgis.org/
Tag: role::app-data
Section: science
Priority: extra
Filename: pool/main/q/qgis/qgis-common_1.7.4+1.7.5~20120320-1.1_all.deb

Package: qgis-plugin-grass-common
Source: qgis
Version: 1.7.4+1.7.5~20120320-1.1
Installed-Size: 1804
Maintainer: Debian GIS Project 
Architecture: all
Replaces: qgis-common (<< 1.5)
Depends: python
Breaks: qgis-common (<< 1.5)
Size: 1140440
SHA256: b34be43b26c6822349d38ef67c9878fb319f6b188af4632490f0541c6f47dd43
SHA1: ca9577026b7e7eae04dc1f25ac57a70e2d9af5fd
MD5sum: 01f96849cd543a49f78eddedd0aca2ee
Description: GRASS plugin for Quantum GIS - architecture-independent data
 Quantum GIS is a Geographic Information System (GIS) which manages, analyzes
 and display databases of geographic information.
 .
 This package contains architecture-independent supporting data files for use
 with the Quantum GIS GRASS plugin.
Homepage: http://qgis.org/
Tag: field::geography, role::plugin, scope::utility, use::editing,
 use::viewing
Section: science
Priority: extra
Filename: pool/main/q/qgis/qgis-plugin-grass-common_1.7.4+1.7.5~20120320-1.1_all.deb

Package: qgis-providers-common
Source: qgis
Version: 1.7.4+1.7.5~20120320-1.1
Installed-Size: 4303
Maintainer: Debian GIS Project 
Architecture: all
Replaces: qgis-common (<= 1.6)
Breaks: qgis-common (<= 1.6)
Size: 800970
SHA256: b42c846c36df66a63d64ea69540a0564a33eec160a5d33f25b596f0f360030d4
SHA1: d6e12196e05fdf260073d3b779101d354faa965b
MD5sum: 590541b8c7deadf1f20259f7dcf03475
Description: collection of data providers to Quantum GIS - architecture-independent files
 Quantum GIS is a Geographic Information System (GIS) which manages, analyzes
 and display databases of geographic information.
 .
 This package contains architecture-independent files for the Quantum GIS
 providers.
Homepage: http://qgis.org/
Section: science
Priority: extra
Filename: pool/main/q/qgis/qgis-providers-common_1.7.4+1.7.5~20120320-1.1_all.deb

Package: qgit
Version: 2.4-1
Architecture: armhf
Maintainer: Wartan Hachaturow 
Installed-Size: 893
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), git
Recommends: stgit
Suggests: source-highlight
Homepage: http://libre.tibirna.org/projects/qgit
Priority: optional
Section: vcs
Filename: pool/main/q/qgit/qgit_2.4-1_armhf.deb
Size: 386554
SHA256: 9344d6773dfbd31a8dc72aab401f445364de4728b1aa9dd35ee7f5e92acdc015
SHA1: d6dc380b5bbab2f629672746064648bbacfefa34
MD5sum: 7ed4eb17ee301ecc97d56b049899d84e
Description: Qt application for viewing GIT trees
 With qgit you will be able to browse revision tree, view patch content
 and changed files, graphically following different development branches.
 Main features:
  - View revisions, diffs, files history, files annotation, archive tree.
  - Commit changes visually cherry picking modified files.
  - Apply or format patch series from selected commits, drag and
    drop commits between two instances of qgit.
  - qgit implements a GUI for the most common StGIT commands like push/pop
    and apply/format patches. You can also create new patches or refresh
    current top one using the same semantics of git commit, i.e.
    cherry picking single modified files.

Package: qgo
Version: 2~svn764-1
Architecture: armhf
Maintainer: Yann Dirson 
Installed-Size: 3707
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-test (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Suggests: gnugo
Priority: optional
Section: games
Filename: pool/main/q/qgo/qgo_2~svn764-1_armhf.deb
Size: 2401564
SHA256: c975295b181b6dede133e1698de0eda65ebdf115bbdc3439ebaee05c8203985f
SHA1: b166d81e9f43e835796dd3bbca93e31c4065943e
MD5sum: fa778e57a5629ca5499a7839a2c6401c
Description: Go client and full featured SGF editor
 qGo is a computerized board on which you can play the game of Go
 against another player, view and edit smart-go files, and connect to
 Go servers on the internet. It can also interface with computer Go
 programs such as GNU Go that speak the Go modem protocol. The qGo
 homepage can be found at http://qgo.sourceforge.net/. Have a look at
 the cgoban package if you are interested in a Go client with less
 package dependencies.
 .
 Go is an ancient game originated from China, with a definite history
 of over 3000 years, although there are historians who say that the
 game was invented more than 4000 years ago. The Chinese call the game
 Weiqi, other names for Go include Baduk (Korean), Igo (Japanese), and
 Goe (Taiwanese).
 .
 In this game, each player tries to exert more influence on territory
 than her opponent, using threats of death, capture, or isolation. It
 is, therefore, a symbolic representation of the relationships between
 nations. Go is getting increasingly popular around the world,
 especially in Asian, European and American countries, with many
 worldwide competitions being held.
 .
 The game of Go is played on a board. The Go set is comprised of the
 board, together with 181 black and 180 white stones. The standard
 board has 19 lines by 19 lines, but 13x13 and 9x9 boards can also be
 used. However, the 9x9 and 13x13 boards are usually for beginners;
 more advanced players would prefer the traditional 19x19 board.
 .
 Compared to International Chess and Chinese Chess, Go has far fewer
 rules. Yet this allowed for all sorts of moves to be played, so Go
 can be a more intellectually challenging game than the other two
 types of Chess. Nonetheless, Go is not a difficult game to learn, so
 have a fun time playing the game with your friends.
 .
 (adopted from http://senseis.xmp.net/?WhatIsGo)

Package: qhull-bin
Source: qhull
Version: 2009.1-3
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 143
Depends: libqhull5 (= 2009.1-3), libc6 (>= 2.13-28)
Suggests: geomview
Homepage: http://www.qhull.org
Priority: optional
Section: math
Filename: pool/main/q/qhull/qhull-bin_2009.1-3_armhf.deb
Size: 48468
SHA256: 55a0cae13681cee37c26e009eef47ec676aa0c836a655245ec602e1816ccd23f
SHA1: ab1404f6b310d023c0261bd3e720e52f403fc00b
MD5sum: c491895767688c444ed70ef0418c6c61
Description: calculate convex hulls and related structures (utilities)
 Qhull computes convex hulls, Delaunay triangulations, halfspace
 intersections about a point, Voronoi diagrams, furthest-site
 Delaunay triangulations, and furthest-site Voronoi diagrams. It
 runs in 2-d, 3-d, 4-d, and higher dimensions.
 .
 This package contains the qhull executable that gives a pipe interface to
 some of the functionality of the library.  Also included is rbox is a
 useful tool in generating input for Qhull; it generates hypercubes,
 diamonds, cones, circles, simplices, spirals, lattices, and random points.
 .
 Qhull produces graphical output for Geomview.  This helps with
 understanding the output (http://www.geomview.org).

Package: qiime
Version: 1.4.0-2
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 9158
Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pynast (>= 1.1) | pynast (>= 1.1), python-cogent (>= 1.5.1)
Recommends: blast2 | blast+-legacy, cd-hit, rdp-classifier, chimeraslayer, muscle, infernal, fasttree, ampliconnoise, python-matplotlib, python-numpy
Suggests: t-coffee, cytoscape
Conflicts: denoiser
Replaces: denoiser
Provides: denoiser
Homepage: http://www.qiime.org/
Priority: extra
Section: science
Filename: pool/main/q/qiime/qiime_1.4.0-2_armhf.deb
Size: 1720342
SHA256: cdce2b4fe8dffbe4fb00c5da6f57b42b153e072380b9675a70dcf4faab9c2b00
SHA1: da90085474d93ecaeafe2c4c199b9e9389dd3ac8
MD5sum: 43b83567254130ca9867adf11f621077
Description: Quantitative Insights Into Microbial Ecology
 QIIME (canonically pronounced ‘Chime’) is a pipeline for performing
 microbial community analysis that integrates many third party tools which
 have become standard in the field. A standard QIIME analysis begins with
 sequence data from one or more sequencing platforms, including
  * Sanger,
  * Roche/454, and
  * Illumina GAIIx.
 With all the underlying tools installed,
 of which not all are yet available in Debian (or any other Linux
 distribution), QIIME can perform
  * library de-multiplexing and quality filtering;
  * denoising with PyroNoise;
  * OTU and representative set picking with uclust, cdhit, mothur, BLAST,
    or other tools;
  * taxonomy assignment with BLAST or the RDP classifier;
  * sequence alignment with PyNAST, muscle, infernal, or other tools;
  * phylogeny reconstruction with FastTree, raxml, clearcut, or other tools;
  * alpha diversity and rarefaction, including visualization of results,
    using over 20 metrics including Phylogenetic Diversity, chao1, and
    observed species;
  * beta diversity and rarefaction, including visualization of results,
    using over 25 metrics including weighted and unweighted UniFrac,
    Euclidean distance, and Bray-Curtis;
  * summarization and visualization of taxonomic composition of samples
    using pie charts and histograms
 and many other features.
 .
 QIIME includes parallelization capabilities for many of the
 computationally intensive steps. By default, these are configured to
 utilize a mutli-core environment, and are easily configured to run in
 a cluster environment. QIIME is built in Python using the open-source
 PyCogent toolkit. It makes extensive use of unit tests, and is highly
 modular to facilitate custom analyses.
Python-Version: 2.6, 2.7

Package: qiime-doc
Source: qiime
Version: 1.4.0-2
Installed-Size: 16172
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: libjs-jquery, libjs-underscore
Size: 6056144
SHA256: 4d66dd4243a76c42fe9342116a94053760792ffa995b8a72639c97372a5d26e9
SHA1: 64a0ed668caabe6e92397b96155572ba38c549b3
MD5sum: dd45cba6b5a41b73f8feed2e533ed6c1
Description: Quantitative Insights Into Microbial Ecology (tutorial)
 QIIME (canonically pronounced ‘Chime’) is a pipeline for performing
 microbial community analysis that integrates many third party tools which
 have become standard in the field. A standard QIIME analysis begins with
 sequence data from one or more sequencing platforms, including
  * Sanger,
  * Roche/454, and
  * Illumina GAIIx.
 With all the underlying tools installed,
 of which not all are yet available in Debian (or any other Linux
 distribution), QIIME can perform
  * library de-multiplexing and quality filtering;
  * denoising with PyroNoise;
  * OTU and representative set picking with uclust, cdhit, mothur, BLAST,
    or other tools;
  * taxonomy assignment with BLAST or the RDP classifier;
  * sequence alignment with PyNAST, muscle, infernal, or other tools;
  * phylogeny reconstruction with FastTree, raxml, clearcut, or other tools;
  * alpha diversity and rarefaction, including visualization of results,
    using over 20 metrics including Phylogenetic Diversity, chao1, and
    observed species;
  * beta diversity and rarefaction, including visualization of results,
    using over 25 metrics including weighted and unweighted UniFrac,
    Euclidean distance, and Bray-Curtis;
  * summarization and visualization of taxonomic composition of samples
    using pie charts and histograms
 and many other features.
 .
 QIIME includes parallelization capabilities for many of the
 computationally intensive steps. By default, these are configured to
 utilize a mutli-core environment, and are easily configured to run in
 a cluster environment. QIIME is built in Python using the open-source
 PyCogent toolkit. It makes extensive use of unit tests, and is highly
 modular to facilitate custom analyses.
 .
 This package contains the documentation and a tutorial.
Homepage: http://www.qiime.org/
Section: doc
Priority: extra
Filename: pool/main/q/qiime/qiime-doc_1.4.0-2_all.deb

Package: qimhangul-qt4
Source: qimhangul
Version: 0.2.0-2
Architecture: armhf
Maintainer: Debian Korean L10N 
Installed-Size: 104
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhangul1 (>= 0.1.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Multi-Arch: same
Homepage: http://kldp.net/projects/qimhangul
Priority: optional
Section: utils
Filename: pool/main/q/qimhangul/qimhangul-qt4_0.2.0-2_armhf.deb
Size: 22270
SHA256: a0d092906c75570b7111c2759bed6d0cdb79e24c42aaafd59187ae5cb9c75736
SHA1: 14f8c1eb2cb84a102cda68c83f392b2cb6181c2b
MD5sum: d25bc9ecbf1e6368efa42e947e4a8692
Description: Hangul input method module for Qt
 Qimhangul is a Korean Hangul input method module for Qt GUI library.
 This supports three types of Korean keyboards widely used in South
 Korea.
 .
 This package is built for Qt version 4.

Package: qingy
Version: 0.9.7-2
Architecture: armhf
Maintainer: Riccardo Stagni 
Installed-Size: 617
Depends: libc6 (>= 2.13-28), libdirectfb-1.2-9, libncurses5 (>= 5.5-5~), libpam0g (>= 0.99.7.1), libtinfo5, libx11-6, libxss1, libdirectfb-extra, dpkg (>= 1.15.4) | install-info
Homepage: http://qingy.sourceforge.net/
Priority: optional
Section: admin
Filename: pool/main/q/qingy/qingy_0.9.7-2_armhf.deb
Size: 312784
SHA256: b35b16ae0ae6a095aa9c6759e4c0806ae10a4ce37ed5da5a49d027e6f7c9d9f3
SHA1: df39a14ed4d27f9464b59e6bc0ae4b631448681a
MD5sum: 062e8556f0663b1ffa6dec6896797bbe
Description: framebuffer-enabled replacement for getty
 qingy (Qingy Is Not GettY) is a replacement for getty that uses DirectFB to
 provide a fast, nice GUI without the overhead of the X Window System.
 It allows the user to log in and start the session of his choice (text
 console, GNOME, KDE, WMaker, ...).
 .
 Main features:
  - It works ;-)
  - It remembers last user who logged in, with focus on password
  - It also rememebers last session each user chose
  - Alternatively it remembers last session on a per-tty basis
  - Fully themable
  - A theme will look the same on all machines, independently of
    the resolution
  - You can select your favourite theme, or a random one every time
  - Both text and X sessions are supported
  - You can start more that one X session at once
  - You can even start X inside a console when X sessions are already
    running
  - PAM support
  - Support for screen savers
  - Auto log-in support
  - Session locking support
  - tty specific options support
  - Customizable key bindings
  - Text mode support.

Package: qink
Version: 0.3.5-3
Architecture: armhf
Maintainer: Adam Cécile (Le_Vert) 
Installed-Size: 335
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libinklevel5, libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: http://code.google.com/p/qink/
Priority: extra
Section: admin
Filename: pool/main/q/qink/qink_0.3.5-3_armhf.deb
Size: 102400
SHA256: 12f67c5a5ef6b98ca5afbc38e0d8788ae74774873be34aad1b9c49c08680429f
SHA1: cc04a3cb63012b66328ebff0aa7777963e3e196a
MD5sum: c430da63d37118aefa6065600563c0a7
Description: Simple printer ink level monitor based on libinklevel and Qt4
 QInk is a simple printer ink level monitor based on libinklevel.
 .
 It is a fork of KInk (development of which ceased in 2003), but ported to
 Qt4 and the most recent, API-incompatible versions of libinklevel.

Package: qiv
Version: 2.2.4-1
Architecture: armhf
Maintainer: Bart Martens 
Installed-Size: 164
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libimlib2, libmagic1, libpango1.0-0 (>= 1.14.0), libx11-6, libxinerama1
Homepage: http://qiv.spiegl.de/
Priority: extra
Section: graphics
Filename: pool/main/q/qiv/qiv_2.2.4-1_armhf.deb
Size: 79604
SHA256: 18847aad85c42d2231a8af129e982c069ee0d1d1c67e2b01625e8df7cdf59df6
SHA1: 60d9eb3ce89d01295b75576c7f57cb96d89934b8
MD5sum: 5447530074ef208f555a62ee75b218fb
Description: Quick image viewer for X
 Quick Image Viewer (qiv) is a very small and pretty fast GDK/Imlib image
 viewer. Features include zoom, maxpect, scale down, fullscreen,
 brightness/contrast/gamma correction, slideshow, pan with keyboard and mouse,
 rotate left/right, flip, delete (move to .qiv-trash/), jump to image x, jump
 forward/backward x images, filename filter, and you can use qiv to set your
 X11-Desktop background.
 .
 qiv also supports the assignment of external commands (like metacam) to unused
 keys.

Package: qjackctl
Version: 0.3.9-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 1694
Depends: jackd, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libqt4-dbus (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6
Suggests: pulseaudio-utils
Homepage: http://qjackctl.sourceforge.net
Priority: optional
Section: sound
Filename: pool/main/q/qjackctl/qjackctl_0.3.9-2_armhf.deb
Size: 578080
SHA256: a191febe2181a6b994282ef1a1f035093899ab1980e4b175db683c0c8aae5ceb
SHA1: a804a7576af2ab30aec3e9ca07408c9dd5a04b9c
MD5sum: 67045de9d6fef89a72d80b72f6363d6a
Description: User interface for controlling the JACK sound server
 Qjackctl offers a user interface for controlling the JACK sound server
 daemon. At the same time it figures as a JACK patch bay and monitoring
 tool.

Package: qjackrcd
Version: 1.0.6~ds0-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 125
Depends: jackd, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0)
Enhances: qjackctl
Homepage: http://qjackrcd.sourceforge.net
Priority: optional
Section: sound
Filename: pool/main/q/qjackrcd/qjackrcd_1.0.6~ds0-1_armhf.deb
Size: 38228
SHA256: d0e35a37e7fa19de023f64982abc0fad91beb7831383bbe0dcc8f6c9781339a5
SHA1: 3878c99b957f6cb5dae94947c74b49a28734beb3
MD5sum: 15071115fb2157ac31414dbe178a2cc4
Description: Qt4 application to record JACK server outputs
 QJackRcd is a simple stereo recorder for Jack with few features as
 silence processing for automatic pause, file splitting, background
 file post-processing. It can be used with QJackCtl.
 .
 Features:
  * QT simple GUI with disk usage and vumeter.
  * Jack support for recording, jack transport support.
  * Silence compressing with auto pause and optional file splitting.
  * Background WAV post processing for format conversion and signal
    processing.
  * Automatic connection with first launched jack source facility.

Package: qla-tools
Version: 20090804-1
Installed-Size: 228
Maintainer: Christoph Goehre 
Architecture: all
Size: 45484
SHA256: 4fcfb636440878b0a39be3224186545169c56070e79c35206f382ae4f1707ba0
SHA1: 74a47dec0e8721ab19f4435ba8346a56abc99873
MD5sum: 97440ab1c932d691dfb7987688f4a0e2
Description: QLogic Linux tools for work with QLogic HBAs
 QLogic provides some tools that makes LUN handling (add / remove) and
 adminstration of QLogic HBAs much easier.  You can scan for newly added LUNs,
 display details about the QLogic HBA attached to the system, change the state
 of LUNs from offline to online/running and set the timeout on the devices
 connected to the QLogic FC HBA.
Homepage: http://driverdownloads.qlogic.com/QLogicDriverDownloads_UI/SearchByProduct.aspx?ProductCategory=39&Product=936&Os=26
Tag: role::program
Section: admin
Priority: optional
Filename: pool/main/q/qla-tools/qla-tools_20090804-1_all.deb

Package: qlandkartegt
Version: 1.5.0~dfsg1-1+deb7u1
Architecture: armhf
Maintainer: Jaromír Mikeš 
Installed-Size: 7248
Depends: libc6 (>= 2.13-28), libdmtx0a (>= 0.7.0), libexif12, libgcc1 (>= 1:4.4.0), libgdal1 (>= 1.8.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libgps20 (>= 3.3), libjpeg8 (>= 8c), libproj0, libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.6), libx11-6, libxext6, zlib1g (>= 1:1.1.4)
Recommends: gdal-bin, gpsbabel, qlandkartegt-garmin (>= 0.3.4~)
Suggests: gpsd
Replaces: qlandkarte
Provides: qlandkarte
Homepage: http://www.qlandkarte.org
Priority: optional
Section: x11
Filename: pool/main/q/qlandkartegt/qlandkartegt_1.5.0~dfsg1-1+deb7u1_armhf.deb
Size: 3958050
SHA256: 304fe99b6cc61670ee64b02d053f0d13915ac0cc6bcf68296221d868745ec4ff
SHA1: 3c35fbd12bbe0a55a4ba5b567e64a581df31ac40
MD5sum: 9b4b84693899f219c73774535fdaf08c
Description: GPS mapping (GeoTiff and vector) and GPSr management
 This package provides a versatile tool for GPS maps in GeoTiff format as
 well as Garmin's img vector map format. QLandkarteGT is the successor of
 QLandkarte. Among various improvements (e.g. 2D/3D map rendering and
 reduced resource demands) the major difference is its
 device-independent architecture, which is not limited to Garmin devices
 anymore. Therefore, the package also does not include device drivers.
 Drivers for a number of Garmin devices are available from the
 qlandkartegt-garmin package.
 .
 Additionally, QLandkarteGT serves as a frontend to the GDAL tools, to
 make georeferencing of scanned maps feasible for users. In contrast to
 similar tools (e.g. QGis) its straightforward interface is especially
 suited for non-scientific users.

Package: qlandkartegt-garmin
Source: garmindev
Version: 0.3.4-2
Architecture: armhf
Maintainer: Michael Hanke 
Installed-Size: 538
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libusb-0.1-4 (>= 2:0.1.12)
Homepage: http://www.qlandkarte.org
Priority: optional
Section: utils
Filename: pool/main/g/garmindev/qlandkartegt-garmin_0.3.4-2_armhf.deb
Size: 210090
SHA256: 7831121b55b3f8ab7095b10ea3a7df268ac3bd23ee55860f325d0d5b95a6e9b8
SHA1: 64bb412a4c9de6a7f82ee1d6c4f8429be7991248
MD5sum: 274e214997410bf4be7e0ed1b4f567b8
Description: QLandkarteGT plugins to access Garmin devices
 A collection of plugins for QLandkarteGT to talk to various Garmin GPS devices,
 including GPSMap60CSx, GPSMap76, eTrexH, eTrexLegend and similar GPSr.

Package: qliss3d
Version: 1.4-1
Architecture: armhf
Maintainer: Peter Pentchev 
Installed-Size: 114
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: http://qliss3d.sourceforge.net/
Priority: optional
Section: graphics
Filename: pool/main/q/qliss3d/qliss3d_1.4-1_armhf.deb
Size: 28686
SHA256: 86dcbebafcd7009ec617f9d163f10662d4ff0a6fccab2d38a10b363ff7637536
SHA1: 00f2af2ae559882c4f237c3a45d4128e2c77feea
MD5sum: d9c6f45e397c6939391a2bcb2da98811
Description: demonstration tool for Lissajous figures
 Qliss3d is a tool that generates various Lissajous figures. It is
 possible to rotate the figures and zoom into them. Also changing the
 frequency and replay of the sinus sounds by the same frequency rate
 are implemented.
 .
 In mathematics, a Lissajous curve (Lissajous figure or Bowditch curve)
 is the graph of the system of parametric equations which describes
 complex harmonic motion. This family of curves was investigated by
 Nathaniel Bowditch in 1815, and later in more detail by
 Jules Antoine Lissajous.
 Lissajous curves can be traced mechanically by means of a harmonograph.

Package: qmail
Source: netqmail
Version: 1.06-5
Architecture: armhf
Maintainer: Gerrit Pape 
Installed-Size: 1343
Depends: libc6 (>= 2.13-28), qmail-uids-gids (>> 1.06)
Recommends: qmail-run (>= 2.0.0)
Suggests: fastforward, dot-forward, qmail-tools
Priority: extra
Section: mail
Filename: pool/main/n/netqmail/qmail_1.06-5_armhf.deb
Size: 358220
SHA256: 8a829af0cc69229e9fcb0efcf5c7eb4c1a7a72bd052538e9e5fabc5a8acd4528
SHA1: 74e2fb747054193488ea4147c3daa322cbc9a1ff
MD5sum: a27769e09dcdf0f746e23eb5ac411504
Description: a secure, reliable, efficient, simple message transfer agent
 qmail is meant as a replacement for the entire sendmail-binmail system on
 typical Internet-connected UNIX hosts.
 .
 This package is based on the netqmail distribution of qmail, put
 together by a motley krewe of qmail contributors (see the README).
 It is derived from Daniel Bernstein's qmail-1.03 plus bug fixes,
 a few feature enhancements, and some documentation.

Package: qmail-run
Version: 2.0.2
Installed-Size: 208
Maintainer: Gerrit Pape 
Architecture: all
Replaces: fastforward (<= 0.51-zarge1), mail-transport-agent
Provides: mail-transport-agent
Depends: qmail (>= 1.06-2.1), fastforward (>= 1:0.51-3), daemontools (>> 1:0.76), ucspi-tcp (>> 1:0.88), runit (>> 1.8.0-2) | daemontools-run (>> 1:0.76), procmail
Suggests: dot-forward, mail-reader
Conflicts: lsb-core, mail-transport-agent
Size: 10684
SHA256: bdd01b9ee548388e3763c17406b2d85698e9ba200ef42e5179e9105b257d9e6c
SHA1: 38c1cdc134c9db7f00dcd8c5d4f48f3b2e0f2b77
MD5sum: 3047ac2be85b47e6b7387944ca90f9ae
Description: sets up qmail as mail-transfer-agent
 This package sets up the Debian qmail package as mail-transfer-agent.
 It follows the most commonly used public documentation
 http://www.lifewithqmail.org/ almost completely.
 .
 See /usr/share/doc/qmail-run/README.Debian for details.
Section: mail
Priority: extra
Filename: pool/main/q/qmail-run/qmail-run_2.0.2_all.deb

Package: qmail-tools
Version: 0.1.0
Installed-Size: 100
Maintainer: Gerrit Pape 
Architecture: all
Depends: python
Recommends: qmail
Size: 10020
SHA256: a80bf9974c8d5e7c6cd9c892e2195baefe9a601f19ef0e9fa75582af82b81676
SHA1: 358d7efdafedd74bfa2a31056258075651406487
MD5sum: 0bb23f432d75382f3f3575d9c8ed0e38
Description: collection of tools for qmail
 This package contains tools that are useful when running the Debian
 qmail packages, such as queue-repair, which deals with the qmail
 queue, and tools that help upgrading from previously existing qmail
 Debian packages, those in Debian/non-free, and the unofficial ones
 from smarden.org.
Section: net
Priority: optional
Filename: pool/main/q/qmail-tools/qmail-tools_0.1.0_all.deb

Package: qmail-uids-gids
Source: netqmail
Version: 1.06-5
Installed-Size: 61
Maintainer: Gerrit Pape 
Architecture: all
Depends: passwd, adduser
Size: 33562
SHA256: a14983d9d4afee83aa0cac9f67dd49771b9ca4e8c94679740c099f6600f013f6
SHA1: 1b6cc54bc358d0f9f3240b393f75b63527a11ac5
MD5sum: c55d8991a12082968b2aa84c5e4001bf
Description: user ids and group ids for qmail
 This package is mandatory for building and running the qmail package.
Section: mail
Priority: extra
Filename: pool/main/n/netqmail/qmail-uids-gids_1.06-5_all.deb

Package: qmc
Version: 0.94-3
Architecture: armhf
Maintainer: Rene Engelhard 
Installed-Size: 69
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Recommends: wish
Suggests: www-browser
Priority: optional
Section: science
Filename: pool/main/q/qmc/qmc_0.94-3_armhf.deb
Size: 17262
SHA256: d8172a46cfa86e9933454ef014a4adee1c14e24d114b199cbf9d63f9191a8937
SHA1: ca6f954b20efa8984e9f4c0f7e821bbcc9067b70
MD5sum: b6fff4b38a4ef206e1e895d0fed4372b
Description: Quine McClusky Simplification Tool
 This tool optimizes boolean expressions using the Quine McClusky
 process.

Package: qmf-dbg
Source: qmf
Version: 1.0.7~2011w23.2-2.1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 2204
Depends: libqmfclient1 (= 1.0.7~2011w23.2-2.1), libqmfmessageserver1 (= 1.0.7~2011w23.2-2.1), libqmfutil1 (= 1.0.7~2011w23.2-2.1)
Homepage: http://labs.trolltech.com/blogs/category/qtmessaging/
Priority: extra
Section: debug
Filename: pool/main/q/qmf/qmf-dbg_1.0.7~2011w23.2-2.1_armhf.deb
Size: 517304
SHA256: 8871dd89fdbdaf56f85f04a0d3b15fad76544996af3b707b1880530e445fcce5
SHA1: adacb35b125dc0e8b8432b53ae2cd584f09e7d45
MD5sum: 484dd074b3af8725fe0e100ad8b983cb
Description: Qt Messaging Framework (QMF) - debugging symbols
 The Qt Messaging Framework, QMF, consists of a C++ library and daemon server
 process that can be used to build email clients, and more generally software
 that interacts with email and mail servers.
 .
 This package contains the debugging symbols for Qt Messaging Framework (QMF)
 libraries.

Package: qmf-dev
Source: qmf
Version: 1.0.7~2011w23.2-2.1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 331
Depends: libqmfclient1 (= 1.0.7~2011w23.2-2.1), libqmfmessageserver1 (= 1.0.7~2011w23.2-2.1), libqmfutil1 (= 1.0.7~2011w23.2-2.1)
Suggests: qmf-doc
Homepage: http://labs.trolltech.com/blogs/category/qtmessaging/
Priority: optional
Section: libdevel
Filename: pool/main/q/qmf/qmf-dev_1.0.7~2011w23.2-2.1_armhf.deb
Size: 50918
SHA256: 02877bacb9bc07b3f0678494f1a766ddefb296482febf2ca091b76123508c6f3
SHA1: a93a5e8abf0ca17ed8256a2b26478eb3fa74eae1
MD5sum: fc172614fe325851771350d4d22f6308
Description: Qt Messaging Framework (QMF) - development files
 The Qt Messaging Framework, QMF, consists of a C++ library and daemon server
 process that can be used to build email clients, and more generally software
 that interacts with email and mail servers.
 .
 This package contains the development files needed to build Qt applications
 using Qt Messaging Framework libraries.

Package: qmf-doc
Source: qmf
Version: 1.0.7~2011w23.2-2.1
Installed-Size: 192
Maintainer: Debian Qt/KDE Maintainers 
Architecture: all
Suggests: qmf-dev, qt4-dev-tools, qt4-doc
Size: 158200
SHA256: 71184c4c87de45272fa991185d23df84276f499b7386fdc6111fe209f09f037a
SHA1: 5b3a660bcab82f69b112fc3996d5d5f42b05979c
MD5sum: 3d1b084caed1a237fde947b3736f20fc
Description: Qt Messaging Framework (QMF) - QCH documentation
 The Qt Messaging Framework, QMF, consists of a C++ library and daemon server
 process that can be used to build email clients, and more generally software
 that interacts with email and mail servers.
 .
 This package contains the documentation for Qt Messaging Framework (QMF).
 .
 The documentation is provided in the new help format and manpage format;
 the new help format version can be viewed in conjunction with the Qt Assistant
 found in the qt4-dev-tools package.
Homepage: http://labs.trolltech.com/blogs/category/qtmessaging/
Tag: devel::doc, made-of::TODO, role::documentation
Section: doc
Priority: optional
Filename: pool/main/q/qmf/qmf-doc_1.0.7~2011w23.2-2.1_all.deb

Package: qmf-doc-html
Source: qmf
Version: 1.0.7~2011w23.2-2.1
Installed-Size: 949
Maintainer: Debian Qt/KDE Maintainers 
Architecture: all
Suggests: qmf-dev, qt4-dev-tools, qt4-doc-html, w3m | www-browser | x-www-browser
Size: 227132
SHA256: cd2b53af617d82d1eaaff3dd5e92581ef3d479f844d256b760c2a784dcedbf25
SHA1: f76cac1eea1de7ed3b7be476aa4505b0114a2a8a
MD5sum: 2fa6dfe04d856e7a0792ffdd6193a5bc
Description: Qt Messaging Framework (QMF) - HTML documentation
 The Qt Messaging Framework, QMF, consists of a C++ library and daemon server
 process that can be used to build email clients, and more generally software
 that interacts with email and mail servers.
 .
 This package contains the documentation for Qt Messaging Framework (QMF).
 .
 The documentation is provided in HTML format.
Homepage: http://labs.trolltech.com/blogs/category/qtmessaging/
Tag: devel::doc, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/q/qmf/qmf-doc-html_1.0.7~2011w23.2-2.1_all.deb

Package: qmf-examples
Source: qmf
Version: 1.0.7~2011w23.2-2.1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 981
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqmfclient1, libqmfmessageserver1, libqmfutil1, libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.7.0), libstdc++6 (>= 4.4.0)
Homepage: http://labs.trolltech.com/blogs/category/qtmessaging/
Priority: optional
Section: x11
Filename: pool/main/q/qmf/qmf-examples_1.0.7~2011w23.2-2.1_armhf.deb
Size: 419810
SHA256: 90b7db4be65232b279808e207b574774555da8f7a10bd68a9f3d8fb470ceb36c
SHA1: 7fe76cdbd07829aa85d302a1067c76f7b9e1691b
MD5sum: 5f7358c01987dca3358bc054bdccdc1b
Description: Qt Messaging Framework (QMF) examples
 The Qt Messaging Framework, QMF, consists of a C++ library and daemon server
 process that can be used to build email clients, and more generally software
 that interacts with email and mail servers.
 .
 The Messages example client application provides an implementation of standard
 functionality for creating and viewing messages.
 .
 This package contains an example client application supporting common
 messaging functionality.

Package: qmf-tests
Source: qmf
Version: 1.0.7~2011w23.2-2.1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 2803
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqmfclient1, libqmfmessageserver1, libqt4-network (>= 4:4.7.0), libqt4-sql (>= 4:4.7.0), libqt4-test (>= 4:4.7.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.7.0), libstdc++6 (>= 4.4.0)
Homepage: http://labs.trolltech.com/blogs/category/qtmessaging/
Priority: optional
Section: utils
Filename: pool/main/q/qmf/qmf-tests_1.0.7~2011w23.2-2.1_armhf.deb
Size: 1111126
SHA256: 4b09d4b20d52c15e1077ad064a6bb5dff7194b905c11cb1a92ca7e32160cff35
SHA1: a5bddccf2419c8db5a2689b71b88d153b70cb34e
MD5sum: 7af4c6e763148faeb34d8e883e1fa470
Description: Qt Messaging Framework (QMF) tests
 The Qt Messaging Framework, QMF, consists of a C++ library and daemon server
 process that can be used to build email clients, and more generally software
 that interacts with email and mail servers.
 .
 This package contains the tests for the Qt Messaging Framework (QMF).

Package: qmfgen
Source: qpid-cpp
Version: 0.16-6+deb7u1+rpi1
Architecture: armhf
Maintainer: Cajus Pollmeier 
Installed-Size: 189
Depends: python2.7, python (>= 2.7), python (<< 2.8)
Homepage: http://qpid.apache.org
Priority: extra
Section: python
Filename: pool/main/q/qpid-cpp/qmfgen_0.16-6+deb7u1+rpi1_armhf.deb
Size: 26028
SHA256: fb5e4f42a9b67c02ff771ea6d8a8c512f382a4685a2117ef209bf4dd3b36b9e5
SHA1: 93ca96839ec5d2482d55b3c47655822dda243c03
MD5sum: 98b9fc13ab3bdf34a68698f18544982c
Description: enterprise messaging system - Python QMF interface generator
 Apache Qpid is a cross-platform enterprise messaging system which implements
 the Advanced Message Queuing Protocol (AMQP), providing message brokers
 written in C++ and Java, along with clients for C++, Java JMS, .Net, Python,
 and Ruby.
 .
 This package provides the Apache Qpid Python QMF interface generator.

Package: qmidiarp
Version: 0.5.0-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 699
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Recommends: jackd
Homepage: http://qmidiarp.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/q/qmidiarp/qmidiarp_0.5.0-1_armhf.deb
Size: 267078
SHA256: 11877a510ce52bac046c2385b392fca5b3b4ffceaf82b52fc765420be3b12ad2
SHA1: 57d75b5bee85b62ec21eece8519ef39fb6f8ee7c
MD5sum: 95d525033185ecb68b362f298d0bd67d
Description: MIDI arpeggiator for ALSA
 QMidiArp is an advanced MIDI arpeggiator, programmable step sequencer
 and LFO for the ALSA sequencer. It can hold any number of arpeggiator,
 sequencer, or LFO modules running in parallel.
 .
 Arpeggiator modules produce sequences depending on the notes sent
 to their input port, which is typically connected to a keyboard or
 another sequencer.
 .
 Step sequencer modules allow to create simple linear, monophonic
 and globally transposable sequences similar to the first analog
 sequencers.
 .
 MIDI LFO modules independently produce MIDI controller data of
 adjustable waveform, time resolution, amplitude and duration.
 .
 For each module, an input note filter is available, and the output
 port and channel can be set independently. Since the modules use a
 common sequencer queue, they are automatically in sync with each other.
 QMidiArp works with an internal tick resolution of 192 ticks per beat.
 The queue can be synchronized to an incoming MIDI realtime clock or as
 a JACK transport client. Most of the relevant control elements are
 accessible via MIDI controller through a MIDI-learn infrastructure.
 QMidiArp also has a log tool displaying the history of incoming MIDI
 events in colors depending on their type. QMidiArp is based on the Qt4
 toolkit

Package: qmidiarp-dbg
Source: qmidiarp
Version: 0.5.0-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 6211
Depends: qmidiarp (= 0.5.0-1)
Homepage: http://qmidiarp.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/q/qmidiarp/qmidiarp-dbg_0.5.0-1_armhf.deb
Size: 2532864
SHA256: 250414cfd3e384a7db7bf9cdb0bcc38c4ab00ceb039edcd9a48180912d7e0d8f
SHA1: 6a8ef6e8501e05bcb115d009a618a010f0c1f603
MD5sum: 39999b7b99b52229b137e41b44115c45
Description: Debugging symbols for QMidiArp
 QMidiArp is an advanced MIDI arpeggiator, programmable step sequencer
 and LFO for the ALSA sequencer. It can hold any number of arpeggiator,
 sequencer, or LFO modules running in parallel.
 .
 This package contains the debugging symbols.

Package: qmidinet
Version: 0.1.2-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 164
Depends: jackd, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: http://qmidinet.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/q/qmidinet/qmidinet_0.1.2-1_armhf.deb
Size: 44062
SHA256: d408521ac961c9ecf8e4a713f3ab10845805c2b5316a16a740a0ddb6390b1689
SHA1: 33770deb9825797739d85d41d4a860e7db9a11fa
MD5sum: ec0ec9b57f2e18df7a0fdccd470b5be7
Description: MIDI Network Gateway via UDP/IP Multicast
 QmidiNet is a MIDI network gateway application that sends and receives
 MIDI data (ALSA Sequencer) over the network, using UDP/IP multicast.
 It was inspired by multimidicast (http://llg.cubic.org/tools) and
 designed to be compatible with ipMIDI for Windows (http://nerds.de).

Package: qmidinet-dbg
Source: qmidinet
Version: 0.1.2-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 1162
Depends: qmidinet (= 0.1.2-1)
Homepage: http://qmidinet.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/q/qmidinet/qmidinet-dbg_0.1.2-1_armhf.deb
Size: 467590
SHA256: ab1617e17bb75acf783e47fe2dbd0f19ae579bd57709bcad60f49b680fbc6e21
SHA1: 475310100f0c768a1daa47394fa5e95c87912246
MD5sum: 2db7f813ec58a915fb5c767e92746f5e
Description: Debugging symbols for QmidiNet
 QmidiNet is a MIDI network gateway application that sends and receives
 MIDI data (ALSA Sequencer) over the network, using UDP/IP multicast.
 .
 This package contains the debugging symbols.

Package: qmidiroute
Version: 0.3.0-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 205
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.3.0)
Homepage: http://alsamodular.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/q/qmidiroute/qmidiroute_0.3.0-1_armhf.deb
Size: 62090
SHA256: 677c20c601891c14547af3ffa641d7e83dc9ec8be17af521e9b977436acf2cc5
SHA1: 35699383e78faacc3552c17e832a8b9c10521b2f
MD5sum: 96259ec6e4a7082a12d23e32252d80c3
Description: a MIDI event router and filter
 MIDI note, control change,program change and pitch bend events
 are logged, and can be filtered, redirected and transformed
 into other events according to MIDI maps defined as tabs
 in the main control surface.
 .
 You can copy midi MAPS into new tabs using the 'Clone MIDI map' button.
 All MIDI maps can be saved in a .qma text file.

Package: qmmp
Source: qmmp (0.5.5-1)
Version: 0.5.5-1+b1
Architecture: armhf
Maintainer: Eugene V. Lyubimkin 
Installed-Size: 1599
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqmmp0 (>= 0.5.5-1+b1), libqmmpui0 (>= 0.5.5-1+b1), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0), libx11-6, libqmmp-misc (>= 0.5.5-1+b1)
Suggests: qmmp-plugin-projectm, unzip
Homepage: http://code.google.com/p/qmmp/
Priority: optional
Section: sound
Filename: pool/main/q/qmmp/qmmp_0.5.5-1+b1_armhf.deb
Size: 580682
SHA256: 11d6fcc058a496c674c1d8e0c02ae37743fa12520ce47ad4bbee0e70f6a938bd
SHA1: 990daa172a6ee92f71275f8d938b51f57b0912f1
MD5sum: 6c5d264e655565376e282d03ec7292bc
Description: feature-rich audio player with support of many formats
 Qmmp is feature-rich audio player with support of many formats.
 It is written in Qt.
 .
 Audio formats supported:
  - FLAC;
  - Ogg Vorbis;
  - MPEG1 layer 1/2/3;
  - AAC;
  - CUE sheet;
  - WavePack.
  - Musepack;
  - CD audio;
  - FFmpeg-supported formats;
  - midi;
  - chiptune formats (AY, GBS, GYM, HES, KSS, NSF, NSFE, SAP, SPC, VGM,
    VGZ, VTX).
 .
 Audio output through:
  - ALSA;
  - OSS;
  - PulseAudio;
  - JACK.
 .
 Features:
  - winamp and XMMS skins support;
  - plugins support;
  - last.fm scrobbler;
  - spectre analyzer;
  - rediscretization;
  - video playback via mplayer;
  - MPRIS (1.0 and 2.0) support;
  - lyrics (using lyrics.wikia.com);
  - removable device detection;
  - global hotkeys;
  - projectm visualization;
  - mms support;
  - multiple playlists;
  - cover art support;
  - ReplayGain support;
  - streaming Ogg Vorbis or MP3 via IceCast/ShoutCast.
  - crossfade (experimental);
  - extra stereo;

Package: qmmp-plugin-projectm
Source: qmmp (0.5.5-1)
Version: 0.5.5-1+b1
Architecture: armhf
Maintainer: Eugene V. Lyubimkin 
Installed-Size: 144
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libprojectm2, libqmmp0, libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: http://code.google.com/p/qmmp/
Priority: optional
Section: sound
Filename: pool/main/q/qmmp/qmmp-plugin-projectm_0.5.5-1+b1_armhf.deb
Size: 42404
SHA256: d5c4e0cc17183b60afad72f11c60cbe1c6b8b8cdd39cb1d0a0ee1272d36b64eb
SHA1: ad99f3b15ea98de2250210911a8cff168dd1a1ed
MD5sum: d63c8aaeb531e3236045ebb301e818fc
Description: qmmp audio player -- projectM visualization plugin
 Qmmp is feature-rich audio player with support of many formats.
 It is written in Qt.
 .
 See 'qmmp' package for full description.
 .
 This package contains projectM visualization plugin for qmmp.

Package: qmpdclient
Version: 1.2.2-2
Architecture: armhf
Maintainer: mpd maintainers 
Installed-Size: 1571
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6
Provides: mpd-client
Homepage: http://bitcheese.net/wiki/QMPDClient
Priority: optional
Section: sound
Filename: pool/main/q/qmpdclient/qmpdclient_1.2.2-2_armhf.deb
Size: 582958
SHA256: 1b0567d01bd6e8354e3f10ee87f35e403246a83b4931897a47913809bd7cbabd
SHA1: 65a5fc4cc155e5c95b2922a1ee0aa09d2f445ec8
MD5sum: 9ddfdce0b8e392fb9ae370553d89c66d
Description: Qt4 client for the Music Player Daemon (MPD)
 QMPDClient is a GUI client for MPD with Qt4 interface and such features as
 covers display, skins, tray notifications and last.fm track submission.
 .
 MPD (Music Player Daemon) is a server that allows remote access for
 playing audio files, streams and managing playlists. It can be used together
 with different clients, including this one, and clients are not required to be
 run on the same machine as the server.
 .
 The Music Player Daemon resides in the mpd package.

Package: qmtest
Version: 2.4.1-1
Installed-Size: 2732
Maintainer: Matthias Klose 
Architecture: all
Replaces: qm (<< 2.4)
Depends: python (>= 2.4), python-central (>= 0.6.11), python-sigmask (>= 2.4.1-1)
Conflicts: qm (<< 2.4)
Size: 705746
SHA256: bfef3ef903baffeda0ede8aa55a92a674c5e121a00379f1ab1637e9b2b08a3c2
SHA1: 3ea1c058c110e5772c7fd3a42e17df6396ccb49a
MD5sum: 213dc7c03a4284d269d6854539edc777
Description: A Testing Framework (part of the Quality Management Toolset (QM))
 CodeSourcery's QMTest provides a cost-effective general purpose
 testing solution that allows an organization to implement a robust,
 easy-to-use testing program tailored to its needs. QMTest works with
 most varieties of UNIX, including GNU/Linux, and with Microsoft
 Windows.
 .
 QMTest's extensible architecture allows it to handle a wide range of
 application domains: everything from compilers to graphical user
 interfaces to web-based applications.
Python-Version: current, >= 2.4
Tag: devel::testing-qa
Section: devel
Priority: optional
Filename: pool/main/q/qmtest/qmtest_2.4.1-1_all.deb

Package: qnapi
Version: 0.1.5-9
Architecture: armhf
Maintainer: Patryk Cisek 
Installed-Size: 549
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), p7zip-full
Homepage: http://krzemin.iglu.cz/qnapi
Priority: optional
Section: net
Filename: pool/main/q/qnapi/qnapi_0.1.5-9_armhf.deb
Size: 205346
SHA256: e3f3a9f14e0b39c6cebc3b5d5089190932755b053761dc46f527e6416de8ed06
SHA1: 5a042a94c193a8d64eb7d3d4771778a7fbb98e14
MD5sum: 6851e205f3602c48f485fbf6c5ea111a
Description: application that downloads Polish subtitles from www.napiprojekt.pl
 QNapi is unofficial free clone of NAPI-PROJEKT program.
 .
 Its purpose is to find and download subtitles for given video file. Currently
 only Polish subtitles are available.
 .
 QNapi's capabilities are similar to original client (new subtitles may be
 added to napiprojekt.pl database, and also bugs may be reported against
 existing subtitles).

Package: qnapi-gnome
Source: qnapi
Version: 0.1.5-9
Installed-Size: 40
Maintainer: Patryk Cisek 
Architecture: all
Depends: qnapi, nautilus-actions
Size: 3176
SHA256: c2106c8f47e4f5ac1b0879085da94a0841feee73383c4b7783a92b21ff4c6423
SHA1: 7bd9684759fca1843b8713c023ed69a91a2bc3ed
MD5sum: e4c6a86ccdc01804f1ca34773f1e1442
Description: application that downloads Polish subtitles from www.napiprojekt.pl
 QNapi is unofficial free clone of NAPI-PROJEKT program.
 .
 Its purpose is to find and download subtitles for given video file. Currently
 only Polish subtitles are available.
 .
 QNapi's capabilities are similar to original client (new subtitles may be
 added to napiprojekt.pl database, and also bugs may be reported against
 existing subtitles).
 .
 Installing this package integrates qnapi with Nautilus file manager.
Homepage: http://krzemin.iglu.cz/qnapi
Section: net
Priority: optional
Filename: pool/main/q/qnapi/qnapi-gnome_0.1.5-9_all.deb

Package: qof-data
Source: qof
Version: 0.8.6-1
Installed-Size: 279
Maintainer: Neil Williams 
Architecture: all
Replaces: libqof-backend-qsf0, libqof1
Size: 57042
SHA256: e1cb1f7aeb7558d38c6e5ef6ca51f1f85fdc0608ec59ee850ca4818b35411c68
SHA1: 05ceecba7922ff58e20b0471a7795747d004fc6c
MD5sum: 83e9ea72ae562b02e3b05f2ae1f6500d
Description: Query Object Framework - common files
 QOF is a framework to allow the exection of SQL-type
 queries using collections of in-memory objects as 'tables'.
 .
 This package contains the translations of QOF messages,
 XML schemas to validate files from the QSF XML backend
 module and other architecture-independent support files
 for QOF.
Multi-Arch: foreign
Homepage: http://alioth.debian.org/projects/qof/
Tag: role::app-data
Section: libs
Priority: optional
Filename: pool/main/q/qof/qof-data_0.8.6-1_all.deb

Package: qonk
Version: 0.3.1-3.1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 316
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-gfx1.2-4 (>= 2.0.22), libsdl-image1.2 (>= 1.2.10), libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), ttf-dejavu
Homepage: http://sourceforge.net/projects/qonk/
Priority: optional
Section: games
Filename: pool/main/q/qonk/qonk_0.3.1-3.1_armhf.deb
Size: 158826
SHA256: f018480e63935eeff3db68d8bef710e8bdb2556e1246eeed6c6efb594752ed9d
SHA1: b13d8dfab035b6bf72b60a3132f901c5e17a07b3
MD5sum: 8c693e027261550f7d1135972656b32c
Description: Small build-and-conquer strategy game with very simple rules
 The setting of the game is a solar system of planets. Your goal is to
 conquer all of the planets in the game by sending ships there. Planets
 that are under your control generate new ships. Simple AI players are
 playing against you. As you gain more experience throughout the game,
 more AI players have to be kicked out of bigger solar systems.

Package: qpcr1k
Source: icomlib
Version: 1.0.1-8.1
Architecture: armhf
Maintainer: A. Maitland Bottoms 
Installed-Size: 177
Depends: icomlib1 (>= 1.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.4.0), libx11-6, libxext6
Priority: optional
Section: hamradio
Filename: pool/main/i/icomlib/qpcr1k_1.0.1-8.1_armhf.deb
Size: 60238
SHA256: b714f4018561fc7aeb07c569409d7a00cda37dc632d9d2b6ad3450d15b2f6b62
SHA1: 3905d2e3763ac1d648bee9466424d24c2688a167
MD5sum: ada0f795ff5145047218f21625dda3c3
Description: Icom PCR-1000 GUI control
 icomlib is the ghetto.org PCR-1000 control suite. It consists of a
 library, command line programs, and a Qt widget GUI application.
 .
 This software controls an ICOM PCR-1000 receiver via a serial
 interface.

Package: qpdf
Version: 2.3.1-4
Architecture: armhf
Maintainer: Jay Berkenbilt 
Installed-Size: 362
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libqpdf3 (>= 2.3.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4)
Homepage: http://qpdf.sourceforge.net
Priority: optional
Section: text
Filename: pool/main/q/qpdf/qpdf_2.3.1-4_armhf.deb
Size: 161526
SHA256: 3a94e9dc22859f86a70a23f1d7d06c340422c815e2096b9565b62d1f759e16f4
SHA1: 76bcdbf5e9c7b5047ab38cecadcbbfd9b81abbb5
MD5sum: b560e1c89b9914fb6cf2e27306610a2f
Description: tools for and transforming and inspecting PDF files
 QPDF is a program that can be used to linearize (web-optimize),
 encrypt (password-protect), decrypt, and inspect PDF files from the
 command-line.  It does these and other structural, content-preserving
 transformations on PDF files, reading a PDF file as input and
 creating a new one as output.  It also provides many useful
 capabilities to developers of PDF-producing software or for people
 who just want to look at the innards of a PDF file to learn more
 about how they work.
 .
 QPDF understands PDF files that use compressed object streams
 (supported by newer PDF applications) and can convert such files into
 those that can be read with older viewers.  It can also be used for
 checking PDF files for structural errors, inspecting stream contents,
 or extracting objects from PDF files.  QPDF is not PDF content
 creation or viewing software -- it does not have the capability to
 create PDF files from scratch or to display PDF files.
 .
 This package includes the command-line qpdf tools.  It also contains
 the documentation.

Package: qpdfview
Version: 0.3.1-1
Architecture: armhf
Maintainer: Benjamin Eltzner 
Installed-Size: 473
Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgssapi-krb5-2 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libpoppler-qt4-3 (>= 0.18), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4)
Homepage: https://launchpad.net/qpdfview
Priority: optional
Section: graphics
Filename: pool/main/q/qpdfview/qpdfview_0.3.1-1_armhf.deb
Size: 170034
SHA256: 1e80eddede62dcd61aa88350aed450016bfd4eac2c109c5a332a6b5c6699da01
SHA1: e2d14635db55296417d585856eceb717db1a9726
MD5sum: 69457acff220d2d63a842144e2152b8a
Description: tabbed PDF viewer
 qpdfview is a simple tabbed PDF viewer which uses the poppler library
 for rendering and provides a clear and simple Qt graphical user interface.
 Major features which are not implemented include editing forms and annotations.

Package: qpid-client
Source: qpid-cpp
Version: 0.16-6+deb7u1+rpi1
Architecture: armhf
Maintainer: Cajus Pollmeier 
Installed-Size: 289
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libibverbs1 (>= 1.1.2), libqpidclient2, librdmawrap2, libsslcommon2, libstdc++6 (>= 4.4.0)
Homepage: http://qpid.apache.org
Priority: extra
Section: misc
Filename: pool/main/q/qpid-cpp/qpid-client_0.16-6+deb7u1+rpi1_armhf.deb
Size: 94370
SHA256: 277434ca22452a3fbe431a780ea5b52b5636a6d656051e7a7b24efde5f98add8
SHA1: 2d6c647fed42646e7c2a0ac665b29e90d2b36799
MD5sum: ec80eb1f9551e888cc07afe7675fea3b
Description: enterprise messaging system - AMQP client
 Apache Qpid is a cross-platform enterprise messaging system which implements
 the Advanced Message Queuing Protocol (AMQP), providing message brokers
 written in C++ and Java, along with clients for C++, Java JMS, .Net, Python,
 and Ruby.
 .
 This package provides the AMQP client support.

Package: qpid-doc
Source: qpid-cpp
Version: 0.16-6+deb7u1+rpi1
Architecture: all
Maintainer: Cajus Pollmeier 
Installed-Size: 167
Homepage: http://qpid.apache.org
Priority: extra
Section: doc
Filename: pool/main/q/qpid-cpp/qpid-doc_0.16-6+deb7u1+rpi1_all.deb
Size: 42822
SHA256: 70b2dba78b21da218235936ae6d6486822e3b46b48021fefe3bf90f36c5c1c03
SHA1: 0d5f126862b5d50c765c0c334693b799c28f51a2
MD5sum: 596af09d564b8804cec0426115f18edf
Description: enterprise messaging system - documentation and examples
 Apache Qpid is a cross-platform enterprise messaging system which implements
 the Advanced Message Queuing Protocol (AMQP), providing message brokers
 written in C++ and Java, along with clients for C++, Java JMS, .Net, Python,
 and Ruby.
 .
 This package provides the Apache Qpid documentation.

Package: qpid-specs
Source: qpid-python
Version: 0.16-1
Installed-Size: 1270
Maintainer: Cajus Pollmeier 
Architecture: all
Size: 222420
SHA256: ad8a048a87cde28991fe7fdb13a53ba43addda777c129d87e705edf64befaa5b
SHA1: 897e4c3e367420e8bc1516daa3dd15028233c1ab
MD5sum: 318e4f513d8cbcaadd4085ccfefdb56c
Description: QPID spec information
 Qpid/C++ is a C++ implementation of the AMQP protocol described at
 http://amqp.org/
 .
 This package contains the qpid sepcs.
Homepage: http://qpid.apache.org
Section: misc
Priority: extra
Filename: pool/main/q/qpid-python/qpid-specs_0.16-1_all.deb

Package: qpid-tools
Version: 0.14-1
Installed-Size: 194
Maintainer: Cajus Pollmeier 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6), python (<< 2.8), python-setuptools, python-qmf2, python-qpid-extras-qmf, python-qpid, python-cqmf2
Size: 31486
SHA256: a8baf296dadd8834d6dcc7c52ee005cc12d5bb575b9803994e92b49a89722dc4
SHA1: 1ba84af1dc75ec78e4461bf9353be0e3bc80c306
MD5sum: 118662763d09a84f7a84d0da3c01a4d3
Description: Python bindings for qpid/mlib
 Qpid/C++ is a C++ implementation of the AMQP protocol described at
 http://amqp.org/
 .
 This package contains the qpid python bindings.
Homepage: http://qpid.apache.org
Section: misc
Priority: extra
Filename: pool/main/q/qpid-tools/qpid-tools_0.14-1_all.deb

Package: qpidd
Source: qpid-cpp
Version: 0.16-6+deb7u1+rpi1
Architecture: armhf
Maintainer: Cajus Pollmeier 
Installed-Size: 2146
Depends: libboost-program-options1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcman3 (>= 3.0.12), libcpg4 (>= 1.4.2), libgcc1 (>= 1:4.4.0), libibverbs1 (>= 1.1.2), libqpidbroker2, libqpidclient2, libqpidcommon2, librdmawrap2, libsslcommon2, libstdc++6 (>= 4.6), libxerces-c3.1, libxqilla6, debconf (>= 0.5) | debconf-2.0, adduser, libsasl2-modules, sasl2-bin
Conflicts: qpid-broker
Replaces: qpid-broker
Homepage: http://qpid.apache.org
Priority: extra
Section: misc
Filename: pool/main/q/qpid-cpp/qpidd_0.16-6+deb7u1+rpi1_armhf.deb
Size: 768844
SHA256: e02d44be1f144f985d6ff5e1b83110ba77c7bc6283bba86ad324cbd950f917a6
SHA1: 3955ef5bb689bc770ae77e7756b036e8263845a5
MD5sum: 3dc738400d62d58145971554c33c99fc
Description: enterprise messaging system - AMQP broker
 Apache Qpid is a cross-platform enterprise messaging system which implements
 the Advanced Message Queuing Protocol (AMQP), providing message brokers
 written in C++ and Java, along with clients for C++, Java JMS, .Net, Python,
 and Ruby.
 .
 This package provides the AMQP broker.

Package: qprint
Version: 1.0.dfsg.2-2
Architecture: armhf
Maintainer: Eugene V. Lyubimkin 
Installed-Size: 51
Depends: libc6 (>= 2.4)
Homepage: http://www.fourmilab.ch/webtools/qprint/
Priority: optional
Section: utils
Filename: pool/main/q/qprint/qprint_1.0.dfsg.2-2_armhf.deb
Size: 9598
SHA256: 1feeef50de5f2cc4dd0258795e650cfe27c9c658ccd61410900d2ec603fb17a4
SHA1: 29769611953122e2927b6dc897298ab3324a6ab6
MD5sum: d74e161981c7560588753cbf5325121e
Description: encoder and decoder for quoted-printable encoding
 Qprint is a command-line program that can encode or decode files from/to
 quoted-printable encoding (RFC1521). It can work with both text and binary
 data.

Package: qprint-doc
Source: qprint
Version: 1.0.dfsg.2-2
Installed-Size: 224
Maintainer: Eugene V. Lyubimkin 
Architecture: all
Suggests: qprint (>= 1.0.dfsg.2-2)
Size: 183880
SHA256: 848882fd5ea1609ed3223d8df82170f17344ae99223a96ed0bcce5580aba29b3
SHA1: 0015d12520b618698be47365feeecf8a223d0802
MD5sum: 72a39d3a7ee8b7f44780c195a0c7ed2c
Description: qprint quoted-printable encoder/decoder (documentation)
 Qprint is a command-line program that can encode or decode files from/to
 quoted-printable encoding (RFC1521). It can work with both text and binary
 data.
 .
 This package contains documentation for quoted-printable encoding and qprint
 program in PDF format and html version of qprint manual page.
Homepage: http://www.fourmilab.ch/webtools/qprint/
Tag: made-of::html, made-of::pdf, role::documentation
Section: doc
Priority: optional
Filename: pool/main/q/qprint/qprint-doc_1.0.dfsg.2-2_all.deb

Package: qprogram-starter
Source: shutdown-qapps
Version: 1.6.4-1
Architecture: armhf
Maintainer: Christian Metscher 
Installed-Size: 141
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: https://launchpad.net/~hakaishi
Priority: optional
Section: utils
Filename: pool/main/s/shutdown-qapps/qprogram-starter_1.6.4-1_armhf.deb
Size: 40848
SHA256: c82b64c06afe93a7ffc145c6c901b6c6f7e96dde72f4791325f7ea29ff3e1b77
SHA1: a21172462b5be876939f0e88c8ab98c011a43265
MD5sum: 1f917a7984415f515c1f7f38b54828fa
Description: Qt program to start programs or commands
 qprogram-starter is a Qt program to start programs or commands. You can
 set a time or date when the processes should start, log error output
 and normal output each in a file. After the processes are finished
 qprogram-starter shows a "finished" message box, or if you want it can
 quit or shutdown the system.

Package: qps
Version: 1.9.18.6-2.3
Architecture: armhf
Maintainer: René Mérou 
Installed-Size: 401
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.4.0), libx11-6, libxext6
Priority: optional
Section: x11
Filename: pool/main/q/qps/qps_1.9.18.6-2.3_armhf.deb
Size: 162914
SHA256: b7921c47636c45dc1b48ed566af38dafe399328bcfee1d791569a5590b4a7fc6
SHA1: fc7f261cfeed61cce694f76c7dcb3ee6da920e1a
MD5sum: e68d18d605e8b0e8b08bfd69732069df
Description: Visual process status monitor
 qps is a monitor that displays the status of the processes currently
 in existence, much like top(1) or ps(1).  The user interface uses the
 Qt toolkit, and most operations should be fairly intuitive.
 .
 Homepage: http://qps.kldp.net/

Package: qpsmtpd
Version: 0.84-9
Installed-Size: 754
Maintainer: Devin Carraway 
Architecture: all
Depends: debconf (>= 1.0.0), perl, adduser (>= 3.0), libdigest-hmac-perl, libmail-spf-perl (>= 2.0.0), libnet-dns-perl, libnet-perl, libmailtools-perl, libipc-shareable-perl, libclamav-client-perl, libsocket6-perl, libio-socket-inet6-perl
Suggests: spamassassin (>= 3.0.0), clamav-daemon, tinycdb
Size: 216532
SHA256: 11ac498b881cf3d98dc8e3d2337561f1f4f3b406883ff04546ec67d67a42b95a
SHA1: 7790aad75223dfe515731e2c6bc81d6fe37331cb
MD5sum: 135953c6c2b5a4d20fc68c2551d43c1c
Description: Flexible SMTP daemon for network-level spam detection
 This is a replacement SMTP daemon which installs alongside a mail delivery
 and transport system such as Exim, Postfix or Qmail, or used as an SMTP
 proxy for a remote/DMZ MTA.
 .
 The qpsmtpd damon emphasizes spam detection during the SMTP transaction,
 attempting to reach spam/nonspam decisions prior to accepting each message,
 thereby eliminating much bounce/forgery blowback.  It exploits its visbility
 into the network transaction to detect certain behaviors often exhibited by
 spam sending agents.
 .
 Qpsmtpd is written in Perl, with an extensive plugin API making it easy to
 add new features or replace functional components.
Homepage: http://smtpd.develooper.com/
Tag: interface::daemon, mail::filters, protocol::smtp, role::program,
 works-with::mail
Section: mail
Priority: extra
Filename: pool/main/q/qpsmtpd/qpsmtpd_0.84-9_all.deb

Package: qpxtool
Version: 0.7.1.002-5
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 1451
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libqpx0 (= 0.7.1.002-5), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Suggests: pxfw
Homepage: http://qpxtool.sourceforge.net/
Priority: optional
Section: otherosfs
Filename: pool/main/q/qpxtool/qpxtool_0.7.1.002-5_armhf.deb
Size: 614094
SHA256: 1ef7f30520e2b4e621acd2d0d9ffdcecdca94541045a4e00c0a5439db3cf4489
SHA1: bacc7c1438bb8994ace2432aa6ceb4964fb03079
MD5sum: 31fef5049e19b4bbce21fc6d2e327cd7
Description: CD/DVD quality checker
 QPxTool gives you access to all available Quality Checks (Q-Checks) on written
 and blank media, that are available for your drive. This will help you to find
 the right media and the optimized writing speed for your hardware, which will
 increase the chance for a long data lifetime.
 .
 QPxTool depends on the used drive, not all drives are able to read the Q-Checks
 and not all of them are supported by QPxTool. Currently, most newer drives of
 LiteOn, NEC, Pioneer and Plextor are supported.

Package: qrencode
Version: 3.3.0-2
Architecture: armhf
Maintainer: NIIBE Yutaka 
Installed-Size: 72
Depends: libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4), libqrencode3 (>= 3.3.0)
Homepage: http://megaui.net/fukuchi/works/qrencode/index.en.html
Priority: optional
Section: utils
Filename: pool/main/q/qrencode/qrencode_3.3.0-2_armhf.deb
Size: 26688
SHA256: 15168d1535d1c329903bf91f76b489ad89bd6b94a753c3936747b0ccc96e4622
SHA1: a1cb5f9c77ca47c22a162b4705288bcf4262ee03
MD5sum: 384fa351c909f7fb960c4d8b2c833b05
Description: QR Code encoder into PNG image
 Qrencode is a utility software using libqrencode to encode string data in
 a QR Code and save as a PNG or an EPS image.

Package: qrfcview
Version: 0.62-5.1
Architecture: armhf
Maintainer: Frederic Daniel Luc Lehobey 
Installed-Size: 209
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Suggests: doc-rfc
Homepage: http://qrfcview.berlios.de/
Priority: optional
Section: doc
Filename: pool/main/q/qrfcview/qrfcview_0.62-5.1_armhf.deb
Size: 85804
SHA256: 297c98a4e37f1ddadb75308405efb558c4df567bd5121bb2c840a0191a7ef3b9
SHA1: c370f1ff679be4abecda0708f7c40751dfafcb3a
MD5sum: 05befd9c7334b030c063ffdb26b5bc4a
Description: viewer for IETF RFCs
 qRFCView is a smart IETF RFC viewer based on the Qt4 library featuring:
 .
  - automatic table of content, with direct opening of section;
  - handling of RFC internal cross-references;
  - automatic downloading of a referenced RFC from the IETF web site
    on a simple click;
  - caching of RFC in a local directory;
  - tab-browsing of RFC;
  - searching.

Package: qrq
Version: 0.3.0-2
Architecture: armhf
Maintainer: Debian Hamradio Maintainers 
Installed-Size: 314
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libpulse0 (>= 0.99.1), libtinfo5
Suggests: gnuplot
Homepage: http://fkurz.net/ham/qrq.html
Priority: extra
Section: hamradio
Filename: pool/main/q/qrq/qrq_0.3.0-2_armhf.deb
Size: 122652
SHA256: 9fcb60c63679bbfbfbab188a81a201d3406300b0d86574240791f6d314114200
SHA1: a8c0346c3915dbe430db35d0127f49af6da4cd81
MD5sum: b0c15fae8ed71bbb49699c6503ad41d3
Description: high speed morse trainer, similar to DL4MM's Rufz
 qrq is an open source morse telegraphy trainer for Linux and Unix operating
 systems, similar to the classic DOS version of Rufz by DL4MM.
 .
 It is not intended for learning telegraphy, but to improve the ability
 to copy callsigns at high speeds, as needed for example for Contesting.

Package: qsampler
Version: 0.2.2-5
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 639
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgig6, liblscp6, libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6
Suggests: linuxsampler
Homepage: http://qsampler.sf.net/
Priority: optional
Section: sound
Filename: pool/main/q/qsampler/qsampler_0.2.2-5_armhf.deb
Size: 265920
SHA256: 618e3a53c8093d12c9c704d2445d775ee31ef3913e6e22f0af6eceb7b9872719
SHA1: 3c93f43455eb65e6bd23df6a05bdd773b8645124
MD5sum: e431b8d3ea679f0a3ec95e76d1791a2f
Description: LinuxSampler GUI frontend based on the Qt toolkit
 QSampler is a LinuxSampler GUI front-end application written in C++ around
 the Qt3 toolkit using Qt Designer. At the moment it just wraps as a client
 reference interface for the LinuxSampler Control Protocol (LSCP).

Package: qsapecng
Version: 2.0.0-5
Architecture: armhf
Maintainer: Simone Rossetto 
Installed-Size: 2342
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libqwt6, libstdc++6 (>= 4.6)
Suggests: qsapecng-doc (= 2.0.0-5)
Homepage: http://qsapecng.sourceforge.net/
Priority: optional
Section: electronics
Filename: pool/main/q/qsapecng/qsapecng_2.0.0-5_armhf.deb
Size: 1116848
SHA256: 389a6594ad030682cd552cfeb1a424ec76d0f0b5f59312cd90d1af368ded4b23
SHA1: 7b287c47298eeb3c83fa929b55c7dd7318ab0e38
MD5sum: f4e7c89b535e5891e6065bfda6c4bd52
Description: symbolic analyzer and solver of linear analog circuits
 This program consists of two indipendent parts: the SapecNG framework engine
 and the GUI application QSapecNG.
 .
 QSapecNG is an open source, multi-platform project, continuously enhanced by
 students and researchers of the Department of Electronics and
 Telecommunications (DET) of the University of Florence (Italy). It comes as
 continuation of SapWin for Windows, in order to give to the project a full
 compatibility on other platforms.
 .
 Through SapecNG/QSapecNG users can draw, solve and analyze analog circuits as
 well as manage them. Since version 2.0.0beta1 there is also the full support
 for sub-circuits that can be created, saved, reopened and added as part of
 other circuits.
 .
 SapecNG/QSapecNG is freely available on SourceForge.net
 . A previous version of SapecNG is
 available too, on SourceForge.net .

Package: qsapecng-doc
Source: qsapecng
Version: 2.0.0-5
Installed-Size: 968
Maintainer: Simone Rossetto 
Architecture: all
Depends: libjs-jquery
Recommends: qsapecng (= 2.0.0-5)
Suggests: doc-base
Size: 879094
SHA256: e2438723ed796635bd85c8265d14067a94e8fc99cc9e3e026e5409a281c030bb
SHA1: 59cf10e5ebf8a6fb85ceebadd0fd74aeb8bc47fd
MD5sum: 5a236d76efe36daab9dbab87d7487f8c
Description: symbolic analyzer and solver of linear analog circuits (documentation)
 With QSapecNG users can draw, solve and analyze analog circuits as well as
 manage them. Since version 2.0.0beta1 there is also the full support
 for sub-circuits that can be created, saved, reopened and added as part of
 other circuits.
 .
 This package contains the documentation of QSapecNG, that can also be read
 online at .
Homepage: http://qsapecng.sourceforge.net/
Tag: field::electronics, made-of::html, made-of::tex, role::app-data,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/q/qsapecng/qsapecng-doc_2.0.0-5_all.deb

Package: qsf
Version: 1.2.7-1
Architecture: armhf
Maintainer: Nelson A. de Oliveira 
Installed-Size: 209
Depends: libc6 (>= 2.13-28), libgdbm3 (>= 1.8.3), libmysqlclient16 (>= 5.1.50-1), libsqlite0 (>= 2.8.17)
Recommends: procmail | maildrop
Suggests: mail-transport-agent, mysql-server | sqlite, mutt, fetchmail
Priority: optional
Section: mail
Filename: pool/main/q/qsf/qsf_1.2.7-1_armhf.deb
Size: 105340
SHA256: 38035be931f53bdd916f8246f9f0634d53f425de809d34889d3185508031f523
SHA1: e5e1f938d3723d2e85088e25675645807c0051e7
MD5sum: 99a61df8f4f36b90080547f664aba77a
Description: small and fast Bayesian spam filter
 Quick Spam Filter (QSF) is an Open Source email classification filter,
 designed to be small, fast, and accurate, which works to classify incoming
 email as either spam or non-spam.
 .
 QSF's targets are speed, accuracy and simplicity:
  * It is small and is written in C so it starts up quickly, unlike filters
  written in Perl.
  * It understands MIME and HTML, so it can intelligently deal with modern
  spam, unlike older Bayesian filters such as ifile.
  * It runs as an inline filter rather than as a daemon, so it is simple to
  install.
  * It is written to do only one job - decide whether an email is spam or not
  using the content of the message alone - so it is less complex than filters
  such as SpamAssassin. Less complexity means bugs and security problems are
  less likely.
  * As well as words and word pairs, QSF also spots special patterns in email
  such as runs of gibberish, HTML comments embedded in text, and other common
  spam giveaways, and its flexible tokeniser allows more patterns to be added
  as spammers change their tactics.
 .
  Homepage: http://code.google.com/p/qsf/
Tag: implemented-in::c, interface::commandline, mail::filters, role::plugin, role::program, scope::application, use::checking, works-with-format::plaintext, works-with::db, works-with::mail, works-with::text

Package: qshutdown
Source: shutdown-qapps
Version: 1.6.4-1
Architecture: armhf
Maintainer: Christian Metscher 
Installed-Size: 685
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: https://launchpad.net/~hakaishi
Priority: optional
Section: utils
Filename: pool/main/s/shutdown-qapps/qshutdown_1.6.4-1_armhf.deb
Size: 220712
SHA256: 3cff5b1cbb6348dc1ceb54ca7e9207a1c5f0e2c8ffab59560128c6bf4c86daaa
SHA1: 6d3375611d2f09ddf8755301515adb4ad6a2a518
MD5sum: bf609b75c4ba6938a94dd4b0a0646030
Description: Qt program to shutdown/reboot/suspend/hibernate the system
 qshutdown is a Qt program to shutdown/reboot/suspend/hibernate the
 computer at a given time or after a certain number of minutes. It shows
 the time until the corresponding request is send to either the Gnome- or
 KDE-session-manager, to HAL or to DeviceKit and if none of these works
 the command 'sudo shutdown -P now' is used. This program may be useful
 for people who want to work with the computer only for a certain time.

Package: qsstv
Version: 7.1.7-3
Architecture: armhf
Maintainer: Debian Hamradio Maintainers 
Installed-Size: 2325
Depends: libjs-jquery, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libhamlib2 (>= 1.2.15.1), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: http://users.telenet.be/on4qz
Priority: optional
Section: hamradio
Filename: pool/main/q/qsstv/qsstv_7.1.7-3_armhf.deb
Size: 1890314
SHA256: 32d176abb7c3e60fd2dbf1e890e1d7a9d68148b0d66f77829276007af5739c9b
SHA1: 8cf8da103f06e5b62c013cf031d7b9d7749df332
MD5sum: 4472e05eba58b7f1e97a133307c754f5
Description: Qt-based slow-scan TV and fax
 Qsstv is a program for receiving slow-scan television and fax.
 These are modes used by hamradio operators. Qsstv uses a
 soundcard to send and receive images.

Package: qstardict
Version: 0.12.9-1.1
Architecture: armhf
Maintainer: Alexander Rodin 
Installed-Size: 861
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6), libx11-6, zlib1g (>= 1:1.1.4)
Suggests: festival
Homepage: http://qstardict.ylsoftware.com
Priority: optional
Section: x11
Filename: pool/main/q/qstardict/qstardict_0.12.9-1.1_armhf.deb
Size: 465198
SHA256: 0402d2bc6144a186edb022900d388ac506f39a4147910d603b4ea3b0d8a79ff0
SHA1: 2682cdf0fae68bb08b0b91a950368816a8849804
MD5sum: 04183be0972722bea3e271d398cf9f94
Description: International dictionary written using Qt4
 QStarDict is a modern international dictionary written using Qt4.
 .
 Main features
  * Full support of StarDict dictionaries
  * Fuzzy search
  * Support for hyper links in translations
  * Working from the system tray
  * Scanning mouse selection and showing pop-up windows with translation of
    selected words
  * Translations reformatting
  * Pronouncing of the translated words
  * Plugins support

Package: qstat
Version: 2.11-3
Architecture: armhf
Maintainer: Jordi Mallach 
Installed-Size: 338
Depends: libc6 (>= 2.7)
Conflicts: xqf (<< 0.9.2-1)
Homepage: http://qstat.org/
Priority: optional
Section: games
Filename: pool/main/q/qstat/qstat_2.11-3_armhf.deb
Size: 135706
SHA256: b16ed0bd779e06e8ca32941817c376ebc6f6a6ee14b1d4d212e4ef65c708341d
SHA1: 33b256d6ef34302fe103d1be890ce9f4d94fa6b0
MD5sum: 9f362cfad3e0d20fd427effdb4886e3b
Description: Command-line tool for querying quake (and other) servers
 Qstat provides a simple interface for querying servers for a number
 of games, including but not limited to quake, quakeworld, quake2,
 quake3arena, unreal tournament or half-life.

Package: qsynth
Version: 0.3.6-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 752
Depends: libc6 (>= 2.13-28), libfluidsynth1, libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6
Recommends: fluid-soundfont-gm
Suggests: jackd
Homepage: http://qsynth.sourceforge.net
Priority: optional
Section: sound
Filename: pool/main/q/qsynth/qsynth_0.3.6-2_armhf.deb
Size: 267796
SHA256: 3c847b2ecee0c2fdd80df76978548dca2605d2212c177d440628d23eef5b680c
SHA1: e8f4fce898271e172bf9e1745be9548b9e8d5f8d
MD5sum: b625726ccbf6c516f55824432edaf7ea
Description: fluidsynth MIDI sound synthesiser front-end
 QSynth is a fluidsynth GUI front-end application written in C++ around the Qt 4
 toolkit. Eventually it may evolve into a softsynth management application
 allowing the user to control and manage a variety of command line softsynths
 but for the moment it wraps FluidSynth (http://www.fluidsynth.org).
 .
 FluidSynth is a command line software synthesiser based on the Soundfont
 specification.

Package: qt-assistant-compat
Version: 4.6.3-4
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 582
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.8.1), libqt4-network (>= 4:4.8.1), libqt4-xml (>= 4:4.8.1), libqtcore4 (>= 4:4.8.1), libqtgui4 (>= 4:4.8.1), libstdc++6 (>= 4.4.0)
Breaks: qt4-dev-tools (<< 4:4.7.0~)
Replaces: qt4-dev-tools (<< 4:4.7.0~)
Homepage: http://doc.qt.nokia.com/4.6/qassistantclient.html
Priority: optional
Section: devel
Filename: pool/main/q/qt-assistant-compat/qt-assistant-compat_4.6.3-4_armhf.deb
Size: 242010
SHA256: 4653ae0142b882c1375c4272f45399df73e8591bb5083eb50c39e26111d69cda
SHA1: c45d7483940b341af12cf986ae06ea0646d5076f
MD5sum: 4619c10170c4fa18233df8336d6f0ecb
Description: Qt Assistant compatibility binary (legacy)
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the Qt Assistant compatibility version, based on
 Assistant Document Profile (.adp) files, and the associated QtAssistantClient
 library, for compatibility with applications providing help in that format.
 .
 New applications should use the new version of Qt Assistant introduced in Qt
 4.4, based on the Qt Help Framework also introduced in Qt 4.4, instead.

Package: qt-at-spi
Version: 0.3.1-3
Architecture: armhf
Maintainer: Debian Accessibility Team 
Installed-Size: 458
Pre-Depends: multiarch-support
Depends: libatspi2.0-0 (>= 1.91.92), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.8.0), libqtcore4 (>= 4:4.8~), libqtgui4 (>= 4:4.8~), libstdc++6 (>= 4.4.0)
Multi-Arch: same
Priority: optional
Section: misc
Filename: pool/main/q/qt-at-spi/qt-at-spi_0.3.1-3_armhf.deb
Size: 130960
SHA256: d3520399600e3678c7c4a377f52abb680ac77e192bb686292a533c50a73b36bd
SHA1: c3d2e1f6acdb77849d4d8be73be875c3b46a0ed8
MD5sum: 3a091cb804949aba4579a083526df769
Description: at-spi accessibility plugin for Qt
 A plugin to export Qt widgets over AT-SPI2.  This allows them
 to be read by accessibility tools such as the Orca screen reader.

Package: qt-at-spi-doc
Source: qt-at-spi
Version: 0.3.1-3
Installed-Size: 73
Maintainer: Debian Accessibility Team 
Architecture: all
Replaces: qt-at-spi
Size: 12726
SHA256: e42c9ce8eba5fa43e2792f398666a2a39c010ab0634ec907b7102e044fbfe55c
SHA1: fc82acfe11a963109ab92697b5aa7fc5e0e98969
MD5sum: 7850d4a246566d1dd354bd6a9d54bbdf
Description: at-spi accessibility plugin for Qt - Documentation
 A plugin to export Qt widgets over AT-SPI2.  This allows them
 to be read by accessibility tools such as the Orca screen reader.
 .
 This package contains the API documentation.
Section: doc
Priority: optional
Filename: pool/main/q/qt-at-spi/qt-at-spi-doc_0.3.1-3_all.deb

Package: qt-sdk
Version: 2
Installed-Size: 32
Maintainer: Debian Qt/KDE Maintainers 
Architecture: all
Depends: build-essential, cmake, gdb, git-core, libqt4-dev, libqt4-opengl-dev, libphonon-dev, qt4-designer, qt4-dev-tools, qt4-doc, qt4-doc-html, qt4-qmake, qtcreator, qtcreator-doc, subversion
Suggests: libqt4-dbg, libqt4-webkit-dbg, libqt4-xmlpatterns-dbg
Size: 1974
SHA256: ecdbb304f3923219b1fa8ffad713b90b8ac8d754624b7ca2b933b6c4fb2e3fa2
SHA1: 6f369486f267af4df7b52168b59a396e495163ba
MD5sum: f3b24f7dfcbb610d57020241ec725bb3
Description: Complete Qt Software Development Kit
 This meta-package provides a complete Qt Software Development Kit.
 Tools cover IDE, revision control, debugging and documentation.
Tag: role::metapackage, uitoolkit::qt
Section: devel
Priority: optional
Filename: pool/main/q/qt-sdk/qt-sdk_2_all.deb

Package: qt-x11-free-dbg
Source: qt-x11-free
Version: 3:3.3.8b-11
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 89419
Depends: libqt3-mt (= 3:3.3.8b-11), gdb
Conflicts: libqt3-mt-dbg
Replaces: libqt3-mt-dbg
Homepage: http://trolltech.com
Priority: extra
Section: debug
Filename: pool/main/q/qt-x11-free/qt-x11-free-dbg_3.3.8b-11_armhf.deb
Size: 35742008
SHA256: b6b2165c14efebb4056fb06ac9828d90a3e2f1f8b44de4dfb89d80230098fccb
SHA1: a77c91bf1e65014b52b656df916bda80adf99f92
MD5sum: 098014b6a69ee7408869fa8cb0960efa
Description: debugging symbols for qt-x11-free binaries
 This package contains the debugging symbols associated with qt-x11-free.
 They will automatically be used by gdb for debugging Qt-related
 issues.

Package: qt3-apps-dev
Source: qt-x11-free
Version: 3:3.3.8b-11
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 6072
Depends: libqt3-mt-dev
Replaces: libqt3-dev, libqt3-mt-dev, qt3-tools
Homepage: http://trolltech.com
Priority: optional
Section: devel
Filename: pool/main/q/qt-x11-free/qt3-apps-dev_3.3.8b-11_armhf.deb
Size: 1852462
SHA256: 0b223b373bfb99a975539bc98559035f2a2df3b843ab7dfb2e6c6cb28de4c343
SHA1: fc92c20638580e98e9d67389e4569c373c58b8fd
MD5sum: 04fca1e37f7f7cdc9815ea5fd85863c9
Description: Qt3 Developer applications development files
 This package is intended for developers who want to develop applications
 using the additional static libraries that ship with the applications
 included with Qt; the Qt Designer and the Qt Assistant.
 It allows integrating additional enhancements into the Qt Designer
 respectively faciliate the Qt Assistant from within your Qt application
 to interactively call the Assistant for displaying online help that the
 developer includes with his application.

Package: qt3-assistant
Source: qt-x11-free
Version: 3:3.3.8b-11
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 523
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libqt3-mt (>= 3:3.3.8b), libsm6, libstdc++6 (>= 4.4.0), libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxft2 (>> 2.1.1), libxi6, libxinerama1, libxrandr2, libxrender1, zlib1g (>= 1:1.1.4), qt3-doc
Replaces: libqt3-dev, libqt3-mt-dev, qt3-tools
Homepage: http://trolltech.com
Priority: optional
Section: x11
Filename: pool/main/q/qt-x11-free/qt3-assistant_3.3.8b-11_armhf.deb
Size: 240504
SHA256: 8448ecd55bde80f1789634ef62505511c728a7178047bdad1405a162ac72b2e5
SHA1: c4664b63dce67d4fd67a384ba78dcdfe84014eda
MD5sum: 2d8d2770fe3d548c8fbd0ca6dab8fdd0
Description: The Qt3 assistant application
 This package contains the Qt3 Assistant, an easy to use frontend for
 the complete Qt3 documentation and serves as an online help viewer for
 any Qt program that wants to give the users access to online help.
 Within the Qt tools it is used as the help viewer for the online help
 for the Qt3 Designer and Linguist as well as qmake and the Qt 3 API
 documentation.
 .
 Developers of Qt Application who want to faciliate the Qt Assistant for online
 help display should refer to the README.Debian file for libqt3-mt-dev and
 the package qt3-apps-dev.

Package: qt3-designer
Source: qt-x11-free
Version: 3:3.3.8b-11
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 6024
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libqt3-mt (>= 3:3.3.8b), libsm6, libstdc++6 (>= 4.4.0), libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxft2 (>> 2.1.1), libxi6, libxinerama1, libxrandr2, libxrender1, zlib1g (>= 1:1.1.4)
Recommends: qt3-dev-tools
Suggests: qt3-assistant, qt3-doc
Conflicts: qt-designer (<= 3:2.3.2-9), qt-designer-doc
Replaces: libqt3-dev, libqt3-mt-dev, qt3-doc (= 3:3.3.4-8), qt3-tools
Homepage: http://trolltech.com
Priority: optional
Section: devel
Filename: pool/main/q/qt-x11-free/qt3-designer_3.3.8b-11_armhf.deb
Size: 3857676
SHA256: 0c68f7242d9481f7af9f4f02815483484e2a420dee39bce24308bdbc56a0d8ea
SHA1: e9a5e59d949db6877e9a5757358117f1dd416ae2
MD5sum: fe0a30b834fa21a7241149c1d207e625
Description: graphical designer for Qt3 applications
 The Qt Designer is a GUI design program that interactively lets you
 construct user interfaces for the Qt library. Additionally it lets you
 create whole project and works together with the database drivers
 provided by Qt to create applications with easy database access through
 Qt. The resulting user interface files can then be converted to
 C++ classes using the uic commandline utility which is usually done
 automatically for the developer with a project management with qmake
 or automake.

Package: qt3-dev-tools
Source: qt-x11-free
Version: 3:3.3.8b-11
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 3253
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libqt3-mt (>= 3:3.3.8b), libsm6, libstdc++6 (>= 4.4.0), libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxft2 (>> 2.1.1), libxi6, libxinerama1, libxrandr2, libxrender1, zlib1g (>= 1:1.1.4)
Recommends: libqt3-mt-dev
Conflicts: libqt-dev (<< 3:2.3.2-10), uic (<= 3:2.3.2-9)
Replaces: libqt3-dev, libqt3-mt-dev, qt3-doc (<= 3:3.1.1-2), qt3-tools
Homepage: http://trolltech.com
Priority: optional
Section: devel
Filename: pool/main/q/qt-x11-free/qt3-dev-tools_3.3.8b-11_armhf.deb
Size: 1274794
SHA256: ed1ded6e5577bd1f92b5cb244e72abe38487690fddd97eccee5353d414018d9d
SHA1: ea5bb8310da4629be5950b376f56262bc8e0804e
MD5sum: 7557429dcbef92c5b1d61b6491d80176
Description: Qt3 development tools
 This package contains all tools that are necessary to build programs
 that are written using Qt3. These are: qmake, uic and moc.
 For Qt3 development, you most likely want to install this package.

Package: qt3-dev-tools-compat
Source: qt-x11-free
Version: 3:3.3.8b-11
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 153
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.1.1), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libqt3-mt (>= 3:3.3.8b), libsm6, libstdc++6 (>= 4.1.1), libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxft2 (>> 2.1.1), libxi6, libxinerama1, libxrandr2, libxrender1, zlib1g (>= 1:1.1.4)
Recommends: libqt3-mt-dev
Replaces: libqt3-dev, libqt3-mt-dev, qt3-tools
Homepage: http://trolltech.com
Priority: optional
Section: devel
Filename: pool/main/q/qt-x11-free/qt3-dev-tools-compat_3.3.8b-11_armhf.deb
Size: 67392
SHA256: ad15cd4b9c1065158b5133b1792d349ae7055dcbbf78c5e6e3845d7c5b02b875
SHA1: 4c96b90083bc88490bd765d21fc5b68b3362b453
MD5sum: d896cc20b8fd8cd0ac774830f25420dd
Description: Conversion utilities for Qt3 development
 This package contains some older Qt tools (namely qt20fix qtrename140,
 qm2ts, mergetr, findtr and msg2qm). These tools are needed only by
 application developers who need to migrate any Qt application written
 for Qt 1.x or 2.x over to Qt 3.x. The purpose of the tools are to
 help fixing the changes with include file renaming as well as migrating
 the message file format of Qt 2 translation files or any gettext-based
 translation system to the Qt 3 system.

Package: qt3-doc
Source: qt-x11-free
Version: 3:3.3.8b-11
Installed-Size: 25892
Maintainer: Debian QA Group 
Architecture: all
Replaces: qt-doc
Suggests: libqt3-headers, qt3-assistant | www-browser
Size: 5578356
SHA256: 17fb61b179941731a7d51805f91a4b9546bf2ef78ea18dadedcc94fd06ff423d
SHA1: 6b97d966a35d980dc13828740e1e41d00012044e
MD5sum: 37599a88322c9e13de24caf1d9b7d198
Description: Qt3 API documentation
 This package contains the complete API documentation for Qt3.
 Examples to coding are in qt3-examples. The documentation is provided
 in HTML and manpage format; the HTML version can be viewed in conjunction
 with the Qt Assistant.
Homepage: http://trolltech.com
Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html,
 made-of::man, role::documentation, uitoolkit::qt
Section: doc
Priority: extra
Filename: pool/main/q/qt-x11-free/qt3-doc_3.3.8b-11_all.deb

Package: qt3-examples
Source: qt-x11-free
Version: 3:3.3.8b-11
Installed-Size: 1576
Maintainer: Debian QA Group 
Architecture: all
Depends: libqt3-mt-dev (>= 3:3.3.8b-11)
Suggests: qt3-apps-dev
Size: 1578408
SHA256: 2c5c83bedc9f992c2394608500a91c74f5cffda21753d4e417e34345eb076976
SHA1: b342abe5e59a8987507ac0baba4fc1f45d082ca8
MD5sum: d1e5348cd6a86891760e884a69874445
Description: Examples for Qt3
 These are examples provided with Qt3. They may be especially useful for
 you if you are learning to program in Qt as they cover quite a lot of
 things that are possible with Qt3.
Homepage: http://trolltech.com
Tag: devel::examples, implemented-in::c++, role::documentation, uitoolkit::qt
Section: doc
Priority: extra
Filename: pool/main/q/qt-x11-free/qt3-examples_3.3.8b-11_all.deb

Package: qt3-linguist
Source: qt-x11-free
Version: 3:3.3.8b-11
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 825
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libqt3-mt (>= 3:3.3.8b), libsm6, libstdc++6 (>= 4.4.0), libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxft2 (>> 2.1.1), libxi6, libxinerama1, libxrandr2, libxrender1, zlib1g (>= 1:1.1.4)
Suggests: qt3-assistant
Replaces: libqt3-dev, libqt3-mt-dev, qt3-doc (= 3:3.3.4-8), qt3-tools
Homepage: http://trolltech.com
Priority: optional
Section: devel
Filename: pool/main/q/qt-x11-free/qt3-linguist_3.3.8b-11_armhf.deb
Size: 328834
SHA256: 3c0da876629e5817b6782227b7a7b00f418ad3f1490876cdf93227e67249ea82
SHA1: c2d632d330badc5f7542fab39a1ca503db88d640
MD5sum: 41516c89507e0b0efa2ec1fd8a7a18e9
Description: The Qt3 Linguist
 This package contains the Qt3 Linguist which provides translators a
 tool perfect for translating any Qt-based application into other
 languages and can be used and installed independently of any Qt
 development files by the translator.

Package: qt3-qtconfig
Source: qt-x11-free
Version: 3:3.3.8b-11
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 234
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.1.1), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libqt3-mt (>= 3:3.3.8b), libsm6, libstdc++6 (>= 4.4.0), libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxft2 (>> 2.1.1), libxi6, libxinerama1, libxrandr2, libxrender1, zlib1g (>= 1:1.1.4)
Replaces: libqt3-dev, libqt3-mt-dev, qt3-tools
Homepage: http://trolltech.com
Priority: optional
Section: x11
Filename: pool/main/q/qt-x11-free/qt3-qtconfig_3.3.8b-11_armhf.deb
Size: 87864
SHA256: f17e586be5db66ca61f27d1f5e4b8812c6209173c88686e6094bb046a113baf6
SHA1: cd43f25e8f097d9a016018b3f6abbf0f8e767d06
MD5sum: 547d99ef3bdd20095087f560e71f7a0e
Description: The Qt3 Configuration Application
 The Qt Configuration program allows endusers to configure the look
 and behavior of any Qt3 application. It is mostly only necessary
 on systems which don't run KDE because the KDE control center already
 covers this configuration automatically for the users Qt3 applications
 according to his desktop settings in KDE. However, if you need to run
 CJK-fonts or other non-latin scripts, you will most likely want to
 install this package.

Package: qt4-bin-dbg
Source: qt4-x11
Version: 4:4.8.2+dfsg-11+rpi1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 50154
Depends: libqt4-dbg (= 4:4.8.2+dfsg-11+rpi1)
Breaks: libqt4-dbg (<< 4:4.7.3-3)
Replaces: libqt4-dbg (<< 4:4.7.3-3)
Homepage: http://qt-project.org/
Priority: extra
Section: debug
Filename: pool/main/q/qt4-x11/qt4-bin-dbg_4.8.2+dfsg-11+rpi1_armhf.deb
Size: 48230366
SHA256: 3e57e3f3f2a0f3f373ddabeab7c332c41c81cb2e978bfd4ada17713a3560c700
SHA1: 3cad79d7c4687e95af30c1d9cfca92117e68006f
MD5sum: b0beac57f0a9e1ba53149c57b39d834a
Description: Qt 4 binaries debugging symbols
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the debugging symbols for the Qt 4 binaries.

Package: qt4-demos
Source: qt4-x11
Version: 4:4.8.2+dfsg-11+rpi1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 36716
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libphonon4 (>= 4:4.6.0) | libqt4-phonon (= 4:4.8.2+dfsg-11+rpi1), libqt4-declarative (= 4:4.8.2+dfsg-11+rpi1), libqt4-designer (= 4:4.8.2+dfsg-11+rpi1), libqt4-help (= 4:4.8.2+dfsg-11+rpi1), libqt4-network (= 4:4.8.2+dfsg-11+rpi1), libqt4-opengl (= 4:4.8.2+dfsg-11+rpi1), libqt4-script (= 4:4.8.2+dfsg-11+rpi1), libqt4-scripttools (= 4:4.8.2+dfsg-11+rpi1), libqt4-sql (= 4:4.8.2+dfsg-11+rpi1), libqt4-svg (= 4:4.8.2+dfsg-11+rpi1), libqt4-test (= 4:4.8.2+dfsg-11+rpi1), libqt4-xml (= 4:4.8.2+dfsg-11+rpi1), libqt4-xmlpatterns (= 4:4.8.2+dfsg-11+rpi1), libqtcore4 (= 4:4.8.2+dfsg-11+rpi1), libqtdbus4 (= 4:4.8.2+dfsg-11+rpi1), libqtgui4 (= 4:4.8.2+dfsg-11+rpi1), libstdc++6 (>= 4.4.0)
Recommends: libqt4-declarative-gestures, libqt4-declarative-particles, qt4-dev-tools (= 4:4.8.2+dfsg-11+rpi1), qt4-doc (= 4:4.8.2+dfsg-11+rpi1)
Suggests: qt4-qmlviewer
Homepage: http://qt-project.org/
Priority: optional
Section: x11
Filename: pool/main/q/qt4-x11/qt4-demos_4.8.2+dfsg-11+rpi1_armhf.deb
Size: 9800488
SHA256: d9af9c86e64236d074a682d319fad56f1ae82900160fc80dd09b09b6a2bfc5e6
SHA1: 034950e015087f3653130da8eb3a2b8fc51d58f0
MD5sum: 37c998d696698bd70923d64242dfe54a
Description: Qt 4 examples and demos
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 4 examples and demos.

Package: qt4-demos-dbg
Source: qt4-x11
Version: 4:4.8.2+dfsg-11+rpi1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 115830
Depends: qt4-demos (= 4:4.8.2+dfsg-11+rpi1)
Recommends: libqt4-dbg
Homepage: http://qt-project.org/
Priority: extra
Section: debug
Filename: pool/main/q/qt4-x11/qt4-demos-dbg_4.8.2+dfsg-11+rpi1_armhf.deb
Size: 110088086
SHA256: 1cd73226d15951e64cf8dbf86eefa9d49762904ad84e410da1ebcdd251ca588f
SHA1: ac56e42bd0b09e8c50974caa9a1bbe37c4cff342
MD5sum: 13e00b141bfbfd34fcaf08c1b6d6e609
Description: Qt 4 examples and demos debugging symbols
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the debugging symbols for the Qt 4 examples and
 demos.

Package: qt4-designer
Source: qt4-x11
Version: 4:4.8.2+dfsg-11+rpi1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 2055
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-designer (= 4:4.8.2+dfsg-11+rpi1), libqt4-network (= 4:4.8.2+dfsg-11+rpi1), libqt4-xml (= 4:4.8.2+dfsg-11+rpi1), libqtcore4 (= 4:4.8.2+dfsg-11+rpi1), libqtgui4 (= 4:4.8.2+dfsg-11+rpi1), libstdc++6 (>= 4.4.0)
Recommends: libqt4-dev
Breaks: libqt4-core (<< 4.4.0~beta1-1), libqt4-designer (<< 4.4.0~beta1-1), qt4-dev-tools (<= 4.0.1-2)
Replaces: libqt4-core (<< 4.4.0~beta1-1), libqt4-designer (<< 4.4.0~beta1-1), qt4-dev-tools (<= 4.0.1-2)
Homepage: http://qt-project.org/
Priority: optional
Section: devel
Filename: pool/main/q/qt4-x11/qt4-designer_4.8.2+dfsg-11+rpi1_armhf.deb
Size: 362206
SHA256: c36cdfefa2a80a5f460e325d7b7f12057217dab96708169066e29ff5f3583a4f
SHA1: 3afbc89c86f92e2c0bcc93f7a89362a03e9c582c
MD5sum: ce51285796af797da6fee2e51eb49cd6
Description: graphical designer for Qt 4 applications
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 Qt Designer is a tool for designing and building graphical user interfaces
 (GUIs) from Qt components.

Package: qt4-dev-tools
Source: qt4-x11
Version: 4:4.8.2+dfsg-11+rpi1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 7864
Depends: libqt4-sql-sqlite, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libqt4-declarative (= 4:4.8.2+dfsg-11+rpi1), libqt4-help (= 4:4.8.2+dfsg-11+rpi1), libqt4-network (= 4:4.8.2+dfsg-11+rpi1), libqt4-sql (= 4:4.8.2+dfsg-11+rpi1), libqt4-xml (= 4:4.8.2+dfsg-11+rpi1), libqt4-xmlpatterns (= 4:4.8.2+dfsg-11+rpi1), libqtcore4 (= 4:4.8.2+dfsg-11+rpi1), libqtdbus4 (= 4:4.8.2+dfsg-11+rpi1), libqtgui4 (= 4:4.8.2+dfsg-11+rpi1), libstdc++6 (>= 4.4.0), libx11-6, libxtst6
Recommends: libqt4-dev, qt4-designer, qt4-doc
Suggests: qt4-doc-html
Conflicts: qt3-dev-tools-embedded
Breaks: libqt4-core (<< 4.4.0~beta1-1)
Replaces: libqt4-core (<< 4.4.0~beta1-1)
Homepage: http://qt-project.org/
Priority: optional
Section: devel
Filename: pool/main/q/qt4-x11/qt4-dev-tools_4.8.2+dfsg-11+rpi1_armhf.deb
Size: 3664186
SHA256: 1b5057962c4726a9c1e555afd48e91986fcb44018f67c7f8a1d8504acfbff5c9
SHA1: 93ee73f485a53284030945c6146a9a06356fe964
MD5sum: 865ffdd3bcf432c41550019daabf0a4f
Description: Qt 4 development tools
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains tools used to assist in Qt 4 development, including:
  - assistant-qt4 -- Qt Assistant
  - lconvert -- Convert and filter translation data files
  - linguist-qt4 -- Qt Linguist
  - pixeltool -- Pixel Tool
  - qcollectiongenerator -- Qt Collection Generator
  - qdbusviewer -- D-Bus Viewer
  - qhelpconverter -- Help Conversion Wizard
  - qhelpgenerator -- Qt Compressed Help Generator
  - qmlplugindump -- QML Plugin Dump application
  - qvfb -- Virtual Framebuffer

Package: qt4-doc
Source: qt4-x11
Version: 4:4.8.2+dfsg-11+rpi1
Architecture: all
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 102344
Suggests: libqt4-dev, qt4-dev-tools
Homepage: http://qt-project.org/
Priority: optional
Section: doc
Filename: pool/main/q/qt4-x11/qt4-doc_4.8.2+dfsg-11+rpi1_all.deb
Size: 96912622
SHA256: 8affa20323386fad39d5cc1130043b77aa81a92b8690013f7a5669cd9c622111
SHA1: 12692fefa1d8e0abbeec3e9bc00af4abd7d0df81
MD5sum: 790c0bb65c55704403916728a8cca632
Description: Qt 4 API documentation
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the complete Qt 4 API documentation (new help format).
 .
 The documentation is provided in the new help format and manpage format;
 the new help format version can be viewed in conjunction with the Qt Assistant
 found in the qt4-dev-tools package.

Package: qt4-doc-html
Source: qt4-x11
Version: 4:4.8.2+dfsg-11+rpi1
Architecture: all
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 208516
Suggests: libqt4-dev, qt-assistant-compat, w3m | www-browser | x-www-browser
Homepage: http://qt-project.org/
Priority: optional
Section: doc
Filename: pool/main/q/qt4-x11/qt4-doc-html_4.8.2+dfsg-11+rpi1_all.deb
Size: 45691406
SHA256: 14afba8de381ba322a479704c9c8c50fa21f1145cf6d76af1cf286d2067aa5ed
SHA1: 359784492ae8f466cfec8e3d47c98dfce32e08e5
MD5sum: b8a462a3f7046ec5ea3d246e66a10774
Description: Qt 4 API documentation (HTML format)
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains the complete Qt 4 API documentation (HTML format).
 .
 The documentation is provided in HTML format.

Package: qt4-linguist-tools
Source: qt4-x11
Version: 4:4.8.2+dfsg-11+rpi1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 2349
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-xml (= 4:4.8.2+dfsg-11+rpi1), libqtcore4 (= 4:4.8.2+dfsg-11+rpi1), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4)
Breaks: libqt4-dev (<< 4:4.7.3-2)
Replaces: libqt4-dev (<< 4:4.7.3-2)
Multi-Arch: foreign
Homepage: http://qt-project.org/
Priority: optional
Section: devel
Filename: pool/main/q/qt4-x11/qt4-linguist-tools_4.8.2+dfsg-11+rpi1_armhf.deb
Size: 721122
SHA256: 4e4eb6a6006fa94ca8f3f6a5def4700330ba059e1288b4007a97c270a9e71bd5
SHA1: 25937ee5185722bd681980b5bd3631269a4b1c7e
MD5sum: f171d026d617dc23c4c515379f652dff
Description: Qt 4 Linguist tools
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains lupdate and lrelease. lupdate is a command line tool
 that finds the translatable strings in the specified source, header and
 Qt Designer interface files, and produces or updates .ts translation files.
 lrelease is a command line tool that produces QM files out of TS files.

Package: qt4-qmake
Source: qt4-x11
Version: 4:4.8.2+dfsg-11+rpi1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 4537
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Breaks: libqt4-dev (<< 4.5.0~beta1+snapshot20090114-1)
Replaces: libqt4-dev (<< 4.5.0~beta1+snapshot20090114-1)
Multi-Arch: foreign
Homepage: http://qt-project.org/
Priority: optional
Section: devel
Filename: pool/main/q/qt4-x11/qt4-qmake_4.8.2+dfsg-11+rpi1_armhf.deb
Size: 1110766
SHA256: 392c189b384571b25dc99af9c6e9bc412e83f86a4afa6493be05490e78bed9fd
SHA1: fb48bbfe885f2399903cb04251214450110d0ea3
MD5sum: 1b36afaa855e02f8a1dca84aeeb73b30
Description: Qt 4 qmake Makefile generator tool
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 4 qmake (qmake-qt4), a tool that helps simplify
 the build process for development project across different platforms.
 qmake automates the generation of Makefiles so that only a few lines of
 information are needed to create each Makefile. qmake can be used for any
 software project, whether it is written using Qt or not.
 .
 Qt 4 qmake requires a platform and compiler description file which contains
 many default values used to generate appropriate Makefiles.
 .
 This package contains also these platform specifications.

Package: qt4-qmlviewer
Source: qt4-x11
Version: 4:4.8.2+dfsg-11+rpi1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 390
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-declarative (= 4:4.8.2+dfsg-11+rpi1), libqt4-network (= 4:4.8.2+dfsg-11+rpi1), libqt4-opengl (= 4:4.8.2+dfsg-11+rpi1), libqtcore4 (= 4:4.8.2+dfsg-11+rpi1), libqtgui4 (= 4:4.8.2+dfsg-11+rpi1), libstdc++6 (>= 4.4.0)
Recommends: imagemagick | gifsicle
Homepage: http://qt-project.org/
Priority: optional
Section: devel
Filename: pool/main/q/qt4-x11/qt4-qmlviewer_4.8.2+dfsg-11+rpi1_armhf.deb
Size: 177920
SHA256: 1d83cca735e7d752f51c8dda985405bb23a8090bf191f4f0f1aec3e225719099
SHA1: b66b8c2df7d74f9fcd998909681ff70f16d02383
MD5sum: fc12a917ac4cc2921c89b600c0c73dbd
Description: Qt 4 QML viewer
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 This package contains Qt 4 QML viewer (qml), which can be used for viewing
 QML files.

Package: qt4-qtconfig
Source: qt4-x11
Version: 4:4.8.2+dfsg-11+rpi1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 492
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgstreamer0.10-0 (>= 0.10.0), libphonon4 (>= 4:4.6.0) | libqt4-phonon (= 4:4.8.2+dfsg-11+rpi1), libqtcore4 (= 4:4.8.2+dfsg-11+rpi1), libqtgui4 (= 4:4.8.2+dfsg-11+rpi1), libstdc++6 (>= 4.4.0)
Breaks: libqt4-core (<< 4.4.0~beta1-1), libqt4-gui (<< 4.1.0)
Replaces: libqt4-core (<< 4.4.0~beta1-1), libqt4-gui (<< 4.1.0)
Homepage: http://qt-project.org/
Priority: optional
Section: x11
Filename: pool/main/q/qt4-x11/qt4-qtconfig_4.8.2+dfsg-11+rpi1_armhf.deb
Size: 131042
SHA256: 2f7feb28db6be9fe10047731fb7c3cd5ec2e2253a11ddcdeb419a94413372219
SHA1: a4a546e795d4264f8ce8951d2e6c51b96eb685ef
MD5sum: fbb25403f82ceeb110b7b5a038a2f761
Description: Qt 4 configuration tool
 Qt is a cross-platform C++ application framework. Qt's primary feature
 is its rich set of widgets that provide standard GUI functionality.
 .
 The Qt Configuration program allows end users to configure the look and
 behavior of any Qt 4 application.

Package: qtads
Version: 2.1.3-1
Architecture: armhf
Maintainer: Daniel Schepler 
Installed-Size: 2450
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libsdl-mixer1.2, libsdl-sound1.2 (>= 1.0.1), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0)
Provides: tads2-interpreter, tads3-interpreter
Priority: optional
Section: games
Filename: pool/main/q/qtads/qtads_2.1.3-1_armhf.deb
Size: 800806
SHA256: a022baa182f7c1ed17f2c7f9c682c0a627c49d5267b636fb27c9b32999c1cec2
SHA1: 3b1002332ed9d19f509b23363a8812eb1096eaf0
MD5sum: ce01c31f26c2e571c47c6cd949a023e9
Description: Qt HTML interpreter for TADS
 This package provides an interpreter for TADS game files, using a
 Qt interface.  It can run either TADS 2 games (which have an
 extension of .gam) or TADS 3 games (which have an extension of .t3).
 See http://www.ifarchive.org/indexes/if-archiveXgamesXtads.html for a
 large collection of available TADS games.
 .
 This interpreter supports HTML-TADS multimedia features.  Other
 features include:
   * Full Unicode support for TADS 3 and HTML TADS games.
   * Full text justification.
   * Support for multiple user configurations, which you can switch
     between at runtime.
 .
 TADS, the Text Adventure Development System, is a system for writing
 and playing interactive fiction games.  This means that the primary
 method for interacting with the game is to type in commands, to which
 the game produces a response in prose -- similar to Infocom's games
 from the 1980's.

Package: qtcreator
Version: 2.5.0-2
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 42821
Depends: libqt4-help (>= 4:4.8.1), libqt4-sql-sqlite, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-declarative (>= 4:4.8.1), libqt4-designer (>= 4:4.8.1), libqt4-network (>= 4:4.8.1), libqt4-script (>= 4:4.8.1), libqt4-sql (>= 4:4.8.1), libqt4-svg (>= 4:4.8.1), libqt4-xml (>= 4:4.8.1), libqtcore4 (>= 4:4.8.1), libqtgui4 (>= 4:4.8.1), libqtwebkit4 (>= 2.1.0~2011week13-2), libstdc++6 (>= 4.4.0)
Recommends: gdb, make, qt4-demos, qt4-dev-tools, qt4-doc, qt4-qmlviewer, qtcreator-doc, xterm | x-terminal-emulator
Suggests: cmake, g++, git, kdelibs5-data, subversion
Homepage: http://qt.nokia.com/products/developer-tools/
Priority: optional
Section: devel
Filename: pool/main/q/qtcreator/qtcreator_2.5.0-2_armhf.deb
Size: 15425936
SHA256: 3450dcb6969fca3b92ab2e1f0a23a04ebf896537d16daa5d2e05c26a1811f153
SHA1: 5325b666c9c80006f8b967d4622f4841bad5be89
MD5sum: 2758f2b3178ae287683f23d9de6d7ff7
Description: lightweight integrated development environment (IDE) for Qt
 Qt Creator is a new, lightweight, cross-platform integrated development
 environment (IDE) designed to make development with the Qt application
 framework even faster and easier.
 .
 It includes:
  * An advanced C++ code editor
  * Integrated GUI layout and forms designer
  * Project and build management tools
  * Integrated, context-sensitive help system
  * Visual debugger
  * Rapid code navigation tools
  * Supports multiple platforms

Package: qtcreator-dbg
Source: qtcreator
Version: 2.5.0-2
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 189435
Depends: qtcreator (= 2.5.0-2)
Homepage: http://qt.nokia.com/products/developer-tools/
Priority: extra
Section: debug
Filename: pool/main/q/qtcreator/qtcreator-dbg_2.5.0-2_armhf.deb
Size: 184017434
SHA256: 4af90ebb3cadaa980490c3a85fe02988bc289a1c80611499e9fc4daa10f84774
SHA1: 3a0fe66715f2f6f373d9a50fba350aeab3894eac
MD5sum: c1b63c9c338e19009a17d3ec9a8d203f
Description: debugging symbols for Qt Creator IDE
 Qt Creator is a new, lightweight, cross-platform integrated development
 environment (IDE) designed to make development with the Qt application
 framework even faster and easier.
 .
 This package contains the debugging symbols for Qt Creator IDE.

Package: qtcreator-doc
Source: qtcreator
Version: 2.5.0-2
Installed-Size: 4051
Maintainer: Debian Qt/KDE Maintainers 
Architecture: all
Suggests: qt4-dev-tools, qt4-doc
Size: 4018340
SHA256: e655ed11c302c53ae6e7c63d87ee0b9ae43092b5c2d5450075552b005379ac3a
SHA1: 22b176e8f3c8353a55eb7ad1d3049c000d261b1d
MD5sum: d0b92bc7f7989793ebd4e95698a49dfc
Description: documentation for Qt Creator IDE
 Qt Creator is a new, lightweight, cross-platform integrated development
 environment (IDE) designed to make development with the Qt application
 framework even faster and easier.
 .
 This package contains the documentation for Qt Creator IDE.
Homepage: http://qt.nokia.com/products/developer-tools/
Tag: devel::doc, devel::ide, role::documentation
Section: doc
Priority: optional
Filename: pool/main/q/qtcreator/qtcreator-doc_2.5.0-2_all.deb

Package: qtcurve
Source: kde-style-qtcurve
Version: 1.8.12-2
Architecture: armhf
Maintainer: Boris Pek 
Installed-Size: 59
Depends: kde-style-qtcurve, kwin-style-qtcurve, gtk2-engines-qtcurve, qtcurve-i18n
Homepage: http://www.kde-look.org/content/show.php?content=40492
Priority: optional
Section: metapackages
Filename: pool/main/k/kde-style-qtcurve/qtcurve_1.8.12-2_armhf.deb
Size: 33392
SHA256: 1f8e470e03fc521ac0b319af0ab101253005eab980f66d71a8b59674a113c8eb
SHA1: b4e3fa9b549f3cfffde6e237a869977229e2cd84
MD5sum: cc8cdf1b0b970d13180f28c8ae671caf
Description: Unified window decoration for KDE and GTK+ (meta-package)
 This package installs all packages related with QtCurve:
  * kde-style-qtcurve
  * kwin-style-qtcurve
  * gtk2-engines-qtcurve
  * qtcurve-i18n
 .
 QtCurve is a set of widget styles for KDE and GTK+. It provides a consistent
 look between KDE and GTK+ applications, which is easy on the eyes and visually
 pleasing.

Package: qtcurve-i18n
Source: kde-style-qtcurve
Version: 1.8.12-2
Installed-Size: 616
Maintainer: Boris Pek 
Architecture: all
Size: 197238
SHA256: db1e7a18d42ba609d23b187d756785db516b8ad257548c036bf655fe6f31586e
SHA1: d1e1f2cb10bf7dfdee7168bc83eb4a5f24515ad8
MD5sum: 47409640701b27d4b10a83f696e508b2
Description: Translation files for QtCurve
 This package contains translation files for QtCurve.
 .
 QtCurve is a set of widget styles for KDE and GTK+. It provides a consistent
 look between KDE and GTK+ applications, which is easy on the eyes and visually
 pleasing.
Homepage: http://www.kde-look.org/content/show.php?content=40492
Section: kde
Priority: optional
Filename: pool/main/k/kde-style-qtcurve/qtcurve-i18n_1.8.12-2_all.deb

Package: qtdmm
Version: 0.8.13-3
Architecture: armhf
Maintainer: Steffen Moeller 
Installed-Size: 554
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.4.0), libx11-6, libxext6
Homepage: http://www.mtoussaint.de/qtdmm.html
Priority: optional
Section: science
Filename: pool/main/q/qtdmm/qtdmm_0.8.13-3_armhf.deb
Size: 200768
SHA256: f175949d50cb6fca1bf22006a65c88490512eb11eb86da00766dc54ca046d904
SHA1: 0a96b0c476f886a8857e9f35cb03da7a294eeeb2
MD5sum: 3a0e9c9e0652c38e0339a2bc2223af02
Description: GUI for digital multimeter
 A digital multimeter (DMM) attached to the serial port
 is presented under a Qt surface. The tool plots values
 over time, has a simple scheduler for delayed starts
 and logging after a threshold was reached. It supports
 spawning external applications, too.

Package: qterm
Version: 1:0.5.12-1.1
Architecture: armhf
Maintainer: LI Daobing 
Installed-Size: 2072
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta2), libqtgui4 (>= 4:4.5.3), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libx11-6
Homepage: http://qterm.sourceforge.net/
Priority: optional
Section: x11
Filename: pool/main/q/qterm/qterm_0.5.12-1.1_armhf.deb
Size: 1028568
SHA256: a6ed7f5237b0ea45a7852a9d4fda08fa3b2882fd62d341cd091a5b7a0d3b8252
SHA1: 02d8f6114b88f1580f4f2a430cfc573c705d02fb
MD5sum: f018e8c5c52bc6b40dec3f26dd5dbf97
Description: BBS client for X Window System written in Qt
 QTerm is a BBS client for X Window System. It supports telnet, ssh1 and ssh2
 protocols. It also supports zmodem, URL detect, mouse action.
 .
 It also supports detecting location from ip address, but you need do some
 extra work, see README.Debian.

Package: qtgstreamer-dbg
Source: qt-gstreamer
Version: 0.10.2-2
Architecture: armhf
Maintainer: Maintainers of GStreamer packages 
Installed-Size: 3701
Depends: libqtglib-2.0-0 (= 0.10.2-2), libqtgstreamer-0.10-0 (= 0.10.2-2), libqtgstreamerui-0.10-0 (= 0.10.2-2), libqtgstreamerutils-0.10-0 (= 0.10.2-2), qtgstreamer-plugins (= 0.10.2-2)
Homepage: http://gstreamer.freedesktop.org
Priority: extra
Section: debug
Filename: pool/main/q/qt-gstreamer/qtgstreamer-dbg_0.10.2-2_armhf.deb
Size: 3508860
SHA256: 576eb9ce995a9eb8714c2703bac7f0d93b27e7a724f49cedd17e5aa4005910c7
SHA1: 63ba344e2cdb4412d30cee4f8c6239f570413694
MD5sum: bbabe1ef41a3c4e321e654e02e8a7517
Description: Debug symbols for QtGStreamer
 QtGStreamer provides C++ bindings for GStreamer with a Qt-style API,
 plus some helper classes for integrating GStreamer better in Qt applications.
 .
 This package contains the debug symbols for the various binaries shipped
 with QtGStreamer.

Package: qtgstreamer-declarative
Source: qt-gstreamer
Version: 0.10.2-2
Architecture: armhf
Maintainer: Maintainers of GStreamer packages 
Installed-Size: 71
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-declarative (>= 4:4.8.1), libqtcore4 (>= 4:4.8.1), libqtglib-2.0-0 (>= 0.10.1), libqtgstreamer-0.10-0 (>= 0.10.1), libqtgstreamerui-0.10-0 (>= 0.10.2), libqtgui4 (>= 4:4.8.1), libstdc++6 (>= 4.4.0)
Multi-Arch: same
Homepage: http://gstreamer.freedesktop.org
Priority: optional
Section: libs
Filename: pool/main/q/qt-gstreamer/qtgstreamer-declarative_0.10.2-2_armhf.deb
Size: 11416
SHA256: 4c314c813fc81eca7500f9fe4ac8889e2989db4ec5a7415bf2bf2b9f3ca24d31
SHA1: 19b6583ab163e534e76d4859b671a0c232a0d6a3
MD5sum: 035cfd90b8d9e0092fe67ebb11f9c9d5
Description: QML plugins from QtGStreamer
 This package contains QML plugins that are shipped together with the
 QtGStreamer bindings library. These plugins provide QML elements that
 enable better integration of GStreamer in QML-based GUI applications.

Package: qtgstreamer-doc
Source: qt-gstreamer
Version: 0.10.2-2
Installed-Size: 8411
Maintainer: Maintainers of GStreamer packages 
Architecture: all
Suggests: libqtgstreamer-dev
Size: 2604982
SHA256: e52d9b0364ecf16af2b9b374153af2c6b63af0cead5ed290460b8d9c45cfc84c
SHA1: 58eca3a40c5174fe2945a9f5c483f9be5b2e914a
MD5sum: bc043beb67f93882c2632cd463b415c8
Description: QtGStreamer API documentation
 QtGStreamer provides C++ bindings for GStreamer with a Qt-style API,
 plus some helper classes for integrating GStreamer better in Qt applications.
 .
 This package contains API documentation for the QtGStreamer library
 in html format, generated from the sources with doxygen.
Homepage: http://gstreamer.freedesktop.org
Tag: devel::doc, role::documentation
Section: doc
Priority: optional
Filename: pool/main/q/qt-gstreamer/qtgstreamer-doc_0.10.2-2_all.deb

Package: qtgstreamer-plugins
Source: qt-gstreamer
Version: 0.10.2-2
Architecture: armhf
Maintainer: Maintainers of GStreamer packages 
Installed-Size: 125
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.14.0), libgstreamer-plugins-base0.10-0 (>= 0.10.33), libgstreamer0.10-0 (>= 0.10.33), libqt4-opengl (>= 4:4.8.1), libqtcore4 (>= 4:4.8.1), libqtgui4 (>= 4:4.8.1), libstdc++6 (>= 4.3.0)
Provides: gstreamer0.10-videosink
Multi-Arch: same
Homepage: http://gstreamer.freedesktop.org
Priority: optional
Section: libs
Filename: pool/main/q/qt-gstreamer/qtgstreamer-plugins_0.10.2-2_armhf.deb
Size: 38386
SHA256: aaa8bba0a65b1e59b67230f9f567f5821824e55efd02cce86ed919b69fa817d1
SHA1: 7a03acbbb9852238375975537aa6742ffe67f106
MD5sum: 989579d2499bdc0ad308342d4779cc21
Description: GStreamer plugins from QtGStreamer
 This package contains GStreamer plugins that are shipped together with
 the QtGStreamer bindings library. These plugins provide GStreamer elements
 that enable better integration of GStreamer in Qt applications.
 .
 Currently, this package contains the qtvideosink, qtglvideosink and
 qwidgetvideosink elements.
Gstreamer-Elements: qtglvideosink, qtvideosink, qwidgetvideosink
Gstreamer-Version: 0.10

Package: qthid-fcd-controller
Version: 3.1-5
Architecture: armhf
Maintainer: A. Maitland Bottoms 
Installed-Size: 448
Depends: udev, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libusb-1.0-0 (>= 2:1.0.8)
Recommends: gr-fcd, gnuradio
Homepage: http://www.oz9aec.net/index.php/funcube-dongle/qthid-fcd-controller
Priority: optional
Section: science
Filename: pool/main/q/qthid-fcd-controller/qthid-fcd-controller_3.1-5_armhf.deb
Size: 284250
SHA256: 9613fd8bb90616cb79f0f95c600aff0bce778a908ec706da67f2db80dd0ef91d
SHA1: 20c405889863ad0a14c37e678729dc80cbc0f3bd
MD5sum: 85e0b1551c472151c5ba89be677ac1a0
Description: Funcube Dongle controller
 Controller application for the Funcube Dongle software defined radio receiver,
 built using the HID API library and the Qt GUI toolkit.
 .
 The Funcube Dongle is a small software defined radio receiver for
 64 MHz - 1.7 GHz. It fits into a computer's USB port and uses USB audio
 to transfer complex I/Q data to SDR applications. The control API for
 setting frequency, gain, filter, etc. uses the USB HID standard.
 .
 It provides full support for the Funcube Dongle API::
  * Change frequency and apply frequency correction.
  * Change RF gains and filters.
  * Change IF gains and filters.
  * LNA enhancement, bias current, etc.
  * I/Q correction.
  * Auto-repeat tuning buttons (click and hold button to scan).
  * Variable frequency step.
  * Upgrade and verify the firmware.

Package: qtikz
Source: ktikz
Version: 0.10-3
Architecture: armhf
Maintainer: Florian Hackenberger 
Installed-Size: 1249
Depends: pgf, preview-latex-style, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpoppler-qt4-3 (>= 0.16), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0)
Recommends: poppler-utils, qt4-dev-tools
Homepage: http://www.hackenberger.at/ktikz-editor-for-the-tikz-language
Priority: optional
Section: graphics
Filename: pool/main/k/ktikz/qtikz_0.10-3_armhf.deb
Size: 742854
SHA256: 747792125188ddf3069ff192019d9b2e1a610ad5860a679cfe26d173a8e1e855
SHA1: fa4cad4b4dc7ad3e7678139f5830fd1f76164703
MD5sum: 74c8f48b4054baafaf27a145ab17abea
Description: editor for the TikZ drawing language - Qt version
 QtikZ is a small application to assist in the creation of diagrams and
 drawings using the TikZ macros from the LaTeX package "pgf". It consists of
 a text editor pane in which the TikZ code for the drawing is edited and a
 preview pane showing the drawing as rendered by LaTeX. The preview pane can be
 updated in real-time. Common drawing tools, options and styles are available
 from the menus to assist the coding process.
 .
 This package contains the Qt version of the program.
 .
 TikZ is a user-friendly syntax layer for the PGF (portable graphics format)
 TeX macro package. Pictures can be created within a LaTeX document and
 included in the output using the most important TeX backend drivers including
 pdftex and dvips.

Package: qtiplot
Version: 0.9.8.8-5
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 9265
Depends: libalglib-2.6.0 (>= 2.6.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libgl2ps0, libglu1-mesa | libglu1, libgsl0ldbl (>= 1.9), libmuparser2 (>= 2.1.0), liborigin2-1, libpng12-0 (>= 1.2.13-4), libpython2.7 (>= 2.7), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtassistantclient4 (>= 4.6.3), libqtcore4 (>= 4:4.8.0), libqtexengine1 (>= 0.3), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), libtamuanova-0.2 (>= 0.2), zlib1g (>= 1:1.1.4), python-qt4, python-sip (>= 4.9-1), python (>= 2.6.6-7~)
Recommends: qt-assistant-compat, qtiplot-doc
Homepage: http://soft.proindependent.com/qtiplot.html
Priority: optional
Section: math
Filename: pool/main/q/qtiplot/qtiplot_0.9.8.8-5_armhf.deb
Size: 3449608
SHA256: ed3b87dca705afdd7b616185b3c675af7fa4bf7e07c1abed07d87f71d0024b19
SHA1: 725e14023c1c517ccd8ec6a170be206395ae2f8f
MD5sum: ccb5a72ff1c96e65315c86dae9d90ebb
Description: data analysis and scientific plotting
 Qtiplot is a fully fledged plotting software similar to the OriginLab
 Origin software (See http://www.originlab.com for more information
 about Origin).
 .
 It can make two and three dimensional plots of publication quality,
 both from datasets and functions. It can do non-linear fitting and
 multi-peak fitting.
 .
 Some Features:
  * Cross platform: works natively on Windows, Mac OS X and Linux/Unix
    systems
  * Fully Python scriptable
  * OpenGL based 3D plotting
  * Publication quality plots and easy export to various image formats
    (EMF, EPS, PS, PDF, SVG, BMP, JPG, PNG, TIFF, etc ...)
  * Easy integration with LaTeX typesetting system
  * Powerful and versatile spreadsheets with column-logic calculations
    and easy import/export of multiple files
  * One-click access to extensive built-in data analysis routines
  * Advanced statistical analysis: Student's t-Test, ANOVA, chi-square
    test for variance, normality test (Shapiro-Wilk)
  * Linear and nonlinear curve fitting with weighting and estimation
    of statistical errors of the fit-parameters
  * Multi-peak fitting
  * Image analysis tools
  * Templates support: all settings for plots, tables and matrices can
    be saved and restored later on for a fast editing process
  * Project files based on folders, a powerful project explorer with
    built-in drag and drop and searching facilities
  * Full import of Excel workbooks and Open Document Format
    spreadsheets, dBase, SQLite and Microsoft Access databases

Package: qtiplot-doc
Source: qtiplot
Version: 0.9.8.8-5
Installed-Size: 42236
Maintainer: Debian Science Team 
Architecture: all
Depends: libjs-jquery
Size: 17663266
SHA256: 68c9d1d330eb8a98374b99e115f87805e60bf57460fc5e2295e0e8e315f09340
SHA1: 43477c5d6f8ddd7eafcd88dcf9ab0dce57f29273
MD5sum: 2899dabd5f128c08d39912e34fdf02d5
Description: data analysis and scientific plotting (documentation)
 This package is part of QtiPlot.
 .
 It contains QtiPlot manual and API documentation.
 .
 See the 'qtiplot' package for more information.
Homepage: http://soft.proindependent.com/qtiplot.html
Tag: devel::doc, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/q/qtiplot/qtiplot-doc_0.9.8.8-5_all.deb

Package: qtkeychain-dev
Source: qtkeychain
Version: 0.1.0-1ubuntu1
Architecture: armhf
Maintainer: Kubuntu Developers 
Installed-Size: 59
Depends: libqtkeychain0 (= 0.1.0-1ubuntu1)
Multi-Arch: same
Homepage: https://github.com/frankosterfeld/qtkeychain
Priority: extra
Section: libdevel
Filename: pool/main/q/qtkeychain/qtkeychain-dev_0.1.0-1ubuntu1_armhf.deb
Size: 5754
SHA256: 0df4680c1dbc47c18b6721b367207da91d09cb5634ba7e3bee0db70e8c8c611e
SHA1: 9bb5f21d811b5e66647dadb922bab3095322db8a
MD5sum: d1d4804f598a7609faa29ac685e5e5c9
Description: Qt API to store passwords and other secret data securely
 It uses KWallet (via D-Bus) if available.
 Support for the GNOME Keyring via freedesktop.org's Secret Storage
 D-Bus specification is planned but not yet implemented.
Original-Maintainer: ownCloud for Debian maintainers 

Package: qtm
Version: 1.3.6-1
Architecture: armhf
Maintainer: Patryk Cisek 
Installed-Size: 946
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: http://qtm.blogistan.co.uk
Priority: extra
Section: web
Filename: pool/main/q/qtm/qtm_1.3.6-1_armhf.deb
Size: 366570
SHA256: 5a0046396e95e662dfb550bcc23c31e9e094b0d0f0ead937efdc010f22ad01a7
SHA1: 406151bea2ba6b27e39cb260c5fe025d3aefc519
MD5sum: ba5f7258eff54e6db419fbb51f5f2ef6
Description: Web-log interface program
 QTM is a desktop application for interacting with blogging and other content
 management systems. It is presently compatible with Wordpress, Movable Type,
 Drupal and Textpattern (but not with Blogger).

Package: qtmobility-dbg
Source: qtmobility
Version: 1.2.0-3
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 77321
Depends: libqtconnectivity1 (= 1.2.0-3), libqtcontacts1 (= 1.2.0-3), libqtfeedback1 (= 1.2.0-3), libqtgallery1 (= 1.2.0-3), libqtlocation1 (= 1.2.0-3), libqtmessaging1 (= 1.2.0-3), libqtmultimediakit1 (= 1.2.0-3), libqtorganizer1 (= 1.2.0-3), libqtpublishsubscribe1 (= 1.2.0-3), libqtsensors1 (= 1.2.0-3), libqtserviceframework1 (= 1.2.0-3), libqtsysteminfo1 (= 1.2.0-3), libqtversit1 (= 1.2.0-3), libqtversitorganizer1 (= 1.2.0-3), servicefw
Homepage: http://labs.trolltech.com/page/Projects/QtMobility
Priority: extra
Section: debug
Filename: pool/main/q/qtmobility/qtmobility-dbg_1.2.0-3_armhf.deb
Size: 65512532
SHA256: 510f87f75da64b01d1c4a19c1b21f6ca2e528472d56f8f8dad3a1b046078c914
SHA1: 3940fcad1be5814111961c342adfc89209247d5d
MD5sum: 7868a87bdfb548c201f6e0f4f290e2fc
Description: APIs for mobile device functionality - debugging symbols
 Qt Mobility delivers a set of new APIs for mobile device functionality.
 .
 This package contains the debugging symbols for the Qt Mobility libraries.

Package: qtmobility-dev
Source: qtmobility
Version: 1.2.0-3
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 3745
Depends: libqtconnectivity1 (= 1.2.0-3), libqtcontacts1 (= 1.2.0-3), libqtfeedback1 (= 1.2.0-3), libqtgallery1 (= 1.2.0-3), libqtlocation1 (= 1.2.0-3), libqtmessaging1 (= 1.2.0-3), libqtmultimediakit1 (= 1.2.0-3), libqtorganizer1 (= 1.2.0-3), libqtpublishsubscribe1 (= 1.2.0-3), libqtsensors1 (= 1.2.0-3), libqtserviceframework1 (= 1.2.0-3), libqtsysteminfo1 (= 1.2.0-3), libqtversit1 (= 1.2.0-3), libqtversitorganizer1 (= 1.2.0-3), servicefw, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-sql (>= 4:4.8.1), libqtcore4 (>= 4:4.8.1), libqtgui4 (>= 4:4.8.1), libstdc++6 (>= 4.4.0)
Conflicts: icheck
Homepage: http://labs.trolltech.com/page/Projects/QtMobility
Priority: optional
Section: libdevel
Filename: pool/main/q/qtmobility/qtmobility-dev_1.2.0-3_armhf.deb
Size: 733680
SHA256: 20d5b3dd6b6a77b7014e64a2b668d334784cf9a177d4aa88aa9527bfea90e03d
SHA1: ae52e95bccf9a5eadfb3f2c3e1e0da954a42e967
MD5sum: 39edca8f8b4e598ae4db1a757e808a80
Description: APIs for mobile device functionality - development files
 Qt Mobility delivers a set of new APIs for mobile device functionality.
 .
 This package contains the development files needed to build Qt applications
 using Qt Mobility libraries.

Package: qtmobility-examples
Source: qtmobility
Version: 1.2.0-3
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 6274
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libqt4-declarative (>= 4:4.8.1), libqt4-network (>= 4:4.8.1), libqt4-opengl (>= 4:4.8.1), libqt4-script (>= 4:4.8.1), libqt4-sql (>= 4:4.8.1), libqt4-svg (>= 4:4.8.1), libqt4-xml (>= 4:4.8.1), libqtconnectivity1, libqtcontacts1, libqtcore4 (>= 4:4.8.1), libqtfeedback1, libqtgallery1, libqtgui4 (>= 4:4.8.1), libqtlocation1, libqtmessaging1, libqtmultimediakit1, libqtorganizer1, libqtpublishsubscribe1, libqtsensors1, libqtserviceframework1, libqtsysteminfo1, libqtversit1, libqtversitorganizer1, libstdc++6 (>= 4.4.0)
Homepage: http://labs.trolltech.com/page/Projects/QtMobility
Priority: optional
Section: x11
Filename: pool/main/q/qtmobility/qtmobility-examples_1.2.0-3_armhf.deb
Size: 2211832
SHA256: 43b3aeca21b40591c5d731b690eabbba092c4f6f5c01652a8073078990f45b90
SHA1: cdb8e3fb8ddbef6884cdc8f0ab1929445a8bb656
MD5sum: 1062db5aa8164749a74ec98b709f909a
Description: Qt Mobility examples
 Qt Mobility delivers a set of new APIs for mobile device functionality.
 .
 This package contains Qt Mobility examples.

Package: qtmobility-l10n
Source: qtmobility
Version: 1.2.0-3
Installed-Size: 58
Maintainer: Debian Qt/KDE Maintainers 
Architecture: all
Size: 11604
SHA256: c6f5d98f09f2dbfc9c7bded7bca68900f10d648abeb89f341909b1a383837310
SHA1: e311a53205342e9cae7621cbd1c9fae28073f398
MD5sum: e78cb31c5f2bbb694f571cf37db7c2e9
Description: APIs for mobile device functionality - localization files
 Qt Mobility delivers a set of new APIs for mobile device functionality.
 .
 This package contains Qt Mobility translations.
Homepage: http://labs.trolltech.com/page/Projects/QtMobility
Tag: role::app-data
Section: localization
Priority: optional
Filename: pool/main/q/qtmobility/qtmobility-l10n_1.2.0-3_all.deb

Package: qtoctave
Version: 0.10.1-3
Architecture: armhf
Maintainer: Debian Octave Group 
Installed-Size: 5647
Depends: octave, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0)
Recommends: octave-htmldoc, octave-info
Homepage: http://qtoctave.wordpress.com/about/
Priority: optional
Section: math
Filename: pool/main/q/qtoctave/qtoctave_0.10.1-3_armhf.deb
Size: 3947152
SHA256: 47808de7b29285bda1bd18d4c87d484533fcbc36798edf4f8bf76aabdfd12a5c
SHA1: e382260576f4c285f937693f615d5513f9f6e2da
MD5sum: f55b1d7d8ada27a020015c57014e876f
Description: Qt front-end to Octave
 Besides offering an attractive front-end to GNU Octave, an
 environment for numerical computation highly compatible with MATLAB,
 QtOctave currently also features matrix data entry and display and
 some GUI shortcuts to frequently used Octave functions. It also
 offers access in place to Octave's documentation with octave-htmldoc
 or octave-info.

Package: qtpfsgui
Source: luminance-hdr
Version: 2.2.1-3
Installed-Size: 43
Maintainer: Debian PhotoTools Maintainers 
Architecture: all
Depends: luminance-hdr
Size: 14652
SHA256: 8968a8e27a9e66628ba556d7a3e08817873ef4f46bbc2e041ab219eeb7240866
SHA1: 8d620e5336decf8607501e28beaf3130084467d9
MD5sum: e8681001152450f721f7bda326746d2c
Description: luminance-hdr transitional package
 Empty package to facilitate upgrades, can be safely removed.
Homepage: http://qtpfsgui.sourceforge.net/
Tag: interface::x11, role::program, uitoolkit::qt, x11::application
Section: oldlibs
Priority: extra
Filename: pool/main/l/luminance-hdr/qtpfsgui_2.2.1-3_all.deb

Package: qtqr
Source: qr-tools
Version: 1.2-2
Installed-Size: 97
Maintainer: Koichi Akabe 
Architecture: all
Depends: python, python-qrtools, python-qt4
Size: 30990
SHA256: 6dbf7e62dff9d670f130426fde540706996cc7abfa387e48a913d546d710d1a5
SHA1: b3996870f576147d6bb183455ae33413e230c7e4
MD5sum: 0936f1afde352d737fa2b9f09beb4192
Description: Qt frontend for QR code generator and decoder
 A Qt based software that let's you generate QR codes easily, scan
 an image file for QR codes and decode them or use your webcam to
 scan a printed one.
Homepage: https://launchpad.net/qr-tools
Section: python
Priority: optional
Filename: pool/main/q/qr-tools/qtqr_1.2-2_all.deb

Package: qtractor
Version: 0.5.5-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 3801
Depends: jackd, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblilv-0-0 (>= 0.14.2~dfsg0), liblo7 (>= 0.26~repack), libmad0 (>= 0.15.1b-3), libogg0 (>= 1.0rc3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), librubberband2, libsamplerate0 (>= 0.1.7), libserd-0-0 (>= 0~svn155), libsndfile1 (>= 1.0.20), libsord-0-0 (>= 0.4.2), libsratom-0-0, libstdc++6 (>= 4.4.0), libsuil-0-0 (>= 0.4.2), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libx11-6, zlib1g (>= 1:1.1.4)
Provides: lv2-host
Homepage: http://qtractor.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/q/qtractor/qtractor_0.5.5-1_armhf.deb
Size: 1252184
SHA256: 8c2a9341312c992ec5e32fcd3c5e20d711576a63627cc02740aa7479c056708a
SHA1: 8d6b4a46eb72605d3e60775843965771f5ed10a7
MD5sum: 958cf015d48febf31b571c0628d50bfe
Description: MIDI/Audio multi-track sequencer application
 Qtractor is a MIDI/Audio multi-track sequencer application written
 in C++ around the Qt4 toolkit using Qt Designer.
 .
 The Jack Audio Connection Kit (JACK) for audio, and the Advanced Linux
 Sound Architecture (ALSA) for MIDI, are the main infrastructures to
 evolve as a fairly-featured Linux Desktop Audio Workstation GUI, especially
 dedicated to the personal home-studio.

Package: qtractor-dbg
Source: qtractor
Version: 0.5.5-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 28214
Depends: qtractor (= 0.5.5-1)
Homepage: http://qtractor.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/q/qtractor/qtractor-dbg_0.5.5-1_armhf.deb
Size: 11872760
SHA256: 1356a5de0fa8d19317dd40f588648adfd3a4ecd73e4337f551a411be3f316511
SHA1: cbc373876cdb6a095ccd544ea0bdfa1289836cb2
MD5sum: 72c699bf64b1bfba7e25de398e14bfa2
Description: Debugging symbols for Qtractor
 Qtractor is a MIDI/Audio multi-track sequencer application written
 in C++ around the Qt4 toolkit using Qt Designer.
 .
 This package contains the debugging symbols.

Package: qtscript-tools
Source: qtscriptgenerator
Version: 0.2.0-1
Architecture: armhf
Maintainer: Modestas Vainius 
Installed-Size: 925
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-script (>= 4:4.8.0), libqt4-scripttools (>= 4:4.8.0), libqt4-xml (>= 4:4.8.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0)
Recommends: libqtscript4-qtbindings
Suggests: libqtscript4-doc
Homepage: http://code.google.com/p/qtscriptgenerator/
Priority: optional
Section: devel
Filename: pool/main/q/qtscriptgenerator/qtscript-tools_0.2.0-1_armhf.deb
Size: 418478
SHA256: 853669b6f96a911949ee8e868639050118fbc9d920bddb56c09722eabc8bd55c
SHA1: ef9343a10263c608d99b792cf7da8677a797e3fb
MD5sum: f5775bfeb5cf15d2c50cca99e39982cf
Description: tools for QtScript developers
 This package contains QtScript Generator and a simple helper program which
 can be used to launch scripts from the command line.
 .
 QtScript Generator is a tool that generates Qt bindings for QtScript. With
 the generated bindings you get access to substantial portions of the Qt API
 from within QtScript. The binary has been renamed to 'qs_generator' in this
 package.
 .
 qs_eval is a simple script interpreter / launcher that imports all the
 bindings. You can use it to run examples found in the libqtscript4-doc
 package.

Package: qtscrob
Version: 0.10-4
Architecture: armhf
Maintainer: Jon Dowland 
Installed-Size: 333
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libmtp9 (>= 1.1.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libusb-1.0-0 (>= 2:1.0.8), libx11-6, libxext6
Homepage: http://qtscrob.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/q/qtscrob/qtscrob_0.10-4_armhf.deb
Size: 159770
SHA256: 57b52fb5bcf5b1ccb227232a36e4d9fa14ae181f84c2e37135f2153034c8c0f5
SHA1: 5a44eec8b084c2b592b20b967cac6c869791b607
MD5sum: 30f145e788c9c9aaf699b187964e9f27
Description: audioscrobbler submitter for rockbox-format .scrobbler.log files
 QTScrobbler is a GUI tool which reads .scrobbler.log files produced by
 "Rockbox" (the open source audio player firmware) and submits them to
 the audioscrobbler service "last.fm".
 .
 This is the graphical version of the tool. For a CLI version, see the
 'scrobble-cli' package.

Package: qtsmbstatus-client
Source: qtsmbstatus
Version: 2.2.1-2
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 336
Depends: libqt4-sql, qtsmbstatus-language, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0)
Homepage: http://qtsmbstatus.free.fr/
Priority: optional
Section: net
Filename: pool/main/q/qtsmbstatus/qtsmbstatus-client_2.2.1-2_armhf.deb
Size: 139060
SHA256: 7626812c09d828234d7f6df0b378dfa57fe8eda07452ee775ea09833577dc5ec
SHA1: a4fd9bac995bb2951d878413e5bf811df1645932
MD5sum: 140ea28475caac65777960a759fe2c06
Description: GUI (graphical user interface) for smbstatus (client)
 QtSmbstatus is a smbstatus graphical interface (GUI).
 It is meant to provide the possibility of administering remote machines.
 QtSmbstatus was designed as a client/server system secured with SSL.
 .
 This package provides the qtsmbtatus client application.

Package: qtsmbstatus-language
Source: qtsmbstatus
Version: 2.2.1-2
Installed-Size: 144
Maintainer: Debian QA Group 
Architecture: all
Size: 33120
SHA256: 21687f6d8a90ebb738241c5019cf04dbf117f38e05fef1e6aee46d9d99a3a089
SHA1: 2dd5839c0fd537f780d16a04ff30619b15be5899
MD5sum: 4074d8d56e344215c0b75a9c494447e5
Description: QtSmbstatus languages package
 QtSmbstatus is a smbstatus graphical interface (GUI).
 It is meant to provide the possibility of administering remote machines.
 QtSmbstatus was designed as a client/server system secured with SSL.
 .
 This package will install additional languages for qtsmbstatus-client
 and qtsmbstatus-light packages.
Homepage: http://qtsmbstatus.free.fr/
Tag: role::app-data
Section: net
Priority: optional
Filename: pool/main/q/qtsmbstatus/qtsmbstatus-language_2.2.1-2_all.deb

Package: qtsmbstatus-light
Source: qtsmbstatus
Version: 2.2.1-2
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 314
Depends: libqt4-sql, qtsmbstatus-language, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0)
Homepage: http://qtsmbstatus.free.fr/
Priority: optional
Section: net
Filename: pool/main/q/qtsmbstatus/qtsmbstatus-light_2.2.1-2_armhf.deb
Size: 127686
SHA256: 2e055048ead1d3510ad1a180355e480d54cda8726d51608119558fe9146783a5
SHA1: 7d614c896cf545fb46b0a73051c120a3dc28fab2
MD5sum: d17190d0bc45f5de5e97adcd8d958acd
Description: GUI (graphical user interface) for smbstatus
 QtSmbstatus is a smbstatus graphical interface (GUI).
 It is meant to provide the possibility of administering remote machines.
 QtSmbstatus was designed as a client/server system secured with SSL.
 .
 This package provides the lightweight client-side application which
 works only locally and doesn't require qtsmbstatus-server.

Package: qtsmbstatus-server
Source: qtsmbstatus
Version: 2.2.1-2
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 154
Depends: libqt4-sql, samba, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpam0g (>= 0.99.7.1), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0)
Homepage: http://qtsmbstatus.free.fr/
Priority: optional
Section: net
Filename: pool/main/q/qtsmbstatus/qtsmbstatus-server_2.2.1-2_armhf.deb
Size: 48520
SHA256: 4b81673a5257c62a2498566c48694b3d7296be9747295e633d6cc80adbd01bec
SHA1: e660a327b7ebbb0f617052ddcfe521476de019cd
MD5sum: 2516e692162a5f33f12a6ffdc16e049e
Description: GUI (graphical user interface) for smbstatus (server)
 QtSmbstatus is a smbstatus graphical interface (GUI).
 It is meant to provide the possibility of administering remote machines.
 QtSmbstatus was designed as a client/server system secured with SSL.
 .
 This package provides the server-side application.

Package: qtstalker
Version: 0.32-3.3
Architecture: armhf
Maintainer: Marco van Zwetselaar 
Installed-Size: 3999
Depends: libc6 (>= 2.13-28), libdb5.1, libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.4.0), libx11-6, libxext6
Recommends: qtstalker-doc (= 0.32-3.3)
Suggests: libmysqlclient15off
Priority: extra
Section: misc
Filename: pool/main/q/qtstalker/qtstalker_0.32-3.3_armhf.deb
Size: 1335760
SHA256: d5233aa3ef7f75cc2bb65f33551495d02f9e745132478ede44d0e3af7d477505
SHA1: 7d890c2e8d4084c612907076333d93fd98f27632
MD5sum: cb454aa0aadbcc6c05297afdc1627a30
Description: commodity and stock market charting and technical analysis
 Qtstalker is a user friendly Technical Analysis package for GNU/Linux.  It
 is similar to commercial software such as Metastock, Supercharts and
 Tradestation.
 .
 Qtstalker's features include:
  - A point-and-click graphical user interface.
  - Chart types include line, bar, candlestick, point and figure, swing.
  - Chart objects: trendlines, buy/sell arrows, fibonacci retracement, text.
  - Indicator plugins include MACD, MAs, Bollinger Bands, RSI and many more.
  - Custom indicators can be composed without programming.
  - Quote plugins download data from online sources like Yahoo, CME, NYBOT.
  - Data import plugins for plain-text CSV files and MySQL databases.
  - Intra-day, daily, weekly and monthly chart compression.
  - Can manage stocks, futures, indices, ratios and spreads.
  - Back testing function allowing indicator tests using actual chart data.
  - Scanner to filter symbols based on a user defined formula.
  - A basic portfolio manager.
 .
 This package contains the main program and plugins.  Documentation is in
 the qtstalker-doc package.
 .
 Qtstalker is 100% free software, distributed under the terms of the
 GNU GPL.  An active development community is continually adding new
 features.  We appreciate your input towards creating a world-class
 GNU/Linux TA package.  Please visit Qtstalker on Sourceforge.
 .
 Homepage: http://qtstalker.sourceforge.net/

Package: qtstalker-doc
Source: qtstalker
Version: 0.32-3.3
Installed-Size: 1512
Maintainer: Marco van Zwetselaar 
Architecture: all
Recommends: lynx | www-browser
Suggests: qtstalker
Size: 793408
SHA256: 9b905d322c30eb67e8a87ab9a408e9d810f3660b5ffc36c3d56f34dff1774803
SHA1: a08346769cec4d4705425a0e8450a7ed2bfe4156
MD5sum: 4aa4d92bf15c1d2d078b843a81f9753b
Description: documentation for Qtstalker
 Qtstalker is a user friendly Technical Analysis package for GNU/Linux.
 .
 This package contains the HTML documentation.
Tag: field::finance, made-of::html, role::documentation
Section: doc
Priority: extra
Filename: pool/main/q/qtstalker/qtstalker-doc_0.32-3.3_all.deb

Package: quadrapassel
Source: gnome-games
Version: 1:3.4.2-3
Architecture: armhf
Maintainer: Josselin Mouette 
Installed-Size: 1467
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcanberra-gtk3-0 (>= 0.25), libclutter-1.0-0 (>= 1.10.0), libclutter-gtk-1.0-0 (>= 0.91.8), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.3.16), libpango1.0-0 (>= 1.14.0), dconf-gsettings-backend | gsettings-backend, gnome-games-data (= 1:3.4.2-3)
Breaks: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2)
Replaces: gnome-games (<< 1:3.0.2), gnome-games-data (<< 1:3.0.2)
Homepage: http://live.gnome.org/GnomeGames
Priority: optional
Section: games
Filename: pool/main/g/gnome-games/quadrapassel_3.4.2-3_armhf.deb
Size: 735718
SHA256: 42de63cb5c04a965b3bff25c9d35b1aef3aee4ed2f97c0b745fd4357f756975a
SHA1: 9b3cb5da57701620ca63c2cd87bcb5e7ee4810bc
MD5sum: 146a5e78e93396dff2850e8eed4ce973
Description: popular Russian game, similar to Tetris
 Quadrapassel comes from the classic falling-block game, Tetris. The
 goal of the game is to create complete horizontal lines of blocks,
 which will disappear.

Package: quagga
Version: 0.99.22.4-1+wheezy3+deb7u3
Architecture: armhf
Maintainer: Christian Hammers 
Installed-Size: 4628
Pre-Depends: adduser
Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libpam0g (>= 0.99.7.1), libreadline6 (>= 6.0), libtinfo5, logrotate (>= 3.2-11), iproute, debconf (>= 0.5) | debconf-2.0
Suggests: snmpd
Conflicts: zebra, zebra-pj
Replaces: zebra, zebra-pj
Homepage: http://www.quagga.net/
Priority: optional
Section: net
Filename: pool/main/q/quagga/quagga_0.99.22.4-1+wheezy3+deb7u3_armhf.deb
Size: 1627030
SHA256: 680f6ddd1455205aaa1ed20f571d1bd9f81ca957d1db39b574ebdce32fa125a2
SHA1: eb6207dd37256d187f9488952e44bf9ca3b3d607
MD5sum: ffeb1e81f108955976b8e7dd568c4ab4
Description: BGP/OSPF/RIP routing daemon
 GNU Quagga is free software which manages TCP/IP based routing protocols.
 It supports BGP4, BGP4+, OSPFv2, OSPFv3, IS-IS, RIPv1, RIPv2, and RIPng as
 well as the IPv6 versions of these.
 .
 As the precessor Zebra has been considered orphaned, the Quagga project
 has been formed by members of the zebra mailing list and the former
 zebra-pj project to continue developing.
 .
 Quagga uses threading if the kernel supports it, but can also run on
 kernels that do not support threading. Each protocol has its own daemon.
 .
 It is more than a routed replacement, it can be used as a Route Server and
 a Route Reflector.

Package: quagga-dbg
Source: quagga
Version: 0.99.22.4-1+wheezy3+deb7u3
Architecture: armhf
Maintainer: Christian Hammers 
Installed-Size: 4672
Depends: quagga (= 0.99.22.4-1+wheezy3+deb7u3)
Homepage: http://www.quagga.net/
Priority: extra
Section: debug
Filename: pool/main/q/quagga/quagga-dbg_0.99.22.4-1+wheezy3+deb7u3_armhf.deb
Size: 1851872
SHA256: 1805f23f352d9b4eab100217e6ffdda1b9d25060cc6bfc43ee151dde11e4ec93
SHA1: e18a90c9356cd94082fe879b83d4ffd644effccb
MD5sum: f59b49f60ff2a5b86c9f992742e23d04
Description: BGP/OSPF/RIP routing daemon (debug symbols)
 This package provides debugging symbols for all binary packages built from
 quagga source package. It's highly recommended to have this package installed
 before reporting any Quagga crashes to either Quagga developers or Debian
 package maintainers.

Package: quagga-doc
Source: quagga
Version: 0.99.22.4-1+wheezy3+deb7u3
Installed-Size: 684
Maintainer: Christian Hammers 
Architecture: all
Depends: dpkg (>= 1.15.4) | install-info
Suggests: quagga
Size: 656944
SHA256: 0a8a2d3fab5a9f161e718ffb8c036aafc494b2e9eeef5256004d23b38daa8eda
SHA1: 7075100abcb4c2248859f0a16f27baa049e05d84
MD5sum: 2e2f88ac4b3def4da2be799d6c4a739f
Description: documentation files for quagga
 This package includes info files for quagga, a free software which manages
 TCP/IP based routing protocols.  It supports BGP4, BGP4+, OSPFv2, OSPFv3,
 IS-IS, RIPv1, RIPv2, and RIPng as well as the IPv6 versions of these.
Homepage: http://www.quagga.net/
Section: net
Priority: optional
Filename: pool/main/q/quagga/quagga-doc_0.99.22.4-1+wheezy3+deb7u3_all.deb

Package: quakespasm
Version: 0.85.7-1
Architecture: armhf
Maintainer: David Banks 
Installed-Size: 859
Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libmad0 (>= 0.15.1b-3), libsdl1.2debian (>= 1.2.11), libvorbisfile3 (>= 1.1.2)
Provides: quake-engine, quake-engine-server
Homepage: http://quakespasm.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/q/quakespasm/quakespasm_0.85.7-1_armhf.deb
Size: 369164
SHA256: 911e73d87770ecd6110cb17112d36063da713fe49b33de781644fb3d31d5e7d7
SHA1: f5f49166b697e1a7ea6578e82bbbe10e7b9bce15
MD5sum: 0e71c776dbeffa4a28973d329e09b91f
Description: engine for iD software's Quake
 QuakeSpasm is a Unix-friendly Quake engine based on the SDL port of the
 popular FitzQuake. It includes some new features, important fixes, and aims
 for portability and correctness.
 .
 This package contains no data files. To use it, you will need to either
 install the commercial Quake data, or alternative free data files such as
 OpenQuartz.
 .
 This engine is typically used via a script that launches it with a particular
 data set, such as the one found in the "quake" package.

Package: quakespasm-dbg
Source: quakespasm
Version: 0.85.7-1
Architecture: armhf
Maintainer: David Banks 
Installed-Size: 205
Depends: quakespasm (= 0.85.7-1)
Homepage: http://quakespasm.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/q/quakespasm/quakespasm-dbg_0.85.7-1_armhf.deb
Size: 90860
SHA256: b6a5ca1147bbc4843501df70dce9cea262b4b54b145e2035f601f0994cc26e4a
SHA1: 593b08be7b3ae03ff028bfaf01865f1a47b33ca7
MD5sum: 294f625708f0d3bec52aa46aba7d5bd8
Description: engine for iD software's Quake - debug symbols
 QuakeSpasm is a Unix-friendly Quake engine based on the SDL port of the
 popular FitzQuake.
 .
 This package contains debug symbols, which can be used to debug QuakeSpasm
 if it crashes.

Package: quantlib-examples
Source: quantlib
Version: 1.2-2
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 4721
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libquantlib-1.2 (= 1.2-2), libstdc++6 (>= 4.4.0)
Priority: optional
Section: devel
Filename: pool/main/q/quantlib/quantlib-examples_1.2-2_armhf.deb
Size: 1488944
SHA256: ca6943f01155fdb66bf5545a751f7a9d2342adf43dec88ad246859efd67be6eb
SHA1: ba21275a7df3c6e06fa51140aa2c1a87fe9178e5
MD5sum: bf5886c6bf6d512585f829f4d7381f16
Description: Quantitative Finance Library -- example binaries
 The QuantLib project aims to provide a comprehensive software framework
 for quantitative finance. The goal is to provide a standard free/open
 source library to quantitative analysts and developers for modeling,
 trading, and risk management of financial assets.
 .
 This package provides several example binaries as well as source code.

Package: quantlib-python
Source: quantlib-swig
Version: 1.2-2
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 13464
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libquantlib-1.2, libstdc++6 (>= 4.4.0), python2.7, python (>= 2.7), python (<< 2.8)
Priority: optional
Section: python
Filename: pool/main/q/quantlib-swig/quantlib-python_1.2-2_armhf.deb
Size: 2742432
SHA256: 14612551e0e4216a0ae4eb11d7d164e4bb9389e8ad543fb93538d39c0d95c681
SHA1: 15f5907bc00882fc8be66fe3444fa2db1140e2e4
MD5sum: 6c75764b09f20e5575ebe459e602e2ca
Description: Python bindings for the Quantlib Quantitative Finance library
 The QuantLib project is aimed to provide a comprehensive software framework
 for quantitative finance. The goal is to provide a standard free/open source
 library to quantitative analysts and developers for modeling, trading, and
 risk management in real-life.
 .
 QuantLib plans to offer tools that are useful for both practical
 implementation, with features such as market conventions, solvers, PDEs,
 etc., and advanced modeling, e.g., exotic options and interest rate models.
 .
 This package provides Python bindings to parts of the QuantLib library.
Python-Version: 2.7

Package: quantlib-refman-html
Version: 1.2-1
Installed-Size: 53729
Maintainer: Dirk Eddelbuettel 
Architecture: all
Suggests: libquantlib0, lynx | links | www-browser
Size: 25803826
SHA256: 3cfa63bd7b207c92f77842e8947eb4759ce9de18b19be48d1285cdd216d0afb6
SHA1: 58dad6f3f32240428a5e1e6d6c1ccb0af8a4af52
MD5sum: 45fd9863205983424340ee33c588d5a8
Description: Quantitative Finance Library -- reference manual in html
 The QuantLib project aims to provide a comprehensive software framework
 for quantitative finance. The goal is to provide a standard free/open
 source library to quantitative analysts and developers for modeling,
 trading, and risk management of financial assets.
 .
 This package provides the reference manual in html format.
Tag: devel::doc, devel::lang:python, field::finance, made-of::html,
 role::documentation
Section: devel
Priority: optional
Filename: pool/main/q/quantlib-refman-html/quantlib-refman-html_1.2-1_all.deb

Package: quantum-common
Source: python-quantumclient
Version: 2012.1-1
Installed-Size: 147
Maintainer: PKG OpenStack 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), adduser
Size: 17066
SHA256: 530b8d23689bd8aebdbb6e1587f0a28ad5139c24c0767fb0ceee158659a8f9ae
SHA1: f084dd2140f21135dde3027ef15861507fbbf6a1
MD5sum: 830eb8c93f75cc9aaa9c3956d3b41a37
Description: OpenStack Virtual network service - common files
 Quantum provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (e.g., vNICs
 from Nova VMs). The Quantum API supports extensions to provide advanced network
 capabilities (e.g., QoS, ACLs, network monitoring, etc)
 .
 This package provides the core bianries and libraries to manage quantum
Homepage: http://launchpad.net/quantum
Section: python
Priority: optional
Filename: pool/main/p/python-quantumclient/quantum-common_2012.1-1_all.deb

Package: quantum-espresso
Source: espresso
Version: 5.0-1
Architecture: armhf
Maintainer: Debichem Team 
Installed-Size: 76893
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libopenmpi1.3
Recommends: quantum-espresso-data
Homepage: http://www.quantum-espresso.org/
Priority: optional
Section: science
Filename: pool/main/e/espresso/quantum-espresso_5.0-1_armhf.deb
Size: 40310926
SHA256: e98538ee598d787fa8699a9b77b451fd51a8be87cbe3fb76483cff2ba6db30f3
SHA1: bb62fab989ecc79385b10f9d367379fd047ca9d1
MD5sum: ebcff8e9788b84cfe35e1aec6ef3fb5a
Description: Electronic-Structure and Ab-Initio Molecular Dynamics Suite
 Quantum ESPRESSO (formerly known as PWscf) is an integrated suite of computer
 codes for electronic-structure calculations and materials modeling at the
 nanoscale. It is based on density-functional theory, plane waves, and
 pseudopotentials (both norm-conserving, ultrasoft, and PAW).
 .
 Features include:
  * Ground-state single-point and band structure calculations using plane-wave
    self-consistent total energies, forces and stresses
  * Separable norm-conserving and ultrasoft (Vanderbilt) pseudo-potentials, PAW
    (Projector Augmented Waves)
  * Various exchange-correlation functionals, from LDA to generalized-gradient
    corrections (PW91, PBE, B88-P86, BLYP) to meta-GGA, exact exchange (HF) and
    hybrid functionals (PBE0, B3LYP, HSE)
  * Car-Parrinello and Born-Oppenheimer Molecular Dynamics
  * Structural Optimization including transition states and minimum energy
    paths
  * Spin-orbit coupling and noncollinear magnetism
  * Response properties including phonon frequencies and
    eigenvectors, effective charges and dielectric tensors, Infrared and
    Raman cross-sections, EPR and NMR chemical shifts
  * Spectroscopic properties like K- and L1-edge X-ray Absorption Spectra (XAS)
    and electronic excitations

Package: quantum-espresso-data
Source: espresso
Version: 5.0-1
Installed-Size: 15914
Maintainer: Debichem Team 
Architecture: all
Size: 6391714
SHA256: 8959a767ff1d5f239c72198112c89e4b85b24d891539dd2a7dff4f8fdc73d0a6
SHA1: 54ccea87de2435a0895824fe93c56398fc9b39ee
MD5sum: 58962a694b61c6e681ac3523bbc8b85d
Description: Electronic-Structure and Ab-Initio Molecular Dynamics Suite (Documentation)
 Quantum ESPRESSO is an integrated suite of computer codes for
 electronic-structure calculations and materials modeling at the nanoscale. It
 is based on density-functional theory, plane waves, and pseudopotentials (both
 norm-conserving, ultrasoft, and PAW).
 .
 This package contains a limited set of pseudo-potentials and example files.
Homepage: http://www.quantum-espresso.org/
Tag: role::app-data
Section: science
Priority: optional
Filename: pool/main/e/espresso/quantum-espresso-data_5.0-1_all.deb

Package: quantum-plugin-cisco
Source: quantum
Version: 2012.1-5+deb70u1
Installed-Size: 7
Maintainer: PKG OpenStack 
Architecture: all
Replaces: python-quantum (<< 2012.1-3)
Provides: quantum-plugin
Depends: quantum-common, python-quantum
Conflicts: quantum-plugin
Breaks: python-quantum (<< 2012.1-3)
Size: 5306
SHA256: 87f4866a1ba6ac03942c2fe99e5c61a5d80a252402d706766bf7b25965e9606f
SHA1: 200b14e1c6e836afd29d19480d8e76d835003ebc
MD5sum: 0757efa1b8fea0f169af17798fc2db2e
Description: OpenStack Virtual network service - cisco plugin
 Quantum provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (e.g., vNICs
 from Nova VMs). The Quantum API supports extensions to provide advanced network
 capabilities (e.g., QoS, ACLs, network monitoring, etc).
 .
 This package provides the plugin to use with cisco hardware.
Homepage: http://launchpad.net/quantum
Section: net
Priority: optional
Filename: pool/main/q/quantum/quantum-plugin-cisco_2012.1-5+deb70u1_all.deb

Package: quantum-plugin-linuxbridge
Source: quantum
Version: 2012.1-5+deb70u1
Installed-Size: 4
Maintainer: PKG OpenStack 
Architecture: all
Replaces: python-quantum (<< 2012.1-3)
Provides: quantum-plugin
Depends: quantum-common, bridge-utils, python-quantum
Conflicts: quantum-plugin
Breaks: python-quantum (<< 2012.1-3)
Size: 4328
SHA256: a7da0607e27a4d9bf9a1108e3e65f8a3c4c2dceaa51fb61dc9705c0f7394f6a3
SHA1: 4b555a5132a72bf82bf05cf4007ac080ac89fb39
MD5sum: d547b9e5ee0a2b63998b964f4f09a7be
Description: OpenStack Virtual network service - linux bridge plugin
 Quantum provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (e.g., vNICs
 from Nova VMs). The Quantum API supports extensions to provide advanced network
 capabilities (e.g., QoS, ACLs, network monitoring, etc).
 .
 This package provides a Linux bridge plugin to work with.
Homepage: http://launchpad.net/quantum
Section: net
Priority: optional
Filename: pool/main/q/quantum/quantum-plugin-linuxbridge_2012.1-5+deb70u1_all.deb

Package: quantum-plugin-linuxbridge-agent
Source: quantum
Version: 2012.1-5+deb70u1
Installed-Size: 8
Maintainer: PKG OpenStack 
Architecture: all
Depends: python, bridge-utils, python-quantum
Size: 5548
SHA256: 4b79845b8a186702ba8e1be4bcee8d37525b276b9136e440a37d6ec5bb3aa51f
SHA1: 035fac03b2e3a31e9becdb9b023047ffe938a124
MD5sum: 15cc09949989c98edbc7a4dfa46470a7
Description: OpenStack Virtual network service - linuxbridge agent
 Quantum provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (e.g., vNICs
 from Nova VMs). The Quantum API supports extensions to provide advanced network
 capabilities (e.g., QoS, ACLs, network monitoring, etc).
 .
 This package provides the linuxbridge-agent which should run on each compute
 node
Homepage: http://launchpad.net/quantum
Section: net
Priority: optional
Filename: pool/main/q/quantum/quantum-plugin-linuxbridge-agent_2012.1-5+deb70u1_all.deb

Package: quantum-plugin-nicira
Source: quantum
Version: 2012.1-5+deb70u1
Installed-Size: 5
Maintainer: PKG OpenStack 
Architecture: all
Replaces: python-quantum (<< 2012.1-3)
Provides: quantum-plugin
Depends: quantum-common, python-quantum
Conflicts: quantum-plugin
Breaks: python-quantum (<< 2012.1-3)
Size: 4646
SHA256: b15b7a79a4a58f03bc3ec34f0addcbdc02c6152f9a6db1b042eeab52ee880cce
SHA1: 7cce63b323ea59c00ef731cd60526ffa3a2c1c1e
MD5sum: aa4b7b4f60370c13113574e1360a561d
Description: OpenStack Virtual network service - nicira NVP plugin
 Quantum provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (e.g., vNICs
 from Nova VMs). The Quantum API supports extensions to provide advanced network
 capabilities (e.g., QoS, ACLs, network monitoring, etc).
 .
 This package provides the plugin to use with Nicira NVP controllers.
Homepage: http://launchpad.net/quantum
Section: net
Priority: optional
Filename: pool/main/q/quantum/quantum-plugin-nicira_2012.1-5+deb70u1_all.deb

Package: quantum-plugin-openvswitch
Source: quantum
Version: 2012.1-5+deb70u1
Installed-Size: 6
Maintainer: PKG OpenStack 
Architecture: all
Replaces: python-quantum (<< 2012.1-3)
Provides: quantum-plugin
Depends: quantum-common, openvswitch-switch, python-quantum
Conflicts: quantum-plugin
Breaks: python-quantum (<< 2012.1-3)
Size: 4726
SHA256: 384ff85f1d49913080d09c957bad44cab6eaa744932a488ec16436d3ab26074d
SHA1: a7a0432cbf4aec2352ccaec8bffa892ed2708c7d
MD5sum: 4a3df502823cd76eb32a67b30b0c97e3
Description: OpenStack Virtual network service - openvswitch plugin
 Quantum provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (e.g., vNICs
 from Nova VMs). The Quantum API supports extensions to provide advanced network
 capabilities (e.g., QoS, ACLs, network monitoring, etc).
 .
 This package provides the plugin to use with openvswitch.
Homepage: http://launchpad.net/quantum
Section: net
Priority: optional
Filename: pool/main/q/quantum/quantum-plugin-openvswitch_2012.1-5+deb70u1_all.deb

Package: quantum-plugin-openvswitch-agent
Source: quantum
Version: 2012.1-5+deb70u1
Installed-Size: 8
Maintainer: PKG OpenStack 
Architecture: all
Depends: python, openvswitch-switch, quantum-plugin-openvswitch, python-quantum
Size: 5548
SHA256: 5872fa659bb86c5879180d55347072d633c76a975e7397d9fbbfe7e38c3354e6
SHA1: 0c1f2344165c9c22c286b436b88802971c5f451f
MD5sum: 5fef242e474e37d5a0e29e53f5861a51
Description: OpenStack Virtual network service - openvswitch agent
 Quantum provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (e.g., vNICs
 from Nova VMs). The Quantum API supports extensions to provide advanced network
 capabilities (e.g., QoS, ACLs, network monitoring, etc).
 .
 This package provides the openvswitch-agent which should run on each compute
 node
Homepage: http://launchpad.net/quantum
Section: net
Priority: optional
Filename: pool/main/q/quantum/quantum-plugin-openvswitch-agent_2012.1-5+deb70u1_all.deb

Package: quantum-plugin-sample
Source: quantum
Version: 2012.1-5+deb70u1
Installed-Size: 4
Maintainer: PKG OpenStack 
Architecture: all
Replaces: python-quantum (<< 2012.1-3)
Provides: quantum-plugin
Depends: quantum-common, python-quantum
Conflicts: quantum-plugin
Breaks: python-quantum (<< 2012.1-3)
Size: 3672
SHA256: dd19ce78d309015b490d49df76e521bb0a641961479f64ec174ea0215fb7937d
SHA1: 0e7038a4f331e0a7b675dc60a7a6a73919211586
MD5sum: 20999aa064a84758b2eae4baf8cc4456
Description: OpenStack Virtual network service - sample plugin
 Quantum provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (e.g., vNICs
 from Nova VMs). The Quantum API supports extensions to provide advanced network
 capabilities (e.g., QoS, ACLs, network monitoring, etc).
 .
 This package is an empty package that provides a sample plugin to work with.
Homepage: http://launchpad.net/quantum
Section: net
Priority: optional
Filename: pool/main/q/quantum/quantum-plugin-sample_2012.1-5+deb70u1_all.deb

Package: quantum-server
Source: quantum
Version: 2012.1-5+deb70u1
Installed-Size: 12
Maintainer: PKG OpenStack 
Architecture: all
Depends: python, lsb-base, quantum-plugin-openvswitch | quantum-plugin, quantum-common, python-quantum
Size: 7258
SHA256: 9ffe2f4dcd61702413ad0140febbda199f832914a03e9ce552cc8fdccfec2666
SHA1: 38f5c4aa705509ae679039a952ec812c10b1ca39
MD5sum: d9fe4f5d22cf1cebfc99be8a3fa4177e
Description: OpenStack Virtual network service - server
 Quantum provides an API to dynamically request and configure virtual networks.
 These networks connect "interfaces" from other OpenStack services (e.g., vNICs
 from Nova VMs). The Quantum API supports extensions to provide advanced network
 capabilities (e.g., QoS, ACLs, network monitoring, etc).
 .
 This package provides the quantum server.
Homepage: http://launchpad.net/quantum
Section: net
Priority: optional
Filename: pool/main/q/quantum/quantum-server_2012.1-5+deb70u1_all.deb

Package: quarry
Version: 0.2.0.dfsg.1-4
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 1129
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.14.4)
Recommends: gnugo, grhino
Homepage: http://home.gna.org/quarry/
Priority: optional
Section: games
Filename: pool/main/q/quarry/quarry_0.2.0.dfsg.1-4_armhf.deb
Size: 565992
SHA256: 11221192dc39638de822e0b44f80d7eefcf291d794cf34f28502d61fc84cfd3d
SHA1: 25909364c47fb9bbb2a7dc0450d05ccb5b7572a7
MD5sum: 3131b116816ea3eca898e191121eff42
Description: Board games Go, Amazons, and Reversi (a.k.a. Othello)
 Quarry is a multi-purpose GUI for several board games, at present Go, Amazons
 and Reversi (a.k.a. Othello.) It allows users to play against computer players
 (third-party programs, e.g. GNU Go or GRhino) or other humans, view and edit
 game records.

Package: quassel
Version: 0.8.0-1+deb7u4
Architecture: armhf
Maintainer: Thomas Mueller 
Installed-Size: 5255
Depends: libc6 (>= 2.13-28), libdbusmenu-qt2 (>= 0.3.2), libgcc1 (>= 1:4.4.0), libindicate-qt1 (>= 0.2.5), libphonon4 (>= 4:4.2.0), libqca2 (>= 2.0.2), libqt4-dbus (>= 4:4.6.1), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0), phonon, libqt4-sql-sqlite, quassel-data (= 0.8.0-1+deb7u4), dbus-x11, gawk
Conflicts: quassel-kde4
Homepage: http://www.quassel-irc.org
Priority: optional
Section: net
Filename: pool/main/q/quassel/quassel_0.8.0-1+deb7u4_armhf.deb
Size: 2853354
SHA256: b4550e2e47fa3a179de83d4c3d40bd57dd43864567027e80b6ed928448941eea
SHA1: 082dedfa9a782118df6b5e38f99fe1e34efe6910
MD5sum: c7ea9c07346d913cdbad5f1ea5fce84b
Description: distributed IRC client - Qt-based monolithic core+client
 Quassel is a modern, cross-platform, distributed IRC client, meaning that
 one or more clients can attach to and detach from the central core. It's
 much like the popular combination of screen and a text-based IRC client
 such as WeeChat or irssi, but graphical.
 .
 This package provides the monolithic client. It contains both core and
 client and can be used like a traditional IRC client, without requiring an
 external core.

Package: quassel-client
Source: quassel
Version: 0.8.0-1+deb7u4
Architecture: armhf
Maintainer: Thomas Mueller 
Installed-Size: 4266
Depends: libc6 (>= 2.13-28), libdbusmenu-qt2 (>= 0.3.2), libgcc1 (>= 1:4.4.0), libindicate-qt1 (>= 0.2.5), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.6.1), libqt4-network (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0), phonon, quassel-data (= 0.8.0-1+deb7u4), dbus-x11, gawk
Conflicts: quassel (<< 0.3.1-1), quassel-client-kde4
Replaces: quassel (<< 0.3.1-1)
Homepage: http://www.quassel-irc.org
Priority: optional
Section: net
Filename: pool/main/q/quassel/quassel-client_0.8.0-1+deb7u4_armhf.deb
Size: 2441228
SHA256: 58a1fa12ea7618bd2f81cb4a908e9f3bb56f8d56cff1a85b78538f51e7973dbe
SHA1: 7970ed65ed1c43c2a620831b9b7d9348dc4f19a6
MD5sum: 66fff3615f8e39a18ebe1e963cb50580
Description: distributed IRC client - Qt-based client component
 Quassel is a modern, cross-platform, distributed IRC client, meaning that
 one or more clients can attach to and detach from the central core. It's
 much like the popular combination of screen and a text-based IRC client
 such as WeeChat or irssi, but graphical.
 .
 This package provides only the client component.

Package: quassel-client-kde4
Source: quassel
Version: 0.8.0-1+deb7u4
Architecture: armhf
Maintainer: Thomas Mueller 
Installed-Size: 2090
Depends: kde-runtime, libc6 (>= 2.13-28), libdbusmenu-qt2 (>= 0.3.2), libgcc1 (>= 1:4.4.0), libindicate-qt1 (>= 0.2.5), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.4.0), libknotifyconfig4 (>= 4:4.3.4), libqt4-dbus (>= 4:4.6.1), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libsolid4 (>= 4:4.3.4), libstdc++6 (>= 4.4.0), quassel-data-kde4 (= 0.8.0-1+deb7u4), dbus-x11, gawk
Conflicts: quassel-client
Homepage: http://www.quassel-irc.org
Priority: optional
Section: net
Filename: pool/main/q/quassel/quassel-client-kde4_0.8.0-1+deb7u4_armhf.deb
Size: 886458
SHA256: 0b131d2015222c108d095bba80dc656bd3781385996aad878bf9fe5ef045e102
SHA1: a6751cbc9260ee5d96f20ade280d887245ffc263
MD5sum: 9b9ae9fcb94d54d1a48226f34cbc7238
Description: distributed IRC client - KDE-based client
 Quassel is a modern, cross-platform, distributed IRC client, meaning that
 one or more clients can attach to and detach from the central core. It's
 much like the popular combination of screen and a text-based IRC client
 such as WeeChat or irssi, but graphical.
 .
 This package provides only the client component compiled with KDE4 support.

Package: quassel-core
Source: quassel
Version: 0.8.0-1+deb7u4
Architecture: armhf
Maintainer: Thomas Mueller 
Installed-Size: 2550
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqca2 (>= 2.0.2), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), adduser, libqt4-sql-sqlite, lsb-base (>= 3.2-14), openssl
Homepage: http://www.quassel-irc.org
Priority: optional
Section: net
Filename: pool/main/q/quassel/quassel-core_0.8.0-1+deb7u4_armhf.deb
Size: 1531088
SHA256: 0e9dbb25b31707439705ce99ea6a48f23639a2ea1c3315ab67ede3cffdedd5de
SHA1: 2e7e24a685d31ef6ede32faf11c626d37125cecb
MD5sum: ce99f3baae90deefc8d3f1401a651168
Description: distributed IRC client - core component
 Quassel is a modern, cross-platform, distributed IRC client, meaning that
 one or more clients can attach to and detach from the central core. It's
 much like the popular combination of screen and a text-based IRC client
 such as WeeChat or irssi, but graphical.
 .
 This package provides only the core component.

Package: quassel-data
Source: quassel
Version: 0.8.0-1+deb7u4
Installed-Size: 82
Maintainer: Thomas Mueller 
Architecture: all
Replaces: quassel (<< 0.3.1-1)
Conflicts: quassel (<< 0.3.1-1), quassel-data-kde4
Size: 24430
SHA256: 772b09a154d63600720e534bc68929c8d966ee9d6823d7cb543c9e7b8d553442
SHA1: af5d969d9749364a72015c650a87b5f22b7bf3c6
MD5sum: 12ab878368a38d7a3199a24861c2877c
Description: distributed IRC client - shared data (Qt version)
 Quassel is a modern, cross-platform, distributed IRC client, meaning that
 one or more clients can attach to and detach from the central core. It's
 much like the popular combination of screen and a text-based IRC client
 such as WeeChat or irssi, but graphical.
 .
 This package provides files used by the Qt-based Quassel client
 packages.
Homepage: http://www.quassel-irc.org
Section: net
Priority: optional
Filename: pool/main/q/quassel/quassel-data_0.8.0-1+deb7u4_all.deb

Package: quassel-data-kde4
Source: quassel
Version: 0.8.0-1+deb7u4
Installed-Size: 3472
Maintainer: Thomas Mueller 
Architecture: all
Replaces: quassel (<< 0.3.1-1)
Conflicts: quassel (<< 0.3.1-1), quassel-data
Size: 1048948
SHA256: d12f6581cda2918710da3ffbe8fcfbc448cf9038ecb2927fcba501a2ee88d2ec
SHA1: ea51d7a9c014612f1f34875e79db54cf1e38c39d
MD5sum: 8d5b5f4c2becb2e986db0fd3c4c55440
Description: distributed IRC client - shared data (KDE4 version)
 Quassel is a modern, cross-platform, distributed IRC client, meaning that
 one or more clients can attach to and detach from the central core. It's
 much like the popular combination of screen and a text-based IRC client
 such as WeeChat or irssi, but graphical.
 .
 This package provides files used by the KDE4-based Quassel client
 packages.
Homepage: http://www.quassel-irc.org
Section: net
Priority: optional
Filename: pool/main/q/quassel/quassel-data-kde4_0.8.0-1+deb7u4_all.deb

Package: quassel-kde4
Source: quassel
Version: 0.8.0-1+deb7u4
Architecture: armhf
Maintainer: Thomas Mueller 
Installed-Size: 2783
Depends: kde-runtime, libc6 (>= 2.13-28), libdbusmenu-qt2 (>= 0.3.2), libgcc1 (>= 1:4.4.0), libindicate-qt1 (>= 0.2.5), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.4.0), libknotifyconfig4 (>= 4:4.3.4), libqca2 (>= 2.0.2), libqt4-dbus (>= 4:4.6.1), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xmlpatterns (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libsolid4 (>= 4:4.3.4), libstdc++6 (>= 4.4.0), libqt4-sql-sqlite, quassel-data-kde4 (= 0.8.0-1+deb7u4), dbus-x11, gawk
Conflicts: quassel
Homepage: http://www.quassel-irc.org
Priority: optional
Section: net
Filename: pool/main/q/quassel/quassel-kde4_0.8.0-1+deb7u4_armhf.deb
Size: 1147116
SHA256: e04c9b47fce89a827d1d388ada5448d54d305cad2892653097491718f4b2697b
SHA1: c82c4b188cf69969ba87972ed6d8f43cc334d972
MD5sum: f04d59d52f152ae79ecd3e0130a45efa
Description: distributed IRC client - KDE-based monolithic core+client
 Quassel is a modern, cross-platform, distributed IRC client, meaning that
 one or more clients can attach to and detach from the central core. It's
 much like the popular combination of screen and a text-based IRC client
 such as WeeChat or irssi, but graphical.
 .
 This package provides the monolithic client compiled with KDE4 support.
 It contains both core and client and can be used like a traditional IRC
 client, without requiring an external core.

Package: qucs
Version: 0.0.15-1.1
Architecture: armhf
Maintainer: José L. Redrejo Rodríguez 
Installed-Size: 13731
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libqt3-mt (>= 3:3.3.8b), libsm6, libstdc++6 (>= 4.4.0), libx11-6, perl
Recommends: freehdl, verilog
Homepage: http://qucs.sourceforge.net
Priority: optional
Section: electronics
Filename: pool/main/q/qucs/qucs_0.0.15-1.1_armhf.deb
Size: 6305600
SHA256: a0926109c167f2c75e60a624c60a1ea24f2d6b1577b3c1b0499103f782f8a32d
SHA1: b9a5165c2a505e415cb7ddf35d7b8beb94bcd7b1
MD5sum: c46d1d8ca24bce2a5dd1ed8bfc9cd5db
Description: Quite Universal Circuit Simulator
 Qucs is an integrated circuit simulator which means you are able
 to setup a circuit with a graphical user interface (GUI) and simulate
 the large-signal, small-signal and noise behaviour of the circuit.
 After that simulation has finished you can view the simulation
 results on a presentation page or window.

Package: quelcom
Version: 0.4.0-13
Architecture: armhf
Maintainer: Devin Carraway 
Installed-Size: 518
Depends: dpkg (>= 1.15.4) | install-info, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Priority: extra
Section: sound
Filename: pool/main/q/quelcom/quelcom_0.4.0-13_armhf.deb
Size: 173300
SHA256: 960f590d3ed55b751cff09dc27cfed58e40f8b4616e090eae6509ec69fb90f76
SHA1: 260f6f84812a0da6ee36f56a487933acf5b4ce02
MD5sum: b422fd02d7d0b68ffc1134fb8a464a60
Description: Command line editing tools for MP3 and WAV files
 Quelcom provides assorted tools to perform simple editing
 operations on MP3 and WAV audio files.  These include
 fading, check-and-clean, informational extraction and
 lossless cutting and joining without reencoding.

Package: queuegraph
Version: 1.1.1-3
Installed-Size: 36
Maintainer: Conall O'Brien 
Architecture: all
Depends: postfix, perl, rrdtool, librrds-perl
Recommends: apache2 | httpd-cgi
Suggests: mailgraph
Size: 5622
SHA256: 41fcfb341a426edada2fafe2bb1540d52a2784a87095009a1d39486e0ee2daba
SHA1: 75f8fe342f153d40d9edfcf6fc5364b8ec23fc5c
MD5sum: db6187b7f74491ce50f1098d52ef4d51
Description: a RRDtool frontend for Postfix queue-statistics
 Queuegraph is a simple mail statistics RRDtool frontend for Postfix
 that produces daily, weekly, monthly and yearly graphs of Postfix's
 active, deferred, incoming and bounce queues.
 .
  Homepage: http://www.stahl.bau.tu-bs.de/~hildeb/postfix/queuegraph/
Section: admin
Priority: extra
Filename: pool/main/q/queuegraph/queuegraph_1.1.1-3_all.deb

Package: quickml
Version: 0.7-4
Installed-Size: 200
Maintainer: Kenshi Muto 
Architecture: all
Depends: ruby1.8
Size: 46594
SHA256: b1dc90a358154f643366d2e0ff3f6bedf5c88b10c6c63b8a01ba28ad27c92c69
SHA1: 519088785b50d2eae66d2ddbe3fbfc8956f67d02
MD5sum: aafb48edc33d34114ca7d3cc3a6230fb
Description: Very-easy-to-use mailing list system
 quickml server is a very-easy-to-use mailing list system.
 quickml server provides very-easy-to-use mailing list service.
 http://quickml.com/quickml/
Tag: implemented-in::ruby, mail::list, role::program, scope::utility
Section: mail
Priority: optional
Filename: pool/main/q/quickml/quickml_0.7-4_all.deb

Package: quickplot
Version: 0.10.3-1
Architecture: armhf
Maintainer: Muammar El Khatib 
Installed-Size: 848
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libreadline6 (>= 6.0), libsndfile1 (>= 1.0.20), libx11-6
Homepage: http://quickplot.sourceforge.net/
Priority: optional
Section: math
Filename: pool/main/q/quickplot/quickplot_0.10.3-1_armhf.deb
Size: 389210
SHA256: cdecd737d36f3c1a2d58e2854af29280a9cc306c42126e1b32a6559da19a915a
SHA1: 6db3c877cc598cf4eeeb4132b4a761f6bddc9e63
MD5sum: 58ced0ed6e7cf22df553e347008ae3d6
Description: fast interactive 2D plotter and data viewer
 A 2-D plotter with infinite zooming, value picking, pipe input, and
 unlimited plots displayed.  Quickplot is meant for looking at your
 data quickly and of secondary importance is making static pictures of
 your data.  It is a data viewer, not a plot editor.  It reads ASCII
 text and sound files.  It has lots of command line options, making it
 very shell script friendly.

Package: quicksynergy
Version: 0.9-1
Architecture: armhf
Maintainer: Jeremie Corbier 
Installed-Size: 127
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), synergy (>= 1.3.1)
Homepage: http://quicksynergy.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/q/quicksynergy/quicksynergy_0.9-1_armhf.deb
Size: 27444
SHA256: 92c26c25df06fd2b6ec5c1fbff8a9f520cd68e1d380410559b69ac7e789f9d48
SHA1: 99602e70b06fb746f92d6408d58f25ee07eec5a0
MD5sum: cb5199d52911a11eb379a367f18d906c
Description: GUI for easy configuration of Synergy
 QuickSynergy is a graphical interface (GUI) for easily configuring Synergy,
 an application that allows the user to share their mouse and keyboard between
 two or more computers.
 .
 Without the need for any external hardware, Synergy uses the TCP-IP protocol
 to share the resources, even between machines with different operating
 systems, such as Mac OS, Linux and Windows.

Package: quicktime-utils
Source: libquicktime
Version: 2:1.2.4-3+deb7u2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 83
Depends: libc6 (>= 2.13-28), libquicktime2 (>= 2:1.2.2)
Homepage: http://libquicktime.sourceforge.net/
Priority: extra
Section: utils
Filename: pool/main/libq/libquicktime/quicktime-utils_1.2.4-3+deb7u2_armhf.deb
Size: 39768
SHA256: e45083cd2749439120c9657d94c4164dd09e6824d57fbd098f004737b56abc92
SHA1: 1ddb7a25f4761e6ad6108ac943c3846aa9d8ed8d
MD5sum: e6dc35ae56ee457d69b79516636aae19
Description: library for reading and writing Quicktime files (utilities)
 libquicktime is a library for reading and writing QuickTime files on UNIX
 systems. Video CODECs supported by this library are OpenDivX, MJPA, JPEG Photo,
 PNG, RGB, YUV 4:2:2, and YUV 4:2:0 compression. Supported audio CODECs are Ogg
 Vorbis, IMA4, ulaw, and any linear PCM format.
 .
 This package contains additional utilities.

Package: quicktime-x11utils
Source: libquicktime
Version: 2:1.2.4-3+deb7u2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 81
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libquicktime2 (>= 2:1.2.2), libx11-6, libxaw7, libxext6, libxt6, libxv1
Homepage: http://libquicktime.sourceforge.net/
Priority: extra
Section: utils
Filename: pool/main/libq/libquicktime/quicktime-x11utils_1.2.4-3+deb7u2_armhf.deb
Size: 47022
SHA256: 1d304905e188675a00e3a731c5008838bc3f31f01a3558110125681b65683a20
SHA1: 898dbe55ad97ab51e6362aa0e8eb54e92273333f
MD5sum: b4bed173ea90c3e47eb1cfd23d2ff51e
Description: library for reading and writing Quicktime files (x11 utilities)
 libquicktime is a library for reading and writing QuickTime files on UNIX
 systems. Video CODECs supported by this library are OpenDivX, MJPA, JPEG Photo,
 PNG, RGB, YUV 4:2:2, and YUV 4:2:0 compression. Supported audio CODECs are Ogg
 Vorbis, IMA4, ulaw, and any linear PCM format.
 .
 This package contains additional utilities for the X window system.

Package: quilt
Version: 0.60-2
Installed-Size: 814
Maintainer: Martin Quinson 
Architecture: all
Depends: patch, diffstat, bzip2, gettext, bsdmainutils, perl
Suggests: procmail, graphviz, default-mta | mail-transport-agent
Enhances: cdbs, debhelper
Size: 377276
SHA256: 4faab5c8301819d4d566b9d2c9e38ebeaa71f2f764bd2be752c3680a41c97977
SHA1: 0a098b8c4aeeb3c1987ce58392153fc0a111bbff
MD5sum: c7714ffe4a900b5d885343471db8e057
Description: Tool to work with series of patches
 Quilt manages a series of patches by keeping track of the changes
 each of them makes. They are logically organized as a stack, and you can
 apply, un-apply, refresh them easily by traveling into the stack (push/pop).
 .
 Quilt is good for managing additional patches applied to a package received
 as a tarball or maintained in another version control system. The stacked
 organization is proven to be efficient for the management of very large patch
 sets (more than hundred patches). As matter of fact, it was designed by and
 for Linux kernel hackers (Andrew Morton, from the -mm  branch, is the
 original author), and its main use by the current upstream maintainer is to
 manage the (hundreds of) patches against the kernel made for the SUSE
 distribution.
 .
 This package provides seamless integration into Debhelper or CDBS,
 allowing maintainers to easily add a quilt-based patch management system in
 their packages. The package also provides some basic support for those not
 using those tools. See README.Debian for more information.
Multi-Arch: foreign
Homepage: http://savannah.nongnu.org/projects/quilt
Tag: devel::buildtools, devel::rcs, implemented-in::shell,
 interface::commandline, role::program, scope::utility,
 works-with-format::diff, works-with::software:source
Section: vcs
Priority: optional
Filename: pool/main/q/quilt/quilt_0.60-2_all.deb

Package: quilt-el
Version: 0.48.0-1
Installed-Size: 128
Maintainer: Satoru Takeuchi 
Architecture: all
Depends: emacs | emacsen, quilt (>= 0.48)
Size: 10822
SHA256: 5504c42a933f4102a55cd7012197dad962ebaa011b40515876c324a3b7281ab3
SHA1: e981e64c562a0af4bd3da5095280d44cb10dc372
MD5sum: e229108b19ffbd4f9a34aedbfa788dca
Description: simple Emacs interface of quilt
 This is an Emacs minor mode for quilt. By using this,
 it becomes easy to edit files controlled by quilt.
 The main features are as follows:
 .
  - Automatically detects files that are in a quilt hierarchy
   and enables itself.
  - Only files in topmost patch can be writable.
  - Some handy shortcut keys of quilt commands.
Homepage: http://satoru-takeuchi.org/dev/quilt-el
Section: lisp
Priority: optional
Filename: pool/main/q/quilt-el/quilt-el_0.48.0-1_all.deb

Package: quisk
Version: 3.6.2-1
Architecture: armhf
Maintainer: A. Maitland Bottoms 
Installed-Size: 1067
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfftw3-3, libportaudio2 (>= 19+svn20101113), libusb-0.1-4 (>= 2:0.1.12)
Recommends: python-serial, alsa-utils, udev
Homepage: http://james.ahlstrom.name/quisk/
Priority: optional
Section: hamradio
Filename: pool/main/q/quisk/quisk_3.6.2-1_armhf.deb
Size: 336704
SHA256: eb1da51eed40546edc9db47f2640bf2510971e8ec33ec0df5bf61faf917bc653
SHA1: d7206c0a3493c65f79eb760466243524e4c43ef2
MD5sum: 0b7a1eb73ff596e674e5219897a808d8
Description: Software Defined Radio (SDR)
 Quisk uses ALSA sound drivers or PortAudio and offers these capabilities:
    * Quisk can control the HiQSDR.
    * As a receiver it can use the SDR-IQ by RfSpace as a sample
    source.  There are several decimation rates available.  The QUISK
    receiver will read the sample data, tune it, filter it, demodulate
    it, and send the audio to the sound card for output to external
    headphones or speakers.
    * As a receiver it can use your soundcard as a sample source.  You
    supply a complex (I/Q) mixer to convert radio spectrum to a low
    IF, and send that IF to the left and right inputs of the sound
    card in your computer.  The demodulated audio goes to the same
    soundcard for output.
    * Quisk can control SoftRock hardware for both receive and transmit.
    * As a transmitter it can control an SSB/CW exciter and a
    transceiver using Ethernet.
    * As a transmitter it can accept microphone input and send that to
    a transmitter for SSB operation.  For CW, QUISK can mute the
    audio and substitute a side tone.  Quisk can send transmit data to
    your sound card for use with SoftRock or similar.

Package: quitcount
Version: 2.0-1
Architecture: armhf
Maintainer: Ricardo Mones 
Installed-Size: 244
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0)
Homepage: http://quitcount.sourceforge.net
Priority: optional
Section: science
Filename: pool/main/q/quitcount/quitcount_2.0-1_armhf.deb
Size: 32632
SHA256: df5930db68959aa5f8a130dad6b7b88f5dcc3e910744d5d7d709a5cea32edf6a
SHA1: fa0f35a6f61d4ca3997ddd8daed7dfa4379cc909
MD5sum: 35c60c3f99146e727670126669903e32
Description: Small tool which may help yourself quit smoking
 QuitCount is a simple counter that shows what you spared since
 you quit smoking. Once you tell it the date you quit, how much
 you smoked, and how much it cost, it'll tell you how many
 cigarettes you didn't smoke since, and how much it makes in
 terms of money, tar, and life expectancy.

Package: quixote1-doc
Source: quixote1
Version: 1.2-4.1
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 298
Depends: python-quixote1
Priority: optional
Section: web
Filename: pool/main/q/quixote1/quixote1-doc_1.2-4.1_armhf.deb
Size: 134148
SHA256: 75993c60ab9e97e7a70c5e8f63c48871dd98edaba06293cf9bf88f2219e99b07
SHA1: 2d1ac7cee126a333ddd01fbefc41d4b46fde9c31
MD5sum: 23e89794591a7d369ae4ccec955abd28
Description: Quixote web application framework documentation
 Contains the documentation and examples for Quixote-1.2.

Package: quodlibet
Version: 2.4-1
Installed-Size: 97
Maintainer: Ondřej Kuzník 
Architecture: all
Replaces: quodlibet-ext
Provides: quodlibet-ext
Depends: python, exfalso (= 2.4-1), gstreamer0.10-plugins-base (>= 0.10.3), python-gst0.10 (>= 0.10.1), gstreamer0.10-plugins-good, gstreamer0.10-plugins-ugly
Recommends: gstreamer0.10-alsa | gstreamer0.10-audiosink, python-feedparser, python-gpod, python-dbus, python-mmkeys, udisks, media-player-info
Suggests: gstreamer0.10-plugins-bad, quodlibet-plugins, gstreamer0.10-gconf
Conflicts: quodlibet-ext
Size: 39132
SHA256: dc7de7f4e322f4195f0e49938c80473ae52d1107ed5771d270e747b09ae365f5
SHA1: b7242b3a9373f8a58a002c33a75eb34eb818ad50
MD5sum: a4d02c0ec2c1468fd0f9356dd9f083d9
Description: audio library manager and player for GTK+
 Quod Libet is a music management program. It provides several different ways
 to view your audio library, as well as support for Internet radio and
 audio feeds. It has extremely flexible metadata tag editing and searching
 capabilities, using the same interface as Ex Falso.
 .
 Supported formats include MP3, Ogg Vorbis, FLAC, Musepack (MPC), WavPack,
 and MOD/XM/IT.
Homepage: http://code.google.com/p/quodlibet/
Tag: implemented-in::python, interface::x11, role::program,
 scope::application, sound::player, uitoolkit::gtk, use::playing,
 use::searching, works-with-format::mp3, works-with-format::oggvorbis,
 works-with::audio, x11::application
Section: sound
Priority: optional
Filename: pool/main/q/quodlibet/quodlibet_2.4-1_all.deb

Package: quodlibet-plugins
Version: 1:2.4-1
Installed-Size: 487
Maintainer: Ondřej Kuzník 
Architecture: all
Depends: exfalso (>= 2.3.2), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8)
Recommends: notification-daemon, python-dbus, python-gnome2, python-cddb, python-musicbrainz2, brasero | k3b, python-indicate, python-gtk2 (>= 2.16) | python-eggtrayicon, python-pyinotify, gstreamer0.10-chromaprint
Suggests: lastfmsubmitd
Conflicts: python-json
Size: 101154
SHA256: afa5c00c66d62af7fd9b4a9eaf33cdf2c859efe20a2f0eb8afc5ca2d6dfde7e6
SHA1: 37ffcbe2fe60c7fbf048727f766f776d029a2268
MD5sum: 5b6ca49f74581a5e99b615ea31a854d8
Description: various contributed plugins for Quod Libet
 This package contains a number of contributed plugins for the Quod
 Libet media player, each extending the player's functionality in
 different ways.
 .
 Notable plugins in this package include:
 .
  - Animated On-Screen Display
  - MusicBrainz and CDDB/FreeDB tag lookups
  - Alarm clock and lullaby, start and stop playing music at specified times
  - Export a playlist as HTML
  - Export a Jabber JEP-118 file
  - Burn CDs with Brasero or K3b
  - AudioScrobbler/Last.fm submission and synchronization (http://www.last.fm/)
Tag: role::plugin
Section: sound
Priority: extra
Filename: pool/main/q/quodlibet-plugins/quodlibet-plugins_2.4-1_all.deb

Package: quota
Version: 4.00-4+deb7u1
Architecture: armhf
Maintainer: Michael Meskes 
Installed-Size: 1341
Depends: e2fslibs (>= 1.37), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libdbus-1-3 (>= 1.0.2), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libnl-3-200 (>= 3.2.7), libnl-genl-3-200 (>= 3.2.7), libwrap0 (>= 7.6-4~), debconf (>= 1.2.9) | debconf-2.0, lsb-base (>= 3.2-13)
Suggests: libnet-ldap-perl, rpcbind | portmap, default-mta | mail-transport-agent
Homepage: http://sourceforge.net/projects/linuxquota
Priority: optional
Section: admin
Filename: pool/main/q/quota/quota_4.00-4+deb7u1_armhf.deb
Size: 613686
SHA256: 4f657df3d4083b499d26d28cfcbc5b1c8d28ce16b00c93444fc346606c5c8595
SHA1: aba041bc60d7689fc672caf04ed3fb1d581a2f34
MD5sum: e5fb308f3c6e71d5d1edc9edf7ec6f56
Description: disk quota management tools
 This package provides the standard set of utilities for manipulating
 file system usage caps via the Linux Diskquota system. It can set hard
 or soft limits with adjustable grace periods on block or inode usage for
 users and groups. It allows users to check their quota status,
 integrates with LDAP, and supports quotas on remote machines via NFS.

Package: quotatool
Version: 1.4.12-1
Architecture: armhf
Maintainer: Bas Zoetekouw 
Installed-Size: 66
Depends: libc6 (>= 2.7)
Recommends: quota
Homepage: http://quotatool.ekenberg.se/
Priority: extra
Section: admin
Filename: pool/main/q/quotatool/quotatool_1.4.12-1_armhf.deb
Size: 19564
SHA256: 08a1ae512b55a51dd5eb88f9c09c911f0ac06a376d85df35b5551c2bc962e49e
SHA1: b6fe081116ef0a3433f058e5ee9d954a024cb47f
MD5sum: 46403b7e2a097215ce126346c219630c
Description: tool to edit disk quotas from the command line
 Quotatool is a utility to set filesystem quotas from the commandline.
 Most quota-utilities are interactive, requiring manual intervention from the
 user.  Quotatool on the other hand is not, making it suitable for use in
 scripts and other non-interactive situations.  This is very useful to edit
 disk quotas from scripts.

Package: qutecom
Version: 2.2.1+dfsg1-3
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 6666
Depends: qutecom-data (= 2.2.1+dfsg1-3), libasound2 (>= 1.0.16), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-serialization1.49.0 (>= 1.49.0-1), libboost-signals1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libpurple0 (>= 2.6.6), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20), libspeex1 (>= 1.2~beta3-1), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libswscale2 (>= 5:0.8-2~), libtinyxml2.6.2, libuuid1 (>= 2.16), libx11-6
Replaces: qutecom-data (<< 2.2~rc3.dfsg1-5), wengophone
Homepage: http://www.qutecom.org/
Priority: optional
Section: net
Filename: pool/main/q/qutecom/qutecom_2.2.1+dfsg1-3_armhf.deb
Size: 2466978
SHA256: 98f6249b410d6b63a03f57de8d447de0d8445d0633dc6d175da12e023ff26281
SHA1: d3f6b8b280899974d4d01205d5c1214e222c9180
MD5sum: cdd6c4b5aaddbc3a3e28fb1bc23a8d8d
Description: SIP-based software telephone with video and chat features
 QuteCom is a Voice-over-IP application based on standard protocols like SIP
 and RTP. It enables voice, video and text chat between two clients. Features
 include a contact list with multi-protocol presence and status, call history
 and NAT traversal capability.
 .
 QuteCom is the new name of the WengoPhone project, after it was dropped by
 Wengo and taken on by MBDsys.

Package: qutecom-data
Source: qutecom
Version: 2.2.1+dfsg1-3
Installed-Size: 19785
Maintainer: Debian VoIP Team 
Architecture: all
Replaces: qutecom (<< 2.2~rc3.dfsg1-3), wengophone
Recommends: qutecom (>= 2.2.1+dfsg1-3)
Size: 10967448
SHA256: fd3fd33377debb0a3fd30a9f7cdc560ad1cce88ed111a304087c30a8a93ce561
SHA1: 9d8203f1d883b140cbf1b94327eaaa9e366cbd25
MD5sum: be360e7ae598255439418e2ec4beee41
Description: SIP-based software telephone with video and chat features (data files)
 QuteCom is a Voice-over-IP application based on standard protocols like SIP
 and RTP. It enables voice, video and text chat between two clients. Features
 include a contact list with multi-protocol presence and status, call history
 and NAT traversal capability.
 .
 QuteCom is the new name of the WengoPhone project, after it was dropped by
 Wengo and taken on by MBDsys.
 .
 This package contains architecture independet data, like images and sounds.
Homepage: http://www.qutecom.org/
Tag: made-of::audio, made-of::icons, role::app-data
Section: net
Priority: optional
Filename: pool/main/q/qutecom/qutecom-data_2.2.1+dfsg1-3_all.deb

Package: qutecom-dbg
Source: qutecom
Version: 2.2.1+dfsg1-3
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 123489
Depends: qutecom (= 2.2.1+dfsg1-3)
Homepage: http://www.qutecom.org/
Priority: extra
Section: debug
Filename: pool/main/q/qutecom/qutecom-dbg_2.2.1+dfsg1-3_armhf.deb
Size: 48335242
SHA256: 61a460d08d4f401ced99e3bc18bb483270b369e5f3ede4014bcdb9d4eef8d5bf
SHA1: 70b0f4e9ec73955bf3fcd9f6c8bb061558a87883
MD5sum: c9aca5f483d2aa960c81eb4a7f3f766d
Description: SIP-based software telephone with video and chat features (debug files)
 QuteCom is a Voice-over-IP application based on standard protocols like SIP
 and RTP. It enables voice, video and text chat between two clients. Features
 include a contact list with multi-protocol presence and status, call history
 and NAT traversal capability.
 .
 QuteCom is the new name of the WengoPhone project, after it was dropped by
 Wengo and taken on by MBDsys.
 .
 This package contains debug symbols, useful to debug qutecom.

Package: qutecsound
Version: 0.6.1-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 5747
Depends: libc6 (>= 2.13-28), libcsound64-5.2 (>= 1:5.10.1~dfsg1), libgcc1 (>= 1:4.4.0), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0)
Recommends: csound, csound-doc, graphviz
Homepage: http://sourceforge.net/projects/qutecsound
Priority: optional
Section: sound
Filename: pool/main/q/qutecsound/qutecsound_0.6.1-2_armhf.deb
Size: 3995854
SHA256: 60ce1b527b6de1d5571e72d1c96038b200b0e9fa5101486d3d71563c63af632a
SHA1: 320fbc23c1cd829e81ef13c9b0618f7f1cf67b1b
MD5sum: 47bd98ad534a8c2c332cb3ad3a9609b5
Description: frontend for the csound sound processor
 QuteCsound is a simple cross platform editor and front-end for Csound
 with syntax highlighting, interactive help and automatic launching of
 Csound.

Package: qutemol
Version: 0.4.1~cvs20081111-2
Architecture: armhf
Maintainer: Debichem Team 
Installed-Size: 890
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgif4 (>= 4.1.4), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1)
Homepage: http://qutemol.sourceforge.net/
Priority: optional
Section: science
Filename: pool/main/q/qutemol/qutemol_0.4.1~cvs20081111-2_armhf.deb
Size: 340152
SHA256: de9cd3fcdbe39c4e7eb8f2bdc607bdd597e39d72bc7a0acb7eaa7338a3c606a0
SHA1: 6fce4ada9c3610a5c3774e1df2c3d18909097269
MD5sum: 9d3ce1173c969dd38df45928a2c6be14
Description: interactive visualization of macromolecules
 QuteMol is an interactive, high quality molecular visualization
 system. It exploits the current GPU capabilities through OpenGL shaders
 to offer an array of innovative visual effects. QuteMol visualization
 techniques are aimed at improving clarity and an easier understanding
 of the 3D shape and structure of large molecules or complex proteins.
 .
 Qutemol uses advanced OpenGL techniques and might not work correctly
 with all video cards and drivers.
 .
 Features QuteMol offers include:
 .
  * Real time ambient occlusion
  * Depth aware silhouette enhancement
  * Ball-and-stick, space-filling and liquorice visualization modes
  * High resolution antialiased snapshots for creating publication
    quality renderings
  * Automatic generation of animated gifs of rotating molecules for
    web page animations
  * Interactive rendering of macromolecules (>100k atoms)
 .
 QuteMol reads PDB files as input.

Package: quvi
Version: 0.4.2-1
Architecture: armhf
Maintainer: Ansgar Burchardt 
Installed-Size: 76
Pre-Depends: dpkg (>= 1.15.6)
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libquvi7 (>= 0.4.0)
Homepage: http://quvi.sourceforge.net
Priority: optional
Section: utils
Filename: pool/main/q/quvi/quvi_0.4.2-1_armhf.deb
Size: 22518
SHA256: 429877d58f53c975837a23a639c6715d1caf92d357ab1ee7e282dd7af5891d21
SHA1: 9f9c1d2cb3d48d6b637b449c10df8277d7734966
MD5sum: 807462aef60a7fd547355927684b8dca
Description: command line program to extract video download links
 libquvi is a library to parse Adobe flash video download links. It
 supports Youtube and other similar video websites. It provides access
 to functionality and data through an API, and does not enable or
 require the use of the flash technology.
 .
 This package contains a command line program to extract and download
 video files using libquvi.

Package: qv4l2
Source: v4l-utils
Version: 0.8.8-3
Architecture: armhf
Maintainer: Gregor Jasny 
Installed-Size: 226
Depends: libv4l-0 (= 0.8.8-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libv4lconvert0 (>= 0.5.0)
Homepage: http://linuxtv.org/downloads/v4l-utils/
Priority: optional
Section: utils
Filename: pool/main/v/v4l-utils/qv4l2_0.8.8-3_armhf.deb
Size: 73614
SHA256: d9cb8da91335d042312f34559568d65e525024d1828cd7f0d8bd9c22187085fc
SHA1: ec4f70a08c54f000dc60ac6fd6097ae6f2c32347
MD5sum: 121d7e681cea40c6e8d807fe1323daac
Description: Graphical Qt v4l2 control panel
 Qt v4l2 control panel application. You can change all through the v4l2
 interface exposed device controls.

Package: qviaggiatreno
Version: 2010.11.1-1
Architecture: armhf
Maintainer: Davide Puricelli (evo) 
Installed-Size: 387
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.6.1), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Priority: optional
Section: misc
Filename: pool/main/q/qviaggiatreno/qviaggiatreno_2010.11.1-1_armhf.deb
Size: 161620
SHA256: 3b4148092b57faf546b8e70f6e3c8ca078bf561c8058d4362462e8e19c7aaaa5
SHA1: 7fd8296b0162c838d7efae0f1ab3314d03d8a711
MD5sum: 6ade83c0dcc0c600ca28e390f6535222
Description: Qt tool to monitor the Italian railway traffic
 QViaggiaTreno is a tool to monitor the Italian railway traffic. It is based
 on Qt and it acts as a GUI for ViaggiaTreno, a web tool developed by Italian
 Railways.

Package: qwbfsmanager
Version: 1.1.0-1.1
Architecture: armhf
Maintainer: Filipe Azevedo 
Installed-Size: 1516
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.6.0), libqt4-xml (>= 4:4.6.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libx11-6, libxext6
Homepage: http://code.google.com/p/qwbfs/
Priority: extra
Section: utils
Filename: pool/main/q/qwbfsmanager/qwbfsmanager_1.1.0-1.1_armhf.deb
Size: 1172658
SHA256: 738ba61f32f69cf6232964cbb1bc3165490ac1f53ce0466c628a15141c6054b5
SHA1: 681041f17a6c308e6ad9f242660db8693703e33e
MD5sum: 84097e93e7c83d1157afffe38a397165
Description: graphical file manager for the WBFS filesystem
 QWBFS Manager is a graphical user interface (GUI) for working with WBFS
 filesystem formatted hard disk drive.

Package: qweborf
Source: weborf
Version: 0.13-3
Installed-Size: 100
Maintainer: Salvo 'LtWorf' Tomaselli 
Architecture: all
Depends: python, python-support (>= 0.90.0), weborf (>= 0.12), python-qt4 (>= 4.7.3)
Size: 17404
SHA256: 979f71ae1f6413402a2606ad842432607d276e11aa1f18bea2fad775ad4d4cfe
SHA1: eb6be3e04b4096c1ff711c0597ca679c1cb38099
MD5sum: 80c25ce1e81864a7790e221a2cf88574
Description: Shares files using the HTTP protocol
 Qweborf provides an easy to use graphical interface to share local files using
 the HTTP protocol.
 It can enable writing on the server, webdav, authentication and sending
 directories as tar.gz.
Homepage: http://galileo.dmi.unict.it/wiki/weborf/
Tag: interface::x11, protocol::http, role::program, uitoolkit::qt,
 use::transmission, works-with::file, x11::application
Section: httpd
Priority: optional
Filename: pool/main/w/weborf/qweborf_0.13-3_all.deb

Package: qwo
Version: 0.5-2
Architecture: armhf
Maintainer: Yaroslav Halchenko 
Installed-Size: 123
Depends: libc6 (>= 2.13-28), libconfig9, libimlib2, libx11-6, libxext6, libxtst6
Homepage: http://www.nongnu.org/qwo/
Priority: optional
Section: x11
Filename: pool/main/q/qwo/qwo_0.5-2_armhf.deb
Size: 49236
SHA256: b778cd9f0b6fd23558ee439c6ff2844a6026f093c492a4a659c93c71ad58f6c2
SHA1: 854e0a731117430b6ab384e18393c2256bad4cf2
MD5sum: ce546485e1430f226a2482b25f46f3b4
Description: An efficient input method for touch screens
 Qwo is an implementation of quikwriting, an input method to continuously
 enter text on a touchscreen, without picking up the finger or stylus.

Package: qxw
Version: 20110923-1
Architecture: armhf
Maintainer: Mark Owen 
Installed-Size: 282
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpcre3 (>= 8.10)
Recommends: wordlist
Homepage: http://www.quinapalus.com/qxw.html
Priority: optional
Section: games
Filename: pool/main/q/qxw/qxw_20110923-1_armhf.deb
Size: 123646
SHA256: 2ef47f62ec0cefec781aa8676893a40bf67b6db6ce670bb4d921fb6a3e7e6022
SHA1: af91dc8364ccb9837f0e06cf78b57d75832048ec
MD5sum: 503eb4924a86bc034f94334af7d1728d
Description: advanced interactive crossword construction tool
 Qxw is a program to help you create and publish crosswords,
 from the simplest blocked grid to sophisticated thematic
 puzzles. It can make rectangular-, hexagonal- or
 circular-format grids with blocks, bars or both. It
 has an automatic grid-filling facility that can handle a
 wide range of answer treatments and you can even add your
 own answer treatment methods. Grids can be filled using
 letters, digits, or a mixture of both. Qxw can produce
 output in a range of formats ready for publication.
 .
 Qxw is written in C and has a GUI based on GTK+.

Package: r-base
Version: 2.15.1-4+deb7u1
Installed-Size: 71
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.15.1-4+deb7u1), r-recommended (= 2.15.1-4+deb7u1)
Suggests: ess, r-doc-info | r-doc-pdf
Size: 36898
SHA256: 526a7993553ce30c11afc383a25bef8ae73656cf17d6da9386c8af3879409cb0
SHA1: 2dad247cbddd53c32c9e58d6c63f61ecf5a9acd2
MD5sum: 36579cf8013806ad1de566d6e6432239
Description: GNU R statistical computation and graphics system
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, over thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-'.
 .
 This package is a metapackage which eases the transition from the
 pre-1.5.0 package setup with its larger r-base package. Once installed, it
 can be safely removed and apt-get will automatically upgrade its components
 during future upgrades.  Providing this package gives a way to users to
 then only install r-base-core if they so desire.
Homepage: http://www.r-project.org/
Recommends: r-base-html, r-doc-html
Section: math
Priority: optional
Filename: pool/main/r/r-base/r-base_2.15.1-4+deb7u1_all.deb

Package: r-base-core
Source: r-base
Version: 2.15.1-4+deb7u1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 28378
Depends: zip, unzip, libpaper-utils, xdg-utils, libblas3 | libblas.so.3 | libatlas3-base, libbz2-1.0, libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), libglib2.0-0 (>= 2.12.0), libgomp1 (>= 4.2.1), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), liblapack3 | liblapack.so.3 | libatlas3-base, liblzma5 (>= 5.1.1alpha+20120614), libpango1.0-0 (>= 1.14.0), libpcre3 (>= 8.10), libpng12-0 (>= 1.2.13-4), libreadline6 (>= 6.0), libsm6, libtiff4 (>> 3.9.5-3~), libx11-6, libxext6, libxss1, libxt6, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), zlib1g (>= 1:1.1.4), ucf (>= 3.0)
Recommends: r-recommended, r-base-dev, r-doc-html
Suggests: ess, r-doc-info | r-doc-pdf, r-mathlib, r-base-html
Conflicts: r-base-latex, r-cran-rcompgen, r-gnome
Replaces: r-base (<= 1.4.1-1), r-base-latex (<= 2.9.2-4), r-cran-rcompgen (<= 0.1-17-1), r-gnome (<= 2.3.1), r-recommended (<< 1.9.0)
Provides: r-base-latex, r-cran-rcompgen, r-gnome
Homepage: http://www.r-project.org/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-base/r-base-core_2.15.1-4+deb7u1_armhf.deb
Size: 20485932
SHA256: 403005af7c1255cc54a4cfea7ec0bba5cf5a7b88d7067f5c90dbe0988534de83
SHA1: 5484e023926de574f53d33e3b5c72cd8232e1031
MD5sum: e4895825fd4b912452294895c2c27062
Description: GNU R core of statistical computation and graphics system
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, over two thousand extension "packages" are available
 from CRAN, the Comprehensive R Archive Network, many also as Debian
 packages, named 'r-cran-'.
 .
 This package provides the core GNU R system from which only the optional
 documentation packages r-base-html, r-doc-html, r-doc-pdf and r-doc-info
 have been split off to somewhat reduce the size of this package.

Package: r-base-core-dbg
Source: r-base
Version: 2.15.1-4+deb7u1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 7270
Depends: r-base-core (= 2.15.1-4+deb7u1), r-base-dev (= 2.15.1-4+deb7u1)
Homepage: http://www.r-project.org/
Priority: extra
Section: debug
Filename: pool/main/r/r-base/r-base-core-dbg_2.15.1-4+deb7u1_armhf.deb
Size: 2815834
SHA256: d459e243b7be8538cf5051090326d9f8180e1bcff06f78734d20e1c5160191fb
SHA1: a59cbc4b8659615b0d5403abd3c791b4ecef9c43
MD5sum: 1e36e5040064aa57c42c123af1b2ab0f
Description: GNU R debug symbols for statistical comp. language and environment
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, over thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-'.
 .
 This package contains debugging symbol tables for the binaries and dynamic
 libraries in the r-base-core package.

Package: r-base-dev
Source: r-base
Version: 2.15.1-4+deb7u1
Installed-Size: 33
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.15.1-4+deb7u1), build-essential, gcc, g++, gfortran, libblas-dev | libatlas-base-dev, liblapack-dev | libatlas-base-dev, libncurses5-dev, libreadline-dev, libjpeg-dev, libpcre3-dev, libpng-dev, zlib1g-dev, libbz2-dev, cdbs
Suggests: debhelper, texlive-base, texlive-latex-base, texlive-generic-recommended, texlive-fonts-recommended, texlive-fonts-extra, texlive-extra-utils, texlive-latex-recommended, texlive-latex-extra, texinfo, texi2html
Size: 3884
SHA256: 6b60af76a94a389581e621e14f56f8db688abcfcb198562e1753d244a228d32d
SHA1: db7fce3035697bf52d7160dc1af809ac37873bf8
MD5sum: cd0ed5c0e4c3d6a4e2d428654cfa60ac
Description: GNU R installation of auxiliary GNU R packages
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, over thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-'.
 .
 This package ensures that other Debian packages needed for installation of
 some auxiliary R packages are installed.
Homepage: http://www.r-project.org/
Section: devel
Priority: optional
Filename: pool/main/r/r-base/r-base-dev_2.15.1-4+deb7u1_all.deb

Package: r-base-html
Source: r-base
Version: 2.15.1-4+deb7u1
Installed-Size: 586
Maintainer: Dirk Eddelbuettel 
Architecture: all
Replaces: r-base (<= 1.4.1-1), r-recommended (<< 1.9.0)
Depends: r-base-core
Suggests: r-doc-html, mozilla | www-browser
Size: 90768
SHA256: cedfc771111a13477798dbb220f5bbca696968d40277e9c301d44149f9dc0a7b
SHA1: 29237797042aefc4f4c99d8d8fb774e2377d5f13
MD5sum: f369674fe33dccf90ecc15ea41882b5b
Description: GNU R html docs for statistical computing system functions
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, over thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-'.
 .
 This package provides html documentation suitable for browsing with a
 web-browser for the libraries included in the r-base package. It is not
 a required package as the same documentation is already included for
 on-line browsing.
Homepage: http://www.r-project.org/
Section: math
Priority: extra
Filename: pool/main/r/r-base/r-base-html_2.15.1-4+deb7u1_all.deb

Package: r-bioc-biobase
Version: 2.14.0-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 3007
Pre-Depends: dpkg (>= 1.15.6)
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1~)
Homepage: http://www.bioconductor.org
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-bioc-biobase/r-bioc-biobase_2.14.0-1_armhf.deb
Size: 2283870
SHA256: b4f7fb06c34d71f9e618ff7340ab608030b08794cf570faf8892475dc09fd536
SHA1: 20a10249c275be1f08aa218e32b4872ca8d6a7e3
MD5sum: f9cd8d536d8b7361e32792d048cc3789
Description: base functions for Bioconductor
 Biobase is part of the Bioconductor project, and is used by many other
 packages. Biobase contains standardized data structures to represent genomic
 data, and functions that are needed by many other packages or which replace R
 functions.
 .
 Bioconductor is a project to develop innovative software tools for use in
 computational biology. It is based on the R language. You should already be
 quite familiar with R before using Bioconductor. Bioconductor packages provide
 flexible interactive tools for carrying out a number of different computational
 tasks.
 .
 Most other Bioconductor packages are not packaged for Debian, but this
 packages provides in its Debian documentation instructions on how to install
 unofficial auto-generated Bioconductor packages for Debian and Ubuntu systems,
 that are prepared by members of the Debian Med team.

Package: r-bioc-biocgenerics
Version: 0.2.0-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 391
Depends: r-base-core (>= 2.15.1-1)
Homepage: http://www.bioconductor.org/packages/release/bioc/html/BiocGenerics.html
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-bioc-biocgenerics/r-bioc-biocgenerics_0.2.0-1_armhf.deb
Size: 243516
SHA256: 9f1afe809ea0908d062a7e65d80a6bc9c233cd64a6b5683717c8724811a77674
SHA1: 23e49b107aa5980056dbefb0b5e96cb39a61ea6f
MD5sum: f71b1836df8025584775cae80fa66aea
Description: generic functions for Bioconductor
 S4 generic functions needed by many other Bioconductor packages.
 .
 Bioconductor provides tools for the analysis and comprehension of
 high-throughput genomic data. Bioconductor uses the R statistical
 programming language, and is open source and open development.

Package: r-bioc-cummerbund
Version: 1.2.0-1
Installed-Size: 3236
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.15.0~), r-cran-rsqlite, r-cran-reshape2, r-cran-ggplot2
Size: 1205062
SHA256: 1fb9643fc43a093dd5b5b8c3cf0dfaa4906fd8c0196c2bb992943aa4b546669e
SHA1: e870c05b7cbacc0f6e020e4b3e644889f257fedc
MD5sum: 041416dcef972c17cef0ec689e4d6b03
Description: tool for analysis of Cufflinks RNA-Seq output
 Allows for persistent storage, access, exploration, and manipulation of
 Cufflinks high-throughput sequencing data. In addition, provides
 numerous plotting functions for commonly used visualizations.
Homepage: http://compbio.mit.edu/cummeRbund/
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-bioc-cummerbund/r-bioc-cummerbund_1.2.0-1_all.deb

Package: r-bioc-edger
Version: 2.6.1~dfsg-1
Installed-Size: 1574
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.15.0-1), r-bioc-limma
Pre-Depends: dpkg (>= 1.15.6)
Suggests: r-cran-mass
Size: 573442
SHA256: 66091282f936f15cc747c6bb850c80f37d07e412b351c6687cd30e177a178c86
SHA1: 9333b40e569de5bb676eb73b692ee1181477774d
MD5sum: cb904f3a25c09d9c6fde71abcb8f9745
Description: Empirical analysis of digital gene expression data in R
 Bioconductor package for differential expression analysis of whole
 transcriptome sequencing (RNA-seq) and digital gene expression
 profiles with biological replication. It uses empirical Bayes
 estimation and exact tests based on the negative binomial
 distribution. It is also useful for differential signal analysis with
 other types of genome-scale count data.
Homepage: http://www.bioconductor.org/packages/release/bioc/html/edgeR.html
Tag: field::biology, implemented-in::r, interface::commandline, role::plugin,
 role::program, role::shared-lib, scope::utility, use::analysing,
 use::calculating, use::comparing
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-bioc-edger/r-bioc-edger_2.6.1~dfsg-1_all.deb

Package: r-bioc-hilbertvis
Version: 1.14.0-1
Architecture: armhf
Maintainer: Debian-Med Packaging Team 
Installed-Size: 1456
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), r-base-core (>= 2.15.1-4), r-cran-lattice
Homepage: http://www.ebi.ac.uk/huber-srv/hilbert
Priority: optional
Section: math
Filename: pool/main/r/r-bioc-hilbertvis/r-bioc-hilbertvis_1.14.0-1_armhf.deb
Size: 1052658
SHA256: ca1ba2017046aed98cdfe43140c7bea46a641e549f213aaca834310b68de6b0b
SHA1: 43fe6b8ef99111806253d35f17f949a336417b2b
MD5sum: fce22dd18a943aee7520fab7534afb32
Description: GNU R package to visualise long vector data
 This tool allows one to display very long data vectors in a space-efficient
 manner, by organising it along a 2D Hilbert curve. The user can then
 visually judge the large scale structure and distribution of features
 simultaenously with the rough shape and intensity of individual features.
 .
 In bioinformatics, a typical use case is ChIP-Chip and ChIP-Seq,
 or basically all the kinds of genomic data, that are conventionally
 displayed as quantitative track ("wiggle data") in genome browsers such
 as those provided by Ensembl or UCSC.

Package: r-bioc-limma
Version: 3.12.0~dfsg-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 978
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4)
Homepage: http://bioinf.wehi.edu.au/limma/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-bioc-limma/r-bioc-limma_3.12.0~dfsg-1_armhf.deb
Size: 800728
SHA256: 6b2aabc18f0cbdcf798c855a90d4097fbebd75437add7e2697b5520c6de5fa96
SHA1: 8e86eb5d530a563103785a6fb5682e01294dd834
MD5sum: aa6fb0ce62250f3b90afc2e71f87421c
Description: linear models for microarray data
 A Bioconductor package for the analysis of gene expression microarray data,
 especially the use of linear models for analysing designed experiments and the
 assessment of differential expression. The package includes pre-processing
 capabilities for two-colour spotted arrays. The differential expression methods
 apply to all array platforms and treat Affymetrix, single channel and two
 channel experiments in a unified way.

Package: r-bioc-qvalue
Version: 1.30.0-1
Installed-Size: 348
Maintainer: Debian Med Packaging Team 
Architecture: all
Replaces: r-cran-qvalue
Provides: r-cran-qvalue
Depends: r-base-core (>= 2.15.0-2)
Conflicts: r-cran-qvalue
Size: 243446
SHA256: ecf5bea1b1f08c0be2f979180c7e282ab76915fac7151be4b5891de5fd4c6174
SHA1: 4d15833dfd81306bfc01bd150dd11a21d0b13e5c
MD5sum: 5c3b5b6782f2d8b4e97421dcc81f6c6b
Description: GNU R package for Q-value estimation for FDR control
 This package takes a list of p-values resulting from the simultaneous
 testing of many hypotheses and estimates their q-values. The
 q-value of a test measures the proportion of false positives incurred
 (called the false discovery rate) when that particular test is called
 significant. Various plots are automatically generated, allowing one
 to make sensible significance cut-offs. Several mathematical results
 have recently been shown on the conservative accuracy of the estimated
 q-values from this software. The software can be applied to problems
 in genomics, brain imaging, astrophysics, and data mining.
Homepage: http://www.bioconductor.org/packages/release/bioc/html/qvalue.html
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-bioc-qvalue/r-bioc-qvalue_1.30.0-1_all.deb

Package: r-cran-abind
Source: abind
Version: 1.4-0-1
Installed-Size: 38
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.14.0)
Size: 35402
SHA256: ce3be608e280e83c40fa2baafbb44e1efd4bfbd58bb0ad28b99acba5d4b626f5
SHA1: 1ded492eb747ebb4e0af6cc77119612855d1ac7e
MD5sum: a18a6059e31b53ba4b576c8094831553
Description: GNU R abind multi-dimensional array combination function
 This package provides the abind function, a generalization of the cbind
 and rbind function in the R base package. The abind function takes a sequence
 of vectors, matrices, or arrays and produces a single array of the same or
 higher dimension.
Tag: devel::lang:r, devel::library, field::statistics, implemented-in::r,
 role::app-data, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/a/abind/r-cran-abind_1.4-0-1_all.deb

Package: r-cran-amelia
Version: 1.6.1-1
Installed-Size: 1045
Maintainer: Chris Lawrence 
Architecture: all
Depends: r-base-core (>= 2.15.0-1), r-cran-foreign, bwidget
Suggests: r-cran-zelig
Size: 886316
SHA256: 76e8f925138d753feb9f896530903ac36ed7328b6f5d803960bac11020ec47fe
SHA1: 39fa3a2a426439f3e2314d5cd1637981ded0aee2
MD5sum: 4d40f7793bd3230212f10adfffc04644
Description: GNU R package supporting multiple imputation of missing data
 Amelia II "multiply imputes" missing data in a single cross-section
 (such as a survey), from a time series (like variables collected for
 each year in a country), or from a time-series-cross-sectional data
 set (such as collected by years for each of several
 countries). Amelia II implements our bootstrapping-based algorithm
 that gives essentially the same answers as the standard IP or EMis
 approaches, is usually considerably faster than existing approaches
 and can handle many more variables.
 .
 The program also generalizes existing approaches by allowing for
 trends in time series across observations within a cross-sectional
 unit, as well as priors that allow experts to incorporate beliefs
 they have about the values of missing cells in their data. Amelia II
 also includes useful diagnostics of the fit of multiple imputation
 models. The program works from the R command line or via a graphical
 user interface that does not require users to know R.
Homepage: http://gking.harvard.edu/amelia/
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-amelia/r-cran-amelia_1.6.1-1_all.deb

Package: r-cran-amore
Version: 0.2-12-2
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 143
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1~)
Homepage: http://cran.r-project.org/web/packages/AMORE/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-amore/r-cran-amore_0.2-12-2_armhf.deb
Size: 69460
SHA256: 452c028ca2da4f6c6299c5eb6db86b960d30b877910b0ed22b356aca6a2af3a0
SHA1: 2436cf2ad98186505c2ea9760f9b31b8302cef3f
MD5sum: cbb0a2dbdbd75289c8cc1cb5fb78ce72
Description: GNU R: A MORE flexible neural network package
 This package was born to release the TAO robust neural network
 algorithm to the R users. It has grown and I think it can be of interest
 for the users wanting to implement their own training algorithms as well
 as for those others whose needs lye only in the "user space".

Package: r-cran-bayesm
Version: 2.2-4-1
Architecture: armhf
Maintainer: Chris Lawrence 
Installed-Size: 2351
Depends: r-base-core (>> 2.5.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Homepage: http://www.perossi.org/home/bsm-1
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-bayesm/r-cran-bayesm_2.2-4-1_armhf.deb
Size: 2020222
SHA256: 79f22b0af02b4f325798605b65bb4e4e3654e8cad9792ce6cc711be3084545b7
SHA1: de5ff0309982b3975ddc383d4bd80c4707db338d
MD5sum: 4f0911daf2777cc01fc2148beb9d034f
Description: GNU R package for Bayesian inference
 The bayesm package covers many important models used in marketing and
 micro-econometrics applications. The package includes:
 .
  * Bayes Regression (univariate or multivariate dep var)
  * Multinomial Logit (MNL) and Multinomial Probit (MNP)
  * Multivariate Probit,
  * Multivariate Mixtures of Normals
  * Hierarchical Linear Models with normal prior and covariates
  * Hierarchical Multinomial Logits with mixture of normals prior and
    covariates
  * Bayesian analysis of choice-based conjoint data
  * Bayesian treatment of linear instrumental variables models
  * Analyis of Multivariate Ordinal survey data with scale usage heterogeneity
    (as in Rossi et al, JASA (01)).
 .
 For further reference, consult the authors' book, _Bayesian Statistics and
 Marketing_ by Allenby, McCulloch and Rossi.

Package: r-cran-bitops
Source: bitops
Version: 1.0-4.1-2
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 87
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1~)
Homepage: http://cran.r-project.org/web/packages/bitops/
Priority: optional
Section: gnu-r
Filename: pool/main/b/bitops/r-cran-bitops_1.0-4.1-2_armhf.deb
Size: 21512
SHA256: a6037803f92d5803bcc49f3bd4e19a34f20f316232d04a86681a9544e4492ed0
SHA1: 6ae18874e6cc38a6e29b96a814f1b41d3b41e50d
MD5sum: 036289e80a4c58f30c2f80b3ca848884
Description: GNU R package implementing bitwise operations
 Functions for bitwise operations on integer vectors.
 .
 This package of R programming tools for plotting data was written by
 Steve Dutky  with parts by Martin Maechler.

Package: r-cran-boot
Source: boot
Version: 1.3-5-1
Installed-Size: 531
Maintainer: Dirk Eddelbuettel 
Architecture: all
Replaces: r-recommended (<< 1.9.0)
Depends: r-base-core (>= 2.15.1-1)
Size: 472392
SHA256: f4140192f52b243a768271514c15a5e3ba3d941bb8c0785ec2a784bbc259704b
SHA1: 077e15397d8ee94146ffab842009791fbc4614c5
MD5sum: 020a59e0dbd476bd20f83231a2802574
Description: GNU R package for bootstrapping functions from Davison and Hinkley
 This package provides functions and datasets from the book "Bootstrap Methods
 and Their Applications" by A. C. Davison and D. V. Hinkley (1997, CUP). It was
 witten by Angelo Canty, and ported to R by Brian Ripley.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.
 .
 URL: http://statwww.epfl.ch/davison/BMA/library.html
Tag: devel::lang:r, devel::library, field::statistics, implemented-in::r,
 role::app-data, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/b/boot/r-cran-boot_1.3-5-1_all.deb

Package: r-cran-cairodevice
Source: cairodevice
Version: 2.19-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 129
Depends: r-base-core (>> 2.13.2-1), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0)
Priority: optional
Section: gnu-r
Filename: pool/main/c/cairodevice/r-cran-cairodevice_2.19-1_armhf.deb
Size: 36478
SHA256: 2709da32160cfb8653fe10c95ac160642c1f857b0ab895cdefe1db9c15fe2e1c
SHA1: 8032583c68c64e2cd6e3f10d9e351a475535a543
MD5sum: 45476082e740fa82efbe59ba768cf44f
Description: GNU R Cairo/Gtk2 device driver package
 This CRAN package provides a Cairo/GTK2 graphics device for GNU R that
 that, in addition to standalone functionality, can be used to create
 devices as embedded components in a GUI using a Gtk drawing area widget,
 e.g. using RGtk2.

Package: r-cran-car
Source: car
Version: 2.0-12-1
Installed-Size: 1098
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.14.1), r-cran-mass, r-cran-nnet, r-cran-survival
Size: 999574
SHA256: b7f6f96ba1eaf873f1709fc16cfffde1de6ff7308ad38cbb66c70d38ad102c30
SHA1: 0bf0bb0dd8dda088d14bde5260c4c35688feee5d
MD5sum: 56823c6cf609baff97e3545abbccc4e4
Description: GNU R Companion to Applied Regression by John Fox
 This package accompanies J. Fox, An R and S-PLUS Companion to Applied
 Regression, Sage, 2002. The package contains mostly functions for applied
 regression, linear models, and generalized linear models, with an emphasis
 on regression diagnostics, particularly graphical diagnostic methods.
 There are also some utility functions.
Tag: devel::lang:r, devel::library, field::statistics, implemented-in::r,
 role::app-data, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/c/car/r-cran-car_2.0-12-1_all.deb

Package: r-cran-catools
Source: catools
Version: 1.12-2
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 232
Depends: r-base-core (>= 2.15.1~), r-cran-bitops, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1)
Suggests: r-cran-mass, r-cran-rpart
Homepage: http://cran.r-project.org/web/packages/caTools/
Priority: optional
Section: gnu-r
Filename: pool/main/c/catools/r-cran-catools_1.12-2_armhf.deb
Size: 159926
SHA256: 83666d545e00f1245b1ac707013d7a68725f1b9bf9fe7dd183306de72d20c839
SHA1: e607ef45267b71f00e73f39cb70fc587186c80f4
MD5sum: deac8c2fa9528ebadc67d881b569b3ac
Description: GNU R package providing various utility functions
 The packages features several basic utility functions including:
 moving (rolling, running) window statistic functions, read/write for GIF
 and ENVI binary files, fast calculation of AUC, LogitBoost classifier, base64
 encoder/decoder, round-off error free sum and cumsum, etc.
 .
 This package of R programming tools for plotting data was written by
 Jarek Tuszynski.

Package: r-cran-chron
Source: chron
Version: 2.3-42-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 175
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.13.1)
Priority: optional
Section: gnu-r
Filename: pool/main/c/chron/r-cran-chron_2.3-42-1_armhf.deb
Size: 98178
SHA256: e76e96bcca3d5c56120b4c9a5e223b93cbc0e6ccbf7638ee253310dcd9c30346
SHA1: 00fe869262f77151b877e34a4e3445453595d204
MD5sum: 3707e4083bf0d072ea508afaddb45bb7
Description: GNU R package for chronologically ordered objects
 This package contains function for chronologically ordered objects
 to handle dates and time in GNU R.

Package: r-cran-class
Version: 7.3-4-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 186
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4), r-cran-mass
Replaces: r-cran-vr (<< 7.3-0)
Homepage: http://cran.r-project.org/web/packages/class/index.html
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-class/r-cran-class_7.3-4-1_armhf.deb
Size: 69214
SHA256: b5111c4ef07dd3b8b883f5dc32f9870df2c80012aeb2fed5746d86e808ac84c9
SHA1: 9be90a3a7e8b1dcab24796b93c1e1c087816bc50
MD5sum: 2ebdaec8794a2fabf139681b84dd124d
Description: GNU R package for classification
 The class package provides functions and datasets to support chapter
 12 on 'Classification' in the book 'Modern Applied Statistics with S'
 (4th edition) by W.N. Venables and B.D. Ripley. The following URL
 provides more details about the book:
 URL: http://www.stats.ox.ac.uk/pub/MASS4

Package: r-cran-cluster
Source: cluster
Version: 1.14.2-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 523
Depends: libc6 (>= 2.13-28), libgfortran3 (>= 4.3), r-base-core (>= 2.14.1)
Replaces: r-recommended (<< 1.9.0)
Priority: optional
Section: gnu-r
Filename: pool/main/c/cluster/r-cran-cluster_1.14.2-1_armhf.deb
Size: 391188
SHA256: 9b893cc92472cde7146fcfe9258e87a715f60c7f721e4e2cf2168cc4cc13c37d
SHA1: c5b161fe5c2c4f69b48b57a64c932a38034f1db8
MD5sum: 1da054e57b43d96f02ac0a8868b0c8cb
Description: GNU R package for cluster analysis by Rousseeuw et al
 This package provides functions and datasets for cluster analysis originally
 written by Peter Rousseeuw, Anja Struyf and Mia Hubert.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.

Package: r-cran-coda
Version: 0.14-7-1
Installed-Size: 219
Maintainer: Chris Lawrence 
Architecture: all
Depends: r-base-core (>= 2.15.0-1), r-cran-lattice
Suggests: r-cran-mcmcpack
Size: 203460
SHA256: 51a53e08c6fed61647ac0676b3b195aa7e5071b74daa984fbae66dcd96df7353
SHA1: 6c287b7907be474f44bf3533c30c86f1e41c2f9f
MD5sum: 36fcdbf6f0e3627002b694a6084f8d6f
Description: Output analysis and diagnostics for MCMC simulations in R
 This package provides output analysis and diagnostics for Markov Chain
 Monte Carlo simulations and estimations within R.  It also includes some
 graphical analysis routines and a facility for importing output from BUGS
 (Bayes Using Gibbs Sampling), a popular tool for running MCMC simulations.
 .
 The related r-cran-mcmcpack package includes MCMC estimators for some
 common models in the social sciences.
Homepage: http://www-fis.iarc.fr/coda/
Tag: devel::lang:r, devel::library, field::statistics, implemented-in::r,
 role::app-data
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-coda/r-cran-coda_0.14-7-1_all.deb

Package: r-cran-codetools
Source: codetools
Version: 0.2-8-1
Installed-Size: 108
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.12.1)
Size: 43442
SHA256: 75b37045562c4e9671334a4413402250f269ca88ddcc3da58df32362d73123cd
SHA1: df13047d729a8b08370edb561bb230417f3c267f
MD5sum: 70efc5704182dea86d15b672a18c4a05
Description: GNU R package providing code analysis tools
 This package provides functions for code analysis for R.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.
Tag: devel::lang:r, devel::library, field::statistics, implemented-in::r,
 role::app-data, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/c/codetools/r-cran-codetools_0.2-8-1_all.deb

Package: r-cran-colorspace
Version: 1.0.1-1
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 544
Depends: libc6 (>= 2.13-28), r-base-core
Homepage: http://cran.r-project.org/web/packages/colorspace
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-colorspace/r-cran-colorspace_1.0.1-1_armhf.deb
Size: 353070
SHA256: 679c336b8704c4e76acb71c83278e47c7dd039652d1ce9dd6fb40259538ac807
SHA1: 6aa5d9039dff5473581697a5c501b756264656df
MD5sum: b012fb65e918ccb4f638194744268e6c
Description: GNU R Color Space Manipulation
 Carries out mapping between assorted color spaces including RGB, HSV, HLS,
 CIEXYZ, CIELUV, HCL (polar CIELUV), CIELAB and polar CIELAB. Qualitative,
 sequential, and diverging color palettes based on HCL colors are provided.

Package: r-cran-combinat
Version: 0.0-8-3
Installed-Size: 87
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.14.2~20120222-1)
Size: 28968
SHA256: f2cb18b3c001f9cc07c81d7cde108bf9836b61152ca791f989d0b89a2591dddb
SHA1: b4d36e02c021c2b633c402135c925c7ef095bec2
MD5sum: f79186769fc9770d15a5a0473c70ca09
Description: GNU R package with utilities for combinatorics
 The R library provides routines for combinatorics.
Homepage: http://cran.r-project.org/web/packages/combinat
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-combinat/r-cran-combinat_0.0-8-3_all.deb

Package: r-cran-date
Source: date
Version: 1.2.32-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 101
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.14.1)
Priority: optional
Section: gnu-r
Filename: pool/main/d/date/r-cran-date_1.2.32-1_armhf.deb
Size: 35998
SHA256: 3bd522b1da33fdf32ec5884d2d1368e004421d9b9eec3b9ba8454010a8516a21
SHA1: df2e58fb233ce2fa3828b7bf6d438b37c7570c4b
MD5sum: 0161600ce6d06401a8040bfcad4701e6
Description: GNU R package for date handling
 This CRAN package provides functions for handling dates, along with
 examples and documentation.
 .
 Note that this is a legacy package providing functionality that had
 been absent from R. However, more recent versions of R have more
 powerful date and datetime functionality in the base package, i.e.
 r-base-core under Debian.

Package: r-cran-dbi
Source: dbi
Version: 0.2-5-2
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 574
Depends: r-base-core (>= 2.13.1)
Suggests: r-cran-rmysql
Homepage: http://stat.bell-labs.com/RS-DBI
Priority: optional
Section: gnu-r
Filename: pool/main/d/dbi/r-cran-dbi_0.2-5-2_armhf.deb
Size: 396878
SHA256: 06fe499cb39a19f7db4224e60795a791298156d340b8a35115401af9c68b0a7b
SHA1: 64ac20430a3f0279f46c4fdcc5f22dd5c76d9420
MD5sum: bd205a7187fdfca413050f737d8ab749
Description: GNU R package providing a generic database interface
 A generic database interface (DBI) definition for communication
 between R and relational database management systems -- similar to
 Perl's DBI system.  All classes in this package are virtual and need
 to be extended by the various R/DBMS implementations as e.g. RMySQL.

Package: r-cran-deal
Version: 1.2.34-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 257
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4)
Homepage: http://cran.r-project.org/web/packages/deal/index.html
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-deal/r-cran-deal_1.2.34-1_armhf.deb
Size: 172982
SHA256: 4000752b78cea8daa1427dd97af468b983ac420080316c9bf5f73f78c985131c
SHA1: e30084435973f3f5cc639fa5c75332bcf5d54d45
MD5sum: 3a32d7731e2343b7851a31f6fe53f24f
Description: Learning Bayesian Networks with Mixed Variables
 Bayesian networks with continuous and/or discrete variables
 can be learned and compared from data.

Package: r-cran-diagnosismed
Version: 0.2.3-2
Installed-Size: 141
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.14.0~), r-cran-epicalc, r-cran-epitools, r-cran-teachingdemos, r-cran-amore
Suggests: r-cran-surveillance, r-cran-epi, r-cran-epibasix, r-cran-epir
Size: 80508
SHA256: 02709ebdcd102609babb9a995eb7b9887c80b74d7a862d96722b06f0c6fe4eee
SHA1: 142b23e36108807c06e5c927aa6eff6c8b2bb4f9
MD5sum: 18b5407658bf0d08d2fc4b9a4f6e82d8
Description: medical diagnostic test accuracy analysis toolkit
 DiagnosisMed is a GNU R package to analyze the accuracy of data from
 diagnostic tests evaluating health conditions. It was designed to be
 used by health professionals. This package helps estimating sensitivity
 and specificity from categorical and continuous test results including
 some  evaluations of indeterminate results, or compare different
 categorical tests, and estimate reasonable cut-offs of tests and display
 it in a way commonly used by health professionals. No graphical
 interface is available yet.
Homepage: http://cran.r-project.org/web/packages/DiagnosisMed
Tag: devel::lang:r, field::medicine, implemented-in::r,
 interface::commandline, role::program, use::analysing
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-diagnosismed/r-cran-diagnosismed_0.2.3-2_all.deb

Package: r-cran-digest
Version: 0.5.2-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 128
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4)
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-digest/r-cran-digest_0.5.2-1_armhf.deb
Size: 53928
SHA256: 0516e37e51afabde6de3cbbe750c6945b7783cbccf172da9725a2311d41e8131
SHA1: d4b2f98dad0d6628ee7624ee080c9ae9ee9e00e0
MD5sum: 28b0625ec54d02e5894319132d596ee6
Description: GNU R package for 'hash digest' of R data structures
 This package provides a function 'digest()' for the creation of hash
 digests of arbitrary R objects (using the md5, sha-1, sha-256 and
 crc32 algorithms) permitting easy comparison of R language objects,
 as well as a function 'hmac()' to create hash-based message
 authentication code.
 .
 The md5 algorithm by Ron Rivest is specified in RFC 1321, the SHA-1
 and SHA-256 algorithms are specified in FIPS-180-1 and FIPS-180-2,
 and the crc32 algorithm is described in
 ftp://ftp.rocksoft.com/cliens/rocksoft/papers/crc_v3.txt. For md5,
 sha-1 and sha-256, this package uses a small standalone
 implementations that were provided by Christophe Devine. For crc32,
 code from the zlib library is used.
 .
 Please note that this package is not meant to be deployed for
 cryptographic purposes for which more comprehensive (and widely
 tested) libraries such as OpenSSL should be used.

Package: r-cran-domc
Version: 1.2.5-1
Installed-Size: 184
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.15.0-1), r-cran-multicore, r-cran-foreach, r-cran-iterators
Size: 162810
SHA256: b15d71d40204c182b84e0f95b33ceb9486f10d9f08b5ec8c723d4aeec2fb1c93
SHA1: 5ce4b49f8709b8141a6bbb0f179dd0f2c5951cb5
MD5sum: 53694c846315fac0ece89e18997520a2
Description: GNU R parallel excution backend for %dopar% using multicore
 This package provides a parallel backend for the %dopar% function using
 Simon Urbanek's multicore package.
Homepage: http://cran.r-project.org/web/packages/doMC/index.html
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-domc/r-cran-domc_1.2.5-1_all.deb

Package: r-cran-dosnow
Version: 1.0.6-1
Installed-Size: 14
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.15.0-1), r-cran-multicore, r-cran-foreach, r-cran-iterators
Size: 11516
SHA256: ba4a1b8736a9706c93a9e8d2728122ad132c6fc015096f31eefca3476cf54ff9
SHA1: ecdebb17c3bfab8126c4a5f11a45beb291134488
MD5sum: 3df0f9687d88783ec4723fd6eaf3d831
Description: GNU R parallel excution backend for %dopar% using snow
 This package provides a parallel backend for the %dopar% function using
 Luke Tierney's snow package (which itself can wrap MPI, PVM, NWS or sockets).
Homepage: http://cran.r-project.org/web/packages/doSNOW/index.html
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-dosnow/r-cran-dosnow_1.0.6-1_all.deb

Package: r-cran-eco
Version: 3.1-4-2
Architecture: armhf
Maintainer: Chris Lawrence 
Installed-Size: 468
Depends: r-base-core (>= 2.10.0), libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base, r-cran-mass
Suggests: r-cran-mcmcpack
Homepage: http://imai.princeton.edu/research/eco.html
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-eco/r-cran-eco_3.1-4-2_armhf.deb
Size: 257034
SHA256: fbcccb6deb5b81db617379f783bb2a48a0366e53e70155079303eb10ddf4de64
SHA1: 199b508b1866b1daf8bea26afc69e08cd6f32b53
MD5sum: fc3af810fdbf7ef99293c43de61f127b
Description: GNU R routines for Bayesian ecological inference
 This is a set of routines for GNU R that implement Imai and Lu's
 parametric and nonparametric Bayesian ecological inference algorithms
 using Markov chain Monte Carlo estimation.  Ecological inference is a
 statistical technique designed to recover individual-level information
 from aggregate-level data.
 .
 The suggested r-cran-mcmcpack package includes other EI estimators that
 may be useful alternatives to those included in this package.

Package: r-cran-effects
Source: effects
Version: 2.1.1-1
Installed-Size: 235
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.15.0-1), r-cran-lattice (>= 0.10.11), r-cran-mass, r-cran-nnet, r-cran-nlme, r-cran-colorspace
Size: 196406
SHA256: 0d19aa0e5e81bd90ee413641e4605ddb4badde5aebee5d56f6be0af783795d2b
SHA1: 6b9ede3355a4d2075115efafda2ba89a061887f2
MD5sum: db2a18b9a410ddb7581337555368fe1e
Description: GNU R graphical and tabular effects display for glm models
 This package provides functions for 'effect' displays: Graphical and
 tabular effect displays, e.g., of interactions, for linear generalized
 linear, multinomial-logit, and proportional-odds logit models.
 .
 An earlier version was described in the article by Fox (2003) in the
 Journal of Statistical Software available at
 http://www.jstatsoft.org/v08/i15/
Tag: devel::lang:r, devel::library, field::statistics, implemented-in::r,
 role::app-data, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/e/effects/r-cran-effects_2.1.1-1_all.deb

Package: r-cran-epi
Version: 1.1.33-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 1251
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4)
Homepage: http://staff.pubhealth.ku.dk/~bxc/Epi/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-epi/r-cran-epi_1.1.33-1_armhf.deb
Size: 1156414
SHA256: cd0ba1b59d2bb5a8cb1cc7dbef5864fbbbc8d67858bb6915de3729fbb6157c10
SHA1: 55622bbb287d2421c6950bd731a63568a3147408
MD5sum: 1729de6a1eadd038fd9e56894e7d7de7
Description: GNU R epidemiological analysis
 Functions for demographic and epidemiological analysis in the Lexis diagram,
 i.e. register and cohort follow-up data, including interval censored data and
 representation of multistate data. Also some useful functions for tabulation
 and plotting. Contains some epidemiological datasets.
 .
 The Epi package is mainly focused on "classical" chronic disease epidemiology.
 The package has grown out of the course Statistical Practice in Epidemiology
 using R (see http://www.pubhealth.ku.dk/~bxc/SPE).
 .
 There is A short introduction to R for Epidemiology available at
 http://staff.pubhealth.ku.dk/%7Ebxc/Epi/R-intro.pdf
 Beware that the pages 38-120 of this is merely the manual pages for the Epi
 package.
 .
 Epi is not the only R-package for epidemiological analysis, a package with
 more affinity to infectious disease epidemiology is the epitools package
 which is also evailable in Debian.
 .
 Epi is used in the Department of Biostatistics of the University of Copenhagen.

Package: r-cran-epibasix
Version: 1.1-3
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 119
Depends: r-base-core (>= 2.15.1~)
Suggests: r-cran-surveillance, r-cran-epi, r-cran-epitools
Homepage: http://cran.r-project.org/web/packages/epibasix
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-epibasix/r-cran-epibasix_1.1-3_armhf.deb
Size: 59296
SHA256: 2d698d0fc356a775ad94b6496ad390ec99597e8cb16df9439bce71b06e74060c
SHA1: 6d718ae254939978f652529cec83fff82dc82664
MD5sum: 31519e9a0528ff12b0f6dbd33f574483
Description: GNU R Elementary Epidemiological Functions
 Elementary Epidemiological Functions for a Graduate Epidemiology /
 Biostatistics Course.
 .
 This package contains elementary tools for analysis of common epidemiological
 problems, ranging from sample size estimation, through 2x2 contingency table
 analysis and basic measures of agreement (kappa, sensitivity/specificity).
 Appropriate print and summary statements are also written to facilitate
 interpretation wherever possible. This package is a work in progress, so
 any comments or suggestions would be appreciated. Source code is commented
 throughout to facilitate modification. The target audience includes graduate
 students in various epi/biostatistics courses.
 .
 Epibasix was developed in Canada.

Package: r-cran-epicalc
Version: 2.14.1.6-1
Installed-Size: 540
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.15.0~20120323-1), r-cran-foreign, r-cran-survival, r-cran-mass, r-cran-nnet
Suggests: r-cran-surveillance, r-cran-epi, r-cran-epibasix, r-cran-epitools, r-cran-epir
Size: 446080
SHA256: 844c01130c027bfd7dc0b1e7ef74de7c65f38dfdbfc989c0eb67680cd6910ad2
SHA1: 3de60e9b7655a229424449e80dc8f3f76515ebe5
MD5sum: df027a88bf923f7630413c8685b85834
Description: GNU R Epidemiological calculator
 Functions making R easy for epidemiological calculation.
 .
 Datasets from Dbase (.dbf), Stata (.dta), SPSS(.sav), EpiInfo(.rec) and
 Comma separated value (.csv) formats as well as R data frames can be
 processed to do make several epidemiological calculations.
Homepage: http://cran.r-project.org/web/packages/epicalc
Tag: devel::lang:r, field::medicine, field::statistics, implemented-in::r,
 interface::commandline, role::program
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-epicalc/r-cran-epicalc_2.14.1.6-1_all.deb

Package: r-cran-epir
Version: 0.9-38-1
Installed-Size: 294
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.15.0~20120323-1), r-cran-survival, r-cran-hmisc
Suggests: r-cran-surveillance, r-cran-epi, r-cran-epibasix, r-cran-epitools
Size: 229250
SHA256: 0e8e161e21eff0b8d90521eb9105680dcd1e4d757fd6b989d3330d0164643938
SHA1: 7795173eb1a531f71ba7fa49539e3ace09ddfd6a
MD5sum: 154a48bfbd70dc39db9b7f5a56d1823f
Description: GNU R Functions for analysing epidemiological data
 A package for analysing epidemiological data. Contains functions for
 directly and indirectly adjusting measures of disease frequency,
 quantifying measures of association on the basis of single or multiple
 strata of count data presented in a contingency table, and computing
 confidence intervals around incidence risk and incidence rate estimates.
 Miscellaneous functions for use in meta-analysis, diagnostic test
 interpretation, and sample size calculations.
Homepage: http://cran.r-project.org/web/packages/epiR
Tag: devel::lang:r, field::medicine, implemented-in::r,
 interface::commandline, role::program, use::analysing
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-epir/r-cran-epir_0.9-38-1_all.deb

Package: r-cran-epitools
Version: 1:0.5-6-1
Installed-Size: 380
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.12.0)
Suggests: r-cran-surveillance, r-cran-epi, r-cran-epibasix
Size: 223594
SHA256: 72e186c8101115f1e0288312713d4b10ea717b8ea161584a0452636225ce2abb
SHA1: b5890c442efe20a45d8ac94b006143e832e5bbaa
MD5sum: e21d5cf47082cfd830d9890cf332a9ad
Description: GNU R Epidemiology Tools for Data and Graphics
 GNU R Tools for public health epidemiologists and data analysts.
 Epitools provides numerical tools and programming solutions that
 have been used and tested in real-world epidemiologic applications.
 .
 Many practical problems in the analysis of public health data
 require programming or special software, and investigators in
 different locations may duplicate programming efforts. Often,
 simple analyses, such as the construction of confidence intervals,
 are not calculated and thereby complicate appropriate statistical
 inferences for small geographic areas. There are many examples of
 simple and useful numerical tools that would enhance the work of
 epidemiologists at local health departments and yet are not readily
 available for the problem in front of them. The availability of
 these tools will encourage wider use of appropriate methods and
 promote evidence-based public health practices.
Homepage: http://sites.google.com/site/medepi/epitools
Tag: field::medicine, implemented-in::r, interface::commandline,
 role::program
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-epitools/r-cran-epitools_0.5-6-1_all.deb

Package: r-cran-erm
Source: erm
Version: 0.14-0-2
Architecture: armhf
Maintainer: Julian Gilbey 
Installed-Size: 1012
Depends: r-base-core (>= 2.12.0), r-cran-mass, r-cran-matrix, r-cran-raschsampler, libc6 (>= 2.13-28)
Homepage: http://cran.r-project.org/web/packages/eRm/index.html
Priority: optional
Section: gnu-r
Filename: pool/main/e/erm/r-cran-erm_0.14-0-2_armhf.deb
Size: 809158
SHA256: 519a3b1cdaed748a5a8356b416a953dea5052fff61955a2880af0bc80b15b884
SHA1: e035f6d4f7b9d06e3b15ffd8ffd931cd8d167fbe
MD5sum: f685320d2b296c1e8dfba339fa11055f
Description: GNU R package for 'extended Rasch modelling'
 eRm fits Rasch models (RM), linear logistic test models (LLTM),
 rating scale model (RSM), linear rating scale models (LRSM), partial
 credit models (PCM), and linear partial credit models (LPCM). Missing
 values are allowed in the data matrix. Additional features are the ML
 estimation of the person parameters, Andersen's LR-test,
 item-specific Wald test, itemfit and personfit statistics including
 infit and outfit measures, various ICC and related plots, automated
 stepwise item elimination, simulation module for various binary data
 matrices. An eRm platform is provided at R-forge (see URL).

Package: r-cran-evd
Version: 2.2-4-2
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 1189
Depends: r-base-core (>= 2.15.1~), libc6 (>= 2.13-28)
Homepage: http://cran.r-project.org/web/packages/evd
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-evd/r-cran-evd_2.2-4-2_armhf.deb
Size: 814386
SHA256: 220d24218f767c3f9270f5fd7d628e8b385e7d20b67d5b65cbc31fc703462912
SHA1: ae1f41b3ebb857e4dfc7c27431634ee4bcfbf7e4
MD5sum: 132bed0c27876efdce558d27187752fa
Description: GNU R Functions for extreme value distributions
 Extends simulation, distribution, quantile and density functions to
 univariate and multivariate parametric extreme value distributions, and
 provides fitting functions which calculate maximum likelihood estimates
 for univariate and bivariate maxima models, and for univariate and
 bivariate threshold models.

Package: r-cran-fasianoptions
Source: fasianoptions
Version: 2160.77-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 278
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base, r-base-core (>= 2.15.1-4), r-cran-foptions (>= 270.74), r-cran-fbasics (>= 2160.81-2)
Suggests: r-cran-runit
Homepage: http://www.Rmetrics.org
Priority: optional
Section: gnu-r
Filename: pool/main/f/fasianoptions/r-cran-fasianoptions_2160.77-1_armhf.deb
Size: 138110
SHA256: 9b0e9a8314dcfdfd5cecb0accae1ab5ffaa9d0eb0aca8cc385947b2c586bfcad
SHA1: 03a46a7ffda6043d27b77b8d6ab22aaf10e95ba0
MD5sum: 680c62c65ab2ba78d2ebc947f21d39f4
Description: GNU R package for financial engineering -- fAsianOptions
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fAsianOptions provides functions to price and hedge 'asian' (i.e.
 averaging) options on one or several assets.

Package: r-cran-fassets
Source: fassets
Version: 2100.78-3
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 404
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.11.0~20100409), r-cran-sn, r-cran-mass, r-cran-robustbase, r-cran-timedate, r-cran-timeseries, r-cran-fbasics (>= 290.76), r-cran-fcopulae (>= 2100.77)
Suggests: r-cran-runit
Homepage: http://www.Rmetrics.org
Priority: optional
Section: gnu-r
Filename: pool/main/f/fassets/r-cran-fassets_2100.78-3_armhf.deb
Size: 265084
SHA256: be5d7901aab9437a0b3618a5732dce11fc3384b33acd6fae751f377bc1562fd7
SHA1: 47470dd3a0af6c860f79f93f378b75bc9b4b278b
MD5sum: df1caf0aa078bf7b25bcc96edee144ff
Description: GNU R package for financial engineering -- fAssets
 This package provides functions for modelling and selection of
 financial assets is part of Rmetrics, a collection of packages for
 financial engineering and computational finance written and compiled
 by Diethelm Wuertz and others.
 .
 fAssets provides asset selection and modelling functions.

Package: r-cran-fbasics
Source: fbasics
Version: 2160.81-2
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 1577
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), r-base-core (>= 2.15.1-4), r-cran-mass, r-cran-timedate, r-cran-timeseries (>= 2100.84), r-cran-stabledist
Suggests: r-cran-runit
Homepage: http://www.Rmetrics.org
Priority: optional
Section: gnu-r
Filename: pool/main/f/fbasics/r-cran-fbasics_2160.81-2_armhf.deb
Size: 1315894
SHA256: 3d13839b1f367d1dacffb790f4d27dd0278ac20ff91111945b5d19d77ead75b1
SHA1: 5d2c7ab239f348286d023c38487d5e173fe3df42
MD5sum: 7ee55e14d6f32ac03d0395b858d5b65d
Description: GNU R package for financial engineering -- fBasics
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fBasics provides basic statistical tests, distributions and other tools
 used by many of the Rmetrics packages.

Package: r-cran-fbonds
Source: fbonds
Version: 2100.75-3
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 150
Depends: r-base-core (>> 2.13.2-1), r-cran-fbasics (>= 290.76)
Homepage: http://www.Rmetrics.org
Priority: optional
Section: gnu-r
Filename: pool/main/f/fbonds/r-cran-fbonds_2100.75-3_armhf.deb
Size: 64044
SHA256: 3aa01fa7650ca3e8fb598e36dadd1a697e4c89e63a027cb912f6abfd7e6390cd
SHA1: cc1ad4a961448b968912ca402ac6e774360579fc
MD5sum: 6f19b1a45c91092559249bb8b70d40e9
Description: GNU R package for financial engineering -- fBonds
 This package provides functions for bond and yield curve modelling
 and is part of Rmetrics, a collection of packages for financial
 engineering and computational finance written and compiled by
 Diethelm Wuertz and others.
 .
 fBonds provides modelling functions for bonds and interest rate models.

Package: r-cran-fcopulae
Source: fcopulae
Version: 2110.78-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 576
Depends: libc6 (>= 2.13-28), libgfortran3 (>= 4.3), r-base-core (>= 2.10.0), r-cran-sn, r-cran-timedate, r-cran-timeseries, r-cran-fbasics (>= 2100.78)
Suggests: r-cran-runit
Homepage: http://www.Rmetrics.org
Priority: optional
Section: gnu-r
Filename: pool/main/f/fcopulae/r-cran-fcopulae_2110.78-1_armhf.deb
Size: 377932
SHA256: 87a351d469d9e07e71d5fb00931e6f9b330db6048783168d448cb7cc254d14cd
SHA1: c8a91c5854c7814f6049fbb7d2af87bbcf5828b6
MD5sum: d6457a0f6b956e63fe77f71df6db805e
Description: GNU R package for financial engineering -- fCopulae
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fCopulae provides functions for (nonlinear) dependence structure modelling.

Package: r-cran-fecofin
Source: fecofin
Version: 290.76-3
Installed-Size: 1535
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>> 2.13.2-1)
Suggests: r-cran-runit
Size: 1306774
SHA256: 1c319ea625959fcfd4281babe05852e1f28e0b4b6a23b5df728ced5933bf91fc
SHA1: 14452adc07178a013908b999ba2c2ac260949701
MD5sum: d7a33b2f310846c77b8f2e5cd60430c1
Description: GNU R package for financial engineering -- fEcofin
 This package of data sets for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fEcofin provides economic and financial time series and data sets.
Homepage: http://www.Rmetrics.org
Tag: field::finance, implemented-in::r, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/f/fecofin/r-cran-fecofin_290.76-3_all.deb

Package: r-cran-fexoticoptions
Source: fexoticoptions
Version: 2110.77-2
Installed-Size: 234
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>> 2.13.2-1), r-cran-fbasics (>= 290.76), r-cran-foptions (>= 260.72)
Suggests: r-cran-runit
Size: 179730
SHA256: 2d5f5517cc8e5594ac26c25bb3fc2dad917f29d7025b021c18df68e2e1bb527b
SHA1: 7f7400a1cab61fe880b3d6c66765972c750761b8
MD5sum: 352e046cda7257f763cc0dfcde44f12d
Description: GNU R package for financial engineering -- fExoticOptions
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fExoticOptions provides functions to price and hedge exotic options
 on one or several assets.
Homepage: http://www.Rmetrics.org
Tag: field::finance, implemented-in::r, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/f/fexoticoptions/r-cran-fexoticoptions_2110.77-2_all.deb

Package: r-cran-fextremes
Source: fextremes
Version: 2100.77-3
Installed-Size: 455
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>> 2.13.2-1), r-cran-timedate, r-cran-timeseries, r-cran-fbasics (>= 290.76), r-cran-fgarch, r-cran-ftrading
Suggests: r-cran-runit
Size: 345446
SHA256: e9771789ceca6d2779b30b414008feb2bed50595fbaceb9a0068848200feafc6
SHA1: a33a0c8c5f26c5d3b37210cafb2d7a5bb4dbf6a4
MD5sum: 1b082dc3bca27092c041e27b0b47c21f
Description: GNU R package for financial engineering -- fExtremes
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fExtremes provides functions to analyze extreme values.
Homepage: http://www.Rmetrics.org
Tag: devel::lang:r, devel::library, field::finance, field::statistics,
 implemented-in::r, role::app-data, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/f/fextremes/r-cran-fextremes_2100.77-3_all.deb

Package: r-cran-fgarch
Source: fgarch
Version: 2110.80.1-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 750
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base, r-base-core (>= 2.15.1-4), r-cran-fbasics (>= 2100.78), r-cran-timedate, r-cran-timeseries, r-cran-vr
Suggests: r-cran-runit
Homepage: http://www.Rmetrics.org
Priority: optional
Section: gnu-r
Filename: pool/main/f/fgarch/r-cran-fgarch_2110.80.1-1_armhf.deb
Size: 370430
SHA256: 6cb76026b347c4b570831f0b0162cbe540dd5eade6ca87fea5861a032f6747be
SHA1: b0a4b741dd79de72c505d244ced8529a9d1a897c
MD5sum: 1fef8fecc8da15c71c97a17c345fa21a
Description: GNU R package for financial engineering -- fGarch
 This package provides functions for GARCH volatility modelling and is
 part of Rmetrics, a collection of packages for financial engineering
 and computational finance written and compiled by Diethelm Wuertz and
 others.
 .
 fGarch provides generalized autoregressive conditional heteroscastic
 modelling functions.

Package: r-cran-fimport
Source: fimport
Version: 2160.81-1
Installed-Size: 722
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.15.0~20120317-1), r-cran-timeseries, lynx
Suggests: r-cran-runit
Size: 432866
SHA256: 6f0e9ec75665c2592ec1f0558a4bcf85226f9ce45b8b4f540b96eb1583ebf4e2
SHA1: 83d913507ff5debb23c6c456652592a42eaef114
MD5sum: 658510566363e5277b0de8023aa4b341
Description: GNU R package for financial engineering -- fImport
 This package provides functions to import financial and economic data
 series import and is part of Rmetrics, a collection of packages for
 financial engineering and computational finance written and compiled
 by Diethelm Wuertz and others.
 .
 fImport provides import function to access (free) data from Economagic,
 the US Federal Reserve, Forecasts.Org, Yahoo and other web sources.
Homepage: http://www.Rmetrics.org
Tag: devel::lang:r, field::finance
Section: gnu-r
Priority: optional
Filename: pool/main/f/fimport/r-cran-fimport_2160.81-1_all.deb

Package: r-cran-fmultivar
Source: fmultivar
Version: 2100.76-3
Installed-Size: 224
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>> 2.13.2-1), r-cran-fbasics (>= 290.76), r-cran-sn
Suggests: r-cran-runit
Size: 164720
SHA256: 10f36e18fdbde51f66a1708dcd8cd6f1c916ce648a7e9c354cec4d2cf689ad43
SHA1: 928be87f83c749f2dced978d3e0e661d8aac4454
MD5sum: 74957d61f665d9d8125cf7591c440808
Description: GNU R package for financial engineering -- fMultivar
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fMultivar provides multivariate analysis for financial time
 series.
Homepage: http://www.Rmetrics.org
Tag: field::finance, field::mathematics, implemented-in::r, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/f/fmultivar/r-cran-fmultivar_2100.76-3_all.deb

Package: r-cran-fnonlinear
Source: fnonlinear
Version: 2100.76-4
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 232
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base, r-base-core (>> 2.13.2-1), r-cran-fbasics (>= 2100.78), r-cran-fgarch (>= 2100.79)
Suggests: r-cran-runit
Homepage: http://www.Rmetrics.org
Priority: optional
Section: gnu-r
Filename: pool/main/f/fnonlinear/r-cran-fnonlinear_2100.76-4_armhf.deb
Size: 115118
SHA256: 79169c23e4103002f9ed375544e42acdf9c5419dd1bbb73968fb0027af9aa1dd
SHA1: 3b214185b13fa6ec7685224bf93fd4579928d533
MD5sum: 8dfd80b0d8609a1ab9154cadaa430db4
Description: GNU R package for financial engineering -- fNonlinear
 This package provides functions for modelling of nonlinear time
 series and is part of Rmetrics, a collection of packages for
 financial engineering and computational finance written and compiled
 by Diethelm Wuertz and others.
 .
 fNonlinear provides nonlinear time series modelling functions.

Package: r-cran-foptions
Source: foptions
Version: 2160.81-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 360
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base, r-base-core (>= 2.15.1-4), r-cran-fbasics (>= 290.76)
Suggests: r-cran-runit
Homepage: http://www.Rmetrics.org
Priority: optional
Section: gnu-r
Filename: pool/main/f/foptions/r-cran-foptions_2160.81-1_armhf.deb
Size: 184974
SHA256: 3d481a6547df182a01ed8948defb8973db0ad61b904cadde908ed93fe57790a7
SHA1: 26db13a6284b9d2789f4ea7f1e65e690e53aaa15
MD5sum: b8529dd36ab89fe9b458bef6da3706fa
Description: GNU R package for financial engineering -- fOptions
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fOptions provides functions to price and hedge plain and exotic options
 on one or several assets.

Package: r-cran-foreach
Version: 1.4.0-1
Installed-Size: 552
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.15.0-1), r-cran-codetools, r-cran-iterators
Size: 386526
SHA256: 25230abf023d47f92b90153118c0e11cee69a4417e7fdbdbe712a5b248831dc3
SHA1: 022ea4f72ffec0b0f0456aaf9aaf0c6a2b5ee617
MD5sum: 3b9a1af943e83f015483f99a9a300a05
Description: GNU R foreach looping support
 This package provides support for the foreach looping construct.
 Foreach is an idiom that allows for iterating over elements in a
 collection, without the use of an explicit loop counter.  This package
 in particular is intended to be used for its return value, rather
 than for its side effects.  In that sense, it is similar to the
 standard lapply function, but doesn't require the evaluation of
 a function.  Using foreach without side effects also facilitates
 executing the loop in parallel.
Homepage: http://cran.r-project.org/web/packages/foreach/index.html
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-foreach/r-cran-foreach_1.4.0-1_all.deb

Package: r-cran-foreign
Source: foreign
Version: 0.8.50-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 405
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4)
Replaces: r-recommended (<< 1.9.0)
Priority: optional
Section: gnu-r
Filename: pool/main/f/foreign/r-cran-foreign_0.8.50-1_armhf.deb
Size: 170138
SHA256: 10d55059e27a9123f8e47f22ffbff74329426009035cc736b99fd449474b8231
SHA1: 93a9079568b1a661cbf4e5d8b3503efff24d463c
MD5sum: 7d25a4cc6ceb99099b9219e777acc336
Description: GNU R package to read/write data from other stat. systems
 This package provides functions for reading and writing data stored by
 statistical packages such as Minitab, S, SAS, SPSS, Stata, ...
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.

Package: r-cran-fportfolio
Source: fportfolio
Version: 2130.80-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 3743
Depends: r-base-core (>= 2.12.1), r-cran-mass, r-cran-timedate, r-cran-timeseries, r-cran-fbasics, r-cran-fassets (>= 2100.78), r-cran-quadprog, r-cran-rglpk
Suggests: r-cran-runit
Homepage: http://www.Rmetrics.org
Priority: optional
Section: gnu-r
Filename: pool/main/f/fportfolio/r-cran-fportfolio_2130.80-1_armhf.deb
Size: 3468550
SHA256: d38b80675d0f833e447583edd9980ba0e00d43776fcaa714293a25bea4133de0
SHA1: 84afb9a26f5f7aad8f1669c51a71d967748d669a
MD5sum: f59343ef95f6e25a23515a66cdf524df
Description: GNU R package for financial engineering -- fPortfolio
 This package of functions for financial engineering and computational
 finance is part of Rmetrics, a collection of packages written and
 compiled by Diethelm Wuertz.
 .
 fPortfolio provides functions for portfolio and asset price modeling, drawdown
 statistics, value-at-risk and Markowitz portfolio construction.

Package: r-cran-fregression
Source: fregression
Version: 2100.76-4
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 445
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base, r-base-core (>> 2.13.2-1), r-cran-mgcv, r-cran-vr, r-cran-polspline, r-cran-fbasics (>= 290.76), r-cran-ftrading, r-cran-fmultivar
Suggests: r-cran-runit
Homepage: http://www.Rmetrics.org
Priority: optional
Section: gnu-r
Filename: pool/main/f/fregression/r-cran-fregression_2100.76-4_armhf.deb
Size: 262548
SHA256: d4848361fa3ccb33678d6df6051ded8095bb0088a48964945f62d1038880e020
SHA1: 3ea082c9d8a4895b428980118ea95e53b513de0e
MD5sum: 8f7c34fbb4dc34163612c7e464bf7724
Description: GNU R package for financial engineering -- fRegression
 This package provides functions for regression-based decision and prediction
 and is part of Rmetrics, a collection of packages for financial engineering
 and computational finance written and compiled by Diethelm Wuertz and others.
 .
 fRegression provides functions for regression-based decision and prediction.

Package: r-cran-ftrading
Source: ftrading
Version: 2100.76-3
Installed-Size: 154
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>> 2.13.2-1), r-cran-fbasics (>= 290.76)
Suggests: r-cran-runit
Size: 103264
SHA256: 54c758ec8f9ef46a30711d1a435cba4927dace465f35f58d2d33a5116e6783cb
SHA1: 229a146862c925a00b5d1e011a08f5c4b3f15e17
MD5sum: 0803fd4e3024411d7e0e5b38e106a698
Description: GNU R package for financial engineering -- fTrading
 This package provides functions to import financial and economic data
 series import and is part of Rmetrics, a collection of packages for
 financial engineering and computational finance written and compiled
 by Diethelm Wuertz and others.
 .
 fTrading provides functions for technical trading analysis.
Homepage: http://www.Rmetrics.org
Tag: field::finance, implemented-in::r, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/f/ftrading/r-cran-ftrading_2100.76-3_all.deb

Package: r-cran-funitroots
Source: funitroots
Version: 2100.76-3
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 775
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.6), liblapack3 | liblapack.so.3 | libatlas3-base, r-base-core (>= 2.13.0), r-cran-fbasics (>= 260.72), r-cran-urca (>= 1.2-5-2)
Suggests: r-cran-runit
Homepage: http://www.Rmetrics.org
Priority: optional
Section: gnu-r
Filename: pool/main/f/funitroots/r-cran-funitroots_2100.76-3_armhf.deb
Size: 667718
SHA256: 242b6c5f98cfd47e2c1d227b8f896f09e89b5b44f6b17004918850e84c203d36
SHA1: f6824adcb48b6c16f8a84a2eb452542d210a9129
MD5sum: bed9dca9cfb9245fed40d939e00fbd07
Description: GNU R package for financial engineering -- fUnitRoots
 This package provides functions for unit root modelling of
 non-stationary time series and is part of Rmetrics, a collection of
 packages for financial engineering and computational finance written
 and compiled by Diethelm Wuertz and others.
 .
 fUnitRoots provides modelling functions for non-stationary time series.

Package: r-cran-g.data
Version: 2.0-4
Installed-Size: 22
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core
Size: 20336
SHA256: 7fb5dc77e609bc9225155c46700408df4fb25000c56ffe1f9df0fc3d7de04b4a
SHA1: 57924ea2eb9e641183553b9ad768dee0f2b630f9
MD5sum: 2a1bf94fe995072c6d68029c5c2632b6
Description: GNU R package for delayed-data
 g.data creates and maintains delayed-data packages (DDP's). Data
 stored in a DDP are available on demand, but do not take up memory
 until requested.  You attach a DDP with g.data.attach(), then read from
 it and assign to it in a manner similar to S-Plus, except that you must
 run g.data.save() to actually commit to disk.
Homepage: http://cran.r-project.org/web/packages/g.data
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-g.data/r-cran-g.data_2.0-4_all.deb

Package: r-cran-gam
Version: 1.06.2-1
Architecture: armhf
Maintainer: Chris Lawrence 
Installed-Size: 343
Depends: r-base-core (>= 2.15.1-4), libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3)
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-gam/r-cran-gam_1.06.2-1_armhf.deb
Size: 196100
SHA256: 44d1aa984f34c48af0efdda5bead7d78d8b8bd68e123916fa66ff25c0beb4bb1
SHA1: 1dfb0ad4eee6a622c56de5d076de680441013484
MD5sum: 5c152164e2315623b656229ac27113ab
Description: Generalized Additive Models for R
 Functions for fitting and working with generalized additive models,
 as described in chapter 7 of “Statistical Models in S” (Chambers and
 Hastie (eds), 1991), and “Generalized Additive Models” (Hastie and
 Tibshirani, 1990).

Package: r-cran-gdata
Source: gdata
Version: 2.11.0-1
Installed-Size: 1489
Maintainer: Dirk Eddelbuettel 
Architecture: all
Replaces: r-cran-gregmisc (<= 2.0.7-1)
Depends: r-base-core (>= 2.15.0-2), r-cran-gtools
Size: 763636
SHA256: a13dbc07c7c0eb87727eeb390d62846ef7fb35a61c9ccc43177297ea81b8cdfd
SHA1: 0c5aba37bbda559cbb292a17dbc4478144ffc847
MD5sum: 991e4e91cbdab2a7fbc10c87dd7a4edb
Description: GNU R package with data manipulation tools by Greg Warnes et al
 This package of R programming tools was written by Gregory Warnes
 with code contributions by Ben Bolker and Thomas Lumley.
 .
 This package used to be part of the gregmisc bundle packaged for Debian
 as r-cran-gregmisc.
Tag: field::mathematics, implemented-in::r, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/g/gdata/r-cran-gdata_2.11.0-1_all.deb

Package: r-cran-genabel
Version: 1.7-0-3
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 9513
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), r-base-core (>= 2.15.1~), r-cran-mass
Suggests: r-cran-genetics, r-cran-haplo.stats, r-cran-qvalue
Conflicts: r-other-genabel
Replaces: r-other-genabel
Provides: r-other-genabel
Homepage: http://www.genabel.org/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-genabel/r-cran-genabel_1.7-0-3_armhf.deb
Size: 4317312
SHA256: ac7a3d6ec404876907a15d843d79ae813a27c7b4d1b92655dd6a29063cb15f98
SHA1: c845b019e8dd2f7e6f205fada088203344b6e2e6
MD5sum: fa6523683da79366c419c3a633b3c271
Description: GNU R package for genome-wide SNP association analysis
 The package offers the R library GenABEL for the hunt of genetic contributions
 to a disease (or any other pheonypical trait) by so called genome-wide
 association analysis. Additional input commonly comes from DNA mircoarray
 experiments, performed on every individual, that determine differences
 (polymorphisms) in the population. GenABEL finds associations between
 quantitative or binary traits and single-nucleiotide polymorphisms
 (SNPs).
 .
 When publishing work based to which this package has contributed please
 cite: Yurii S. Aulchenko, Stephan Ripke, Aaron Isaacs, Cornelia M. van Duijn
 (2007) "GenABEL: an R library for genome-wide association analysis"
 Bioinformatics 2007 23(10):1294-1296.

Package: r-cran-genetics
Version: 1.3.6-2
Installed-Size: 512
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.13.0~), r-recommended, r-cran-gtools, r-cran-gdata, r-cran-combinat, r-cran-mvtnorm, r-cran-mass
Size: 278816
SHA256: be21d644f794baa1c9df7867372ef6c7fa55df5695d540284bc32143cb4d09a0
SHA1: 70b8b6c1b499ab8e370e82f232ba6e85d356ae5c
MD5sum: b3a7999c9065fd8e2047c6eff48efbb8
Description: GNU R package for population genetics
 Classes and methods for handling genetic data. Includes
 The package provides a library for the statistics environment R that
 contains classes to represent genotypes and haplotypes at single markers up
 to multiple markers on multiple chromosomes. Function include
 allele frequencies, flagging homo/heterozygotes, flagging carriers
 of certain alleles, estimating and testing for Hardy-Weinberg
 disequilibrium, estimating and testing for linkage disequilibrium,
 and more.
 .
 NOTE: THIS PACKAGE IS NOW OBSOLETE.
 .
 The R-Genetics project has developed an set of enhanced genetics
 packages to replace 'genetics'. Please visit the project homepage
 at http://rgenetics.org for information.
Homepage: http://cran.r-project.org/web/packages/genetics
Tag: devel::lang:r, field::biology, field::biology:bioinformatics,
 field::biology:molecular, field::biology:structural, implemented-in::r,
 use::analysing
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-genetics/r-cran-genetics_1.3.6-2_all.deb

Package: r-cran-getopt
Version: 1.17-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 75
Depends: r-base-core (>= 2.13.1)
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-getopt/r-cran-getopt_1.17-1_armhf.deb
Size: 18396
SHA256: fbc440daf2ea816d1b0decce7cb6e3603c659ad4b4f991a1d3eefba416fe7467
SHA1: 11fa340ccfbad794e4b04cf73a00ab2a551ac313
MD5sum: fdd3f30dc2e61baf3f1fe5f702bb6557
Description: GNU R package providing command-line parsing functionality
 This package provides the getopt function which can be used with R's
 Rscript (or littler's r) to write ``#!'' shebang scripts that accept
 short and long flags/options.

Package: r-cran-ggplot2
Version: 0.8.9-1
Installed-Size: 2968
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.14.1~), r-cran-reshape, r-cran-proto, r-cran-mass, r-cran-rcolorbrewer, r-cran-digest, r-cran-colorspace
Size: 2640172
SHA256: 64ca0e8723de118ab52d50bbc641781a3077fcb760cb8c5a13ff80e2267b6b05
SHA1: 20661d8723d2c1ebcdd7037943078cb76247b22f
MD5sum: cbc46e87c8fa957489061175bb4a7acd
Description: implementation of the Grammar of Graphics
 ggplot2 combines the advantages of both base and lattice graphics.
 Conditioning and shared axes are handled automatically, and you can
 still build up a plot step by step from multiple data sources. It
 also implements a sophisticated multidimensional conditioning system
 and a consistent interface to map data to aesthetic attributes.
Homepage: http://had.co.nz/ggplot2
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-ggplot2/r-cran-ggplot2_0.8.9-1_all.deb

Package: r-cran-gmaps
Version: 0.2-1
Installed-Size: 88
Maintainer: Chris Lawrence 
Architecture: all
Depends: r-cran-maps, r-base-core
Suggests: r-cran-mapdata, r-cran-mapproj
Size: 22848
SHA256: 82ef8cfa4507be56cc92c86a79eadd7070b45c7e17b328bae39049a4f2c72889
SHA1: c194e7e0d5265a72d9b37a9fa485b228134f33b2
MD5sum: 0a4dd3b751205a6fece35de9ee3ad205
Description: GNU R support for producing geographic maps with grid graphics
 This package provides facilities for easily producing maps based on
 data sets in the GNU R statistical computing environment.
 .
 The gmaps package extends the functionality of the maps package for
 the grid graphics system, enabling more advanced plots and more
 functionality. It also makes use of the grid structure to fix
 problems encountered with the traditional graphics system, such as
 resizing of graphs.
Homepage: http://cran.r-project.org/web/packages/gmaps/index.html
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-gmaps/r-cran-gmaps_0.2-1_all.deb

Package: r-cran-gmodels
Source: gmodels
Version: 2.15.3-1
Installed-Size: 102
Maintainer: Dirk Eddelbuettel 
Architecture: all
Replaces: r-cran-gregmisc (<= 2.0.7-1)
Depends: r-base-core (>= 2.15.1-1), r-cran-mass, r-cran-gplots
Size: 85714
SHA256: faa31a64edd3c280260f2a54a755e7fefdb1f698e7e26511068f9c70f012f1be
SHA1: 9395897ad0b2b049aebdde401011c3bf7ef8dd4e
MD5sum: 21249cfaa8a65d98ec13e4e94d6fa5fe
Description: GNU R package with tools for model fitting by Greg Warnes et al
 This package of R programming tools for model fitting was written by
 Gregory Warnes with code contributions by Ben Bolker and Thomas
 Lumley.
 .
 This package used to be part of the gregmisc bundle packaged for Debian
 as r-cran-gregmisc.
Tag: field::mathematics, implemented-in::r, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/g/gmodels/r-cran-gmodels_2.15.3-1_all.deb

Package: r-cran-gplots
Source: gplots
Version: 2.11.0-1
Installed-Size: 427
Maintainer: Dirk Eddelbuettel 
Architecture: all
Replaces: r-cran-gregmisc (<= 2.0.7-1)
Depends: r-base-core (>= 2.15.0-2), r-cran-gdata, r-cran-gtools, r-cran-catools, r-cran-kernsmooth
Size: 354778
SHA256: d1b11d674525f3cc1b972a9e8a1724053e26eac1ae339dd110758c38a0a662a9
SHA1: 6d40cc5598992e544913e989fa4c853adc4e8636
MD5sum: b3e7090e41dcb3326f7a7fbb791e428d
Description: GNU R package with tools for plotting data by Greg Warnes et al
 This package of R programming tools for plotting data was written by
 Gregory Warnes with code contributions by Ben Bolker and Thomas
 Lumley.
 .
 This package used to be part of the gregmisc bundle packaged for Debian
 as r-cran-gregmisc.
Tag: field::mathematics, implemented-in::r, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/g/gplots/r-cran-gplots_2.11.0-1_all.deb

Package: r-cran-gregmisc
Source: gregmisc
Version: 2.1.2-2
Installed-Size: 53
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>> 2.13.2-1), r-cran-gdata, r-cran-gmodels, r-cran-gplots, r-cran-gtools
Size: 27588
SHA256: 5c02ebe51622dcbad74f675e22e09e14768d54ee30bd77d44275b8f55d3bb798
SHA1: 6c04717aee9f33182a1dfd9be6ab3a9fd2826caa
MD5sum: a390556759f18ab92dd4fb01f79d9bd2
Description: GNU R package with miscellaneous functions by Greg Warnes et al
 This package of miscellaneous functions for GNU R that written by
 Gregory Warnes with code contributions by Ben Bolker, Bendix
 Carstensen, Don MacQueen, William Venables, Marc Schwartz, Ben
 Bolker, Ian Wilson, Kjetil Halvorsen, Arni Magnusson, Lodewijk
 Bonebakker, and others.
 .
 Most of the function in the gregmisc library fall into six general areas:
 permutations and combinations, tools for linear models, plots, data
 manipulation, and fixed or extended versions of existing functions.
 .
 Note that as of version 2.0.8, gregmisc's code is now provided by the
 new packages gdata, gmodels, gplots and gtools which contain all the
 code formerly provided by gregmisc.  Unless any of your R code still
 loads the gregmisc package directly, and you thus need it to load the
 component packages, you can remove this package.
Tag: devel::lang:r, devel::library, field::statistics, implemented-in::r,
 role::app-data, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/g/gregmisc/r-cran-gregmisc_2.1.2-2_all.deb

Package: r-cran-gtools
Source: gtools
Version: 2.7.0-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 191
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4)
Replaces: r-cran-gregmisc (<= 2.0.7-1)
Priority: optional
Section: gnu-r
Filename: pool/main/g/gtools/r-cran-gtools_2.7.0-1_armhf.deb
Size: 105120
SHA256: 6548ce93531973e5539c03b548673540cb01b0e6730aab81f3faa2078972d71e
SHA1: 3bf3ee66438513c4987f6251ac43b86d45b3508c
MD5sum: a59aab8d8537d886d6633c24c7996520
Description: GNU R package with R programming tools by Greg Warnes et al
 This package of R programming tools was written by Gregory Warnes
 with code contributions by Ben Bolker and Thomas Lumley.
 .
 This package used to be part of the gregmisc bundle packaged for Debian
 as r-cran-gregmisc.

Package: r-cran-haplo.stats
Version: 1.5.5-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 682
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4)
Homepage: http://cran.r-project.org/web/packages/haplo.stats
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-haplo.stats/r-cran-haplo.stats_1.5.5-1_armhf.deb
Size: 558930
SHA256: 6b5ced3f4cdeebc99ba0d1da579bd7a469678e353c6d3b8ab6c49d20b231e6b9
SHA1: b39fb2272daa73826a41684bc5a2b28b96bbeb93
MD5sum: 60e497e2d5741e9f729fafbd6559fed7
Description: GNU R package for haplotype analysis
 The package provides routines for the GNU R statistics environment
 for statistical Analysis of indirectly measured Haplotypes with Traits
 and Covariates when Linkage Phase is Ambiguous.  The statistical methods
 assume that all subjects are unrelated and that haplotypes are ambiguous
 (due to unknown linkage phase of the genetic markers). The main functions
 are: haplo.em, haplo.glm, haplo.score, haplo.power, and seqhap.

Package: r-cran-hdf5
Version: 1.6.10-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 108
Depends: libc6 (>= 2.13-28), libhdf5-7, zlib1g (>= 1:1.1.4), r-base-core (>= 2.14.1)
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-hdf5/r-cran-hdf5_1.6.10-1_armhf.deb
Size: 30742
SHA256: 0eaa7d34d2183d3835c888eafaafb2bb87f3d4a34d69a75e34723d199e911efe
SHA1: ae2c85ac4f8c1acd8aeffc91e09f7d9581acf9aa
MD5sum: ef7dc1eaa2ab6b0b56156d90c524196c
Description: GNU R package interfacing the NCSA HDF5 library
 This package provides the functions hdf5save and hdf5load which allow
 saving and loading of R variables in the Hierarchical Data Format
 (HDF) format.  Lists, strings, vectors, matrices and
 higher-dimensional arrays are supported.

Package: r-cran-hmisc
Source: hmisc
Version: 3.9-3-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 1545
Depends: libc6 (>= 2.13-28), libgfortran3 (>= 4.3), r-base-core (>= 2.15.1-4), r-cran-chron, r-cran-lattice, r-cran-cluster, r-cran-survival
Conflicts: r-noncran-hmisc
Replaces: r-noncran-hmisc
Provides: r-noncran-hmisc
Homepage: http://biostat.mc.vanderbilt.edu/s/Hmisc
Priority: optional
Section: gnu-r
Filename: pool/main/h/hmisc/r-cran-hmisc_3.9-3-1_armhf.deb
Size: 1425824
SHA256: 644a4cd89e503b163204849ec0286c11e84cc6f62eb15d20ea8235ddc900aafb
SHA1: cfc06ff53d082823d4e938dad0cc343d06d409a9
MD5sum: 92666c198a64a0fcbb185166a6a7effa
Description: GNU R miscellaneous functions by Frank Harrell
 The Hmisc library contains many functions useful for data
 analysis, high-level graphics, utility operations, functions for
 computing sample size and power, translating SAS datasets,
 imputing missing values, advanced table making, variable clustering,
 character string manipulation, conversion of S objects to LaTeX code,
 recoding variables, and bootstrap repeated measures analysis.

Package: r-cran-inline
Version: 0.3.8-1
Installed-Size: 172
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.12.0)
Size: 64874
SHA256: 5a1694d2d11184b2e748ffdaae9076288303b83bcd419e7cdb5bdcbd63aef932
SHA1: 1ec4bfdee2259ce7c81b73760a4d967fae570a98
MD5sum: 9106c403fb6c51b5ea1f8ab8babde321
Description: GNU R package to inline C, C++, Fortran functions from R
 This package provides functionality to dynamically define R functions and S4
 methods with in-lined C, C++ or Fortran code supporting .C and .Call calling
 conventions.
Homepage: http://cran.r-project.org/web/packages/inline/index.html
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-inline/r-cran-inline_0.3.8-1_all.deb

Package: r-cran-int64
Version: 1.1.2-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 534
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), r-base-core (>= 2.14.0)
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-int64/r-cran-int64_1.1.2-1_armhf.deb
Size: 317370
SHA256: 6a456fb1bfe955fd620aa518b892ff0f088778e4ce6a9b16f02d530a5403e3e3
SHA1: 52bbe86a2b99e510a92e59e0b428e03c48e6a4cb
MD5sum: 42ffd2c56636a7b11b9d3174fda3c56b
Description: GNU R package 64 bit integer types
 This package provides 64 bit integer types thereby allowing for
 indexing of much larger objects than with the standard 32-bit
 integers in R.
 .
 This package was developed with support from the Google Open Source
 Programs Office

Package: r-cran-iterators
Version: 1.0.6-1
Installed-Size: 370
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.15.0-1)
Size: 314114
SHA256: 15602f9dd167214479a8b3c17c199fd62909d56c154678530d64adfbebdb9cb4
SHA1: 8f5c8d716d1d5c12cef98174a9bc36dc24ce14e0
MD5sum: 32af00bd9eea9eacbae50f593c108dcf
Description: GNU R iterator support for vectors, lists and other containers
 This package provides support for iterators, which allow a programmer to
 traverse through all the elements of a vector, list, or other collection
 of data.
Homepage: http://cran.r-project.org/web/packages/iterators/index.html
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-iterators/r-cran-iterators_1.0.6-1_all.deb

Package: r-cran-its
Source: its
Version: 1.1.8-2
Installed-Size: 376
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.10.0), r-cran-hmisc
Size: 163110
SHA256: e1a62e72d6b22e88f799dacd82ba6213f0df10b3537197e49d818290ebfa5e68
SHA1: f846e2ae361ded27d211622773b0bc050904d039
MD5sum: e665bb4c468c22a98d281a2a05257b8c
Description: GNU R package for handling irregular time series
 This package contains an S4 class for handling irregular time series
Tag: devel::lang:r, devel::library, field::statistics, implemented-in::r,
 role::app-data, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/i/its/r-cran-its_1.1.8-2_all.deb

Package: r-cran-kernsmooth
Source: kernsmooth
Version: 2.23-8-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 166
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), r-base-core (>= 2.15.1-4), r-cran-mass
Replaces: r-recommended (<< 1.9.0)
Priority: optional
Section: gnu-r
Filename: pool/main/k/kernsmooth/r-cran-kernsmooth_2.23-8-1_armhf.deb
Size: 61396
SHA256: ac4a6831c17648c1c8cfc8a13a8a4a96205a0b5b3e2854f2987304bbedc7f75d
SHA1: 47d8da0ae68d0a91dd8ffd2aa780d57760b5dfae
MD5sum: 2e662117483da740adfb791ae368fc77
Description: GNU R package for kernel smoothing and density estimation
 This package provides functions for kernel smoothing and density estimation
 corresponding to M.P. Wand and M.C. Jones, "Kernel Smoothing", 1995.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.
 .
 URL: http://www.maths.unsw.edu.au/~wand

Package: r-cran-lattice
Source: lattice
Version: 0.20-6-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 903
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4)
Replaces: r-recommended (<< 1.9.0)
Priority: optional
Section: gnu-r
Filename: pool/main/l/lattice/r-cran-lattice_0.20-6-1_armhf.deb
Size: 711862
SHA256: 597605223c7538d512ef3b5580f73c1a0fbd97e7bf671ce08f49ee614f5caf7f
SHA1: e668ccd8d4ce5128f915ac2373352eab1be2d493
MD5sum: 90e5d44c7605cb4d0c5d836a9e3e7b89
Description: GNU R package for 'Trellis' graphics
 This package provides functions for 'Trellis' graphics -- a framework
 for data visualization developed at the Bell Labs by Rick Becker, Bill
 Cleveland et al, extending ideas presented in Bill Cleveland's 1993 book
 'Visualizing Data'.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.

Package: r-cran-latticeextra
Source: latticeextra
Version: 0.6-19-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 1522
Depends: r-base-core (>> 2.13.2-1), r-cran-lattice, r-cran-rcolorbrewer
Priority: optional
Section: gnu-r
Filename: pool/main/l/latticeextra/r-cran-latticeextra_0.6-19-1_armhf.deb
Size: 1462628
SHA256: 381659bd5652d0c1cf7b70510ac7e7d0731992526933dc9ccf205ddc3b450813
SHA1: 7517a7a8b039611637cbadb945ec6d307717ca84
MD5sum: b92a08155e15ba77df9c276d53b9aaf3
Description: GNU R package of additional graphical displays based on lattice
 This CRAN package provides generic functions and standard methods
 for trellis-based displays.

Package: r-cran-lme4
Source: lme4
Version: 0.999999-0-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 1738
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base, r-base-core (>= 2.15.1-4), r-cran-matrix (>= 1.0-1), r-cran-lattice, r-cran-nlme
Priority: optional
Section: gnu-r
Filename: pool/main/l/lme4/r-cran-lme4_0.999999-0-1_armhf.deb
Size: 1313642
SHA256: e37170e1203ffd815c2db228f500558c1de08c31ea1ac651174094a3ec402e43
SHA1: c483ba2883227835a265a93b08a290eb44a21f28
MD5sum: 3a67fa68d36e4234ca46af12ccf3f958
Description: GNU R package for linear mixed effects model fitting
 This CRAN package provides S4 classes and methods for fitting and
 examining linear mixed effects models (also called multilevel models,
 panel data models, and several other names) and generalized linear
 mixed effects models.

Package: r-cran-lmtest
Source: lmtest
Version: 0.9.30-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 353
Depends: libc6 (>= 2.13-28), libgfortran3 (>= 4.3), r-base-core (>= 2.15.1-4), r-cran-zoo
Priority: optional
Section: gnu-r
Filename: pool/main/l/lmtest/r-cran-lmtest_0.9.30-1_armhf.deb
Size: 266822
SHA256: 8550f9314ba0b8e5a3f1741acea1e79cf2b3d3f77902493ce76c80216df0580c
SHA1: 71d3f8f0bdba669e2d0d7a583f9f0b28b54e2f72
MD5sum: 919448b3151d1599b39edbf1db44518c
Description: GNU R package for diagnostic checking in linear models
 This package provides a collection of tests, data sets and examples
 for diagnostic checking in linear regression models.

Package: r-cran-lpsolve
Version: 5.6.6-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 642
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), r-base-core (>= 2.13.0)
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-lpsolve/r-cran-lpsolve_5.6.6-1_armhf.deb
Size: 322364
SHA256: 2380ea1487c9e3ffc1c3eaea95d4fe1941c7f07cb037c5ee99d511ff156015a1
SHA1: d326fd921fe84eefd694f92e405206f0af98d3da
MD5sum: c360bc943e03a57d4005549d2b3bd149
Description: GNU R package providing linear program solvers
 This package provides functions for solving linear, integer and
 mixed integer programs.

Package: r-cran-mapdata
Version: 2.2-1-1
Architecture: armhf
Maintainer: Chris Lawrence 
Installed-Size: 33424
Depends: r-base-core (>= 2.15.1-4), r-cran-maps (>= 2.1-6-2)
Suggests: r-cran-mapproj
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-mapdata/r-cran-mapdata_2.2-1-1_armhf.deb
Size: 24912020
SHA256: 692ca660bb89db75958ab897395293c10a4e4cdc31f000a4af1326fecbefe6cc
SHA1: 48211aa7e15335bcaca7cdcd81acc2994e273cea
MD5sum: e9d93f6f072e623a94bcd7e050d5d620
Description: GNU R support for producing geographic maps (supplemental data)
 This package provides facilities for easily producing high-resolution
 maps based on data sets in the GNU R statistical computing
 environment.  It includes high-resolution map data for the entire
 world, along with maps of China (including provincial boundaries) and
 a higher-resolution map of New Zealand and a number of nearby Pacific
 islands.
 .
 The suggested r-cran-mapproj package adds facilities for
 calculating geographic projections, which are used by mapmakers to
 compensate for the inaccuracies inherent in projecting a spheroid's
 surface onto a two-dimensional plane.

Package: r-cran-mapproj
Version: 1.1-8.3-2
Architecture: armhf
Maintainer: Chris Lawrence 
Installed-Size: 133
Depends: r-base-core (>= 2.14), r-cran-maps (>= 2.1-6-2), libc6 (>= 2.13-28)
Suggests: r-cran-mapdata
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-mapproj/r-cran-mapproj_1.1-8.3-2_armhf.deb
Size: 49276
SHA256: 8e47211f9c1a8453912d688b678e8af4a871626ad39987ab8139d208e56efc44
SHA1: f94918a11c3629f88a047fca293b55d46725defb
MD5sum: 90fadcd55d5faadae8b3e41f3141da68
Description: GNU R support for cartographic projections of map data
 This package provides facilities for easily producing cartographic
 projections of maps based on data sets in the GNU R statistical
 computing environment.  Projections are used by mapmakers to
 compensate for the inaccuracies inherent in projecting a spheroid's
 surface onto a two-dimensional plane.

Package: r-cran-maps
Version: 2.2-5-1
Architecture: armhf
Maintainer: Chris Lawrence 
Installed-Size: 2882
Depends: r-base-core (>= 2.15.1-4), libc6 (>= 2.13-28)
Suggests: r-cran-mapproj, r-cran-mapdata
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-maps/r-cran-maps_2.2-5-1_armhf.deb
Size: 2058876
SHA256: 35684c71d28842c8cd7e34ca8ebf0969de6c2db118591db817f1df53a6302098
SHA1: 81af8a6afb98070228b4bbcb5641544e3531c86b
MD5sum: d6bc42c07219c31e7c2ffb95d8f1b7a3
Description: GNU R support for producing geographic maps
 This package provides facilities for easily producing maps based on
 data sets in the GNU R statistical computing environment.
 .
 The r-cran-maps package includes map data for the United States
 (including state and county-level maps), New Zealand, and a world
 map; additional maps (including a higher-resolution world map) are
 available in the suggested r-cran-mapdata package.
 .
 The suggested r-cran-mapproj package adds facilities for calculating
 geographic projections, which are used by mapmakers to compensate for
 the inaccuracies inherent in projecting a spheroid's surface onto a
 two-dimensional plane.

Package: r-cran-mass
Version: 7.3-19-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 1119
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4)
Conflicts: r-cran-vr
Replaces: r-cran-vr
Provides: r-cran-vr
Homepage: http://cran.r-project.org/web/packages/MASS/index.html
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-mass/r-cran-mass_7.3-19-1_armhf.deb
Size: 837634
SHA256: 917c926e933c640be545eb0613d5ba66c78fe7c1d2a24013e8c5f3f41ddd17b8
SHA1: e67b713f363ca2287895442d5042b1e26083fdbd
MD5sum: e7d1c337a4ef2f6dd25d6f8e3498d801
Description: GNU R package of Venables and Ripley's MASS
 The MASS package provides functions and datasets to support the book
 'Modern Applied Statistics with S' (4th edition) by W.N. Venables and
 B.D. Ripley. The following URL provides more details about the book:
 URL: http://www.stats.ox.ac.uk/pub/MASS4

Package: r-cran-matchit
Source: matchit
Version: 2.4-18-1
Installed-Size: 1924
Maintainer: Chris Lawrence 
Architecture: all
Depends: r-base-core (>> 2.10.0~1), r-cran-rpart, r-cran-mass, r-cran-nnet, r-cran-mgcv
Suggests: r-cran-zelig
Size: 556488
SHA256: 81d69dbe232dd6e6ed82b21c003bbca051c8cccbd164f55e552a335b1284468a
SHA1: edc8ad66b95340a8725b5ccc530b04eca1884ea1
MD5sum: 4bec7b897b6c23f2bffe64cb0395dc54
Description: GNU R package of nonparametric matching methods
 MatchIt implements the suggestions of Ho, Imai, King, and Stuart
 (2004) for improving parametric statistical models by preprocessing
 data with nonparametric matching methods.
 .
 MatchIt implements a wide range of sophisticated matching methods,
 making it possible to greatly reduce the dependence of causal
 inferences on hard-to-justify, but commonly made, statistical
 modeling assumptions. The software also easily fits into existing
 research practices since, after preprocessing with MatchIt,
 researchers can use whatever parametric model they would have used
 without MatchIt, but produce inferences with substantially more
 robustness and less sensitivity to modeling assumptions. MatchIt is
 an R program, and also works seamlessly within Zelig.
Homepage: http://gking.harvard.edu/matchit/
Tag: field::mathematics, implemented-in::r, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/m/matchit/r-cran-matchit_2.4-18-1_all.deb

Package: r-cran-matrix
Source: rmatrix
Version: 1.0-6-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 4163
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base, r-base-core (>= 2.15.1-4), r-cran-lattice (>= 0.12-11.1)
Priority: optional
Section: gnu-r
Filename: pool/main/r/rmatrix/r-cran-matrix_1.0-6-1_armhf.deb
Size: 3040888
SHA256: 9a996135dff1786e8f5fdd1daca83340e044d4933a2a7b359f773ad0e055d629
SHA1: 0a66bf75d0089778d2288413584cf5db3a31d3fb
MD5sum: 26350cd4c4b6655fe33fcb46962c4d6d
Description: GNU R package of classes for dense and sparse matrices
 This CRAN package provides S4 classes and methods for numerical
 linear algebra using dense or sparse matrices.  The sparse matrix
 implementation uses code from the LDL sparse matrix package and
 code from the Metis package of partitioning algorithms.

Package: r-cran-mcmcpack
Source: mcmcpack
Version: 1.2-3-1
Architecture: armhf
Maintainer: Chris Lawrence 
Installed-Size: 2427
Depends: r-base-core (>= 2.15.1-4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), r-cran-coda (>> 0.11-3), r-cran-mass
Suggests: r-cran-bayesm, r-cran-eco, r-cran-mnp
Homepage: http://mcmcpack.wustl.edu/
Priority: optional
Section: gnu-r
Filename: pool/main/m/mcmcpack/r-cran-mcmcpack_1.2-3-1_armhf.deb
Size: 1462084
SHA256: eadaefe3948d04c1f53f511b5def3aea46e0ebfcf0637dcd268c87ee1863911d
SHA1: 52595ffa94b128e89c76e8405bff8669e33b4ee4
MD5sum: a5bf8dca79202e57659a9e78fd496ea9
Description: R routines for Markov chain Monte Carlo model estimation
 This is a set of routines for GNU R that implement various
 statistical and econometric models using Markov chain Monte Carlo
 (MCMC) estimation, which allows "solving" models that would otherwise
 be intractable with traditional techniques, particularly problems in
 Bayesian statistics (where one or more "priors" are used as part of
 the estimation procedure, instead of an assumption of ignorance about
 the "true" point estimates), although MCMC can also be used to solve
 frequentist statistical problems with uninformative priors.  MCMC
 techniques are also preferable over direct estimation in the presence
 of missing data.
 .
 Currently implemented are a number of ecological inference (EI)
 routines (for estimating individual-level attributes or behavior from
 aggregate data, such as electoral returns or census results), as well
 as models for traditional linear panel and cross-sectional data, some
 visualization routines for EI diagnostics, two item-response theory
 (or ideal-point estimation) models, metric, ordinal, and
 mixed-response factor analysis, and models for Gaussian (linear) and
 Poisson regression, logistic regression (or logit), and binary and
 ordinal-response probit models.
 .
 The suggested packages (r-cran-bayesm, -eco, and -mnp) contain
 additional models that may also be useful for those interested in
 this package.

Package: r-cran-medadherence
Version: 1.02-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 116
Depends: libc6 (>= 2.13-28), r-base-core
Homepage: http://cran.r-project.org/web/packages/medAdherence/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-medadherence/r-cran-medadherence_1.02-1_armhf.deb
Size: 51972
SHA256: b2a00ff530d6cdaa1adf2c8995b90787692b2b4e08bfa1be5cf5a6c1311586d1
SHA1: 5fbdced451d4bc3820a2e7f15d280141e8e006ba
MD5sum: a177cedebc2a8dcae6c588a9b4c95d3c
Description: GNU R Medication Adherence: Commonly Used Definitions
 Adherence is defined as "the extent to which a person's behavior
 coincides with medical or health advice", which is very important, for
 both clinical researchers and physicians, to identify the treatment
 effect of a specific medication(s).
 .
 A variety of measures have been developed to calculate the medication
 adherence. Definitions and methods to address adherence differ greatly
 in public literature. Choosing which definition should be determined by
 overall study goals.  This package provides the functions to calculate
 medication adherence based on commonly used definitions.

Package: r-cran-mgcv
Source: mgcv
Version: 1.7-13-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 1467
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base, r-base-core (>= 2.14.1), r-cran-nlme, r-cran-matrix
Replaces: r-recommended (<< 1.9.0)
Priority: optional
Section: gnu-r
Filename: pool/main/m/mgcv/r-cran-mgcv_1.7-13-1_armhf.deb
Size: 1289144
SHA256: 8330dcf6828b8f83f160dc92f41a423d8d6c4e0991b3cdcf8e7bfe17a0166d8e
SHA1: 5cd05699a1d53d954fe6be0c27525409d1dcbd16
MD5sum: 0b1ca06dc6bb2eb2dfca82b5ce8d5e6a
Description: GNU R package for multiple parameter smoothing estimation
 This package provides functions for generalised additive models (GAMs)
 and other generalized ridge regression problems with multiple smoothing
 parameter selection by GCV or UBRE. It includes an implementation (not
 a clone) of gam().
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.

Package: r-cran-misc3d
Source: misc3d
Version: 0.8-2-1
Installed-Size: 161
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.14.1), r-cran-rgl
Suggests: r-cran-tkrplot
Size: 149442
SHA256: ac6e2b042b22ac01ba4cec4e96eb06cfe20c59a6f9062d7a06f9cc31df4f20f3
SHA1: 77b4b93eb03f3e4e7a8f73d6cf7939563a75e2ed
MD5sum: 2cfa471b794503296abfea88c86319ee
Description: GNU R collection of 3d plot functions and rgl-based isosurfaces
 This CRAN package provides a collection of miscellaneous 3d plots, including
 rgl-based isosurfaces:
 .
  contour3d     Uses rgl to render isosurfaces, or three-dimensional
                contours, computed by the marching cubes algorithm.
 .
  image3d       Crude 3d analog of image() using rgl to plot points on a
                three dimensional grid representing values in a three
                dimensional array. Assumes high values are inside and
                uses alpha blending to make outside points more
                transparent.
 .
  lines3d       Draws connected line segments in an rgl window.
 .
  parametric3d  Plots a two-parameter surface in three dimensions in
                rgl.  Based on Mathematica's Param3D
 .
  slices3d      Uses tkrplot to create an interactive slice view of three or
                four dimensional volume data, such as MRI data.
Tag: field::mathematics, implemented-in::r, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/m/misc3d/r-cran-misc3d_0.8-2-1_all.deb

Package: r-cran-mnormt
Source: mnormt
Version: 1.4-5-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 127
Depends: libc6 (>= 2.13-28), libgfortran3 (>= 4.3), r-base-core (>= 2.14.1)
Priority: optional
Section: gnu-r
Filename: pool/main/m/mnormt/r-cran-mnormt_1.4-5-1_armhf.deb
Size: 51642
SHA256: bfb528b4f031a77fbf2abcec3888948a3d449715602895bb1b65bdd79179b86b
SHA1: 80a1252da5e7f53576e813ab3a6467c286c0a2b1
MD5sum: a4852e4d1ef8d5e41e6f2a72ad9239e6
Description: GNU R package providing multivariate normal and t distribution
 This package provides functions for computing the density and the
 distribution function of, and for generating random vectors from the
 multivariate normal and multivariate t distributions.  It provides
 functions similar in scope to those of the package 'mvtnorm', but
 with some differences; one of these is that probabilities are
 computed via a non-Monte Carlo method.

Package: r-cran-mnp
Version: 2.6-2-1
Architecture: armhf
Maintainer: Chris Lawrence 
Installed-Size: 341
Depends: r-base-core (>= 2.10.0~1), r-cran-mass, libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base
Suggests: r-cran-mcmcpack
Enhances: r-cran-mcmcpack
Homepage: http://www.princeton.edu/~kimai/research/MNP.html
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-mnp/r-cran-mnp_2.6-2-1_armhf.deb
Size: 92958
SHA256: d083e6fd385b18babd0f0e9c8047b5246f852f7d73406f584a44a9558065cc9b
SHA1: 6d1f5e655aad00e4975a3f6d604991bb368d2413
MD5sum: fc782b4add85858c40270ed78cde0044
Description: GNU R package for fitting multinomial probit (MNP) models
 MNP is an R package that fits Bayesian Multinomial Probit (MNP)
 models via Markov chain Monte Carlo (MCMC).  Along with the standard
 multinomial probit model, it can also fit models with different
 choice sets for each observation and complete or partial ordering of
 all the available alternatives.  The estimation is based on the
 efficient marginal data augmentation algorithm that is developed by
 Imai and van Dyk (2004).

Package: r-cran-msm
Version: 1.1-1
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 978
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base, r-base-core (>= 2.15.1-4), r-cran-mvtnorm, r-cran-survival
Homepage: http://cran.r-project.org/web/packages/msm/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-msm/r-cran-msm_1.1-1_armhf.deb
Size: 857460
SHA256: e047b700fccf3df36646cc61fa4f2ba43efcae2e22bd9044d522472d6b9a8167
SHA1: 9f38cb4684a633271e4b377a3c9ec3a9df4a055a
MD5sum: 3ea5882b5689d8f3c775ff5b51e2b5ec
Description: GNU R Multi-state Markov and hidden Markov models in continuous time
 Functions for fitting general continuous-time Markov and hidden Markov
 multi-state models to longitudinal data. Both Markov transition rates and the
 hidden Markov output process can be modelled in terms of covariates. A variety
 of observation schemes are supported, including processes observed at arbitrary
 times, completely-observed processes, and censored states.

Package: r-cran-multcomp
Source: multcomp
Version: 1.2-12-1
Installed-Size: 852
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.14.2-3), r-cran-mvtnorm (>= 0.8-0), r-cran-survival (>= 2.35-7)
Size: 595470
SHA256: 8d773bd407f893960dbf8229e2c45c9717912d1bbb66297242365da48350580c
SHA1: ed3283d0f1a3f4a16db245b7de22838301c17826
MD5sum: 989d94bd25314b1dcb0ccffac2ce738d
Description: GNU R package for multiple comparison procedures
 This package provides functions to perform multiple comparison tests and
 simultaneous confidence intervals in one-way layout testing setups.
Tag: devel::lang:r, devel::library, field::statistics, implemented-in::r,
 role::app-data, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/m/multcomp/r-cran-multcomp_1.2-12-1_all.deb

Package: r-cran-multicore
Version: 0.1-7-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 134
Depends: r-base-core (>= 2.13.1), libc6 (>= 2.13-28)
Homepage: http://www.rforge.net/multicore
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-multicore/r-cran-multicore_0.1-7-1_armhf.deb
Size: 63194
SHA256: 116390511ba0e1ac6c081a8ba242e50d0703442510aa8f2bc73110e9e78bd296
SHA1: f5ac12eb3166c62761d6daaeecbe7de203d034fc
MD5sum: 1e07609c0ce7ec26be2d8f8ca342ab7c
Description: GNU R parallel processing on multi-core or multi-cpu machines
 This package provides a way of running parallel computations in R on
 machines with multiple cores or CPUs. Jobs can share the entire
 initial workspace and it provides methods for results collection.

Package: r-cran-mvtnorm
Source: mvtnorm
Version: 0.9-9992-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 478
Depends: libc6 (>= 2.13-28), libgfortran3 (>= 4.3), r-base-core (>= 2.14.1)
Priority: optional
Section: gnu-r
Filename: pool/main/m/mvtnorm/r-cran-mvtnorm_0.9-9992-1_armhf.deb
Size: 362590
SHA256: cb53721090cb408be0439a8df4226320217b85d243722a63bf7f4ded14412fc9
SHA1: f6295f9235b823eb3afb9983fd4b14f79b4fb986
MD5sum: 9e72556d0afdfca6efad8248fb9d4b11
Description: GNU R package to compute multivariate Normal and T distributions
 This package provides a collection of functions to compute the multivariate
 Normal and T distributions.

Package: r-cran-nlme
Source: nlme
Version: 3.1.104-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 1906
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4), r-cran-lattice (>= 0.12-11.1)
Replaces: r-recommended (<< 1.9.0)
Priority: optional
Section: gnu-r
Filename: pool/main/n/nlme/r-cran-nlme_3.1.104-1_armhf.deb
Size: 1550812
SHA256: e8946eb2d8045ee75b4e6a5074be385244c839f89dc66719befeeaebd379a818
SHA1: a82a63ec50ecd03e45a5979e72e6a9ee40a5a5a7
MD5sum: c834837024c62ae14b8daa640a3b37bd
Description: GNU R package for (non-)linear mixed effects models
 This package provides functions to fit and compare linear and non-linear
 mixed-effects models.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.

Package: r-cran-nnet
Version: 7.3-4-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 187
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4)
Replaces: r-cran-vr (<< 7.3-0)
Homepage: http://cran.r-project.org/web/packages/nnet/index.html
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-nnet/r-cran-nnet_7.3-4-1_armhf.deb
Size: 65084
SHA256: 45310afcf6ab4830481cf1ff2f777cbf5e7e881160e351842474c39f2f733211
SHA1: 023dd59815e7e4cb9bf71b295c9df7368062d6b8
MD5sum: cea6bec469bd6b6d335a564354b29899
Description: GNU R package for feed-forward neural networks
 The nnet package provides functions and datasets to support
 feed-forward neural networks with a single hidden layer, and
 multinomial log-linear models, as discussed in the book 'Modern
 Applied Statistics with S' (4th edition) by W.N. Venables and
 B.D. Ripley. The following URL provides more details about the book:
 URL: http://www.stats.ox.ac.uk/pub/MASS4

Package: r-cran-nws
Version: 2.0.0.3-2
Installed-Size: 872
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.11.1), python
Suggests: python-nwsserver (>= 2.0.0)
Size: 274326
SHA256: 72a6dd1e2d52646dd774014b117347afa8debeafb2fa6a8045619455ce7a0016
SHA1: 234221bb51e14d83a7e659d3d555ba87cce9675b
MD5sum: 1d8e5aace15f7a12f309434479f6bf02
Description: GNU R package for distributed programming via NetWorkSpaces
 This Debian package provides support for NetWorkSpaces (NWS), a distributed
 computing toolkit which makes  it easy to use computing clusters from within
 the Python and GNU R languages and scripting environments..
 .
 See http://www.ddj.com/web-development/200001971 for a recent overview
 article on NetWorkSpaces (albeit with a focus on use from Python).
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-nws/r-cran-nws_2.0.0.3-2_all.deb

Package: r-cran-permute
Source: permute
Version: 0.7-0-1
Installed-Size: 318
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.15.0-1)
Pre-Depends: dpkg (>= 1.15.6)
Suggests: r-cran-vegan, r-cran-testthat
Size: 219612
SHA256: 0a42a76bedd5474a26ba73a21642b717bd23dde50da63c6feda8a3942ea8fe92
SHA1: 14d250e5e683dd867722a0bbf4280f507f58a43c
MD5sum: c622010019be06fc0411ed3c02dc2aee
Description: R functions for generating restricted permutations of data
 Implements a set of restricted permutation designs for freely exchangeable,
 line transects (time series), and spatial grid designs plus permutation of
 blocks (groups of samples). ‘permute’ also allows split-plot designs, in which
 the whole-plots or split-plots or both can be freely-exchangeble or one of the
 restricted designs. The permute package is modelled after the permutation
 schemes of Canoco 3.1 by Cajo ter Braak.
Homepage: http://cran.r-project.org/web/packages/permute
Section: gnu-r
Priority: optional
Filename: pool/main/p/permute/r-cran-permute_0.7-0-1_all.deb

Package: r-cran-plotrix
Version: 3.2-6-1
Installed-Size: 625
Maintainer: Debian Science Team 
Architecture: all
Depends: r-base-core (>= 2.14.0~)
Size: 534188
SHA256: 5e75ee5e3dc9551df2d7a60f809c4e8c6c89fa679b0b8db8d6a1e8a8b8d69e2a
SHA1: 35aeb516ba7129531bc1ecc262cb7dfffd22f4f1
MD5sum: 8dc9c6d6449f6bca3898e88a2fce5cf2
Description: GNU R package providing various plotting functions
 This R package provides lots of plots, various labeling, axis and color scaling
 functions.  Some of them are:
 .
  arctext:          Display text on a circular arc.
  barhier:          Display a set of hierarchically associated variables
  barp:             A bar plotting routine
  bin.wind.records: Classify wind direction and speed records.
  centipede.plot:   Display a centipede plot
  clock24.plot:     Plot values on a 24 hour "clockface".
  color.legend:     Legend matching categories or values to colors
  dotplot.mtb:      Minitab style dotplots.
  gantt.chart:      Display a Gantt chart
  intersectDiagram: Display set intersections
  multhist:         Plot a multiple histogram, as a barplot
  polar.plot:       Plot values on a circular grid of 0 to 360 degrees.
  vectorField:      Display magnitude/direction vectors
  weighted.hist:    Display a weighted histogram
 .
 and many more.  Read more about this package at
 http://cran.r-project.org/web/packages/plotrix/plotrix.pdf
Homepage: http://cran.r-project.org/web/packages/plotrix/
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-plotrix/r-cran-plotrix_3.2-6-1_all.deb

Package: r-cran-plyr
Version: 1.7.1-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 620
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1~)
Homepage: http://had.co.nz/plyr
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-plyr/r-cran-plyr_1.7.1-1_armhf.deb
Size: 514684
SHA256: 4765996261db71f212b8b44912502372605633f2f3d8f8427a8bd476c786c7b4
SHA1: 353ff8989448a3a58653ba1113973444a7436523
MD5sum: 0970a7e545093a9e8accfff7936dd50f
Description: Tools for splitting, applying and combining data
 plyr is a set of tools that solves a common set of problems: you need to break
 a big problem down into manageable pieces, operate on each pieces and then put
 all the pieces back together. For example, you might want to fit a model to
 each spatial location or time point in your study, summarise data by panels or
 collapse high-dimensional arrays to simpler summary statistics. The development
 of plyr has been generously supported by BD (Becton Dickinson).

Package: r-cran-polspline
Source: polspline
Version: 1.1.5-5
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 592
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), r-base-core (>= 2.15.1-4)
Priority: optional
Section: gnu-r
Filename: pool/main/p/polspline/r-cran-polspline_1.1.5-5_armhf.deb
Size: 423194
SHA256: 026f944f37e815e17c8750251c966e88b928da3bea033b8c0b77ebfca7784429
SHA1: 4bcff50e0b1b9354aac7180186560a1bf433555e
MD5sum: df40bab524acb8b6f75d3d416ac09f26
Description: GNU R package providing polynomial spline fitting
 This package provides functions for polynomial spline fitting
 routines hazard regression, hazard estimation with flexible tails,
 logspline, lspec, polyclass, and polymars.

Package: r-cran-proto
Version: 0.3-9.2-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 763
Depends: r-base-core (>= 2.15.0~)
Homepage: http://r-proto.googlecode.com/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-proto/r-cran-proto_0.3-9.2-1_armhf.deb
Size: 564858
SHA256: b25a131a87b7175dd3bcb71983027b0fd05aa18f27745e90e4e3621c0a667e59
SHA1: 4204a6645f4f7cce3829f87833750385154e8ffd
MD5sum: 6cff170b9704a187d8e803225294ce08
Description: Prototype object-based programming
 An object oriented system using object-based, also called prototype-based, rather
 than class-based object oriented ideas.

Package: r-cran-pscl
Version: 1.03.5-1+deb70u1
Architecture: armhf
Maintainer: Chris Lawrence 
Installed-Size: 1594
Depends: r-base-core (>> 2.3.0), r-cran-mass, r-cran-mvtnorm (>= 0.7.5-2), r-cran-coda, r-cran-lattice, libc6 (>= 2.13-28)
Suggests: r-cran-mcmcpack, r-cran-zoo, r-cran-sandwich, r-cran-lmtest, r-cran-car
Enhances: r-cran-mass
Homepage: http://pscl.stanford.edu/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-pscl/r-cran-pscl_1.03.5-1+deb70u1_armhf.deb
Size: 1069598
SHA256: a47a8b9f6e30af7da7c26ca532a37d5dc1a402b52eaec09714677e0a5e89b8da
SHA1: d5bafecc6a00df30a845ee6827a565d74c12a974
MD5sum: c174a2af1e49691c4672d0bbe560507b
Description: GNU R package for discrete data models
 This package consists of R functions developed at the Political
 Science Computational Laboratory at Stanford University; it currently
 includes models for count data (hurdle regression and zero-inflated
 negative binomial and Poisson models), ordinal probit and logit (also
 available in MASS via polr), and an item-response theory model.  Also
 included are Vuong tests for non-nested hypothesis testing and
 convenience functions for handling roll-call vote matrices.

Package: r-cran-psy
Version: 1.0-4
Installed-Size: 142
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.14.2-3)
Size: 79576
SHA256: 1469b2a32bc2a4861f2480c8e433fd86923750cb30ecd2925203e5a10685e7cd
SHA1: d1e047d6bed27b35d0908fb241a0578817401cc6
MD5sum: cddcfcb46a2c5f94176384bf4caf0d88
Description: GNU R procedures for psychometrics
 This package includes several procedures used in psychometrics and
 scaling, including:
 .
  * Cohen's Kappa and weighted Kappa (two-rater agreement tests)
  * Cronbach's Alpha (an item-reliability test)
  * Focused Principal Components Analysis
  * Intraclass correlation coefficients
  * Light's Kappa (an N-rater agreement test)
  * Screeplots and graphical representations of principal components
Homepage: http://cran.r-project.org/web/packages/psy
Tag: devel::lang:r, devel::library, field::medicine, field::statistics,
 implemented-in::r, interface::commandline, role::shared-lib,
 scope::utility, use::analysing, use::viewing
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-psy/r-cran-psy_1.0-4_all.deb

Package: r-cran-pvclust
Source: pvclust
Version: 1.2-2-1
Installed-Size: 272
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.13.0~)
Recommends: r-cran-mass, r-cran-snow
Suggests: r-cran-rmpi
Size: 140700
SHA256: a9cee4248b7caf7dc2728f2909494e89c914fa525e9d9cf2d0a96081328e7080
SHA1: e6b0b8581f66416216814e052d89e90b32c2368e
MD5sum: 978c7d30a7be2f2259184fc5977a43b7
Description: Hierarchical Clustering with P-Values via Multiscale Bootstrap
 pvclust is a package for assessing the uncertainty in
 hierarchical cluster analysis. It provides AU (approximately
 unbiased) p-values as well as BP (boostrap probability) values
 computed via multiscale bootstrap resampling.
Homepage: http://www.is.titech.ac.jp/~shimo/prog/pvclust/
Section: gnu-r
Priority: optional
Filename: pool/main/p/pvclust/r-cran-pvclust_1.2-2-1_all.deb

Package: r-cran-qtl
Source: qtl
Version: 1.23-16-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 5472
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base, libstdc++6 (>= 4.1.1), r-base-core (>= 2.15.1-4)
Suggests: r-cran-snow
Homepage: http://www.rqtl.org
Priority: optional
Section: gnu-r
Filename: pool/main/q/qtl/r-cran-qtl_1.23-16-1_armhf.deb
Size: 4409534
SHA256: 890d2389359fec20cde675b64ea06c00e0a3429bf37c39fd8910ea566cec31b1
SHA1: 2a8b3201a30993d12ec17eaf3c7f763c71b29730
MD5sum: b586d925b22dd4a7bd65ded5f92d267a
Description: GNU R package for genetic marker linkage analysis
 R/qtl is an extensible, interactive environment for mapping quantitative
 trait loci (QTLs) in experimental crosses. It is implemented as an
 add-on-package for the freely available and widely used statistical
 language/software R (see http://www.r-project.org).
 .
 The development of this software as an add-on to R allows one to take
 advantage of the basic mathematical and statistical functions, and
 powerful graphics capabilities, that are provided with R. Further,
 the user will benefit by the seamless integration of the QTL mapping
 software into a general statistical analysis program. The goal is to
 make complex QTL mapping methods widely accessible and allow users to
 focus on modeling rather than computing.
 .
 A key component of computational methods for QTL mapping is the hidden
 Markov model (HMM) technology for dealing with missing genotype data. We
 have implemented the main HMM algorithms, with allowance for the presence
 of genotyping errors, for backcrosses, intercrosses, and phase-known
 four-way crosses.
 .
 The current version of R/qtl includes facilities for estimating
 genetic maps, identifying genotyping errors, and performing single-QTL
 genome scans and two-QTL, two-dimensional genome scans, by interval
 mapping (with the EM algorithm), Haley-Knott regression, and multiple
 imputation. All of this may be done in the presence of covariates (such
 as sex, age or treatment). One may also fit higher-order QTL models by
 multiple imputation.

Package: r-cran-quadprog
Source: quadprog
Version: 1.5-4-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 104
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), r-base-core (>= 2.13.0)
Priority: optional
Section: gnu-r
Filename: pool/main/q/quadprog/r-cran-quadprog_1.5-4-1_armhf.deb
Size: 33748
SHA256: e00385efd107033c7b024a37ee2d41ce069d1718f24199089b6926a4ef67bfb0
SHA1: 5f1a27cd134fe505067c8fde9f9b5f5f9a7b3122
MD5sum: bc701e715f3871d26f4697a3440f794b
Description: GNU R package for solving quadratic programming problems
 This CRAN package provides functions and documentation for solving
 quadratic programming problems in GNU R.

Package: r-cran-qvalue
Version: 1.26.0-1
Installed-Size: 964
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.13.0~)
Size: 584794
SHA256: 52c3eb589d35002ddadb989c8d014918077cd7a8449af7426843dbd1e09df19c
SHA1: aebfb8e315212fb30818cfd4494d1a7e794c6d0f
MD5sum: 79b700d6eca2c289efdf6fc9c7ea604e
Description: GNU R package for Q-value estimation for FDR control
 This package takes a list of p-values resulting from the simultaneous
 testing of many hypotheses and estimates their q-values. The
 q-value of a test measures the proportion of false positives incurred
 (called the false discovery rate) when that particular test is called
 significant. Various plots are automatically generated, allowing one
 to make sensible significance cut-offs. Several mathematical results
 have recently been shown on the conservative accuracy of the estimated
 q-values from this software. The software can be applied to problems
 in genomics, brain imaging, astrophysics, and data mining.
Homepage: http://cran.r-project.org/web/packages/qvalue
Tag: devel::lang:r, field::biology, field::biology:bioinformatics,
 field::medicine, field::statistics, implemented-in::r
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-qvalue/r-cran-qvalue_1.26.0-1_all.deb

Package: r-cran-randomforest
Version: 4.6-6-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 234
Depends: libc6 (>= 2.13-28), libgfortran3 (>= 4.3), r-base-core (>= 2.15.1~)
Recommends: r-cran-rcolorbrewer
Suggests: r-cran-mass
Homepage: http://cran.r-project.org/web/packages/randomForest/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-randomforest/r-cran-randomforest_4.6-6-1_armhf.deb
Size: 140862
SHA256: 0dceef5decbf275d9be3dd684c9ebc61981d28524938145c9067b0c8804299c7
SHA1: 51e6875dd17a0f8dca737a7f9ad16e62dfe7555c
MD5sum: 479a4037447cd043256011ba524e2693
Description: GNU R package implementing the random forest classificator
 RandomForest implements Breiman’s random forest algorithm (based on Breiman
 and Cutler’s original Fortran code) for classification and regression. It can
 also be used in unsupervised mode for assessing proximities among data points.
 .
 The technique uses multiple decision trees and combines their individual
 votes.

Package: r-cran-raschsampler
Source: raschsampler
Version: 0.8-5-1
Architecture: armhf
Maintainer: Julian Gilbey 
Installed-Size: 265
Depends: r-base-core (>= 2.8.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3)
Priority: optional
Section: gnu-r
Filename: pool/main/r/raschsampler/r-cran-raschsampler_0.8-5-1_armhf.deb
Size: 191294
SHA256: 98281e7a113a37b0a5ea1fd7ac5fdd986c6411680704a2a2de15b5f9c5d858d9
SHA1: 3bbc1d1c289f7a1c02fc6df0a571c77b5c7d7f66
MD5sum: f1e2ec1c9bba1b681448f427eb4999ac
Description: GNU R package for sampling binary matrices with fixed margins
 This package implements an MCMC algorithm for sampling of binary
 matrices with fixed margins complying to the Rasch model.  Its
 stationary distribution is uniform.  The algorithm also allows
 for square matrices with fixed diagonal.

Package: r-cran-rcmdr
Source: rcmdr
Version: 1.8-4-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 4095
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4), r-cran-car (>= 2.0-0), r-cran-abind (>= 1.1.0-2), r-cran-effects (>= 1.0.5-2), r-cran-lmtest (>= 0.9.6-3), r-cran-mvtnorm (>= 0.6.8-2), r-cran-multcomp (>= 0.4.7-2), r-cran-relimp (>= 0.8.4-2), r-cran-mgcv (>= 1.1.5), r-cran-rgl (>= 0.64.13-2), r-cran-sm (>= 2.0.12-2), r-cran-strucchange, r-cran-hmisc
Suggests: r-cran-rodbc
Priority: optional
Section: gnu-r
Filename: pool/main/r/rcmdr/r-cran-rcmdr_1.8-4-1_armhf.deb
Size: 2986682
SHA256: 68abb52e186791ddf088f8e701223fac094f664084e385c78eb4e368e18571ed
SHA1: bd816ddcd7d9eebb5a44d874ec2101f44810e241
MD5sum: d195a5b7c5c60f970317404f5adb2f8d
Description: GNU R platform-independent basic-statistics GUI
 This package provides a simple GUI, based on the GNU interface to Tcl/Tk,
 that is suitable for teaching introductory statistics.

Package: r-cran-rcolorbrewer
Source: rcolorbrewer
Version: 1.0-5-1
Installed-Size: 96
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.13.0)
Size: 24798
SHA256: 8e0c5d341567884a248e81014a768f4ea026d1036a9b6ba0e545969f88530206
SHA1: 0efaad561af3596751db1163675f2342ba6e053b
MD5sum: 4a3ad9173ca5e900cb5d1ecdaf874af1
Description: GNU R package providing suitable color palettes
 This package of functions for GNU R provides the 'Color Brewer'
 palettes for drawing nice maps, or coloring other charts. See
 http://www.colorbrewer.org for an details on the Color Brewer
 colouring schemes.
Tag: devel::lang:r
Section: gnu-r
Priority: optional
Filename: pool/main/r/rcolorbrewer/r-cran-rcolorbrewer_1.0-5-1_all.deb

Package: r-cran-rcpp
Source: rcpp
Version: 0.9.13-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 10015
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), r-base-core (>= 2.15.1-4), littler
Suggests: r-cran-inline
Homepage: http://dirk.eddelbuettel.com/code/rcpp.html
Priority: optional
Section: gnu-r
Filename: pool/main/r/rcpp/r-cran-rcpp_0.9.13-1_armhf.deb
Size: 2547278
SHA256: c13e969f47f912ea412cff75d88c27f74e0d7bf9e5b3c4bd1fc01e1a446d7658
SHA1: 147d9c54384c054e00621be49e12581bb919c086
MD5sum: 3c8caf0e08075b30cb654fb5c55cccdb
Description: GNU R package for Seamless R and C++ Integration
 The Rcpp package provides a C++ library which facilitates the
 integration of R and C++.
 .
 R data types (SEXP) are matched to C++ objects in a class hierarchy.  All R
 types are supported (vectors, functions, environment, etc ...)  and each
 type is mapped to a dedicated class. For example, numeric vectors are
 represented as instances of the Rcpp::NumericVector class, environments are
 represented as instances of Rcpp::Environment, functions are represented as
 Rcpp::Function, etc ... The "Rcpp-introduction" vignette provides a good
 entry point to Rcpp.
 .
 Conversion from C++ to R and back is driven by the templates Rcpp::wrap
 and Rcpp::as which are highly flexible and extensible, as documented
 in the "Rcpp-extending" vignette.
 .
 Rcpp also provides Rcpp modules, a framework that allows exposing
 C++ functions and classes to the R level. The "Rcpp-modules" vignette
 details the current set of features of Rcpp-modules.
 .
 Rcpp includes a concept called Rcpp sugar that brings many R functions
 into C++. Sugar takes advantage of lazy evaluation and expression templates
 to achieve great performance while exposing a syntax that is much nicer
 to use than the equivalent low-level loop code. The "Rcpp-sugar" vignette
 gives an overview of the feature.
 .
 Several examples are included, and 753 unit tests in 338 unit test functions
 provide additional usage examples.
 .
 An earlier version of Rcpp, containing what we now call the 'classic Rcpp
 API' was written during 2005 and 2006 by Dominick Samperi.  This code has
 been factored out of Rcpp into the package RcppClassic and it is still
 available for code relying on this interface. New development should use
 this package instead.

Package: r-cran-relimp
Source: relimp
Version: 1.0-3-1
Installed-Size: 46
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>> 2.13.2), r-cran-nnet
Size: 43752
SHA256: 684091e841ca6ed367a894b1f5b2d81e0cc6bfb1cdd442604365536388d84cc8
SHA1: c3a3d1a86e2bc8ec8863098d4716973633b32a4f
MD5sum: ad1b05f9c3a488b4ab05d2ab5778a9f3
Description: GNU R package for inference on relative importance of regressors
 This package provides functions to facilitate inference on the relative
 importance of predictors in a linear or generalized linear model.
Tag: devel::lang:r, devel::library, field::statistics, implemented-in::r,
 role::app-data, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/r/relimp/r-cran-relimp_1.0-3-1_all.deb

Package: r-cran-reshape
Version: 0.8.4-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 196
Depends: r-base-core (>= 2.15.1~), r-cran-plyr
Homepage: http://had.co.nz/reshape
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-reshape/r-cran-reshape_0.8.4-1_armhf.deb
Size: 125620
SHA256: 5769a29dcbe5a4c28538d9954043323757277f569ad428b6dcc4d49e4764cff9
SHA1: 61ed6ee0ef134a8da021f3c4e6ecf6d3eb371ef8
MD5sum: fcca5fcb111c53be80dedf3a3ee6dbff
Description: Flexibly reshape data
 Reshape lets you flexibly restructure and aggregate data using just two
 functions: melt and cast.
 .
 Reshape (hopefully) makes it easy to do what you have been struggling
 to do with tapply, by, aggregate, xtabs, apply and summarise. It is also
 useful for getting your data into the correct structure for lattice or
 ggplot plots.

Package: r-cran-reshape2
Version: 1.2.1-1
Installed-Size: 132
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.14.1~), r-cran-plyr, r-cran-stringr, r-cran-lattice
Size: 59200
SHA256: 8b6d1d043a39b92518e136f0cb3f2e27ce54a180dde584b5ac6d3e0be3265f18
SHA1: 75b82c4ba22472f65033468eb8366fd32bc9cad4
MD5sum: b125ba5f5dd1b0705524ff74a81d8719
Description: Flexibly reshape data: a reboot of the reshape package
 Reshape lets you flexibly restructure and aggregate data using just two
 functions: melt and cast.
 .
 Reshape (hopefully) makes it easy to do what you have been struggling
 to do with tapply, by, aggregate, xtabs, apply and summarise. It is also
 useful for getting your data into the correct structure for lattice or
 ggplot plots.
Homepage: http://had.co.nz/reshape
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-reshape2/r-cran-reshape2_1.2.1-1_all.deb

Package: r-cran-rggobi
Source: rggobi
Version: 2.1.17-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 486
Depends: r-base-core (>= 2.12.2), ggobi (>= 2.1.6), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), r-cran-rgtk2
Conflicts: r-omegahat-ggobi (<= 2.1.5-1)
Replaces: r-omegahat-ggobi (<= 2.1.5-1)
Provides: r-omegahat-ggobi
Homepage: http://www.ggobi.org/rggobi/
Priority: optional
Section: gnu-r
Filename: pool/main/r/rggobi/r-cran-rggobi_2.1.17-1_armhf.deb
Size: 338128
SHA256: 293c6a97d61faa5e0cab14da305031db0c7ac439404eb85078fac2ec0437d707
SHA1: fe5bdcfc2869f562c40c054f51fb95d26ddcc5fe
MD5sum: 7f28f4c2d153ebcace5448473fa136b9
Description: GNU R package for the GGobi data visualization system
 This Debian package contains the R package for access to the ggobi
 data visualization system for high-dimensional data from within GNU R.
 .
 See http://www.ggobi.org/rggobi for rggobi, http://www.ggobi.org/ggobi
 and http://www.r-project.org for GNU R.

Package: r-cran-rgl
Source: rgl
Version: 0.92.798-1+deb7u1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 3143
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), libx11-6, zlib1g (>= 1:1.1.4), r-base-core (>= 2.12.1)
Priority: optional
Section: gnu-r
Filename: pool/main/r/rgl/r-cran-rgl_0.92.798-1+deb7u1_armhf.deb
Size: 1585774
SHA256: 2f89b16f46b48f15b31264bea8b17c32d654deb9e88dd2b58e9a8a8bb189ff3f
SHA1: 8d134737dc4e4502de84a60cea59f797eab9ea91
MD5sum: 1edec9ce0273b5e8aa060d5a6754d795
Description: GNU R package for three-dimensional visualisation using OpenGL
 This package provides functions implementing a new graphics device suitable
 for visualisation of GNU R objects in three dimensions using the OpenGL
 libraries.

Package: r-cran-rglpk
Source: rglpk
Version: 0.3-8-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 95
Depends: libc6 (>= 2.13-28), libglpk0 (>= 4.33), r-base-core (>= 2.14.1), r-cran-slam
Suggests: r-cran-runit
Homepage: http://R-Forge.R-project.org/projects/rglp/
Priority: optional
Section: gnu-r
Filename: pool/main/r/rglpk/r-cran-rglpk_0.3-8-1_armhf.deb
Size: 28620
SHA256: 2bda5fda39b5b59725ead4aa45e410eb0b8e1c4ff99df463c1378941bf742fb2
SHA1: bcf40e0135c5b9bc6e206ccbeb160b5114cf1eb0
MD5sum: 25b11a61733e67e258f2999d611bb78c
Description: GNU R interface to the GNU Linear Programing Kit
 GLPK is open source software for solving large-scale linear programming (LP),
 mixed integer linear programming (MILP) and other related problems.

Package: r-cran-rgtk2
Source: rgtk2
Version: 2.20.24-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 15888
Depends: r-base-core (>= 2.15.1-4), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.20.0), libpango1.0-0 (>= 1.25.5)
Priority: optional
Section: gnu-r
Filename: pool/main/r/rgtk2/r-cran-rgtk2_2.20.24-1_armhf.deb
Size: 12583630
SHA256: aad96bf903dd754af1a2f346806493d3941f64302437d78b547c1c85891cffd3
SHA1: 1036645fef7bde5866de33893b222695a0dde49b
MD5sum: 342e34f261080ddd7f6cea6caf055839
Description: GNU R binding for Gtk2
 This package provides facilities in the S language (i.e. the language
 which GNU R provides) for programming graphical interfaces using Gtk,
 the GNOME GUI toolkit.
 .
 This package extends and updates the previous r-omegahat-rgtk package to
 the 2.8 release of the Gtk libraries.

Package: r-cran-rjags
Version: 3.3-1
Architecture: armhf
Maintainer: Chris Lawrence 
Installed-Size: 180
Depends: r-base-core (>= 2.9), jags (>= 3.0.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), r-cran-coda (>= 0.13), r-cran-lattice
Homepage: http://calvin.iarc.fr/~martyn/software/jags/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-rjags/r-cran-rjags_3.3-1_armhf.deb
Size: 84370
SHA256: ae0ae58ab2fbc999a0c5f2e32a716985aa32e985ec85fe00091aa301300899c7
SHA1: e671b34616918a38e3eb2578cad331814091df9c
MD5sum: 28d9ca976b10588421e5ccda337e6f5d
Description: R interface to the JAGS Bayesian statistics package
 rjags allows calling JAGS code from R to estimate Bayesian
 statistical models using Gibbs sampling.  Coupled with the coda
 package, it allows the researcher to set up data in R, run a model
 specified in the JAGS/BUGS language on the data, and then conduct
 post-estimation analysis using R's tools.

Package: r-cran-rjava
Source: rjava
Version: 0.9-3-1+deb7u1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 1508
Depends: r-base-core (>= 2.14.0), default-jre | java6-runtime, libc6 (>= 2.13-28)
Homepage: http://www.rforge.net/rJava
Priority: optional
Section: gnu-r
Filename: pool/main/r/rjava/r-cran-rjava_0.9-3-1+deb7u1_armhf.deb
Size: 548564
SHA256: bccff1545a2fa3e13039b3cdfaf73d67d927581572489f2d81e92331bc6bf925
SHA1: af755bdd2d602bcc7d4011c0177134466127fe9d
MD5sum: 0d02d7505b3a86fc48c2e9b47e6c34bb
Description: GNU R low-level interface to Java
 This package provide a low-level interface from GNU R to Java VM very much
 like .C/.Call and friends. Allows creation of objects, calling methods and
 accessing fields.

Package: r-cran-rmpi
Source: rmpi
Version: 0.5-9-3
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 317
Depends: libc6 (>= 2.13-28), libopenmpi1.3, r-base-core (>= 2.15.1-4), mpi-default-bin
Suggests: r-cran-rsprng
Priority: optional
Section: gnu-r
Filename: pool/main/r/rmpi/r-cran-rmpi_0.5-9-3_armhf.deb
Size: 199968
SHA256: 1b5c8bca67f5ee587621f1cf3c7ebdfc382bfdb9648faf4e5c393a5a973beedc
SHA1: 1c7864214905ceed07f332dff504393c9ba9b907
MD5sum: 4eda18333de9410dbb8e700adb3161d5
Description: GNU R package interfacing MPI libraries for distributed computing
 This CRAN package provides an interface to the MPI (Message-Passing
 Interface) API. It also provides an interactive R slave environment
 in which distributed statistical computing can be carried out.

Package: r-cran-rms
Version: 3.5-0-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 1017
Depends: libc6 (>= 2.13-28), libgfortran3 (>= 4.3), r-base-core (>= 2.15.1-4), r-cran-hmisc (>= 3.7-0), r-cran-survival (>= 2.36-3)
Conflicts: r-noncran-design
Replaces: r-noncran-design
Homepage: http://biostat.mc.vanderbilt.edu/wiki/Main/Rrms
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-rms/r-cran-rms_3.5-0-1_armhf.deb
Size: 928884
SHA256: f3d317304808c012e3c834039b80a3c96d1d3472b2845f0a3ed4c6cdd1e46eb0
SHA1: 2dc931e0624a1baf941f0cf050f0a55f09813b80
MD5sum: 206fcae5ebe00dc1b3445851adef4370
Description: GNU R regression modeling strategies by Frank Harrell
 Regression modeling, testing, estimation, validation, graphics,
 prediction, and typesetting by storing enhanced model design
 attributes in the fit.  rms is a collection of 229 functions that
 assist with and streamline modeling.  It also contains functions for
 binary and ordinal logistic regression models and the Buckley-James
 multiple regression model for right-censored responses, and implements
 penalized maximum likelihood estimation for logistic and ordinary
 linear models.  rms works with almost any regression model, but it
 was especially written to work with binary or ordinal logistic
 regression, Cox regression, accelerated failure time models,
 ordinary linear models, the Buckley-James model, generalized least
 squares for serially or spatially correlated observations, generalized
 linear models, and quantile regression.
 .
 See Frank Harrell (2001), Regression Modeling Strategies, Springer
 Series in Statistics, as well as http://biostat.mc.vanderbilt.edu/Rrms.

Package: r-cran-rmysql
Source: rmysql
Version: 0.9-3-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 493
Depends: libc6 (>= 2.13-28), libmysqlclient18 (>= 5.5.24+dfsg-1), zlib1g (>= 1:1.1.4), r-base-core (>= 2.14.1), r-cran-dbi (>= 0.2-2)
Homepage: http://stat.bell-labs.com/RS-DBI
Priority: optional
Section: gnu-r
Filename: pool/main/r/rmysql/r-cran-rmysql_0.9-3-1_armhf.deb
Size: 312942
SHA256: 23a3e78c0d8a2062b14a7a0ae4a487287d557bb2bf662a7e1aeee54c7052c2ac
SHA1: a1e90352902615c850202c04b5efec343f742eec
MD5sum: b749155d70452e9ca486571fdd5f566a
Description: GNU R package providing a DBI-compliant interface to MySQL
 The package implements access to MySQL databases via the DBI interface of R.

Package: r-cran-robustbase
Source: robustbase
Version: 0.8-1-1-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 2347
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base, r-base-core (>= 2.15.1-4)
Priority: optional
Section: gnu-r
Filename: pool/main/r/robustbase/r-cran-robustbase_0.8-1-1-1_armhf.deb
Size: 1979672
SHA256: 24d3e44ba7995a883425605f168ece2e6b1bfd6e38572728f9e1de4eac520537
SHA1: 6d41f8706ba136b0994fa49b418f176c1141793e
MD5sum: 18bc6edda6f369080bdb3591aee89115
Description: GNU R package providing basic robust statistics
 This package provides 'essential' robust statistics. The goal of the
 package is to provide tools allowing to analyze data with robust methods.
 This includes regression methodology including model selections and
 multivariate statistics where the authors strive to cover the book
 "Robust Statistics,  Theory and Methods" by Maronna, Martin and Yohai;
 Wiley 2006.

Package: r-cran-rocr
Version: 1.0-4-3
Installed-Size: 319
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.14.0~), r-cran-gplots, r-cran-gtools, r-cran-gdata
Suggests: r-cran-runit
Size: 160144
SHA256: 3bf565989cfa877617373fb03b301b6ea5537cf56ceb6e688c97d0b9c980666e
SHA1: 9414230499815819b5396868ad4508a38445fb8a
MD5sum: d15a814002c57ecb8d1fca2a767f007f
Description: GNU R package to prepare and display ROC curves
 ROC graphs, sensitivity/specificity curves, lift charts,
 and precision/recall plots are popular examples of trade-off
 visualizations for specific pairs of performance measures. ROCR is a
 flexible tool for creating cutoff-parametrized 2D performance curves
 by freely combining two from over 25 performance measures (new
 performance measures can be added using a standard interface).
 Curves from different cross-validation or bootstrapping runs can be
 averaged by different methods, and standard deviations, standard
 errors or box plots can be used to visualize the variability across
 the runs. The parametrization can be visualized by printing cutoff
 values at the corresponding curve positions, or by coloring the
 curve according to cutoff. All components of a performance plot can
 be quickly adjusted using a flexible parameter dispatching
 mechanism. Despite its flexibility, ROCR is easy to use, with only
 three commands and reasonable default values for all optional
 parameters.
 .
 ROCR features: ROC curves, precision/recall plots, lift charts, cost
 curves, custom curves by freely selecting one performance measure for the
 x axis and one for the y axis, handling of data from cross-validation
 or bootstrapping, curve averaging (vertically, horizontally, or by
 threshold), standard error bars, box plots, curves that are color-coded
 by cutoff, printing threshold values on the curve, tight integration
 with Rs plotting facilities (making it easy to adjust plots or to combine
 multiple plots), fully customizable, easy to use (only 3 commands).
 .
 Performance measures that ROCR knows: Accuracy, error rate, true
 positive rate, false positive rate, true negative rate, false negative
 rate, sensitivity, specificity, recall, positive predictive value,
 negative predictive value, precision, fallout, miss, phi correlation
 coefficient, Matthews correlation coefficient, mutual information, chi
 square statistic, odds ratio, lift value, precision/recall F measure,
 ROC convex hull, area under the ROC curve, precision/recall break-even
 point, calibration error, mean cross-entropy, root mean squared error,
 SAR measure, expected cost, explicit cost.
Homepage: http://rocr.bioinf.mpi-sb.mpg.de
Tag: field::statistics, implemented-in::r, role::shared-lib, use::analysing,
 use::viewing
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-rocr/r-cran-rocr_1.0-4-3_all.deb

Package: r-cran-rodbc
Source: rodbc
Version: 1.3-6-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 937
Depends: libc6 (>= 2.13-28), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), r-base-core (>= 2.15.1-4)
Suggests: odbc-postgresql, libmyodbc
Priority: optional
Section: gnu-r
Filename: pool/main/r/rodbc/r-cran-rodbc_1.3-6-1_armhf.deb
Size: 738352
SHA256: 40353ac3f732e694c273588fb3f1db906b37029be112b6d87284503c01d482c9
SHA1: 575eaf61ef68fe1d5a65ba8967c4e76d4cae3285
MD5sum: 19f2d1e15aaa73534c4e7dbf0f40bd42
Description: GNU R package for ODBC database access
 This CRAN package provides access to any Open DataBase Connectivity (ODBC)
 accessible database.
 .
 The package should be platform independent and provide access to any
 database for which a driver exists.  It has been tested with MySQL
 and PostgreSQL on both Linux and Windows (and to those DBMSs on Linux
 hosts from R under Windows), Microsoft Access, SQL Server and Excel
 spreadsheets (read-only), and users have reported success with
 connections to Oracle and DBase.
 .
 Usage is covered in the R Data Import/Export manual (available via the
 r-doc-pdf, r-doc-html and r-doc-info packages).

Package: r-cran-rpart
Source: rpart
Version: 3.1.54-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 331
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4), r-cran-survival
Replaces: r-recommended (<< 1.9.0)
Priority: optional
Section: gnu-r
Filename: pool/main/r/rpart/r-cran-rpart_3.1.54-1_armhf.deb
Size: 169394
SHA256: 4cf79f3290175a2e20eae904f9346fb51c1db2a4af8a64d9affabc745afdafa0
SHA1: cef0755838dd18166b58d2cc08100d04aa2e5057
MD5sum: cf589bfa76ebdc467a34f2a7e8dc6941
Description: GNU R package for recursive partitioning and regression trees
 This package provides functions to recursive partioning and regression trees.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.
 .
 URL (of the S-Plus original): http://www.mayo.edu/hsr/Sfunc.html

Package: r-cran-rpvm
Source: rpvm
Version: 1.0.4-2
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 238
Depends: libc6 (>= 2.13-28), libpvm3, libreadline6 (>= 6.0), r-base-core (>> 2.13.2-1), pvm
Suggests: r-cran-rsprng
Priority: optional
Section: gnu-r
Filename: pool/main/r/rpvm/r-cran-rpvm_1.0.4-2_armhf.deb
Size: 144670
SHA256: 0a486d4a10c8674a1f12498c6afbb30e74692a1644eebf68fa4937870a1d1d78
SHA1: d7ad36f750ba41e35cac62d271bac14026bfb069
MD5sum: 107da12e00df31cb580ca01ca1839751
Description: GNU R package interfacing PVM libraries for distributed computing
 This CRAN package provides an interface to the PVM (Parallel Virtual
 Machine) API, along with documentation and examples.

Package: r-cran-rquantlib
Source: rquantlib
Version: 0.3.8-2
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 1723
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libquantlib-1.2, libstdc++6 (>= 4.4.0), r-cran-rcpp (>= 0.8.4)
Priority: optional
Section: gnu-r
Filename: pool/main/r/rquantlib/r-cran-rquantlib_0.3.8-2_armhf.deb
Size: 668442
SHA256: 973a1059a39a41dfe9c7c93944c3e358b2c6b986a0cb57ded84143dd04a8e0cd
SHA1: 3076d45941c048a7c183cdba4bcd9dd68405bbf9
MD5sum: f5b3ee9d4fc146837692c8750ab91492
Description: GNU R package interfacing the QuantLib finance library
 This CRAN package provides an interface for GNU R to the QuantLib
 library for modeling, trading, and risk management of financial assets.

Package: r-cran-rserve
Source: rserve
Version: 0.6-8-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 311
Depends: r-base-core (>= 2.14.1), libc6 (>= 2.13-28)
Homepage: http://www.rforge.net/Rserve
Priority: optional
Section: gnu-r
Filename: pool/main/r/rserve/r-cran-rserve_0.6-8-1_armhf.deb
Size: 147330
SHA256: 91d175a5719e97c693187e90b817f1bc34c1f789ec92d7899d0c41df5709c195
SHA1: fac9c33c824ee0f16a4e4490944d1092a1c6a885
MD5sum: fe6c8b79f94a0d00c090906e6047c331
Description: GNU R Rserve tcp/ip server and sample clients
 This package provide Rserve, a headless server for GNU R.  Rserve
 acts as a socket server (TCP/IP or local sockets) which allows binary
 requests to be sent to R. Every connection has a separate workspace
 and working directory. Client-side implementations are available for
 popular languages such as C/C++ and Java, allowing any application to
 use facilities of R without the need of linking to R code. Rserve
 supports remote connection, user authentication and file transfer. A
 simple R client is included in this package as well.
 .
 URL: http://www.rosuda.org/Rserve/

Package: r-cran-rsprng
Source: rsprng
Version: 1.0-2
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 104
Depends: libc6 (>= 2.13-28), libgmp10, libsprng2, r-base-core (>= 2.12.2)
Priority: optional
Section: gnu-r
Filename: pool/main/r/rsprng/r-cran-rsprng_1.0-2_armhf.deb
Size: 38286
SHA256: 09685f3d25d2d5fb18bf0f4b364b330556e0c138cc128a0c4cc829af012c4160
SHA1: 79bbf414708b6a17feef0aad38559593bc58ec05
MD5sum: 3620566ec3e49c13a17f03c37c176e44
Description: GNU R interface to SPRNG (Scalable Parallel RNGs)
 This package provides an interface to the SPRNG (Scalable Parallel Random
 Number Generator) library API, along with examples and documentation.

Package: r-cran-rsqlite
Version: 0.11.1-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 772
Depends: libc6 (>= 2.13-28), libsqlite3-0 (>= 3.6.11), r-base-core (>= 2.15.1~), r-cran-dbi
Suggests: r-cran-runit
Homepage: http://cran.r-project.org/web/packages/RSQLite/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-rsqlite/r-cran-rsqlite_0.11.1-1_armhf.deb
Size: 334924
SHA256: 24bf0f6e66e8c29433523c39170a02561f26ef8ef15dc0b970927ece970a8df0
SHA1: dbb94724f32451b0a13ecf4513276e611f382d6c
MD5sum: 7a1237f6d57b573b47d3776492bf6bf9
Description: Database Interface R driver for SQLite
 This package embeds the SQLite database engine in R and provides an interface
 compliant with the DBI package. The source for the SQLite engine (version
 3.7.9) is included.

Package: r-cran-rsymphony
Source: rsymphony
Version: 0.1-14-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 95
Depends: coinor-libsymphony0, libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), liblapack3 | liblapack.so.3 | libatlas3-base, libstdc++6 (>= 4.1.1), r-base-core (>= 2.14.1)
Suggests: r-cran-runit
Homepage: http://R-Forge.R-project.org/projects/rsymphony
Priority: optional
Section: gnu-r
Filename: pool/main/r/rsymphony/r-cran-rsymphony_0.1-14-1_armhf.deb
Size: 23958
SHA256: a982451a06f88f40fec3f5f5c708dca1340ae9de93be8de5891709052739068e
SHA1: 81a23efb004baaf462055dc74909bd8971af20e1
MD5sum: 934fb17643003215ce9adffd1d8b7bfb
Description: GNU R interface to the SYMPHONY MILP solver
 Rsymphony provides an interface from GNU R to the SYMPHONY mixed
 integer linear programming (MILP) solver. More information about
 SYMPHONY is at http://www.coin-or.org/SYMPHONY/

Package: r-cran-runit
Version: 0.4.26-1
Installed-Size: 700
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.11.1)
Size: 327798
SHA256: fb7e731d45836bfb5e82cdbf1224e984f98781d640275a4b25242573f0c729d2
SHA1: 2333b01f267411ff735c7e08ae387c8852e500b7
MD5sum: 9ebc412cd926aaa90badad527de32c4a
Description: GNU R package providing unit testing framework
 This package implements a standard Unit Testing framework, with
 additional code inspection and report generation tools
Tag: devel::lang:r
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-runit/r-cran-runit_0.4.26-1_all.deb

Package: r-cran-sandwich
Source: sandwich
Version: 2.2-9-1
Installed-Size: 988
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.14.1), r-cran-zoo
Size: 906768
SHA256: 97707ab10581976128bd4df75cc616feb5fc7158fb89253928bec1f0ad8c9afd
SHA1: 5dc50d5fd4b898f8d708608fca59c707edda1fcf
MD5sum: fa0d8d667a3f5f62bc5cb3932259b61e
Description: GNU R package for model-robust standard error estimates
 This package contains model-robust standard error estimators for time series
 and longitudinal data.
Tag: devel::lang:r, field::statistics, implemented-in::r, role::app-data,
 suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/s/sandwich/r-cran-sandwich_2.2-9-1_all.deb

Package: r-cran-scatterplot3d
Source: scatterplot3d
Version: 0.3-33-1
Architecture: armhf
Maintainer: Philip Rinn 
Installed-Size: 442
Depends: r-base-core (>= 2.7.0)
Homepage: http://cran.r-project.org/web/packages/scatterplot3d
Priority: optional
Section: gnu-r
Filename: pool/main/s/scatterplot3d/r-cran-scatterplot3d_0.3-33-1_armhf.deb
Size: 191536
SHA256: c9132ec255f9c7df9135e13e262a78d914e64d10bba7d16d0d7dc53b7f16c0be
SHA1: 4d90f10fca6f320522165800c22927f2e6c5cec5
MD5sum: 25ad9969eecc05c66c62207b6f341cbb
Description: GNU R package for Visualizing Multivariate Data
 Scatterplot3d is an GNU R package for the visualization of multivariate data
 in a three dimensional space. Basically scatterplot3d generates a scatter plot
 in the 3D space using a parallel projection. Higher dimensions (fourth, fifth,
 etc.) of the data can be visualized to some extent using, e.g. different
 colors, symbol types or symbol sizes.

Package: r-cran-slam
Version: 0.1-24-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 153
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), r-base-core (>= 2.15.1-4)
Homepage: http://cran.r-project.org/web/packages/slam/index.html
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-slam/r-cran-slam_0.1-24-1_armhf.deb
Size: 72056
SHA256: 70fa3cfd5d9f2163974d86bd9de4dc3c9094885114b42c3285af2feeaee69189
SHA1: 620c6b296fdca30ee06e93548361e3681544bb77
MD5sum: 258eac543a8b3a6935333e8eb0b670c5
Description: GNU R sparse lighweight arrays and matrices package
 This package provides data structures and algorithms for sparse
 arrays and matrices, based on index arrays and simple triplet
 representations, respectively.

Package: r-cran-sm
Source: sm
Version: 2.2-4.1-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 526
Depends: r-base-core (>= 2.11.0)
Priority: optional
Section: gnu-r
Filename: pool/main/s/sm/r-cran-sm_2.2-4.1-1_armhf.deb
Size: 327536
SHA256: c789be4a466d1adaa48817904d9b1d20681407aabd5d02da8eb6edca13d96fb7
SHA1: 50d91bb321eafbfc50d9f4496294153889fff6d7
MD5sum: 1d5cbd3f7980c3e1e296a916bc65db20
Description: GNU R package for kernel smoothing methods
 This CRAN package provides functions and documentation for kernel smoothing
 methods for GNU R. The functions are described in the book "Applied
 Smoothing Techniques for Data Analysis: The kernel approach with S-Plus
 illustrations" by Adrian W. Bowman & Adelchi Azzalini, Oxford UP, 1997.

Package: r-cran-sn
Version: 0.4-17-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 271
Depends: r-base-core (>= 2.13.1), r-cran-mnormt (>= 1.3-1)
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-sn/r-cran-sn_0.4-17-1_armhf.deb
Size: 204854
SHA256: cba3bc1347c878d66994418a03c04fcf9fb1e1caa542c1f05783bfa66984f781
SHA1: 8ad95026726ec0fc551d3c2283a75ab7d228d6c9
MD5sum: cc260c28badcd35d700a3fbcd84d1a57
Description: GNU R package providing skew-normal and skew-t distributions
 This package provides functions for manipulating skew-normal and
 skew-t probability distributions, and for fitting them to data, in
 the scalar and in the multivariate case.

Package: r-cran-snow
Source: snow
Version: 1:0.3.9-1
Installed-Size: 78
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.15.0-1)
Suggests: r-cran-rmpi, r-cran-rpvm, r-cran-rsprng
Size: 66838
SHA256: 1b3ebbac6273f20ad09ba975f97ee61020d7f4f4aca5b6135dd5e0029812bce0
SHA1: 75b865a98f587eb50fa374183e5f4154b31482c1
MD5sum: c2bce53b8ad27b147869d48c0244f911
Description: GNU R package for 'simple network of workstations'
 This package provide the 'simple network of workstations' (SNOW), an
 easy-to-use system for parallel computing in GNU R.
Tag: admin::cluster, devel::lang:r, devel::library, field::statistics,
 implemented-in::r, role::app-data, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/s/snow/r-cran-snow_0.3.9-1_all.deb

Package: r-cran-sp
Version: 1:0.9-81-1
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 1485
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1~), r-cran-lattice
Homepage: http://r-spatial.sourceforge.net/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-sp/r-cran-sp_0.9-81-1_armhf.deb
Size: 1043146
SHA256: aca7661ac16b5e3f767ba6a864a7bd2f70647a26202d70c512f13aff4f039d58
SHA1: a79b8080a6c250a7409afc138ad95ebf0dfd9387
MD5sum: 85f457e5b91693385658b062c8db1d4f
Description: GNU R classes and methods for spatial data
 This GNU R package provides classes and methods for spatial data. The
 classes document where the spatial location information resides, for 2D or
 3D data.  Utility functions are provided, e.g. for plotting data as maps,
 spatial selection, as well as methods for retrieving coordinates, for
 subsetting, print, summary, etc.

Package: r-cran-spatial
Version: 7.3-4-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 244
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4)
Replaces: r-cran-vr (<< 7.3-0)
Homepage: http://cran.r-project.org/web/packages/spatial/index.html
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-spatial/r-cran-spatial_7.3-4-1_armhf.deb
Size: 103750
SHA256: a7596730f334dc9174f11c2a081aa14660e1e0d33cca035434f4dffea6b80291
SHA1: f7e2b48c7b9e07333eb886a074f35366b71f6d60
MD5sum: c7b112bbe09af3115ac338d6042b6080
Description: GNU R package for spatial statistics
 The spatial package provides functions and datasets to support
 chapter 15 on 'Spatial Statistic' in the book 'Modern Applied
 Statistics with S' (4th edition) by W.N. Venables and
 B.D. Ripley. The following URL provides more details about the book:
 URL: http://www.stats.ox.ac.uk/pub/MASS4

Package: r-cran-spc
Version: 1:0.4.1-1
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 328
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1~)
Homepage: http://cran.r-project.org/web/packages/spc/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-spc/r-cran-spc_0.4.1-1_armhf.deb
Size: 202116
SHA256: 20e8d386b92afb621fe9d718226aef31026514181a5f338e9be1ffe3a87ad59e
SHA1: 0b65e32592de86e753fc263f20ab3e9c9cacd82a
MD5sum: 7400a30da02d621f01d4c99c41e48d1d
Description: GNU R Statistical Process Control
 Evaluation of control charts by means of the zero-state, steady-state ARL
 (Average Run Length). Setting up control charts for given in-control ARL
 and plotting of the related figures. The control charts under consideration
 are one- and two-sided EWMA and CUSUM charts for monitoring the mean of
 normally distributed independent data. Now, the ARL calculation of
 EWMA-S^2 control charts is added. Other charts and parameters are in
 preparation. Further SPC areas will be covered as well (sampling plans,
 capability indices ...).

Package: r-cran-stabledist
Version: 0.6-4-1
Installed-Size: 47
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.15.0-1)
Suggests: r-cran-runit, r-cran-fbasics
Size: 38636
SHA256: a86ee3e722683dce811326c80bb515b38ffcc36e17d5623bcc4fbb32c65469a7
SHA1: be626b90fb82f218896354a6279000d4b860cce1
MD5sum: 549e5db71ccb9635f831d198ccc968ea
Description: GNU R package for stable distribution functions
 This package provides density, probability and quantile functions,
 and random number generation for (skew) stable distributions, using
 the parametrizations of Nolan.
Homepage: http://www.rmetrics.org
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-stabledist/r-cran-stabledist_0.6-4-1_all.deb

Package: r-cran-stringr
Version: 0.6.0-1
Installed-Size: 149
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.14.1~), r-cran-plyr
Size: 73106
SHA256: 9fd05e640f8c25d032a128cd92b5ab0aa517a3eca884f81047dc724bd980ea5a
SHA1: e72c93a3042af8f72926abf9dd5657ebd82f333c
MD5sum: 6f669e274d56cd472d5b290f9514321a
Description: Make it easier to work with strings
 stringr is a set of simple wrappers that make R's string functions more
 consistent, simpler and easier to use. It does this by ensuring that:
 function and argument names (and positions) are consistent, all
 functions deal with NA's and zero length character appropriately, and
 the output data structures from each function matches the input data
 structures of other functions.
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-stringr/r-cran-stringr_0.6.0-1_all.deb

Package: r-cran-strucchange
Source: strucchange
Version: 1.4-7-1
Installed-Size: 808
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.15.0-1), r-cran-zoo, r-cran-sandwich
Size: 752692
SHA256: 76f05de4129433fe2ee36b33583052fa3f5f5b30c8e41812398ec0e02e6f6aef
SHA1: be9dbba4c2ca550d6d6abca8192d74f11d55b774
MD5sum: 3a778c2b7d8a2eb9af6d03b520c292c1
Description: GNU R package for structural change regression estimation
 This package functions for testing, dating and monitoring of
 structural change in linear regression relationships. The strucchange
 package features tests/methods from the generalized fluctuation test
 framework as well as from the F test (Chow test) framework. This
 includes methods to fit, plot and test fluctuation processes (e.g.,
 CUSUM, MOSUM, recursive/moving estimates) and F statistics,
 respectively.  It is possible to monitor incoming data online using
 fluctuation processes.
 .
 Finally, the breakpoints in regression models with structural changes
 can be estimated together with confidence intervals.  Emphasis is
 always given to methods for visualizing the data.
Tag: devel::lang:r, field::statistics, implemented-in::r, role::app-data,
 suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/s/strucchange/r-cran-strucchange_1.4-7-1_all.deb

Package: r-cran-survival
Source: survival
Version: 2.36-14-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 1592
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1-4)
Replaces: r-recommended (<< 1.9.0)
Priority: optional
Section: gnu-r
Filename: pool/main/s/survival/r-cran-survival_2.36-14-1_armhf.deb
Size: 1441262
SHA256: 06eb43202eb8670f0c68c42c101e82fbd1dff322186b7c8be495e5c5502377e5
SHA1: fe06bf063869c7259e23441802e2bcbee17ee431
MD5sum: 54e069949f3bf0ad8b002849c2e81ebd
Description: GNU R package for survival analysis
 This package provides functions and datasets for survival analysis:
 descriptive statistics, two-sample tests, parametric accelerated failure
 models, Cox model. Delayed entry (truncation) is allowed for all models;
 interval censoring for parametric models.
 .
 This package is part of the set of packages that are 'recommended'
 by R Core and shipped with upstream source releases of R itself.

Package: r-cran-teachingdemos
Version: 2.7-1
Installed-Size: 564
Maintainer: Debian Science Team 
Architecture: all
Depends: r-base-core (>= 2.12.0~)
Recommends: r-cran-misc3d, r-recommended, r-cran-mapproj
Size: 408778
SHA256: 0255e781974a158a75a7fa41a1c76a24a83ab3d5cc3f6d5d6fa6d18dbc001843
SHA1: e820b5aa1bfc65ae665b7a326d9bb2cf5b6f365f
MD5sum: f7f0e4fcd4273229b9e08d7e4f8d33e1
Description: GNU R Demonstrations for teaching and learning
 This package is a set of demonstration functions that can be used in a
 classroom to demonstrate statistical concepts, or on your own to better
 understand the concepts or the programming.
Homepage: http://cran.r-project.org/web/packages/TeachingDemos/
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-teachingdemos/r-cran-teachingdemos_2.7-1_all.deb

Package: r-cran-timedate
Version: 2160.95-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 1144
Depends: r-base-core (>= 2.15.0-1)
Suggests: r-cran-runit
Homepage: http://www.Rmetrics.org
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-timedate/r-cran-timedate_2160.95-1_armhf.deb
Size: 810106
SHA256: 991f692afc283ad83306157ffa12ca961fbc3d38fe78ca8c00b0c1746b61ca34
SHA1: 26c08412f17deada13e5a3cd19e9badb2e3f28d7
MD5sum: 681c6431109f3148a2aa03391a209676
Description: GNU R package for financial engineering -- timeDate
 This package provides functions for chronological and calendarical
 objects and is part of Rmetrics, a collection of packages for financial
 engineering and computational finance written and compiled by
 Diethelm Wuertz and others.
 .
 timeDate provides functions for chronological and calendarical objects.

Package: r-cran-timeseries
Version: 2160.94-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 1004
Depends: r-base-core (>= 2.15.0-1), r-cran-timedate (>= 2100.86)
Suggests: r-cran-runit, r-cran-robustbase
Homepage: http://www.Rmetrics.org
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-timeseries/r-cran-timeseries_2160.94-1_armhf.deb
Size: 699832
SHA256: a97ef1b28023f9b2f3122cb7363f4905b5e85c883b4354f7bc6544aa1cbdad33
SHA1: 1e5418ad389b38cb30cc3738786f3154e33ec891
MD5sum: 71bed15759eef4177b3f5c3ad571e43e
Description: GNU R package for financial engineering -- timeSeries
 This package provides functions for financial time series objects and
 is part of Rmetrics, a collection of packages for financial
 engineering and computational finance written and compiled by
 Diethelm Wuertz and others.
 .
 timeDate provides functions for financial time series objects.

Package: r-cran-tkrplot
Source: tkrplot
Version: 0.0.23-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 82
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxss1, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), r-base-core (>= 2.14.0)
Priority: optional
Section: gnu-r
Filename: pool/main/t/tkrplot/r-cran-tkrplot_0.0.23-1_armhf.deb
Size: 18696
SHA256: 47e37882de55300fc4bb10f086a5996e4a4ac29085aff765002f3d87d7c004fc
SHA1: fd5c4a2b97b9c3021a976c87304bffef8bb31e30
MD5sum: 0318d113e2f90ff0e9585fffc6c5e6f1
Description: GNU R embedded Tk plotting device package
 This CRAN package provides a Tk graphics device which enables embedding
 of GNU R plot() results inside a Tk widget.

Package: r-cran-tseries
Source: tseries
Version: 0.10-28-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 392
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.3), r-base-core (>= 2.14.1), r-cran-quadprog, r-cran-zoo, r-cran-lattice
Priority: optional
Section: gnu-r
Filename: pool/main/t/tseries/r-cran-tseries_0.10-28-1_armhf.deb
Size: 290126
SHA256: 527ca00867856ad923658491817e79803255544040970bd6511d5d969b23910e
SHA1: ff5c3858fca27c633f98a91394ba4e3eb1414897
MD5sum: ac2ccc59be5d0b81183564b291bd0d1e
Description: GNU R package for time-series analysis and comp. finance
 This CRAN package provides additional time-series analysis functions, as
 well as several computational finance routines.

Package: r-cran-urca
Source: urca
Version: 1.2-6-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 1060
Depends: libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libgfortran3 (>= 4.6), liblapack3 | liblapack.so.3 | libatlas3-base, r-base-core (>= 2.14.0), r-cran-nlme
Priority: optional
Section: gnu-r
Filename: pool/main/u/urca/r-cran-urca_1.2-6-1_armhf.deb
Size: 907912
SHA256: 3fefc27b543cb5a96e2f7e97f4710814c6e69db96b64673c689565e59678f23d
SHA1: fa685fa9a2e226ce2ef65a511ae788ccf62a328e
MD5sum: d98d4ecb5a662fca702c55d500817319
Description: GNU R package providing unit root and cointegration tests
 This package provides functions for unit root and cointegration
 analyses common in applied time series / econometrics.

Package: r-cran-vcd
Version: 1:1.2-12-1
Installed-Size: 1726
Maintainer: Debian Science Team 
Architecture: all
Depends: r-base-core (>= 2.14.1~)
Size: 1368864
SHA256: 2de24a8b64ff4aa8529e34f7f981bbd86c28e67353f015b1778cf7eb8f0592c0
SHA1: 997321aea44cee9078a1e528aaa8a647c976a6ea
MD5sum: a5e7de4c96a6a3b69f94886bdd36dcca
Description: GNU R Visualizing Categorical Data
 Visualization techniques, data sets, summary and inference procedures
 aimed particularly at categorical data. Special emphasis is given to
 highly extensible grid graphics. The package was inspired by the book
 "Visualizing Categorical Data" by Michael Friendly.
Homepage: http://cran.r-project.org/web/packages/vcd
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-vcd/r-cran-vcd_1.2-12-1_all.deb

Package: r-cran-vegan
Version: 2.0-3-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 2678
Pre-Depends: dpkg (>= 1.15.6)
Depends: r-base-core (>= 2.15.1-4), libc6 (>= 2.13-28), libgfortran3 (>= 4.3), r-cran-permute, r-cran-lattice
Recommends: r-cran-mgcv, r-cran-cluster, r-other-tcltk
Suggests: r-cran-scatterplot3d, r-cran-rgl
Homepage: http://vegan.r-forge.r-project.org/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-vegan/r-cran-vegan_2.0-3-1_armhf.deb
Size: 2159738
SHA256: af8023440c97a2a5da0a15413a2abca999da4f4041a259c6251e8f124498525c
SHA1: 5d88af9a973d91a70e532e1a67e3ca73c93d6a82
MD5sum: dd091aa1230cb34d1ca2967b8a588347
Description: Community Ecology Package for R
 R package for community ecologists. It contains most multivariate analysis
 needed in analysing ecological communities, and tools for diversity analysis.
 Most diversity methods assume that data are counts of individuals.
 .
 These tools are sometimes used outside the field of ecology, for instance to
 study populations of white blood cells or RNA molecules.

Package: r-cran-vgam
Version: 0.8-7-1
Architecture: armhf
Maintainer: Chris Lawrence 
Installed-Size: 4803
Depends: r-base-core (>= 2.15.1-4), libc6 (>= 2.13-28), libgfortran3 (>= 4.3)
Enhances: r-cran-zelig
Homepage: http://www.stat.auckland.ac.nz/~yee/VGAM/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-vgam/r-cran-vgam_0.8-7-1_armhf.deb
Size: 4375942
SHA256: 4e081fe21782532c9103bb1f8f7e77274379fc9b4bf10c26c3583786efff4430
SHA1: 98c019cfc522633d851cee3469d4e648168f77ec
MD5sum: 218731634e3c7481e20333b9a5a650f7
Description: GNU R package for estimating vector generalized additive models
 This package implements a variety of functions for estimating vector
 generalized additive models (VGAMs), as well as vector generalized
 linear models (VGLMs), reduced-rank VGLMs, and quadratic reduced-rank
 VGLMs.
 .
 Specific models that can be fit include a wide variety of
 categorical-response models (with nominal and ordinal dependent
 variables), bivariate binary-response models (bivariate
 logit/probit), and seemingly-unrelated regressions.  The package also
 includes a number of standard and non-standard distribution functions
 of use to statisticians.

Package: r-cran-xml
Version: 3.9-4-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 2366
Depends: r-base-core (>= 2.14.1), libc6 (>= 2.13-28), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4)
Conflicts: r-xml
Replaces: r-xml
Homepage: http://www.omegahat.org/RSXML
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-cran-xml/r-cran-xml_3.9-4-1_armhf.deb
Size: 1374812
SHA256: dcf9cf57fe26df314cca6de4d95603202cdc6eb5a1929df996ba782ce6b4a1fd
SHA1: 259560987d6ef6ad8f099e0e3dbe776c7fae2fd9
MD5sum: 98f2fc3d78a89d13c19ae199d898dcb5
Description: GNU R package for XML parsing and generation
 This package provides facilities for the R language to
 .
  * parse XML files, URLs and strings, using either the DOM (Document
    Object Model)/tree-based approach, or the event-driven SAX (Simple
    API for XML) mechanism;
  * generate XML content to buffers, files, URLs, and internal XML trees;
  * read DTDs as R objects.

Package: r-cran-xtable
Version: 1:1.5-6-1
Installed-Size: 392
Maintainer: Debian Science Team 
Architecture: all
Depends: r-base-core (>= 2.11.0~)
Size: 182516
SHA256: 94ca3992480e405988231c6d4caa9475690bc6e161b78d63a52c4e3c57ba32c5
SHA1: e6613f4dab21afaec0770a4adfc80b4ad2429925
MD5sum: 70fd58d4831829b1ee650dae8f4e7038
Description: GNU R coerce data to LaTeX and HTML tables
 This R package provides functions returning, displaying or writing to
 disk the LaTeX or HTML code associated with the supplied object of
 class xtable.  The package also provides functions converting an R object
 to an xtable object, which can then be printed as a LaTeX or HTML table.
Homepage: http://cran.r-project.org/web/packages/xtable
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-cran-xtable/r-cran-xtable_1.5-6-1_all.deb

Package: r-cran-zelig
Source: zelig
Version: 3.5.5-1
Installed-Size: 2677
Maintainer: Chris Lawrence 
Architecture: all
Replaces: r-other-gking-zelig (<< 2.1-4-2)
Depends: r-base-core (>= 2.15.0-1), r-cran-mass (>= 7.2.29-1), r-cran-boot (>= 1.2.26-1), r-cran-mvtnorm (>= 0.7.2-1), r-cran-survival (>= 2.28-1), r-cran-zoo (>= 1.2-1-1), r-cran-sandwich (>= 2.0-0-1), r-cran-mcmcpack (>= 0.7-4-1), r-cran-coda (>= 0.10-5-1), r-cran-vgam, r-cran-mgcv, r-cran-nnet, r-cran-lme4
Conflicts: r-other-gking-zelig (<< 2.1-4-2)
Size: 2268724
SHA256: 67bc8b0a05e62b1a0df3b45070f83a2b831ce40520f7455ad39896f97807082d
SHA1: 38c65eff47d9ef2789e9ced643c5bf9f22cb96c5
MD5sum: 6c1353d2bd6d41d59da5e53efa51c04e
Description: GNU R package providing a unified front-end for estimating statistical models
 With thousands of contributors who have written hundreds of packaged
 routines, R can deal with nearly any statistical problem.  Although
 this high level of participation may be its greatest strength, the
 enormous diversity in approaches to statistical inference covered by
 R often results in a virtual babel of competing functions and
 inconsistent syntax.
 .
 To address these problems from a common perspective, the upstream
 authors have created Zelig, a single, easy-to-use program, with a
 unified framework and syntax, that can estimate, help interpret, and
 present the results of a large range of statistical methods. It
 literally is "everyone's statistical software" because Zelig uses R
 code from many researchers.  They also hope it will become
 "everyone's statistical software" for applications, and they have
 designed it so that anyone can use it or add their methods to it.
 Zelig comes with detailed, self-contained documentation that
 minimizes startup costs for Zelig and R, automates graphics and
 summaries for all models, and, with only three simple commands
 required, generally makes the power of R accessible for all users.
 Zelig also works well for teaching, and is designed so that scholars
 can use the same program they use for their research.
Homepage: http://gking.harvard.edu/zelig/
Tag: field::mathematics, implemented-in::r, suite::gnu
Section: gnu-r
Priority: optional
Filename: pool/main/z/zelig/r-cran-zelig_3.5.5-1_all.deb

Package: r-cran-zoo
Source: r-zoo
Version: 1.7-7-1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 1695
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.14.1), r-cran-lattice
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-zoo/r-cran-zoo_1.7-7-1_armhf.deb
Size: 1397878
SHA256: 8f6f588771f0c79add162478ddc3f165b5bda9c2b2d6642ebdd58172e818fd7f
SHA1: 3f3f0531db9c8ee501b4c0d27694806ea32ec8f0
MD5sum: 70635638df333ce602d2e65cbcac2474
Description: GNU R package for totally ordered indexed observations
 This package contains an S4 class with methods for totally ordered indexed
 observations such as irregular time series.

Package: r-doc-html
Source: r-base
Version: 2.15.1-4+deb7u1
Installed-Size: 2400
Maintainer: Dirk Eddelbuettel 
Architecture: all
Replaces: r-base (<= 1.4.1-1)
Suggests: r-base-core, mozilla | www-browser
Size: 634176
SHA256: 77b5bb1e5f6ea0b94752aad597beb7f5f9b31f7dbeccfe87932314dd770a4c0e
SHA1: 934a4ee0c4eeeedebb650a743bbe558067859f44
MD5sum: dc421ea92066eb429e454da74f8f42be
Description: GNU R html manuals for statistical computing system
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, over thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-'.
 .
 This package provides the R manuals in html format. The sibling packages
 r-doc-pdf and r-doc-info provides the same manuals.
Homepage: http://www.r-project.org/
Section: doc
Priority: optional
Filename: pool/main/r/r-base/r-doc-html_2.15.1-4+deb7u1_all.deb

Package: r-doc-info
Source: r-base
Version: 2.15.1-4+deb7u1
Installed-Size: 567
Maintainer: Dirk Eddelbuettel 
Architecture: all
Replaces: r-base (<= 1.4.1-1)
Depends: dpkg (>= 1.15.4) | install-info
Suggests: r-base-core, info (>= 3.12) | info-browser
Size: 543684
SHA256: b3e03939e10aa3eefdda366e4929b4a786d8c52e4bf401c0252bd3ad4401990b
SHA1: ba0a4a4259074f355e4fa795911ebdc576eb99eb
MD5sum: a103c9eeba6bed77957d40bb6506c6ba
Description: GNU R info manuals statistical computing system
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, over thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-'.
 .
 This package provides the R manuals in info format. The sibling packages
 r-doc-html and r-doc-pdf provide the same manuals.
Homepage: http://www.r-project.org/
Section: doc
Priority: optional
Filename: pool/main/r/r-base/r-doc-info_2.15.1-4+deb7u1_all.deb

Package: r-doc-pdf
Source: r-base
Version: 2.15.1-4+deb7u1
Installed-Size: 8573
Maintainer: Dirk Eddelbuettel 
Architecture: all
Suggests: r-base-core, xdg-utils | pdf-viewer
Size: 8428986
SHA256: 5b6a0c571750b19458cccc92b1f2499d44d3c669f0b2fd312f8406e44a6924d5
SHA1: 28c4d1c81e4875ab8ad6d085784ecb4f3aeac1db
MD5sum: 8d26ff6f185792b8d3a73586cbc0610f
Description: GNU R pdf manuals for statistical computing system
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, over thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-'.
 .
 This package provides the R manuals in pdf format. The sibling packages
 r-doc-html and r-doc-info provides the same manuals.
Homepage: http://www.r-project.org/
Section: doc
Priority: optional
Filename: pool/main/r/r-base/r-doc-pdf_2.15.1-4+deb7u1_all.deb

Package: r-mathlib
Source: r-base
Version: 2.15.1-4+deb7u1
Architecture: armhf
Maintainer: Dirk Eddelbuettel 
Installed-Size: 861
Depends: libc6 (>= 2.13-28), libgomp1 (>= 4.2.1)
Recommends: r-base-core (= 2.15.1-4+deb7u1), r-base-dev (= 2.15.1-4+deb7u1)
Homepage: http://www.r-project.org/
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-base/r-mathlib_2.15.1-4+deb7u1_armhf.deb
Size: 597164
SHA256: 3b93e9503f6e0ed8f84226a505efd9111535577d6a320d2d8a95c9d6692f3892
SHA1: 86aae667365a38a7b3bd84cbb20ac65fbc36650c
MD5sum: cfdb42c5a7a55b5758b2cfb5f7a52267
Description: GNU R standalone mathematics library
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, over thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-'.
 .
 This package provides the libRmath shared and static libraries which can
 be called from standalone C or C++ code.

Package: r-other-bio3d
Version: 1.1-4-1
Installed-Size: 16846
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: r-base-core (>= 2.15.0-1)
Suggests: r-cran-ncdf, r-cran-bigmemory, r-cran-rocr, mafft
Size: 9402408
SHA256: 1a93e50a4575379f94a8be9994bfcdfcb831ee674e35de61e2115d5c9634812e
SHA1: 9a1886ca01b80270d52568907d712d9ec655095d
MD5sum: 069b0dfb376cd0c96b206b3cf1e4893f
Description: GNU R package for biological structure analysis
 The bio3d package contains utilities to process, organize and explore
 protein structure, sequence and dynamics data.  Features include the
 ability to read and write structure, sequence and dynamic trajectory
 data, perform atom summaries, atom selection, re-orientation,
 superposition, rigid core identification, clustering, torsion analysis,
 distance matrix analysis, structure and sequence conservation analysis,
 and principal component analysis (PCA).  In addition, various utility
 functions are provided to enable the statistical and graphical power of
 the R environment to work with biological sequence and structural data.
Homepage: http://mccammon.ucsd.edu/~bgrant/bio3d/
Tag: field::biology, field::biology:bioinformatics,
 field::biology:structural, implemented-in::r, interface::commandline,
 interface::x11, role::shared-lib, scope::application, use::analysing,
 use::comparing, use::viewing, works-with-format::TODO,
 works-with-format::plaintext, works-with::3dmodel
Section: gnu-r
Priority: optional
Filename: pool/main/r/r-other-bio3d/r-other-bio3d_1.1-4-1_all.deb

Package: r-other-mott-happy
Version: 2.1-7
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 215
Depends: libc6 (>= 2.13-28), r-base-core (>= 2.15.1~), r-cran-g.data, r-cran-mass
Recommends: r-cran-vr, r-cran-multicore
Homepage: http://www.well.ox.ac.uk/happy/happyR.shtml
Priority: optional
Section: gnu-r
Filename: pool/main/r/r-other-mott-happy/r-other-mott-happy_2.1-7_armhf.deb
Size: 123180
SHA256: f4db78cf026dd542a9c21894fd1d5698f940d9db2200f4fe3480a6a6f1cd5066
SHA1: 6a9789b71d235e93d6c283f2eb90f382f0578a77
MD5sum: 0a83c8c0d36f1691ac41314b3d4512bd
Description: GNU R package for fine-mapping complex diseases
 Happy is an R interface into the HAPPY C package for fine-mapping
 Quantitative Trait Loci (QTL) in Heterogenous Stocks (HS). An HS is
 an advanced intercross between (usually eight) founder inbred strains
 of mice. HS are suitable for fine-mapping QTL.  It uses a multipoint
 analysis which offers significant improvements in statistical power to
 detect QTLs over that achieved by single-marker association.
 .
 The happy package is
 an extension of the original C program happy; it uses the C code to
 compute the probability of descent from each of the founders, at each
 locus position, but the happy packager allows a much richer range of
 models to be fit to the data.
 .
 Read /usr/share/doc/r-other-mott-happy/README.Debian for a more
 detailed explanation.

Package: r-other-rot
Source: openturns
Version: 1.0-4
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 263
Depends: r-base-core
Breaks: python-openturns (<< 1.0)
Replaces: python-openturns
Homepage: http://trac.openturns.org/
Priority: extra
Section: gnu-r
Filename: pool/main/o/openturns/r-other-rot_1.0-4_armhf.deb
Size: 202658
SHA256: 00febe67ee9061c36871d67e2cc615abd2aacf9c070503394cf655a43bb607e7
SHA1: 784634e2de459d4aa1831aa4ab1283258c5812d4
MD5sum: 9b1f23c93ad113d3dcfcc91466108a1a
Description: GNU R package of few tools needed by OpenTURNS
 OpenTURNS is a powerful and generic tool to treat and quantify
 uncertainties in numerical simulations in design, optimization and
 control. It allows both sensitivity and reliability analysis studies:
  * defining the outputs of interest and decision criterion;
  * quantify and model the source of uncertainties;
  * propagate uncertainties and/or analyse sensitivity and
  * rank the sources of uncertainty
 .
 OpenTURNS is a large project with more than 300 C++ classes which
 uses well known and supported software such as R for the statistical
 methods and BLAS/LAPACK for the linear algebra.
 .
 This package provides R files needed by some OpenTURNS objects.

Package: r-recommended
Source: r-base
Version: 2.15.1-4+deb7u1
Installed-Size: 41
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: r-base-core (>= 2.15.1-4+deb7u1), r-cran-boot (>= 1.2.19), r-cran-cluster (>= 1.9.6-2), r-cran-foreign (>= 0.7-2), r-cran-kernsmooth (>= 2.2.14), r-cran-lattice (>= 0.10.11), r-cran-mgcv (>= 1.1.5), r-cran-nlme (>= 3.1.52), r-cran-rpart (>= 3.1.20), r-cran-survival (>= 2.13.2-1), r-cran-mass, r-cran-class, r-cran-nnet, r-cran-spatial, r-cran-codetools, r-cran-matrix
Size: 2672
SHA256: eece64ddad00346c24a8a44f27c5cb766c73f2474b67082e4759543362a5bb66
SHA1: d4484dd8a5033b06b836888babc6499281ad15d1
MD5sum: d80d6e40056532236f8afeac7834280e
Description: GNU R collection of recommended packages [metapackage]
 R is a system for statistical computation and graphics.  It consists
 of a language plus a run-time environment with graphics, a debugger,
 access to certain system functions, and the ability to run programs
 stored in script files.
 .
 The design of R has been heavily influenced by two existing languages:
 Becker, Chambers & Wilks' S and Sussman's Scheme.  Whereas the
 resulting language is very similar in appearance to S, the underlying
 implementation and semantics are derived from Scheme.
 .
 The core of R is an interpreted computer language which allows
 branching and looping as well as modular programming using functions.
 Most of the user-visible functions in R are written in R.  It is
 possible for the user to interface to procedures written in the
 C, C++, or FORTRAN languages for efficiency, and many of R's core
 functions do so.  The R distribution contains functionality for a
 large number of statistical procedures and underlying applied math
 computations.  There is also a large set of functions which provide
 a flexible graphical environment for creating various kinds of data
 presentations.
 .
 Additionally, over thousand extension "packages" are available from
 CRAN, the Comprehensive R Archive Network, many also as Debian packages,
 named 'r-cran-'.
 .
 This Debian package is now a metapackage that depends on a set of
 packages that are recommended by the upstream R core team as part of a
 complete R distribution, and distributed along with the source of R
 itself, as well as directly via the CRAN network of mirrors. This set
 comprises the following packages (listed in their upstream names):
  - KernSmooth: Functions for kernel smoothing for Wand & Jones (1995)
  - Matrix: Classes and methods for dense and sparse matrices and
    operations on them using Lapack and SuiteSparse
  - MASS, class, nnet and spatial: packages from Venables and Ripley,
    `Modern Applied Statistics with S' (4th edition).
  - boot: Bootstrap R (S-Plus) Functions from the book "Bootstrap Methods
    and Their Applications" by A.C. Davison and D.V. Hinkley (1997).
  - cluster: Functions for clustering (by Rousseeuw et al.)
  - codetools: Code analysis tools for R
  - foreign: Read data stored by Minitab, S, SAS, SPSS, Stata, ...
  - lattice: Implementation of Trellis (R) graphics
  - mgcv: Multiple smoothing parameter estimation and GAMs by GCV
  - nlme: Linear and nonlinear mixed effects models
  - rpart: Recursive partitioning and regression trees
  - survival: Survival analysis, including penalised likelihood.
Homepage: http://www.r-project.org/
Section: math
Priority: optional
Filename: pool/main/r/r-base/r-recommended_2.15.1-4+deb7u1_all.deb

Package: r5rs-doc
Version: 20010328-7
Installed-Size: 1376
Maintainer: Chris Hanson 
Architecture: all
Depends: dpkg (>= 1.15.4) | install-info
Size: 689318
SHA256: c8d002d9b73e0322cf601c71b3de3fe127736ac3cbab17f029816e5df6a7ec28
SHA1: 3739f861b0e49c6dfd66c14e64f9f2b73f4b84f6
MD5sum: 4f4d2232585fef92f098422ca8b0df5a
Description: Revised(5) Report on the Algorithmic Language Scheme
 The report gives a defining description of the programming language
 Scheme.  Scheme is a statically scoped and properly tail-recursive
 dialect of the Lisp programming language invented by Guy Lewis
 Steele Jr. and Gerald Jay Sussman.  It was designed to have an
 exceptionally clear and simple semantics and few different ways to
 form expressions.  A wide variety of programming paradigms, including
 imperative, functional, and message passing styles, find convenient
 expression in Scheme.
 .
 The documentation in this package is in GNU info, HTML, and PDF formats.
Tag: devel::doc, devel::lang:scheme, made-of::html, made-of::info,
 made-of::pdf, role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/r5rs-doc/r5rs-doc_20010328-7_all.deb

Package: rabbit
Version: 1.0.8-2
Installed-Size: 4062
Maintainer: Youhei SASAKI 
Architecture: all
Depends: ruby | ruby-interpreter, libjs-prototype, ruby-gtk2, ruby-rsvg2, ruby-poppler, ruby-hikidoc, ruby-nokogiri, ruby-sinatra, ruby-coderay (>= 1.0.0), ruby-haml, ruby-kramdown, ruby-rd, ruby-net-irc, libgettext-ruby1.8 | ruby-gettext, libgettext-ruby1.9.1 | ruby-gettext, libhtree-ruby1.8 | ruby-htree, libhtree-ruby1.9.1 | ruby-htree
Suggests: ghostscript, mimetex, dia, rabbit-mode, python-aafigure
Size: 2521116
SHA256: 8a608033aa61d7558aa1600e959da20c533266e66b5cd7b14a8e2a5175a433b0
SHA1: 6b1c271fa0f5981f8bbc50afc9e74e29521789dd
MD5sum: 1c79ec6f4da4c55274aad0bb701a5e3d
Description: presentation tool using RD, a simple text format
 Rabbit is an application to do presentations with RD documents.  RD is a
 simple, easy-to-read, and easy-to-write text format like Wiki.  Rabbit has
 many noteworthy features, which distinguish this application from other
 presentation tools.
 .
  * Slide looks are defined with Ruby and customizable.
  * Various keyboard interfaces.
  * Mouse gesture support.
  * Slides can contain many image formats: EPS, SVG, GIMP, and Dia.
  * Rabbit can be a server and you can access it with the dRuby interface.
  * and more...
Homepage: http://rabbit-shockers.org
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: office::presentation, role::program, uitoolkit::gtk
Section: x11
Priority: optional
Filename: pool/main/r/rabbit/rabbit_1.0.8-2_all.deb

Package: rabbit-mode
Source: rabbit
Version: 1.0.8-2
Installed-Size: 91
Maintainer: Youhei SASAKI 
Architecture: all
Depends: rabbit, rdtool-elisp, emacs | emacs23
Size: 9104
SHA256: 70732d6e5dc2346710bfcb626d95cb0294a226e59016a4a225b53f933786a786
SHA1: f006cc217c0914265cff77f628f3da844a0a965b
MD5sum: 39b8895673081ef3ac3b8b50a9a8929d
Description: Emacs-lisp rabbit-mode for writing RD document using Rabbit
 Rabbit is an application to do presentations with RD documents. RD is a
 simple, easy-to-read, and easy-to-write text format like Wiki. Rabbit has
 many noteworthy features, which distinguish this application from other
 presentation tools.
 .
 This package provides Emacs major-mode for editing RD document using Rabbit
Homepage: http://rabbit-shockers.org
Section: x11
Priority: optional
Filename: pool/main/r/rabbit/rabbit-mode_1.0.8-2_all.deb

Package: rabbitmq-server
Version: 2.8.4-1
Installed-Size: 3672
Maintainer: RabbitMQ Team 
Architecture: all
Depends: erlang-nox (>= 1:12.b.3), adduser, logrotate
Size: 2796638
SHA256: db6a7f15f43bb0bb34ceeae81ccc361ec65564767b47055621c086a29e38f2d7
SHA1: 752cb86bd710efc8501316bdda16cf2f93e098e0
MD5sum: 444c6387d7e8c25a905dec9326e81537
Description: An AMQP server written in Erlang
 RabbitMQ is an implementation of AMQP, the emerging standard for high
 performance enterprise messaging. The RabbitMQ server is a robust and
 scalable implementation of an AMQP broker.
Homepage: http://www.rabbitmq.com/
Tag: implemented-in::TODO, network::server, role::program
Section: net
Priority: extra
Filename: pool/main/r/rabbitmq-server/rabbitmq-server_2.8.4-1_all.deb

Package: rabbitsign
Version: 2.1+dmca1-1
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 136
Depends: libc6 (>= 2.7), libgmp10
Homepage: http://www.ticalc.org/archives/files/fileinfo/383/38392.html
Priority: optional
Section: devel
Filename: pool/main/r/rabbitsign/rabbitsign_2.1+dmca1-1_armhf.deb
Size: 45740
SHA256: df3e1ed0ca29246e58867c4cc5bea5e49f91051f8cec325846af2bb1c17be073
SHA1: d416e0d267eb3170e8bf3010ba1758e09472b5f5
MD5sum: 27f9d1b6d4f8b2b261060bee5113bf36
Description: application signing system for the TI-73/83+/84+ calculators
 RabbitSign is a free implementation of TI's application signing
 system for the TI-73/83+/84+ calculators.
 .
 It handles binary, sorted and unsorted hex, and GraphLink files,
 automatically detects keys, checks the validity of important header
 fields, can validate and re-sign previously signed apps, accepts all
 valid keys, is highly portable, has no stupid limitations on file
 names, application lengths, or header fields and is faster by an order
 of magnitude than TI's software.

Package: rabbitvcs-cli
Source: rabbitvcs
Version: 0.15.0.5-3
Installed-Size: 12
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python, rabbitvcs-core (>= 0.15)
Size: 6148
SHA256: 4c8e68caed022cd8213f7712576832f9e846811debba9074271a0b8204cf0c16
SHA1: 4c1c7bd6f4755aaf4de9a40ac506230261660e4e
MD5sum: 0aab29333bc1de552c452514257322d2
Description: Command line interface for RabbitVCS
 RabbitVCS is a set of graphical tools written to provide simple and
 straightforward access to the version control systems SVN (Subversion)
 and Git. This is the command-line interface.
Homepage: http://www.rabbitvcs.org
Tag: devel::rcs, interface::commandline, role::program
Section: vcs
Priority: optional
Filename: pool/main/r/rabbitvcs/rabbitvcs-cli_0.15.0.5-3_all.deb

Package: rabbitvcs-core
Source: rabbitvcs
Version: 0.15.0.5-3
Installed-Size: 2962
Maintainer: Python Applications Packaging Team 
Architecture: all
Replaces: nautilussvn
Depends: python (>= 2.6.6-7~), python (<< 2.8), python-gtk2 (>= 2.12.1), python-gobject (>= 2.14.1), python-svn (>= 1.5.2), python-dbus (>= 0.82.4), python-configobj (>= 4.4.0), subversion (>= 1.4.6), meld (>= 1.1.2), ipython (>= 0.7.1), hicolor-icon-theme (>= 0.10-2), python-dulwich, python-simplejson
Conflicts: nautilussvn, rabbitvcs
Size: 524328
SHA256: 8c9ec364672ef9ea72a1a8a453889d77d992a19e364ebcd3a24ec6edcc853b34
SHA1: dd6d158bfbc75feb8fd0173b435e33e628b7b7e3
MD5sum: 28108889d502e51793a117bfab9a544f
Description: Easy version control
 RabbitVCS is a set of graphical tools written to provide simple and
 straightforward access to the version control systems SVN (Subversion)
 and Git. There are extensions for Nautilus and gedit, and a simple
 command-line interface.
Homepage: http://www.rabbitvcs.org
Tag: devel::rcs
Section: vcs
Priority: optional
Filename: pool/main/r/rabbitvcs/rabbitvcs-core_0.15.0.5-3_all.deb

Package: rabbitvcs-gedit
Source: rabbitvcs
Version: 0.15.0.5-3
Installed-Size: 45
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: gedit, rabbitvcs-core (>= 0.15)
Size: 11026
SHA256: 5050410e8ca68bfe60f1ec257b9af91b9ec0372f0fe74303eb0f718dc3a4497e
SHA1: 62448827c1192bce306712f3053255b68e6c3b20
MD5sum: c141f9df62c0b0217e94dacb17bb895a
Description: Gedit extension for RabbitVCS
 RabbitVCS is a set of graphical tools written to provide simple and
 straightforward access to the version control systems SVN (Subversion)
 and Git. This is the extension for gedit text editor.
Homepage: http://www.rabbitvcs.org
Tag: devel::rcs, role::plugin
Section: vcs
Priority: optional
Filename: pool/main/r/rabbitvcs/rabbitvcs-gedit_0.15.0.5-3_all.deb

Package: rabbitvcs-nautilus
Source: rabbitvcs
Version: 0.15.0.5-3
Installed-Size: 32
Maintainer: Python Applications Packaging Team 
Architecture: all
Replaces: rabbitvcs-nautilus3
Depends: nautilus (>= 3~), python-nautilus (>= 1.0~), python-gobject (>= 2.28.2~), rabbitvcs-core (>= 0.15)
Conflicts: rabbitvcs-nautilus3
Size: 11066
SHA256: 3fbcd477213eba0358eb0360c9ea0a95716b2445f5c76fb73c5435a649d9545c
SHA1: 5afff7902df80fc038b5a4fd7af7277955176584
MD5sum: 4f77a2031fbbf8d8b6b183ff5f96388d
Description: Nautilus extension for RabbitVCS
 RabbitVCS is a set of graphical tools written to provide simple and
 straightforward access to the version control systems SVN (Subversion)
 and Git. This is the extension for the Nautilus file manager.
Homepage: http://www.rabbitvcs.org
Tag: devel::rcs, implemented-in::python, interface::x11, role::plugin,
 suite::gnome, uitoolkit::gtk
Section: vcs
Priority: optional
Filename: pool/main/r/rabbitvcs/rabbitvcs-nautilus_0.15.0.5-3_all.deb

Package: racc
Version: 1.4.8-4
Installed-Size: 341
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 96568
SHA256: 1377871382d0fb212f204b893ee7b79fbdd6b5e4fe551a73835d4f7e28bcc85e
SHA1: f036c2a5fb7801876f8daba6949005be2fb8601b
MD5sum: 12ffafabeef76e3552c4031c5ef95f93
Description: Ruby LALR parser generator
 Racc is LALR(1) parser generator for Ruby, written in Ruby. It allows
 programmers to easily write syntactic parsers from simple rules, like yacc
 and bison.
Homepage: http://i.loveruby.net/en/projects/racc/
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: devel::code-generator, devel::lang:ruby, interface::commandline,
 role::program, scope::utility
Section: ruby
Priority: optional
Filename: pool/main/r/racc/racc_1.4.8-4_all.deb

Package: racket
Version: 5.2.1+g6~92c8784+dfsg2-2+deb7u1
Architecture: armhf
Maintainer: David Bremner 
Installed-Size: 6503
Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), racket-common (= 5.2.1+g6~92c8784+dfsg2-2+deb7u1)
Recommends: racket-doc (>= 5.2.1+g6~92c8784+dfsg2)
Breaks: plt-scheme (<< 5.0~)
Replaces: plt-scheme (<< 5.0~)
Provides: plt-scheme
Homepage: http://www.racket-lang.org/
Priority: optional
Section: lisp
Filename: pool/main/r/racket/racket_5.2.1+g6~92c8784+dfsg2-2+deb7u1_armhf.deb
Size: 2966318
SHA256: 9b7a0e8892ef68a92f6835bcb3ab4431d25a222d42c505edb1683d89154d4acb
SHA1: ef700b3a3cf9eaf36e3688d42bd707a0d5ebbef5
MD5sum: 1e5ea22b46c36c8bd7c9a5d31fb2df67
Description: extensible programming language in the Scheme family
 Racket (previously PLT Scheme) is a programming language suitable for
 scripting and application development, including GUIs and web
 services.
 .
 It supports the creation of new programming languages through a rich,
 expressive syntax system. Supplied languages include Typed Racket,
 ACL2, FrTime, and Lazy Racket, and R6RS Scheme.
 .
 Racket includes the DrRacket programming environment, a virtual
 machine with a just-in-time compiler, tools for creating stand-alone
 executables, the Racket web server, extensive libraries, and
 documentation for both beginners and experts.

Package: racket-common
Source: racket
Version: 5.2.1+g6~92c8784+dfsg2-2+deb7u1
Installed-Size: 132102
Maintainer: David Bremner 
Architecture: all
Replaces: plt-scheme (<< 5.0~)
Recommends: racket (>= 5.2.1+g6~92c8784+dfsg2), racket-doc (>= 5.2.1+g6~92c8784+dfsg2)
Breaks: plt-scheme (<< 5.0~)
Size: 41650460
SHA256: 166f18e8cc88632c4edf77d3dccb4dd1ff768f3ba58ea979aa23e08e231e4d00
SHA1: 4c07c301a0a7fc2f839c1a876ed154fd5b3027ac
MD5sum: 7e88727a3698fdcbdd88db62b5b0d6b6
Description: extensible programming language in the Scheme family (shared files)
 This package includes the architecture independent files for Racket
 (but not the documentation, see racket-doc for that).
Homepage: http://www.racket-lang.org/
Section: lisp
Priority: optional
Filename: pool/main/r/racket/racket-common_5.2.1+g6~92c8784+dfsg2-2+deb7u1_all.deb

Package: racket-doc
Source: racket
Version: 5.2.1+g6~92c8784+dfsg2-2+deb7u1
Installed-Size: 93181
Maintainer: David Bremner 
Architecture: all
Replaces: plt-scheme-doc (<< 5.0~)
Provides: plt-scheme-doc
Recommends: racket (>= 5.2.1+g6~92c8784+dfsg2)
Enhances: racket
Breaks: plt-scheme-doc (<< 5.0~)
Size: 14548962
SHA256: 9784dfcd92d5cee45006a71554584f1cd61155f0c9a931fdeba6b059c365ef1e
SHA1: d6d1f855e202017e80858473bddcde39b3248e44
MD5sum: 9751b16ae531a57921946cdde9a1a8e5
Description: extensible programming language in the Scheme family (documentation)
 This package includes all of the documentation for Racket.
Homepage: http://www.racket-lang.org/
Tag: role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/racket/racket-doc_5.2.1+g6~92c8784+dfsg2-2+deb7u1_all.deb

Package: racoon
Source: ipsec-tools
Version: 1:0.8.0-14+deb7u3
Architecture: armhf
Maintainer: pkg-ipsec-tools team 
Installed-Size: 939
Depends: debconf (>= 0.5) | debconf-2.0, ipsec-tools (= 1:0.8.0-14+deb7u3), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libldap-2.4-2 (>= 2.4.7), libpam0g (>= 0.99.7.1), libssl1.0.0 (>= 1.0.0), adduser, perl
Conflicts: ike-server
Provides: ike-server
Homepage: http://ipsec-tools.sourceforge.net/
Priority: extra
Section: net
Filename: pool/main/i/ipsec-tools/racoon_0.8.0-14+deb7u3_armhf.deb
Size: 442574
SHA256: 4ff4fb485a0f28415564e174b990a233594dae6d198dfc4e6e3b9c6fb6f0cf4c
SHA1: ad973c412a5a23928193c32ac956df4713685e94
MD5sum: f5ef6a2d544d176c89ec09158cde28ae
Description: IPsec Internet Key Exchange daemon
 IPsec (Internet Protocol security) offers end-to-end security for
 network traffic at the IP layer.
 .
 This package is a Linux port of the IKE server from the KAME IPsec
 implementation on BSD.

Package: radare
Version: 1:1.5.2-4
Architecture: armhf
Maintainer: Sebastian Reichel 
Installed-Size: 1416
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0), radare-common
Conflicts: radare-gtk
Homepage: http://radare.org
Priority: optional
Section: devel
Filename: pool/main/r/radare/radare_1.5.2-4_armhf.deb
Size: 577164
SHA256: e1bb455f1b9aae16a16cb08dc8de1400717cfa7f18727da023562ce3be7a4516
SHA1: 61809188b29e74b55431e8ec3388d15a7f98bfc8
MD5sum: 0e585110c0822c4421430b033a37c313
Description: free advanced command line hexadecimal editor
 The project aims to create a complete, portable, multi-architecture,
 unix-like toolchain for reverse engineering.
 .
 It is composed by an hexadecimal editor (radare) with a wrapped
 IO layer supporting multiple backends for local/remote files,
 debugger (osx,bsd,linux,w32), stream analyzer, assembler/disassembler
 (rasm) for x86,arm,ppc,m68k,java,msil,sparc code analysis modules and
 scripting facilities. A bindiffer named radiff, base converter (rax),
 shellcode development helper (rasc), a binary information extracter
 supporting (pe, mach0, elf, class, ...) named rabin, and a block-based
 hash utility called rahash.
 .
 This package contains the non gtk version of radare. You won't be able
 to use the ag command for drawing graphs and gradare is missing.

Package: radare-common
Source: radare
Version: 1:1.5.2-4
Architecture: armhf
Maintainer: Sebastian Reichel 
Installed-Size: 1043
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), liblua5.1-0, libpango1.0-0 (>= 1.14.0), libpython2.7 (>= 2.7), libstdc++6 (>= 4.6), python2.7, python (>= 2.7), python (<< 2.8)
Homepage: http://radare.org
Priority: optional
Section: devel
Filename: pool/main/r/radare/radare-common_1.5.2-4_armhf.deb
Size: 475760
SHA256: 544629726ad0038cbfb9c186c1c0a340a08023fa1a2cdbbdabb0047663bdc968
SHA1: 6733a666c300190943d8e51ff529f0b461c98486
MD5sum: e540ef6007756cdb0f660e83fb07008a
Description: utilities and scripts used by radare
 The project aims to create a complete, portable, multi-architecture,
 unix-like toolchain for reverse engineering.
 .
 It is composed by an hexadecimal editor (radare) with a wrapped
 IO layer supporting multiple backends for local/remote files,
 debugger (osx,bsd,linux,w32), stream analyzer, assembler/disassembler
 (rasm) for x86,arm,ppc,m68k,java,msil,sparc code analysis modules and
 scripting facilities. A bindiffer named radiff, base converter (rax),
 shellcode development helper (rasc), a binary information extracter
 supporting (pe, mach0, elf, class, ...) named rabin, and a block-based
 hash utility called rahash.
 .
 This package contains utilities and scripts used by the terminal and
 gtk edition of radare.

Package: radare-doc
Source: radare
Version: 1:1.5.2-4
Installed-Size: 1260
Maintainer: Sebastian Reichel 
Architecture: all
Size: 417120
SHA256: f5ab52f9e6364d30828d4ae6931fab6e702768a645cca02883b2e7cbaea39270
SHA1: 59f018ac9e1ef53cac4d2f12beca0e736a0fd894
MD5sum: dd0be4bc5f459befdb16abb1ead454c2
Description: documentary for radare
 The project aims to create a complete, portable, multi-architecture,
 unix-like toolchain for reverse engineering.
 .
 It is composed by an hexadecimal editor (radare) with a wrapped
 IO layer supporting multiple backends for local/remote files,
 debugger (osx,bsd,linux,w32), stream analyzer, assembler/disassembler
 (rasm) for x86,arm,ppc,m68k,java,msil,sparc code analysis modules and
 scripting facilities. A bindiffer named radiff, base converter (rax),
 shellcode development helper (rasc), a binary information extracter
 supporting (pe, mach0, elf, class, ...) named rabin, and a block-based
 hash utility called rahash.
 .
 This package contains the radare book and some additional docs.
Homepage: http://radare.org
Tag: made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/radare/radare-doc_1.5.2-4_all.deb

Package: radare-gtk
Source: radare
Version: 1:1.5.2-4
Architecture: armhf
Maintainer: Sebastian Reichel 
Installed-Size: 1634
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libreadline6 (>= 6.0), libvte9 (>= 1:0.24.0), libx11-6, radare-common
Conflicts: radare
Homepage: http://radare.org
Priority: optional
Section: devel
Filename: pool/main/r/radare/radare-gtk_1.5.2-4_armhf.deb
Size: 634966
SHA256: 9202fa49a33c3d4599d521c5114bee59fe5a9a45425bedf79d33346f6fa42d79
SHA1: 4b3975edf3e98e0f68c85ddb9c4a431ad435815b
MD5sum: 77289de25a9bed3c7d9bd8096832a9fb
Description: free advanced command line hexadecimal editor with graph functionality
 The project aims to create a complete, portable, multi-architecture,
 unix-like toolchain for reverse engineering.
 .
 It is composed by an hexadecimal editor (radare) with a wrapped
 IO layer supporting multiple backends for local/remote files,
 debugger (osx,bsd,linux,w32), stream analyzer, assembler/disassembler
 (rasm) for x86,arm,ppc,m68k,java,msil,sparc code analysis modules and
 scripting facilities. A bindiffer named radiff, base converter (rax),
 shellcode development helper (rasc), a binary information extracter
 supporting (pe, mach0, elf, class, ...) named rabin, and a block-based
 hash utility called rahash.
 .
 This package contains the gtk enabled edition of radare.

Package: radare2
Version: 0.9-3+deb7u3
Architecture: armhf
Maintainer: Sebastian Reichel 
Installed-Size: 237
Depends: libc6 (>= 2.13-28), libradare2-0.9 (>= 0.9)
Recommends: libradare2-dev
Homepage: http://www.radare.org
Priority: extra
Section: devel
Filename: pool/main/r/radare2/radare2_0.9-3+deb7u3_armhf.deb
Size: 138656
SHA256: 584731361949d2cb59da09e61a1944d42f346348c9c7cabd774ae0026a1c6103
SHA1: 47186cd5bc43782bec20bf3b300ebdf7c2fa6fc7
MD5sum: 7d68c9b320d07f98924e53b016c2f0e3
Description: free and advanced command line hexadecimal editor
 The project aims to create a complete, portable, multi-architecture,
 unix-like toolchain for reverse engineering.
 .
 It is composed by an hexadecimal editor (radare) with a wrapped IO
 layer supporting multiple backends for local/remote files, debugger
 (osx,bsd,linux,w32), stream analyzer, assembler/disassembler (rasm)
 for x86,arm,ppc,m68k,java,msil,sparc code analysis modules and
 scripting facilities. A bindiffer named radiff, base converter (rax),
 shellcode development helper (rasc), a binary information extractor
 supporting (pe, mach0, elf, class, ...) named rabin, and a block-based
 hash utility called rahash.

Package: radare2-vala
Source: radare2-bindings
Version: 0.9-1
Installed-Size: 93
Maintainer: Sebastian Reichel 
Architecture: all
Depends: libradare2-dev (>= 0.9)
Size: 18902
SHA256: 47efeb7015a61008147e2ee9bd4bca6e6108c0ad719559585a8e10157f658028
SHA1: 6a86e7fd05b6301af5e84acaf6bcf7cc92855db6
MD5sum: bb96f721143ae8297428d60a5651f6da
Description: Vala bindings for radare2
 The project aims to create a complete, portable, multi-architecture,
 unix-like toolchain for reverse engineering.
 .
 It is composed by an hexadecimal editor (radare) with a wrapped IO
 layer supporting multiple backends for local/remote files, debugger
 (osx,bsd,linux,w32), stream analyzer, assembler/disassembler (rasm)
 for x86,arm,ppc,m68k,java,msil,sparc code analysis modules and
 scripting facilities. A bindiffer named radiff, base converter (rax),
 shellcode development helper (rasc), a binary information extractor
 supporting (pe, mach0, elf, class, ...) named rabin, and a block-based
 hash utility called rahash.
 .
 This package contains the Vala bindings.
Homepage: http://www.radare.org
Section: devel
Priority: extra
Filename: pool/main/r/radare2-bindings/radare2-vala_0.9-1_all.deb

Package: radeontool
Version: 1.6.2-1.1
Architecture: armhf
Maintainer: Luigi Gangitano 
Installed-Size: 201
Depends: libc6 (>= 2.4), libpciaccess0
Priority: optional
Section: utils
Filename: pool/main/r/radeontool/radeontool_1.6.2-1.1_armhf.deb
Size: 73070
SHA256: 8264058f353491525af804701c806602be61196c3b77c39b0d08d98090a218f6
SHA1: a967d3c1c6b96bc1563ff327e436881da34b479a
MD5sum: fe9b53c4843db016fc619791a6a56345
Description: utility to control ATI Radeon backlight functions on laptops
 Radeontool is a small utility to control ATI Radeon based laptops' backlight
 and external output functions. It is also able to dump the contents of
 registers for debugging purposes.
 .
 WARNING: Radeontool code has not been completely audited and may contain bugs
 that could damage your hardware. Use at your own risk.

Package: radiance
Version: 4R1+20120125-1
Architecture: armhf
Maintainer: Bernd Zeimetz 
Installed-Size: 8815
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libtiff4 (>> 3.9.5-3~), libx11-6, libxext6, tcsh | c-shell, tk8.4
Recommends: radiance-materials
Suggests: radiance-doc
Conflicts: rcalc (<= 0.5.0-1.1)
Homepage: http://radsite.lbl.gov/radiance
Priority: extra
Section: graphics
Filename: pool/main/r/radiance/radiance_4R1+20120125-1_armhf.deb
Size: 4729098
SHA256: 2ef3e2972a869272517ac2e6677ad3e3bf3dc27250bcb2776dc236bac836ac11
SHA1: ed7976c7188097dc281816445e1caf7a38a73a07
MD5sum: a155d2140196bee645980960d322011c
Description: Lighting Simulation and Rendering System
 Radiance is intended to aid lighting designers and architects by
 predicting the light levels and appearance of a space prior to
 construction. The package includes programs for modeling and translating
 scene geometry, luminaire data and material properties, all of which are
 needed as input to the simulation. The lighting simulation itself uses
 ray tracing techniques to compute radiance values (ie. the quantity of
 light passing through a specific point in a specific direction), which
 are typically arranged to form a photographic quality image. The
 resulting image may be analyzed, displayed and manipulated within the
 package, and converted to other popular image file formats for export to
 other packages, facilitating the production of hard copy output.

Package: radiance-doc
Source: radiance
Version: 4R1+20120125-1
Installed-Size: 11244
Maintainer: Bernd Zeimetz 
Architecture: all
Suggests: radiance, radiance-materials
Size: 11422582
SHA256: 63d104b26f8b31c81e4c3dc40916f5819fdde0f3be830f5c6a05899e851214d8
SHA1: bedcc9ef64be0f43e1227f86e96bfc60b8ca3b7d
MD5sum: 243036d5b1cd83268ec74d9cf5c1a294
Description: Lighting Simulation and Rendering System - Documentation
 Radiance is intended to aid lighting designers and architects by
 predicting the light levels and appearance of a space prior to
 construction.
 .
 This package contains the documentation and examples for radiance.
Homepage: http://radsite.lbl.gov/radiance
Tag: made-of::html, made-of::pdf, role::documentation
Section: doc
Priority: extra
Filename: pool/main/r/radiance/radiance-doc_4R1+20120125-1_all.deb

Package: radiance-materials
Source: radiance
Version: 4R1+20120125-1
Installed-Size: 100265
Maintainer: Bernd Zeimetz 
Architecture: all
Size: 52502038
SHA256: 327d3a3b309ffb48075e558b09050a133ba6aeea4c2c7625ec4b61dc2d1dfb44
SHA1: 2bac75cf0f5db5c046da473d81dcca3e9488c3f2
MD5sum: 5658e5d98911e9b7e74bc1dad46ae983
Description: Lighting Simulation and Rendering System - Materials
 Radiance is intended to aid lighting designers and architects by
 predicting the light levels and appearance of a space prior to
 construction.
 .
 This package contains common auxiliary files used by Radiance, including
 procedural patterns, textures and coordinate mappings, font descriptions,
 compiled scene descriptions for use in instances, material definitions,
 images for patterns and other examples.
Homepage: http://radsite.lbl.gov/radiance
Tag: role::app-data
Section: graphics
Priority: extra
Filename: pool/main/r/radiance/radiance-materials_4R1+20120125-1_all.deb

Package: radicale
Version: 0.7-1.1+deb7u2
Installed-Size: 76
Maintainer: Jonas Smedegaard 
Architecture: all
Depends: python-radicale (= 0.7-1.1+deb7u2), python, adduser
Suggests: apache2-utils, python-ldap, python-pam, courier-authdaemon
Size: 14130
SHA256: 5c7514dc0e5a0477ee7073715945328ca8ea55d729d56b99ac1382a231593c55
SHA1: 2500ee92365d20183ddded484d107ad28c375591
MD5sum: 01a511a83dae0d6b2db41f414dceea94
Description: simple calendar server - daemon
 The Radicale Project is a complete CalDAV (calendar) and CardDAV
 (contact) server solution.
 .
 Calendars and address books are available for both local and remote
 access, possibly limited through authentication policies. They can be
 viewed and edited by calendar and contact clients on mobile phones or
 computers.
 .
 This package contains the radicale daemon.
 .
 Some authentication schemes require either of the packages
 apache2-utils, python-ldap, python-pam or courier-authdaemon.
Homepage: http://radicale.org/
Section: web
Priority: optional
Filename: pool/main/r/radicale/radicale_0.7-1.1+deb7u2_all.deb

Package: radio
Source: xawtv
Version: 3.102-3
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 91
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5
Priority: extra
Section: sound
Filename: pool/main/x/xawtv/radio_3.102-3_armhf.deb
Size: 43212
SHA256: 8f31140403a310da357a7ce1ae763ba43819e94edc3fc060f363027f6b9095b5
SHA1: 61aafcddfb8642d8336e9f472d368e3ca829bf8d
MD5sum: a8fe331967e2b034ad65898454e5447b
Description: ncurses-based radio application
 This package provides a ncurses-based radio application. It
 supports the video4linux API.

Package: radioclk
Version: 1.0.ds1-12
Architecture: armhf
Maintainer: Paul Martin 
Installed-Size: 83
Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, ntp (>= 1:4.2.2+dfsg-1)
Homepage: http://www.buzzard.me.uk/jonathan/radioclock.html
Priority: extra
Section: net
Filename: pool/main/r/radioclk/radioclk_1.0.ds1-12_armhf.deb
Size: 22662
SHA256: 6c4ff819c8308fc2f9d703cac84079cfb4aa809478cd6326498e7f14693b0417
SHA1: e1d55b0d63f5b656383dbdf03217e9e894b0f0c9
MD5sum: f633ac395a09d4881a39d32a6b746c6b
Description: simple ntp refclock daemon for MSF/WWVB/DCF77 time signals
 radioclkd takes the demodulated time signals from simple MSF/WWVB/DCF77
 time signal receivers on the control lines of a serial port, decodes
 the signals, and provides an interface to ntp via the shared memory
 refclock driver.

Package: radiotray
Version: 0.7.2-1
Architecture: armhf
Maintainer: Elías Alejandro Año Mendoza 
Installed-Size: 797
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-gtk2 (>= 2.16.0), python-xdg, python-gobject (>= 2.18.0), python-notify (>= 0.1.1), python-gst0.10 (>= 0.10), python-glade2, python-lxml (>= 2.1.5), python-dbus (>= 0.83.0), gstreamer0.10-plugins-base (>= 0.10), gstreamer0.10-plugins-good, gstreamer0.10-plugins-ugly
Homepage: http://radiotray.sourceforge.net
Priority: optional
Section: sound
Filename: pool/main/r/radiotray/radiotray_0.7.2-1_armhf.deb
Size: 114464
SHA256: a375d5ac41c0b1e25796544d8ecb898edb1bd760f8bb44bf780f4030cf7120bf
SHA1: abfa29128d401da0fb3819545b6e7d9e157b32c1
MD5sum: 81e3776106eb278631da77ad8759413a
Description: online radio streaming player
 This is a simple music streaming player that lives on the system tray.
 By clicking on the RadioTray icon, you'll be presented with a list of
 pre-configured online radios. By selecting one of those radios, it will
 start playing.

Package: radiusclient1
Source: radiusclient
Version: 0.3.2-14
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 110
Depends: libradius1, perl5, libc6 (>= 2.4)
Conflicts: libradiusclient-ng-dev, radiusclient
Priority: extra
Section: admin
Filename: pool/main/r/radiusclient/radiusclient1_0.3.2-14_armhf.deb
Size: 35484
SHA256: 40bca41624c61f0d9b23a8470eeab9334aa7327ae1135942b8b4e54e52f2d414
SHA1: afce6247231fe09beba48d4f1b407d16a3de1d22
MD5sum: a303f8e20fc51ca8120daf742a3fec28
Description: /bin/login replacement which uses the RADIUS protocol for authentication
 Radiusclient is a /bin/login replacement  which gets called by a getty
 to log  in a user and  to setup the user's  login environment.  Normal
 login programs just  check the login name and  password which the user
 entered  against the local  password file  (/etc/passwd, /etc/shadow).
 In  contrast to  that Radiusclient  also uses  the RADIUS  protocol to
 authenticate the user.
 .
 This is the main binary archive.

Package: radiusd-livingston
Version: 2.1-20
Architecture: armhf
Maintainer: Paul Martin 
Installed-Size: 210
Depends: libc6 (>= 2.7), libdb5.1
Conflicts: radius-server
Replaces: radius-server
Provides: radius-server
Priority: optional
Section: net
Filename: pool/main/r/radiusd-livingston/radiusd-livingston_2.1-20_armhf.deb
Size: 74646
SHA256: 912f849ddd058521b0e02f78fa41666d1baa309a7f01e48cd2e01c5a6d243b41
SHA1: bb7072f9d281e887189edbe90323f851cd5d6878
MD5sum: 8958614e5445d7abb8a0921fc3cfced7
Description: Remote Authentication Dial-In User Service (RADIUS) server
 RADIUS is an AAA (authentication, authorization and accounting) protocol for
 managing client access to network services, and is described by RFCs 2865 to
 2869. This version of the RADIUS server is from Lucent Technologies Inc.,
 formerly known as Livingston Enterprises Inc.
 .
 Some Internet Service Providers (ISPs) require a username and password to be
 given on connection. Before access to the network is granted, this
 information is passed to a Network Access Server (NAS) device over the
 link-layer protocol and then to a RADIUS server over the RADIUS protocol. The
 RADIUS server checks that the information is correct using authentication
 schemes like PAP, CHAP or EAP. If accepted, the server will then authorize
 access to the ISP's system and select an IP address, L2TP parameters, etc.
 .
 RADIUS is also commonly used for accounting purposes so that the users can be
 billed accordingly.

Package: radosgw
Source: ceph
Version: 0.43-1+rpi1
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 2008
Depends: libc6 (>= 2.13-28), libcrypto++9, libcurl3-gnutls (>= 7.16.2), libfcgi0ldbl, libgcc1 (>= 1:4.4.0), librados2 (= 0.43-1+rpi1), librgw1, libstdc++6 (>= 4.6), ceph-common, libexpat1
Homepage: http://ceph.newdream.net/
Priority: optional
Section: admin
Filename: pool/main/c/ceph/radosgw_0.43-1+rpi1_armhf.deb
Size: 846050
SHA256: 2c3690e9980af96427aaf23b4f1fd215cebca7717e603a62a0778040a7743c98
SHA1: 8515cde11e61126ff12c7a8458d27f73eb877f01
MD5sum: cd7f5661f8d6d40fc3c4035c552f2a5c
Description: REST gateway for RADOS distributed object store
 RADOS is a distributed object store used by the Ceph distributed
 storage system.  This package provides a REST gateway to the
 object store that aims to implement a superset of Amazon's S3
 service.
 .
 This package contains the proxy daemon and related tools only.

Package: radosgw-dbg
Source: ceph
Version: 0.43-1+rpi1
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 21736
Depends: radosgw (= 0.43-1+rpi1)
Homepage: http://ceph.newdream.net/
Priority: extra
Section: debug
Filename: pool/main/c/ceph/radosgw-dbg_0.43-1+rpi1_armhf.deb
Size: 7975280
SHA256: dfed100e87461a6be6f692606fafbc65b8c5f288a4c99492cd8882c50bd24afd
SHA1: 0baad35c3e8143669ecf8a69945dcb3c93d72917
MD5sum: b9faaa607e0b4d3aeff25a310582ec13
Description: debugging symbols for radosgw
 RADOS is a distributed object store used by the Ceph distributed
 storage system.  This package provides a REST gateway to the
 object store that aims to implement a superset of Amazon's S3
 service.
 .
 This package contains debugging symbols for radosgw.

Package: radsecproxy
Version: 1.6.2-1
Architecture: armhf
Maintainer: Faidon Liambotis 
Installed-Size: 210
Depends: libc6 (>= 2.13-28), libnettle4, libssl1.0.0 (>= 1.0.0)
Provides: radius-server
Homepage: http://software.uninett.no/radsecproxy/
Priority: optional
Section: net
Filename: pool/main/r/radsecproxy/radsecproxy_1.6.2-1_armhf.deb
Size: 82800
SHA256: 1f0f46b5f840c02c94c094837201639ad28c4f37f56e20c7f3f129f2e317aa44
SHA1: 98be5a68ba07f6ab1332d54380997db0d0b72025
MD5sum: d7e5bd83c529410916b7343578b46473
Description: RADIUS protocol proxy supporting RadSec
 A generic RADIUS proxy that in addition to usual RADIUS UDP transport also
 supports TLS (RadSec). It aims to be flexible while at the same time small in
 size and memory footprint, efficient and easy to configure.
 .
 It can be useful as a proxy on site boundaries or in other complex RADIUS
 routing topologies. It supports both IPv4 and IPv6.

Package: radvd
Version: 1:1.8.5-1
Architecture: armhf
Maintainer: Ghe Rivero 
Installed-Size: 165
Depends: libc6 (>= 2.4), adduser
Priority: optional
Section: net
Filename: pool/main/r/radvd/radvd_1.8.5-1_armhf.deb
Size: 70652
SHA256: b44867b1f46541fb9011eb21ba7d626e8d699f6914701aff447fe94cbbd4e2dc
SHA1: 070aa773f8b17c2e091c03665ff713b495a68138
MD5sum: f2c5877102d61631d39b5c84acf5d1d8
Description: Router Advertisement Daemon
 IPv6 has a lot more support for autoconfiguration than IPv4. But
 for this autoconfiguration to work on the hosts of a network, the
 routers of the local network have to run a program which answers
 the autoconfiguration requests of the hosts.
 .
 On Linux this program is called radvd, which stands for Router
 ADVertisement Daemon. This daemon listens to router solicitations (RS)
 and answers with router advertisement (RA). Furthermore unsolicited
 RAs are also sent from time to time.

Package: rafkill
Version: 1.2.2-3.3
Architecture: armhf
Maintainer: Debian allegro packages maintainers 
Installed-Size: 342
Depends: rafkill-data, libaldmb1, liballegro4.2 (>= 2:4.2.2), libc6 (>= 2.13-28), libdumb1, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Priority: optional
Section: games
Filename: pool/main/r/rafkill/rafkill_1.2.2-3.3_armhf.deb
Size: 138490
SHA256: 3126a45dde5c6c3f4179682b03c67b214945e8478e4370f130631b1280659ac7
SHA1: 405a37c9e93c82f613647195f3802a178c7f2eb4
MD5sum: 888c6b18dece48eab40abda013a5b93c
Description: vertical shoot'em-up similar to Raptor: Call of the Shadows
 Rafkill is a clone of Raptor: Call of the Shadows, a classic shoot'em-up game.
 .
 You have a bird's eye view of the playing field, which is an alien world,
 and your job is to destroy the enemies that are flying towards you shooting
 bullets. The score lets you buy life, shield, better weapons or even new
 spaceships.
 .
 Rafkill features three spaceships, more than twenty weapons, colourful
 graphics with transparency effects, music and sound.

Package: rafkill-data
Source: rafkill
Version: 1.2.2-3.3
Installed-Size: 10348
Maintainer: Debian allegro packages maintainers 
Architecture: all
Size: 6046406
SHA256: b8356bc8090227a3dc4e6fce0685a6ca4214400e306a79bc4378ca04343d4866
SHA1: 3dea04a775b03515e674bdf4363cf7cb87de3956
MD5sum: 50532286d97b3e86dcf04cada3222422
Description: graphics and audio data for rafkill
 Rafkill is a clone of Raptor: Call of the Shadows, a classic shoot'em-up game.
 .
 This package contains the architecture-independent data for rafkill. For more
 information, see the rafkill package.
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/r/rafkill/rafkill-data_1.2.2-3.3_all.deb

Package: ragel
Version: 6.7-1.1
Architecture: armhf
Maintainer: Robert Lemmen 
Installed-Size: 2319
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Priority: optional
Section: devel
Filename: pool/main/r/ragel/ragel_6.7-1.1_armhf.deb
Size: 1008258
SHA256: 4f250c9fd58657c9e10ca647ee70eb6e01d9c72570dd1c61bf1ad05833560d50
SHA1: 495eef2b82ebaa6669cdeda36f29a9c87c61ba69
MD5sum: 5094cb7685a305616cc83bbbc29b752a
Description: compiles finite state machines into code in various languages
 Ragel compiles finite state machines from regular languages into C, C++,
 Objective-C, D, Ruby or Java code. Ragel allows the programmer to embed
 actions at any point in a regular language. Non-determinism can be
 controlled through the use of embedded priorities and guarded regular
 language operators. Ragel also supports the construction of scanners and
 the building of state machines using state-charts. Ragel can be used to
 create robust recognizers and parsers which run very fast. It can work
 with integer-sized alphabets and can compile large state machines.
 The generated code has no dependencies.

Package: raidutils
Version: 0.0.6-19
Architecture: armhf
Maintainer: Barak A. Pearlmutter 
Installed-Size: 29
Depends: dpt-i2o-raidutils
Homepage: http://i2o.shadowconnect.com
Priority: optional
Section: admin
Filename: pool/main/r/raidutils/raidutils_0.0.6-19_armhf.deb
Size: 4930
SHA256: 73680a2cabae4421edc9871da85400f3d36de352059673a32772a1d7a0795f59
SHA1: c536b5fca0833e2602152c1ca709f2a4d9d60802
MD5sum: f63d3fc624724ef8c35ed98b1c5aefa3
Description: Transition Package for raidutils rename to dpt-i2o-raidutils
 The package previously named raidutils, used for manipulating some
 Adaptec I2O RAID hardware, has been renamed dpt-i2o-raidutils.  This
 dummy dependency package helps ease that transition.

Package: rail
Version: 1.2.6-2
Installed-Size: 224
Maintainer: Youhei SASAKI 
Architecture: all
Depends: emacs | emacs22 | emacs23 | emacs-snapshot, flim
Size: 26040
SHA256: c389da7afa2b352503fe6cc70a59f1b0d0bb19e32258684e470fc17a26c59a24
SHA1: 1d5368126592c3b1b4c4026a52517971232f9c81
MD5sum: 4522f6a984ad0203274d0b52234cc127
Description: Replace Agent-string Internal Library
 RAIL is a elisp library, translates codenames with latin chars of
 FLIM/SEMI/XEmacs/UTF-2000-Mule/Meadow to Japanese characters (On
 irchat-pj, Japanize code name for "CTCP VERSION" return string). It
 also provides a function compatible with genjis.el (a partof tm, that
 converts mule-version to Japanese characters).
Section: lisp
Priority: optional
Filename: pool/main/r/rail/rail_1.2.6-2_all.deb

Package: rails
Version: 2:2.3.14.2
Installed-Size: 53
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby-rails-2.3
Size: 10582
SHA256: a1987f19362f81cf40e9e0371fb4c366c843b1ac9d359c3705c3e5fa750fa13b
SHA1: c4d518b7639100cbee7d1623a18d31b798bf6e4f
MD5sum: 5be1feae7b69cc38923aa29c62864c5f
Description: MVC ruby based framework geared for web application development
 Rails is a full-stack, open-source web framework in Ruby for writing
 real-world applications.
 .
 Being a full-stack framework means that all layers are built to work
 seamlessly together. That way you don't repeat yourself and you can
 use a single language from top to bottom. Everything from templates to
 control flow to business logic is written in Ruby.
 .
 This is (almost) empty dependency package which provide the rails
 command which runs the defaults version of the rails.  If you need
 a specific version of rails, you need to depend on ruby-rails-VERSION
 package.
Tag: devel::code-generator, devel::lang:ruby, devel::lang:sql, devel::web,
 implemented-in::ruby, interface::web, protocol::http, role::devel-lib,
 scope::suite, use::TODO, web::application, works-with-format::html,
 works-with::db
Section: ruby
Priority: optional
Filename: pool/main/r/rails/rails_2.3.14.2_all.deb

Package: rails-doc
Source: rails
Version: 2:2.3.14.2
Installed-Size: 32
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: rails
Size: 8970
SHA256: cfb80723d9c2f1e31025a3a5571a6ee2bd3b6c8ba71656a1037d900f08a4e881
SHA1: ec35551e758391d5eca971e3638935b593cdee6b
MD5sum: de0bd5060725a8027db449faf4aaa8a5
Description: Transitional package for rails
 This is a transitional package to ease upgrades to the rails
 package. It can safely be removed.
Tag: devel::lang:ruby, made-of::html, role::documentation, role::dummy
Section: oldlibs
Priority: optional
Filename: pool/main/r/rails/rails-doc_2.3.14.2_all.deb

Package: rails-ruby1.8
Source: rails
Version: 2:2.3.14.2
Installed-Size: 32
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: rails
Size: 8978
SHA256: 2a6483602312096a914bcd37185aef382fba4026ff1d674bc11cfe6f4d8c2688
SHA1: 663e5b705a972a76c5e195aa6eb56c2d648c8c8d
MD5sum: b3b66da0bb64c2c33ea6aa25840a5936
Description: Transitional package for rails
 This is a transitional package to ease upgrades to the rails
 package. It can safely be removed.
Tag: devel::web, implemented-in::ruby, role::dummy, role::shared-lib
Section: oldlibs
Priority: optional
Filename: pool/main/r/rails/rails-ruby1.8_2.3.14.2_all.deb

Package: rails3
Source: ruby-rails-3.2
Version: 3.2.6-1
Installed-Size: 26
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby-rails-3.2
Size: 2194
SHA256: df76e1da3b741a427a4c3389ab52ad6cc021eafe5fd077f9d96aaab6f290d3bf
SHA1: c4d40f54dc484678460b779321b307ca330a059a
MD5sum: 02123bfb59a801e6f0da3a6425a5bca3
Description: MVC ruby based framework geared for web application development
 Rails is a full-stack, open-source web framework in Ruby for writing
 real-world applications.
 .
 Being a full-stack framework means that all layers are built to work
 seamlessly together. That way you don't repeat yourself and you can
 use a single language from top to bottom. Everything from templates to
 control flow to business logic is written in Ruby.
 .
 This package is a convenience metapackage that depends on ruby-rails-3.2.
Homepage: http://www.rubyonrails.org
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rails-3.2/rails3_3.2.6-1_all.deb

Package: rainbow
Version: 0.8.6-1
Installed-Size: 100
Maintainer: Luke Faraone 
Architecture: all
Depends: python, libnss-rainbow2, python-rainbow
Size: 13472
SHA256: 9c5ba747ac37a78a55548506d9015f4be004a9deb146cf00133cffc35d636a0b
SHA1: 0f1e09cfdc6b5d2f843e6fe1848583e00f95850e
MD5sum: 26ca72189db2ccf74883ecfa782c7cd8
Description: a Bitfrost isolation shell
 Rainbow is a isolation shell which implements portions of the Bitfrost
 security architecture, as used on the OLPC XO-1 and elsewhere.
 .
 At the moment, Rainbow only knows how to provide the same primitive form
 of filesystem and signal isolation that competent sysadmins provide to
 users of multi-user Unix shell servers.
Homepage: http://wiki.laptop.org/go/Rainbow
Tag: admin::kernel, admin::user-management, admin::virtualization,
 implemented-in::c, implemented-in::python, role::program,
 security::privacy
Section: shells
Priority: optional
Filename: pool/main/r/rainbow/rainbow_0.8.6-1_all.deb

Package: raincat
Version: 1.1-3
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 16923
Depends: raincat-data (>= 1.1-3), freeglut3, libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libgmp10, libice6 (>= 1:1.0.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libsm6, libxi6, libxmu6
Suggests: raincat-dbg (= 1.1-3)
Homepage: http://raincat.bysusanlin.com/
Priority: optional
Section: games
Filename: pool/main/r/raincat/raincat_1.1-3_armhf.deb
Size: 2600172
SHA256: d530e899564532d9602ed4aa4e0c9b5d8c917dc5c4cc0b8e72ba28d63604dbf7
SHA1: 902025dd95e3121effc4454fe060f401b08e6df6
MD5sum: 914b4580561a3b4c718c9d15296654b6
Description: 2D puzzle game featuring a fuzzy little cat
 Raincat is a 2d puzzle game similar to the Incredible Machine and Lemmings
 series. Your goal is simple: guide the cat safe and dry to the end of each
 level. Just mind the rain, puddles, and loose fire hydrants in your path!

Package: raincat-data
Source: raincat
Version: 1.1-3
Installed-Size: 9870
Maintainer: Debian Games Team 
Architecture: all
Recommends: raincat
Size: 9533968
SHA256: 2bfac5034cdceaa2d81ef1b90196f9f57c0236dcc4fe248393d6677102ae050d
SHA1: bd6fb330bc1b1b1ac1e47b4f3d1d362771a205c9
MD5sum: 157865de005837c851e0b22787eb7c9b
Description: 2D puzzle game featuring a fuzzy little cat - data files
 Raincat is a 2d puzzle game similar to the Incredible Machine and Lemmings
 series. Your goal is simple: guide the cat safe and dry to the end of each
 level. Just mind the rain, puddles, and loose fire hydrants in your path!
 .
 This package contains the data files.
Homepage: http://raincat.bysusanlin.com/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/r/raincat/raincat-data_1.1-3_all.deb

Package: raincat-dbg
Source: raincat
Version: 1.1-3
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 13728
Depends: raincat (= 1.1-3)
Homepage: http://raincat.bysusanlin.com/
Priority: extra
Section: debug
Filename: pool/main/r/raincat/raincat-dbg_1.1-3_armhf.deb
Size: 3148324
SHA256: 772632e76349e79680e3cc8768a8ea4d7c6612212cc792d4a4c703281c0b1f43
SHA1: 0a83693add829a5a23cbbd682dc2f477c2d5ba03
MD5sum: 2145c1447ced2c44985b864a37c39553
Description: 2D puzzle game featuring a fuzzy little cat - debug
 Raincat is a 2d puzzle game similar to the Incredible Machine and Lemmings
 series. Your goal is simple: guide the cat safe and dry to the end of each
 level. Just mind the rain, puddles, and loose fire hydrants in your path!
 .
 This package contains the debugging symbols.

Package: rakarrack
Version: 0.6.1-4
Architecture: armhf
Maintainer: Tiago Bortoletto Vaz 
Installed-Size: 6917
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.7), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0), libx11-6, libxft2 (>> 2.1.1), libxpm4, libxrender1, zlib1g (>= 1:1.1.4), jackd
Homepage: http://rakarrack.sourceforge.net
Priority: extra
Section: sound
Filename: pool/main/r/rakarrack/rakarrack_0.6.1-4_armhf.deb
Size: 2294788
SHA256: b1cf9f3e00ceb056ab2edc18f83ccf62409aa72b447eebddd902ee45b3503873
SHA1: 8d14ae6470d7f647517052a7b31e203bfd286184
MD5sum: 589b940e3835cc9a49f32e9d3adb380e
Description: Simple and easy guitar effects processor for GNU/Linux
 Rakarrack is a guitar effects processor for GNU / Linux simple and easy to use
 but it contains features that make it unique in this field of applications.
 .
 Currently it contains 17 effects:
  * Linear Equalizer
  * Parametric Equalizer
  * Compressor
  * Distorsion
  * Overdrive
  * Echo
  * Chorus
  * Phaser
  * Flanger
  * Reverb
  * WahWah
  * Alienwah
  * Harmonizer
  * NoiseGate
  * Musical Delay
  * Cabinet
  * AutoPan/Extra Stereo
 .
 Rakarrack integrates a tuner and a MIDI converter. It can also be handled by
 an external MIDI controller. The settings designed by the user can be stored in
 presets and these presets can be used to create banks of effects.

Package: rake
Version: 0.9.2.2-4
Installed-Size: 222
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Recommends: zip
Size: 55206
SHA256: ba789626c4ec6f6a517d930332493858664437702e6ad1408971c85d6c929d63
SHA1: 821220f186e18baa0cc844833d4f24b6081807b3
MD5sum: 216e89355c39913b41b026e561e53f48
Description: ruby make-like utility
 Rake is a simple ruby build program with capabilities similar to make.
 .
 Rake has the following features:
   * Rakefiles (rakes version of Makefiles) are completely defined in
     standard Ruby syntax. No XML files to edit. No quirky Makefile
     syntax to worry about (is that a tab or a space?)
   * Users can specify tasks with prerequisites.
   * Rake supports rule patterns to sythesize implicit tasks.
   * Rake is lightweight. It can be distributed with other
     projects as a single file. Projects that depend upon
     rake do not require that rake be installed on target
     systems.
Homepage: http://rake.rubyforge.org
Ruby-Versions: ruby1.9.1
Tag: devel::buildtools, devel::lang:ruby, interface::commandline,
 role::program, scope::utility, works-with::software:source
Section: ruby
Priority: optional
Filename: pool/main/r/rake/rake_0.9.2.2-4_all.deb

Package: rake-compiler
Version: 0.8.1-1
Installed-Size: 87
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, rake, rubygems
Size: 19624
SHA256: e1181ca6592a4df963c44bb3f27b43ffe4740b9d266f8f2d15e4bf292e0db6d8
SHA1: 88256dd20a49c298a0cf9b1dc353d49571bccb56
MD5sum: e2f0ca52910ef6b39acf5010fc2ad041
Description: Rake-based Ruby Extension (C, Java) task generator
 The rake-compiler is first and foremost a productivity tool for Ruby
 developers. It's goal is to make the busy developer's life easier by
 simplifying the building and packaging of Ruby extensions by
 simplifying code and reducing duplication.
 .
 It follows *convention over configuration* by advocating a standardized
 build and package structure for both C and Java based RubyGems.
 .
 Rake-compiler is the result of many hard-won experiences dealing with
 several diverse RubyGems that provided native extensions for different
 platforms and different user configurations in different ways. Details
 such as differences in code portability, differences in code clarity,
 and differences in project directory structure often made it very
 difficult for newcomers to those RubyGems.
Homepage: http://github.com/luislavena/rake-compiler
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/rake-compiler/rake-compiler_0.8.1-1_all.deb

Package: rakudo
Version: 0.1~2012.01-1
Architecture: armhf
Maintainer: Debian Rakudo Maintainers 
Installed-Size: 13047
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libreadline6 (>= 6.0), nqp (>= 0.1~2012.01-5), parrot (>= 4.0.0), parrot-devel (>= 4.0.0), parrotapi-4.0.0
Provides: perl6
Homepage: http://rakudo.org/
Priority: optional
Section: interpreters
Filename: pool/main/r/rakudo/rakudo_0.1~2012.01-1_armhf.deb
Size: 2469214
SHA256: 28fd9cb13327ae86e501922c8569e2dc0167c2b7825f2679c9ec95f0bbc8b2be
SHA1: f9ba315f3ae317a97828c3e153fb06c48b1bdffe
MD5sum: 2f11e2996080954312f8d9afb8783260
Description: Perl 6 implementation on top of Parrot virtual machine
 Rakudo Perl is a compiler that implements the Perl 6 specification and runs
 on top of the Parrot virtual machine.
 .
 Perl 6 is a major revision to the Perl programming language, which introduces
 elements of many modern and historical languages.

Package: ramond
Version: 0.5-4
Architecture: armhf
Maintainer: Nicolas Dandrimont 
Installed-Size: 96
Depends: libapr1 (>= 1.2.7), libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), libxml2 (>= 2.7.4)
Homepage: http://ramond.sourceforge.net/
Priority: extra
Section: net
Filename: pool/main/r/ramond/ramond_0.5-4_armhf.deb
Size: 22224
SHA256: 89f76e09a4d99eb124e96b908d5b4095d6c985d3f2037f22ed0ee7e7969c2888
SHA1: 10a2e8a688fa83420cb1f8109388f2975b605d81
MD5sum: 45a8fe3fdd8a73f43e68c1ee6dbc3740
Description: IPv6 Router Advertisement MONitoring Daemon
 ramond is a scriptable IPv6 Router Advertisement Monitoring Daemon.
 .
 The tool was designed to `clear' (by sending spoofed zero lifetime
 adverts) rogue-routes sent by users running 6to4 gateways on a campus
 network.
 .
 Actions are scriptable. Almost all the available information is
 passed to a script via environmental variables.

Package: rancid
Version: 2.3.8-3
Architecture: armhf
Maintainer: Roland Rosenfeld 
Installed-Size: 1147
Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, perl, expect, cvs | subversion, passwd, openssh-client | ssh, inetutils-ping | ping, adduser, libperl4-corelibs-perl | perl (<< 5.12.3-7)
Suggests: diffstat
Breaks: rancid-core (<< 2.3.2-1), rancid-util (<< 2.3.2-1)
Replaces: rancid-core (<< 2.3.2-1), rancid-util (<< 2.3.2-1)
Provides: rancid-core, rancid-util
Homepage: http://www.shrubbery.net/rancid/
Priority: optional
Section: net
Filename: pool/main/r/rancid/rancid_2.3.8-3_armhf.deb
Size: 268978
SHA256: dde41537623626359f7d969ab9056f49337b66f409f6fe110e47bf7a7d98bef1
SHA1: 0cd5e3c811483296959954e9eb92302734be5d07
MD5sum: 7e704834beb1bfb0167e5e3c47745669
Description: Really Awesome New Cisco confIg Differ
 This is a popular ISP toolkit, based on expect and shell scripts,
 for managing router configurations.
 .
 Rancid monitors a router's (or device's) configuration, including
 software and hardware (cards, serial numbers, etc), using CVS or
 Subversion.

Package: rancid-cgi
Source: rancid
Version: 2.3.8-3
Installed-Size: 87
Maintainer: Roland Rosenfeld 
Architecture: all
Depends: perl, rancid, liblockfile-simple-perl
Suggests: apache2 | httpd-cgi
Size: 54770
SHA256: 8e51a8ceca6ea851ac3ba18dc5e96bbfa51117616e42dae62313817e7a145ad5
SHA1: f473939a16e173fbe0b9c42cceea5875c5cc7593
MD5sum: 15923c52f7ee31e3ad48187cb125cd04
Description: looking glass CGI for rancid
 This is a popular ISP toolkit, based on expect and shell scripts,
 for managing router configurations.
 Rancid monitors a router's (or device's) configuration, including
 software and hardware (cards, serial numbers, etc), using CVS.
 Rancid currently supports Cisco routers, Juniper routers, Catalyst
 switches, Foundry switches, Redback NASs, and ADC EZT3 muxes.
 This is the cgi package, a modified version of lg (Looking Glass)
 provided from rancid team to check rancid through web interface too.
Homepage: http://www.shrubbery.net/rancid/
Tag: implemented-in::perl, interface::web, role::program, scope::utility,
 use::monitor, web::cgi
Section: net
Priority: optional
Filename: pool/main/r/rancid/rancid-cgi_2.3.8-3_all.deb

Package: randomize-lines
Version: 0.2.7
Architecture: armhf
Maintainer: Arthur de Jong 
Installed-Size: 58
Depends: libc6 (>= 2.4)
Homepage: http://ch.tudelft.nl/~arthur/rl
Priority: optional
Section: utils
Filename: pool/main/r/randomize-lines/randomize-lines_0.2.7_armhf.deb
Size: 15296
SHA256: 1f0dd7e2b8d93ce22d8ae7bde7e7ef7b763818606e5de9e1a870d4cd7cdb2e66
SHA1: 2642c1d86281f1133db8235d48bcd0b2b935d657
MD5sum: e4a5e797ff03be5dc2ffd9a29e68c019
Description: randomize lines of input text
 rl is a command-line tool that reads lines from an input file or stdin,
 randomizes the lines and outputs a specified number of lines. It does this
 with only a single pass over the input while trying to use as little memory
 as possible.
 .
 Currently randomize-lines is under development and command-line arguments
 may change slightly until a 1.0 release is made.
 .
 Users are recommended to use the shuf command instead which should be
 available by default. This package may be considered deprecated.

Package: randomplay
Version: 0.60+nmu1
Installed-Size: 81
Maintainer: Adam Rosi-Kessel 
Architecture: all
Depends: perl, libdate-calc-perl, libterm-readkey-perl, libmp3-info-perl, libogg-vorbis-header-pureperl-perl, libdate-manip-perl
Recommends: vorbis-tools, mpg321
Suggests: xosd-bin
Size: 23638
SHA256: 234c948bab74d3f4d27912804f095f3d278c3daa12c487bb15c7ee94551ed302
SHA1: 070f23271c3ed0cfdaf29287ae00ee261c46683f
MD5sum: 1ee302059e0cd411c26412abb1376f80
Description: command-line based shuffle music player that remembers songs between sessions
 Randomplay plays your music collection (or execute any arbitrary commands on
 any arbitrary filetypes) in random order, remembering songs played across
 sessions. It also has many features to make command-line music playing more
 convenient, including recursive regexp searching for tracks and the ability to
 specify a certain number of tracks, bytes, or minutes to play.  Randomplay
 will also generate a list of music files to be loaded onto a portable music
 player device.  It includes a 'random weighting' feature, so your favorite
 songs are more likely to come up in the random shuffle.
 .
 Randomplay is a convenient tool for the user who does everything in an xterm
 window or console and is constantly devising complex find/grep/sed command
 lines to play just the right set of songs.
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility, sound::player, use::playing, works-with-format::mp3,
 works-with-format::oggvorbis, works-with::audio
Section: sound
Priority: optional
Filename: pool/main/r/randomplay/randomplay_0.60+nmu1_all.deb

Package: randomsound
Version: 0.2-5
Architecture: armhf
Maintainer: Stephen Gran 
Installed-Size: 66
Depends: libc6 (>= 2.7), alsa-utils
Homepage: http://www.digital-scurf.org/software/randomsound
Priority: extra
Section: admin
Filename: pool/main/r/randomsound/randomsound_0.2-5_armhf.deb
Size: 10706
SHA256: 614b05328948d3d14964eb331bd929808b9c7ef4d8db61685301ab2303794ee9
SHA1: ddeb1cdd49d64a5bfe16dd4a901649cbb2505526
MD5sum: 928a81f2d79f44b2d180632e9b9bf7f8
Description: ALSA sound card related entropy gathering daemon
 Using the low order bit of the ADC output of your sound card,
 randomsound gathers entropy, debiases it and offers it up to your
 kernel's random pool.

Package: randtype
Version: 1.13-10
Architecture: armhf
Maintainer: Eugene V. Lyubimkin 
Installed-Size: 53
Depends: libc6 (>= 2.4), zlib1g (>= 1:1.1.4)
Homepage: http://bjk.sourceforge.net/randtype/
Priority: optional
Section: games
Filename: pool/main/r/randtype/randtype_1.13-10_armhf.deb
Size: 12442
SHA256: 83b6b68efb9214f84839c83a329de1aa72f8ab8f4c6d6d43ecf35e36c2480633
SHA1: 964c1148dfe611a822346603895e5ba30d7d07f7
MD5sum: a56cf4d9cad66513aadddde0024954e8
Description: semi-random text typer
 Randtype is a small utility to output characters or lines at random intervals.
 There are a few command line options to refine the output. With it you can
 output files to the screen, and if you configured it well, it will look like
 someone is actually typing - with optional typos even.

Package: ranger
Version: 1.5.4-1
Installed-Size: 551
Maintainer: Vern Sun 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), file
Suggests: atool, caca-utils, elinks-lite, highlight, less, poppler-utils
Size: 116026
SHA256: ef8a693c64f6125016406567b0c7368581c59fd21e97e8f8fc04ab959d988482
SHA1: 627f18e6a47ef13f433bc70e29e049fe14a9bfd3
MD5sum: b7aeb9f8fdaad19f7fb5b2d60af6d6e0
Description: File manager with an ncurses frontend written in Python
 Ranger is a free console file manager that gives you greater flexibility and a
 good overview of your files without having to leave your *nix console. It
 visualizes the directory tree in two dimensions: the directory hierarchy on
 one, lists of files on the other, with a preview to the right so you know
 where you'll be going.
 .
 The default keys are similar to those of Vim, Emacs and Midnight Commander,
 though Ranger is easily controllable with just the arrow keys or the mouse.
 .
 The program is written in Python and uses curses for the text-based user
 interface.
Homepage: http://ranger.nongnu.org/
Tag: admin::filesystem, implemented-in::python, interface::commandline,
 interface::text-mode, role::program, uitoolkit::ncurses,
 works-with::file, works-with::unicode
Section: utils
Priority: extra
Filename: pool/main/r/ranger/ranger_1.5.4-1_all.deb

Package: rant
Version: 0.5.8-8
Installed-Size: 1700
Maintainer: Ralph Amissah 
Architecture: all
Depends: ruby (>= 1.8.2)
Size: 282012
SHA256: 4bfd44a200716c70748b1c5a1293ab4c6114f134b15b2420df367b5996ecf2a3
SHA1: 65df69fd199e1c0644018aa1c777f1b9fbeb0661
MD5sum: 2d8d5128130d928d4368a5417d320bfa
Description: Flexible, Ruby based make
 Similar to make, the rant commandline tool reads a file called Rantfile, which
 contains task definitions. Unlike make, however, an Rantfile is just a valid
 script written in the Ruby programming language. Since Ruby comes with many
 useful libraries and is portable across many different operating systems, it
 is easy to write portable Rantfiles.
Homepage: http://rant.rubyforge.org/
Tag: devel::buildtools, devel::lang:ruby, implemented-in::ruby
Section: ruby
Priority: optional
Filename: pool/main/r/rant/rant_0.5.8-8_all.deb

Package: rapid-photo-downloader
Version: 0.4.5-3
Installed-Size: 2133
Maintainer: Julien Valroff 
Architecture: all
Depends: python (>= 2.6.6-7~), python-dbus, python-gtk2 (>= 2.12), python-glade2 (>= 2.17), python-gconf (>= 2.28), python-gnome2 (>= 2.28), python-pyexiv2 (>= 0.3), python-notify (>= 0.1.1), python-imaging (>= 1.1.7), librsvg2-common (>= 2.26), gnome-icon-theme, libimage-exiftool-perl, exiv2, exiftran
Recommends: python-kaa-metadata, python-hachoir-metadata, ffmpegthumbnailer
Size: 447610
SHA256: 1352dcfab5c8739eb5d2ebbaa32f1db5d478f2bcb4c9a31d38cb2d107a0b79e1
SHA1: 56e7cdb62600fbaa433b303c40457e27c5611f00
MD5sum: 23e311dcee9ebd72742ef8347316b5b1
Description: Photo downloader (importer) from cameras, memory cards other devices
 Rapid Photo Downloader can be used by both professional and amateur
 photographers to download photos and videos from multiple cameras,
 memory cards and Portable Storage Devices simultaneously. It provides
 many flexible, user-defined options for subfolder creation, photo and
 video renaming, and backup.
Homepage: http://damonlynch.net/rapid
Tag: implemented-in::python, role::program, use::downloading,
 works-with::image
Section: graphics
Priority: optional
Filename: pool/main/r/rapid-photo-downloader/rapid-photo-downloader_0.4.5-3_all.deb

Package: rapid-spring
Version: 0.6.0-1
Installed-Size: 228
Maintainer: Debian Games Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-bitarray, python-qt4
Recommends: spring
Size: 33530
SHA256: 37370d1ac4bb27163f7a943901f4a8229ad8d0dff224083d391ea9417b34f83e
SHA1: 1c04b601dd89e580d4e65bb607aff8763087a2ae
MD5sum: c3528a612fb5ea9395ec03ead728fdea
Description: content download tool for spring RTS
 Rapid-spring is a set of tools (command line and GUI) to download
 game contents (maps, mods, …) for the spring rts engine. Rapid
 manages the artifacts in a repository in the user's home directory.
 .
 The commandline tool is mostly meant for power users as simply
 installing a package can also be done the GUI way. The GUI currently
 only allows installing single packages.
Homepage: http://pypi.python.org/pypi/rapid-spring/
Tag: role::program
Section: games
Priority: optional
Filename: pool/main/r/rapid-spring/rapid-spring_0.6.0-1_all.deb

Package: rapidsvn
Version: 0.12.0dfsg-6
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 1043
Depends: libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libsvn1 (>= 1.4.4), libsvncpp3 (= 0.12.0dfsg-6), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1)
Recommends: ssh-askpass
Breaks: libsvncpp-dev (<< 0.7.0-2)
Homepage: http://rapidsvn.tigris.org/
Priority: optional
Section: x11
Filename: pool/main/r/rapidsvn/rapidsvn_0.12.0dfsg-6_armhf.deb
Size: 368194
SHA256: c04de6534186b2ef0bda741c64fc0af9b60edd29b8d0c307feb4f95834aca9b5
SHA1: 5427103861abbae2c908377a81efa225eb751db1
MD5sum: d35f5be61a57400edd57a0cebd56ae7a
Description: GUI client for Subversion
 A graphical client for the Subversion revision control system (svn).

Package: raptor-utils
Source: raptor
Version: 1.4.21-7.1
Architecture: armhf
Maintainer: Dave Beckett 
Installed-Size: 114
Depends: libc6 (>= 2.13-28), libraptor1 (>= 1.4.21-3)
Conflicts: libraptor0 (<= 0.9.12-1)
Homepage: http://librdf.org/raptor/
Priority: optional
Section: text
Filename: pool/main/r/raptor/raptor-utils_1.4.21-7.1_armhf.deb
Size: 66930
SHA256: 2f038f00874988391b4f594cde80fba2ce4650833c5b10b53b3fdf692226dcb4
SHA1: a9955e3a809bd55b66847073af167e8f9a1c30a1
MD5sum: 9ab2c1fabcd4be13a6bb21969206a44a
Description: Raptor RDF parser and serializer utilities
 This package provides the rapper tool for validating, parsing and
 serializing RDF/XML, N-Triples, Turtle, RSS, Atom and other Resource
 Description Framework (RDF) syntaxes using the Raptor RDF library.

Package: raptor2-utils
Source: raptor2
Version: 2.0.8-2
Architecture: armhf
Maintainer: Dave Beckett 
Installed-Size: 94
Depends: libc6 (>= 2.13-28), libraptor2-0 (>= 2.0.8)
Conflicts: raptor-utils
Replaces: raptor-utils
Homepage: http://librdf.org/raptor/
Priority: optional
Section: text
Filename: pool/main/r/raptor2/raptor2-utils_2.0.8-2_armhf.deb
Size: 43940
SHA256: 154b706c04bb82f06c674357b15891a6ca677bad22902343eadc383038f55b4b
SHA1: 5dd7d46bb193829d0af6827f00776a5d45d0d937
MD5sum: 1a39d09e3c69829b72868b97e7e4720a
Description: Raptor 2 RDF parser and serializer utilities
 This package provides the rapper tool for validating, parsing and
 serializing RDF/XML, N-Triples, Turtle, RSS, Atom and other Resource
 Description Framework (RDF) syntaxes using the Raptor RDF library.

Package: rarian-compat
Source: rarian
Version: 0.8.1-5
Architecture: armhf
Maintainer: Frederic Peters 
Installed-Size: 339
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), librarian0 (>= 0.8.0), libstdc++6 (>= 4.3.0), xml-core (>= 0.12), docbook-xml
Conflicts: scrollkeeper (<< 0.4)
Replaces: scrollkeeper (<< 0.4)
Provides: scrollkeeper
Homepage: http://rarian.freedesktop.org/
Priority: extra
Section: doc
Filename: pool/main/r/rarian/rarian-compat_0.8.1-5_armhf.deb
Size: 111164
SHA256: d2574a95d760aacffc8054d90433946b1c1af3b9bcbee12d0aa2dd56fb24d132
SHA1: 489a92ccce32eaceaa9af0ba8d5284341c87da57
MD5sum: 131cee6269a6e8633aa51d210c5e4d5d
Description: Documentation meta-data library (compatibility tools)
 Rarian (formerly Spoon) is a documentation meta-data library,
 designed as a replacement for Scrollkeeper.

Package: rarpd
Version: 0.981107-8
Architecture: armhf
Maintainer: Mats Erik Andersson 
Installed-Size: 69
Depends: libc6 (>= 2.13-28), lsb-base (>= 3.0-6)
Priority: extra
Section: net
Filename: pool/main/r/rarpd/rarpd_0.981107-8_armhf.deb
Size: 13012
SHA256: 304980b6acba32588542b24a55e553d13aea79ff8b2be40d93fbdc43c86ab814
SHA1: 34ae889261318d261d15ef436268869cfec8e91d
MD5sum: c423de8621f3508e15254102b7ef8b15
Description: Reverse Address Resolution Protocol daemon
 RARP is a protocol which allows individual devices on a network
 to get their IP addresses assigned based on their MAC addresses.
 .
 You have use of this daemon only if you have on your local network
 machines like diskless Sun boxes, or other netbooting devices.

Package: rasmol
Version: 2.7.5.2-1
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 3148
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcbf0, libcvector2, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libvte9 (>= 1:0.24.0), libx11-6, libxext6, libxi6
Suggests: rasmol-doc
Homepage: http://rasmol.org
Priority: optional
Section: science
Filename: pool/main/r/rasmol/rasmol_2.7.5.2-1_armhf.deb
Size: 1574188
SHA256: 5e301af6b6f8966cde0c45def54ee0e247696134bc5e7dd65c26f6fb9ede8963
SHA1: 6dcb3046e6f3f7fc0340d4aca37cf55e4b55fe0d
MD5sum: 7762fdfe26df03ed3caa96875b89eddf
Description: Visualize biological macromolecules
 RasMol is a molecular graphics program intended for the visualisation of
 proteins, nucleic acids and small molecules. The program is aimed at
 display, teaching and generation of publication quality images.
 .
 The program reads in a molecule coordinate file and interactively displays
 the molecule on the screen in a variety of colour schemes and molecule
 representations. Currently available representations include depth-cued
 wireframes, 'Dreiding' sticks, spacefilling (CPK) spheres, ball and stick,
 solid and strand biomolecular ribbons, atom labels and dot surfaces.
 .
 Supported input file formats include Protein Data Bank (PDB), Tripos
 Associates' Alchemy and Sybyl Mol2 formats, Molecular Design Limited's
 (MDL) Mol file format, Minnesota Supercomputer Center's (MSC) XYZ (XMol)
 format, CHARMm format, CIF format and mmCIF format files.
 .
 This package installs two versions of RasMol, rasmol-gtk has a modern
 GTK-based user interface and rasmol-classic is the version with the old
 Xlib GUI.

Package: rasmol-doc
Source: rasmol
Version: 2.7.5.2-1
Installed-Size: 2070
Maintainer: Debian Science Maintainers 
Architecture: all
Enhances: rasmol
Size: 1296420
SHA256: 2c28da6e287a7b54a85c6bf1aa3c6662c91ea9bfb1a6af66e2fce431bfb80c2c
SHA1: d34d3e1439fa16c159567528cabffff34e37e6f2
MD5sum: 0c76fac6bdc01929e208654d0f54acff
Description: Documentation for rasmol
 Extra documentation for Rasmol, a program to visualize biological
 macromolecules. Included are the Rasmol manual in various formats,
 a command reference card and a copy of the openrasmol website,
 http://rasmol.org.
Homepage: http://rasmol.org
Tag: field::chemistry, made-of::html, made-of::postscript,
 role::documentation, use::viewing
Section: doc
Priority: optional
Filename: pool/main/r/rasmol/rasmol-doc_2.7.5.2-1_all.deb

Package: raspbian-archive-keyring
Version: 20120528.2
Architecture: all
Maintainer: Peter Michael Green 
Installed-Size: 31
Depends: gnupg
Provides: debian-archive-keyring
Priority: important
Section: misc
Filename: pool/main/r/raspbian-archive-keyring/raspbian-archive-keyring_20120528.2_all.deb
Size: 3514
SHA256: ea4b6737417fda8eeb4549ece7bb04249faed6779bc2424203fd635fda4dcc21
SHA1: 1f730314ef8cd6e603389e911deab4bb8f40903a
MD5sum: ce84c83e8e47a894f7b3e2499513f297
Description: GnuPG archive keys of the raspbian archive
 The raspbian archive digitally signs its Release files. This package
 contains the archive keys used for that.

Package: rasqal-utils
Source: rasqal
Version: 0.9.29-1
Architecture: armhf
Maintainer: Dave Beckett 
Installed-Size: 118
Depends: libc6 (>= 2.13-28), libraptor2-0 (>= 2.0.6), librasqal3 (>= 0.9.29)
Homepage: http://librdf.org/rasqal/
Priority: optional
Section: text
Filename: pool/main/r/rasqal/rasqal-utils_0.9.29-1_armhf.deb
Size: 65182
SHA256: cbe7e6b8209dd3f5197072bb73d1d51c8c136e9c78d458525dae8c3bf26aba05
SHA1: 30a673b5766c4389bdaae6e1ff681e8d49f0a9b1
MD5sum: 50efadef67d2eaa56a63c36986f79dd4
Description: Rasqal RDF Query utilities
 This package provides the roqet tool for querying RDF content
 with SPARQL and RDQL RDF query languages using the Rasqal RDF
 query library.

Package: raster3d
Version: 3.0-2-4
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 490
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgd2-xpm (>= 2.0.36~rc1~dfsg), libgfortran3 (>= 4.6), libtiff4 (>> 3.9.5-3~), imagemagick, ghostscript
Suggests: raster3d-doc (= 3.0-2-4)
Homepage: http://www.bmsc.washington.edu/raster3d/raster3d.html
Priority: optional
Section: science
Filename: pool/main/r/raster3d/raster3d_3.0-2-4_armhf.deb
Size: 219280
SHA256: 09b9caa03a9d64ab81a0430634d0c78b69fdf7239f9d6371dd1f40b4df235f95
SHA1: 1e09755af443105e2dac77ba6cb92c1fce819ac6
MD5sum: cd612dc0fe5d858b148978c1fcd7a008
Description: tools for generating images of proteins or other molecules
 Raster3D is a set of tools for generating high quality raster images of
 proteins or other molecules. The core program renders spheres, triangles,
 cylinders, and quadric surfaces with specular highlighting, Phong shading,
 and shadowing. It uses an efficient software Z-buffer algorithm which is
 independent of any graphics hardware. Ancillary programs process atomic
 coordinates from PDB files into rendering descriptions for pictures composed
 of ribbons, space-filling atoms, bonds, ball+stick, etc. Raster3D can also be
 used to render pictures composed in other programs such as Molscript in
 glorious 3D with highlights, shadowing, etc. Output is to pixel image files
 with 24 bits of color information per pixel.

Package: raster3d-doc
Source: raster3d
Version: 3.0-2-4
Installed-Size: 3498
Maintainer: Debian Med Packaging Team 
Architecture: all
Recommends: raster3d (= 3.0-2-4), imagemagick
Suggests: pdf-viewer, perl, www-browser
Size: 1561854
SHA256: b69b5ab9b4ecdf142f0f5b349bad7f6ba641b0c6136d69e10ce46ab11c66a9f1
SHA1: add6ca6b068d969506643e22ef8ce5a9a29e915e
MD5sum: 8175abc0725d0db7b825b1e830511cb3
Description: documents and example files for Raster3D
 Raster3D is a set of tools for generating high quality raster images of
 proteins or other molecules. The core program renders spheres, triangles,
 cylinders, and quadric surfaces with specular highlighting, Phong shading,
 and shadowing. It uses an efficient software Z-buffer algorithm which is
 independent of any graphics hardware. Ancillary programs process atomic
 coordinates from PDB files into rendering descriptions for pictures composed
 of ribbons, space-filling atoms, bonds, ball+stick, etc. Raster3D can also be
 used to render pictures composed in other programs such as Molscript in
 glorious 3D with highlights, shadowing, etc. Output is to pixel image files
 with 24 bits of color information per pixel.
 .
 This package contains documents and example files for the raster3d package.
Homepage: http://www.bmsc.washington.edu/raster3d/raster3d.html
Tag: devel::doc, devel::examples, field::biology, field::biology:structural,
 made-of::html, made-of::pdf, role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/raster3d/raster3d-doc_3.0-2-4_all.deb

Package: rasterlite-bin
Source: librasterlite
Version: 1.1~svn11-2
Architecture: armhf
Maintainer: Debian GIS Project 
Installed-Size: 162
Depends: libc6 (>= 2.13-28), libgeotiff2, librasterlite1 (= 1.1~svn11-2), libspatialite3 (>= 2.4.0~rc2), libsqlite3-0 (>= 3.5.9), libtiff5 (>> 4.0.0-1~)
Homepage: http://www.gaia-gis.it/spatialite/
Priority: extra
Section: graphics
Filename: pool/main/libr/librasterlite/rasterlite-bin_1.1~svn11-2_armhf.deb
Size: 56560
SHA256: 5ab61c9bccf69ee7dcd7433d1faddf839f2755bd0f68d242dcb5501f36501604
SHA1: b1509698d8cbd632a5a3c4511648e8120d677beb
MD5sum: daeedb07969f2d5cebd7a4a33e3e767f
Description: command line tools for librasterlite
 librasterlite is a library supporting raster data sources within a SpatiaLite
 DataBase, and any releated command-line management tool.
 .
 This package contains command-line tools to use librasterlite.

Package: rasterlite-dbg
Source: librasterlite
Version: 1.1~svn11-2
Architecture: armhf
Maintainer: Debian GIS Project 
Installed-Size: 266
Depends: librasterlite1 (= 1.1~svn11-2), rasterlite-bin (= 1.1~svn11-2)
Homepage: http://www.gaia-gis.it/spatialite/
Priority: extra
Section: debug
Filename: pool/main/libr/librasterlite/rasterlite-dbg_1.1~svn11-2_armhf.deb
Size: 173464
SHA256: f9186a24a2af5dc80db59ea2d4d7012527f04ec599629e0427adb8eabef07b20
SHA1: 3f56156623c9036061e7379e6f866bb54eb79c4b
MD5sum: 27f8c37af63a83fa99d9a6bb1abd1894
Description: library supporting raster data sources for spatialite - debugging symbols
 librasterlite is a library supporting raster data sources within a SpatiaLite
 DataBase, and any releated command-line management tool.
 .
 This package contains debugging symbols for librasterlite and rasterlite-bin.

Package: rastertosag-gdi
Version: 0.1-3
Installed-Size: 26
Maintainer: Debian Printing Team 
Architecture: all
Depends: printer-driver-sag-gdi
Size: 2340
SHA256: db77b1a7d2a771cff555ddb27b22a0aa1abef92f0ae74c58b5431ebba67270b4
SHA1: 553ecd2b21271a8133d76c038e9475133c8f3bdd
MD5sum: 951f929e7b89a1baab991245244173b1
Description: transitional dummy package for rastertosag-gdi printer driver
 This is a transitional dummy package to transition to uniformly named
 printer-driver-sag-gdi.
Homepage: http://www.openprinting.org/driver/rastertosag-gdi/
Tag: role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/r/rastertosag-gdi/rastertosag-gdi_0.1-3_all.deb

Package: rat
Version: 4.2.22-2.1
Architecture: armhf
Maintainer: David Martínez Moreno 
Installed-Size: 523
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libuclmmbase1, libx11-6, libxext6, tcl8.4 (>= 8.4.16), tk8.4 (>= 8.4.16)
Suggests: sdr
Priority: extra
Section: net
Filename: pool/main/r/rat/rat_4.2.22-2.1_armhf.deb
Size: 252588
SHA256: fd2fd1e10c1b930e825d4d5f769565a56b6ece3f8d768e3040a19f2a084b00de
SHA1: 16d6d2dd3d917ef53201afd849be7861a1502206
MD5sum: e6c320ec13db39ab4d7ec8496e7086af
Description: Unicast and multicast voice-over-IP application
 RAT is a network audio tool that allows users to participate in audio
 conferences over the Internet. These can be between two participants
 directly, or between a group of participants on a common multicast group.
 No special features are required to use RAT in point-to-point mode, but to
 use the multicast conferencing facilities of RAT, a connection to the
 Mbone, or a similar multicast-capable network, is required. RAT is based on
 IETF standards, using RTP above UDP/IP as its transport protocol, and
 conforming to the RTP profile for audio and video conferences with minimal
 control.
 .
 Further information is available on the WWW, at:
 http://www-mice.cs.ucl.ac.uk/multimedia/software/rat/index.html

Package: ratbox-services-common
Source: ratbox-services
Version: 1.2.4-2
Architecture: armhf
Maintainer: Marc Dequènes (Duck) 
Installed-Size: 397
Recommends: ratbox-services-sqlite
Homepage: http://services.ratbox.org/
Priority: optional
Section: net
Filename: pool/main/r/ratbox-services/ratbox-services-common_1.2.4-2_armhf.deb
Size: 87708
SHA256: 0ffe39d36e4b5549f00347d1cba599fee14b5ca0450e23fede924f27c5e717f3
SHA1: e3cb51e0e07a56210a40b57ac0657cd9fe215b62
MD5sum: 46affe73432fe53bb1341cfc1bd74a8b
Description: IRC services for use with ircd-ratbox
 ratbox-services is a highly configurable IRC services program, with nearly all
 options being set in a config that can be rehashed rather than set at compile
 time.
 .
 This package contains files common to all ratbox-services packages.

Package: ratbox-services-mysql
Source: ratbox-services
Version: 1.2.4-2
Architecture: armhf
Maintainer: Marc Dequènes (Duck) 
Installed-Size: 421
Depends: libc6 (>= 2.13-28), libmysqlclient16 (>= 5.1.50-1), libpcre3 (>= 8.10), ratbox-services-common
Conflicts: ratbox-services
Replaces: ratbox-services-pgsql, ratbox-services-sqlite
Provides: ratbox-services
Homepage: http://services.ratbox.org/
Priority: optional
Section: net
Filename: pool/main/r/ratbox-services/ratbox-services-mysql_1.2.4-2_armhf.deb
Size: 171758
SHA256: 7b33a9ebeb679ea20f9242eb848f7db62e161bc5424a765332d7726e331db8a9
SHA1: d916e3cec824567ddcf0326945669c7245e1e359
MD5sum: af104ade7eab3467112a2ca5918da722
Description: IRC services for use with ircd-ratbox with the mysql backend
 ratbox-services is a highly configurable IRC services program, with nearly all
 options being set in a config that can be rehashed rather than set at compile
 time.
 .
 This package uses the MySQL database backend.

Package: ratbox-services-pgsql
Source: ratbox-services
Version: 1.2.4-2
Architecture: armhf
Maintainer: Marc Dequènes (Duck) 
Installed-Size: 409
Depends: libc6 (>= 2.13-28), libpcre3 (>= 8.10), libpq5, ratbox-services-common
Conflicts: ratbox-services
Replaces: ratbox-services-mysql, ratbox-services-sqlite
Provides: ratbox-services
Homepage: http://services.ratbox.org/
Priority: optional
Section: net
Filename: pool/main/r/ratbox-services/ratbox-services-pgsql_1.2.4-2_armhf.deb
Size: 165436
SHA256: 76ab277969a201151fb1f5cd3bce90185aaeb63ac5d22d5ccbac77bb403997c2
SHA1: b5cd099aae2e3cb060b875cbdddda824e0e38469
MD5sum: c547ef4fa7cb5bfbdff36497e3e2c2b0
Description: IRC services for use with ircd-ratbox with the PostgreSQL backend
 ratbox-services is a highly configurable IRC services program, with nearly all
 options being set in a config that can be rehashed rather than set at compile
 time.
 .
 This package uses the PostgreSQL database backend.

Package: ratbox-services-sqlite
Source: ratbox-services
Version: 1.2.4-2
Architecture: armhf
Maintainer: Marc Dequènes (Duck) 
Installed-Size: 409
Depends: libc6 (>= 2.13-28), libpcre3 (>= 8.10), libsqlite3-0 (>= 3.5.9), ratbox-services-common, sqlite3
Conflicts: ratbox-services
Replaces: ratbox-services-mysql, ratbox-services-pgsql
Provides: ratbox-services
Homepage: http://services.ratbox.org/
Priority: optional
Section: net
Filename: pool/main/r/ratbox-services/ratbox-services-sqlite_1.2.4-2_armhf.deb
Size: 164750
SHA256: 3820a37b5fa731982c262149412f2b6cd2e8dd49bed8ce56bf706f9335a6b40f
SHA1: b04743dabdd8f3636bf8b4a058bd545faa2962f8
MD5sum: 7372d7c3b89aa524fd1cb7a200ef0ce5
Description: IRC services for use with ircd-ratbox with the sqlite backend
 ratbox-services is a highly configurable IRC services program, with nearly all
 options being set in a config that can be rehashed rather than set at compile
 time.
 .
 This package uses the SQLite database backend.

Package: ratfor
Version: 1.0-15
Architecture: armhf
Maintainer: Alan Bain 
Installed-Size: 64
Depends: libc6 (>= 2.4)
Recommends: g77 | fort77, f2c
Priority: optional
Section: devel
Filename: pool/main/r/ratfor/ratfor_1.0-15_armhf.deb
Size: 16666
SHA256: af5c57b483a8ee475d0bbbe6ca5f55b4ea8aa7566b4350cd609ade78e8d073a0
SHA1: 1f9186248592d23c130bd3e9c6fcb08908140856
MD5sum: dc42f3e33f4e09f0e475903695421896
Description: Rational Fortran preprocessor for Fortran 77
 Ratfor77 is a preprocessor that converts the Rational Fortran dialect
 into ordinary Fortran 77. The output can then be compiled using g77
 or f2c + gcc.
 .
 The Ratfor dialect provides C-like control structures and some
 syntactic sugar that makes Fortran programs easier to read and write.

Package: ratmenu
Version: 2.3.20
Architecture: armhf
Maintainer: Mark W. Eichin 
Installed-Size: 69
Depends: libc6 (>= 2.4), libx11-6
Suggests: ratpoison, xbindkeys, menu, xclip
Priority: optional
Section: x11
Filename: pool/main/r/ratmenu/ratmenu_2.3.20_armhf.deb
Size: 18602
SHA256: 7e92f2ff6c1d5de53f8966ffd3a59b322803c5b1210c8299210a5d2f6143eca5
SHA1: 1dad7250e703bd99a504c647216820856498203e
MD5sum: 5cea476117395c9f005f4bf3f4761af3
Description: Creates X menus from the shell
 This is ratmenu, a simple program that allows you to create X menus from the
 shell.  Although designed for ratpoison, it will work with any ICCCM compliant
 window manager.
 .
 It was forked from 9menu version 1.5, to provide specific support
 for the ratpoison window manager.  Where 9menu only responds to the mouse, and
 ignores the keyboard, ratmenu has all mouse sensitivity taken out, and only
 responds to the keyboard.  Since then it has evolved nifty features and
 behaviors of its own.  The source code was also cleaned up and old cruft
 weeded out.

Package: ratpoison
Version: 1.4.5-4
Architecture: armhf
Maintainer: Bernhard R. Link 
Installed-Size: 418
Depends: libc6 (>= 2.13-28), libx11-6, libxft2 (>> 2.1.1), libxinerama1, libxtst6, dpkg (>= 1.15.4) | install-info
Recommends: 9menu (>= 1.6), menu, rxvt | x-terminal-emulator
Suggests: xbindkeys, xclip
Provides: x-window-manager
Homepage: http://www.nongnu.org/ratpoison/
Priority: extra
Section: x11
Filename: pool/main/r/ratpoison/ratpoison_1.4.5-4_armhf.deb
Size: 187282
SHA256: 78c3028ec46fccffc571ee6a9d92bb68d5696c3073b1154b635cf58c4b67482c
SHA1: e7cd926cd41395fe54f2473a957b8bd1aa9206e8
MD5sum: c091ec8c052d9df7ec3220ebc997b741
Description: keyboard-only window manager
 ratpoison is a simple window manager with no fancy graphics,
 no window decorations, and no rodent dependence.
 It is largely modelled after GNU Screen.
 .
 The screen can be split into non-overlapping frames. All
 windows are kept maximized inside their frames to take
 full advantage of your precious screen real estate.
 .
 All interaction with the window manager is done through
 keystrokes. ratpoison has a prefix map to minimize
 key clobbering.

Package: ratproxy
Version: 1.58+dfsg-3
Architecture: armhf
Maintainer: Iustin Pop 
Installed-Size: 255
Depends: libc6 (>= 2.4), libssl1.0.0 (>= 1.0.0)
Homepage: http://code.google.com/p/ratproxy/
Priority: extra
Section: web
Filename: pool/main/r/ratproxy/ratproxy_1.58+dfsg-3_armhf.deb
Size: 143118
SHA256: f3e68526d3b2ae51c43d8486b48f7e3cff7c8bcf2f0d37b83b7f28da28a5a3ef
SHA1: 6b3f740ae3f4e5eea4d7347bcc941213e4464f59
MD5sum: 054592da4c5427c52a5cb037a2995588
Description: passive web application security assessment tool
 A semi-automated, largely passive web application security audit tool,
 optimized for an accurate and sensitive detection, and automatic
 annotation, of potential problems and security-relevant design patterns
 based on the observation of existing, user-initiated traffic in complex
 web 2.0 environments.
 .
 Detects and prioritizes broad classes of security problems, such as
 dynamic cross-site trust model considerations, script inclusion issues,
 content serving problems, insufficient XSRF and XSS defenses, and much
 more.

Package: rats
Version: 2.3-1
Architecture: armhf
Maintainer: Alejandro Rios P. 
Installed-Size: 825
Depends: libc6 (>= 2.4), libexpat1 (>= 2.0.1)
Homepage: http://www.fortifysoftware.com/security-resources/rats.jsp
Priority: optional
Section: utils
Filename: pool/main/r/rats/rats_2.3-1_armhf.deb
Size: 188072
SHA256: 1f0762b407440dc0ac193fe4350b1888f3b167f7212cdc4fbff2b2508ad18fdc
SHA1: 8b949af7e35bb814913768ba2dd0bc81a0a97cc2
MD5sum: 1fb10735c01157b4223cf6594d3f1ce6
Description: Rough Auditing Tool for Security
 RATS is a security auditing utility for C, C++, PHP, Perl, and Python
 code. RATS scans source code, finding potentially dangerous function calls.
 The goal of rats is not to definitively find bugs (yet), but to provide a
 reasonable starting point for performing manual security audits.
 .
 The initial vulnerability database is taken directly from things that
 could be easily found when starting with the book, "Building Secure
 Software" by Viega and McGraw.

Package: rawdog
Version: 2.13.dfsg.1-1
Installed-Size: 372
Maintainer: Decklin Foster 
Architecture: all
Depends: python, python-support (>= 0.90.0)
Size: 87932
SHA256: 9b16b4ccbac6da6d644b372414e6b4aef816c2705924a6102e60cc255930db59
SHA1: 14aaec46630c6d4116d46a6be8c5ff4affdcda24
MD5sum: ed7fd957dd01a5bee672fde5a5607868
Description: RSS Aggregator Without Delusions Of Grandeur
 rawdog is an RSS (and other) feed aggregator, based on Mark Pilgrim's
 flexible feed parser. It's just an aggregator; it's not a weblog
 authoring tool, nor is it an NNTP gateway, outliner, mailserver or
 anything else.
 .
 rawdog reads articles from a number of feeds and writes out a single
 HTML file, based on a template either provided by the user or generated
 by rawdog, containing the latest articles it's seen. It uses the ETags
 and Last-Modified headers to avoid fetching a file that hasn't changed,
 and supports gzip compression to reduce bandwidth when it has.
Tag: implemented-in::python, interface::commandline, network::client,
 role::program, use::downloading, web::blog, works-with-format::xml,
 works-with-format::xml:rss
Section: web
Priority: optional
Filename: pool/main/r/rawdog/rawdog_2.13.dfsg.1-1_all.deb

Package: rawstudio
Version: 2.0-1.1
Architecture: armhf
Maintainer: Debian PhotoTools Maintainers 
Installed-Size: 2406
Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libdbus-1-3 (>= 1.0.2), libexif12, libexiv2-12, libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgphoto2-2 (>= 2.4.10.1), libgphoto2-port0 (>= 2.4.10.1), libgtk2.0-0 (>= 2.18.0), libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), liblensfun0, libpango1.0-0 (>= 1.14.0), libsqlite3-0 (>= 3.6.0), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libx11-6, libxml2 (>= 2.7.4)
Homepage: http://www.rawstudio.org/
Priority: optional
Section: graphics
Filename: pool/main/r/rawstudio/rawstudio_2.0-1.1_armhf.deb
Size: 891680
SHA256: 83bd3308dae4bc0dbc2c268fc8e54392e9132c8cf69e29d56b9b59fbc5a9bf08
SHA1: d73ba590a4e84aed4b8341ca91b358e3d2567ec1
MD5sum: cb9c41073bc8554978551af4090a76e2
Description: RAW image converter
 To get the best quality out of your digital camera, it is often
 recommended that you record your pictures in RAW format. This format
 is mostly specific to a camera and cannot be read by most image
 editing applications.
 .
 Rawstudio will convert your RAW files into JPEG, PNG or TIF images.
 It has a graphical user interface, so you can simply open a RAW file
 and experiment with the controls to see how they effect the image.
 .
 The normal workflow would be that you first convert your RAW files
 and then use an image editing application to further work on your
 images. Rawstudio itself is a highly specialized application for
 processing RAW images, not a fully featured image editing
 application.

Package: rawstudio-dbg
Source: rawstudio
Version: 2.0-1.1
Architecture: armhf
Maintainer: Debian PhotoTools Maintainers 
Installed-Size: 11546
Depends: rawstudio (= 2.0-1.1)
Homepage: http://www.rawstudio.org/
Priority: extra
Section: debug
Filename: pool/main/r/rawstudio/rawstudio-dbg_2.0-1.1_armhf.deb
Size: 3485448
SHA256: 26ec3b947102479b89694e08ca0ee5d84c44e15e85cac7ac44702039e2893ab9
SHA1: 79ec84e1e578372e4ba54e0c45a321d80d49e1ca
MD5sum: 9dbb9b619e3221222d351eca2286233a
Description: RAW image converter - debuggin symbols
 To get the best quality out of your digital camera, it is often
 recommended that you record your pictures in RAW format. This format
 is mostly specific to a camera and cannot be read by most image
 editing applications.
 .
 Rawstudio will convert your RAW files into JPEG, PNG or TIF images.
 It has a graphical user interface, so you can simply open a RAW file
 and experiment with the controls to see how they effect the image.
 .
 The normal workflow would be that you first convert your RAW files
 and then use an image editing application to further work on your
 images. Rawstudio itself is a highly specialized application for
 processing RAW images, not a fully featured image editing
 application.
 .
 This package contains the detached debug symbols.

Package: rawtherapee
Version: 4.0.9-4+deb7u1
Architecture: armhf
Maintainer: Philip Rinn 
Installed-Size: 5839
Depends: libatkmm-1.6-1 (>= 2.22.1), libbz2-1.0, libc6 (>= 2.13-28), libcairomm-1.0-1 (>= 1.6.4), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgomp1 (>= 4.4), libgtk2.0-0 (>= 2.12), libgtkmm-2.4-1c2a (>= 1:2.24.0), libiptcdata0, libjpeg8 (>= 8c), liblcms2-2, libpangomm-1.4-1 (>= 2.27.1), libpng12-0 (>= 1.2.13-4), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), rawtherapee-data (= 4.0.9-4+deb7u1)
Homepage: http://www.rawtherapee.com
Priority: optional
Section: graphics
Filename: pool/main/r/rawtherapee/rawtherapee_4.0.9-4+deb7u1_armhf.deb
Size: 2545148
SHA256: 8bfa17401664e6bd8239e1e8977324d34685e92409948d012537222b172d3cf5
SHA1: 446578d12e0f46cd2b307dfad2423576018f22f7
MD5sum: 6be01bab9d003e000b36cfddd3c35be0
Description: Free RAW converter and digital photo processing software
 RawTherapee is used to adjust some of the most often changed parameters when
 optimizing digital images. A normal user often just wants to adjust the white
 balance or brightness of a photo he took. Instead of using a big and expensive
 image editor you could use a small and fast tool like RawTherapee.
 More and more cameras also support RAW formats. RAW files usually offer higher
 color depth than JPGs. So the adjustments are done with the high color depth
 and then afterwards converted to or saved as JPGs. RawTherapee supports JPG,
 PNG and TIFF. All image processing is done in 16 bit/channel mode.
 Different to other RAW converters it can use EAHD as demosaicing algorithm.
 The raw loading engine of RawTherapee is based on dcraw.

Package: rawtherapee-data
Source: rawtherapee
Version: 4.0.9-4+deb7u1
Installed-Size: 9266
Maintainer: Philip Rinn 
Architecture: all
Replaces: rawtherapee (<< 4.0.9)
Recommends: rawtherapee (= 4.0.9-4+deb7u1)
Breaks: rawtherapee (<< 4.0.9)
Size: 7155688
SHA256: da4dfc6a118cfb2fe341ab82e09926fdeaa6aa7449be1a0b0cab6c0f1e8eab9d
SHA1: 857e94a41fd60c515d92bb0e9076cc8a654d46c3
MD5sum: 5dc3b29941947daea18400e274a82ba8
Description: Free RAW converter and digital photo processing software (data files)
 RawTherapee is used to adjust some of the most often changed parameters when
 optimizing digital images. A normal user often just wants to adjust the white
 balance or brightness of a photo he took. Instead of using a big and expensive
 image editor you could use a small and fast tool like RawTherapee.
 More and more cameras also support RAW formats. RAW files usually offer higher
 color depth than JPGs. So the adjustments are done with the high color depth
 and then afterwards converted to or saved as JPGs. RawTherapee supports JPG,
 PNG and TIFF. All image processing is done in 16 bit/channel mode.
 Different to other RAW converters it can use EAHD as demosaicing algorithm.
 The raw loading engine of RawTherapee is based on dcraw.
 .
 This package includes the data files.
Homepage: http://www.rawtherapee.com
Section: graphics
Priority: optional
Filename: pool/main/r/rawtherapee/rawtherapee-data_4.0.9-4+deb7u1_all.deb

Package: razor
Version: 1:2.85-4
Architecture: armhf
Maintainer: Giuseppe Iuculano 
Installed-Size: 401
Depends: libc6 (>= 2.13-28), libdigest-hmac-perl, libdigest-md5-perl, libmime-base64-perl, libnet-dns-perl, perl (>= 5.14.2-11), libtest-simple-perl, liburi-perl, perlapi-5.14.2
Provides: librazor2-perl
Homepage: http://razor.sourceforge.net
Priority: optional
Section: mail
Filename: pool/main/r/razor/razor_2.85-4_armhf.deb
Size: 122346
SHA256: 93c1173feee66dcbff8c2534825b83fda4c12a75469cb9b49a4d94b441327dcf
SHA1: ddf1e9056f8da7c293b340503d3af6377d7bfd11
MD5sum: 16584aa34c0e953f0a9e8074aca6fa94
Description: spam-catcher using a collaborative filtering network
 Vipul's Razor is a distributed, collaborative, spam detection and
 filtering network. Razor establishes a distributed and constantly updating
 catalogue of spam in propagation. This catalogue is used by clients to
 filter out known spam. On receiving a spam, a Razor Reporting Agent (run
 by an end-user or a troll box) calculates and submits a 20-character unique
 identification of the spam (a SHA Digest) to its closest Razor
 Catalogue Server. The Catalogue Server echos this signature to other
 trusted servers after storing it in its database. Prior to manual
 processing or transport-level reception, Razor Filtering Agents (end-users
 and MTAs) check their incoming mail against a Catalogue Server and filter
 out or deny transport in case of a signature match. Catalogued spam, once
 identified and reported by a Reporting Agent, can be blocked out by the
 rest of the Filtering Agents on the network.

Package: rbbr
Version: 0.6.0-3
Installed-Size: 424
Maintainer: Dafydd Harries 
Architecture: all
Depends: ruby1.8, libgettext-ruby1.8 (>= 0.5.2), libgtk2-ruby (>= 0.9.1)
Recommends: ri
Size: 52676
SHA256: e8435d73713378b235b4f66390f9890cc25657be57f53da883c5d394e3231422
SHA1: f9e90acd80b84c03e649ad9085cbe69331e33170
MD5sum: 265ec2afdac7df582f2c8967709436fd
Description: a browser for Ruby classes and documentation
 Rbbr is an application for browsing Ruby's hierarchy of classes and modules
 and viewing their constants, methods and documentation.
Tag: devel::doc, devel::lang:ruby, role::program, scope::utility,
 uitoolkit::gtk, use::browsing, works-with::software:source
Section: ruby
Priority: extra
Filename: pool/main/r/rbbr/rbbr_0.6.0-3_all.deb

Package: rbenv
Version: 0.3.0-1
Installed-Size: 102
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Recommends: ruby1.8, ruby1.9.1
Size: 20518
SHA256: 7c180e0fd21b945648c842229252e408fce4d3243f8db686d9b93d5725e9c36a
SHA1: c92d2f9078cd665555b1b050d9c338646379ad6f
MD5sum: 5a8a86c45954f724b725f435b3770733
Description: simple per-user Ruby version manager
 rbenv lets you easily switch between multiple versions of Ruby. It's
 simple, unobtrusive, and follows the UNIX tradition of single-purpose
 tools that do one thing well.
 .
 This package also includes the rbenv-alternatives plugin, which hooks
 into the Debian alternatives system and makes the Ruby interpreters
 registered with update-alternatives available for use with rbenv.
Homepage: https://github.com/sstephenson/rbenv
Section: ruby
Priority: optional
Filename: pool/main/r/rbenv/rbenv_0.3.0-1_all.deb

Package: rblcheck
Version: 20020316-7
Architecture: armhf
Maintainer: Marco d'Itri 
Installed-Size: 95
Depends: libc6 (>= 2.13-28)
Conflicts: dnsutils (<< 1:9.0.0)
Replaces: dnsutils (<< 1:9.0.0)
Homepage: http://rblcheck.wiki.sourceforge.net/
Priority: extra
Section: net
Filename: pool/main/r/rblcheck/rblcheck_20020316-7_armhf.deb
Size: 36932
SHA256: e71d40d388afa700ae2793e87e91a4e736c9bd915550f17bd13013cef08c119d
SHA1: b81a16a225685106ee077415435cd9dc4f74683f
MD5sum: 5506d148b76265f7b4a1b24898a75a0c
Description: Tool to Query RBL Servers
 This program is a very basic interface to DNS Blackhole Lists.
 The basic idea of the filter is that when someone is blacklisted for
 email abuse of some sort, a new domain name is resolved of the form
 "2.0.0.127.domain.name.com", where 2.0.0.127 is the abusive IP address
 in reverse (for example, 2.0.0.127 would be the IP address 127.0.0.2),
 and "domain.name.com" is the base domain name of the filtering service.

Package: rbldnsd
Version: 0.996b
Architecture: armhf
Maintainer: Michael Tokarev 
Installed-Size: 170
Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4), adduser
Priority: optional
Section: net
Filename: pool/main/r/rbldnsd/rbldnsd_0.996b_armhf.deb
Size: 89872
SHA256: 8a6cb12cae6101d010ffd39f55d103f574bb2059c742fd3550b59a661f3a12dd
SHA1: 7a95f3bb7ab7aae357b7a7c0747d4f4f42a5d9e0
MD5sum: 1b099a64752040a71e8aacfae820cb9a
Description: small nameserver daemon designed for DNSBLs
 Rbldnsd is a small authoritate-only DNS nameserver
 designed to serve DNS-based blocklists (DNSBLs).
 It may handle IP-based and name-based blocklists.

Package: rbootd
Version: 2.0-10
Architecture: armhf
Maintainer: Martin Schulze 
Installed-Size: 90
Depends: netbase (>= 3.00), libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8)
Suggests: bootparamd, nfs-server
Priority: extra
Section: net
Filename: pool/main/r/rbootd/rbootd_2.0-10_armhf.deb
Size: 19750
SHA256: 5d2467a0ddfb1949f232588412a2a8457f272973ea69ed57eeb754e3fa108317
SHA1: d21732c4b16153afb9202daf7340f5bba27ba702
MD5sum: 16518216b678b69dbaa2b70d81bea04c
Description: Remote Boot Daemon
 The rbootd daemon is used for booting some HP workstations
 over the network (such as the 9000/300 and 9000/400 series).
 It can also boot PA RISC workstations.  It handles the first
 stage of the boot sequence and can be used to start booting
 Linux, NetBSD or HPUX.

Package: rbot
Version: 0.9.15+post20100705+gitb3aa806-3
Installed-Size: 1424
Maintainer: Marc Dequènes (Duck) 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-bdb | ruby-tokyocabinet
Recommends: ruby-gettext | libgettext-ruby1.8 | libgettext-ruby1.9.1, ruby-hpricot
Suggests: host, aspell, bsdmainutils, fortune-mod | fortune, toilet, libwww-mechanize-ruby1.8 | libwww-mechanize-ruby1.9.1, ruby-json
Size: 507764
SHA256: 9140537adc856300bfdb1c11d5f353d7e7a615c83ed708cec58274d7a546be6f
SHA1: d9941cfe9ab18122f456cbb06181723cc16ed728
MD5sum: 6d679a66768cc96dbd1576469ef193db
Description: IRC bot written in ruby
 Rbot is very extensible thanks to a well designed plugin
 scheme. It has native infobot-style keywords, fact database,
 access levels and multi-language support.
 .
 It comes with several classical plugins, like DNS queries,
 Babelfish translation, Google searching, Karma, Weather,
 quotes, slashdot news, and many more.
Homepage: http://ruby-rbot.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: implemented-in::ruby, interface::daemon, protocol::irc, role::program,
 use::chatting
Section: net
Priority: optional
Filename: pool/main/r/rbot/rbot_0.9.15+post20100705+gitb3aa806-3_all.deb

Package: rbot-doc
Source: rbot
Version: 0.9.15+post20100705+gitb3aa806-3
Installed-Size: 10923
Maintainer: Marc Dequènes (Duck) 
Architecture: all
Suggests: doc-base
Size: 7203228
SHA256: 38750ba0542ec865ab0400d1ec7cfceb09d7aa79653d4cf3ef08c02fa81a6ca0
SHA1: c0d56882dd98333f472cb7ab3cb0f5cbb119496b
MD5sum: 7b907094b2ba404d77ef4e5812f5fd94
Description: IRC bot written in ruby -- API documentation
 Rbot is very extensible thanks to a well designed plugin
 scheme. It has native infobot-style keywords, fact database,
 access levels and multi-language support.
 .
 It comes with several classical plugins, like DNS queries,
 Babelfish translation, Google searching, Karma, Weather,
 quotes, slashdot news, and many more.
 .
 This package procides the API documentation, useful for
 programming plugins.
Homepage: http://ruby-rbot.org/
Tag: devel::doc, devel::lang:ruby, made-of::html, protocol::irc,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/rbot/rbot-doc_0.9.15+post20100705+gitb3aa806-3_all.deb

Package: rc
Version: 1.7.1-4
Architecture: armhf
Maintainer: Jakub Wilk 
Installed-Size: 155
Depends: libc6 (>= 2.11), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0)
Priority: optional
Section: shells
Filename: pool/main/r/rc/rc_1.7.1-4_armhf.deb
Size: 79184
SHA256: 20abb3e5b8dec857082e1b4e220d05da9585ac70fbdb2d08567e3b2436dc5358
SHA1: 12d6dd0e662c6bc7da0e8aeff3d62090e39412c2
MD5sum: eb37a35bf8fdeab76cb911cc18ac8326
Description: implementation of the AT&T Plan 9 shell
 rc is a command interpreter and programming language similar to sh(1). It is
 based on the AT&T Plan 9 shell of the same name. The shell offers a C-like
 syntax (much more so than the C shell), and a powerful mechanism for
 manipulating variables. It is reasonably small and reasonably fast, especially
 when compared to contemporary shells. Its use is intended to be interactive,
 but the language lends itself well to scripts.

Package: rcconf
Version: 2.5
Installed-Size: 132
Maintainer: Atsushi KAMOSHIDA 
Architecture: all
Depends: whiptail | whiptail-provider | dialog, sysv-rc, perl, perl-modules
Conflicts: file-rc
Size: 23884
SHA256: 4d98ce885e2901de8c92057361186c5bfde83f9c1607ac569601be6cb92498b1
SHA1: 544c8d2e652d62157f020193983aa26e79f42c2f
MD5sum: fea9f65402d2e5707f3c17c032a0c6f3
Description: Debian Runlevel configuration tool
 This tool configures system services in connection with system
 runlevels.  It turns on/off services using the scripts in
 /etc/init.d/.  Rcconf works with System-V style runlevel configuration.
 It is a TUI(Text User Interface) frontend to the update-rc.d command.
Tag: admin::boot, admin::configuring, implemented-in::perl,
 interface::commandline, role::program, scope::utility, suite::debian,
 use::configuring
Section: admin
Priority: optional
Filename: pool/main/r/rcconf/rcconf_2.5_all.deb

Package: rcov
Version: 1.0-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 250
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1), ruby1.8, libjs-jquery, libjs-jquery-tablesorter
Homepage: http://github.com/relevance/rcov
Priority: optional
Section: ruby
Filename: pool/main/r/rcov/rcov_1.0-2_armhf.deb
Size: 55240
SHA256: a0bffaff3c4b9649e922881c4f8fe15fe1201d195a8ae1497ec635e0a52ad124
SHA1: f0117642ef5c87254fb570d5414d52a70810790b
MD5sum: 4f8071d86efd5b2771a26a22694d5fff
Description: Code coverage analysis tool for Ruby
 rcov is a code coverage tool for Ruby.  It is commonly used for viewing
 overall test unit coverage of target code.  This version improves on the
 original RCov tool by Mauricio Fernandez by providing a number of REXML
 Dependency fixes which were causing a significant number of RCov bugs.
 .
 Features include:
   * fast execution: 20-300 times faster than previous tools
   * multiple analysis modes: standard, bogo-profile, intentional testing,
     dependency analysis, and more
   * differential code coverage detection
   * accurate coverage information via code linkage inference
   * cross-referenced XHTML and several kinds of text reports
   * support for easy automation with Rake
   * colorblind-friendliness
Ruby-Versions: ruby1.8

Package: rcs
Version: 5.8.1-1
Architecture: armhf
Maintainer: Romain Francoise 
Installed-Size: 987
Depends: libc6 (>= 2.13-28)
Homepage: http://www.gnu.org/software/rcs/
Priority: optional
Section: vcs
Filename: pool/main/r/rcs/rcs_5.8.1-1_armhf.deb
Size: 535558
SHA256: c4f8c902feaea60c800f82095004abbd2aadc7fa3b0ddca4e8a6b6fa38c04ac3
SHA1: cbf02f010513a1d7328dd9c750c001f05697846f
MD5sum: 580cd3824c1406d423d52a3efbd9751c
Description: The GNU Revision Control System
 The Revision Control System (RCS) manages multiple
 revisions of files. RCS automates the storing, retrieval,
 logging, identification, and merging of revisions. RCS is
 useful for text that is revised frequently, for example
 programs, documentation, graphics, papers, and form letters.
 .
 Note: this package contains certain general-purpose commands
 (such as merge or ident) which may used by other programs
 installed on your system.

Package: rcs-blame
Version: 1.3.1-2
Architecture: armhf
Maintainer: Andrew Pollock 
Installed-Size: 219
Depends: libc6 (>= 2.4)
Enhances: rcs
Homepage: http://blame.sourceforge.net/
Priority: optional
Section: devel
Filename: pool/main/r/rcs-blame/rcs-blame_1.3.1-2_armhf.deb
Size: 56322
SHA256: 42e0bdd23370781eab6d8ac041b5f28eea518b4dee1e1032649f4d05764ff476
SHA1: 3dfd0b1bc716cf9a6ff9537c0998c82002471e81
MD5sum: 0069918d26f111de72b572c6cba70409
Description: display the last modification for each line in an RCS file
 Blame is the equivalent for CVS's annotate command.
 .
 An annotated RCS file describes the revision and date in which each line was
 added to the file, and the author of each line.

Package: rcs-latex
Version: 3.1.debian.1
Installed-Size: 27
Maintainer: Julian Gilbey 
Architecture: all
Depends: texlive-latex-recommended (>= 2012)
Size: 2908
SHA256: fc9900993e96e7e42955bbc1aedafc48021ba23097351283c85144a76d7635c6
SHA1: e21c304a3dde61307aa49a38d423d208657ab21c
MD5sum: 126c66f0f74076492d64db558ea01e03
Description: LaTeX macro package for handling RCS keywords (transitional package)
 This is a transitional package which depends on texlive-latex-recommended;
 that package now contains the rcs-latex package.
 .
 This allows the user to typeset RCS keywords in their document
 without being concerned about dollar signs and the like.
Tag: devel::rcs, interface::commandline, role::plugin, use::editing,
 works-with-format::tex, works-with::text
Section: oldlibs
Priority: extra
Filename: pool/main/r/rcs-latex/rcs-latex_3.1.debian.1_all.deb

Package: rdate
Version: 1:1.2-5
Architecture: armhf
Maintainer: Anibal Monsalve Salazar 
Installed-Size: 64
Depends: libbsd0 (>= 0.0), libc6 (>= 2.13-28)
Replaces: netstd
Homepage: http://sourceforge.net/projects/openrdate/
Priority: optional
Section: net
Filename: pool/main/r/rdate/rdate_1.2-5_armhf.deb
Size: 18254
SHA256: 998c43c4598433009596d9629436bc22fd573494b16ee0a42af68a4ceac9eaa8
SHA1: d4820d32c9ec81739a9696e14a44416a854ba7da
MD5sum: eb6f9971038356889307aa77bf54235e
Description: sets the system's date from a remote host
 rdate displays and sets the local date and time from the host name
 or address given as the argument. The time source may be an RFC 868
 TCP protocol server, which is usually implemented as a built-in
 service of inetd(8), or an RFC 2030 protocol SNTP/NTP server. By
 default, rdate uses the RFC 868 TCP protocol.

Package: rdd
Version: 2.0.7-2
Architecture: armhf
Maintainer: Danny van der Meeren 
Installed-Size: 142
Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), python
Priority: optional
Section: utils
Filename: pool/main/r/rdd/rdd_2.0.7-2_armhf.deb
Size: 54756
SHA256: b733e4e11b9c6fb83bd615e1286221d1f7f25b59123ac87ef8930054d1b96415
SHA1: e84971934b418dc75e7e387088f9fa7f477e8174
MD5sum: 9a51da74681ed859a56ccdd57c3c18a8
Description: a forensic copy program
 A forensic copy program developed at and used by
 the Netherlands Forensic Institute (NFI). Unlike most copy programs, rdd is
 robust with respect to read errors, which is an important property in a
 forensic operating environment.

Package: rdeliver
Source: rubyfilter
Version: 0.12-2
Installed-Size: 76
Maintainer: YAEGASHI Takeshi 
Architecture: all
Depends: ruby, librfilter-ruby1.8
Suggests: rubyfilter-doc
Size: 10674
SHA256: 014d8f6b4846096489ee5f335c4f86c72cc5af5660b2a7fb12ef6ed446508ac3
SHA1: 8e50842a5ec847cbf526927cb717c0bad54a9f49
MD5sum: 5a1da0222b4d2c0771598d257da684a9
Description: A fully functioning mail filter with RubyFilter
 rdeliver is a basic mail local delivery agent (DeliveryAgent of
 RubyFilter) that can be used in place of procmail, maildrop, etc. in a
 user's .forward or .qmail file.
 .
 The user supplies a delivery script that is written in Ruby, which
 avoids the limitations of the crippled mini-languages so often used in
 other DeliveryAgent programs.
Tag: implemented-in::ruby, mail::delivery-agent, mail::filters,
 role::program, scope::utility, works-with::mail
Section: mail
Priority: optional
Filename: pool/main/r/rubyfilter/rdeliver_0.12-2_all.deb

Package: rdesktop
Version: 1.7.1-1
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 390
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcsclite1, libssl1.0.0 (>= 1.0.0), libx11-6, libxrandr2
Suggests: pcscd
Priority: optional
Section: x11
Filename: pool/main/r/rdesktop/rdesktop_1.7.1-1_armhf.deb
Size: 164666
SHA256: 6b2c2b13da69af0df7aa3c1f12203010f85aaa6309ae2e16e8f88376c7eaa030
SHA1: b6b97ee81808cc9e52e67bd44649ddba40926aa0
MD5sum: 6d2024bfa56be9221a2036b0ff88e058
Description: RDP client for Windows NT/2000 Terminal Server and Windows Servers
 rdesktop is an open source client for Windows NT/2000 Terminal Server and
 Windows Server 2003/2008. Capable of natively speaking its Remote Desktop
 Protocol (RDP) in order to present the user's Windows desktop. Unlike Citrix
 ICA, no server extensions are required.

Package: rdfind
Version: 1.3.1-1
Architecture: armhf
Maintainer: TANIGUCHI Takaki 
Installed-Size: 125
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libnettle4, libstdc++6 (>= 4.4.0)
Homepage: http://rdfind.pauldreik.se/
Priority: extra
Section: utils
Filename: pool/main/r/rdfind/rdfind_1.3.1-1_armhf.deb
Size: 45774
SHA256: 19a3127676577c3c4a627101a37def6362f00d1bf3db78513a293b46c74af206
SHA1: 7487deead72a40525dd93942eb546b89f8076734
MD5sum: 5989ce90e13fa67d8d02bb11e8c88267
Description: find duplicate files utility
 rdfind is a program to find duplicate files and optionally list, delete
 them or replace them with symlinks or hard links.  It is a command
 line program written in c++, which has proven to be pretty quick compared
 to its alternatives.

Package: rdiff
Source: librsync
Version: 0.9.7-9
Architecture: armhf
Maintainer: Andrey Rahmatullin 
Installed-Size: 81
Depends: libc6 (>= 2.4), libpopt0 (>= 1.14), librsync1
Homepage: http://librsync.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/libr/librsync/rdiff_0.9.7-9_armhf.deb
Size: 42334
SHA256: c353ab5f4a66d5ba8af01e3198cb09bc35af4ede6d080ce0be708158541a54ce
SHA1: 36dc2668fdc7b3f6d90bad5991ac4f7d9f4397ab
MD5sum: 27b1469f0443d0e00a0ab9920d7687ad
Description: Binary diff tool for signature-based differences
 rdiff is a little like diff and patch all rolled into one, with support for
 binary files. Since it takes advantage of the rsync remote-delta algorithm, you
 do not need the source file in the same place as your destination file.
 .
 To use it, you generate a "signature" of the original file and copy the
 signature (which is very small) to the same location as the modified file. Then
 you generate a "delta" which describes the changes required to turn the source
 file into the modified file. The small delta file can then be used to "patch"
 the original file, to transform it into the modified file.

Package: rdiff-backup
Version: 1.2.8-7
Architecture: armhf
Maintainer: Python Applications Packaging Team 
Installed-Size: 691
Depends: libc6 (>= 2.4), librsync1 (>= 0.9.6), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8)
Recommends: python-pylibacl, python-pyxattr
Homepage: http://rdiff-backup.nongnu.org/
Priority: optional
Section: utils
Filename: pool/main/r/rdiff-backup/rdiff-backup_1.2.8-7_armhf.deb
Size: 205480
SHA256: 91746bbc4dbdef9be2e674f339805933696a94235a227a7627c5666c9e8fa6c4
SHA1: 2a75e5807ad4aeb509d42c6eaa8f614ae6ee354c
MD5sum: b87ea7c3e39fbc9e363e5f4d9b9bc8f0
Description: remote incremental backup
 rdiff-backup backs up one directory to another, possibly over a network. The
 target directory ends up a copy of the source directory, but extra reverse
 diffs are stored in a special subdirectory of that target directory, so you can
 still recover files lost some time ago. The idea is to combine the best
 features of a mirror and an incremental backup. rdiff-backup also preserves
 subdirectories, hard links, dev files, permissions, uid/gid ownership,
 modification times, extended attributes, acls, and resource forks.
 .
 Also, rdiff-backup can operate in a bandwidth efficient manner over a pipe,
 like rsync. Thus you can use rdiff-backup and ssh to securely back a hard drive
 up to a remote location, and only the differences will be transmitted. Finally,
 rdiff-backup is easy to use and settings have sensible defaults.

Package: rdiff-backup-fs
Version: 1.0.0-4
Architecture: armhf
Maintainer: Sergio Talens-Oliag 
Installed-Size: 88
Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), zlib1g (>= 1:1.2.3.3)
Conflicts: archfs
Replaces: archfs
Provides: archfs
Homepage: http://code.google.com/p/rdiff-backup-fs/
Priority: extra
Section: utils
Filename: pool/main/r/rdiff-backup-fs/rdiff-backup-fs_1.0.0-4_armhf.deb
Size: 28514
SHA256: 51afa646067f333d275ed839a1a043543dd0fc5501b77f373a9d89a3f79b29d1
SHA1: 8f2f94d91365830fc5a8500eac66a4ad1e55e412
MD5sum: 3292cc83db52365bbf1c5ebe45111f0c
Description: Fuse filesystem for accessing rdiff-backup archives
 rdiff-backup-fs is a filesystem in userspace  that  reads  rdiff-backup
 archives and provides convenient access for different revisions of the copied
 files and directories.

Package: rdist
Version: 6.1.5-18
Architecture: armhf
Maintainer: Anibal Monsalve Salazar 
Installed-Size: 169
Depends: libc6 (>= 2.13-28)
Replaces: netstd
Homepage: http://www.magnicomp.com/rdist/
Priority: optional
Section: net
Filename: pool/main/r/rdist/rdist_6.1.5-18_armhf.deb
Size: 83230
SHA256: 2347b2f20c9dd67a798370ef370192a99441b51775cec1d35eecfef74d98a2ff
SHA1: 8f4c4f803de1a8e1e01362a30f83be4e0d22b901
MD5sum: 7d5a80a6156abe4ed516224a9a4e7acf
Description: remote file distribution client and server
 Rdist is a program to maintain identical copies of files over multiple hosts.
 It preserves the owner, group, mode, and mtime of files if possible and can
 update programs that are executing.

Package: rdkit-data
Source: rdkit
Version: 201203-3
Installed-Size: 2907
Maintainer: Debichem Team 
Architecture: all
Size: 588226
SHA256: 091dd41e8831ab2b1119a0a8d6ca5e29bb9f5b098e2faa8416220e5b910f827a
SHA1: 4ee79e2845e2096009e1c5e0ad90f0ad7b3de8df
MD5sum: 565b85407ba385ae035ad24575197109
Description: Collection of cheminformatics and machine-learning software (data files)
 RDKit is a Python/C++ based cheminformatics and machine-learning software
 environment.  Features Include:
 .
  * Chemical reaction handling and transforms
  * Substructure searching with SMARTS
  * Canonical SMILES
  * Molecule-molecule alignment
  * Large number of descriptors
  * Fragmentation using RECAP rules
  * 2D coordinate generation and depiction
  * 3D coordinate generation using geometry embedding
  * UFF forcefield
  * Calculation of (R/S) stereochemistry codes
  * Pharmacophore searching
  * Calculation of shape similarity
  * Atom pairs and topological torsions fingerprints
  * Feature maps and feature-maps vectors
  * Machine-learning algorithms
  * Gasteiger-Marsili partial charge calculation
 .
 File formats RDKit supports include MDL Mol, SDF, TDT, SMILES and RDKit binary
 format.
 .
 This package contains data files.
Homepage: http://www.rdkit.org
Tag: role::app-data
Section: science
Priority: optional
Filename: pool/main/r/rdkit/rdkit-data_201203-3_all.deb

Package: rdkit-doc
Source: rdkit
Version: 201203-3
Installed-Size: 3329
Maintainer: Debichem Team 
Architecture: all
Size: 3010218
SHA256: 8f9ddb7f7ccd8d059114605c075dc72760046f550d14fd4e0b7a8f34f2f8f013
SHA1: cd6ad9cfd5de8d5c5508a859373cfe61bd20fe24
MD5sum: 06fe44e31e5e08b8ace728f0a23151cb
Description: Collection of cheminformatics and machine-learning software (documentation)
 RDKit is a Python/C++ based cheminformatics and machine-learning software
 environment.  Features Include:
 .
  * Chemical reaction handling and transforms
  * Substructure searching with SMARTS
  * Canonical SMILES
  * Molecule-molecule alignment
  * Large number of descriptors
  * Fragmentation using RECAP rules
  * 2D coordinate generation and depiction
  * 3D coordinate generation using geometry embedding
  * UFF forcefield
  * Calculation of (R/S) stereochemistry codes
  * Pharmacophore searching
  * Calculation of shape similarity
  * Atom pairs and topological torsions fingerprints
  * Feature maps and feature-maps vectors
  * Machine-learning algorithms
  * Gasteiger-Marsili partial charge calculation
 .
 File formats RDKit supports include MDL Mol, SDF, TDT, SMILES and RDKit binary
 format.
 .
 This package contains the documentation.
Homepage: http://www.rdkit.org
Tag: role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/rdkit/rdkit-doc_201203-3_all.deb

Package: rdmacm-utils
Source: librdmacm
Version: 1.0.15-1+deb7u1
Architecture: armhf
Maintainer: Roland Dreier 
Installed-Size: 119
Depends: libc6 (>= 2.13-28), libibverbs1 (>= 1.1.2), librdmacm1 (>= 1.0.15)
Homepage: http://www.openfabrics.org/
Priority: extra
Section: net
Filename: pool/main/libr/librdmacm/rdmacm-utils_1.0.15-1+deb7u1_armhf.deb
Size: 37990
SHA256: 93838767471efb23ed7ae9523bb3c0f91cdb773a0f3075dcf22b2902b482258d
SHA1: 0ce7f8dbe290f3fe3bfc67fc0b88568f2da35fd1
MD5sum: 6c22062e467a9de03560665e4518cadf
Description: Examples for the librdmacm library
 librdmacm is a library that allows applications to set up reliable
 connected and unreliable datagram transfers when using RDMA adapters.
 It provides a transport-neutral interface in the sense that the same
 code can be used for both InfiniBand and iWARP adapters.  The
 interface is based on sockets, but adapted for queue pair (QP) based
 semantics: communication must use a specific RDMA device, and data
 transfers are message-based.
 .
 librdmacm only provides communication management (connection setup
 and tear-down) and works in conjunction with the verbs interface
 provided by libibverbs, which provides the interface used to actually
 transfer data.
 .
 This package contains useful librdmacm1 example programs such as
 rping and udaddy.

Package: rdnssd
Source: ndisc6
Version: 1.0.1-1
Architecture: armhf
Maintainer: Rémi Denis-Courmont 
Installed-Size: 104
Pre-Depends: adduser
Depends: libc6 (>= 2.4)
Recommends: resolvconf
Suggests: ndisc6
Homepage: http://www.remlab.net/ndisc6/
Priority: optional
Section: net
Filename: pool/main/n/ndisc6/rdnssd_1.0.1-1_armhf.deb
Size: 44022
SHA256: f53b982ec51c43b0e67481ec2b8f2682cdadd8deed87006558f23d1aca324f88
SHA1: 3f80b5ef390e45b83bc8c8a4b592891505f987f3
MD5sum: e2f0aca5e21e2cec51a47541d5988e14
Description: IPv6 recursive DNS server discovery daemon
 rdnssd autoconfigures recursive DNS servers on IPv6 networks
 using ICMPv6 Neighbor Discovery (RFC 5006), and can update the
 DNS resolvers configuration (/etc/resolv.conf) accordingly.

Package: rdtool
Version: 0.6.34-4
Installed-Size: 149
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-rd (= 0.6.34-4)
Size: 46916
SHA256: c6a54c9dce958b74f5cba79e6b6603cb2b206f08c24b9788a05dcd379f68ff85
SHA1: a2d30dbafe244330e07e2aeb71ff5811af4a5185
MD5sum: 11cd643f0a7573d964392c00042d1121
Description: RD document formatter
 RD is multipurpose documentation format created for documentating Ruby and
 output of Ruby world.
 .
 You can embed RD into Ruby script. And RD have neat syntax which help you
 to read document in Ruby script. On the other hand, RD have a feature for
 class reference.
 .
 RDtool is one of frontends of formatter for RD.  This package provides rd2
 command.
Homepage: http://uwabami.github.com/rdtool/
Tag: devel::docsystem, devel::lang:ruby, interface::commandline,
 role::program, scope::utility, use::converting,
 works-with::software:source
Section: text
Priority: optional
Filename: pool/main/r/rdtool/rdtool_0.6.34-4_all.deb

Package: rdtool-elisp
Source: rdtool
Version: 0.6.34-4
Installed-Size: 96
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: emacs23 | emacsen
Suggests: rdtool
Size: 16526
SHA256: ab470309b8042942da0c4c44ad71b61bc519f2ade304e52616f287bed9fb5f14
SHA1: 785a6c3c8b893f843025c511624cdedc22fe33e1
MD5sum: e77d2209e987b1226350e3a31c372af3
Description: Emacs-lisp rd-mode for writing RD document
 RD is multipurpose documentation format created for documentating Ruby and
 output of Ruby world.
 .
 You can embed RD into Ruby script. And RD have neat syntax which help you
 to read document in Ruby script. On the other hand, RD have a feature for
 class reference.
 .
 This package provides Emacs major-mode for RD editing.
Homepage: http://uwabami.github.com/rdtool/
Tag: devel::docsystem, devel::lang:ruby, implemented-in::lisp,
 interface::commandline, role::plugin, suite::emacs,
 works-with::software:source
Section: text
Priority: optional
Filename: pool/main/r/rdtool/rdtool-elisp_0.6.34-4_all.deb

Package: rdup
Version: 1.1.11-1
Architecture: armhf
Maintainer: Jose Carlos Garcia Sogo 
Installed-Size: 137
Depends: libarchive12, libc6 (>= 2.4), libglib2.0-0 (>= 2.12.0), libnettle4, libpcre3 (>= 8.10), bash (>= 3.0.0)
Homepage: http://miek.nl/projects/rdup/
Priority: optional
Section: utils
Filename: pool/main/r/rdup/rdup_1.1.11-1_armhf.deb
Size: 51704
SHA256: b3f3dad8956e11b83dcb8fe0d889bf7ad995186dcd5f6254e89fec18e9c9f843
SHA1: 0a035cf9e44d518020741a7e47927069b1137a4b
MD5sum: 0768e18af55ba45893ddcc338d75ffdf
Description: utility to create a file list suitable for making backups
 rdup is a utility inspired by rsync and the plan9 way of doing
 things. rdup itself does not backup anything. It only prints a list
 of files that are changed, or all files in case of a null dump. It
 also handles files that are removed, allowing for correct incremental
 backups.

Package: re
Version: 0.1-5
Architecture: armhf
Maintainer: Aigars Mahinovs 
Installed-Size: 113
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0)
Priority: optional
Section: text
Filename: pool/main/r/re/re_0.1-5_armhf.deb
Size: 39170
SHA256: e992dabfe8e16cec41aec664a3d7f1fb22e0e72ed60a431f3905570022ec6e17
SHA1: 1b768b8fd78850b26643e3abfd2de72e35d7d956
MD5sum: 2ddb686ceb97610624be3d38b263ee81
Description: Russian Anywhere -- Russian text converter
 Russian Anywhere is a Russian character decoding program. It allows to
 transfer Russian characters between different codepages. It's main
 purpose is to convert damaged Russian e-mail messages to readable form and
 it also may be used to convert files from/to known and unknown codepages.

Package: re2c
Version: 0.13.5-1
Architecture: armhf
Maintainer: Robert S. Edmonds 
Installed-Size: 376
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6)
Homepage: http://re2c.sourceforge.net/
Priority: optional
Section: devel
Filename: pool/main/r/re2c/re2c_0.13.5-1_armhf.deb
Size: 202408
SHA256: b89dc6690f0ae894caaa9efd5668faecbbfd9d907eb7fe5efaa94dc36551642c
SHA1: d05eed80d182b2c8afc9211305aa6c0c366d25cb
MD5sum: 1f3e3658ca9f2887ca06ec2fb593efbd
Description: tool for generating fast C-based recognizers
 re2c is a great tool for writing fast and flexible lexers. Unlike
 other such tools, re2c concentrates solely on generating efficient
 code for matching regular expressions. Not only does this singleness
 make re2c more suitable for a wider variety of applications, it
 allows us to generate scanners which approach hand-crafted ones in
 terms of size and speed.

Package: read-edid
Version: 2.0.0-3.1
Architecture: armhf
Maintainer: LIU Qi 
Installed-Size: 47
Depends: libc6 (>= 2.4)
Homepage: http://www.polypux.org/projects/read-edid/
Priority: optional
Section: utils
Filename: pool/main/r/read-edid/read-edid_2.0.0-3.1_armhf.deb
Size: 8868
SHA256: 7d56110e1224b8193fcd0a39effd80abde621ec5a14c84973faee728a6932c29
SHA1: efb55e26a231def21ee0dd9205a40b9c6553b81b
MD5sum: 00a7add17bbe4b5c19518c5dbd8e9fa3
Description: hardware information-gathering tool for VESA PnP monitors
 read-edid consists of two tools:
 .
 get-edid uses a VESA VBE 2 interrupt service routine request to read
 a 128 byte EDID version 1 structure from your graphics card, which
 retrieves this information from the monitor via the Data Display
 Channel (DDC).
 .
 get-edid uses architecture-specific methods for querying the video
 hardware (real-mode x86 instructions on i386, Open Firmware device
 tree parsing on PowerMac) and is therefore only available for i386 and
 powerpc architectures.
 .
 parse-edid parses this data structure and outputs data suitable for
 inclusion into the XFree86 or X.org configuration file. It is available
 for any architecture.

Package: readahead-fedora
Version: 2:1.5.6-4
Architecture: armhf
Maintainer: Raphael Geissert 
Installed-Size: 154
Depends: e2fslibs (>= 1.42.2), libaudit0 (>= 1.7.13), libblkid1 (>= 2.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), dpkg (>= 1.15.7.2), initscripts (>= 2.88dsf-13.3)
Conflicts: readahead, sreadahead, ureadahead
Replaces: readahead
Provides: readahead
Homepage: https://fedorahosted.org/readahead/
Priority: optional
Section: admin
Filename: pool/main/r/readahead-fedora/readahead-fedora_1.5.6-4_armhf.deb
Size: 40688
SHA256: 15456ff2667aaf0babac08973085a4b9cd2bd4896acb90c81b0985db3fd0949b
SHA1: 84034ab4c4c5be38e56ec2b7f16d7111c9901f44
MD5sum: 33dac97a12cfb147526f8bce3e22d030
Description: Fedora's implementation of readahead to preload boot process files
 "readahead" is the term used to refer to a tool that preloads files in
 this case used during the boot process to make the system boot faster.
 .
 This implementation of the tool provides the following features:
  * It can take multiple lists of files to be preloaded, and sort them
    according to their position on the disk.
  * It preloads the inode tables on ext2-based file systems.
  * It opens the files without making the system update their access
    time, therefore avoiding extra disk writes.
  * It uses a lightweight monitoring daemon.

Package: readline-common
Source: readline6
Version: 6.2+dfsg-0.1
Installed-Size: 80
Maintainer: Matthias Klose 
Architecture: all
Replaces: libreadline-common, libreadline4 (<< 4.3-16), libreadline5 (<< 5.0-11)
Depends: dpkg (>= 1.15.4) | install-info
Conflicts: libreadline-common, libreadline5 (<< 5.0-11)
Size: 31864
SHA256: 6adeb7f5e5c28bf641755c2397c1fa229f0816437b897fd5df1f2d064ae239e9
SHA1: eef5e1b42067b11b83a879e3accb9fa010123b95
MD5sum: 9d8e65682781bc2768d829764452feef
Description: GNU readline and history libraries, common files
 The GNU readline library aids in the consistency of user interface
 across discrete programs that need to provide a command line
 interface.
 .
 The GNU history library provides a consistent user interface for
 recalling lines of previously typed input.
Multi-Arch: foreign
Tag: interface::commandline, role::app-data, suite::gnu
Section: utils
Priority: important
Filename: pool/main/r/readline6/readline-common_6.2+dfsg-0.1_all.deb

Package: readpst
Source: libpst
Version: 0.6.54-4.1
Installed-Size: 21
Maintainer: Leo Costela 
Architecture: all
Depends: pst-utils
Size: 908
SHA256: 90969294c5c5a1ee5a6e3f57bf852193c2dc2f9846183ff6469e3dae6acd51c4
SHA1: e6133fd3e51a8c21de12252974c019d868e3b6ac
MD5sum: 81ff74c2a6a47a114aca7d83aacfd659
Description: Converts Outlook PST files to mbox and others
 This is a transitional dummy package for pst-utils.
Homepage: http://www.five-ten-sg.com/libpst/
Tag: implemented-in::c, interface::commandline, role::program,
 scope::utility, use::converting, works-with::mail
Section: utils
Priority: optional
Filename: pool/main/libp/libpst/readpst_0.6.54-4.1_all.deb

Package: readseq
Version: 1-9
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 125
Depends: libc6 (>= 2.13-28), libncbi6 (>= 6.1.20030421)
Homepage: http://iubio.bio.indiana.edu/soft/molbio/readseq/
Priority: optional
Section: science
Filename: pool/main/r/readseq/readseq_1-9_armhf.deb
Size: 57136
SHA256: 271e12926e40b979eab93bc8f0c33671d0c7c6392b29f7fc82f5a8d29154b10b
SHA1: f7e824c82c5089f0884651d567412e1570855153
MD5sum: 648240c5bf1e0853aef6d068208a2b61
Description: Conversion between sequence formats
 Reads and writes nucleic/protein sequences in various
 formats. Data files may have multiple sequences.
 Readseq is particularly useful as it automatically detects many
 sequence formats, and converts between them.

Package: realpath
Version: 1.18
Architecture: armhf
Maintainer: Robert Luberda 
Installed-Size: 111
Depends: libc6 (>= 2.13-28)
Replaces: dwww (<= 1.6.10)
Multi-Arch: foreign
Priority: optional
Section: utils
Filename: pool/main/r/realpath/realpath_1.18_armhf.deb
Size: 16328
SHA256: 95059c9ec66de6a3c3f0845078064023ade4867e429fff89128337a7ae19cb25
SHA1: aa91171ecd03c1ceeaa213c59efed4c935dade41
MD5sum: d422ea4fb42e45870b6bacf745021a2a
Description: Return the canonicalized absolute pathname
 The package contains a small utility realpath, which converts each
 pathname argument to an absolute pathname, which has no components
 that are symbolic links or the special .  or ..  directory entries.
 .
 This utility provides mostly the same functionality as `/bin/readlink -f'
 in the coreutils package.

Package: realtimebattle
Version: 1.0.8-13
Architecture: armhf
Maintainer: Rémi Vanicat 
Installed-Size: 837
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libstdc++6 (>= 4.6), realtimebattle-common (= 1.0.8-13)
Priority: optional
Section: games
Filename: pool/main/r/realtimebattle/realtimebattle_1.0.8-13_armhf.deb
Size: 346232
SHA256: 281c74518e50669be84a3e64b5a75edec4a6fc4a9d519be7e5db06f2ac5bb645
SHA1: 230088ee7ab20f23a9a3660d11cf02570401a6ce
MD5sum: b1a2963dad38611ef21a36a6a83c82f9
Description: Programming game
 RealTimeBattle is a programming game in which robots controlled by programs
 are fighting each other. The goal is to destroy the enemies, using the
 radar to examine the environment and the cannon to shoot.
 .
 Robot programs can be written in any language, all communication
 with the main program is done via stdout/stdin.
 .
 This package contain the main program, and some Robot.

Package: realtimebattle-common
Source: realtimebattle
Version: 1.0.8-13
Installed-Size: 1005
Maintainer: Rémi Vanicat 
Architecture: all
Replaces: realtimebattle (<= 1.0.7-3)
Depends: dpkg (>= 1.15.4) | install-info
Suggests: default-jre-headless | java-virtual-machine, python
Size: 437942
SHA256: e660f7cb9ff9676efb9381b9e739ed725747989076c64c97fd809b6204101d1a
SHA1: f5b31c81213b5e916102b0b9bed0ba1bc97789ca
MD5sum: 7e2c898edf89780bf55bfe023c9a8a74
Description: Programming game
 RealTimeBattle is a programming game in which robots controlled by programs
 are fighting each other. The goal is to destroy the enemies, using the
 radar to examine the environment and the cannon to shoot.
 .
 Robot programs can be written in any language, all communication
 with the main program is done via stdout/stdin.
 .
 This package contain some Robot and data that are useful for
 realtimebattle
Tag: devel::lang:java, devel::lang:perl, game::strategy, interface::x11,
 network::client, role::app-data, role::program, use::gameplaying,
 x11::application
Section: games
Priority: optional
Filename: pool/main/r/realtimebattle/realtimebattle-common_1.0.8-13_all.deb

Package: reaver
Version: 1.4-2
Architecture: armhf
Maintainer: Bartosz Fenski 
Installed-Size: 569
Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), libsqlite3-0 (>= 3.5.9)
Homepage: http://code.google.com/p/reaver-wps/
Priority: extra
Section: net
Filename: pool/main/r/reaver/reaver_1.4-2_armhf.deb
Size: 201600
SHA256: e6d782b214ccbd9c21899fec7fc4c10ccc6389d765c8f1cc52781e1a6daafb9b
SHA1: f10762362f890dd261254b0b9c237192cdd38e4b
MD5sum: 6bed29f1198dee5a5f30dcf5d39e8679
Description: brute force attack tool against Wifi Protected Setup PIN number
 Reaver performs a brute force attack against an access point's WiFi
 Protected Setup pin number.
 Once the WPS pin is found, the WPA PSK can be recovered and alternately
 the AP's wireless settings can be reconfigured.

Package: rebuildd
Version: 0.4.1.1
Installed-Size: 235
Maintainer: Julien Danjou 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), lsb-base, python-sqlobject, python-apt (>= 0.7.91~)
Recommends: pbuilder, python-gdchart2, python-webpy
Suggests: cowdancer
Size: 28350
SHA256: bb4dd96d4618b34414bb9e5c5f465df22502607d051dac542bd85919415ec709
SHA1: 708f8097372f06a957890a521bd9717994431d11
MD5sum: c3101032fcbf72fbf164b496a6414488
Description: build daemon aiming at rebuilding Debian packages
 This software allows you to manage a set of jobs. Each job is a package
 rebuilding task. Rebuilding is done by pbuilder (or cowbuilder if you want),
 or anything else, since everything is customizable via configuration file.
 It can also send build logs by email, event each log can be sent to a different
 email address.
 .
 rebuildd is multi-threaded, so you can run multiple build jobs in parallel.
 It is also administrable via a telnet interface. A Web interface is also
 embedded so you can see your jobs queue and watch log file in real-time in your
 browser.
 .
 rebuildd is designed to be run on multiple hosts even with different
 architecture set, and to parallelize the rebuild tasks.
Homepage: http://julien.danjou.info/software/rebuildd
Tag: devel::buildtools, devel::debian, devel::packaging,
 implemented-in::python, interface::daemon, interface::web,
 role::program, suite::debian
Section: devel
Priority: extra
Filename: pool/main/r/rebuildd/rebuildd_0.4.1.1_all.deb

Package: recite
Version: 1.0-8.2
Architecture: armhf
Maintainer: Sam Hocevar (Debian packages) 
Installed-Size: 135
Depends: libc6 (>= 2.7)
Priority: optional
Section: sound
Filename: pool/main/r/recite/recite_1.0-8.2_armhf.deb
Size: 54128
SHA256: a782a5210d5c7b610305b42a1d5916215f8b1e3fa9917dec8d80727ca0d8be97
SHA1: 76f5e489028280fab368aff164587b0443ac5ab2
MD5sum: 8a038518f7b2fcbe4f35000bbc1daa90
Description: English text speech synthesizer
 Recite is a program to do speech synthesis.  The quality of sound
 produced is not terribly good, but it should be adequate for reporting
 the occasional error message verbally.
 .
 Given some English text, recite will convert it to a series of phonemes,
 then convert the phonemes to a sequence of vocal tract parameters, and
 then synthesise the sound a vocal tract would make to say the sentence.
 Recite can perform a subset of these operations, so it can be used to
 convert text into phonemes, or to produce an utterance based on vocal
 tract parameters computed by another program.

Package: recode
Version: 3.6-20
Architecture: armhf
Maintainer: Santiago Vila 
Installed-Size: 776
Depends: libc6 (>= 2.13-28), librecode0 (>= 3.6), dpkg (>= 1.15.4) | install-info
Priority: optional
Section: text
Filename: pool/main/r/recode/recode_3.6-20_armhf.deb
Size: 209378
SHA256: 7ddeb23adb44b154a2a2ea27ffae3657405bbe797f349188e981d905b5704770
SHA1: 69e935f4b058f328dc8c5350f11b696a3975e041
MD5sum: 9aaa214ae29a7057121cbdd84ef39edc
Description: Character set conversion utility
 Free `recode' converts files between character sets and usages.  When
 exact transliterations are not possible, it may get rid of the
 offending characters or fall back on approximations.  This program
 recognizes or produces nearly 300 different character sets and is able
 to transliterate files between almost any pair.  Most RFC 1345
 character sets, and all `libiconv' character sets, are supported.

Package: recode-doc
Source: recode
Version: 3.6-20
Installed-Size: 908
Maintainer: Santiago Vila 
Architecture: all
Size: 144124
SHA256: 57cedf8670155ea35ca20551da08c1ede2c9dd582b8487290c8efa2ca6ebfe63
SHA1: d2ce3bbd27dcbb9bc0fad018fa27adf38a1dced3
MD5sum: 1767eef9da0f4aeb43e273ac9047d0af
Description: Documentation for Free recode
 This package contains the HTML documentation for Free recode.
Tag: devel::i18n, interface::commandline, made-of::html, role::documentation,
 use::converting, works-with::text
Section: doc
Priority: optional
Filename: pool/main/r/recode/recode-doc_3.6-20_all.deb

Package: recoll
Version: 1.17.3-2
Architecture: armhf
Maintainer: Kartik Mistry 
Installed-Size: 4022
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.6), libx11-6, libxapian22, zlib1g (>= 1:1.2.0)
Recommends: aspell, python, xdg-utils, xsltproc
Suggests: antiword, catdoc, ghostscript, libimage-exiftool-perl, poppler-utils, pstotext, python-chm, python-mutagen, unrtf, untex
Homepage: http://www.lesbonscomptes.com/recoll
Priority: optional
Section: x11
Filename: pool/main/r/recoll/recoll_1.17.3-2_armhf.deb
Size: 1680324
SHA256: 702d856262030af31f55870671e43450488d104817712620ad9b4c5f1fbf9deb
SHA1: 99ee53bdff9df754a126c8a8c35e6f6a17c52177
MD5sum: fc4b45a3c66497b3c76262fd299c3b75
Description: Personal full text search package with a Qt GUI
 This package is a personal full text search package is based on a very strong
 backend (Xapian), for which it provides an easy to use and feature-rich
 interface.
 .
 Features:
  * Qt-based GUI
  * Supports the following document types (and their compressed versions)
   - Natively: text, html, OpenOffice files, maildir and mailbox (Mozilla and
     IceDove mail) with attachments, pidgin log files
   - With external helpers:  pdf (pdftotext), postscript (ghostscript), msword
     (antiword), excel, ppt (catdoc), rtf (unrtf)
  * Powerful query facilities, with boolean searches, phrases, filter on file
    types and directory tree
  * Support for multiple charsets, Internal processing and storage uses Unicode
    UTF-8
  * Stemming performed at query time (can switch stemming language after
    indexing)
  * Easy installation. No database daemon, web server or exotic language
    necessary
  * An indexer which runs either as a thread inside the GUI or as an external,
    cron'able program

Package: reconf-inetd
Version: 1.120603
Installed-Size: 127
Maintainer: Serafeim Zanikolas 
Architecture: all
Depends: python (<< 3)
Suggests: doc-base
Size: 21270
SHA256: 25e668afc05038b43de2ba2831bcb2dc8f01f27be741509642570e515008e90e
SHA1: f8af6facde2df80695615c15a0a18be0ad78944a
MD5sum: c3d556b441c978d50c15aa528042a0d0
Description: maintainer script for programmatic updates of inetd.conf
 reconf-inetd is invoked by maintainer scripts to update the configuration of
 the internet superserver. It is a replacement for update-inetd, as per DEP9.
 .
 If the above does not mean anything to you, then you most certainly do not
 need this package.
Multi-Arch: foreign
Tag: admin::configuring, implemented-in::python
Section: net
Priority: optional
Filename: pool/main/r/reconf-inetd/reconf-inetd_1.120603_all.deb

Package: recordmydesktop
Version: 0.3.8.1+svn602-1
Architecture: armhf
Maintainer: José L. Redrejo Rodríguez 
Installed-Size: 126
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libogg0 (>= 1.0rc3), libpopt0 (>= 1.14), libsm6, libtheora0 (>= 0.0.0.alpha7.dfsg), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libx11-6, libxdamage1 (>= 1:1.1), libxext6, libxfixes3, zlib1g (>= 1:1.1.4)
Conflicts: gtk-recordmydesktop (<< 0.3.5)
Homepage: http://recordmydesktop.sourceforge.net
Priority: optional
Section: video
Filename: pool/main/r/recordmydesktop/recordmydesktop_0.3.8.1+svn602-1_armhf.deb
Size: 56230
SHA256: d41596d24678ac1ba2cf55806caafb1cf6b1279e1ee69299f56b7ab9b8807ac6
SHA1: ee0ae00ddc40b2f55b824f988fb4c0ca95f2ac26
MD5sum: 4b66eb51a073463ecbb86867727231c4
Description: Captures audio-video data of a Linux desktop session
 The application produces an ogg-encapsulated theora-vorbis file.
 recordMyDesktop tries to be as unobstrusive as possible by proccessing only
 regions of the screen that have changed

Package: recover
Version: 1.3c-11
Architecture: armhf
Maintainer: Luca Bruno 
Installed-Size: 71
Depends: libc6 (>= 2.7)
Priority: optional
Section: admin
Filename: pool/main/r/recover/recover_1.3c-11_armhf.deb
Size: 16314
SHA256: 4095672766e8c4b164b7b1478b6fa4a4a415e61bbf502fbf8b5e6b71031289dc
SHA1: 6063e6e629b475924ab7c4e4513526857c544d55
MD5sum: 40c3e5551edff2ffe91c0244934db66e
Description: Undelete files on ext2 partitions
 Recover automates some steps as described in the ext2-undeletion
 howto. This means it seeks all the deleted inodes on your hard drive
 with debugfs. When all the inodes are indexed, recover asks you some
 questions about the deleted file. These questions are:
   * Hard disk device name
   * Year of deletion
   * Month of deletion
   * Weekday of deletion
   * First/Last possible day of month
   * Min/Max possible file size
   * Min/Max possible deletion hour
   * Min/Max possible deletion minute
   * User ID of the deleted file
   * A text string the file included (can be ignored)
 .
 If recover found any fitting inodes, it asks to give a directory name
 and dumps the inodes into the directory. Finally it asks you if you
 want to filter the inodes again (in case you typed some wrong
 answers).
 .
 Note that recover works only with ext2 filesystems - it does not support
 ext3.
 .
 http://recover.sourceforge.net/linux/recover/

Package: recoverdm
Version: 0.20-2
Architecture: armhf
Maintainer: Debian Forensics 
Installed-Size: 69
Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0)
Homepage: http://www.vanheusden.com/recoverdm/
Priority: optional
Section: utils
Filename: pool/main/r/recoverdm/recoverdm_0.20-2_armhf.deb
Size: 17816
SHA256: 55d11293e859a1a8bc7fccce5326c020b6eafce49b1060f8f7c509808bcb2253
SHA1: d63e30872b0ab454dd7f6a726fa1dfafb88b99da
MD5sum: 9f0ef387d4abbe36ec20cae75090ac11
Description: recover files/disks with damaged sectors
 This program will help you recover disks with bad sectors. You can recover
 files as well complete devices. In case if finds sectors which simply cannot be
 recoverd, it writes an empty sector to the outputfile and continues.
 .
 If you're recovering a CD or a DVD and the program cannot read the sector in
 "normal mode", then the program will try to read the sector in "RAW mode"
 (without error-checking etc.). This toolkit also has a utility called
 'mergebad' which merges multiple images into one.

Package: recoverjpeg
Version: 2.0-3.1
Architecture: armhf
Maintainer: William Vera 
Installed-Size: 77
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Suggests: imagemagick, exif, python (>= 2.5.4-2)
Homepage: http://www.rfc1149.net/devel/recoverjpeg
Priority: optional
Section: graphics
Filename: pool/main/r/recoverjpeg/recoverjpeg_2.0-3.1_armhf.deb
Size: 23818
SHA256: 6abec4db1397f7c421e3c207b115da42504542559aeac5ccfdcce2ef5e4548f8
SHA1: cbbaaa350c5790f2a483b80d7953a3e273cf61b8
MD5sum: a31d548e5f3b8c728e7313c7d5bdc79e
Description: tool to recover JPEG images from a filesystem image
 Recoverjpeg tries to identify jpeg pictures from a filesystem image.
 To achieve this goal, it scans the filesystem image and looks for a
 jpeg structure at blocks starting at 512 bytes boundaries.

Package: recutils
Version: 1.5-1
Architecture: armhf
Maintainer: Sven Wick 
Installed-Size: 1080
Depends: libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libgpg-error0 (>= 1.10), libmdb2 (>= 0.5.99.0.6pre1.0.20051109), libreadline6 (>= 6.0), librec0, dpkg (>= 1.15.4) | install-info
Homepage: http://www.gnu.org/software/recutils/
Priority: extra
Section: database
Filename: pool/main/r/recutils/recutils_1.5-1_armhf.deb
Size: 378962
SHA256: 12eba946a8ec5ff306a765275313c6eeab4e1d21cc9c5ebc08155f27a66fe64b
SHA1: df93cf40b3c8ed01d56bbe883733da66da76c313
MD5sum: af326052b9612d31880d95566b1027e0
Description: text-based databases called recfiles
 GNU Recutils is a set of tools and libraries
 to access human-editable, text-based databases called recfiles.
 .
 The data is stored as a sequence of records,
 each record containing an arbitrary number of named fields.
 .
 Despite its simplicity,
 recfiles can be used to store medium-sized databases.

Package: red5-doc
Source: red5
Version: 1.0~svn4374-1
Installed-Size: 24997
Maintainer: Damien Raude-Morvan 
Architecture: all
Recommends: default-jdk-doc, groovy-doc, liblog4j1.2-java-doc, libmina2-java-doc
Suggests: libred5-java (= 1.0~svn4374-1)
Size: 1778636
SHA256: d1afdabf8bda417f540e5d3234ff19c936f681a3ef05c75625bc6ead37f56957
SHA1: f1e6ac06394a1328ebea1aeb72205ab3b948a146
MD5sum: d261abe400c099edd44989609ce74f52
Description: flash streaming server - documentation
 Red5 is a Java implementation of a Flash Media Server based on
 reverse engineering of RTMP and AMF protocols.
 .
 It support streaming video/audio/data to a Flash client and
 recording audio/video broadcast from a Flash client.
 .
 Any flash client is supported, including Gnash flash client and
 Adobe Flash Player.
 .
 This package contains documentation about Red5.
Homepage: http://www.red5.org/
Tag: made-of::html, network::server, role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/red5/red5-doc_1.0~svn4374-1_all.deb

Package: red5-server
Source: red5
Version: 1.0~svn4374-1
Installed-Size: 349
Maintainer: Damien Raude-Morvan 
Architecture: all
Depends: adduser (>= 3.11), default-jre-headless (>= 1:1.6) | java6-runtime-headless, libred5-java (= 1.0~svn4374-1), libtomcat6-java (>= 6.0.20-7)
Conflicts: red5
Size: 127028
SHA256: 846b07104d496250be393404bcc04b42592ee7dff154e188fc351c265f488979
SHA1: 1b8223e7be8dee09923db7676f057ad7afc13474
MD5sum: 7a35411fb562ca05ad7835799072caf8
Description: flash streaming server
 Red5 is a Java implementation of a Flash Media Server based on
 reverse engineering of RTMP and AMF protocols.
 .
 It support streaming video/audio/data to a Flash client and
 recording audio/video broadcast from a Flash client.
 .
 Any flash client is supported, including Gnash flash client and
 Adobe Flash Player.
Homepage: http://www.red5.org/
Tag: network::server, role::program, scope::application, use::transmission,
 works-with-format::swf, works-with::audio, works-with::video
Section: java
Priority: optional
Filename: pool/main/r/red5/red5-server_1.0~svn4374-1_all.deb

Package: redet
Version: 8.26-1.1
Installed-Size: 1696
Maintainer: Bartosz Fenski 
Architecture: all
Depends: tk8.5, iwidgets4
Suggests: redet-doc, dillo
Size: 303928
SHA256: 8ceec85aca9e3ec923ac660734e2f33ad7275ebcbf90cc0ec17b3505c6c071ed
SHA1: 641e625034b5d6615d51c8c36fff7b3ce364ed65
MD5sum: 52d30bc582e035360d47cf41c5a5ca77
Description: regular expression development and execution tool
 Redet allows the user to construct regular expressions and test them against
 input data by executing any of a variety of search programs, editors,
 and programming languages that make use of regular expressions. When a
 suitable regular expression has been constructed it may be saved to a file.
 .
 Redet stands for Regular Expression Development and Execution
 Tool. For each program, a palette showing the available regular expression
 syntax is provided. Selections from the palette may be copied to the
 regular expression window with a mouse click. Users may add their own
 definitions to the palette via their initialization file.
 Redet also keeps a list of the regular expressions executed, from which
 entries may be copied back into the regular expression under construction.
 The history list is saved to a file and restored on startup, so it
 persists across sessions.
 .
 So long as the underlying program supports Unicode, Redet allows UTF-8
 Unicode in both test data and regular expressions.
Homepage: http://www.billposer.org/Software/redet.html
Tag: implemented-in::tcl, role::program
Section: x11
Priority: optional
Filename: pool/main/r/redet/redet_8.26-1.1_all.deb

Package: redet-doc
Source: redet
Version: 8.26-1.1
Installed-Size: 6452
Maintainer: Bartosz Fenski 
Architecture: all
Suggests: redet
Size: 5199932
SHA256: fc7713d65acd0179c955ed9e2d1fa768af5d0e6d40fb0e779b99e7e716c40861
SHA1: 4b3c590d1e6961e2565adfba35dc733d8db85126
MD5sum: ba10881f1b704c5235638997a42be33d
Description: regular expression development and execution tool (documentation)
 Documentation for the Redet (regular expression development and execution
 tool.
Homepage: http://www.billposer.org/Software/redet.html
Tag: devel::doc, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/redet/redet-doc_8.26-1.1_all.deb

Package: redhat-cluster-source
Source: redhat-cluster
Version: 3.0.12-3.2+rvt+deb7u2
Architecture: all
Maintainer: Debian HA Maintainers 
Installed-Size: 242
Depends: bzip2
Priority: optional
Section: admin
Filename: pool/main/r/redhat-cluster/redhat-cluster-source_3.0.12-3.2+rvt+deb7u2_all.deb
Size: 204116
SHA256: f48518e3bbea7d013f4d4bfde190c86dd8225ba60a514af0c1335c2b9735c828
SHA1: 23a05bb18b1ccc0bc2381be3f77867fd2d787aaf
MD5sum: b2bba38cec6b031195cbad8a1de43a3b
Description: Red Hat cluster suite - kernel module source
 This package is part of the Red Hat Cluster Suite, a complete
 high-availability solution.
 .
 This package contains the source necessary for compiling the Red Hat
 Cluster Suite out-of-tree kernel module gfs against the Linux kernel
 source tree.

Package: redhat-cluster-suite
Source: redhat-cluster
Version: 3.0.12-3.2+rvt+deb7u2
Architecture: all
Maintainer: Debian HA Maintainers 
Installed-Size: 52
Depends: cman (>= 3.0.12-3.2+rvt+deb7u2), gfs-tools (>= 3.0.12-3.2+rvt+deb7u2), gfs2-tools (>= 3.0.12-3.2+rvt+deb7u2), rgmanager (>= 3.0.12-3.2+rvt+deb7u2)
Priority: optional
Section: admin
Filename: pool/main/r/redhat-cluster/redhat-cluster-suite_3.0.12-3.2+rvt+deb7u2_all.deb
Size: 20916
SHA256: e7f5bead26f78850682a3760b5e3d101a7c51928d591a3d6b7df1ed30d43ad3e
SHA1: e6ec23548d7eaffb9effb280da37d104cac4dcc1
MD5sum: a36cf2e4c9674772fd98bde3eacb4792
Description: Red Hat cluster suite - metapackage
 RHCS is a cluster management infrastructure, for building
 high-availability multi-node clusters with service and IP failover on
 top of shared Fibre Channel/iSCSI storage devices.
 .
 The only scope for this package is to install the full Red Hat Cluster Suite
 in one operation. It is safe to remove it.

Package: redir
Version: 2.2.1-10
Architecture: armhf
Maintainer: Daniel Kahn Gillmor 
Installed-Size: 70
Depends: libc6 (>= 2.7), libwrap0 (>= 7.6-4~)
Homepage: http://sammy.net/~sammy/hacks/
Priority: extra
Section: net
Filename: pool/main/r/redir/redir_2.2.1-10_armhf.deb
Size: 21418
SHA256: f505115e19c966bbe5ca73c2398fac94d3b90dd522da800204a65b52861e07c0
SHA1: 84d3feb35e58f3b8e4a3a8497a984384f5599ca4
MD5sum: 1e7c8406159cf577c3ffb15283c60b54
Description: Redirect TCP connections
 It can run under inetd or stand alone (in which case it handles
 multiple connections).  It is 8 bit clean, not limited to line
 mode, is small and light. Supports transparency, FTP redirects, http
 proxying, and bandwidth limiting.
 .
 redir is all you need to redirect traffic across firewalls authenticate
 based on an IP address etc. No need for the firewall toolkit. The
 functionality of inetd/tcpd and "redir" will allow you to do everything
 you need without screwy telnet/ftp etc gateways. (I assume you are running
 IP Masquerading of course.)

Package: redis-server
Source: redis
Version: 2:2.4.14-1+deb7u2
Architecture: armhf
Maintainer: Chris Lamb 
Installed-Size: 408
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjemalloc1 (>= 2.1.1), adduser
Homepage: http://redis.io/
Priority: optional
Section: database
Filename: pool/main/r/redis/redis-server_2.4.14-1+deb7u2_armhf.deb
Size: 212876
SHA256: a6e1f93da18b09fdd3cf994a6bccb7fc9a8dc9597d7a0c5af38fa5b389d276a2
SHA1: 25ec49eca207b5a0746272cf7fae78869387de6e
MD5sum: 7e2879b9749f4977135f53e5112a3001
Description: Persistent key-value database with network interface
 Redis is a key-value database in a similar vein to memcache but the dataset
 is non-volatile. Redis additionally provides native support for atomically
 manipulating and querying data structures such as lists and sets.
 .
 The dataset is stored entirely in memory and periodically flushed to disk.

Package: redland-utils
Source: redland
Version: 1.0.15-1
Architecture: armhf
Maintainer: Dave Beckett 
Installed-Size: 268
Depends: libc6 (>= 2.13-28), libdb5.1, libraptor2-0 (>= 2.0.6), librdf0 (>= 1.0.15)
Homepage: http://librdf.org/
Priority: optional
Section: text
Filename: pool/main/r/redland/redland-utils_1.0.15-1_armhf.deb
Size: 95750
SHA256: 4932d5f078083c468882229c660e5343116b83e52769481e5b819333503c8bd0
SHA1: 95bce9cb4273e87ce0a5c777e4e2a28c18145240
MD5sum: a88491a668be77ad6e5aa291f46e3a71
Description: Redland Resource Description Framework (RDF) Utility programs
 This package provides the rdfproc utility for processing the
 Resource Description Framework (RDF) format using the Redland RDF library.
 It allows reading RDF from syntaxes, manipulating the RDF graph, querying
 using RDQL and SPARQL and serializing RDF into syntaxes in RDF/XML,
 N-Triples, Turtle and RSS 1.0.

Package: redmine
Version: 1.4.4+dfsg1-2+deb7u1
Installed-Size: 11853
Maintainer: Jérémy Lal 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-rails-2.3 (>= 2.3.14) | rails (>= 2.3.14), rubygems | ruby (>= 4.9), dbconfig-common, redmine-sqlite | redmine-mysql | redmine-pgsql, ruby-rack (>= 1.4.0), ruby-coderay (>= 1.0.5), ruby-net-ldap (>= 0.3.1), ruby-fastercsv, bundler, debconf (>= 0.5) | debconf-2.0
Pre-Depends: debconf
Suggests: ruby-rmagick, ruby-openid, bzr, cvs, darcs, git, mercurial, subversion
Breaks: redmine-plugin-botsfilter (<= 1.02-1)
Size: 5027248
SHA256: cc478316fb6c48887d1b4eb54ec69e34c66fdd50d00b2e254c568eea99cda6bb
SHA1: 253d9d317ce064496e3bbe1692bf0066e3bdb8c9
MD5sum: 2a24e55532bca6eeed490cc153cabd16
Description: flexible project management web application
 Redmine is a flexible project management web application. Written using Ruby
 on Rails framework, it is cross-platform and cross-database.
 .
 Dependencies for database support are provided by these metapackages:
 redmine-mysql, redmine-pgsql, redmine-sqlite.
 .
 Features
   * Multiple projects support
   * Flexible role based access control
   * Flexible issue tracking system
   * Gantt chart and calendar
   * News, documents & files management
   * Feeds & email notifications
   * Per project wiki
   * Per project forums
   * Time tracking
   * Custom fields for issues, time-entries, projects and users
   * SCM integration (SVN, CVS, Git, Mercurial, Bazaar and Darcs)
   * Issue creation via email
   * Multiple LDAP authentication support
   * User self-registration support
   * Multilanguage support
Homepage: http://www.redmine.org
Tag: devel::bugtracker, implemented-in::ruby, interface::web,
 office::project-management, role::program, use::organizing,
 web::application, web::wiki
Section: web
Priority: extra
Filename: pool/main/r/redmine/redmine_1.4.4+dfsg1-2+deb7u1_all.deb

Package: redmine-mysql
Source: redmine
Version: 1.4.4+dfsg1-2+deb7u1
Installed-Size: 78
Maintainer: Jérémy Lal 
Architecture: all
Depends: libmysql-ruby, mysql-client | virtual-mysql-client
Suggests: mysql-server
Size: 51072
SHA256: 30fead502f1915dadd829fd27f2b6e91b1df4e3d37b0d52f2763abd5197dcf0e
SHA1: 68fc78f5398322820d9e9736c8f4d0e7edd5e770
MD5sum: faf53c4c687d791569b3fe7b649f7ba0
Description: metapackage providing MySQL dependencies for Redmine
 This package only provides MySQL dependencies for Redmine, a
 flexible project management web application. Install this
 one if you want to use a MySQL database with Redmine.
 .
 Redmine package should be installed along with this package.
Homepage: http://www.redmine.org
Tag: role::metapackage
Section: web
Priority: extra
Filename: pool/main/r/redmine/redmine-mysql_1.4.4+dfsg1-2+deb7u1_all.deb

Package: redmine-pgsql
Source: redmine
Version: 1.4.4+dfsg1-2+deb7u1
Installed-Size: 78
Maintainer: Jérémy Lal 
Architecture: all
Depends: libpgsql-ruby, postgresql-client
Suggests: postgresql
Size: 51062
SHA256: 968340937aa157c47102b21b7b80548ffb8d2fc21bb1712e983a54c9525b87e8
SHA1: e389d0b012bcb329806147b3ea5a04d3b26f2ab0
MD5sum: d5741806b7568206f3490759b4e5ff00
Description: metapackage providing PostgreSQL dependencies for Redmine
 This package provides PostgreSQL dependencies for Redmine, a
 flexible project management web application. Install this
 one if you want to use a PostgreSQL database with Redmine.
 .
 Redmine package should be installed along with this package.
Homepage: http://www.redmine.org
Tag: role::metapackage
Section: web
Priority: extra
Filename: pool/main/r/redmine/redmine-pgsql_1.4.4+dfsg1-2+deb7u1_all.deb

Package: redmine-plugin-botsfilter
Version: 1.02-2
Architecture: armhf
Maintainer: Jérémy Lal 
Installed-Size: 47
Depends: redmine (>= 0.9.4-3)
Homepage: http://www.redmine.org/wiki/redmine/PluginBotsFilter
Priority: extra
Section: web
Filename: pool/main/r/redmine-plugin-botsfilter/redmine-plugin-botsfilter_1.02-2_armhf.deb
Size: 2860
SHA256: 50acc69811be52c580c8938303637c8d954e9b736f256d86acd7b6051b0ce63b
SHA1: fb9251460cce3a701362313e58a86d17402439de
MD5sum: 5d25fc6edba861b0defd259340b25435
Description: Redmine plugin to restrict common bots access
 This plugin makes Redmine returns 403 Forbidden for user-agents
 that are commonly known to be bots, when accessing :
  * alternate format download links (eg. csv, pdf)
  * gantt, calendar
  * repository
  * wiki history

Package: redmine-sqlite
Source: redmine
Version: 1.4.4+dfsg1-2+deb7u1
Installed-Size: 78
Maintainer: Jérémy Lal 
Architecture: all
Depends: libsqlite3-ruby, sqlite3
Size: 51046
SHA256: 9da5bf26931dadc17df385e5613aa97fd4893d7d782e9abc4532c7d3607b63dd
SHA1: 1049b737f8c8738a924e84318f2cdb24da531424
MD5sum: 06761116e9ee1df114647d5a9291e4b3
Description: metapackage providing sqlite dependencies for Redmine
 This package provides sqlite dependencies for Redmine, a
 flexible project management web application. Install this
 one if you want to use a sqlite database with Redmine.
 .
 Redmine package should be installed along with this package.
Homepage: http://www.redmine.org
Tag: role::metapackage
Section: web
Priority: extra
Filename: pool/main/r/redmine/redmine-sqlite_1.4.4+dfsg1-2+deb7u1_all.deb

Package: rednotebook
Version: 1.4.0-1
Installed-Size: 1922
Maintainer: Jonathan Wiltshire 
Architecture: all
Depends: python (>= 2.5), python-support (>= 0.90.0), python-yaml (>= 3.05), python-gtk2 (>= 2.12), python-glade2, python-gobject (>= 2.14.2), python-webkit, python-elib.intl
Recommends: python-gtkspell, python-chardet
Size: 471770
SHA256: 94a7800fcf8a05e91f27523325cd8796f34cdd3aa711ed14b477e6b22511f57f
SHA1: 1754f00396311f8b431fc668c5acc557896b48ac
MD5sum: 916ab5d88512eab93b6f60503ef1a477
Description: daily journal with calendar, templates and keyword searching
 RedNotebook is a graphical diary and journal to keep track of notes and
 thoughts throughout the day. It includes a calendar navigation, customisable
 templates for each day, and a keyword search and cloud.
Homepage: http://rednotebook.sourceforge.net/
Tag: implemented-in::python, interface::x11, role::program, uitoolkit::gtk,
 x11::application
Section: text
Priority: optional
Filename: pool/main/r/rednotebook/rednotebook_1.4.0-1_all.deb

Package: redshift
Version: 1.7-2
Architecture: armhf
Maintainer: Franziska Lichtblau 
Installed-Size: 346
Depends: gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.12.0), libx11-6, libxcb-randr0 (>= 1.3), libxcb1, libxxf86vm1
Homepage: https://launchpad.net/redshift
Priority: extra
Section: x11
Filename: pool/main/r/redshift/redshift_1.7-2_armhf.deb
Size: 51424
SHA256: f07fa0afd5ba4c81fd57a39424c01dc4839a0fbce799399788524282c49790ae
SHA1: 045f70ac598968aa5e441809efa37dd8cd1edad1
MD5sum: ad9172cf3da8b550fae1be5a3969ead2
Description: Adjusts the color temperature of your screen
 The color temperature is set according to the position of the sun. A
 different color temperature is set during night and daytime. During
 twilight and early morning, the color temperature transitions smoothly
 from night to daytime temperature to allow your eyes to slowly
 adapt.
 .
 This package provides the base program.

Package: redsocks
Version: 0.4+dfsg-1
Architecture: armhf
Maintainer: Apollon Oikonomopoulos 
Installed-Size: 137
Depends: libc6 (>= 2.13-28), libevent-2.0-5 (>= 2.0.10-stable), adduser
Homepage: http://darkk.net.ru/redsocks/
Priority: extra
Section: net
Filename: pool/main/r/redsocks/redsocks_0.4+dfsg-1_armhf.deb
Size: 47560
SHA256: 45852b49a2e68ebfb6aa0f6e1a9b6b2fdb27e91ba2dee7bd623e533395899b12
SHA1: 9b165a40c5a8bbceace572c42f5aacad92fd4b31
MD5sum: 9abdd08e690b2beb73aed289cfe55fb1
Description: Redirect any TCP connection to a SOCKS or HTTPS proxy server
 Redsocks is a daemon running on the local system, that will transparently
 tunnel any TCP connection via a remote SOCKS4, SOCKS5 or HTTP proxy server. It
 uses the system firewall's redirection facility to intercept TCP connections,
 thus the redirection is system-wide, with fine-grained control, and does
 not depend on LD_PRELOAD libraries.
 .
 Redsocks supports tunneling TCP connections and UDP packets. It has
 authentication support for both, SOCKS and HTTP proxies.
 .
 Also included is a small DNS server returning answers with the "truncated" flag
 set for any UDP query, forcing the resolver to use TCP.

Package: referencer
Source: referencer (1.1.6-2)
Version: 1.1.6-2+b1
Architecture: armhf
Maintainer: Michael Banck 
Installed-Size: 2351
Depends: libatkmm-1.6-1 (>= 2.22.1), libboost-regex1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgconfmm-2.6-1c2 (>= 2.24.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglademm-2.4-1c2a (>= 2.6.0), libglib2.0-0 (>= 2.14.0), libglibmm-2.4-1c2a (>= 2.31.22), libgnome-vfsmm-2.6-1c2a (>= 2.22.0), libgnomemm-2.6-1c2 (>= 2.16.0), libgnomeuimm-2.6-1c2a (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpangomm-1.4-1 (>= 2.27.1), libpoppler-glib8 (>= 0.18), libpython2.7 (>= 2.7), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6)
Homepage: http://icculus.org/referencer/index.html
Priority: optional
Section: gnome
Filename: pool/main/r/referencer/referencer_1.1.6-2+b1_armhf.deb
Size: 670120
SHA256: 9a18dc4e229a0ef6b765067b978fa788ee39695ee4d030c4a0c554b3f9e35453
SHA1: 65b7efcb1876b99de72c2ece2ce523a7dd4dfa83
MD5sum: a01a11c411c521bfc5f138b530342f8c
Description: GNOME Document Organiser
 Referencer is a GNOME application to organise documents or references, and
 ultimately generate a BibTeX bibliography file. Referencer includes a number
 of features to make this process easier:
 .
  * Automatic metadata retrieval from PubMed, CrossRef and ArXiv
  * Smart web links
  * Import from BibTeX, Reference Manager and EndNote
  * Tagging

Package: regexxer
Version: 0.9-2.1
Architecture: armhf
Maintainer: Ross Burton 
Installed-Size: 1023
Depends: libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgconfmm-2.6-1c2 (>= 2.24.0), libglademm-2.4-1c2a (>= 2.6.0), libglib2.0-0 (>= 2.24.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpangomm-1.4-1 (>= 2.27.1), libpcre3 (>= 8.10), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), gconf2 (>= 2.28.1-2)
Priority: optional
Section: devel
Filename: pool/main/r/regexxer/regexxer_0.9-2.1_armhf.deb
Size: 247310
SHA256: 01585f56096531dbb72ef5b5cdb1b07018725f16455d740b20d0239c29c49ca5
SHA1: 9965f4bc768968b0586d1d8afca5e0b25099fe20
MD5sum: d6fadf2cb0b5c5c905a989b2a11fceda
Description: A visual search and replace tool
 Regexxer is a nifty GUI search/replace tool featuring Perl-style
 regular expressions. If you need project-wide substitution and you're
 tired of hacking sed command lines together, then you should
 definitely give it a try.

Package: regina-normal
Version: 4.93-1
Architecture: armhf
Maintainer: Ben Burton 
Installed-Size: 12601
Depends: libboost-python1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libgmpxx4ldbl, libpopt0 (>= 1.14), libpython2.7 (>= 2.7), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsource-highlight4, libstdc++6 (>= 4.6), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), perl, python, python-support (>= 0.90.0), graphviz, regina-normal-doc (>= 4.93-0)
Recommends: gap, evince | pdf-viewer
Suggests: regina-normal-dev, regina-normal-mpi
Homepage: http://regina.sourceforge.net/
Priority: extra
Section: math
Filename: pool/main/r/regina-normal/regina-normal_4.93-1_armhf.deb
Size: 5501726
SHA256: 6a73c7895ddd749d57882808b6141587626b23b680022799e65a9075a6370db7
SHA1: b9617623b3d7afa9333eea4a7a9385dbca881104
MD5sum: da1cab29607a9715fdc54784485da1c4
Description: software for 3-manifold topology and normal surfaces
 Regina is a suite of mathematical software for 3-manifold topologists.
 It focuses on the study of 3-manifold triangulations and normal surfaces.
 .
 Other highlights of Regina include angle structures, census enumeration,
 combinatorial recognition of triangulations, and high-level tasks such
 as 3-sphere recognition and connected sum decomposition.  Regina comes
 with a full graphical user interface, and also offers Python bindings
 and a low-level C++ programming interface.
 .
 This package includes the graphical user interface and the command-line
 Python interface.  The users' handbook and the API documentation are in
 the separate package regina-normal-doc, and the MPI-enabled utilities for
 high-performance clusters are in the separate package regina-normal-mpi.

Package: regina-normal-dev
Source: regina-normal
Version: 4.93-1
Architecture: armhf
Maintainer: Ben Burton 
Installed-Size: 2846
Depends: regina-normal (= 4.93-1), libboost-dev, libgmp-dev, libxml2-dev, zlib1g-dev
Suggests: regina-normal-doc
Homepage: http://regina.sourceforge.net/
Priority: extra
Section: libdevel
Filename: pool/main/r/regina-normal/regina-normal-dev_4.93-1_armhf.deb
Size: 524630
SHA256: 5fca87bb7368b024a7580a351e8cab10bf422b66d32d77ef3e87de74e475133f
SHA1: 684e9557515b06bc79a16ecccfd37091498bccbb
MD5sum: 690cba80db41f2e354ad0dfe26c2bf7e
Description: development files for Regina, the 3-manifold topology software
 Regina is a suite of mathematical software for 3-manifold topologists.
 This package provides development files for compiling Regina's mathematical
 engine into other projects.
 .
 The calculation engine is provided as a C++ shared library.  For complete
 API documentation, see the package regina-normal-doc.
 .
 Regina focuses on the study of 3-manifold triangulations and normal
 surfaces.  Other highlights include angle structures, census enumeration,
 combinatorial recognition of triangulations, and high-level tasks such as
 3-sphere recognition and connected sum decomposition.
 .
 For Regina's standard graphical user interface, install the package
 regina-normal.

Package: regina-normal-doc
Source: regina-normal
Version: 4.93-1
Installed-Size: 24538
Maintainer: Ben Burton 
Architecture: all
Replaces: regina-normal (<< 4.6-2)
Recommends: iceweasel | www-browser
Suggests: regina-normal, regina-normal-dev
Breaks: regina-normal (<< 4.6-2)
Size: 11661888
SHA256: 5b125b6e0b731eb91fda6ded9f4a739f659465ed2ea7cd57128fbc7ecb9b104a
SHA1: ddf4385a800ff2ce12da1f56e5da19036ebc67b0
MD5sum: 2ce9ad2dd0b5ee3ad0ce1f9bd2e57644
Description: documentation for Regina, the 3-manifold topology software
 Regina is a suite of mathematical software for 3-manifold topologists.
 This package provides a rich users' handbook, complete API documentation
 for the C++ calculation engine and Python bindings, and the full
 specification for Regina's XML data file format.
 .
 Regina focuses on the study of 3-manifold triangulations and normal
 surfaces.  Other highlights include angle structures, census enumeration,
 combinatorial recognition of triangulations, and high-level tasks such as
 3-sphere recognition and connected sum decomposition.
 .
 For Regina's standard graphical user interface, install the package
 regina-normal.
Homepage: http://regina.sourceforge.net/
Tag: devel::doc, field::mathematics, role::documentation, suite::kde
Section: doc
Priority: extra
Filename: pool/main/r/regina-normal/regina-normal-doc_4.93-1_all.deb

Package: regina-normal-mpi
Source: regina-normal
Version: 4.93-1
Architecture: armhf
Maintainer: Ben Burton 
Installed-Size: 157
Depends: mpi-default-bin, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libgmpxx4ldbl, libopenmpi1.3, libpopt0 (>= 1.14), libstdc++6 (>= 4.4.0), libxml2 (>= 2.6.27), regina-normal (>= 4.93-1), regina-normal (<< 4.94-0), zlib1g (>= 1:1.1.4), perl
Replaces: regina-normal (<= 4.3.1-6)
Homepage: http://regina.sourceforge.net/
Priority: extra
Section: math
Filename: pool/main/r/regina-normal/regina-normal-mpi_4.93-1_armhf.deb
Size: 87914
SHA256: e4bbdfb01fb9254e417bb1b3c80769bd72ea1b3b9a2ddb746586cb3c63a4f371
SHA1: 7e70197b1bcb40cccb93d9d5ddad56369122b3ce
MD5sum: fa39df0972a171ce036f7e380603c198
Description: MPI utilities for Regina, the 3-manifold topology software
 Regina is a suite of mathematical software for 3-manifold topologists.
 This package contains the components of Regina designed to run large
 parallel computations on a high-performance cluster using MPI.
 .
 These components are built against the default implementation of MPI,
 and must be run under the default MPI runtime environment (as found
 in the package mpi-default-bin).
 .
 Regina focuses on the study of 3-manifold triangulations and normal
 surfaces.  Other highlights include angle structures, census enumeration,
 combinatorial recognition of triangulations, and high-level tasks such as
 3-sphere recognition and connected sum decomposition.
 .
 For Regina's standard graphical user interface, install the package
 regina-normal.

Package: regina-rexx
Version: 3.6-2
Architecture: armhf
Maintainer: Alen Zekulic 
Installed-Size: 655
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libregina3 (>= 3.6-2)
Homepage: http://regina-rexx.sourceforge.net/
Priority: optional
Section: interpreters
Filename: pool/main/r/regina-rexx/regina-rexx_3.6-2_armhf.deb
Size: 255696
SHA256: c860b1f60388c14c602d20fd7f9139c8b2ad1a042fa151224214f9d1c1189efe
SHA1: 80672b2ca4b245a2eb3253c4b041fa8c0f1c49f0
MD5sum: 43cb5a0686b2d158e6a91fa98d23c713
Description: Regina REXX interpreter
 Regina is an ANSI compliant REXX interpreter for multiple platforms.
 .
 REXX is a procedural language that allows programs and algorithms
 to be written in a clear and structured way, it is also designed
 to be used as a macro language by arbitrary application programs.
 .
 Contains the Regina REXX interpreter (regina and rexx), external
 queue support (rxstack and rxqueue) and example programs.

Package: regionset
Version: 0.1-3
Architecture: armhf
Maintainer: Josselin Mouette 
Installed-Size: 60
Depends: libc6 (>= 2.4)
Priority: optional
Section: utils
Filename: pool/main/r/regionset/regionset_0.1-3_armhf.deb
Size: 12152
SHA256: 35a7e379927c29bd257da5a32488bc552cef1a00dbc5ddb9386c5585dbc796c6
SHA1: c2b82059d2040f480f2834322d55ef783e04fc5c
MD5sum: 5d1fe7d2ccee41ec2053b13811fdc854
Description: view and modify the region code of DVD drives
 Regionset is a small utility which displays and sets
 the region/zone setting of a DVD drive, allowing it to decrypt
 the DVD's sold in this geographical zone. Hardware vendors
 often limit the number of such modifications, but it is
 necessary to set it at least once with a brand new drive.
 .
 URL: http://linvdr.org/projects/regionset/

Package: registry-tools
Source: samba4
Version: 4.0.0~beta2+dfsg1-3.2
Architecture: armhf
Maintainer: Samba Debian Maintainers 
Installed-Size: 140
Depends: libc6 (>= 2.13-28), libndr0, libpopt0 (>= 1.14), libreadline6 (>= 6.0), libregistry0, libsamba-credentials0, libsamba-hostconfig0, libsamba-util0, libtalloc2 (>= 2.0.4~git20101213)
Homepage: http://www.samba.org/
Priority: optional
Section: net
Filename: pool/main/s/samba4/registry-tools_4.0.0~beta2+dfsg1-3.2_armhf.deb
Size: 44326
SHA256: 6fdc027485b9203a5bbcdd9dd43683f415ac8c476d37a386f55864cf3f8779e0
SHA1: b2478a3a4186204615258e02ba87a3e4dfb475f7
MD5sum: 6a1ec8c0bbd3ff4978312cb801455c68
Description: tools for viewing and manipulating the Windows registry
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file sharing with Microsoft Windows, OS X,
 and other Unix systems.  Samba can also function as a domain controller
 or member server in both NT4-style and Active Directory domains.
 .
 These packages contain snapshot versions of Samba 4, the next-generation
 version of Samba. These should be considered _experimental_, and should
 not be used in production.
 .
 This package contains tools for viewing and manipulating the binary
 "registry" found on Windows machines, both locally and remote.

Package: reglookup
Version: 0.12.0-1
Architecture: armhf
Maintainer: Debian Forensics 
Installed-Size: 218
Depends: libc6 (>= 2.13-28)
Homepage: http://projects.sentinelchicken.org/reglookup/
Priority: optional
Section: utils
Filename: pool/main/r/reglookup/reglookup_0.12.0-1_armhf.deb
Size: 66480
SHA256: 9e1ed9dc7cd1a3c396fc7cbfb3549ef66bb4dc78686e4092dcfcc79ca3caf24c
SHA1: cdbc600db7fbd7137556260dc2153acca1a1213a
MD5sum: e0fe87ec65708df6edd6a5d8aeef47d1
Description: utility to read and query Windows NT/2000/XP registry
 RegLookup is an small command line utility for reading and querying Microsoft
 Windows NT/2000/XP registries.
 .
 Currently the program allows one to read an entire registry and output it in a
 (mostly) standardized, quoted format. It also provides features for filtering
 of results based on registry path and data type.

Package: reinteract
Version: 0.5.0-3
Installed-Size: 601
Maintainer: Chris Lamb 
Architecture: all
Depends: python (>= 2.5), python-support (>= 0.90.0), python-gtk2, python-matplotlib
Recommends: sox
Size: 128142
SHA256: 9c8d8aaae6dbf365c4e33bd1498f9f42bada43dab82b414020c2e053e0fae69e
SHA1: 4c599cdbc38ba002428876244f79e2e575b0cee5
MD5sum: b60974d333d9203b67e6d32aa425e810
Description: Worksheet-based graphical Python shell
 Reinteract is a tool for interactive experimentation with Python
 oriented around "worksheets" containing Python code combined with the
 results of that code, formatted as text or graphical plots. Unlike a
 traditional shell, you can go back and edit previously entered
 statements, and the results will update.
 .
 Amongst other things, Reinteract is suitable for experimentation with
 the Python language and for data analysis using NumPy and SciPy.
Homepage: http://www.reinteract.org/
Section: python
Priority: optional
Filename: pool/main/r/reinteract/reinteract_0.5.0-3_all.deb

Package: reiser4progs
Version: 1.0.7-6.3
Architecture: armhf
Maintainer: Felix Zielcke 
Installed-Size: 1077
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libreadline5 (>= 5.2), libuuid1 (>= 2.16)
Priority: optional
Section: admin
Filename: pool/main/r/reiser4progs/reiser4progs_1.0.7-6.3_armhf.deb
Size: 515976
SHA256: 7a1d36d710c04511a35046782820de20126b7f6c2bcc5d6d7c85582c36816f1d
SHA1: 97b4ad96b516b0fc6858e788dac5df7a25fb7fa4
MD5sum: 0915316e6c332651c87db1bef908774f
Description: administration utilities for the Reiser4 filesystem
 The following utilities to manage Reiser4 filesystems are provided:
 .
   - debugfs.reiser4
   - fsck.reiser4
   - measurefs.reiser4
   - mkfs.reiser4

Package: reiserfsprogs
Version: 1:3.6.21-1
Architecture: armhf
Maintainer: Felix Zielcke 
Installed-Size: 1075
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libuuid1 (>= 2.16)
Priority: optional
Section: admin
Filename: pool/main/r/reiserfsprogs/reiserfsprogs_3.6.21-1_armhf.deb
Size: 537078
SHA256: 789016d97d3be2c067d1afda2064aa8055bc49574d5eb363f2f3872a12282257
SHA1: 0ddc22d76cbc8bd066f76cffe6bf9754239e4e19
MD5sum: 82291a218ef3662e124b63f26f19f946
Description: User-level tools for ReiserFS filesystems
 This package contains utilities to create, check, resize, and debug
 ReiserFS filesystems.

Package: rekonq
Version: 0.9.2-1
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 4627
Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.7), libkdecore5 (>= 4:4.7), libkdeui5 (>= 4:4.7.0), libkdewebkit5 (>= 4:4.7), libkio5 (>= 4:4.7.0), libkparts4 (>= 4:4.7), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.6.1), libqt4-script (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.2.0), libstdc++6 (>= 4.4.0), libthreadweaver4 (>= 4:4.7), kde-baseapps-bin
Suggests: kget
Provides: www-browser
Homepage: http://rekonq.kde.org/
Priority: optional
Section: web
Filename: pool/main/r/rekonq/rekonq_0.9.2-1_armhf.deb
Size: 2528900
SHA256: 45156b4fe8dc16c3af74ebc25b0aef9e1a7dbcfd3e06643029a6b66e53b83084
SHA1: d5b04cd0166c3507ae1adf1a3bd75dd82b705568
MD5sum: 2b3b357ea81309acb617acaad5d99fc7
Description: KDE web browser based on Webkit
 rekonq is a web browser based on WebKit and the KDE technologies.
 Its aim is to integrate nicely in the KDE desktop while providing
 a lightweight experience.
 .
 Non-exhaustive list of features :
  - shares bookmarks and network settings with konqueror
  - uses the kwallet password manager
  - integrates with the kget download manager
  - anonymous browsing mode

Package: rekonq-dbg
Source: rekonq
Version: 0.9.2-1
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 7304
Depends: rekonq (= 0.9.2-1)
Recommends: kdelibs5-dbg, libqtwebkit4-dbg
Homepage: http://rekonq.kde.org/
Priority: extra
Section: debug
Filename: pool/main/r/rekonq/rekonq-dbg_0.9.2-1_armhf.deb
Size: 7155776
SHA256: cea7cbc2815bde6b90e98906545bf9eb900234757beadc9b9a50f03742eb1545
SHA1: a1f67c3ee099db169d6bdc3e4192a906b1192482
MD5sum: 43b28cd91d828bbff49086cf8173b956
Description: debugging symbols for rekonq
 This package contains the debugging symbols associated with rekonq.
 They will automatically be used by gdb for debugging rekonq-related
 issues.

Package: relational
Version: 1.1-1
Installed-Size: 408
Maintainer: Salvo 'LtWorf' Tomaselli 
Architecture: all
Depends: python (>= 2.6), python-support (>= 0.90.0), python-relational (>= 1.1-1), python-qt4 | python-pyside.qtgui, python-qt4 | python-pyside.qtcore, python-qt4 | python-pyside.qtwebkit
Size: 62048
SHA256: a02a0a6b74d4d9fe2ea93cae00a4e1793eb684f0ce45d5b85f538d6f385e9347
SHA1: d1d5d1a8ac9e1cfa66e8506ac252484402306c50
MD5sum: aa6cb5d5070b12c3224a922385f0fc10
Description: Educational tool for relational algebra (graphical user interface)
 Relational is primarily a tool to provide a workspace for experimenting with
 relational algebra, an offshoot of first-order logic.
 .
 This package provides a graphical user interface that can be used to
 execute relational queries.
Homepage: http://galileo.dmi.unict.it/wiki/relational/doku.php
Tag: field::mathematics, implemented-in::python, role::program
Section: math
Priority: optional
Filename: pool/main/r/relational/relational_1.1-1_all.deb

Package: relational-cli
Source: relational
Version: 1.1-1
Installed-Size: 108
Maintainer: Salvo 'LtWorf' Tomaselli 
Architecture: all
Depends: python (>= 2.6), python-support (>= 0.90.0), python-relational (>= 1.1-1)
Size: 12448
SHA256: 20aaf9021402d858fca4947c95e9dae568f0de3b01009602381123d5264c5adf
SHA1: 761d4ced121e5a9a5a768b53abd98c8bb5587c22
MD5sum: ec8e8ae806400d57285ab02f69e182c2
Description: Educational tool for relational algebra (command line interface)
 Relational is primarily a tool to provide a workspace for experimenting with
 relational algebra, an offshoot of first-order logic.
 .
 This package provides a command line interface that can be used to
 execute relational queries.
Homepage: http://galileo.dmi.unict.it/wiki/relational/doku.php
Tag: field::mathematics, interface::commandline, role::program
Section: math
Priority: optional
Filename: pool/main/r/relational/relational-cli_1.1-1_all.deb

Package: remake
Version: 3.81+dbg0.2~dfsg.1-1
Architecture: armhf
Maintainer: Yaroslav Halchenko 
Installed-Size: 1201
Depends: libc6 (>= 2.13-28), libreadline6 (>= 6.0)
Homepage: http://bashdb.sourceforge.net/remake/
Priority: extra
Section: devel
Filename: pool/main/r/remake/remake_3.81+dbg0.2~dfsg.1-1_armhf.deb
Size: 428726
SHA256: a3a50d268aa55c22423f8f2df91d01c5b7511c831d4b3b8dc07faced5f537ff9
SHA1: 1015c23e80322cce6ab4cbbb02486a3851cc417d
MD5sum: 762d30ab19e58b456332c97eb4660fea
Description: GNU make fork with improved error reporting and debugging
 Modernized version of GNU make utility that adds improved error
 reporting, the ability to trace execution in a comprehensible way, and
 a debugger. Some of the features of the debugger are:
  * see the target call stack
  * set breakpoints on targets
  * show and set variables
  * execute arbitrary "make" code
  * issue shell commands while stopped in the middle of execution
  * inspect target descriptions
  * write a file with the commands of the target expanded

Package: remctl-client
Source: remctl
Version: 3.2-4
Architecture: armhf
Maintainer: Russ Allbery 
Installed-Size: 169
Depends: libc6 (>= 2.13-28), libremctl1 (>= 3.0)
Multi-Arch: foreign
Homepage: http://www.eyrie.org/~eagle/software/remctl/
Priority: optional
Section: net
Filename: pool/main/r/remctl/remctl-client_3.2-4_armhf.deb
Size: 83188
SHA256: 66c45921c62cc7c13c442d7a964294806d3f9ccf64b4d639e3309dcbfce5be5b
SHA1: ae2f008d5792ecbe7cd1b0de044de470265f868f
MD5sum: f6dff0c2bceb740b8592df58209f3bb6
Description: Client for Kerberos-authenticated command execution
 remctl is a client/server protocol for executing specific commands on a
 remote system with Kerberos authentication.  The allowable commands must
 be listed in a server configuration file, and the executable run on the
 server may be mapped to any command name.  Each command is also
 associated with an ACL containing a list of Kerberos principals
 authorized to run that command.
 .
 This package contains the client program (remctl).

Package: remctl-server
Source: remctl
Version: 3.2-4
Architecture: armhf
Maintainer: Russ Allbery 
Installed-Size: 169
Depends: libc6 (>= 2.13-28), libgssapi-krb5-2 (>= 1.10+dfsg~), libpcre3 (>= 8.10), update-inetd | inet-superserver, netbase (>= 4.31)
Recommends: openbsd-inetd | inet-superserver, tcpd
Multi-Arch: foreign
Homepage: http://www.eyrie.org/~eagle/software/remctl/
Priority: optional
Section: net
Filename: pool/main/r/remctl/remctl-server_3.2-4_armhf.deb
Size: 80864
SHA256: 3367b74fbb93403d3956d2831a8262a8eee77f6be8c9ba93f6cd2282904dc588
SHA1: 5be5c2030b0fe737aaf781fa40ac72e4dd32affd
MD5sum: d71a4be1d065b4ef1c7681c8c9b9e108
Description: Server for Kerberos-authenticated command execution
 remctl is a client/server protocol for executing specific commands on a
 remote system with Kerberos authentication.  The allowable commands must
 be listed in a server configuration file, and the executable run on the
 server may be mapped to any command name.  Each command is also
 associated with an ACL containing a list of Kerberos principals
 authorized to run that command.
 .
 This package contains the server (remctld).

Package: remember-el
Version: 1.9-1.1
Installed-Size: 324
Maintainer: Sandra Jean Chua (Sacha) 
Architecture: all
Depends: emacs22 | emacs21 | xemacs21 | emacsen, emacsen-common (>= 1.4.14)
Suggests: planner-el (>= 3.28), emacs-wiki, bbdb, blosxom
Size: 71748
SHA256: 9e8c3fa7fd46098ae63f1f8d0f4b2f282bd487927abf7e4c396cd15c25ae714c
SHA1: b432d380f40932136f53b90abe59e2716987dcb8
MD5sum: 728447d003df959b3a2396fce7c7f930
Description: remember text within Emacs
 This package simplifies writing short notes in Emacs. It can
 automatically timestamp and store text typed in a convenient buffer,
 allowing you to use Emacs as a journal. Entries can be hyperlinked to
 the buffer they were created in.
 .
 http://www.emacswiki.org/cgi-bin/wiki/RememberMode has more details.
Tag: implemented-in::lisp, role::plugin, suite::emacs, works-with::text
Section: lisp
Priority: optional
Filename: pool/main/r/remember-el/remember-el_1.9-1.1_all.deb

Package: remembrance-agent
Source: remem
Version: 2.12-7
Architecture: armhf
Maintainer: Javier Fernandez-Sanguino Pen~a 
Installed-Size: 325
Depends: emacs21 | emacsen, debconf | debconf-2.0, libc6 (>= 2.13-28), libpcre3 (>= 8.10)
Homepage: http://www.remem.org
Priority: optional
Section: misc
Filename: pool/main/r/remem/remembrance-agent_2.12-7_armhf.deb
Size: 109958
SHA256: b4014179f5473be8d9d4c16d63bf1e2122755ed00935db69db69d45303592cb4
SHA1: e56e65d8c8fecc4c4870ef1bb3b19c2e474918b3
MD5sum: 41d4f1539dc60d78d338166288b93aa5
Description: Emacs mode to help find relevant texts
 The Remembrance Agent is one of the projects being developed by the MIT
 Media Lab's software agents group.  Given a collection of the user's
 accumulated email, Usenet news articles, papers, saved HTML files and other
 text notes, it attempts to find those documents which are most relevant to
 the user's current context.  That is, it searches this collection of text
 for the documents which bear the highest word-for-word similarity to the
 text the user is currently editing, in the hope that they will also bear
 high conceptual similarity and thus be useful to the user's current work.
 These suggestions are continuously displayed in a small buffer at the
 bottom of the user's emacs buffer.  If a suggestion looks useful, the full
 text can be retrieved with a single command.

Package: remind
Version: 03.01.12-1
Architecture: armhf
Maintainer: Ana Beatriz Guerrero Lopez 
Installed-Size: 369
Depends: libc6 (>= 2.13-28)
Suggests: tkremind, wyrd
Homepage: http://www.roaringpenguin.com/products/remind/
Priority: optional
Section: utils
Filename: pool/main/r/remind/remind_03.01.12-1_armhf.deb
Size: 214686
SHA256: 3622f0067df1dde603acc2b35df665609e95e359a66b2c478edb2af9e513ab77
SHA1: 1a973c19c3326e516a51ca5b77a36693538279c5
MD5sum: 7f9b44206139302f3f649d7fe23a5ce7
Description: sophisticated calendar and alarm program
 Remind allows you to remind yourself of upcoming events and
 appointments.  Each reminder or alarm can consist of a message sent
 to standard output, or a program to be executed.
 .
 It also features: sophisticated date calculation, moon phases,
 sunrise/sunset, Hebrew calendar, alarms, PostScript output, tcl/tk
 front-end and proper handling of holidays.
 .
 Reminders can be created by the remind scripting language or by using
 the graphical frontend package "tkremind".

Package: remmina
Version: 1.0.0-4+deb7u1
Architecture: armhf
Maintainer: Luca Falavigna 
Installed-Size: 304
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libssh-4 (>= 0.3.91), libvte-2.90-9 (>= 1:0.27.2), libx11-6, remmina-common (= 1.0.0-4+deb7u1), dbus-x11
Recommends: remmina-plugin-rdp, remmina-plugin-vnc
Breaks: remmina-plugin-data (<= 0.9)
Replaces: remmina-gnome, remmina-xfce
Homepage: http://remmina.sourceforge.net/
Priority: optional
Section: gnome
Filename: pool/main/r/remmina/remmina_1.0.0-4+deb7u1_armhf.deb
Size: 123406
SHA256: 48f8a1e1bc42f47928bd94adc4028f905a59fb0f8253dc5d8471582aaa8130ae
SHA1: 774bd11ea5273b39906693a8d10cf9383140f404
MD5sum: d71f361ed5c60e2458028129fed0b141
Description: remote desktop client for GNOME desktop environment
 Remmina is a remote desktop connection client able to display and control a
 remote desktop session.
 .
 It supports multiple network protocols in an integrated and consistant user
 interface. Currently RDP, VNC, NX, XDMCP and SSH protocols are supported.

Package: remmina-common
Source: remmina
Version: 1.0.0-4+deb7u1
Installed-Size: 870
Maintainer: Luca Falavigna 
Architecture: all
Replaces: remmina (<< 1.0.0), remmina-plugin-data
Recommends: remmina
Breaks: remmina (<< 1.0.0), remmina-plugin-data
Size: 184554
SHA256: 5b9098166b0aecd4aae7387c386f9d9a3b90bf03a2e4df66a9be652b761bceb3
SHA1: e8a1b58f7d22a24344498b8134f9fcb0b2eaebe8
MD5sum: 5b9532660de93db114062bdae8e9dd11
Description: common files for remmina remote desktop client
 Remmina is a remote desktop connection client able to display and control a
 remote desktop session.
 .
 This package contains the common files.
Homepage: http://remmina.sourceforge.net/
Section: gnome
Priority: optional
Filename: pool/main/r/remmina/remmina-common_1.0.0-4+deb7u1_all.deb

Package: remmina-plugin-gnome
Source: remmina
Version: 1.0.0-4+deb7u1
Architecture: armhf
Maintainer: Luca Falavigna 
Installed-Size: 51
Depends: libc6 (>= 2.13-28), libgnome-keyring0 (>= 2.22.2), remmina (= 1.0.0-4+deb7u1)
Homepage: http://remmina.sourceforge.net/
Priority: optional
Section: gnome
Filename: pool/main/r/remmina/remmina-plugin-gnome_1.0.0-4+deb7u1_armhf.deb
Size: 9038
SHA256: cdd705fd570f4ef747fd3b2eb7866e9ed6d2bc4157f32516531c37a3ff986477
SHA1: be5c5963cb89d63379fa7f8cec43da24c7be4266
MD5sum: 279b84b832bbc5ee3887c152d79d2191
Description: GNOME plugin for remmina remote desktop client
 Remmina is a remote desktop connection client able to display and control a
 remote desktop session.
 .
 This package contains the GNOME Keyring plugin for Remmina, which allows one
 to store and retrieve passwords from GNOME Keyring.

Package: remmina-plugin-nx
Source: remmina
Version: 1.0.0-4+deb7u1
Architecture: armhf
Maintainer: Luca Falavigna 
Installed-Size: 84
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.18.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libssh-4 (>= 0.3.91), libxkbfile1, remmina (= 1.0.0-4+deb7u1), nxproxy
Homepage: http://remmina.sourceforge.net/
Priority: optional
Section: gnome
Filename: pool/main/r/remmina/remmina-plugin-nx_1.0.0-4+deb7u1_armhf.deb
Size: 23176
SHA256: 665b3b80dbeaffcad7a25b30bfdbb02cd9d5ee7e95ce9efb81ff166e1cd01b09
SHA1: 28fd535fb7c764f34f44e16edeed225b2c297a81
MD5sum: 46992273d39ac93ba776f0fcc47a4fc4
Description: NX plugin for remmina remote desktop client
 Remmina is a remote desktop connection client able to display and control a
 remote desktop session.
 .
 This package contains the NX plugin for Remmina.

Package: remmina-plugin-rdp
Source: remmina
Version: 1.0.0-4+deb7u1
Architecture: armhf
Maintainer: Luca Falavigna 
Installed-Size: 104
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfreerdp1 (>= 1.0~beta5), libglib2.0-0 (>= 2.18.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), remmina (= 1.0.0-4+deb7u1), libfreerdp-plugins-standard
Homepage: http://remmina.sourceforge.net/
Priority: optional
Section: gnome
Filename: pool/main/r/remmina/remmina-plugin-rdp_1.0.0-4+deb7u1_armhf.deb
Size: 31804
SHA256: a372a6c6e867cd478524fe9a35b3904338467e10983298ebda48438dc326d07a
SHA1: fa042e22e30c58021f50073610a6ec0d33afd7d1
MD5sum: 8e0c09f7f98ca1ac36f562ba74de2fe0
Description: RDP plugin for remmina remote desktop client
 Remmina is a remote desktop connection client able to display and control a
 remote desktop session.
 .
 This package contains the RDP plugin for Remmina.

Package: remmina-plugin-telepathy
Source: remmina
Version: 1.0.0-4+deb7u1
Architecture: armhf
Maintainer: Luca Falavigna 
Installed-Size: 55
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.12.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libtelepathy-glib0 (>= 0.7.1), remmina (= 1.0.0-4+deb7u1)
Homepage: http://remmina.sourceforge.net/
Priority: optional
Section: gnome
Filename: pool/main/r/remmina/remmina-plugin-telepathy_1.0.0-4+deb7u1_armhf.deb
Size: 8814
SHA256: 511293540967ab4ea4ce6a01fefec1ded696b0192eed58d7f12ff0e8f9e025b9
SHA1: edfbadfdec914dba94ae84780a4c3fb2d1dc6b47
MD5sum: 2e66aaec4d49f4bd94943df4810fc368
Description: Telepathy plugin for remmina remote desktop client
 Remmina is a remote desktop connection client able to display and control a
 remote desktop session.
 .
 This package contains the Telepathy plugin for Remmina.

Package: remmina-plugin-vnc
Source: remmina
Version: 1.0.0-4+deb7u1
Architecture: armhf
Maintainer: Luca Falavigna 
Installed-Size: 76
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.18.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libvncserver0, remmina (= 1.0.0-4+deb7u1)
Homepage: http://remmina.sourceforge.net/
Priority: optional
Section: gnome
Filename: pool/main/r/remmina/remmina-plugin-vnc_1.0.0-4+deb7u1_armhf.deb
Size: 21290
SHA256: 2fb6b7cff3355f01fa48d3e377799b1ac0fc8caf4a52afe086aa0d46b281e5b2
SHA1: 060604b51b55eb31df4cfa1e58c0029f392179df
MD5sum: 49725c93b26500073c23cd75e56fb6be
Description: VNC plugin for remmina remote desktop client
 Remmina is a remote desktop connection client able to display and control a
 remote desktop session.
 .
 This package contains the VNC plugin for Remmina.

Package: remmina-plugin-xdmcp
Source: remmina
Version: 1.0.0-4+deb7u1
Architecture: armhf
Maintainer: Luca Falavigna 
Installed-Size: 55
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.12.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), remmina (= 1.0.0-4+deb7u1), xserver-xephyr
Homepage: http://remmina.sourceforge.net/
Priority: optional
Section: gnome
Filename: pool/main/r/remmina/remmina-plugin-xdmcp_1.0.0-4+deb7u1_armhf.deb
Size: 11308
SHA256: 9adaa7013e2f49d2b6a0cdbf7d7d9972f8fa6b17694922af702acf4c73f34ece
SHA1: 95ddbe60e8ccc420318ef0fdf6620e72a037b062
MD5sum: 059aa3a0a5ba2da307ba55ecefe5aa25
Description: XDMCP plugin for remmina remote desktop client
 Remmina is a remote desktop connection client able to display and control a
 remote desktop session.
 .
 This package contains the XDMCP plugin for Remmina.

Package: remote-tty
Version: 4.0-13
Architecture: armhf
Maintainer: Jonathan McDowell 
Installed-Size: 144
Depends: libc6 (>= 2.4), adduser
Priority: optional
Section: admin
Filename: pool/main/r/remote-tty/remote-tty_4.0-13_armhf.deb
Size: 33818
SHA256: 010092d64df026e6a0143487b85a9fcf606c6173a10d23f5948a8c708ea1cf40
SHA1: 8afdb02a14d037835b8dce17b3bb576708395844
MD5sum: 51ec131cb59b37f1637490bd75efe363
Description: multiuser "tip"/"cu" replacement with logging
 This is Paul Vixie's rtty serial console tool. It allows runs a server
 per port which then support multiple connections at time from
 "tip"/"cu"-like clients. It also supports logging of output from the
 port, even when no client is connected. This can be invaluable for post
 mortem diagnosis of crashes of serial consoled machines.

Package: remotetea
Version: 1.0.7-2
Installed-Size: 3592
Maintainer: Picca Frédéric-Emmanuel 
Architecture: all
Depends: default-jre | java6-runtime, jarwrapper (>= 0.5)
Size: 509576
SHA256: 8b98c26a823f1140a1b2519680fb122c4e89dc80ce89e6e2bfa79034410fbcd9
SHA1: fe0c03449f4209ec8dd5e5224096fe342262d84c
MD5sum: d34522067114ca61831c26749df77fc7
Description: Sun ONC/RPC support for Java
 This package implements Sun's Open Network Computing Remote Procedure
 Call specification (see RFC 1831, RFC 1832, RFC 1833) in pure Java.
 .
 It supports:
  * RPC calls over TCP/IP as well as UDP/IP;
  * both client and server functionality;
  * portmapper querying;
  * authentication types AUTH_NONE, AUTH_UNIX, and AUTH_SHORT
    (on both client and server sides).
 .
 The utility jrpcgen is provided to compile .x files into Java classes.
Homepage: http://remotetea.sourceforge.net
Section: java
Priority: extra
Filename: pool/main/r/remotetea/remotetea_1.0.7-2_all.deb

Package: remuco-amarok
Source: remuco
Version: 0.9.6-2
Installed-Size: 47
Maintainer: Chow Loong Jin 
Architecture: all
Depends: remuco-base (= 0.9.6-2), amarok (>= 2.0), python
Size: 9086
SHA256: 871db091c84b2bfe66b0270e61e73d867e0bc87963080c53d90d9a48188b70ae
SHA1: 3c1eac7d065ab28d0b195718c7d4580254c72d1e
MD5sum: 91d7df8b5117d3f8ce280a5381bf97b9
Description: duplex remote control for media players - Amarok adapter
 Remuco is a duplex remote control system for media players and mobile
 devices equipped with Bluetooth or WiFi. It allows you to control your
 favourite media player by switching to the next, previous, or any other media
 within your current playlist, as well as browse your media library, activate
 your other playlists, rate your media, adjust volume, and more. On the mobile
 device, it displays information about the current media, including cover art.
 .
 This package contains the Remuco adapter for Amarok.
Homepage: http://remuco.googlecode.com/
Section: sound
Priority: optional
Filename: pool/main/r/remuco/remuco-amarok_0.9.6-2_all.deb

Package: remuco-audacious
Source: remuco
Version: 0.9.6-2
Installed-Size: 50
Maintainer: Chow Loong Jin 
Architecture: all
Depends: remuco-base (= 0.9.6-2), audacious (>= 1.5.1), python
Size: 9862
SHA256: e0f4425e3eb443a3e2d1ee3734658432b37e929bf80e597b662f58020d3daa8e
SHA1: f8c194e07f46e0de652e0eb878bc5821cc7a25f1
MD5sum: a9c23411fa29cf24337557631b5b2be5
Description: duplex remote control for media players - Audacious adapter
 Remuco is a duplex remote control system for media players and mobile
 devices equipped with Bluetooth or WiFi. It allows you to control your
 favourite media player by switching to the next, previous, or any other media
 within your current playlist, as well as browse your media library, activate
 your other playlists, rate your media, adjust volume, and more. On the mobile
 device, it displays information about the current media, including cover art.
 .
 This package contains the Remuco adapter for Audacious. Audacious is a small
 and fast media player which supports lots of formats.
Homepage: http://remuco.googlecode.com/
Section: sound
Priority: optional
Filename: pool/main/r/remuco/remuco-audacious_0.9.6-2_all.deb

Package: remuco-banshee
Source: remuco
Version: 0.9.6-2
Installed-Size: 56
Maintainer: Chow Loong Jin 
Architecture: all
Depends: remuco-base (= 0.9.6-2), banshee (>= 1.6.0), python
Size: 10748
SHA256: cb954d31f04abeea2d682dcb3171a53fa0087dcea01dd5043542a85af6dfc4c5
SHA1: b4ef13a4f332b3e6c0f44df965d5f61ceb0ca62a
MD5sum: d1606b46330f81c08a9bac655efb6945
Description: duplex remote control for media players - Banshee adapter
 Remuco is a duplex remote control system for media players and mobile
 devices equipped with Bluetooth or WiFi. It allows you to control your
 favourite media player by switching to the next, previous, or any other media
 within your current playlist, as well as browse your media library, activate
 your other playlists, rate your media, adjust volume, and more. On the mobile
 device, it displays information about the current media, including cover art.
 .
 This package contains the Remuco adapter for Banshee. Banshee is a media
 player for the GNOME desktop.
Homepage: http://remuco.googlecode.com/
Section: sound
Priority: optional
Filename: pool/main/r/remuco/remuco-banshee_0.9.6-2_all.deb

Package: remuco-base
Source: remuco
Version: 0.9.6-2
Installed-Size: 835
Maintainer: Chow Loong Jin 
Architecture: all
Depends: python-gobject, python-xdg, python-imaging, python-bluez, python-dbus, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8)
Size: 486466
SHA256: 511aecd1f46bba834d290815b010925e3df8f92d276ea39eb2ba90005f2984af
SHA1: 8646c086c05c73bc85e4449eac587dc58f459dec
MD5sum: 15f4e492f936321995fa5d59285657d8
Description: duplex remote control for media players - base
 Remuco is a duplex remote control system for media players and mobile
 devices equipped with Bluetooth or WiFi. It allows you to control your
 favourite media player by switching to the next, previous, or any other media
 within your current playlist, as well as browse your media library, activate
 your other playlists, rate your media, adjust volume, and more. On the mobile
 device, it displays information about the current media, including cover art.
 .
 This package contains the base module as well as the client .jar for
 Remuco. You probably do not want to install this package directly, but instead
 install one of the adapters.
Homepage: http://remuco.googlecode.com/
Section: sound
Priority: optional
Filename: pool/main/r/remuco/remuco-base_0.9.6-2_all.deb

Package: remuco-clementine
Source: remuco
Version: 0.9.6-2
Installed-Size: 45
Maintainer: Chow Loong Jin 
Architecture: all
Depends: remuco-base (= 0.9.6-2), clementine (>= 0.7.1), python
Size: 8720
SHA256: b808e65cb07bbb118a43042b73a098b4b210106e71cb55d40e54d2e955fc0549
SHA1: 8bbe4794c513675e3f9e477922cf712c269e8a2b
MD5sum: 4966cf615366805bf10fd56404c17171
Description: duplex remote control for media players - Clementine adapter
 Remuco is a duplex remote control system for media players and mobile
 devices equipped with Bluetooth or WiFi. It allows you to control your
 favourite media player by switching to the next, previous, or any other media
 within your current playlist, as well as browse your media library, activate
 your other playlists, rate your media, adjust volume, and more. On the mobile
 device, it displays information about the current media, including cover art.
 .
 This player contains the Remuco adapter for Clementine. Clementine is a
 multiplatform music player focusing on a fast and easy-to-use interface for
 searching and playing your music.
Homepage: http://remuco.googlecode.com/
Section: sound
Priority: optional
Filename: pool/main/r/remuco/remuco-clementine_0.9.6-2_all.deb

Package: remuco-exaile
Source: remuco
Version: 0.9.6-2
Installed-Size: 65
Maintainer: Chow Loong Jin 
Architecture: all
Depends: remuco-base (= 0.9.6-2), exaile (>= 0.3.1), python-gobject
Size: 12846
SHA256: 9907987cc01c8b813438e267f74100d7c40d5500ba94e97706cc66a30982dac6
SHA1: aab32a2c7295c61ddaae0529aecc23d4369a476e
MD5sum: 5b344ba385bad9ffa07ee89c764af329
Description: duplex remote control for media players - Exaile adapter
 Remuco is a duplex remote control system for media players and mobile
 devices equipped with Bluetooth or WiFi. It allows you to control your
 favourite media player by switching to the next, previous, or any other media
 within your current playlist, as well as browse your media library, activate
 your other playlists, rate your media, adjust volume, and more. On the mobile
 device, it displays information about the current media, including cover art.
 .
 This player contains the Remuco adapter for Exaile. Exaile is a full featured
 music player written for GTK+
Homepage: http://remuco.googlecode.com/
Section: sound
Priority: optional
Filename: pool/main/r/remuco/remuco-exaile_0.9.6-2_all.deb

Package: remuco-gmusicbrowser
Source: remuco
Version: 0.9.6-2
Installed-Size: 49
Maintainer: Chow Loong Jin 
Architecture: all
Depends: remuco-base (= 0.9.6-2), gmusicbrowser (>= 1.0.2), python
Size: 9832
SHA256: 0fa8ab7c7868f4e82a2c16c14e6d41bb6803ac11314bd5b5723da5a79fd7ee57
SHA1: b537620226aad1b14174b181cbf17d5d4893fda5
MD5sum: 3f179856886ec9a5319b353dbbbb8833
Description: duplex remote control for media players - gmusicbrowser adapter
 Remuco is a duplex remote control system for media players and mobile
 devices equipped with Bluetooth or WiFi. It allows you to control your
 favourite media player by switching to the next, previous, or any other media
 within your current playlist, as well as browse your media library, activate
 your other playlists, rate your media, adjust volume, and more. On the mobile
 device, it displays information about the current media, including cover art.
 .
 This player contains the Remuco adapter for gmusicbrowser. gmusicbrowser is a
 graphic jukebox for large collections of mp3/ogg/flac/mpc files.
Homepage: http://remuco.googlecode.com/
Section: sound
Priority: optional
Filename: pool/main/r/remuco/remuco-gmusicbrowser_0.9.6-2_all.deb

Package: remuco-mpd
Source: remuco
Version: 0.9.6-2
Installed-Size: 63
Maintainer: Chow Loong Jin 
Architecture: all
Depends: remuco-base (= 0.9.6-2), python-mpd (>= 0.2), python
Recommends: mpd (>= 0.13.2)
Size: 12332
SHA256: d38eb2a3d07983cac52b19f091c46e8a1c553cfafb118592f98fb1382a3309e8
SHA1: 24b568100dcfeacb19e9c4b824a76e5a301e3e6e
MD5sum: e52faafb3f42ae47e0ebc114555a2897
Description: duplex remote control for media players - MPD adapter
 Remuco is a duplex remote control system for media players and mobile
 devices equipped with Bluetooth or WiFi. It allows you to control your
 favourite media player by switching to the next, previous, or any other media
 within your current playlist, as well as browse your media library, activate
 your other playlists, rate your media, adjust volume, and more. On the mobile
 device, it displays information about the current media, including cover art.
 .
 This package contains the Remuco adapter for Music Player Daemon (MPD). MPD is
 a server that allows remote access for playing audio files and managing
 playlists
Homepage: http://remuco.googlecode.com/
Section: sound
Priority: optional
Filename: pool/main/r/remuco/remuco-mpd_0.9.6-2_all.deb

Package: remuco-mplayer
Source: remuco
Version: 0.9.6-2
Installed-Size: 57
Maintainer: Chow Loong Jin 
Architecture: all
Depends: remuco-base (= 0.9.6-2), mplayer, python
Size: 12462
SHA256: ac59fd37fd80ee8e752cf7a5eb674307e98ce3f074e0270c693f072e6f161165
SHA1: ddd569461c60be970ec6825dcd003230e37dff2d
MD5sum: ae2b69347ef04fc8eac62e6400933746
Description: duplex remote control for media players - MPlayer adapter
 Remuco is a duplex remote control system for media players and mobile devices
 equipped with Bluetooth or WiFi. It allows you to control your favourite media
 player by switching to the next, previous, or any other media within your
 current playlist, as well as browse your media library, activate your other
 playlists, rate your media, adjust volume, and more. On the mobile device, it
 displays information about the current media, including cover art.
 .
 This package contains the Remuco adapter for MPlayer. MPlayer is movie player
 for Unix-like systems.
Homepage: http://remuco.googlecode.com/
Section: sound
Priority: optional
Filename: pool/main/r/remuco/remuco-mplayer_0.9.6-2_all.deb

Package: remuco-okular
Source: remuco
Version: 0.9.6-2
Installed-Size: 49
Maintainer: Chow Loong Jin 
Architecture: all
Depends: remuco-base (= 0.9.6-2), okular, python
Size: 10004
SHA256: c02301ef720a3d0183eff205604a1b2428f7b85eea21f7919e0a484315854f56
SHA1: df18e49d6d797730a9cdce3e9d2c39592325e73b
MD5sum: 1262d1fffd7b46ffd1858bc990413951
Description: duplex remote control for media players - Okular adapter
 Remuco is a duplex remote control system for media players and mobile devices
 equipped with Bluetooth or WiFi. It allows you to control your favourite media
 player by switching to the next, previous, or any other media within your
 current playlist, as well as browse your media library, activate your other
 playlists, rate your media, adjust volume, and more. On the mobile device, it
 displays information about the current media, including cover art.
 .
 This package contains the Remuco adapter for Okular. Okular is a document
 viewer for KDE 4.
Homepage: http://remuco.googlecode.com/
Section: sound
Priority: optional
Filename: pool/main/r/remuco/remuco-okular_0.9.6-2_all.deb

Package: remuco-quodlibet
Source: remuco
Version: 0.9.6-2
Installed-Size: 51
Maintainer: Chow Loong Jin 
Architecture: all
Depends: remuco-base (= 0.9.6-2), quodlibet (>= 2.2), python
Size: 10270
SHA256: bb78c08d5054e61f935e4da7a943312dab12c195fc8b8d0d01c5e3c61737bcd9
SHA1: 2d9bf24e906a2b00b669b1102dbd931677e64b4c
MD5sum: e72905ec1bb498cbd92ba10969dd0288
Description: duplex remote control for media players - Quod Libet adapter
 Remuco is a duplex remote control system for media players and mobile devices
 equipped with Bluetooth or WiFi. It allows you to control your favourite media
 player by switching to the next, previous, or any other media within your
 current playlist, as well as browse your media library, activate your other
 playlists, rate your media, adjust volume, and more. On the mobile device, it
 displays information about the current media, including cover art.
 .
 This package contains the Remuco adapter for Quod Libet. Quod Libet is an audio
 library manager and player for GTK+.
Homepage: http://remuco.googlecode.com/
Section: sound
Priority: optional
Filename: pool/main/r/remuco/remuco-quodlibet_0.9.6-2_all.deb

Package: remuco-totem
Source: remuco
Version: 0.9.6-2
Installed-Size: 58
Maintainer: Chow Loong Jin 
Architecture: all
Depends: remuco-base (= 0.9.6-2), totem (>= 2.22)
Size: 10836
SHA256: 9ea4fedf953badac94d675903848c95f4c9a5e6a5f813a50d6ffe499f45bb832
SHA1: 361fac49a45640bbdbacaf7054f3416b4ae462bb
MD5sum: 9c9abdf2d365abf8ef6730e95c1a6e4e
Description: duplex remote control for media players - Totem adapter
 Remuco is a duplex remote control system for media players and mobile
 devices equipped with Bluetooth or WiFi. It allows you to control your
 favourite media player by switching to the next, previous, or any other media
 within your current playlist, as well as browse your media library, activate
 your other playlists, rate your media, adjust volume, and more. On the mobile
 device, it displays information about the current media, including cover art.
 .
 This package contains the Remuco adapter for Totem. Totem is a simple media
 player for the GNOME desktop.
Homepage: http://remuco.googlecode.com/
Section: sound
Priority: optional
Filename: pool/main/r/remuco/remuco-totem_0.9.6-2_all.deb

Package: remuco-tvtime
Source: remuco
Version: 0.9.6-2
Installed-Size: 49
Maintainer: Chow Loong Jin 
Architecture: all
Depends: remuco-base (= 0.9.6-2), tvtime (>= 0.9.11), python
Size: 9880
SHA256: 50ac5060ce258d07442dc49fbc4d17f8013a5f1c89c05100cae66e2a01c57bbf
SHA1: 1551fecc7b868a1d0ad8151aa3140156ffe64df7
MD5sum: 05c5992b47ae1812e15e9a70d0de0f24
Description: duplex remote control for media players - tvtime adapter
 Remuco is a duplex remote control system for media players and mobile
 devices equipped with Bluetooth or WiFi. It allows you to control your
 favourite media player by switching to the next, previous, or any other media
 within your current playlist, as well as browse your media library, activate
 your other playlists, rate your media, adjust volume, and more. On the mobile
 device, it displays information about the current media, including cover art.
 .
 This package contains the Remuco adapter for tvtime. tvtime is a high quality
 television application for use with video capture cards.
Homepage: http://remuco.googlecode.com/
Section: sound
Priority: optional
Filename: pool/main/r/remuco/remuco-tvtime_0.9.6-2_all.deb

Package: remuco-vlc
Source: remuco
Version: 0.9.6-2
Installed-Size: 47
Maintainer: Chow Loong Jin 
Architecture: all
Depends: remuco-base (= 0.9.6-2), vlc (>= 0.9), python
Size: 9182
SHA256: bf09774f6bb9e5f2a0baee2782c368ff2e29b93c2b0bc086e51291b32b69f8a4
SHA1: e80aaad74e8fba76ffcece0aa0c805563dade051
MD5sum: e074473b3502ac36839f3a7bba9a66e9
Description: duplex remote control for media players - VLC adapter
 Remuco is a duplex remote control system for media players and mobile
 devices equipped with Bluetooth or WiFi. It allows you to control your
 favourite media player by switching to the next, previous, or any other media
 within your current playlist, as well as browse your media library, activate
 your other playlists, rate your media, adjust volume, and more. On the mobile
 device, it displays information about the current media, including cover art.
 .
 This package contains the Remuco adapter for VLC. VLC is a multimedia player
 and streamer.
Homepage: http://remuco.googlecode.com/
Section: sound
Priority: optional
Filename: pool/main/r/remuco/remuco-vlc_0.9.6-2_all.deb

Package: remuco-xmms2
Source: remuco
Version: 0.9.6-2
Installed-Size: 70
Maintainer: Chow Loong Jin 
Architecture: all
Depends: remuco-base (= 0.9.6-2), xmms2 (>= 0.5), python-xmmsclient (>= 0.5), python
Size: 14102
SHA256: 6933989fea504d1ad35bfd50deda3388e5935e34d52d3f44c94a83415cb1bb6c
SHA1: a4ecfc286c2247fe9c4d67d341ae5efdf0b71a26
MD5sum: b0c76d48100794cc33fd2df4efa0b9b4
Description: duplex remote control for media players - XMMS2 adapter
 Remuco is a duplex remote control system for media players and mobile
 devices equipped with Bluetooth or WiFi. It allows you to control your
 favourite media player by switching to the next, previous, or any other media
 within your current playlist, as well as browse your media library, activate
 your other playlists, rate your media, adjust volume, and more. On the mobile
 device, it displays information about the current media, including cover art.
 .
 This package contains the Remuco adapter for XMMS2. XMMS2 is a client/server
 based media player system.
Homepage: http://remuco.googlecode.com/
Section: sound
Priority: optional
Filename: pool/main/r/remuco/remuco-xmms2_0.9.6-2_all.deb

Package: renaissance-doc
Source: renaissance
Version: 0.9.0-4
Installed-Size: 1352
Maintainer: Debian GNUstep maintainers 
Architecture: all
Replaces: librenaissance0-dev
Recommends: w3m | www-browser
Size: 238166
SHA256: 377a24c36ca8b5ae5b98c027b50e194915276fa84b0b7ea0593dc671846efe20
SHA1: d7711380f16c1d5baf58a3aa14433310a4475e2d
MD5sum: 300e0382db55d68845b7d1c8af30ed3a
Description: GNUstep GUI Framework - documentation
 Renaissance is a GNUstep develoment framework which runs on top of the
 GNUstep libraries.
 .
 This package include the Renaissance manual, tutorial and various
 examples.
Homepage: http://gnustep.it/Renaissance
Tag: devel::doc, devel::examples, made-of::html, role::documentation,
 suite::gnustep
Section: doc
Priority: optional
Filename: pool/main/r/renaissance/renaissance-doc_0.9.0-4_all.deb

Package: renameutils
Version: 0.12.0-1
Architecture: armhf
Maintainer: Francois Marier 
Installed-Size: 622
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0)
Homepage: http://www.nongnu.org/renameutils/
Priority: optional
Section: utils
Filename: pool/main/r/renameutils/renameutils_0.12.0-1_armhf.deb
Size: 125014
SHA256: 70aaae43a06e5f9bbcc936d845b29e8bbdb1fab0274e2feda262444a00906409
SHA1: 66e652c3373c7f70cd7d3abd64ceec54f47b9996
MD5sum: 8436f7a4bdc63774ea8dfa1ef53351f0
Description: Programs to make file renaming easier
 The file renaming utilities (renameutils for short) are a set of
 programs designed to make renaming of files faster and less
 cumbersome.
 .
 This package consists of five programs - qmv, imv, icp, qcp and deurlname:
 .
 qmv ("quick move") allows file names to be edited in a text
 editor. The names of all files in a directory are written to a text
 file, which is then edited by the user. The text file is read and
 parsed, and the changes are applied to the files.
 .
 imv ("interactive move"), is trivial but useful when you are too lazy
 to type (or even complete) the name of the file to rename twice. It
 allows a file name to be edited in the terminal using the GNU Readline
 library.
 .
 icp and qcp are similar to imv and qmv but for copying using "cp".
 .
 deurlname removes URL encoded characters (such as %20 representing
 space) from file names. Some programs such as w3m tend to keep those
 characters encoded in saved files.

Package: renattach
Version: 1.2.4-3
Architecture: armhf
Maintainer: Mats Rynge 
Installed-Size: 93
Depends: libc6 (>= 2.13-28), exim4 | mail-transport-agent
Recommends: procmail
Priority: optional
Section: mail
Filename: pool/main/r/renattach/renattach_1.2.4-3_armhf.deb
Size: 33506
SHA256: 618fdcc1553c72aff7bd3fdc900d346e8ff7050ce7da49f338f98f3357a3b41a
SHA1: d64a5517c7318445dc926f22b8929d2af95cd0b8
MD5sum: 9788ae70bd0a0fea3884d9019107c054
Description: Rename attachments on the fly
 Renattach is a small, efficient and surprisingly effective filter
 designed primarily to offer an additional level of safety to Windows
 users whose e-mails pass through a UNIX-like mail server. Many modern
 viruses are spread through e-mail, and renattach combats such viruses by
 filtering e-mail attachments based on file extension. The idea is to
 rename potentially dangerous attachments (executable ones) so that
 the user, or the user's poorly written e-mail software, does not
 accidentally execute the attachment.

Package: reniced
Version: 1.19-1
Installed-Size: 100
Maintainer: Christian Garbs 
Architecture: all
Depends: libbsd-resource-perl, perl
Size: 12730
SHA256: 61e66daa1f2a4a89977f94920b150e8f1c969617cc9f86ecf1dd348988ce8d61
SHA1: 9f05883a8af82a301d9510da894f1bdb9d353b5a
MD5sum: 2f4b8c6a86c8fe094387a89484652c34
Description: renice running processes based on regular expressions
 reniced takes a list of regular expressions, looks for processes
 matching them and renices the processes to given values.
 .
 Instead of editing the scripts in /etc/init.d to give daemons the
 nicelevel you want (and get prompted at every package update because
 these files are conffiles) you can just run reniced once a day.
Homepage: http://www.cgarbs.de/stuff.en.html
Tag: admin::automation, interface::commandline, interface::daemon,
 role::program, scope::utility, works-with::software:running
Section: utils
Priority: optional
Filename: pool/main/r/reniced/reniced_1.19-1_all.deb

Package: renpy
Version: 6.13.12-1
Installed-Size: 3087
Maintainer: Debian Games Team 
Architecture: all
Depends: python-pygame (>= 1.8.1), python-renpy, ttf-dejavu-core, python (>= 2.6), python-support (>= 0.90.0)
Recommends: python-ctypes
Size: 927906
SHA256: cfd2db90c530cee3783f39741d3f91a86d4896c45a9e7b37332387be61f9245e
SHA1: 11d5f817b34c2670ac7eeacdc98a01c632cb2971
MD5sum: fda85f565eda12f1c429e013b594e4c3
Description: framework for developing visual-novel type games
 Ren'Py is a programming language and runtime, intended to ease the creation
 of visual-novel type games. It contains features that make it easy to
 display thoughts, dialogue, and menus; to display images to the user; to
 write game logic; and to support the saving and loading of games.
 .
 Ren'Py tries to be like an executable script, allowing you to get a working
 game without much more effort than is required to type the game script into
 the computer.
 .
 Ren'Py is implemented on top of Python, and that Python heritage shows
 through in many places. Many Ren'Py statements allow Python expressions
 to be used, and there are also Ren'Py statements that allow for the
 execution of arbitrary Python code. Many of the less-used features of
 Ren'Py are exposed to the user by way of Python. By only requiring use of
 the simplest features of Python, it's hoped that Ren'Py will be usable by
 all game authors.
Homepage: http://www.renpy.org/
Tag: devel::lang:python, game::adventure, implemented-in::python,
 role::program, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/r/renpy/renpy_6.13.12-1_all.deb

Package: renpy-demo
Source: renpy
Version: 6.13.12-1
Installed-Size: 5532
Maintainer: Debian Games Team 
Architecture: all
Depends: renpy (= 6.13.12-1)
Size: 5432948
SHA256: a12cbb9d9a764f9d05a657093568eed72a6be2124ea9ea32ae60803e729fa032
SHA1: 3897f056b6da718b04d831e31a5d67ca3e34ca59
MD5sum: 190389c74fadddfa2666922adfc81538
Description: framework for developing visual-novel type games - demo
 Ren'Py is a programming language and runtime, intended to ease the creation
 of visual-novel type games. It contains features that make it easy to
 display thoughts, dialogue, and menus; to display images to the user; to
 write game logic; and to support the saving and loading of games.
 .
 This package includes a full playable example showing the features of the
 framework.
Homepage: http://www.renpy.org/
Section: games
Priority: optional
Filename: pool/main/r/renpy/renpy-demo_6.13.12-1_all.deb

Package: renpy-doc
Source: renpy
Version: 6.13.12-1
Installed-Size: 2075
Maintainer: Debian Games Team 
Architecture: all
Suggests: renpy
Size: 531176
SHA256: 3103c7e505d5458d522d9e43fb2d91b4aab1f5d81eec3b08f706f89bac46fa41
SHA1: ffdb408a97fe3122595c75dd8c42251f3f5ac268
MD5sum: 78d838aa1efe462c378225e8682057c5
Description: framework for developing visual-novel type games - doc
 Ren'Py is a programming language and runtime, intended to ease the creation
 of visual-novel type games. It contains features that make it easy to
 display thoughts, dialogue, and menus; to display images to the user; to
 write game logic; and to support the saving and loading of games.
 .
 This package includes the documentation for Ren'Py.
Homepage: http://www.renpy.org/
Tag: devel::doc, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/renpy/renpy-doc_6.13.12-1_all.deb

Package: renpy-thequestion
Source: renpy
Version: 6.13.12-1
Installed-Size: 1451
Maintainer: Debian Games Team 
Architecture: all
Depends: renpy (= 6.13.12-1)
Size: 1377960
SHA256: f5248f6419194c3c43084e750841d2048ae3ca54f22e14078179bb33e60fd2c5
SHA1: 3507d1ffc505c846cb88d3ecf0eb7fb5565b30f4
MD5sum: c2918777dd0a83108d05d691bbc11468
Description: simple and complete Ren'Py game
 Ren'Py is a programming language and runtime, intended to ease the creation
 of visual-novel type games. It contains features that make it easy to
 display thoughts, dialogue, and menus; to display images to the user; to
 write game logic; and to support the saving and loading of games.
 .
 This package includes a simple and complete Ren'Py game.
Homepage: http://www.renpy.org/
Section: games
Priority: optional
Filename: pool/main/r/renpy/renpy-thequestion_6.13.12-1_all.deb

Package: renrot
Version: 1.1-2
Installed-Size: 248
Maintainer: Andy Shevchenko 
Architecture: all
Depends: perl, libimage-exiftool-perl (>= 5.72), libjpeg-progs (>= 6b)
Suggests: perlmagick
Size: 65702
SHA256: 9df3d96a7acb65575f50eca7ecc70905a3b667dae303da05965546aaab156eae
SHA1: 32881c504bc3079c2f3395f6eb813231a7787f67
MD5sum: 9085cdbf6f3e898423340199703b8115
Description: Rename and rotate files according to EXIF tags
 RenRot renames files according the DateTimeOriginal and FileModifyDate
 EXIF tags, if they exist. Otherwise, the name will be set according to
 the current timestamp. Additionally, it rotates JPEG images and their
 thumbnails, using the Orientation EXIF tag.
Tag: hardware::camera, implemented-in::perl, interface::commandline,
 role::program, scope::utility, use::converting, use::organizing,
 works-with-format::jpg, works-with::image, works-with::image:raster
Section: graphics
Priority: optional
Filename: pool/main/r/renrot/renrot_1.1-2_all.deb

Package: rep
Source: librep
Version: 0.90.2-1.3
Architecture: armhf
Maintainer: Luis Rodrigo Gallardo Cruz 
Installed-Size: 47
Depends: libc6 (>= 2.4), librep9 (>= 0.17+svn20070119)
Suggests: rep-doc
Conflicts: librep9 (<= 0.17.3-3)
Replaces: librep9 (<= 0.17.3-3)
Homepage: http://librep.sourceforge.net/
Priority: optional
Section: lisp
Filename: pool/main/libr/librep/rep_0.90.2-1.3_armhf.deb
Size: 9324
SHA256: 6e47dd7b2ae3a21c5c1f3648dc9bb3cdcabbcdb0840076bb004025e177606e94
SHA1: 6cac70e0900069834f0af86ec65aafdd0f3847e4
MD5sum: e81f44e255895cf1f9e3ad34e3a58c63
Description: lisp command interpreter
 rep is a dialect of Lisp, designed to be used both as an extension
 language for applications and as a general purpose programming language.
 .
 It was originally written to be mostly-compatible with Emacs Lisp, but has
 subsequently diverged markedly. Its aim is to combine the best features of
 Scheme and Common Lisp and provide an environment that is comfortable for
 implementing both small and large scale systems. It tries to be a
 "pragmatic" programming language.

Package: rep-doc
Source: librep
Version: 0.90.2-1.3
Installed-Size: 172
Maintainer: Luis Rodrigo Gallardo Cruz 
Architecture: all
Replaces: librep-doc
Provides: librep-doc
Depends: info | info-browser, dpkg (>= 1.15.4) | install-info
Conflicts: librep-doc
Size: 137538
SHA256: 6bf51da2a98140b10003b67414e6e95ff82bbbac1a4a4a62f0506b0d1587fcf4
SHA1: 835a73127b5335ed43af8874e3e9375ee5b31c8f
MD5sum: 40772b2305a765ee287d7c13f9e55196
Description: documentation for the lisp command interpreter
 rep is a dialect of Lisp, designed to be used both as an extension
 language for applications and as a general purpose programming language.
 .
 It was originally written to be mostly-compatible with Emacs Lisp, but has
 subsequently diverged markedly. Its aim is to combine the best features of
 Scheme and Common Lisp and provide an environment that is comfortable for
 implementing both small and large scale systems. It tries to be a
 "pragmatic" programming language.
 .
 This package contains the language documentation in info format.
Homepage: http://librep.sourceforge.net/
Tag: devel::lang:lisp
Section: doc
Priority: optional
Filename: pool/main/libr/librep/rep-doc_0.90.2-1.3_all.deb

Package: rep-gtk
Version: 1:0.90.0-2
Architecture: armhf
Maintainer: Luis Rodrigo Gallardo Cruz 
Installed-Size: 2701
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), librep9 (>= 0.17.3)
Suggests: rep
Conflicts: rep-gtk2
Breaks: rep-gtk-gnome (<= 0.18.cvs20060518-3)
Replaces: rep-gtk-gnome, rep-gtk2
Homepage: http://rep-gtk.sourceforge.net/
Priority: optional
Section: lisp
Filename: pool/main/r/rep-gtk/rep-gtk_0.90.0-2_armhf.deb
Size: 830804
SHA256: 164eb39cc8dec24fbdd537edee83cb793221b1bc8c8ccfe021e7a879b3a89532
SHA1: 4bb90aafd872e80e7f52bc6bd209624c3f2a8e1f
MD5sum: 91a536dced65a0a4778e9e4db0b74244
Description: GTK+ binding for librep
 This package provides an interface to the GTK+ graphical toolkit for
 the librep lisp interpreter.

Package: rep-gtk-gnome
Source: rep-gtk
Version: 1:0.90.0-2
Installed-Size: 36
Maintainer: Luis Rodrigo Gallardo Cruz 
Architecture: all
Size: 4124
SHA256: b6dc2220b24c49232bfef6f0e51f32cd66edd0522ade647a3cbfed03c835cc9e
SHA1: a4b6c07e8bbaf7c0d50d283b141b23ad11c11da9
MD5sum: 0f277c931c19e49ea2a069742acaa763
Description: Dummy package for transition
 This package used to provide an interface to the GNOME 2 libraries
 toolkit for the librep lisp interpreter, but has been deprecated
 upstream.
 .
 You can safely delete this package from your system.
Homepage: http://rep-gtk.sourceforge.net/
Tag: devel::lang:lisp, interface::x11, role::devel-lib, suite::gnome,
 uitoolkit::gtk, x11::application
Section: interpreters
Priority: extra
Filename: pool/main/r/rep-gtk/rep-gtk-gnome_0.90.0-2_all.deb

Package: rephrase
Version: 0.1-3
Architecture: armhf
Maintainer: Debian Forensics 
Installed-Size: 51
Depends: libc6 (>= 2.13-28), gnupg
Homepage: http://www.roguedaemon.net/rephrase/
Priority: extra
Section: utils
Filename: pool/main/r/rephrase/rephrase_0.1-3_armhf.deb
Size: 10272
SHA256: 1b47d916552a7d0fab8df9c3ba0ce54ed139fcae416e35db1e396dea4bb98b16
SHA1: cceff8a7781bd37b4bd51faf8080e81fafc46097
MD5sum: 66bf40060d0ba4cbc65f29f890b053cb
Description: Specialized passphrase recovery tool for GnuPG
 If you can nearly remember your GnuPG passphrase - but not quite - then
 Rephrase may be able to help. Tell Rephrase the parts of the passphrase you
 know, and any number of alternatives for the parts you're not sure about; and
 Rephrase will try all the alternatives, in all possible combinations, and tell
 you which combination (if any) gives you the correct passphrase.

Package: reportbug
Version: 6.4.4+deb7u2
Installed-Size: 284
Maintainer: Reportbug Maintainers 
Architecture: all
Depends: python (>= 2.6), apt, python-requests, python-reportbug (= 6.4.4+deb7u2)
Suggests: postfix | exim4 | mail-transport-agent, gnupg | pgp, debconf-utils (>> 1.1.0), debsums (>= 2.0.47), file (>> 1.30), dlocate, python-urwid, python-gtk2, python-vte, python-gtkspell, xdg-utils, emacs22-bin-common | emacs23-bin-common, claws-mail (>= 3.8.0)
Size: 128936
SHA256: 544b456a9538012f6a8f28e590eedbb243c63062b77338d24ea7fc30a6eca068
SHA1: c34204c41a6ec34696ba85f9cb3d771a5e4ee468
MD5sum: c5b012765accf6ac33089d49ba012412
Description: reports bugs in the Debian distribution
 reportbug is a tool designed to make the reporting of bugs in Debian
 and derived distributions relatively painless.  Its features include:
 .
  * Integration with mutt and mh/nmh mail readers.
  * Access to outstanding bug reports to make it easier to identify
    whether problems have already been reported.
  * Automatic checking for newer versions of packages.
  * Optional automatic verification of integrity of packages via debsums.
  * Support for following-up on outstanding reports.
  * Optional PGP/GnuPG integration.
 .
 reportbug is designed to be used on systems with an installed mail
 transport agent, like exim or sendmail; however, you can edit the
 configuration file and send reports using any available mail server.
 .
 This package also includes the "querybts" script for browsing the
 Debian bug tracking system.
Homepage: http://alioth.debian.org/projects/reportbug/
Section: utils
Priority: standard
Filename: pool/main/r/reportbug/reportbug_6.4.4+deb7u2_all.deb

Package: reportbug-ng
Version: 1.27
Installed-Size: 311
Maintainer: Bastian Venthur 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-debianbts (>= 1.0), python-qt4, xdg-utils, xterm, python-apt (>= 0.7.93)
Size: 76614
SHA256: ee9fb1af21a744a6afcfb1c81a2b89abd3466deee18b905f680e1c9e0048bde3
SHA1: e202060976d5aac116f9e91f6d7da01c6b5c1910
MD5sum: fe5f61e636b9b3ff25b32a791ac6866e
Description: An easy to use alternative to Debian's classic reportbug
 Reportbug-NG is a graphical interface using Qt for searching, filtering,
 reporting or manipulating bugs in Debian's Bug Tracking System.
Homepage: http://reportbug-ng.alioth.debian.org/
Tag: implemented-in::python, interface::x11, role::program, scope::utility,
 suite::debian, uitoolkit::qt, works-with::bugs, x11::application
Section: utils
Priority: optional
Filename: pool/main/r/reportbug-ng/reportbug-ng_1.27_all.deb

Package: reprepro
Version: 4.12.5-1
Architecture: armhf
Maintainer: Bernhard R. Link 
Installed-Size: 966
Depends: libarchive12, libbz2-1.0, libc6 (>= 2.13-28), libdb5.1, libgcc1 (>= 1:4.4.0), libgpg-error0 (>= 1.10), libgpgme11 (>= 1.2.0), zlib1g (>= 1:1.2.3.3)
Recommends: apt (>= 0.9.4)
Suggests: gnupg-agent, inoticoming, lzip (>= 1.11-2), xz-utils (>= 5.1.1alpha+20120614-1~) | xz-lzma | lzma, xz-utils
Homepage: http://mirrorer.alioth.debian.org/
Priority: extra
Section: utils
Filename: pool/main/r/reprepro/reprepro_4.12.5-1_armhf.deb
Size: 470154
SHA256: bb82b3dd86a36d8c9d4c46c810c3c32f12670929f0ebdef58f5a15e284f95210
SHA1: 5bf2b94677c5c977a68c8faf5f93889ad324754f
MD5sum: 76baa69d5638cd4fc589434ad5cafa5f
Description: Debian package repository producer
 reprepro is a tool to manage a repository of Debian packages
 (.deb, .udeb, .dsc, ...). It stores files either being
 injected manually or downloaded from some other repository
 (partially) mirrored into one pool/ hierarchy.
 Managed packages and files are stored in a Berkeley DB,
 so no database server is needed.
 Checking signatures of mirrored repositories and creating
 signatures of the generated Package indices is supported.
 .
 This package also contains the changestool and rredtool helpers.

Package: repro
Source: resiprocate
Version: 1.8.5-4+deb7u1
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 849
Pre-Depends: multiarch-support
Depends: libc-ares2 (>= 1.7.0), libc6 (>= 2.13-28), libdb5.1++, libgcc1 (>= 1:4.4.0), libmysqlclient18 (>= 5.5.24+dfsg-1), libresiprocate-1.8, libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), adduser
Homepage: http://www.resiprocate.org/
Priority: extra
Section: net
Filename: pool/main/r/resiprocate/repro_1.8.5-4+deb7u1_armhf.deb
Size: 381836
SHA256: 6558379fb21f6c4bee13066e1b8616747faee1e6340f283543521cf70eced091
SHA1: d454ddef12bb1e2620a41e68a87e5ba047b4bdf9
MD5sum: 94fefd5bcd6e24a08e442a7cedbcf506
Description: reSIProcate SIP stack - lightweight SIP proxy daemon
 repro is the SIP proxy developed as part of the reSIProcate project.
 It provides a high-quality, low maintenance solution for serving
 small and large IP telephony installations.
 .
 reSIProcate is a framework that aims to fully implement the SIP protocol
 in first class C++.  It is intended for use in other applications,
 such as the repro SIP proxy.

Package: reprof
Version: 1.0.1-1
Installed-Size: 79
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: perl, librg-reprof-bundle-perl
Recommends: pp-popularity-contest
Size: 18604
SHA256: 39ffeca1171bc4b7465650707ef5c0c583a26d1c9cec5828c5d0f691c5f3cbf9
SHA1: c8e5049cccc049222e4aafb69adef98de8ee717c
MD5sum: 3273f6cd5bbcdadeb770760093694017
Description: protein secondary structure and accessibility predictor
 'reprof' is an improved implementation of 'prof', a popular protein secondary
 structure and accessibility predictor.  Prediction is either
 done from protein sequence alone or from an alignment - the latter should be
 used for optimal performance.
 .
 This package provides the 'reprof' command.  It is only a command line
 interface to the functionality provided by the modules in
 librg-reprof-bundle-perl.
Homepage: http://rostlab.org/
Section: science
Priority: extra
Filename: pool/main/r/reprof/reprof_1.0.1-1_all.deb

Package: reptyr
Version: 0.3-2
Architecture: armhf
Maintainer: Evan Broder 
Installed-Size: 61
Depends: libc6 (>= 2.4)
Homepage: https://github.com/nelhage/reptyr
Priority: optional
Section: misc
Filename: pool/main/r/reptyr/reptyr_0.3-2_armhf.deb
Size: 14446
SHA256: cd9a7776a6fc775e61017c447b5f46785696d73c6c9fb8dcfdf09d18bef67d8f
SHA1: 339fbd17bc672903bf805b38ded4f2a4ad9d567c
MD5sum: 571ac19f21c5cee04cb03cd154ffffa9
Description: Tool for moving running programs between ptys
 reptyr is a utility for taking an existing running program and
 attaching it to a new terminal, and is particularly useful for moving
 a long-running process into a GNU screen session.
 .
 reptyr does a more thorough job of transferring programs than many
 other tools, including the popular "screenify" shell script, because
 it changes the program's controlling terminal. This means that
 actions such as window resizes and interrupts are sent to the process
 from the new terminal.

Package: request-tracker3.8
Version: 3.8.11-1
Installed-Size: 20548
Maintainer: Debian Request Tracker Group 
Architecture: all
Replaces: request-tracker
Depends: rt3.8-clients (= 3.8.11-1), rt3.8-apache2 (= 3.8.11-1), rt3.8-db-sqlite (= 3.8.11-1) | rt3.8-db-postgresql (= 3.8.11-1) | rt3.8-db-mysql (= 3.8.11-1), exim4 | mail-transport-agent, rsyslog | system-log-daemon, perl, libhtml-mason-perl (>= 1:1.36-1), libapache-session-perl (>= 1.53), libregexp-common-perl, libdbi-perl (>= 1.37), libclass-returnvalue-perl (>= 0.40), libdbix-searchbuilder-perl (>= 1.53), libcache-simple-timedexpiry-perl, libtext-template-perl (>= 1.44), liblog-dispatch-perl (>= 2.0), libhtml-parser-perl, liblocale-maketext-fuzzy-perl, liblocale-maketext-lexicon-perl (>= 0.32), libmime-tools-perl (>= 5.108) | libmime-perl (>= 5.108), libmime-types-perl, libmailtools-perl (>= 1.57), libtext-wrapper-perl, libtime-modules-perl, libtext-autoformat-perl, libtext-wikiformat-perl, libhtml-scrubber-perl, libmodule-versions-report-perl (>= 1.03), libtree-simple-perl (>= 1.04), libxml-rss-perl (>= 1.05), libxml-simple-perl, libcalendar-simple-perl, libgd-graph-perl, libuniversal-require-perl, libgd-text-perl, libtimedate-perl, dbconfig-common (>= 1.8.38), ucf (>= 0.28), libcss-squish-perl (>= 0.06), libdevel-stacktrace-perl (>= 1.19), libfile-sharedir-perl, libemail-address-perl, libperlio-eol-perl, libdata-ical-perl, libtext-quoted-perl (>= 2.02), libhtml-rewriteattributes-perl (>= 0.02), libgraphviz-perl, libgnupg-interface-perl, libjs-scriptaculous, libjs-prototype, libipc-run-safehandles-perl, perl (>= 5.10.0) | libencode-perl (>= 2.21), perl (>= 5.12.0) | libcgi-pm-perl (>= 3.38), libcgi-fast-perl, libfcgi-procmanager-perl, perl (>= 5.10.0) | libdigest-sha-perl, perl (>= 5.10.1) | libfile-temp-perl (>= 0.19), debconf (>= 0.5) | debconf-2.0
Recommends: speedy-cgi-perl, libdatetime-locale-perl, libdatetime-perl, cron-daemon
Suggests: rt3.8-rtfm
Conflicts: libdatetime-locale-perl (<< 1:0.44), libdatetime-perl (<< 2:0.4900), request-tracker, request-tracker3.2 (<< 3.2.2-3)
Size: 5167154
SHA256: 9b35221eb8aec46993d3dbbfaf87238100d46df9d3ccb924ae656364208105e3
SHA1: 29832e5a922e79066574484920e2f726fe72d4a0
MD5sum: aa261c40110b97764164f1368ed4a20e
Description: extensible trouble-ticket tracking system
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt3.8-clients).
 .
 This package provides the 3.8 series of RT. It can be installed alongside
 the 3.6 series without any problems.
 .
 RT is a high-level, portable, platform independent system that eases
 collaboration within organizations and makes it easy for them to take care
 of their customers.
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides the core of RT.
 .
 This package supports three database types out of the box: MySQL,
 PostgreSQL and SQLite. In order to support a zero-configuration install,
 SQLite will be used by default, but is not recommended for production
 use. Please see /usr/share/doc/request-tracker3.8/NOTES.Debian for more
 details and consider installing rt3.8-db-postgresql or rt3.8-db-mysql at
 the same time as this package.
Tag: admin::issuetracker, network::server, role::program, works-with::bugs
Section: misc
Priority: optional
Filename: pool/main/r/request-tracker3.8/request-tracker3.8_3.8.11-1_all.deb

Package: request-tracker4
Version: 4.0.7-5+deb7u5
Installed-Size: 18736
Maintainer: Debian Request Tracker Group 
Architecture: all
Replaces: request-tracker
Depends: rt4-clients (= 4.0.7-5+deb7u5), rt4-apache2 (= 4.0.7-5+deb7u5) | rt4-fcgi (= 4.0.7-5+deb7u5), rt4-db-sqlite (= 4.0.7-5+deb7u5) | rt4-db-postgresql (= 4.0.7-5+deb7u5) | rt4-db-mysql (= 4.0.7-5+deb7u5), exim4 | mail-transport-agent, rsyslog | system-log-daemon, perl, libhtml-mason-perl (>= 1:1.43-1), libapache-session-perl (>= 1.53), libregexp-common-perl, libdbi-perl (>= 1.37), libclass-returnvalue-perl (>= 0.40), libdbix-searchbuilder-perl (>= 1.59), libcache-simple-timedexpiry-perl, libtext-template-perl (>= 1.44), liblog-dispatch-perl (>= 2.23), liblocale-maketext-fuzzy-perl, liblocale-maketext-lexicon-perl (>= 0.32), libmime-tools-perl (>= 5.108) | libmime-perl (>= 5.108), libmime-types-perl, libmailtools-perl (>= 1.57), libtext-wrapper-perl, libtime-modules-perl, libtext-autoformat-perl, libtext-wikiformat-perl, libhtml-scrubber-perl, libmodule-versions-report-perl (>= 1.03), libtree-simple-perl (>= 1.04), libxml-rss-perl (>= 1.05), libxml-simple-perl, libgd-graph-perl, libuniversal-require-perl, libgd-text-perl, libtimedate-perl, dbconfig-common (>= 1.8.38), ucf (>= 0.28), libcss-squish-perl (>= 0.06), libdevel-stacktrace-perl (>= 1.19), libfile-sharedir-perl, libemail-address-perl, libperlio-eol-perl, libdata-ical-perl, libtext-quoted-perl (>= 2.02), libhtml-rewriteattributes-perl (>= 0.04), libgraphviz-perl, libgnupg-interface-perl, perl (>= 5.12.2) | libencode-perl (>= 2.39), perl (>= 5.12.0) | libcgi-pm-perl (>= 3.38), libfcgi-procmanager-perl, libdatetime-perl (>= 0.44), libdatetime-locale-perl (>= 0.40), libhtml-quoted-perl, perl (>= 5.10.1) | libfile-temp-perl (>= 0.19), libtext-password-pronounceable-perl, libdevel-globaldestruction-perl, liblist-moreutils-perl, libnet-cidr-perl, libregexp-common-net-cidr-perl, libregexp-ipv6-perl, libjson-perl, libipc-run3-perl, libcgi-psgi-perl (>= 0.12), libhtml-mason-psgihandler-perl (>= 0.52), libplack-perl (>= 0.9971), libcgi-emulate-psgi-perl, libconvert-color-perl, libclass-accessor-perl (>= 0.34), ttf-droid, libhtml-tree-perl, libhtml-format-perl, liburi-perl (>= 1.59), libipc-run-perl (>= 0.90), debconf (>= 0.5) | debconf-2.0
Conflicts: libdatetime-locale-perl (<< 1:0.44), libdatetime-perl (<< 2:0.4900), request-tracker, request-tracker3.2 (<< 3.2.2-3)
Size: 3955282
SHA256: dd5c7d878edf05bc5cd67710b281f5ec81195b7fb29a32ee3628feb1e7c9cd25
SHA1: c317e47a4fa2d412f99e7f32e5f70cd7fab89b7f
MD5sum: fedd03e24530b956868face7be5e720e
Description: extensible trouble-ticket tracking system
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 RT is a high-level, portable, platform independent system that eases
 collaboration within organizations and makes it easy for them to take care
 of their customers.
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides the core of RT.
 .
 This package supports three database types out of the box: MySQL,
 PostgreSQL and SQLite. In order to support a zero-configuration install,
 SQLite will be used by default, but is not recommended for production
 use. Please see /usr/share/doc/request-tracker4/NOTES.Debian for more
 details and consider installing rt4-db-postgresql or rt4-db-mysql at
 the same time as this package.
Homepage: http://bestpractical.com/rt/
Recommends: cron-daemon
Section: misc
Priority: optional
Filename: pool/main/r/request-tracker4/request-tracker4_4.0.7-5+deb7u5_all.deb

Package: resample
Version: 1.8.1-1
Architecture: armhf
Maintainer: Paul Brossier 
Installed-Size: 395
Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0)
Priority: optional
Section: utils
Filename: pool/main/r/resample/resample_1.8.1-1_armhf.deb
Size: 183412
SHA256: 888a0356da27dffd81a5ed97a38e2493b1d051cbf2cf82f3677d481b75db8467
SHA1: 85ea0dcc48d81a904c18020e99ad7ed083625880
MD5sum: 0bffe50cf4f1b90f207965a60cdeff39
Description: Resamples a sound file using a FIR filter
 The resample program "resamples" a soundfile to change its sampling
 rate.  For example, it can be used to convert the sampling rate from
 48 kHz (used by DAT machines) to 44.1 kHz (the standard sampling rate
 for Compact Discs).
 .
 The windowfilter program designs Finite-Impulse-Response (FIR) digital
 filters by the so-called "window method."  In this method, the ideal
 impulse response (a sinc function) is "windowed" by a Kaiser window (a
 popular window used in spectrum analysis).

Package: resiprocate-turn-server
Source: resiprocate
Version: 1.8.5-4+deb7u1
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 725
Depends: libc-ares2 (>= 1.7.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libresiprocate-1.8, libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), adduser
Homepage: http://www.resiprocate.org/
Priority: extra
Section: net
Filename: pool/main/r/resiprocate/resiprocate-turn-server_1.8.5-4+deb7u1_armhf.deb
Size: 293886
SHA256: cc0ecef2bb3a8d01638559ff787d70072f473c05394fe438674bcf6e5a063fda
SHA1: 55d569991052af48e87a26c69cfebb0009cbc5cc
MD5sum: 5d8e4c571329550f118d8f65959e1e6f
Description: reSIProcate SIP stack - ICE/TURN server
 reTurn is the TURN server developed as part of the reSIProcate project.
 TURN (RFC 5766) provides a standardised solution for VoIP applications
 to find the most efficient way to route media streams when NAT and
 firewall devices may be present.
 .
 reTurn provides a high-quality, low maintenance solution for serving
 small and large IP telephony installations.  It has been used successfully
 with a variety of SIP and Jabber applications, including Lumicall,
 Jitsi, Empathy and Psi.
 .
 reSIProcate is a framework that aims to fully implement the SIP protocol
 in first class C++.  It is intended for use in other applications,
 such as the repro SIP proxy.

Package: resolvconf
Version: 1.67
Installed-Size: 132
Maintainer: resolvconf maintainers 
Architecture: all
Depends: lsb-base, initscripts (>= 2.88dsf-13.10), debconf (>= 0.5) | debconf-2.0
Enhances: dhcpcd, dnsmasq, ifupdown, isc-dhcp-client, libc6, network-manager, nscd, pdnsd, ppp, pump, totd, udhcpc
Breaks: dhcp3-client (<< 4.1.1-P1-15+squeeze1), dnscache-run
Size: 68960
SHA256: 9cea1742ca2132e1f512cce9bafd524e6ef266f3741f01ac39ca0371171a2b35
SHA1: 71e60ff2ae724767c4c1f3488535294d384cb6d1
MD5sum: 2cd22dd140315ee1dcdc6f7752de05d0
Description: name server information handler
 Resolvconf is a framework for keeping up to date the system's
 information about name servers. It sets itself up as the intermediary
 between programs that supply this information (such as ifup and
 ifdown, DHCP clients, the PPP daemon and local name servers) and
 programs that use this information (such as DNS caches and resolver
 libraries).
 .
 This package may require some manual configuration. Please
 read the README file for detailed instructions.
Homepage: http://alioth.debian.org/projects/resolvconf/
Tag: admin::configuring, interface::commandline, network::configuration,
 protocol::dns, role::program, use::configuring
Section: net
Priority: optional
Filename: pool/main/r/resolvconf/resolvconf_1.67_all.deb

Package: resource-agents
Version: 1:3.9.2-5+deb7u2
Architecture: armhf
Maintainer: Debian HA Maintainers 
Installed-Size: 1639
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libnet1 (>= 1.1.2.1), libplumb2 (>= 1.0.9+hg2665), libplumbgpl2 (>= 1.0.9+hg2665), cluster-glue, python
Conflicts: cluster-agents (<= 1:1.0.4-1), rgmanager (<= 3.0.12-2+b1)
Replaces: cluster-agents (<= 1:1.0.4-1), rgmanager (<= 3.0.12-2+b1)
Homepage: https://github.com/ClusterLabs/resource-agents
Priority: optional
Section: admin
Filename: pool/main/r/resource-agents/resource-agents_3.9.2-5+deb7u2_armhf.deb
Size: 504656
SHA256: 4f6c56c15fc3dc7c7ef918537613963cffba77e0a496c6be785879494b0fac20
SHA1: c49a115cc57b323c236a8ede588c32b6db5c4a0d
MD5sum: a220edd32f1b25e80c0d6fe70fe27ccc
Description: Cluster Resource Agents
 The Cluster Resource Agents are a set of scripts to interface with
 several services to operate in a High Availability environment
 for both Pacemaker and rgmanager resource managers.

Package: resource-agents-dev
Source: resource-agents
Version: 1:3.9.2-5+deb7u2
Architecture: armhf
Maintainer: Debian HA Maintainers 
Installed-Size: 45
Depends: resource-agents (= 1:3.9.2-5+deb7u2)
Homepage: https://github.com/ClusterLabs/resource-agents
Priority: optional
Section: admin
Filename: pool/main/r/resource-agents/resource-agents-dev_3.9.2-5+deb7u2_armhf.deb
Size: 12176
SHA256: 28cedea55e7ae900f8122b14bc27887f5e04497dda698ed4edab3f8302a18f8e
SHA1: 7b5a799351f7c9f6aa596ba430b598ef9a75f71a
MD5sum: bc8ca66d14bb3f405e4e3d3d90747318
Description: Cluster Resource Agents Development files
 The Cluster Resource Agents are a set of scripts to interface with
 several services to operate in a High Availability environment for
 both Pacemaker and rgmanager resource managers.
 .
 This package contains the development header files.

Package: rest2web
Version: 0.5.2~alpha+svn-r248-2
Installed-Size: 576
Maintainer: Gustavo Andrés Angulo Morales 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-docutils
Suggests: rest2web-doc (= 0.5.2~alpha+svn-r248-2)
Size: 132616
SHA256: 055f652cc2a7c60b6087c77ecb4f77a0d3584a0cfb031fad8dea5d24e19f32e8
SHA1: 20624eb08e8be88ac0694a37fa03c82c8be217bb
MD5sum: 2ef29b5fc929af569940769de563cd45
Description: web site builder using ReStructured Text as basic content format
 rest2web is a simple tool that lets you build your website from a single
 template (or as many as you want), and keep the contents in ReStructured Text
 (docutils; you can still keep pages in HTML if needed). The prefix "rest"
 does not in any way allude to the concept of "representational state
 transfer."
 .
 The tool provides a host of features, for example:
 .
  * Automatically builds index pages and navigation links (sidebars and
    breadcrumbs).
  * Aids with the translation of the site to other languages.
  * Embedded code in templates for unlimited expressiveness.
  * Flexible macro system.
  * Uses relative links, so sites can be viewed from the filesystem.
  * Uses unicode internally.
  * Built-in gallery creator plugin.
 .
 Adding new pages is as easy as dropping a text file into the right folder;
 rest2web builds the new page and adds a link to it in the index (optionally
 with a description as well). Removing a page is just as easy: delete the
 file, and when you run rest2web again it removes the entry.
Tag: devel::web, role::program, scope::utility, use::text-formatting,
 works-with-format::html, works-with-format::plaintext, works-with::text
Section: web
Priority: extra
Filename: pool/main/r/rest2web/rest2web_0.5.2~alpha+svn-r248-2_all.deb

Package: rest2web-doc
Source: rest2web
Version: 0.5.2~alpha+svn-r248-2
Installed-Size: 5300
Maintainer: Gustavo Andrés Angulo Morales 
Architecture: all
Size: 2640264
SHA256: 3adffa1c7d39fac7efef169c421f7ec6176072af1a14f316e0b180dac4c0154b
SHA1: eaae313de7fdc1c0965c5297b2e0388cce410c18
MD5sum: 79a804fc6e20b2b5209817e23005efdf
Description: documentation for rest2web
 rest2web is a web site generator using ReStructured Text for content
 definition.
 .
 This package provides the rest2web documentation.
Tag: made-of::html, role::documentation
Section: doc
Priority: extra
Filename: pool/main/r/rest2web/rest2web-doc_0.5.2~alpha+svn-r248-2_all.deb

Package: restartd
Version: 0.2.2
Architecture: armhf
Maintainer: Aurélien GÉRÔME 
Installed-Size: 69
Depends: libc6 (>= 2.4)
Priority: extra
Section: utils
Filename: pool/main/r/restartd/restartd_0.2.2_armhf.deb
Size: 11046
SHA256: d529022192074e9622d78107d9e7767c0469b8bdfc6855b9ce05073af43abca7
SHA1: e6e86039208989a85130eb7293135eb10ade1f83
MD5sum: a5d3e09aa1d5e77e56e902d95c7d37f0
Description: Process checker and/or restarter
 Restartd is a daemon for checking running and not running
 processes. It reads the /proc directory every n seconds and does
 a POSIX regexp on the process names. You can execute a script or a
 program if the process is or is not running.

Package: retext
Version: 3.1.0-1
Installed-Size: 314
Maintainer: Dmitry Shachnev 
Architecture: all
Depends: python, python-qt4, python-markdown | python-docutils
Recommends: retext-wpgen, python-markdown, python-docutils, python-enchant
Suggests: python-gdata
Size: 69166
SHA256: 29414ea455ae0f91ad142e8a5728fe824c219eb9df4f6b33214ae7888d63f9e3
SHA1: 26fa6e6691d93378f0df38e360c2923ab83f4016
MD5sum: 387c12b14b82759a832832e3ad258d36
Description: Simple text editor for Markdown and reStructuredText
 ReText is a simple editor for Markdown and reStructuredText markup languages.
 .
 Supported export formats: HTML, ODT, PDF.
 Also, ReText can upload documents to Google Docs.
 .
 It is written in Python using Qt libraries.
Homepage: http://retext.sourceforge.net/
Tag: implemented-in::python, interface::x11, role::program, uitoolkit::qt,
 use::editing, use::text-formatting, works-with-format::html,
 works-with-format::odf, works-with-format::pdf, works-with::text,
 x11::application
Section: editors
Priority: optional
Filename: pool/main/r/retext/retext_3.1.0-1_all.deb

Package: retext-wpgen
Source: retext
Version: 3.1.0-1
Installed-Size: 52
Maintainer: Dmitry Shachnev 
Architecture: all
Depends: python, python-markdown | python-docutils
Recommends: python-markdown, python-docutils
Suggests: retext
Size: 7886
SHA256: 340fa17de4c6be2bcb1e4f932391e933887eb40fe538c326b789fca3995680f6
SHA1: e503752b839008ca3bdb85f75629d45ecceed431
MD5sum: 750b4d65050001c79fe70e7391a7f6a8
Description: Webpages generation tool for Markdown and reStructuredText
 ReText is a simple editor for Markdown and reStructuredText markup languages.
 .
 This package provides webpages generation tool that can be used from ReText or
 as a standalone application.
Homepage: http://retext.sourceforge.net/
Tag: implemented-in::python, interface::commandline, role::program,
 use::text-formatting, works-with-format::html, works-with::text
Section: editors
Priority: optional
Filename: pool/main/r/retext/retext-wpgen_3.1.0-1_all.deb

Package: rev-plugins
Version: 0.3.1-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 50
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0)
Provides: ladspa-plugin
Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/index.html
Priority: optional
Section: sound
Filename: pool/main/r/rev-plugins/rev-plugins_0.3.1-2_armhf.deb
Size: 10534
SHA256: 4b0440d74cdda4138bd74f140740ce0f1c9388d8b9ccbc2c3aed77939755a88b
SHA1: b2b1243731abdd06b280a685dc9ae26b71f225ff
MD5sum: 86ac119caa39af239a7b75583fe64bf5
Description: gverb-like ladspa plugin
 This reverb is based on gverb by Juhana Sadeharju,
 but the code (now C++) is entirely original.
 Second input for stereo operation,
 and some code to prevent FP denormalisation
 was added.

Package: revelation
Version: 0.4.13-1.2
Architecture: armhf
Maintainer: Stefan Völkel 
Installed-Size: 1092
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), gconf2 (>= 2.28.1-2), python-gtk2, python-gnome2, python-crypto, gnome-icon-theme, shared-mime-info, python-gobject (>= 2.21.1-2), gnome-extra-icons, python-cracklib, python-dbus
Homepage: http://revelation.olasagasti.info/
Priority: optional
Section: gnome
Filename: pool/main/r/revelation/revelation_0.4.13-1.2_armhf.deb
Size: 304516
SHA256: 1aaec46200d5d98daf39429b80dd7e88e1a91b21a7faccebe2733c7c0f5dd5f1
SHA1: d897821aabb13465aec75f794e711d5c57f9811d
MD5sum: 28709d70e13185dbdc354d30ac77be0f
Description: GNOME2 Password manager
 Revelation is a password manager for the GNOME 2 desktop. It organizes
 accounts in a tree structure, and stores them as AES-encrypted XML.

Package: rexima
Version: 1.4-7
Architecture: armhf
Maintainer: tony mancill 
Installed-Size: 64
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5
Homepage: http://rus.members.beeb.net/rexima.html
Priority: optional
Section: sound
Filename: pool/main/r/rexima/rexima_1.4-7_armhf.deb
Size: 14966
SHA256: 1ad7ab00d1eb90c982d0f9e68786ea9c6ee33d743e9790ff0fb6c97dc3a654f9
SHA1: 09684ed22e1f6c0b21be4076c96056af66854f24
MD5sum: bf877bf1021b7f5ffb0ef80d695d0890
Description: simple ncurses/command-line mixer
 rexima is 'a mixer' spelled backwards. A humble command-line/ncurses mixer
 which is easy to use and can modify mixer settings non-interactively in
 shell scripts (for example).

Package: rfdump
Version: 1.6-2
Architecture: armhf
Maintainer: Meike Reichle 
Installed-Size: 261
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27)
Homepage: http://www.rfdump.org/
Priority: optional
Section: electronics
Filename: pool/main/r/rfdump/rfdump_1.6-2_armhf.deb
Size: 116078
SHA256: 5d4f6e68dcd66fba3b5c30b26c3247cab4d1d3252e0f04cc71517b7a5c5cb148
SHA1: 776db05fbc409c4caa1f026d080a9cc27ef6a3c4
MD5sum: 460958a1ea5cfa113e5277f0f23a4bda
Description: tool to decode RFID tag data
 RFDump is a tool to decode RFID tags and show their meta information:
 tag ID, tag type, manufacturer etc. The user data memory of a tag can
 be displayed and modified using either a hex or an ASCII editor. In
 addition, the integrated cookie feature demonstrates how easy it is
 for a company to abuse RFID technology to spy on their customers.
 RFDump works with the ACG multi-tag reader or similar card reader
 hardware.

Package: rfkill
Version: 0.4-1
Architecture: armhf
Maintainer: Darren Salt 
Installed-Size: 47
Depends: libc6 (>= 2.4)
Homepage: http://wireless.kernel.org/en/users/Documentation/rfkill
Priority: optional
Section: utils
Filename: pool/main/r/rfkill/rfkill_0.4-1_armhf.deb
Size: 7668
SHA256: 99a4a790f72e159f71911d631182fbeb594cccceac338ee0e9f5d3c8398d70bc
SHA1: fe8fc722ce3eefa042f130f27b1dd0704e85b98a
MD5sum: d7bdcb6a220e643837b412c49e4882c4
Description: tool for enabling and disabling wireless devices
 rfkill is a simple tool for accessing the Linux rfkill device interface,
 which is used to enable and disable wireless networking devices, typically
 WLAN, Bluetooth and mobile broadband.
 .
 rfkill uses /dev/rfkill, which is present in Linux kernel 2.6.31 and later.

Package: rgbpaint
Version: 0.8.7-3
Architecture: armhf
Maintainer: Mats Erik Andersson 
Installed-Size: 387
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6
Homepage: http://mtpaint.sourceforge.net/rgbpaint.html
Priority: optional
Section: graphics
Filename: pool/main/r/rgbpaint/rgbpaint_0.8.7-3_armhf.deb
Size: 93280
SHA256: 6bc5ceb88e59b10a8d5203e857c01c3e138d06d28d18562bab10c0fe60e3f4b0
SHA1: 3521b5c708f7328531abf41572d825cdd2bb3ff9
MD5sum: 2ed2ae84ab987da28388462e3f95ed0b
Description: simple pixel-based painting program
 This package provides the simplified painting program rgbPaint,
 a spin-off from mtPaint by the same authors. Light on dependencies,
 it can edit and save images in ICO, JPEG, or PNG format only,
 though it can also read GIF, PCX, SVG, TGA, and TIFF formats.
 .
 The user interface is intentionally kept simple, without drop-down
 menus. Ten brushes are provided, and a palette of twenty colors,
 each of which can be replaced from a color blender. The available
 actions are painting, filling, and area selection. The program can
 also start by taking a screen snapshot to use as the initial image.
 .
 Originally aimed at the OLPC initiative, rgbPaint works well with
 the Sugar desktop environment - especially with a graphics tablet;
 even young children can quickly master it to produce true brushwork!

Package: rgmanager
Source: redhat-cluster
Version: 3.0.12-3.2+rvt+deb7u2
Architecture: armhf
Maintainer: Debian HA Maintainers 
Installed-Size: 414
Depends: libc6 (>= 2.13-28), libccs3 (>= 3.0.12), libcman3 (>= 3.0.12), libdlm3 (>= 3.0.12), libldap-2.4-2 (>= 2.4.7), liblogthread3 (>= 3.0.12), libncurses5 (>= 5.5-5~), libslang2 (>= 2.2.4), libtinfo5, libxml2 (>= 2.7.4), cman (= 3.0.12-3.2+rvt+deb7u2), iproute, iputils-arping, iputils-ping, nfs-kernel-server, nfs-common, perl, gawk, net-tools
Conflicts: nfs-user-server
Priority: optional
Section: admin
Filename: pool/main/r/redhat-cluster/rgmanager_3.0.12-3.2+rvt+deb7u2_armhf.deb
Size: 189604
SHA256: 450f9e66c70e62357fdbe9f3bf9ed5f0117f138dae3471d8315379d2822230ed
SHA1: fd2103c5dd5090ca151a97f1f00a9ac15b275408
MD5sum: 74d9f3d68efebfe392e7db7de92b7e1d
Description: Red Hat cluster suite - clustered resource group manager
 This package is part of the Red Hat Cluster Suite, a complete
 high-availability solution.
 .
 Resource Group Manager provides high availability of critical server
 applications in the event of planned or unplanned system downtime.

Package: rhash
Version: 1.2.9-8+deb7u1
Architecture: armhf
Maintainer: Aleksey Kravchenko 
Installed-Size: 202
Depends: librhash0 (>= 1.2.9-8+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Recommends: libssl1.0.0 (>= 1.0.0)
Homepage: http://rhash.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/r/rhash/rhash_1.2.9-8+deb7u1_armhf.deb
Size: 55986
SHA256: 48b289047f303c8ed63ca4fb78cfbaf3c806eac367b0f43c163d8e036fa62e2b
SHA1: 897d472d076c6bdd93f084b4e1bd6c7edccdbce3
MD5sum: fcf474f700f54150aff29998f2c9fdea
Description: utility for computing hash sums and magnet links
 RHash is a console utility for calculation and verification of magnet links
 and a wide range of hash sums like CRC32, MD4, MD5, SHA1, SHA256, SHA512,
 AICH, ED2K, Tiger, DC++ TTH, BitTorrent BTIH, GOST R 34.11-94, RIPEMD-160,
 HAS-160, EDON-R, Whirlpool and Snefru.
 Hash sums are used to ensure and verify integrity of large volumes of data
 for a long-term storing or transferring.
 .
 Features:
  * Output in a predefined (SFV, BSD-like) or a user-defined format.
  * Can calculate Magnet links.
  * Ability to process directories recursively.
  * Updating hash files (adding hash sums of files missing in the hash file).
  * Portability: the program works the same on Linux, *BSD or Windows.

Package: rheolef-doc
Source: rheolef
Version: 6.1-2.1
Installed-Size: 14963
Maintainer: Debian Science Maintainers 
Architecture: all
Replaces: librheolef-doc
Depends: dpkg (>= 1.15.4) | install-info
Conflicts: librheolef-doc
Size: 12437568
SHA256: a354d180ab1e9f1653826dd7038d67362d26347dcbf923362b906ed3bd1a0313
SHA1: 1163be34a78d8531b3bfcb4304e89eb297f57df4
MD5sum: 070919534a7b974f66311f99bf5385c5
Description: efficient Finite Element environment - documentation
 Rheolef is a computer environment that serves as a convenient
 laboratory for computations in applied mathematics involving finite
 element-like methods. It provides a set of commands and C++ algorithms
 and containers.
 .
 Most basically, containers cover the classic graph data structure for
 sparse matrix formats and finite element meshes. At a higher level of
 abstraction, they can handle approximate finite element spaces, discrete
 fields, and bilinear forms.
 .
 Current applications include:
  * massively distributed memory finite element environment, based on MPI;
  * Poisson problems in d=1,2 and 3 dimension with high order Lagrange elements,
    up to fifth order;
  * linear elasticity, including incompressible and nearly incompressible
    elasticity;
  * Stokes problems in d=2 or 3 dimension, with P2-P1 or P1 bubble-P1 elements;
  * characteristic method for convection-diffusion, time-dependent
    problems and Navier-Stokes equations;
  * nonlinear problems with either fixed-point algorithms or a provided generic
    damped Newton solver;
  * auto-adaptive mesh approaches;
  * axisymmetric problems;
  * multi-regions and variable coefficient problems.
 .
 This package provides the documentation.
Homepage: http://ljk.imag.fr/membres/Pierre.Saramito/rheolef
Tag: role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/rheolef/rheolef-doc_6.1-2.1_all.deb

Package: rhino
Version: 1.7R3-5
Installed-Size: 74
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: default-jre-headless (>= 1.5) | java5-runtime-headless, libjline-java, librhino-java (= 1.7R3-5)
Suggests: librhino-java-doc
Size: 21310
SHA256: 396a7a63da718b46e5c2422f8f0eff37148de1401682db14466fa4abc239f440
SHA1: aa2002ec0255392862e44078e21e39510e393a8f
MD5sum: 5d8732ad9439b961c169275ee0c9b682
Description: JavaScript engine written in Java
 Rhino is an implementation of the JavaScript language written
 entirely in Java. It is typically embedded into Java applications to
 provide scripting to end users.
Homepage: http://www.mozilla.org/rhino/
Tag: devel::interpreter, devel::lang:ecmascript, devel::lang:java,
 implemented-in::java, interface::commandline, role::program,
 scope::utility
Section: interpreters
Priority: optional
Filename: pool/main/r/rhino/rhino_1.7R3-5_all.deb

Package: rhino-doc
Source: rhino
Version: 1.7R3-5
Installed-Size: 56
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: librhino-java-doc
Size: 15078
SHA256: 986f973170aa47a79b07891692c3b27738faf5fa1bedd9958b66bbbf22b9ccc8
SHA1: f44ba00bc040ff7c3d3766e36fcfbd0162523fc5
MD5sum: a662f8551cef298b5cdd5ee447b97562
Description: transitional dummy package for new librhino-java-doc
 Rhino is an implementation of the JavaScript language written
 entirely in Java. It is typically embedded into Java applications to
 provide scripting to end users.
 .
 This is a dummy package for transition to new librhino-java-doc.
Homepage: http://www.mozilla.org/rhino/
Tag: devel::doc, devel::lang:java, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/rhino/rhino-doc_1.7R3-5_all.deb

Package: rhinote
Version: 0.7.4-1
Installed-Size: 110
Maintainer: Andrea Bolognani 
Architecture: all
Depends: python, python-tk, enscript, cups-bsd | lpr | lprng
Size: 20368
SHA256: 027f1f172181115ff5f48035e700e5b493c19c39f783ce2442c10c343333025f
SHA1: eead8fdd65986536b3ea717d28d8d7adbacda85a
MD5sum: 08a425188e95b990bf8db8a8296c13cb
Description: virtual sticky-notes for your desktop
 Rhinote is a small program that provides virtual sticky-notes. It's handy
 for jotting down quick notes or holding copied text that you plan to paste
 elsewhere later.
 .
 Notes can be saved as plain text for later viewing/editing with Rhinote or
 any other text editor.
 .
 Rhinote is designed to be "keyboard friendly", that is, every single action
 is bound to a specific keystroke.
Homepage: http://rhinote.tuxfamily.org/
Tag: implemented-in::python, interface::x11, role::program, uitoolkit::tk,
 use::editing, works-with-format::plaintext, works-with::text,
 x11::application
Section: x11
Priority: optional
Filename: pool/main/r/rhinote/rhinote_0.7.4-1_all.deb

Package: rhn-client-tools
Version: 1.8.9-3
Architecture: armhf
Maintainer: Miroslav Suchý 
Installed-Size: 3360
Depends: python, python-rhn (>= 2.5.40), python-ethtool, python-dbus, python-apt (>= 0.7.100), python-newt, python-dmidecode, lsb-release, gnupg, python-gudev, debconf, python-openssl
Recommends: apt-spacewalk
Suggests: python-gnome2, python-gtk2, python-glade2
Homepage: https://fedorahosted.org/spacewalk
Priority: extra
Section: python
Filename: pool/main/r/rhn-client-tools/rhn-client-tools_1.8.9-3_armhf.deb
Size: 691676
SHA256: 543725df93b7059ddfb1ce9c0e111c8c0f3ce4a6819d23008f323865ebca6937
SHA1: 67c52c7800592c4ae7bde33915c1dd953a71e8d9
MD5sum: 18a1a369c9c030ff7561317af48a8cad
Description: Red Hat Network Client Tools
 programs and libraries to allow your system to receive
 software updates from Red Hat Network or Spacewalk.

Package: rhythmbox
Source: rhythmbox (2.97-2.1)
Version: 2.97-2.1+b1
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 530
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgirepository-1.0-1 (>= 0.9.2), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.32), libgstreamer0.10-0 (>= 0.10.32), libgtk-3-0 (>= 3.2.0), libice6 (>= 1:1.0.0), libjson-glib-1.0-0 (>= 0.12.0), libpango1.0-0 (>= 1.14.0), libpeas-1.0-0 (>= 1.0.0), librhythmbox-core6 (= 2.97-2.1+b1), libsm6, libsoup-gnome2.4-1 (>= 2.27.4), libsoup2.4-1 (>= 2.26.0), libtdb1 (>= 1.1.2~git20080520), libtotem-plparser17 (>= 2.32.1), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4), rhythmbox-data (= 2.97-2.1), dbus, gstreamer0.10-plugins-base (>= 0.10.20), gstreamer0.10-plugins-good (>= 0.10.20), gnome-icon-theme, gstreamer0.10-x, media-player-info
Recommends: yelp, avahi-daemon, notification-daemon, gstreamer0.10-pulseaudio, gstreamer0.10-plugins-ugly, gvfs-backends, rhythmbox-plugins
Suggests: gstreamer0.10-plugins-bad, gnome-codec-install, gnome-control-center, rhythmbox-plugin-cdrecorder
Breaks: gvfs (<< 1.4.1-6)
Homepage: http://projects.gnome.org/rhythmbox/
Priority: optional
Section: gnome
Filename: pool/main/r/rhythmbox/rhythmbox_2.97-2.1+b1_armhf.deb
Size: 373702
SHA256: e5aa3c17fd0f73095548ea6da4f5b521a97211c26c85d2d3b4af4d29faea16f9
SHA1: 3cd8d649981f19fa2b1300e3e8f2ab211a851af4
MD5sum: 798078577c1762e6d68a1d3460a030ec
Description: music player and organizer for GNOME
 Rhythmbox is a very easy to use music playing and management program
 which supports a wide range of audio formats (including mp3 and ogg).
 Originally inspired by Apple's iTunes, the current version also supports
 Internet Radio, iPod integration and generic portable audio player
 support, Audio CD burning, Audio CD playback, music sharing, and
 Podcasts.

Package: rhythmbox-ampache
Version: 0.11.1-1
Installed-Size: 120
Maintainer: Charlie Smotherman 
Architecture: all
Depends: python-gtk2, python-gobject, rhythmbox-plugins, libglade2-0, python-gconf
Size: 17282
SHA256: bf0e0a3c0bc43ac31f17bd11710aa86f072dcefd7c52945fee4015dedd8d6f36
SHA1: f8a8b0922e647ee7e9c63217b1a1f3ab0f5af911
MD5sum: ac24d8318a55e31570c85d183052ab5c
Description: play audio streams from an Ampache server
 Rhythmbox-Ampache is a plugin for Rhythmbox music player that allows it to
 stream directly from an instance of an Ampache music streaming server.
 .
 Ampache is a web-based audio file manager implemented with PHP and
 MySQL which allows viewing, editing, and playing audio files via the
 web. It has support for playlists, artist and album views, album art,
 random or vote-based play and per-user play-tracking/theming.
 Playback may be via HTTP, on-the-fly transcoding and downsampling,
 Mpd/Icecast, or integrated Flash player. Multiple Ampache servers can
 be linked together using XML-RPC. The software is fully localized in many
 languages.
Homepage: http://code.google.com/p/rhythmbox-ampache
Section: sound
Priority: optional
Filename: pool/main/r/rhythmbox-ampache/rhythmbox-ampache_0.11.1-1_all.deb

Package: rhythmbox-data
Source: rhythmbox
Version: 2.97-2.1
Installed-Size: 11558
Maintainer: Debian GNOME Maintainers 
Architecture: all
Replaces: rhythmbox (<< 2.90.1~git20111117.f101562-1)
Depends: dconf-gsettings-backend | gsettings-backend
Recommends: rhythmbox
Breaks: rhythmbox (<< 2.90.1~git20111117.f101562-1)
Size: 5327724
SHA256: 327a58d9625315bf3d2eeb6d6cb06a96ca0e7850513aa16fd6fb4f8d03cc2597
SHA1: 77eb664f47e6e64ef142fda7201000ddd0c9fe6b
MD5sum: 406d46b606d69b9c1008d6baf0809e32
Description: data files for rhythmbox
 Rhythmbox is a very easy to use music playing and management program
 which supports a wide range of audio formats (including mp3 and ogg).
 Originally inspired by Apple's iTunes, the current version also supports
 Internet Radio, iPod integration and generic portable audio player
 support, Audio CD burning, Audio CD playback, music sharing, and
 Podcasts.
 .
 This package contains pictures, localization files and other data
 needed by rhythmbox.
Homepage: http://projects.gnome.org/rhythmbox/
Tag: role::app-data
Section: gnome
Priority: optional
Filename: pool/main/r/rhythmbox/rhythmbox-data_2.97-2.1_all.deb

Package: rhythmbox-dbg
Source: rhythmbox (2.97-2.1)
Version: 2.97-2.1+b1
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 5559
Depends: rhythmbox (= 2.97-2.1+b1)
Recommends: libgstreamer0.10-0-dbg, gstreamer0.10-plugins-base-dbg, gstreamer0.10-plugins-good-dbg, gstreamer0.10-plugins-ugly-dbg
Homepage: http://projects.gnome.org/rhythmbox/
Priority: extra
Section: debug
Filename: pool/main/r/rhythmbox/rhythmbox-dbg_2.97-2.1+b1_armhf.deb
Size: 1716700
SHA256: 6cfbc010e48fa9ac9762d467ea5a4867d0baaa2685d77c4e2fdf7a7388afaafb
SHA1: 64e5fcc266d488713f633dfca9e7023740284b60
MD5sum: 89978547cef78d9d1c50df2d2045b9d2
Description: debugging symbols for rhythmbox
 Rhythmbox is a very easy to use music playing and management program
 which supports a wide range of audio formats (including mp3 and ogg).
 Originally inspired by Apple's iTunes, the current version also supports
 Internet Radio, iPod integration and generic portable audio player
 support, Audio CD burning, Audio CD playback, music sharing, and
 Podcasts.
 .
 This package contains the debugging symbols for rhythmbox.

Package: rhythmbox-dev
Source: rhythmbox (2.97-2.1)
Version: 2.97-2.1+b1
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 1584
Depends: librhythmbox-core6 (= 2.97-2.1+b1), gir1.2-rb-3.0 (= 2.97-2.1+b1), libglib2.0-dev, libgtk-3-dev, libsoup-gnome2.4-dev, libtotem-plparser-dev, libgstreamer0.10-dev
Suggests: rhythmbox-doc
Homepage: http://projects.gnome.org/rhythmbox/
Priority: optional
Section: libdevel
Filename: pool/main/r/rhythmbox/rhythmbox-dev_2.97-2.1+b1_armhf.deb
Size: 430718
SHA256: ee166479b50a476273b2989157d11db806fac92c7f89cb487ab36d9fdd451ce9
SHA1: 7c464489e8771fde4f9f31a3733ff6574133cc7b
MD5sum: 91e55a77aaaf3f7df49e8b0808d4d092
Description: development files for the rhythmbox music player
 Rhythmbox is a very easy to use music playing and management program
 which supports a wide range of audio formats (including mp3 and ogg).
 .
 This package contains the development headers and libraries needed to
 build rhythmbox plugins.

Package: rhythmbox-doc
Source: rhythmbox
Version: 2.97-2.1
Installed-Size: 2285
Maintainer: Debian GNOME Maintainers 
Architecture: all
Suggests: devhelp
Size: 526956
SHA256: e6292034ea302cf89c2dba10fa883781ecba189990455fee0fa146f743fd6807
SHA1: 70c9c1a0366c7f84daccc1d30f9c9e29e539a74a
MD5sum: 1abb378c4317ca68fc39b1c369f8b98b
Description: documentation files for the rhythmbox music player
 Rhythmbox is a very easy to use music playing and management program
 which supports a wide range of audio formats (including mp3 and ogg).
 .
 This package contains the API documentation.
Homepage: http://projects.gnome.org/rhythmbox/
Section: doc
Priority: optional
Filename: pool/main/r/rhythmbox/rhythmbox-doc_2.97-2.1_all.deb

Package: rhythmbox-plugin-cdrecorder
Source: rhythmbox (2.97-2.1)
Version: 2.97-2.1+b1
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 389
Depends: libatk1.0-0 (>= 1.12.4), libbrasero-media3-1 (>= 3.0.0), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.2.0), libpango1.0-0 (>= 1.14.0), librhythmbox-core6 (>= 2.97), zlib1g (>= 1:1.1.4), rhythmbox (= 2.97-2.1+b1)
Breaks: rhythmbox (<< 0.12.6-4)
Replaces: rhythmbox (<< 0.12.6-4)
Homepage: http://projects.gnome.org/rhythmbox/
Priority: optional
Section: gnome
Filename: pool/main/r/rhythmbox/rhythmbox-plugin-cdrecorder_2.97-2.1+b1_armhf.deb
Size: 338196
SHA256: 268f4211f9bfbd1b8f28b08b46055da1a8cb2462c55e6433c077ee62131d5ccb
SHA1: 48be7ff248847aadd70f1c672209f06c85426525
MD5sum: 150a2b6a114de6ca141a9b4c7d35f357
Description: burning plugin for rhythmbox music player
 Rhythmbox is a very easy to use music playing and management program
 which supports a wide range of audio formats (including mp3 and ogg).
 Originally inspired by Apple's iTunes, the current version also supports
 Internet Radio, iPod integration and generic portable audio player
 support, Audio CD burning, Audio CD playback, music sharing, and
 Podcasts.
 .
 This package contains the brasero based CD/DVD burning plugin.

Package: rhythmbox-plugins
Source: rhythmbox (2.97-2.1)
Version: 2.97-2.1+b1
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 1797
Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libclutter-1.0-0 (>= 1.10.0), libclutter-gst-1.0-0 (>= 0.10.0), libclutter-gtk-1.0-0 (>= 1.0), libcogl-pango0 (>= 1.7.4), libcogl9 (>= 1.7.4), libdiscid0 (>= 0.2.2), libdmapsharing-3.0-2 (>= 2.9.12), libdrm2 (>= 2.3.1), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgirepository-1.0-1 (>= 0.9.2), libglib2.0-0 (>= 2.31.8), libgnome-keyring0 (>= 2.20.3), libgpod4 (>= 0.7.92), libgrilo-0.1-0 (>= 0.1.19-1), libgstreamer-plugins-base0.10-0 (>= 0.10.32), libgstreamer0.10-0 (>= 0.10.32), libgtk-3-0 (>= 3.2.0), libgudev-1.0-0 (>= 146), libimobiledevice2 (>= 0.9.7), libjson-glib-1.0-0 (>= 0.12.0), liblircclient0, libmtp9 (>= 1.1.0), libmusicbrainz5-0, libmx-1.0-2 (>= 1.2.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libpeas-1.0-0 (>= 1.0.0), librhythmbox-core6 (= 2.97-2.1+b1), libsoup-gnome2.4-1 (>= 2.27.4), libsoup2.4-1 (>= 2.26.0), libtdb1 (>= 1.1.2~git20080520), libtotem-plparser17 (>= 2.32.1), libusb-1.0-0 (>= 2:1.0.8), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxi6, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4), python (>= 2.6.6-7~), python2.7, rhythmbox (= 2.97-2.1+b1), gir1.2-rb-3.0 (= 2.97-2.1+b1), gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-webkit-3.0, gir1.2-gconf-2.0, gir1.2-peas-1.0, gir1.2-gnomekeyring-1.0, gir1.2-gstreamer-0.10, python-gi, python-mako, zeitgeist-core
Breaks: rhythmbox (<< 0.12.6-4)
Replaces: rhythmbox (<< 0.12.6-4)
Homepage: http://projects.gnome.org/rhythmbox/
Priority: optional
Section: gnome
Filename: pool/main/r/rhythmbox/rhythmbox-plugins_2.97-2.1+b1_armhf.deb
Size: 636986
SHA256: d0b8aa113140fbf2a85da85fe540e4e0141cf6df3a5852ae26892dc0b7803a6f
SHA1: a021ef324461ce6ce3e90c51faeaa855548ee82f
MD5sum: 81158ccccf7f03db46d4aff96be1c597
Description: plugins for rhythmbox music player
 Rhythmbox is a very easy to use music playing and management program
 which supports a wide range of audio formats (including mp3 and ogg).
 Originally inspired by Apple's iTunes, the current version also supports
 Internet Radio, iPod integration and generic portable audio player
 support, Audio CD burning, Audio CD playback, music sharing, and
 Podcasts.
 .
 This package contains the following plugins:
  - Cover art search
  - Audio CD Player
  - Last.fm
  - Context Panel
  - DAAP Music Sharing
  - FM Radio
  - Grilo media browser
  - IM Status
  - Internet Radio
  - Song Lyrics
  - Magnatune Store
  - Media Player Keys
  - Portable Players (generic, iPod, MTP)
  - Notification
  - Power Manager
  - Python Console
  - LIRC
  - Visualization
  - Send tracks
  - Replay Gain
  - Zeitgeist
  - MediaServer2 D-Bus interface
  - MPRIS D-Bus interface
  - Browser plugin to integrate Rhythmbox with iTunes

Package: ri
Source: ruby-defaults
Version: 1:1.9.3
Installed-Size: 31
Maintainer: akira yamada 
Architecture: all
Depends: ri1.9.1 (>= 1.9.3.194-1)
Size: 6072
SHA256: 006dff0d1555bea947ddaf3319b1d02b17abf1afb50cdec6f4871175afeda7f8
SHA1: 130defa2a80cce0d23c266e71ff02fface36b505
MD5sum: a167e9ade5340f90bb3eb3619ae32710
Description: Ruby Interactive reference
 ri is a command line tool that displays descriptions of built-in Ruby
 methods, classes, and modules. For methods, it shows you the calling
 sequence and a description. For classes and modules, it shows a
 synopsis along with a list of the methods the class or module
 implements.
 .
 This package provides ri command and descriptions about Ruby.
 This package provides the ri documentation for the Ruby standard library.
 The ri executable itself is in the ruby package.
 .
 This package is a dependency package, which depends on Debian's default Ruby
 version (currently v1.9.3).
Homepage: http://www.ruby-lang.org/
Tag: devel::doc, devel::lang:ruby, implemented-in::ruby,
 interface::text-mode, role::metapackage, use::browsing
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-defaults/ri_1.9.3_all.deb

Package: ri-li
Version: 2.0.1-2
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 115
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), ri-li-data (>= 2.0.1-2)
Homepage: http://www.ri-li.org/
Priority: optional
Section: games
Filename: pool/main/r/ri-li/ri-li_2.0.1-2_armhf.deb
Size: 39692
SHA256: 6f53e97d7e48a2674716744e4bf1683dc12960c9b37214a089c2e226c29bd17d
SHA1: 5e28e2efb184139882a785cde47642f28ca35ac2
MD5sum: bc9730bcc261f670940e92a437809004
Description: a toy train simulation game
 Ri-Li is a game in which you drive a toy wood engine across many levels
 and collect all the coaches to win.
 .
 Full-features:
  - 14 languages: Arabic, Breton, Chinese, English, Esperanto, French,
  German, Italian, Japanese, Portuguese, Russian, Slovak,
  Spanish, Swedish.
  - Colorful animated wood engine.
  - 40 levels in this first version
  - 3 beautiful musics and many sound effects.

Package: ri-li-data
Source: ri-li
Version: 2.0.1-2
Installed-Size: 137788
Maintainer: Debian Games Team 
Architecture: all
Recommends: ri-li
Size: 19068342
SHA256: 8c4b4b776ca4a81f8f5cb237f62c313b7fdb86e4939b5f16ee96df50b1bb25ef
SHA1: 06493c2724f10a8d54dc932e43b28366c96c9fb4
MD5sum: 4fb2d3f21e1067270a6717e8d4a78249
Description: data files for Ri-li, a toy train simulation game
 Ri-Li is a game in which you drive a toy wood engine across many levels
 and collect all the coaches to win.
 .
 This package provide data files for the game.
Homepage: http://www.ri-li.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/r/ri-li/ri-li-data_2.0.1-2_all.deb

Package: ri1.8
Source: ruby1.8
Version: 1.8.7.358-7.1+deb7u6
Installed-Size: 10807
Maintainer: akira yamada 
Architecture: all
Provides: ri
Depends: ruby1.8 (>= 1.8.7.358-7.1+deb7u6)
Size: 1432264
SHA256: a29aa1f28505fc86753ad2f4e054eb511c06fbf0e0bf24b57cab529c0caf26d1
SHA1: eb82a4999d2799c3b4222c794a5575dc92e5da8c
MD5sum: 5936e67d064c7476645f55b64f31f7d2
Description: Ruby Interactive reference (for Ruby 1.8)
 ri is a command line tool that displays descriptions of built-in Ruby
 methods, classes, and modules. For methods, it shows you the calling
 sequence and a description. For classes and modules, it shows a
 synopsis along with a list of the methods the class or module
 implements.
 .
 This package provides the ri documentation for the Ruby 1.8 standard library.
 The ri1.8 executable itself is in the ruby1.8 package.
Homepage: http://www.ruby-lang.org/
Section: interpreters
Priority: optional
Filename: pool/main/r/ruby1.8/ri1.8_1.8.7.358-7.1+deb7u6_all.deb

Package: ri1.9.1
Source: ruby1.9.1
Version: 1.9.3.194-8.1+deb7u8
Installed-Size: 12787
Maintainer: akira yamada 
Architecture: all
Depends: ruby1.9.1 (>= 1.9.3.194-8.1+deb7u8)
Size: 2177440
SHA256: 8c72c14a290120d3a2c79c4d5569c45152dc1f88aa40d500434b9fd0274447a6
SHA1: 2c5de058262a6c31874c0c3b81d6f1cd7160dec2
MD5sum: 223b3ddb8770e99c4227583f99dbe54d
Description: Ruby Interactive reference (for Ruby 1.9.1)
 ri is a command line tool that displays descriptions of built-in Ruby
 methods, classes, and modules. For methods, it shows you the calling
 sequence and a description. For classes and modules, it shows a
 synopsis along with a list of the methods the class or module
 implements.
 .
 This package provides ri command and descriptions about Ruby 1.9.1.
 This package provides the ri documentation for the Ruby 1.9.1 standard library.
 The ri1.9.1 executable itself is in the ruby1.9.1 package.
Homepage: http://www.ruby-lang.org/
Section: ruby
Priority: optional
Filename: pool/main/r/ruby1.9.1/ri1.9.1_1.9.3.194-8.1+deb7u8_all.deb

Package: ricochet
Version: 0.3
Installed-Size: 343
Maintainer: Keith Packard 
Architecture: all
Depends: nickle (>= 2.74), cairo-5c (>= 1.7)
Size: 58106
SHA256: 40688792d887f8ade488390dd304c6f1b78c00e18d865bce48463eac1280e21c
SHA1: 436fddfea5f53b974347cb16231b49a4582ab4bb
MD5sum: e6c5f69bdca6619cda376668673d2d29
Description: multi-user networked version of the Ricochet Robots game
 Client and server programs written in nickle using the nichrome toolkit
Homepage: http://rr.nickle.org
Section: games
Priority: extra
Filename: pool/main/r/ricochet/ricochet_0.3_all.deb

Package: riece
Version: 8.0.0-1
Installed-Size: 948
Maintainer: OHASHI Akira 
Architecture: all
Replaces: riece-async, riece-google, riece-hangman, riece-kakasi, riece-lsdb, riece-ndcc, riece-rdcc, riece-xface
Depends: dpkg (>= 1.15.4) | install-info, emacs | emacsen
Suggests: dictionaries-common, easypg, kakasi, libgettext-ruby1.8, libsoap-ruby1.8, lsdb, ruby1.8
Conflicts: riece-async, riece-google, riece-hangman, riece-kakasi, riece-lsdb, riece-ndcc, riece-rdcc, riece-xface
Size: 228300
SHA256: cd71cf52ea4cffda48235530b571d64e73c6c632138427a5b19121562cdee5c5
SHA1: 47a8edd1fe3ec1b9b56038d4ff0ec37db3fa9b4f
MD5sum: baf48250593679f7f33fa12037a31459
Description: an IRC client for Emacs
 Riece is a user interface for IRC (Internet Relay Chat).  You should
 spell it with the first letter capitalized and pronounce it as /ri:s/.
 .
 The features of Riece are as follows:
 .
   * Several IRC servers may be used at the same time.
   * Many features built upon the extension mechanism called add-on.
     Currently 30 such add-ons are available.
   * Installation is easy.  Riece doesn't depend on other packages.
   * Setup is easy.  Automatically save/restore the configuration.
   * Step-by-step instructions are included.
   * Mostly compliant with the latest IRC client protocol (RFC 2812).
Tag: culture::japanese, implemented-in::lisp, network::client, protocol::irc,
 role::program, suite::emacs, use::chatting
Section: net
Priority: optional
Filename: pool/main/r/riece/riece_8.0.0-1_all.deb

Package: rifiuti
Version: 1.0+20040505-4
Architecture: armhf
Maintainer: Debian Forensics 
Installed-Size: 45
Depends: libc6 (>= 2.4)
Homepage: http://sourceforge.net/project/showfiles.php?group_id=78332
Priority: optional
Section: utils
Filename: pool/main/r/rifiuti/rifiuti_1.0+20040505-4_armhf.deb
Size: 6604
SHA256: 249eaf71d9d353eb11ef7882693eeb8b09e3ec161735ff37147a98e9cf17bb70
SHA1: 852ff46b1f37343c8c1e67199cc5b5adc0b464ec
MD5sum: 291e145095f7e0e6235a8810540c3d81
Description: A MS Windows recycle bin analysis tool
 Rifiuti is a tool to examine the INFO2 files found in the MS Windows
 recycle bins.
 .
 The INFO2 file gives meta informations about the files found in the
 recycle bin.

Package: rifiuti2
Version: 0.5.1-3
Architecture: armhf
Maintainer: Debian Forensics 
Installed-Size: 74
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0)
Homepage: http://code.google.com/p/rifiuti2/
Priority: optional
Section: utils
Filename: pool/main/r/rifiuti2/rifiuti2_0.5.1-3_armhf.deb
Size: 19640
SHA256: b6893905c4653f650906629620d9d8c84354e0b6efc4c12fd0983e8ef9fbf44d
SHA1: fbf81d77a2a8f5681d185ca3e16a859bd21fbea6
MD5sum: 595d5109062609bf1a5df737d38e44bc
Description: A MS Windows recycle bin analysis tool
 Rifiuti2 is a rewrite of rifiuti, a tool for analyzing Windows Recycle Bin
 INFO2 file.
 .
 Analysis of Windows Recycle Bin is usually carried out during Windows computer
 forensics. Rifiuti2 can extract file deletion time, original path and size of
 deleted files and whether the deleted files have been moved out from the
 recycle bin since they are trashed. It supports the INFO2 file format found in
 Windows up to Window XP as well as the new file format found in Vista.

Package: rig
Version: 1.11-1
Architecture: armhf
Maintainer: Norbert Veber 
Installed-Size: 94
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Priority: extra
Section: misc
Filename: pool/main/r/rig/rig_1.11-1_armhf.deb
Size: 28916
SHA256: 2aeeee9a7f5e9361a812d952ad1fd986c1a8ab2c1c7dad613bb8bcffb9a7071f
SHA1: 522a88da4499efca9f4a4927a0b4ef98dd9f7812
MD5sum: 30404f3117cc1f01125abf076d6d891f
Description: Random identity generator
 RIG (Random Identity Generator) is a free replacement for a shareware
 program out there called 'fake'. It generates random, yet real-looking,
 personal data. It is useful if you need to feed a name to a Web site,
 BBS, or real person, and are too lazy to think of one yourself. Also,
 if the Web site/BBS/person you are giving the information to tries to
 cross-check the city, state, zip, or area code, it will check out.

Package: rinetd
Version: 0.62-5.1
Architecture: armhf
Maintainer: Sam Hocevar (Debian packages) 
Installed-Size: 94
Depends: libc6 (>= 2.4)
Priority: optional
Section: net
Filename: pool/main/r/rinetd/rinetd_0.62-5.1_armhf.deb
Size: 23500
SHA256: 400abe67d3b9e92889356292ce1e85de40a92bd4769c6b3d981ce88c3bac6176
SHA1: 736ace785fcb46fb63a8b1f700d126a6fd9342d7
MD5sum: e708d6d9a37097453e246b1fe4864ebe
Description: Internet TCP redirection server
 rinetd redirects TCP connections from one IP address and port to another,
 with basic IP-based access control.
 .
 rinetd is a single-process server which handles any number of connections
 to the address/port pairs specified in the file /etc/rinetd.conf. Since
 rinetd runs as a single process using nonblocking I/O, it is able to
 redirect a large number of connections without a severe impact on the
 machine. This makes it practical to run services on machines inside an IP
 masquerading firewall.

Package: rinput-dev
Source: rinputd
Version: 1.0.5-2
Installed-Size: 55
Maintainer: Chase Douglas 
Architecture: all
Conflicts: rinput-dev
Size: 9450
SHA256: 9fa33cd553af17dfa785c773e7e7a96bfd24c3da4e7b8d77f1679ae76eda97d9
SHA1: 26fc21ac70fa8e83ea46f4106b823bf1a3c87599
MD5sum: d65f2bee1093e7ad8ec50bbdf504fbe9
Description: server daemon for receiving user input events - development files
 This package provides a remote input server daemon which listens for
 connections from rinput clients (such as mobile phone applications). These
 authenticate via a secure SSL socket through the Cyrus SASL password
 database, /etc/sasldb2. The uinput user input event interface can
 then create virtual devices such as a keyboard and mouse under the
 remote control of the client.
 .
 This package contains a header defining rinput protocol values.
Homepage: https://launchpad.net/rinput
Tag: devel::library, role::devel-lib
Section: devel
Priority: optional
Filename: pool/main/r/rinputd/rinput-dev_1.0.5-2_all.deb

Package: rinputd
Version: 1.0.5-2
Architecture: armhf
Maintainer: Chase Douglas 
Installed-Size: 122
Depends: openssl, sasl2-bin, debconf (>= 0.5) | debconf-2.0, libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-qt4-1 (>= 0.6.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libsasl2-2 (>= 2.1.24), libstdc++6 (>= 4.3.0)
Conflicts: rinputd
Homepage: https://launchpad.net/rinput
Priority: optional
Section: net
Filename: pool/main/r/rinputd/rinputd_1.0.5-2_armhf.deb
Size: 33152
SHA256: c9482bb3f8b86a4ca1581d611205fddef51bd9cd2e6eb05cea4703ff0d967391
SHA1: f4aedc5e59acea80823689d334df2f5403b204c0
MD5sum: 01f9dfee9395db7aeeb32465c72e6d55
Description: server daemon for receiving user input events
 This package provides a remote input server daemon which listens for
 connections from rinput clients (such as mobile phone applications). These
 authenticate via a secure SSL socket through the Cyrus SASL password
 database, /etc/sasldb2. The uinput user input event interface can
 then create virtual devices such as a keyboard and mouse under the
 remote control of the client.

Package: rinputd-dbg
Source: rinputd
Version: 1.0.5-2
Architecture: armhf
Maintainer: Chase Douglas 
Installed-Size: 889
Depends: rinputd (= 1.0.5-2)
Conflicts: rinputd-dbg
Homepage: https://launchpad.net/rinput
Priority: extra
Section: debug
Filename: pool/main/r/rinputd/rinputd-dbg_1.0.5-2_armhf.deb
Size: 346106
SHA256: ead025e2ff7184dc9e02228fadee5a600349dafc4cb2fc9da4805c12c3072a56
SHA1: d1e621b854a2fce7db190f6c75d39f9edb18e909
MD5sum: 177e4ca2299d175b63b033f5b0e82ccc
Description: server daemon for receiving user input events - debug symbols
 This package provides a remote input server daemon which listens for
 connections from rinput clients (such as mobile phone applications). These
 authenticate via a secure SSL socket through the Cyrus SASL password
 database, /etc/sasldb2. The uinput user input event interface can
 then create virtual devices such as a keyboard and mouse under the
 remote control of the client.
 .
 This package contains debug symbols.

Package: rinse
Version: 2.0.1-1
Installed-Size: 239
Maintainer: Thomas Lange 
Architecture: all
Depends: wget, libterm-size-perl, libwww-perl, perl-modules, rpm
Size: 24754
SHA256: bdbcc041c7b3641ac1e8ab1be4f80dae1e092191a1879d7e4aa70458fa632da5
SHA1: de102a905d1000bbd019236f640166edd11c24dc
MD5sum: 55381e5c93f1f673ce8fda2ff7b26c60
Description: RPM installation environment
 This is a tool for bootstrapping a basic RPM-based distribution of
 GNU/Linux.
 .
 It is comparable in purpose to the standard Debian debootstrap utility,
 but works upon RPM-based distributions instead.
 .
 Rinse can setup 32 and 64-bit installations of:
  * CentOS
  * Scientific Linux CERN
  * Fedora Core
  * OpenSUSE
Homepage: http://www.steve.org.uk/Software/rinse/
Tag: implemented-in::perl, role::program
Section: admin
Priority: extra
Filename: pool/main/r/rinse/rinse_2.0.1-1_all.deb

Package: ripit
Version: 3.9.0-2
Installed-Size: 496
Maintainer: Elimar Riesebieter 
Architecture: all
Depends: perl, libwww-perl, libcddb-get-perl, cdparanoia | cdda2wav | icedax, vorbis-tools (>= 1.0beta4-1) | lame | flac | bladeenc | faac | wavpack | ffmpeg, normalize-audio, libwebservice-musicbrainz-perl, libmusicbrainz-discid-perl
Suggests: eject, id3 (>= 0.12), id3v2
Size: 136642
SHA256: 165c1be79584e0aa4093a526623d7c070169b6f9f33862c7c12584542bddcdc1
SHA1: 238c27ec343c6d76677d39e1d538a4bc50e10f4c
MD5sum: 4452d0b2d0c2daede23a25b0888622c2
Description: Textbased audio CD ripper
 ripit runs in text mode (no fancy GUI here) and does everything required to
 produce a set of mp3, ogg, flac, m4a files without any user-intervention.
 .
 ripit does the following with an Audio CD:
  - Get the audio CD Album/Artist/Tracks information from CDDB
  - Get the audio CD Album/Artist/Tracks information from Musicbrainz
  - Rip the audio CD Tracks (using cdparanoia or other cdrippers)
  - Encode the files (using lame, oggvorbis flac and/or faac)
  - ID3 tag them (v1 & v2)
  - Optional: creates a playlist (M3U) file (lists MP3s created,
    used by various MP3 players)
  - Optional: Prepares and sends a CDDB submission.
  - Optional: Saves the CDDB file.
Homepage: http://www.suwald.com/ripit/news.php
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility, use::converting, works-with::audio
Section: sound
Priority: optional
Filename: pool/main/r/ripit/ripit_3.9.0-2_all.deb

Package: ripole
Version: 0.2.0+20081101.0215-1
Architecture: armhf
Maintainer: Adam Cécile (Le_Vert) 
Installed-Size: 72
Depends: libc6 (>= 2.4)
Homepage: http://www.pldaniels.com/ripole/
Priority: optional
Section: utils
Filename: pool/main/r/ripole/ripole_0.2.0+20081101.0215-1_armhf.deb
Size: 21560
SHA256: 6c367e761e00aa8215212ca3287038f512c7350200fad830fdeab261b4305e72
SHA1: 1ce18992b2934c50187b779ace83e4ddb7fd0111
MD5sum: 78ccceca5bf778e3e313544e291829c9
Description: Extract attachments from OLE2 data files (ie, MS Office docs)
 ripOLE is a small program/library designed to pull out attachments from OLE2
 data files.
 .
 It can handle most of Microsoft Office documents like "doc" (Word),
 "xls" (Excel) or "ppt" (Powerpoint).

Package: ripperx
Version: 2.7.3-1
Architecture: armhf
Maintainer: tony mancill 
Installed-Size: 442
Depends: cdparanoia, vorbis-tools (>= 1.0beta3), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libid3-3.8.3c2a, libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.1.1), zlib1g (>= 1:1.1.4)
Suggests: sox, cdtool, mpg321, flac, toolame
Homepage: http://sourceforge.net/projects/ripperx/
Priority: optional
Section: sound
Filename: pool/main/r/ripperx/ripperx_2.7.3-1_armhf.deb
Size: 138350
SHA256: 5be416d86d2eadfe86fd7d19c9172572bcb76e16acb3186541f8e5ff1e9496b5
SHA1: 1de32ec6b5d133e3e60e6c855a2d183a32bb4fea
MD5sum: 2acd46f4051d35db452f5855c87ee75c
Description: a GTK-based audio CD ripper/encoder
 ripperX is a graphical interface for ripping CD audio tracks (using
 cdparanoia) and then encoding them into the OGG, FLAC, or MP2/3
 formats using the vorbis tools, FLAC, toolame or other available
 MP3 encoders.
 .
 It includes support for CDDB lookups and ID3v2 tags.

Package: ristretto
Version: 0.3.7-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 1534
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.0), libdbus-glib-1-2 (>= 0.78), libexif12, libexo-1-0 (>= 0.5.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), libxfconf-0-2 (>= 4.6.0)
Recommends: tumbler
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/r/ristretto/ristretto_0.3.7-1_armhf.deb
Size: 634026
SHA256: 124849f46cd28b2704e428d58852405e630ea8eacf1aa759a08248e1e407aa9d
SHA1: 7f07de94ea83cc489ef2d269564406b4fb3b4304
MD5sum: c68c330f693c36b635436cffc74f7cb7
Description: lightweight picture-viewer for the Xfce desktop environment
 Ristretto is a fast and lightweight picture-viewer for the Xfce desktop
 environment.

Package: rivet
Version: 1.8.0-1
Installed-Size: 354
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: python, python-rivet (>= 1.8.0-1)
Recommends: rivet-plugins
Suggests: rivet-user-manual
Size: 109598
SHA256: 3b664e1be4717c6e3a7e5a26e979f1c4494b0964ee08a974f6255f7e8e459a0e
SHA1: 9428db8cde0dae9ea4b8175139d245cce5aa7629
MD5sum: 93ded800f4d2e2139dcea5f854f65ad5
Description: Robust Independent Validation of Experiment and Theory
 Rivet is a toolkit for validation of Monte Carlo event generators. Using
 a computationally efficient model for observable computations, Rivet
 provides a set of experimental analyses useful for generator sanity checks,
 as well as a convenient infrastructure for adding your own analysis.
 .
 This package provides program files of Rivet.
Homepage: http://rivet.hepforge.org/
Section: science
Priority: optional
Filename: pool/main/r/rivet/rivet_1.8.0-1_all.deb

Package: rivet-plugins
Source: rivet
Version: 1.8.0-1
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 2784
Pre-Depends: multiarch-support
Depends: rivet-plugins-data (>= 1.8.0-1), libc6 (>= 2.13-28), libfastjet0, libfastjetplugins0, libfastjettools0, libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), libsiscone-spherical0, libsiscone0, libstdc++6 (>= 4.4.0)
Suggests: rivet-plugins-doc
Multi-Arch: same
Homepage: http://rivet.hepforge.org/
Priority: optional
Section: science
Filename: pool/main/r/rivet/rivet-plugins_1.8.0-1_armhf.deb
Size: 1130284
SHA256: 45a50f35a2616b0c57e9c414fdc503abac9c31bd4a8c9174394e398555bf0820
SHA1: 939dbd06292e1f44a5fcac78b5d64736277c2949
MD5sum: e2411c8cc55547667627fd83c553ec82
Description: Analysis plugins of Rivet
 Rivet is a toolkit for validation of Monte Carlo event generators. Using
 a computationally efficient model for observable computations, Rivet
 provides a set of experimental analyses useful for generator sanity checks,
 as well as a convenient infrastructure for adding your own analysis.
 .
 This package provides analysis plugins of Rivet. Note that ATLASCone and
 CDFCones are not supported in Debian due to license issues of FastJet.

Package: rivet-plugins-data
Source: rivet
Version: 1.8.0-1
Installed-Size: 12706
Maintainer: Debian Science Maintainers 
Architecture: all
Size: 1143864
SHA256: 105c455199c01359ac1a55e12df606b1efc8d80cb6353dc7cb9df3c13a0323f4
SHA1: 26c4d95b04ca9e42ed61f4e8078cf85a4daedd80
MD5sum: 0a6e24e0f4415b62dd5dfb41ad91b816
Description: Data files of Rivet analysis plugins
 Rivet is a toolkit for validation of Monte Carlo event generators. Using
 a computationally efficient model for observable computations, Rivet
 provides a set of experimental analyses useful for generator sanity checks,
 as well as a convenient infrastructure for adding your own analysis.
 .
 This package provides data files of Rivet analysis plugins.
Multi-Arch: foreign
Homepage: http://rivet.hepforge.org/
Section: science
Priority: optional
Filename: pool/main/r/rivet/rivet-plugins-data_1.8.0-1_all.deb

Package: rivet-plugins-dev
Source: rivet
Version: 1.8.0-1
Installed-Size: 97
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: python, librivet-dev (>= 1.8.0-1), rivet-plugins (>= 1.8.0-1)
Suggests: rivet-plugins-doc
Size: 52494
SHA256: e7ed848c0bd61ed45d13513db25d7e1e4b72c0949479437f7b9a0255da5ff1cb
SHA1: 8f9d33faa974ab126e12d97fcfd6c90cb8fd7dbe
MD5sum: ebce27b7c29f2c87008d6d39f151c317
Description: Template generator of Rivet analysis plugin
 Rivet is a toolkit for validation of Monte Carlo event generators. Using
 a computationally efficient model for observable computations, Rivet
 provides a set of experimental analyses useful for generator sanity checks,
 as well as a convenient infrastructure for adding your own analysis.
 .
 This package provides template generator of Rivet analysis plugin.
Homepage: http://rivet.hepforge.org/
Section: science
Priority: optional
Filename: pool/main/r/rivet/rivet-plugins-dev_1.8.0-1_all.deb

Package: rivet-plugins-doc
Source: rivet
Version: 1.8.0-1
Installed-Size: 226
Maintainer: Debian Science Maintainers 
Architecture: all
Size: 76312
SHA256: 08379a1e0ab42378816cc811174e5cbb29ba13567a4447fb1fc278719e4f5774
SHA1: dfab9fdc31781072756dfa14bd375181fc58c26d
MD5sum: c66b08015ba4053b1dac37cd09638dec
Description: HTML documentation for Rivet analysis plugins
 Rivet is a toolkit for validation of Monte Carlo event generators. Using
 a computationally efficient model for observable computations, Rivet
 provides a set of experimental analyses useful for generator sanity checks,
 as well as a convenient infrastructure for adding your own analysis.
 .
 This package provides HTML documentation for analysis plugins of Rivet.
Homepage: http://rivet.hepforge.org/
Section: doc
Priority: optional
Filename: pool/main/r/rivet/rivet-plugins-doc_1.8.0-1_all.deb

Package: rivet-reference
Source: rivet
Version: 1.8.0-1
Installed-Size: 6940
Maintainer: Debian Science Maintainers 
Architecture: all
Suggests: rivet
Size: 1003520
SHA256: c16c26e5c2d668c8f230e93dfadeba8fd026319be48bab11e9e852bd342b6672
SHA1: 6752a8de60f64e080ab8f6ca79e04573d12ad36c
MD5sum: f9d0f5dd240b930bd6b3182385277728
Description: Rivet code reference
 Rivet is a toolkit for validation of Monte Carlo event generators. Using
 a computationally efficient model for observable computations, Rivet
 provides a set of experimental analyses useful for generator sanity checks,
 as well as a convenient infrastructure for adding your own analysis.
 .
 This package provides code reference of Rivet.
Homepage: http://rivet.hepforge.org/
Section: doc
Priority: optional
Filename: pool/main/r/rivet/rivet-reference_1.8.0-1_all.deb

Package: rivet-root-converter
Source: rivet
Version: 1.8.0-1
Installed-Size: 101
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: python, libroot-bindings-python5.34
Size: 52784
SHA256: 6687b5e9b4f883ce48359ef6fba3ee0b9dee18147527fe4b9a5aa2ba95ada59a
SHA1: d934ead218bd106642b778701460737e737604cb
MD5sum: 9f9c3437fdea81c3f1fedeba9d866dd3
Description: Rivet and ROOT file format converter
 Rivet is a toolkit for validation of Monte Carlo event generators. Using
 a computationally efficient model for observable computations, Rivet
 provides a set of experimental analyses useful for generator sanity checks,
 as well as a convenient infrastructure for adding your own analysis.
 .
 This package provides format converter between Rivet and ROOT files.
Homepage: http://rivet.hepforge.org/
Section: science
Priority: optional
Filename: pool/main/r/rivet/rivet-root-converter_1.8.0-1_all.deb

Package: rivet-user-manual
Source: rivet
Version: 1.8.0-1
Installed-Size: 736
Maintainer: Debian Science Maintainers 
Architecture: all
Suggests: rivet
Size: 718348
SHA256: 53a76b2adf4e05be6a29cdd08efcf993f272a95fd853aa9500603ba3518ff785
SHA1: 98311c3ec0d2b959f68b9091b968497c9d1b11cd
MD5sum: b93265e1df6b228e2b474758663b8961
Description: Rivet User Manual
 Rivet is a toolkit for validation of Monte Carlo event generators. Using
 a computationally efficient model for observable computations, Rivet
 provides a set of experimental analyses useful for generator sanity checks,
 as well as a convenient infrastructure for adding your own analysis.
 .
 This package provides user manual of Rivet.
Homepage: http://rivet.hepforge.org/
Section: doc
Priority: optional
Filename: pool/main/r/rivet/rivet-user-manual_1.8.0-1_all.deb

Package: rkhunter
Version: 1.4.0-1+deb7u1
Installed-Size: 875
Maintainer: Debian Forensics 
Architecture: all
Depends: file, net-tools, binutils, ucf (>= 0.28), perl
Suggests: bsd-mailx | mailutils | heirloom-mailx | mailx, tripwire, libdigest-whirlpool-perl, liburi-perl, libwww-perl, powermgmt-base
Size: 248748
SHA256: cd32bebe97c564c72d7870ed42c0a2e3a221ce5e8e02197828745d213b729b0e
SHA1: f1c9d4a458a24f1f897ae7e5b281af7ede8f2091
MD5sum: 6c59a397bd8025e2ec61e89a5ce62fc1
Description: rootkit, backdoor, sniffer and exploit scanner
 Rootkit Hunter scans systems for known and unknown rootkits,
 backdoors, sniffers and exploits.
 .
 It checks for:
  - MD5 hash changes;
  - files commonly created by rootkits;
  - executables with anomalous file permissions;
  - suspicious strings in kernel modules;
  - hidden files in system directories;
 and can optionally scan within files.
 .
 Using rkhunter alone does not guarantee that a system is not
 compromised. Running additional tests, such as chkrootkit, is
 recommended.
Homepage: http://rkhunter.sourceforge.net
Pre-Depends: debconf (>= 0.5) | debconf-2.0
Recommends: default-mta | mail-transport-agent, wget | curl | links | elinks | lynx, iproute, unhide.rb | unhide, lsof
Section: admin
Priority: optional
Filename: pool/main/r/rkhunter/rkhunter_1.4.0-1+deb7u1_all.deb

Package: rkward
Version: 0.5.7-2+deb7u1
Architecture: armhf
Maintainer: Thomas Friedrichsmeier 
Installed-Size: 3955
Depends: r-base-core (>= 2.9.0), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.3.4), libkfile4 (>= 4:4.3.4), libkhtml5 (>= 4:4.3.4), libkio5 (>= 4:4.4.0), libkjsapi4 (>= 4:4.3.4), libkparts4 (>= 4:4.3.4), libkrosscore4 (>= 4:4.3.4), libktexteditor4 (>= 4:4.4.95), libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0), libx11-6
Recommends: r-base-html
Homepage: http://rkward.sourceforge.net
Priority: optional
Section: math
Filename: pool/main/r/rkward/rkward_0.5.7-2+deb7u1_armhf.deb
Size: 1476830
SHA256: 42d6e474217fccf2e1f8d0546a85a0331399d533a7a736b84f20ecde92182ea8
SHA1: 1febf944cef829aae3921c61fee45b4e84772a26
MD5sum: 530dc8ae04b5ae76ab0a996150de3de9
Description: KDE frontend to the R statistics language
 RKWard aims to become an easy to use, transparent frontend to R,
 a powerful system for statistical computation and graphics.
 Besides a convenient GUI for the most important statistical functions,
 future versions will also provide seamless integration with an
 office-suite.

Package: rlfe
Source: readline6
Version: 6.2+dfsg-0.1
Architecture: armhf
Maintainer: Matthias Klose 
Installed-Size: 63
Depends: libc6 (>= 2.13-28), libreadline6, libtinfo5
Provides: readline-editor
Priority: optional
Section: utils
Filename: pool/main/r/readline6/rlfe_6.2+dfsg-0.1_armhf.deb
Size: 18804
SHA256: a6fbfad33cfbeda55a3e27c11f7cb55913483668cafa796c357bb365bd98ee15
SHA1: bf642b693a6d802444fbfe12217bab6c74bdbc7c
MD5sum: edceba331e3f673a40b18ec403579f0d
Description: Front-end using readline to "cook" input lines for other programs
 This tool lets you use history and line-editing in any text oriented
 tool. This is especially useful with third-party proprietary tools that
 cannot be distributed linked against readline. It is not perfect but it
 works pretty well.
 .
 See the ledit and rlwrap packages for other programs of that kind.

Package: rlinetd
Version: 0.8.2-2
Architecture: armhf
Maintainer: Robert Luberda 
Installed-Size: 292
Depends: dpkg (>> 1.9), lsb-base (>= 3.0-6), netbase, psmisc, ucf (>= 3.0015), debconf (>= 0.5) | debconf-2.0, perl, libc6 (>= 2.13-28), libcap2 (>= 2.10), libwrap0 (>= 7.6-4~)
Recommends: rsyslog | system-log-daemon
Suggests: portmap
Conflicts: inet-superserver, netkit-inetd, xinetd
Replaces: manpages-pl (<< 20060617-3~)
Provides: inet-superserver
Homepage: http://rlinetd.alioth.debian.org/
Priority: extra
Section: net
Filename: pool/main/r/rlinetd/rlinetd_0.8.2-2_armhf.deb
Size: 102208
SHA256: de24d91b3a491cd78c467c2a2433f1a661cc2ff357b23bb82bfa8d7caaad42e8
SHA1: 5278ae9c47412b9a5458518b743ca105d02f1df5
MD5sum: 7fdfa396734ec45b6d01968319e346ba
Description: gruesomely over-featured inetd replacement
 rlinetd is designed to replace the BSD inetd. Feature-wise, it is a
 proper superset of the BSD inetd, including rpc support and both udp
 and tcp services. In addition, it supports a number of resource
 limiting features, including full resource limits a la setrlimit(2),
 renicing, chroot and limited per-service instances. To round out the
 features stolen from xinetd, it also supports binding to individual
 interfaces on request, and configurable logging.

Package: rlplot
Version: 1.5-2
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 1955
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libx11-6
Homepage: http://rlplot.sourceforge.net/
Priority: optional
Section: math
Filename: pool/main/r/rlplot/rlplot_1.5-2_armhf.deb
Size: 941480
SHA256: 028584c6888093214de1e8ddf288da56080696c8df2c84cc5010c1733b8559c2
SHA1: aa53e64a9fb8c632ea3be77869881e2ab0bc9c84
MD5sum: 0745fcb92a996304ece514396a409988
Description: GUI application for generating publication quality graphs
 RLPlot is a GUI based program for displaying scientific data in
 standard formats. It has full support for all error-bar types and
 it has greater flexibility with displaying colour and texture in
 charts than gnuplot (filled bar chart boxes are possible without
 any additional programs). Output is generated on the X display where
 further changes can be made to the graph using point and click methods.
 Images can be exported as scalable vector graphics (SVG) as well as
 EPS, WMF and TIFF formats.

Package: rlpr
Version: 2.05-4
Architecture: armhf
Maintainer: Ari Pollak 
Installed-Size: 155
Depends: libc6 (>= 2.4)
Priority: optional
Section: net
Filename: pool/main/r/rlpr/rlpr_2.05-4_armhf.deb
Size: 51930
SHA256: 4da9c0afef19a768bebc4fbed7757f819850fd3dce46ec6b84b941e93fef2a5b
SHA1: 2e7e7f6a9bdde4b80adf3f1d89adea5af7d6d808
MD5sum: 79eecc709b4b6b09b49b1c7ce3ebf283
Description: A utility for lpd printing without using /etc/printcap
 Rlpr makes it possible (or at the very least, easier), to print files
 on remote sites to your local printer, and vice versa.  The rlpr
 package includes BSD-compatible replacements for 'lpr', 'lpq', and
 'lprm', whose functionality is a superset of their BSD counterparts.  In other
 words, with the rlpr package, you can do everything you can do with the BSD
 printing commands, and more.  The programs contained within the rlpr
 package are all GPL'd, and are more lightweight, cleaner and more
 secure than their BSD counterparts.

Package: rlvm
Version: 0.12-4
Architecture: armhf
Maintainer: Ying-Chun Liu (PaulLiu) 
Installed-Size: 1627
Depends: libatk1.0-0 (>= 1.12.4), libboost-date-time1.49.0 (>= 1.49.0-1), libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-serialization1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglib2.0-0 (>= 2.16.0), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.18.0), libguichan-0.8.1-1, libguichan-opengl-0.8.1-1, libguichan-sdl-0.8.1-1, libjpeg8 (>= 8c), libmad0 (>= 0.15.1b-3), libogg0 (>= 1.0rc3), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), zlib1g (>= 1:1.1.4)
Homepage: http://www.elliotglaysher.org/rlvm/
Priority: optional
Section: games
Filename: pool/main/r/rlvm/rlvm_0.12-4_armhf.deb
Size: 701162
SHA256: 6c889d5f1101c2bb95018268e135ffef22a41742b78e8cf698ef9874be2c7b91
SHA1: d574816c5fe895c1162bd9511a950261a31edded
MD5sum: 5516414b76725f4d8c7df9c3b23b81a5
Description: RealLive virtual machine clone
 rlvm is a Free Software reimplementation of VisualArt's KK's RealLive
 interpreter. RealLive is a game engine used to write visual novels, used in
 the games Kanon, Air, CLANNAD, Planetarian, Tomoyo After and Little Busters,
 among many others.

Package: rlwrap
Version: 0.37-3
Architecture: armhf
Maintainer: Mike Miller 
Installed-Size: 207
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libtinfo5
Provides: readline-editor
Homepage: http://utopia.knoware.nl/~hlub/uck/rlwrap/
Priority: optional
Section: utils
Filename: pool/main/r/rlwrap/rlwrap_0.37-3_armhf.deb
Size: 82610
SHA256: 344769ca6da718e78ccd0c712474d514a69ab62445331ea39c79625d7ae27a48
SHA1: c4fc87fba6a29c0cb5c243559a45c585706efa87
MD5sum: 3796a24424d043f141eead3f30d7cc64
Description: readline feature command line wrapper
 This package provides a small utility that uses the GNU readline library
 to allow the editing of keyboard input for any other command.  Input
 history is remembered across invocations, separately for each command;
 history completion and search work as in bash and completion word lists
 can be specified on the command line.

Package: rmagic
Version: 2.21-5
Installed-Size: 1757
Maintainer: Jonas Smedegaard 
Architecture: all
Depends: libgd-graph3d-perl, libhtml-parser-perl, libconfig-inifiles-perl, perl
Recommends: analog
Suggests: doc-base
Size: 498108
SHA256: b5899204be270900c3c7af1fe91ac972a477431f8f94864b4626d35fa9c04fd6
SHA1: d73e00e74397460f7d993078c2b9478a009e3d03
MD5sum: 2306c174aecdd9c1007c9e3894161b04
Description: Report Magic for Analog
 With Report Magic for Analog, anyone can create great looking website
 statistics reports. Report Magic for Analog uses the Computer Readable
 Output format created by Analog, the most popular logfile analyser in
 the world. Using this and some simple settings you provide, Report
 Magic formats beautiful reports with tables, descriptions and graphs.
 .
 Using Report Magic for Analog, you can easily maintain a consistent
 company image with all your reports. By designing the report format to
 mimic your site's colors and graphics, you can have Analog's statistics
 reports seem to "fit-in" with the rest of your website. Report Magic
 for Analog lets you set things like font, foreground and background
 colors, background images, color themes, and more, thus ensuring a
 perfect fit with your already designed site.
Homepage: http://www.reportmagic.org/
Tag: admin::monitoring, implemented-in::c, interface::web, role::program,
 scope::utility, security::log-analyzer, works-with-format::html,
 works-with::logfile, works-with::text
Section: web
Priority: extra
Filename: pool/main/r/rmagic/rmagic_2.21-5_all.deb

Package: rmail
Source: sendmail
Version: 8.14.4-4+deb7u1
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 274
Depends: sendmail-bin | mail-transport-agent, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7)
Conflicts: courier-mta, exim, exim-tls, exim4-daemon-custom, exim4-daemon-heavy, exim4-daemon-light, masqmail, postfix, sendmail (<< 8.13.1-7), smail, zmailer
Homepage: http://www.sendmail.org
Priority: extra
Section: mail
Filename: pool/main/s/sendmail/rmail_8.14.4-4+deb7u1_armhf.deb
Size: 246186
SHA256: 8a01db8de9a0ca094dea3bbb9b4cff743ea03b0b86985df588c36b6c03fcc5c4
SHA1: 412b2642809717711934880402c2c24017fee7f5
MD5sum: d6befd2d7bd4fd72e83ebbffcc252cf5
Description: MTA->UUCP remote mail handler
 Rmail handles mail received via uucp and passes it to the local
 sendmail (or workalike).
 .
 This version comes from the sendmail source package and the only
 change is the call to sendmail (from -obq to -obi).

Package: rman
Version: 3.2-6
Architecture: armhf
Maintainer: Anton Gladky 
Installed-Size: 194
Depends: libc6 (>= 2.7)
Homepage: http://sourceforge.net/projects/polyglotman/
Priority: optional
Section: text
Filename: pool/main/r/rman/rman_3.2-6_armhf.deb
Size: 83868
SHA256: e4701b85f795e3ed55f000333a0050b3688c1d8bbe455a2ce396e0cd672eaa28
SHA1: 6d9dd1b86fefd63476720e7d9b27d249cca556a8
MD5sum: 625fe802f6239dd427aa823189ca5d7b
Description: PolyglotMan - Reverse compile man pages
 PolyglotMan (formerly RosettaMan) is a filter for UNIX manual pages.
 It takes as input man pages formatted for a variety of UNIX flavors
 (not [tn]roff source) and produces as output a variety of file
 formats.

Package: rmligs-german
Source: igerman98
Version: 20120607-1
Installed-Size: 45
Maintainer: Roland Rosenfeld 
Architecture: all
Depends: perl
Recommends: texlive-latex-base
Size: 22314
SHA256: 74f06e0f8dcf99495913dbf6ade32a4343e89955d8e5713ad851a9c2e96c988d
SHA1: 8af11e7640ab37b8a7b96aa5184f1c51a16a48a7
MD5sum: 488106c0411bcdf353f5b1238c6babe8
Description: remove incorrectly used ligatures from LaTeX documents
 rmligs-german is a program for removing incorrectly used ligatures
 from LaTeX documents. This version is intended for German language
 texts only.
Homepage: http://j3e.de/ispell/igerman98/
Tag: culture::german, implemented-in::perl, interface::commandline,
 role::program, use::checking, works-with-format::tex,
 works-with::dictionary, works-with::text
Section: text
Priority: optional
Filename: pool/main/i/igerman98/rmligs-german_20120607-1_all.deb

Package: rnahybrid
Version: 2.1.1-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 662
Depends: libc6 (>= 2.13-28), libg20
Homepage: http://bibiserv.techfak.uni-bielefeld.de/rnahybrid/submission.html
Priority: optional
Section: science
Filename: pool/main/r/rnahybrid/rnahybrid_2.1.1-1_armhf.deb
Size: 259440
SHA256: d50742472008a3fe05c7ef89785c336375e49ff6e0bb3e46b1f04a0aa1e7a71b
SHA1: 1407a49ee8324534e81851bb3d4a81e0b4546769
MD5sum: dee18cabfdc2bbbf35a95cd3216fe85a
Description: Fast and effective prediction of microRNA/target duplexes
 RNAhybrid is a tool for finding the minimum free energy hybridisation of a
 long and a short RNA. The hybridisation is performed in a kind of domain mode,
 ie. The short sequence is hybridised to the best fitting part of the long one.
 The tool is primarily meant as a means for microRNA target prediction.

Package: rnc-mode
Version: 1.0b3-1
Installed-Size: 44
Maintainer: Chris Lawrence 
Architecture: all
Depends: emacs21 | emacsen
Suggests: nxml-mode
Size: 6334
SHA256: 2d522a38497cb68399c015673f2c07358c944e5a3c4343a6df29b0f7cbff1646
SHA1: 592d2379edd557a73375449323ddcf1b3ead24c5
MD5sum: 860a62f85f93894aa815fc07f0e50d05
Description: Emacs editing mode for RELAX NG Compact syntax
 This package provides a major mode in Emacs for editing RELAX NG
 Compact syntax, a schema language for XML.
Tag: implemented-in::lisp, role::plugin, suite::emacs, use::editing,
 works-with-format::xml
Section: editors
Priority: extra
Filename: pool/main/r/rnc-mode/rnc-mode_1.0b3-1_all.deb

Package: rng-tools
Version: 2-unofficial-mt.14-1
Architecture: armhf
Maintainer: Henrique de Moraes Holschuh 
Installed-Size: 156
Depends: libc6 (>= 2.4), udev (>= 0.053) | makedev (>= 2.3.1-77)
Conflicts: intel-rng-tools
Replaces: intel-rng-tools
Provides: intel-rng-tools
Priority: optional
Section: utils
Filename: pool/main/r/rng-tools/rng-tools_2-unofficial-mt.14-1_armhf.deb
Size: 48706
SHA256: 115e359d38d24208eb95844b891838c539a92626ccfcfe238b0be43d374c80d8
SHA1: ded722313428e79793076028663d6ac4ea4335eb
MD5sum: e5780182cc42eff294802f94930b7090
Description: Daemon to use a Hardware TRNG
 The rngd daemon acts as a bridge between a Hardware TRNG (true random number
 generator) such as the ones in some Intel/AMD/VIA chipsets, and the kernel's
 PRNG (pseudo-random number generator).
 .
 It tests the data received from the TRNG using the FIPS 140-2 (2002-10-10)
 tests to verify that it is indeed random, and feeds the random data to the
 kernel entropy pool.
 .
 This increases the bandwidth of the /dev/random device, from a source that
 does not depend on outside activity.  It may also improve the quality
 (entropy) of the randomness of /dev/random.
 .
 A TRNG kernel module such as hw_random, or some other source of true
 entropy that is accessible as a device or fifo, is required to use this
 package.
 .
 This is an unofficial version of rng-tools which has been extensively
 modified to add multithreading and a lot of new functionality.

Package: roaraudio
Version: 1.0~beta2-3
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 408
Depends: libao4 (>= 1.1.0), libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libfishsound1 (>= 0.9.2), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libogg0 (>= 1.0rc3), liboggz2 (>= 1.1.0), libportaudio2 (>= 19+svn20101113), libpulse0 (>= 0.99.1), libroar2 (= 1.0~beta2-3), libshout3, libslp1, libsndfile1 (>= 1.0.20), libspeex1 (>= 1.2~beta3-1), libspeexdsp1 (>= 1.2~beta3.2-1), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libroar-compat2 (= 1.0~beta2-3), roarclients (= 1.0~beta2-3)
Recommends: oss-compat, libroar-plugins-universal (= 1.0~beta2-3)
Breaks: roaraudio-extra (<< 0.4~beta2~pr0-1)
Replaces: roaraudio-extra (<< 0.4~beta2~pr0-1)
Provides: roaraudio-server, rsound-server
Homepage: http://roaraudio.keep-cool.org/
Priority: optional
Section: sound
Filename: pool/main/r/roaraudio/roaraudio_1.0~beta2-3_armhf.deb
Size: 156978
SHA256: 325448dc4346889787a0a7f6323f879d1b721788f1ff0a88ebed5a339c6af7b2
SHA1: d96575516bd997747d3a97c2474fa2b387d3f950
MD5sum: e2cbd13b2000f8c0768e4581618afeb0
Description: sound server for audio mixing
 RoarAudio is a system for audio mixing. Its main purpose is to mix audio from
 different clients before sending it to its outputs (for example a soundcard).
 It is completely network transparent (UNIX sockets, TCP/IP, DECnet) and
 supports many common codecs like Ogg Vorbis, Speex or FLAC.
 .
 This package contains the RoarAudio sound server "roard" implementing the
 RoarAudio protocol.

Package: roaraudio-dbg
Source: roaraudio
Version: 1.0~beta2-3
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 2105
Depends: libroar2 (= 1.0~beta2-3), roaraudio (= 1.0~beta2-3), roarclients (= 1.0~beta2-3), libroar-compat2 (= 1.0~beta2-3), libroar-plugins-universal (= 1.0~beta2-3)
Homepage: http://roaraudio.keep-cool.org/
Priority: extra
Section: debug
Filename: pool/main/r/roaraudio/roaraudio-dbg_1.0~beta2-3_armhf.deb
Size: 748896
SHA256: 026799ba877dfd2dc16b7e093f789d1cea2467915d0c1a69b63c8f74d16449dc
SHA1: 1f308f774b36c916f30ae6769c79d91e9d2f87aa
MD5sum: 39822bac22c72ea1508980ebf7c5e5f7
Description: RoarAudio sound server for audio mixing (debugging symbols)
 RoarAudio is a server for audio mixing. Its main purpose is to mix audio from
 different clients before sending it to its outputs (for example a soundcard).
 It is completely network transparent (UNIX sockets, TCP/IP, DECnet) and
 supports many common codecs like Ogg Vorbis, Speex or FLAC.
 .
 This packet consists of the following libraries:
 libroar: The RoarAudio main library. It contains code for talking to roard,
 virtual IO functions useful not only for audio applications, memory
 management functions like buffers, lists, stacks.
 .
 libroardsp: Digital Signal Processing library. It contains code to work with
 PCM signals including simple filters, converters and code to work with some
 (realtime) codecs.
 .
 libroareio: This is the RoarAudio Extended IO library. It contains code for
 talking to extended IO. Currently it contains code to talk to soundcards
 without roard. This is not meant to be used by other applications directly.
 .
 libroarlight: Light Control support library. It contains code to support
 the usage of the light control subsystem.
 .
 libroarmidi: MIDI subsystem support library. It contains code to support
 the usage of the MIDI subsystem.
 .
 This package contains the debugging symbols for RoarAudio.

Package: roarclients
Source: roaraudio
Version: 1.0~beta2-3
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 345
Depends: libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libgcc1 (>= 1:4.4.0), libogg0 (>= 1.0rc3), libroar2, libshout3, libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2)
Recommends: libroar-compat2 (= 1.0~beta2-3), libroar-plugins-universal (= 1.0~beta2-3)
Suggests: roaraudio | roaraudio-server
Breaks: roaraudio (<< 1.0~beta1-1), roaraudio-extra (<< 0.4~beta2~pr0-1)
Replaces: roaraudio (<< 1.0~beta1-1), roaraudio-extra (<< 0.4~beta2~pr0-1)
Homepage: http://roaraudio.keep-cool.org/
Priority: optional
Section: sound
Filename: pool/main/r/roaraudio/roarclients_1.0~beta2-3_armhf.deb
Size: 111236
SHA256: 90b149605065065153dabda4ed9d8b96ba98015d5272c5acd19245cc19b478af
SHA1: 02c6f778f7a50f288649eeb0a88101013c73c567
MD5sum: 007aac1ab0abc011b2302986445745c1
Description: Collection of basic clients for the RoarAudio Sound System
 This package is a collection of basic clients for the RoarAudio Sound System
 based on libroar. The collection includes tools for playback and recording
 as well as controling and monitoring the sound system.
 Some tools are also very helpful for testing and debugging a RoarAudio
 setup or software.

Package: roarplaylistd
Version: 0.1.1-2
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 197
Depends: libc6 (>= 2.13-28), libroar2, libslp1, libuuid1 (>= 2.16), libxml2 (>= 2.7.4), roaraudio | roaraudio-server
Recommends: roarplaylistd-tools, roarplaylistd-client
Suggests: vclt-tools, roarplaylistd-codechelper-gst, libroar-plugins-universal
Homepage: http://roaraudio.keep-cool.org/
Priority: optional
Section: sound
Filename: pool/main/r/roarplaylistd/roarplaylistd_0.1.1-2_armhf.deb
Size: 54246
SHA256: 0f9f5c38415de1199b8f009c117b786f819e1b4685ca7c4230ffcd22fb213319
SHA1: 3cbd61e9959c1540f20317a2b56aa53ec732f5d0
MD5sum: f29213c2759219b92b0f8d69ecd7f3fb
Description: RoarAudio PlayList Daemon (RPLD)
 RoarAudio PlayList Daemon is a audio player backend daemon
 handling playlists. It stores playlists and plays files
 using RoarAudio as audio backend.
 .
 The daemon can be controled using a simple protocol.
 It can listen on UNIX, TCP and DECnet sockets.
 .
 File formats and codecs not supported by RoarAudio
 can be handled by using a helper program.

Package: roarplaylistd-codechelper-gst
Source: roarplaylistd
Version: 0.1.1-2
Installed-Size: 43
Maintainer: Patrick Matthäi 
Architecture: all
Depends: gstreamer-tools
Recommends: gstreamer0.10-plugins-ugly
Suggests: roarplaylistd
Size: 6644
SHA256: 9b386af622b712b8b23f5d1cc00dde57eccfc3a995c67d94986b1b5e0b09b424
SHA1: 77ae4f0a7a7b431cee2d5a53fcbcd08c4ca1307f
MD5sum: 3670213eb78323b5ec2fc1290cc3e1ce
Description: roarplaylistd helper script for GStreamer codecs
 RoarAudio PlayList Daemon is a audio player backend daemon
 handling playlists. It stores playlists and plays files
 using RoarAudio as audio backend.
 .
 The daemon can be controled using a simple protocol.
 It can listen on UNIX, TCP and DECnet sockets.
 .
 File formats and codecs not supported by RoarAudio
 can be handled by using a helper program.
 .
 This helper script is used for roarplaylistd to play additional codecs
 (GStreamer version).
Homepage: http://roaraudio.keep-cool.org/
Tag: implemented-in::shell, interface::TODO, role::plugin, scope::utility,
 sound::player, use::compressing, use::converting, use::playing,
 works-with-format::mp3, works-with-format::oggvorbis,
 works-with-format::wav, works-with::audio
Section: sound
Priority: optional
Filename: pool/main/r/roarplaylistd/roarplaylistd-codechelper-gst_0.1.1-2_all.deb

Package: roarplaylistd-dbg
Source: roarplaylistd
Version: 0.1.1-2
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 208
Depends: roarplaylistd (= 0.1.1-2)
Homepage: http://roaraudio.keep-cool.org/
Priority: extra
Section: debug
Filename: pool/main/r/roarplaylistd/roarplaylistd-dbg_0.1.1-2_armhf.deb
Size: 63794
SHA256: df01d30ddf4c94f3d628ffadb85b62e5b4638dfd044226ed6e019363d249ac58
SHA1: 07a451d2ffcb9a957b3db984f3f6eadac522d097
MD5sum: 780864b60c453083101907636eaf8c52
Description: RoarAudio PlayList Daemon (RPLD) (debugging symbols)
 RoarAudio PlayList Daemon is a audio player backend daemon
 handling playlists. It stores playlists and plays files
 using RoarAudio as audio backend.
 .
 The daemon can be controled using a simple protocol.
 It can listen on UNIX, TCP and DECnet sockets.
 .
 File formats and codecs not supported by RoarAudio
 can be handled by using a helper program.
 .
 This package provides debugging symbols for the roarplaylistd package.

Package: roarplaylistd-dev
Source: roarplaylistd
Version: 0.1.1-2
Installed-Size: 50
Maintainer: Patrick Matthäi 
Architecture: all
Depends: libroar-dev (>= 1.0~beta1)
Size: 8850
SHA256: f5e5f851088207f816f8a5e893729b2b78a5e00f1b15c9ecb6562065f0832ba6
SHA1: cfc5e251f5960361630adfa8e0031712e4dd8ccd
MD5sum: 5bff6f5400d0ced81878dc5ae13e7146
Description: RoarAudio PlayList Daemon (RPLD) (plugin development files)
 RoarAudio PlayList Daemon is a audio player backend daemon
 handling playlists. It stores playlists and plays files
 using RoarAudio as audio backend.
 .
 The daemon can be controled using a simple protocol.
 It can listen on UNIX, TCP and DECnet sockets.
 .
 File formats and codecs not supported by RoarAudio
 can be handled by using a helper program.
 .
 This package provides headers and other files for plugin development.
Homepage: http://roaraudio.keep-cool.org/
Tag: devel::library, role::devel-lib
Section: libdevel
Priority: extra
Filename: pool/main/r/roarplaylistd/roarplaylistd-dev_0.1.1-2_all.deb

Package: roarplaylistd-tools
Source: roarplaylistd
Version: 0.1.1-2
Installed-Size: 80
Maintainer: Patrick Matthäi 
Architecture: all
Provides: roarplaylistd-client
Depends: perl, libaudio-rpld-perl
Suggests: roarplaylistd
Size: 12506
SHA256: 9e3952a50620fcacc36d2d00615fcb36fe8369b9b737d5592d320ed719c26519
SHA1: d58186970c9a16a21f18594d1b0986bc4cb8d2fa
MD5sum: 039cea099997ead45ab86028f2bc3bc7
Description: Tools to control RoarAudio PlayList Daemon (RPLD)
 RoarAudio PlayList Daemon is a audio player backend daemon
 handling playlists. It stores playlists and plays files
 using RoarAudio as audio backend.
 .
 The daemon can be controled using a simple protocol.
 It can listen on UNIX, TCP and DECnet sockets.
 .
 File formats and codecs not supported by RoarAudio
 can be handled by using a helper program.
 .
 This is a collection of tools to control RoarAudio PlayList Daemon (RPLD).
Homepage: http://roaraudio.keep-cool.org/
Tag: implemented-in::perl, interface::commandline, network::client,
 protocol::TODO, protocol::tcp, role::program, scope::utility,
 sound::player, use::browsing, use::playing, use::storing,
 works-with::audio
Section: sound
Priority: optional
Filename: pool/main/r/roarplaylistd/roarplaylistd-tools_0.1.1-2_all.deb

Package: robocode
Version: 1.6.2+dfsg-3.1+deb7u1
Installed-Size: 2019
Maintainer: Chris Lamb 
Architecture: all
Depends: default-jre | java6-runtime, libbcel-java
Suggests: robocode-doc
Size: 1874576
SHA256: 57f3d7dccbe4d57808c530aca8a419e3fbde545e6ba71abc4c3d9851298965b7
SHA1: f3d8aa1518e1b5569800b08a550d6ae63553de64
MD5sum: 178c235c416626dc1ea1a96f04465f81
Description: Java programming game based on battle tanks
 Robocode is a Java programming game where the goal is to develop a robot
 battle tank to battle against other tanks. The robot battles are running in
 real-time and on-screen. The motto of Robocode is: Build the best, destroy
 the rest!
Homepage: http://robocode.sf.net/
Recommends: java2-compiler | default-jdk | java-gcj-compat-dev
Section: games
Priority: optional
Filename: pool/main/r/robocode/robocode_1.6.2+dfsg-3.1+deb7u1_all.deb

Package: robocode-doc
Source: robocode
Version: 1.6.2+dfsg-3.1+deb7u1
Installed-Size: 2300
Maintainer: Chris Lamb 
Architecture: all
Suggests: robocode
Size: 224090
SHA256: d3a7d631b2727218ec4234f2ee7d01efb7bacdf7b6df9fccb594cd9ac631ed48
SHA1: f9049e201760bc90208ff05a33ec08287ece677e
MD5sum: 13e8b8881cb89beb96c49a185c155eee
Description: Java programming game based on battle tanks (documentation)
 Robocode is a Java programming game where the goal is to develop a robot
 battle tank to battle against other tanks. The robot battles are running in
 real-time and on-screen. The motto of Robocode is: Build the best, destroy
 the rest!
 .
 This package contains Javadoc HTML documentation.
Homepage: http://robocode.sf.net/
Section: doc
Priority: optional
Filename: pool/main/r/robocode/robocode-doc_1.6.2+dfsg-3.1+deb7u1_all.deb

Package: robocut
Version: 1.0.8-1
Architecture: armhf
Maintainer: Markus Schulz 
Installed-Size: 240
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libusb-1.0-0 (>= 2:1.0.8)
Homepage: https://code.launchpad.net/robocut
Priority: optional
Section: graphics
Filename: pool/main/r/robocut/robocut_1.0.8-1_armhf.deb
Size: 77564
SHA256: 6a33794e3ae2cacfe5d47770a215b819b02b3e3f6c13623e9faa84cc6e8b21f9
SHA1: be14194188be809755db42d3dc644382132b4834
MD5sum: d840886a1d0f1fee0f26ff888cb5af7b
Description: Control program for Graphtec cutting plotters
 Robocut is a simple graphical program to allow you to cut graphics with a
 Graphtec Craft Robo 2 Vinyl Cutter model CC220-20 and Sihouette SD. It can
 read SVG files produced by Inkscape, but it should also work with other
 SVG files.

Package: robojournal
Version: 0.2.1-1
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 556
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-sql (>= 4:4.7.0), libqtcore4 (>= 4:4.7.0), libqtgui4 (>= 4:4.7.0), libstdc++6 (>= 4.4.0), libqt4-sql-mysql
Suggests: mysql-server
Homepage: http://sourceforge.net/projects/robojournal
Priority: extra
Section: x11
Filename: pool/main/r/robojournal/robojournal_0.2.1-1_armhf.deb
Size: 270660
SHA256: 706256be01df5204e021f8987f29a520288440118aac140a1459afdcdc38df61
SHA1: 2ffff80391c70ad53a009cbd20dd771ae6b1ce6a
MD5sum: 203884751628eb87357b918191fc2123
Description: cross-platform journal/diary tool
 RoboJournal is a cross-platform journal/diary tool written in Qt/C++.
 It works in conjunction with MySQL to allow the user to create
 journal databases locally or on a remote server. RoboJournal emphasizes
 streamlined, practical design plus ease-of-use.
 .
 RoboJournal runs on Windows and Linux.

Package: robot-player
Source: player
Version: 3.0.2+dfsg-4
Architecture: armhf
Maintainer: Michael Janssen 
Installed-Size: 648
Depends: freeglut3, libart-2.0-2 (>= 2.3.18), libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libboost-signals1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdc1394-22, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.1.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgeos-c1 (>= 3.3.1), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.16.0), libglu1-mesa | libglu1, libgnomecanvas2-0 (>= 2.11.1), libgsl0ldbl (>= 1.9), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), liblodo3.0, libopencv-calib3d2.3, libopencv-contrib2.3, libopencv-core2.3, libopencv-features2d2.3, libopencv-flann2.3, libopencv-highgui2.3, libopencv-imgproc2.3, libopencv-legacy2.3, libopencv-ml2.3, libopencv-objdetect2.3, libopencv-video2.3, libpango1.0-0 (>= 1.14.0), libplayerc++3.0, libplayerc3.0, libplayercommon3.0, libplayercore3.0, libplayerdrivers3.0, libplayerinterface3.0, libplayerjpeg3.0, libplayertcp3.0, libplayerwkb3.0, libpmap3.0, libpqxx-3.1, libraw1394-11, libsm6, libstatgrab6, libstdc++6 (>= 4.6), libusb-0.1-4 (>= 2:0.1.12), libx11-6, libxext6, libxi6, libxmu6, zlib1g (>= 1:1.1.4)
Homepage: http://playerstage.sourceforge.net/
Priority: extra
Section: science
Filename: pool/main/p/player/robot-player_3.0.2+dfsg-4_armhf.deb
Size: 298270
SHA256: 061b3435b06b1d027269feff7622bd7382134dc563b52e817f57295bad8b0045
SHA1: 09920446242c1821ac1c7fe9527cde291d494844
MD5sum: 5a6292729d0f3c8d1cae3712dfba0d66
Description: Networked server for robots and sensors
 Provides a network interface to a variety of robot and sensor
 hardware.  Player's client/server model allows robot control programs
 to be written in any programming language and to run on any computer
 with a network connection to the robot. Player supports multiple
 concurrent client connections to devices, creating new possibilities
 for distributed and collaborative sensing and control.
 .
 Player supports a wide variety of mobile robots and accessories.

Package: robot-player-dev
Source: player
Version: 3.0.2+dfsg-4
Installed-Size: 156
Maintainer: Michael Janssen 
Architecture: all
Depends: libplayertcp3.0-dev (>= 3.0.2+dfsg-4), libplayerc++3.0-dev (>= 3.0.2+dfsg-4), libplayerdrivers3.0-dev (>= 3.0.2+dfsg-4), libplayerwkb3.0-dev (>= 3.0.2+dfsg-4)
Size: 134630
SHA256: bc40b4af826da0afd0f38f37d26dd6f629c5882cc724de8d0009383c311e5b85
SHA1: 5e032f9cb4a341e6b21b5e4541ef5c891f6b4a2f
MD5sum: 071d470e3b88c813d95d1ac6b9d4bb17
Description: Networked server for robots and sensors - development package
 Player proovides a common interface to a variety of robot and}
 sensor hardware.  The client/server model allows robot programs to be
 written in many programming languages.  This metapackage provides an easy way
 to install all the development libraries at once by depending on them.
Homepage: http://playerstage.sourceforge.net/
Tag: devel::library, role::devel-lib
Section: science
Priority: extra
Filename: pool/main/p/player/robot-player-dev_3.0.2+dfsg-4_all.deb

Package: robot-player-doc
Source: player
Version: 3.0.2+dfsg-4
Installed-Size: 20539
Maintainer: Michael Janssen 
Architecture: all
Size: 2625978
SHA256: f02f529f3c8228e3a04b967aa42a10440698712e411e026dc6496d2d70516069
SHA1: c8dc9aba03be7061833f6a4cb5b2b8f8f8bf4cca
MD5sum: d73980d026983720176698550a074f6a
Description: Networked server for robots and sensors (documentation)
 Player provides a common interface to a variety of robot and
 sensor hardware.  The client/server model allows robot programs to be
 written in many programming languages.  This package provides the
 Doxygen documentation for robot-player, libplayercore, libplayerc++,
 libplayerc, libplayerdrivers, libplayercommon, libplayertcp,
 libplayerinterface, libplayerwkb, and libplayerudp.
Homepage: http://playerstage.sourceforge.net/
Tag: devel::doc, made-of::html, role::documentation
Section: doc
Priority: extra
Filename: pool/main/p/player/robot-player-doc_3.0.2+dfsg-4_all.deb

Package: robotfindskitten
Version: 1.7320508.406-3
Architecture: armhf
Maintainer: Ryan Finnie 
Installed-Size: 83
Depends: dpkg (>= 1.15.4) | install-info, libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5
Homepage: http://www.robotfindskitten.org/
Priority: optional
Section: games
Filename: pool/main/r/robotfindskitten/robotfindskitten_1.7320508.406-3_armhf.deb
Size: 28942
SHA256: 75b6fa0a99fb72b022720ba7db9298291e7dd8c80eee6f039bd2c05a40145d5f
SHA1: b4562c8debf00d02d94cfb626af5b3d31a995d9b
MD5sum: 0652865c6408a20c3ec29f4d8af12666
Description: Zen Simulation of robot finding kitten
 In this simulation, you play the part of robot. Your task is to
 complete the simulation by finding kitten, as is your destiny, and
 indeed your wont.  You (robot) are represented by the # character, and
 you move around with the arrow keys touching things.  If the thing you
 touch is kitten, you get a cute little animation (which was cuter in
 the DOS version) and the simulation ends. Otherwise, you get a brief
 description of what it is you touched.

Package: rockdodger
Version: 0.6.0a-7
Architecture: armhf
Maintainer: Bart Martens 
Installed-Size: 628
Depends: libc6 (>= 2.13-28), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11)
Homepage: http://spacerocks.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/r/rockdodger/rockdodger_0.6.0a-7_armhf.deb
Size: 404466
SHA256: 95a19a9cad67fc464f3827ec063ce057f11d0c2552b3b30413971d97afc0667d
SHA1: 26cfad297dfc8a81b17cfdd98d91ee10bbb6bb02
MD5sum: 16b1226d0fe306e050391e79c4934b72
Description: Dodge and blow up rocks with your spaceship
 Rock Dodger is a horizontal scrolling action game where you have to
 navigate your spaceship through a stream of rocks flying in from the
 right.  Your only help is a laser to destroy rocks and a limited amount
 of shield.

Package: rocs
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 2563
Depends: kde-runtime, libboost-graph1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libknewstuff3-4 (>= 4:4.8), libkparts4 (>= 4:4.8), libkrosscore4 (>= 4:4.8), libktexteditor4 (>= 4:4.8), libqt4-script (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.2), libstdc++6 (>= 4.6)
Homepage: https://projects.kde.org/projects/kde/kdeedu/rocs
Priority: optional
Section: math
Filename: pool/main/r/rocs/rocs_4.8.4-1_armhf.deb
Size: 1044042
SHA256: 7e37c343c848ba3cf3989951a651f797ed0e3ece8b98614102640d2472fd27a3
SHA1: 673c2dad5020b716cd28475f7aa9a6a051d4c59d
MD5sum: c8f64a499da4b673eeef703655950a36
Description: graph theory IDE
 Rocs aims to be a Graph Theory IDE for helping professors to show the results
 of a graph algorithm and also helping students to do the algorithms.
 .
 Rocs has a scripting module, done in Qt Script, that interacts with the drawn
 graph and every change in the graph with the script is reflected on the drawn
 one.
 .
 This package is part of the KDE education module.

Package: roffit
Version: 0.7~20100607+git790d154-3
Installed-Size: 57
Maintainer: Jari Aalto 
Architecture: all
Depends: perl
Size: 10334
SHA256: 6946bf8823e70f63143da9c22aff0377fd180eafb2901881d2c82cd06867b4db
SHA1: 1343a3e7ec362ac1b48676d68fdc12a76aad4ca9
MD5sum: a4470ed169cb332367a6ab846c1a3049
Description: convert nroff manual pages into HTML
 Read nroff formatted manual page from standard input and convert it
 to HTML. Options -mandir and --hrefdir can generate cross-manpage
 links. URLs are converted to  links.
Homepage: http://daniel.haxx.se/projects/roffit
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility, use::converting, works-with-format::html,
 works-with-format::man, works-with::text
Section: text
Priority: optional
Filename: pool/main/r/roffit/roffit_0.7~20100607+git790d154-3_all.deb

Package: rofs
Source: rofs-fuse
Version: 2006.11.28-2.1
Architecture: armhf
Maintainer: Chris AtLee 
Installed-Size: 46
Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), fuse
Priority: optional
Section: utils
Filename: pool/main/r/rofs-fuse/rofs_2006.11.28-2.1_armhf.deb
Size: 6104
SHA256: 7a79ada88334c72ea3db6aaba19d195d3d1c72b3c2bde9f9b300bc45e44a8d27
SHA1: 07b01e600b7ab7fbe4042964453032c9d28b1b9a
MD5sum: 8dc49b5426d67da708f7c70964f13179
Description: Read-Only Filesystem for FUSE
 rofs is a read-only filesystem that allows you to create a read-only
 mountpoint of a read-write directory on your system.
 .
 Homepage: http://mattwork.potsdam.edu/projects/wiki/index.php/Rofs

Package: rolldice
Version: 1.10-5
Architecture: armhf
Maintainer: Luis Uribe 
Installed-Size: 53
Depends: libc6 (>= 2.7)
Homepage: http://gitorious.org/debian-packages/rolldice
Priority: optional
Section: games
Filename: pool/main/r/rolldice/rolldice_1.10-5_armhf.deb
Size: 12216
SHA256: 64bf090501e9c39f7a4f7aa01d80ff235ac140598a5090bfff9c725520777e96
SHA1: 00e0f510581ce95169c8228eedf2fb0a355b9353
MD5sum: 85ad99ed90b376c6402c45ce043a1815
Description: A virtual dice roller
 rolldice is a virtual dice roller that takes a string on the command
 line in the format  of some fantasy role playing games like Advanced
 Dungeons & Dragons [1] and returns the result of the dice rolls.
 .
 [1] Advanced Dungeons & Dragons is a registered trademark of TSR, Inc.

Package: root-macro-fastjet
Source: fastjet
Version: 3.0.2+dfsg-2
Installed-Size: 121
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: root-system, libfastjet0 (>= 3.0.2+dfsg-2)
Size: 87928
SHA256: e9a36b87187b381c325994d08ecf05c652d2e9941076e8455facb264e8e3dee5
SHA1: 48607844690307d759048af0c042f82cd86d635c
MD5sum: c933ef7e9d47c2fe5926dfc9b094b588
Description: FastJet macro for CERN ROOT
 The FastJet package provides a fast implementation of several longitudinally
 invariant sequential recombination jet algorithms, in particular the
 longitudinally invariant kt jet algorithm, the inclusive longitudinally
 invariant version of the Cambridge/Aachen jet-algorithm, and the inclusive
 anti-kt algorithm.
 .
 FastJet also provides a uniform interface to external jet finders via a plugin
 mechanism, and tools for calculating jet areas and performing background
 (pileup/UE) subtraction.
 .
 This package provides FastJet macro for CERN ROOT.
Homepage: http://fastjet.fr/
Section: devel
Priority: optional
Filename: pool/main/f/fastjet/root-macro-fastjet_3.0.2+dfsg-2_all.deb

Package: root-plugin-geom-gdml
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 437
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-geom5.34 (>= 5.34.00), libroot-hist5.34 (>= 5.34.00), libroot-io5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0), root-plugin-io-xml (>= 5.34.00), python-support
Breaks: libroot-dev (<< 5.19.01-1)
Replaces: libroot-dev
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-geom-gdml_5.34.00-2_armhf.deb
Size: 160662
SHA256: e04238b52d42d1f5147ace9192b9fc48f83d48552c7a2b78ea7186e319f8e9a7
SHA1: d690dfe3d65a166f20df0426b5ddf0e00c431d4a
MD5sum: b3fe9a9a35e4aa9dd1a8bf142c463c4c
Description: GDML import/export module for ROOT geometries
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains an import/export module for the ROOT geometry.

Package: root-plugin-geom-geombuilder
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 1065
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-geom5.34 (>= 5.34.00), libroot-graf2d-gpad5.34 (>= 5.34.00), libroot-graf2d-graf5.34 (>= 5.34.00), libroot-graf3d-g3d5.34 (>= 5.34.00), libroot-gui-ged5.34 (>= 5.34.00), libroot-gui5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Breaks: libroot-dev (<< 5.19.01-1)
Replaces: libroot-dev
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-geom-geombuilder_5.34.00-2_armhf.deb
Size: 302168
SHA256: e251132b9ecf95f6187498b4fac37130c0911cea8169435c96ad6a3bd33d8f3c
SHA1: 6fecec2ae2c8ecd31f6bf270127bf1b233288bb5
MD5sum: 05d83c67ca02c45e85eb74347de29a81
Description: Geometry builder plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains a geometry builder plugin for ROOT.

Package: root-plugin-geom-geompainter
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 312
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-geom5.34 (>= 5.34.00), libroot-graf2d-gpad5.34 (>= 5.34.00), libroot-graf3d-g3d5.34 (>= 5.34.00), libroot-hist5.34 (>= 5.34.00), libroot-io5.34 (>= 5.34.00), libroot-math-mathcore5.34 (>= 5.34.00), libroot-tree5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Breaks: libroot-dev (<< 5.19.01-1)
Replaces: libroot-dev
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-geom-geompainter_5.34.00-2_armhf.deb
Size: 116982
SHA256: c7e70e5f31dd64984e2eaca9741d4e2c4ea8391014732a582d940af4bce320a4
SHA1: 1cae589b6c6695ad363a4a832abae21b98eee9e1
MD5sum: 0af81ad11612714e52e2654857140254
Description: Geometry painter plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains a geometry painter plugin for ROOT.

Package: root-plugin-graf2d-asimage
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 691
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libroot-core5.34 (>= 5.34.00), libroot-graf2d-graf5.34 (>= 5.34.00), libroot-gui5.34 (>= 5.34.00), libroot-hist5.34 (>= 5.34.00), libroot-io5.34 (>= 5.34.00), libroot-math-mathcore5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0), libtiff4 (>> 3.9.5-3~), libx11-6, libxext6, libxpm4, zlib1g (>= 1:1.1.4)
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-graf2d-asimage_5.34.00-2_armhf.deb
Size: 311908
SHA256: d0d7ac215638dcc3d66457882e41dea63ed07a990446dab707475db9f286281d
SHA1: cf3ebe65d5f3d8ef679b314e2dfbc7a2c6ce19c8
MD5sum: 2edb16c65ec7b5be5b4076dd0619d309
Description: AfterImage plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the AfterImage plug-in for ROOT, which allows
 you to store output graphics in many formats, including JPEG, PNG,
 SVG, etc.

Package: root-plugin-graf2d-qt
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 565
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libroot-core5.34 (>= 5.34.00), libroot-graf2d-gpad5.34 (>= 5.34.00), libroot-graf2d-graf5.34 (>= 5.34.00), libroot-gui5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-graf2d-qt_5.34.00-2_armhf.deb
Size: 212488
SHA256: d56b31cf81ca9bad8ce9374ba8508e0e8f4d0328bce72ef708f5a72dd2f5aa57
SHA1: 0feb4b265e017fe57a4514697b71b182035237a3
MD5sum: cd495d76620b262e3a3e1e7b8230b34b
Description: Graf2d Qt plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the Graf2d Qt plug-in for ROOT.  This plugin
 provides Qt interfaces of Graf2d library.

Package: root-plugin-graf2d-x11
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 319
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-graf2d-graf5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxft2 (>> 2.1.1), libxpm4, zlib1g (>= 1:1.1.4)
Breaks: libroot-dev (<< 5.19.01-1)
Replaces: libroot-dev
Provides: root-system-display
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-graf2d-x11_5.34.00-2_armhf.deb
Size: 121634
SHA256: 59eecd509e0fbc4a81492cccb7a00fa9d9cc6f24f41943a9a2b5251e1c4eb6d3
SHA1: bbcf864837058920bff64fa29b7d0df4d8d6916f
MD5sum: 23393093e934d75ab61ef9bc10c68077
Description: X window system plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the X11 plug-in for ROOT, which allows using an
 X display for showing graphics.

Package: root-plugin-graf3d-x3d
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 187
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-graf3d-g3d5.34 (>= 5.34.00), libroot-gui5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxpm4
Recommends: root-plugin-graf3d-gl
Breaks: libroot-dev (<< 5.19.01-1)
Replaces: libroot-dev
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-graf3d-x3d_5.34.00-2_armhf.deb
Size: 68638
SHA256: 8f966eb6dd0a3145afe219c44f7ea258bef3fe0ea3a899eaca31cdced6af43ec
SHA1: 5aa17c86fa61eafdf41fffaecc102ec06cd9eb4c
MD5sum: 43a02e80d6db3d34488716e9f2a29e56
Description: X 3D plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the X 3D plug-in for ROOT.  This plugin provides
 3D rendering of volumes and shapes defined in ROOT.  Included is also
 a low quality 3D viewer for ROOT defined geometries.

Package: root-plugin-gui-fitpanel
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 365
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-graf2d-gpad5.34 (>= 5.34.00), libroot-graf2d-graf5.34 (>= 5.34.00), libroot-gui5.34 (>= 5.34.00), libroot-hist5.34 (>= 5.34.00), libroot-math-mathcore5.34 (>= 5.34.00), libroot-tree5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Breaks: libroot-dev (<< 5.19.01-1)
Replaces: libroot-dev
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-gui-fitpanel_5.34.00-2_armhf.deb
Size: 129552
SHA256: 433170dfc7202060de727938a807c8458c1189a0f58ba989f7ba6154b2a13ce2
SHA1: 18952d763debb9ffd09a064a7ee826da296c5b2b
MD5sum: 111d995888a1b8c7d3d6cb9c5afc8c38
Description: GUI element for fits plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains a plug-in to show a pop-up dialog when fitting
 various kinds of data.

Package: root-plugin-gui-guibuilder
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 498
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-graf2d-graf5.34 (>= 5.34.00), libroot-gui5.34 (>= 5.34.00), libroot-math-mathcore5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Breaks: libroot-dev (<< 5.19.01-1)
Replaces: libroot-dev
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-gui-guibuilder_5.34.00-2_armhf.deb
Size: 175302
SHA256: 5dcbf221d8bedce38c19448740b94ca732467842bb92552da164872988575c1f
SHA1: d44aafa6b56c23ce8a62ea68c9845f3ea2fa32af
MD5sum: 9dbbe597625a86350a1aa5c9bfca8727
Description: GUI editor plug-in for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains a plug-in for editing graphical user interfaces
 in ROOT.

Package: root-plugin-gui-qt
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 295
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libroot-core5.34 (>= 5.34.00), libroot-graf2d-gpad5.34 (>= 5.34.00), libroot-gui5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0), root-plugin-graf2d-qt (>= 5.34.00)
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-gui-qt_5.34.00-2_armhf.deb
Size: 97656
SHA256: dfc604b01a8408edadeb6ad4e4ab4000c5455e446d0e7bf821fc3bb5d14c1cc1
SHA1: 1e1dd73421bf51f481e81b2523630214f288690c
MD5sum: d5fbccf7418df4ac62d0dc711d7fc1b3
Description: Qt plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the Qt plug-in for ROOT.  This plugin
 provides an abstraction over a display.  Windows are created via Qt.

Package: root-plugin-gui-sessionviewer
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 669
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-graf2d-gpad5.34 (>= 5.34.00), libroot-graf2d-graf5.34 (>= 5.34.00), libroot-gui5.34 (>= 5.34.00), libroot-hist5.34 (>= 5.34.00), libroot-math-mathcore5.34 (>= 5.34.00), libroot-proof5.34 (>= 5.34.00), libroot-tree5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Breaks: libroot-dev (<< 5.19.01-1)
Replaces: libroot-dev
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-gui-sessionviewer_5.34.00-2_armhf.deb
Size: 237244
SHA256: 61d139c6ec41e9d59c19403c21795380f74eef0b4ad786530b44fb88900f7179
SHA1: 137c97aa22b035c1e36b9fd920cbdde22b7b7e4f
MD5sum: d5c8eee4c99bf3c6e46d003851206a23
Description: GUI to browse an interactive PROOF session
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains a plug-in browsing an interactive PROOF session
 in ROOT.

Package: root-plugin-hist-hbook
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 287
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libroot-core5.34 (>= 5.34.00), libroot-graf2d-gpad5.34 (>= 5.34.00), libroot-graf2d-graf5.34 (>= 5.34.00), libroot-graf3d-g3d5.34 (>= 5.34.00), libroot-hist5.34 (>= 5.34.00), libroot-io5.34 (>= 5.34.00), libroot-math-mathcore5.34 (>= 5.34.00), libroot-math-matrix5.34 (>= 5.34.00), libroot-misc-minicern5.34 (>= 5.34.00), libroot-net5.34 (>= 5.34.00), libroot-tree-treeplayer5.34 (>= 5.34.00), libroot-tree5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Conflicts: root-plugin-hbook
Replaces: root-plugin-hbook (<< 5.19.01-1)
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-hist-hbook_5.34.00-2_armhf.deb
Size: 99462
SHA256: a1477ca1a108bc10ac996c26a830fe9f2dc312fe4cc3129d9cc140fe769184b3
SHA1: 0858d55c7fb3341635b7729a9b2302d8a1a1cb17
MD5sum: e22b48c271325b4322598bb0a1acce25
Description: Hbook plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the Hbook plug-in for ROOT, allowing you to
 access legacy Hbook files (NTuples and Histograms from PAW).

Package: root-plugin-hist-histpainter
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 550
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-graf2d-gpad5.34 (>= 5.34.00), libroot-graf2d-graf5.34 (>= 5.34.00), libroot-hist5.34 (>= 5.34.00), libroot-math-mathcore5.34 (>= 5.34.00), libroot-math-matrix5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Breaks: libroot-dev (<< 5.19.01-1)
Replaces: libroot-dev
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-hist-histpainter_5.34.00-2_armhf.deb
Size: 225306
SHA256: 8e777b1bc1de4ffdf6d7da713b6c9a27efcbb23ffae98178751f6b9ac3909168
SHA1: 6c6e8363688648e7962e9da80d3e540eb2b34a22
MD5sum: 361171796fad45c2244e6a723a83917e
Description: Histogram painter plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains a painter of histograms for ROOT.

Package: root-plugin-hist-spectrumpainter
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 259
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-graf2d-graf5.34 (>= 5.34.00), libroot-hist5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Breaks: libroot-dev (<< 5.19.01-1)
Replaces: libroot-dev
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-hist-spectrumpainter_5.34.00-2_armhf.deb
Size: 89010
SHA256: b30acb4d810078595db7ba1714567cc93bcf0cd762940ce1253797f241dddfc2
SHA1: f2571c3ca3777d57ea05729fbb3311384a3e7b1d
MD5sum: 0a98465c6aadbd7fdbae05d795d5ce12
Description: Spectrum painter plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains a painter of spectra for ROOT.

Package: root-plugin-io-sql
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 567
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-io5.34 (>= 5.34.00), libroot-net5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Recommends: root-db-client | root-plugin-io-mysql
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-io-sql_5.34.00-2_armhf.deb
Size: 191216
SHA256: 26f763126b7a44fca5d889eea373d93c245246fe56e0e714c981164574649e54
SHA1: 8980d25962fda7c9124b25a38748f1509cd33144
MD5sum: b37343f15c6158aaac4033070341bfe1
Description: SQL plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the SQL plug-in for ROOT, that allows
 transparent access to files data via an SQL database, using ROOT's
 TFile interface.

Package: root-plugin-io-xml
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 473
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-io5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-io-xml_5.34.00-2_armhf.deb
Size: 162726
SHA256: f70d581f47de3d90df5551bc483d0ca8cc97151e4dfd3329a8570af8a50b9714
SHA1: 6da1099fad79baba99faaf2a35c8b4be9b34e3a8
MD5sum: 333d1fdf81b345af936f748048fc2613
Description: XML reader plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the XML reader plug-in for ROOT.   This package
 provide a plug-in to parse XML files with ROOT.

Package: root-plugin-math-fftw3
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 1272
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Multi-Arch: same
Homepage: http://fftw.org/
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-math-fftw3_5.34.00-2_armhf.deb
Size: 645840
SHA256: 668878d6c731d11cdd7788e8cc6f76928aa0ba4edc0195be0ffa674e87b96d7d
SHA1: d2e39088a86e4cb6bf13187b28b2b6118efaa8fc
MD5sum: 16ab40b4099182aeed7d21322b9e65a4
Description: FFTw plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the Fast Fourier Transform extension for ROOT.
 It uses the very fast fftw (version 3) library.

Package: root-plugin-math-fumili
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 183
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-graf2d-graf5.34 (>= 5.34.00), libroot-hist5.34 (>= 5.34.00), libroot-math-mathcore5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Provides: root-fitter
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-math-fumili_5.34.00-2_armhf.deb
Size: 66804
SHA256: a42d0d6b1baed0e13e9d793a2d5dc00a0a91c3935b059331c889b83e9311df83
SHA1: 5b0242911db2a99f61f73e3b261f88ac6347b63b
MD5sum: 317bc1b0a939a5136bb97aa38e0de0a1
Description: Fumili plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the fumili plug-in for ROOT.  This provides an
 alternative fitting algorithm for ROOT.

Package: root-plugin-math-minuit2
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 1098
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-graf2d-graf5.34 (>= 5.34.00), libroot-hist5.34 (>= 5.34.00), libroot-math-mathcore5.34 (>= 5.34.00), libroot-math-matrix5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Provides: root-fitter
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-math-minuit2_5.34.00-2_armhf.deb
Size: 416468
SHA256: d1f6be434d01309ae2681aacbf202f43cb81debddc872761a315d193d5338f68
SHA1: b462f792685dba45f8ee49cd9b6bbee0ffba6885
MD5sum: 4cd099b39d65e7e11abd523c9fb75b8b
Description: Minuit version 2 plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the MINUIT version 2 plug-in for ROOT.  This
 provides an fitting algorithm for ROOT.

Package: root-plugin-montecarlo-pythia8
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 184
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpythia8, libroot-core5.34 (>= 5.34.00), libroot-graf2d-graf5.34 (>= 5.34.00), libroot-math-physics5.34 (>= 5.34.00), libroot-montecarlo-eg5.34 (>= 5.34.00), libroot-montecarlo-vmc5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-montecarlo-pythia8_5.34.00-2_armhf.deb
Size: 57352
SHA256: 50ed933f2771c6d4f06192f221fd15267ee2016437e23beecc62fb1235c3fd21
SHA1: 3d8c5aca5573f7f0c0fb32c65396edf6a3280c91
MD5sum: a280bc296f42a5b9ce0f07e4c5c08b1b
Description: Pythia version 8 plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the Pythia version 8 plug-in for ROOT. This
 package provide the ROOT user with transparent interface to the
 Pythia (version 8) event generators for hadronic interactions. If the
 term "hadronic" does not ring any bells, this package is not for you.

Package: root-plugin-net-globus
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 102
Pre-Depends: multiarch-support
Depends: globus-proxy-utils, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglobus-callout0 (>= 2), libglobus-common0 (>= 14), libglobus-gsi-callback0 (>= 4), libglobus-gsi-cert-utils0 (>= 8), libglobus-gsi-credential1 (>= 5), libglobus-gsi-openssl-error0 (>= 2), libglobus-gsi-proxy-core0 (>= 6), libglobus-gsi-proxy-ssl1 (>= 4), libglobus-gsi-sysconfig1 (>= 5), libglobus-gss-assist3 (>= 8), libglobus-gssapi-gsi4 (>= 10), libglobus-openssl-module0 (>= 3), libltdl7 (>= 2.4.2), libroot-core5.34 (>= 5.34.00), libroot-net-auth5.34 (>= 5.34.00), libroot-net5.34 (>= 5.34.00), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0)
Multi-Arch: same
Homepage: http://www.globus.org
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-net-globus_5.34.00-2_armhf.deb
Size: 33896
SHA256: adea3866f3b499f648f7f903c51999da51a9730e3f59cf2f49cfd8eca3cd6d11
SHA1: eea84350ad3b962bc2ba772f9ad50c36d582b9f8
MD5sum: 9261581b8b42da567fb5ae09bc2fc1e5
Description: Globus plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the Globus plug-in for ROOT, that allows
 authentication and authorization against globus.

Package: root-plugin-net-krb5
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 127
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgcc1 (>= 1:4.4.0), libk5crypto3 (>= 1.6.dfsg.28), libkrb5-3 (>= 1.6.dfsg.2), libroot-core5.34 (>= 5.34.00), libroot-net-auth5.34 (>= 5.34.00), libroot-net5.34 (>= 5.34.00), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), krb5-user | heimdal-clients
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-net-krb5_5.34.00-2_armhf.deb
Size: 42960
SHA256: 5e2a06f43d0a66f9709e5e710fad6c348f33b2feec7e2e23e1c713018409711c
SHA1: cce2a5783bc62fe206bec4284fce3c14e26c63ea
MD5sum: 64272dcc1e2c35ab07399b8b71d81a60
Description: Kerberos (version 5) plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the Kerberos (version 5) plug-in for ROOT, that
 allows authentication and authorisation using Kerberos tokens.

Package: root-plugin-proof-peac
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 173
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-gui5.34 (>= 5.34.00), libroot-proof-clarens5.34 (>= 5.34.00), libroot-proof5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Multi-Arch: same
Homepage: http://physics.ucsd.edu/~schsu/project/peac.html
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-proof-peac_5.34.00-2_armhf.deb
Size: 59432
SHA256: c973ad2109bf58463c82f4fa1139e6514040b0da94a6d781f512046dac93b33a
SHA1: 90a0e7f18d073c7c658f77a2d9ac038c111d74cb
MD5sum: 2045e9d774c18adbf7982b20bbbce1db
Description: PEAC plugin for ROOT - run-time libraries
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the PEAC (Proof Enabled Analysis Center)
 plug-in for ROOT.
 .
 PEAC is an interactive distributed analysis framework that uses
 Clarens as a "glue" protocol to advertise and communicate amongst
 SAM, Global Manager (GM), Local Manager (LM), DCache, and PROOF
 services.

Package: root-plugin-sql-mysql
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 175
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmysqlclient18 (>= 5.5.24+dfsg-1), libroot-core5.34 (>= 5.34.00), libroot-io5.34 (>= 5.34.00), libroot-net5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4)
Provides: root-db-client
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-sql-mysql_5.34.00-2_armhf.deb
Size: 59712
SHA256: d0ae13c21fbfb54c0efdb05033a06c683a65a79e5b512554500c913425cb69a6
SHA1: c3f0fe3108c3bce0aee86ffcd17564e98611043f
MD5sum: 47c83f93cc6a2d9f276ec48087661f61
Description: MySQL client plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the MySQL plug-in for ROOT.  This plugin
 provides a thin client (interface) to MySQL servers. Using this
 client, one can obtain information from a MySQL database into the
 ROOT environment.

Package: root-plugin-sql-odbc
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 179
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libiodbc2 (>= 3.52.7), libroot-core5.34 (>= 5.34.00), libroot-io5.34 (>= 5.34.00), libroot-net5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Provides: root-db-client
Multi-Arch: same
Homepage: http://unixodbc.org or http://iodbc.org
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-sql-odbc_5.34.00-2_armhf.deb
Size: 61232
SHA256: 51d0c27f4d28909fcad8aff644cf5b6ff452afb5a2f3f613c619ee5e196bd1bd
SHA1: 56eb5073cffa575836195922fcffa5839b4e136f
MD5sum: 179e0bc714fbb31347cdcdabf513ec14
Description: ODBC plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the ODBC (Open DataBase Connectivity) plug-in
 for ROOT, that allows transparent access to any kind of database that
 supports the ODBC protocol.

Package: root-plugin-sql-pgsql
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 163
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpq5, libroot-core5.34 (>= 5.34.00), libroot-io5.34 (>= 5.34.00), libroot-net5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Provides: root-db-client
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-sql-pgsql_5.34.00-2_armhf.deb
Size: 52836
SHA256: 1152d002470ba8d595b0a4b70150199d507494d9f33fe8b985500a68ab26613d
SHA1: ed7001822203d2e500438eea739e1d0fc5fe6479
MD5sum: cdc0467dd72a9dc86f52137b2f2fdea9
Description: PostgreSQL client plugin for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the PostGreSQL plug-in for ROOT.  This plugin
 provides a thin client (interface) to PostGreSQL servers. Using this
 client, one can obtain information from a PostGreSQL database into the
 ROOT environment.

Package: root-plugin-tree-treeviewer
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 730
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-graf2d-gpad5.34 (>= 5.34.00), libroot-graf2d-graf5.34 (>= 5.34.00), libroot-gui-ged5.34 (>= 5.34.00), libroot-gui5.34 (>= 5.34.00), libroot-hist5.34 (>= 5.34.00), libroot-io5.34 (>= 5.34.00), libroot-math-mathcore5.34 (>= 5.34.00), libroot-tree-treeplayer5.34 (>= 5.34.00), libroot-tree5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0)
Breaks: libroot-dev (<< 5.19.01-1)
Replaces: libroot-dev
Multi-Arch: same
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-plugin-tree-treeviewer_5.34.00-2_armhf.deb
Size: 258114
SHA256: 821edccd6a91c79ec53e4121707d21fae5497a5b08e5e41f0a34f91910da0abd
SHA1: 9b43be3baca47f4cb6bae3881b3bc2c15a618ac0
MD5sum: ed5b3ba55ef881f871f06c6ab32cb4e7
Description: GUI to browse a ROOT tree
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains a plug-in for browsing a ROOT tree in ROOT.

Package: root-system
Version: 5.34.00-2
Installed-Size: 68
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: root-system-bin, libroot-core-dev
Recommends: libroot-graf3d-gl-dev (>= 5.34.00-2), libroot-io-xmlparser-dev (>= 5.34.00-2), libroot-math-mathmore-dev (>= 5.34.00-2), libroot-math-unuran-dev (>= 5.34.00-2), libroot-misc-memstat-dev (>= 5.34.00-2), libroot-misc-table-dev (>= 5.34.00-2), libroot-net-bonjour-dev (>= 5.34.00-2), libroot-net-ldap-dev (>= 5.34.00-2), root-plugin-graf2d-asimage (>= 5.34.00-2), root-plugin-gui-qt (>= 5.34.00-2), root-plugin-graf2d-qt (>= 5.34.00-2), root-plugin-math-fftw3 (>= 5.34.00-2), root-plugin-graf2d-gviz (>= 5.34.00-2), root-plugin-math-minuit2 (>= 5.34.00-2), root-plugin-net-krb5 (>= 5.34.00-2), root-plugin-sql-odbc (>= 5.34.00-2), libroot-geom-dev (>= 5.34.00-2), libroot-graf2d-gpad-dev (>= 5.34.00-2), libroot-graf2d-graf-dev (>= 5.34.00-2), libroot-graf2d-postscript-dev (>= 5.34.00-2), libroot-graf3d-eve-dev (>= 5.34.00-2), libroot-graf3d-g3d-dev (>= 5.34.00-2), libroot-gui-dev (>= 5.34.00-2), libroot-gui-ged-dev (>= 5.34.00-2), libroot-hist-dev (>= 5.34.00-2), libroot-hist-spectrum-dev (>= 5.34.00-2), libroot-html-dev (>= 5.34.00-2), libroot-io-dev (>= 5.34.00-2), libroot-math-foam-dev (>= 5.34.00-2), libroot-math-genvector-dev (>= 5.34.00-2), libroot-math-mathcore-dev (>= 5.34.00-2), libroot-math-matrix-dev (>= 5.34.00-2), libroot-math-minuit-dev (>= 5.34.00-2), libroot-math-mlp-dev (>= 5.34.00-2), libroot-math-physics-dev (>= 5.34.00-2), libroot-math-quadp-dev (>= 5.34.00-2), libroot-math-smatrix-dev (>= 5.34.00-2), libroot-math-splot-dev (>= 5.34.00-2), libroot-misc-minicern-dev (>= 5.34.00-2), libroot-montecarlo-eg-dev (>= 5.34.00-2), libroot-montecarlo-vmc-dev (>= 5.34.00-2), libroot-net-dev (>= 5.34.00-2), libroot-net-auth-dev (>= 5.34.00-2), libroot-proof-dev (>= 5.34.00-2), libroot-proof-proofplayer-dev (>= 5.34.00-2), libroot-tmva-dev (>= 5.34.00-2), libroot-tree-dev (>= 5.34.00-2), libroot-tree-treeplayer-dev (>= 5.34.00-2), root-plugin-geom-geombuilder (>= 5.34.00-2), root-plugin-geom-geompainter (>= 5.34.00-2), root-plugin-graf2d-x11 (>= 5.34.00-2), root-plugin-graf3d-x3d (>= 5.34.00-2), root-plugin-gui-fitpanel (>= 5.34.00-2), root-plugin-gui-guibuilder (>= 5.34.00-2), root-plugin-gui-sessionviewer (>= 5.34.00-2), root-plugin-hist-hbook (>= 5.34.00-2), root-plugin-hist-histpainter (>= 5.34.00-2), root-plugin-hist-spectrumpainter (>= 5.34.00-2), root-plugin-io-sql (>= 5.34.00-2), root-plugin-io-xml (>= 5.34.00-2), root-plugin-math-fumili (>= 5.34.00-2), root-plugin-tree-treeviewer (>= 5.34.00-2), root-system-proofd (>= 5.34.00-2), root-system-rootd (>= 5.34.00-2), root-system-doc (>= 5.34.00-2)
Suggests: libroot-bindings-python-dev (>= 5.34.00-2), libroot-bindings-ruby-dev (>= 5.34.00-2), libroot-proof-clarens-dev (>= 5.34.00-2), libroot-roofit-dev (>= 5.34.00-2), root-plugin-geom-gdml (>= 5.34.00-2), root-plugin-montecarlo-pythia8 (>= 5.34.00-2), root-plugin-net-globus (>= 5.34.00-2), root-plugin-proof-peac (>= 5.34.00-2), root-plugin-sql-mysql (>= 5.34.00-2), root-plugin-sql-pgsql (>= 5.34.00-2)
Size: 28834
SHA256: 7b71980d697bbb51d616f1c2b3eee148b599f5c037fac9c3a612f9336fca608e
SHA1: f6d9192f610f9a9ad0efa170428b3d0b46d809f5
MD5sum: f67cb337a8611608653ca55866befce6
Description: metapackage to install all ROOT packages
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 With the data defined as a set of objects, specialized storage methods
 can give direct access to the separate attributes of the selected
 objects, without having to touch the bulk of the data. Included are
 histogramming methods in 1, 2 and 3 dimensions, curve fitting, function
 evaluation, minimization, graphics and visualization classes to allow the
 easy creation of an analysis system that can query and process the data
 interactively or in batch mode.
 .
 The command language, the scripting (or macro) language, and the
 programming language are all C++, thanks to the built-in CINT C++
 interpreter. This interpreter removes the time consuming compile/link
 cycle, allowing for fast prototyping of the macros, and providing a
 good environment to learn C++. If more performance is needed, the
 interactively developed macros can be compiled using a C++ compiler.
 .
 The system has been designed in such a way that it can query its
 databases in parallel on MPP machines or on clusters of workstations
 or high-end PCs. ROOT is an open system that can be dynamically
 extended by linking external libraries. This makes ROOT a premier
 platform on which to build data acquisition, simulation and data
 analysis systems.
 .
 This package is a metapackage to ensure the installation of all
 possible ROOT packages on a system.
Homepage: http://root.cern.ch
Tag: field::physics
Section: science
Priority: optional
Filename: pool/main/r/root-system/root-system_5.34.00-2_all.deb

Package: root-system-bin
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 229
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libroot-core5.34 (>= 5.34.00), libroot-graf2d-gpad5.34 (>= 5.34.00), libroot-graf2d-graf5.34 (>= 5.34.00), libroot-graf3d-g3d5.34 (>= 5.34.00), libroot-hist5.34 (>= 5.34.00), libroot-io5.34 (>= 5.34.00), libroot-math-mathcore5.34 (>= 5.34.00), libroot-math-matrix5.34 (>= 5.34.00), libroot-net5.34 (>= 5.34.00), libroot-tree5.34 (>= 5.34.00), libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxpm4, root-plugin-graf2d-asimage
Recommends: root-plugin-graf3d-gl, libroot-math-minuit | root-fitter, libroot-core-dev, root-plugin-graf2d-x11 | root-system-display
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-system-bin_5.34.00-2_armhf.deb
Size: 62336
SHA256: f39d7b60c9f8c8ebfb4780991ed600d069aaf8ee42af040ebb342b488fedd388
SHA1: 6a7aab026596312cbbd7b2d7753fecb5ef97b6c4
MD5sum: 7175bfbd3bc06cdfa9eb18bd84f9212c
Description: Numerical data analysis framework - general applications
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 With the data defined as a set of objects, specialized storage methods
 can give direct access to the separate attributes of the selected
 objects, without having to touch the bulk of the data. Included are
 histogramming methods in 1, 2 and 3 dimensions, curve fitting, function
 evaluation, minimization, graphics and visualization classes to allow the
 easy creation of an analysis system that can query and process the data
 interactively or in batch mode.
 .
 The command language, the scripting (or macro) language, and the
 programming language are all C++, thanks to the built-in CINT C++
 interpreter. This interpreter removes the time consuming compile/link
 cycle, allowing for fast prototyping of the macros, and providing a
 good environment to learn C++. If more performance is needed, the
 interactively developed macros can be compiled using a C++ compiler.
 .
 The system has been designed in such a way that it can query its
 databases in parallel on MPP machines or on clusters of workstations
 or high-end PCs. ROOT is an open system that can be dynamically
 extended by linking external libraries. This makes ROOT a premier
 platform on which to build data acquisition, simulation and data
 analysis systems.

Package: root-system-common
Source: root-system
Version: 5.34.00-2
Installed-Size: 2546
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: ttf-freefont | root-ttf, ttf-opensymbol | root-ttf
Size: 492842
SHA256: 95f30546f0a89932fc24b7dbf7865cda5a19dbe26dc66705b5b7ea4eca3a44fe
SHA1: 898cd18259d8f73c430436adb2760c851696f666
MD5sum: 65ac7885edbdab0ea79826cbc9a2f0f0
Description: Common files for ROOT
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains some common infrastructure files for ROOT.
Multi-Arch: foreign
Homepage: http://root.cern.ch
Tag: role::app-data
Section: science
Priority: optional
Filename: pool/main/r/root-system/root-system-common_5.34.00-2_all.deb

Package: root-system-doc
Source: root-system
Version: 5.34.00-2
Installed-Size: 19566
Maintainer: Debian Science Maintainers 
Architecture: all
Suggests: root-system-bin
Size: 9151548
SHA256: faf7d84f984362575aa3c33949b8e0f16bcf192f86cd39b2d7aa5fe5feb4dec1
SHA1: 78ed54650e8ee093f8d6c379b808d51b27ec7dcd
MD5sum: 753269ce69982ad0e87838a513cbb825
Description: Tutorial and test suite for the ROOT system
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the tutorial scripts and test suite for the ROOT
 system, as well as the automatically generated HTML class documentation.
Homepage: http://root.cern.ch
Tag: devel::examples, role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/root-system/root-system-doc_5.34.00-2_all.deb

Package: root-system-proofd
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 300
Depends: root-system-common, lsb-base (>= 3.0-6), openbsd-inetd | inet-superserver, libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgcc1 (>= 1:4.4.0), libglobus-callout0 (>= 2), libglobus-common0 (>= 14), libglobus-gsi-callback0 (>= 4), libglobus-gsi-cert-utils0 (>= 8), libglobus-gsi-credential1 (>= 5), libglobus-gsi-openssl-error0 (>= 2), libglobus-gsi-proxy-core0 (>= 6), libglobus-gsi-proxy-ssl1 (>= 4), libglobus-gsi-sysconfig1 (>= 5), libglobus-gss-assist3 (>= 8), libglobus-gssapi-gsi4 (>= 10), libglobus-openssl-module0 (>= 3), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libltdl7 (>= 2.4.2), libroot-core5.34 (>= 5.34.00), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0)
Recommends: root-system-bin, libroot-dev, root-file-server | root-system-rootd
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-system-proofd_5.34.00-2_armhf.deb
Size: 123120
SHA256: f055e824565bceaf32655e4d079ecb8e8a258c178ea5c2cc6d5651512b968d6d
SHA1: b233653918e75c87c4c8665f0c656d01c996bc8f
MD5sum: 57086d45b7300158983d31315b984277
Description: Parallel ROOt Facility - distributed, parallel computing
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains the PROOF server.  proofd is the core daemon of
 the PROOF (Parallel ROOt Facility) system for distributed parallel
 computing. Installing this package on a machine, makes it possible
 for the machine to participate in a parallel computing farm (cluster
 or via the Internet), either as a master or a slave, using a
 transparent interface.

Package: root-system-rootd
Source: root-system
Version: 5.34.00-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 308
Depends: root-system-common, lsb-base (>= 3.0-6), adduser, openbsd-inetd | inet-superserver, libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgcc1 (>= 1:4.4.0), libglobus-callout0 (>= 2), libglobus-common0 (>= 14), libglobus-gsi-callback0 (>= 4), libglobus-gsi-cert-utils0 (>= 8), libglobus-gsi-credential1 (>= 5), libglobus-gsi-openssl-error0 (>= 2), libglobus-gsi-proxy-core0 (>= 6), libglobus-gsi-proxy-ssl1 (>= 4), libglobus-gsi-sysconfig1 (>= 5), libglobus-gss-assist3 (>= 8), libglobus-gssapi-gsi4 (>= 10), libglobus-openssl-module0 (>= 3), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libltdl7 (>= 2.4.2), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0)
Recommends: root-system-bin, libroot-dev
Provides: root-file-server
Homepage: http://root.cern.ch
Priority: optional
Section: science
Filename: pool/main/r/root-system/root-system-rootd_5.34.00-2_armhf.deb
Size: 121272
SHA256: 438e9ecfe8c5aab343a2a26de862409ea1ee92203c2aefd131434cd9eddde678
SHA1: 0fb8773647a6235528eb30f67eb4d3607d975cb2
MD5sum: 1dc520851eb3edc6d9248b1ec0c8514f
Description: ROOT remote file server
 The ROOT system provides a set of OO frameworks with all the
 functionality needed to handle and analyze large amounts of data
 efficiently.
 .
 This package contains ROOT file server.  rootd is a server for ROOT
 files, serving files over the Internet. Using this daemon, you can
 access files on the machine from anywhere on the Internet, using a
 transparent interface.

Package: root-tail
Version: 1.2-3
Architecture: armhf
Maintainer: Stephen Gran 
Installed-Size: 74
Depends: libc6 (>= 2.13-28), libx11-6
Priority: optional
Section: x11
Filename: pool/main/r/root-tail/root-tail_1.2-3_armhf.deb
Size: 22176
SHA256: ba18412e2f1af883d45c9cf27708d889c1d16bbad8a2cbb41731bfb156ce525e
SHA1: 63bf162c0c1ae645a139b125aedc885be4df8784
MD5sum: bd9696bd5c1f06d7ad1815b2af9a298b
Description: Displays select log files in the X root window
 Root-tail, is a program that displays one or more log files,
 on the X root window, through the use of transparent windows.

Package: rosegarden
Version: 1:12.04-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 14209
Depends: flac, libxml-twig-perl, sndfile-programs, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblircclient0, liblo7 (>= 0.26~repack), liblrdf0, libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.6), libx11-6, zlib1g (>= 1:1.1.4)
Recommends: cups-bsd | lpr, ghostscript, jackd, konqueror | www-browser, lilypond, okular | pdf-viewer, swh-plugins | ladspa-plugin, wavpack
Suggests: awesfx, qjackctl
Conflicts: rosegarden-data
Replaces: rosegarden-data
Homepage: http://www.rosegardenmusic.com/
Priority: optional
Section: sound
Filename: pool/main/r/rosegarden/rosegarden_12.04-1_armhf.deb
Size: 8024508
SHA256: 31d7257c8e13d7fbc1583f3b172d631e7d93e551a7a480be80f64489966d8e87
SHA1: 5082a59e2488a0d505ab340c7792292fe797511c
MD5sum: 15c931295f7c854ade116814c6d1019d
Description: music editor and MIDI/audio sequencer
 Rosegarden is a Qt application which provides a mixed Audio/MIDI
 sequencer (for playback and recording), a multi-track editor, music
 editing using both piano-roll and score notation, MIDI file IO,
 lilypond and Csound files export, etc.

Package: rosegarden-dbg
Source: rosegarden
Version: 1:12.04-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 102687
Depends: rosegarden (= 1:12.04-1)
Homepage: http://www.rosegardenmusic.com/
Priority: extra
Section: debug
Filename: pool/main/r/rosegarden/rosegarden-dbg_12.04-1_armhf.deb
Size: 40513038
SHA256: adb5154a7c1f06369ecf4ce511dc385a90a754e8803ce416fc59ba6bd9d2b000
SHA1: 6452f7872097226514db46b09c27e648fe34e8ac
MD5sum: 925f366e51b4674e88b138a99c34b215
Description: Debugging symbols for Rosegarden
 Rosegarden is a Qt application which provides a mixed Audio/MIDI
 sequencer (for playback and recording), a multi-track editor, music
 editing using both piano-roll and score notation, MIDI file IO,
 lilypond and Csound files export, etc.
 .
 This package provides the debugging symbols.

Package: rotix
Version: 0.83-4
Architecture: armhf
Maintainer: Chris Taylor 
Installed-Size: 63
Depends: libc6 (>= 2.13-28)
Priority: optional
Section: text
Filename: pool/main/r/rotix/rotix_0.83-4_armhf.deb
Size: 10266
SHA256: 460144a21f42301e6bb944f4584a3bcdf5cf2dc86a2d8ef3d0d0f49bde9b9edc
SHA1: 40333cec142477678b1fffeb7cee65a205768a6b
MD5sum: f38de5462a4f7552e8b7f9d5271212cb
Description: A program to generate rotational obfuscations
 Rotix allows you to generate rotational obfuscations, like the world-famous
 ROT-13. Note that this is not an encryption pack.
 .
 Install Rotix if you want to generate ROT-13 variants.

Package: rotter
Version: 0.9-3
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 101
Depends: libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libmp3lame0, libsndfile1 (>= 1.0.20), jackd
Homepage: http://www.aelius.com/njh/rotter/
Priority: optional
Section: sound
Filename: pool/main/r/rotter/rotter_0.9-3_armhf.deb
Size: 20654
SHA256: 901f1c6f7c4f0f4ff2831593369aba2350abc70680d6268022f6e87624a93b2c
SHA1: 93fb17aade4560dda12bb8f711ca9c3714853569
MD5sum: 527fc536136267192344e8a806f248dc
Description: JACK client for transmission recording and audio logging
 Rotter is a Recording of Transmission / Audio Logger for JACK. It was
 designed for use by radio stations, who are legally required to keep
 a recording of all their output. Rotter runs continuously, writing to
 a new file every hour.

Package: roundcube
Version: 0.7.2-9+deb7u9
Installed-Size: 78
Maintainer: Debian Roundcube Maintainers 
Architecture: all
Depends: roundcube-core (= 0.7.2-9+deb7u9)
Size: 29648
SHA256: a41b34e69e56a642e475aa47f501a5df7653862843c24afde2d1a02fdd25f361
SHA1: bc3ca454abd4cd3bed0d98d219caf41c425f5455
MD5sum: 375ed76fc6623aee24336b9b65bdb204
Description: skinnable AJAX based webmail solution for IMAP servers - metapackage
 RoundCube Webmail is a browser-based multilingual IMAP client with an
 application-like user interface. It provides full functionality
 expected from an e-mail client, including MIME support, address book,
 folder manipulation and message filters.
 .
 The user interface is fully skinnable using XHTML and CSS 2.
 .
 This package will install a full roundcube application.
Homepage: http://www.roundcube.net/
Section: web
Priority: extra
Filename: pool/main/r/roundcube/roundcube_0.7.2-9+deb7u9_all.deb

Package: roundcube-core
Source: roundcube
Version: 0.7.2-9+deb7u9
Installed-Size: 4253
Maintainer: Debian Roundcube Maintainers 
Architecture: all
Depends: dbconfig-common, debconf (>= 0.5) | debconf-2.0, ucf, apache2 | lighttpd | httpd, php5, php5-mcrypt, php5-gd, php5-intl, php-mdb2 (>= 2.5.0), php-auth, php-net-smtp (>= 1.4.2), php-net-socket, php-mail-mime (>= 1.8.2), php5-pspell, tinymce (>= 3), libjs-jquery (>= 1.6.4), libjs-jquery-ui (>= 1.8), libmagic1, roundcube-mysql (= 0.7.2-9+deb7u9) | roundcube-pgsql (= 0.7.2-9+deb7u9)
Suggests: php-auth-sasl (>= 1.0.3), php-crypt-gpg, roundcube-plugins
Conflicts: roundcube-plugins-extra (<= 0.6-20111030)
Size: 1029720
SHA256: fff54896adc9b99a88e64b5a68b89973bcb3d5de6a6ca1cd8a057aa8ccc4fd52
SHA1: fe3ba60ef600e63ede5bf66db7acacc0fab4d756
MD5sum: b3c3d52a245f295a7a6e1f1ea9cffbdc
Description: skinnable AJAX based webmail solution for IMAP servers
 RoundCube Webmail is a browser-based multilingual IMAP client with an
 application-like user interface. It provides full functionality
 expected from an e-mail client, including MIME support, address book,
 folder manipulation and message filters.
 .
 The user interface is fully skinnable using XHTML and CSS 2.
 .
 This package provides the core code for roundcube. You should install
 it along with one of the roundcube database metapackages.
Homepage: http://www.roundcube.net/
Section: web
Priority: extra
Filename: pool/main/r/roundcube/roundcube-core_0.7.2-9+deb7u9_all.deb

Package: roundcube-mysql
Source: roundcube
Version: 0.7.2-9+deb7u9
Installed-Size: 78
Maintainer: Debian Roundcube Maintainers 
Architecture: all
Depends: php-mdb2-driver-mysql (>= 1.5.0b2), mysql-client | virtual-mysql-client
Suggests: mysql-server
Size: 29594
SHA256: 7d3fa5bdccd7a40516f9e28f516305b35b0acb8ad597b19ee2edbbbe8389ef58
SHA1: 2779cbd7f694b409111aa74d0d6bcd5007e5fde7
MD5sum: df54d4dea2eff5332e2ff0a3f4f3d353
Description: metapackage providing MySQL dependencies for RoundCube
 This package provides MySQL dependencies for RoundCube Webmail, a
 skinnable AJAX based webmail solution for IMAP servers. Install this
 one if you want to use a MySQL database with RoundCube.
Homepage: http://www.roundcube.net/
Section: web
Priority: extra
Filename: pool/main/r/roundcube/roundcube-mysql_0.7.2-9+deb7u9_all.deb

Package: roundcube-pgsql
Source: roundcube
Version: 0.7.2-9+deb7u9
Installed-Size: 78
Maintainer: Debian Roundcube Maintainers 
Architecture: all
Depends: php-mdb2-driver-pgsql (>= 1.5.0b2), postgresql-client-9.1 | postgresql-client
Suggests: postgresql
Size: 29586
SHA256: 510676f72531b99d66b34196bb8cd63225f43f90f39ae7dd011da36c9627724b
SHA1: 023fb267793f84079bf1fa395cc0e0cb3134f702
MD5sum: 9d9e9ab68f92fe33cfe1daed54823ae5
Description: metapackage providing PostgreSQL dependencies for RoundCube
 This package provides PostgreSQL dependencies for RoundCube Webmail,
 a skinnable AJAX based webmail solution for IMAP servers. Install
 this one if you want to use a PostgreSQL database with RoundCube.
Homepage: http://www.roundcube.net/
Section: web
Priority: extra
Filename: pool/main/r/roundcube/roundcube-pgsql_0.7.2-9+deb7u9_all.deb

Package: roundcube-plugins
Source: roundcube
Version: 0.7.2-9+deb7u9
Installed-Size: 1519
Maintainer: Debian Roundcube Maintainers 
Architecture: all
Depends: roundcube-core (= 0.7.2-9+deb7u9)
Size: 324278
SHA256: 758ec5d350c835f23cf1bbc2940d21ac144118a850fdb82a1674a4affd01a9de
SHA1: 267ec8554feacc4af55b1e09e11d8075b21faf3f
MD5sum: 7d4a7fbb0b76410c738ccbac9bb50f8a
Description: skinnable AJAX based webmail solution for IMAP servers - plugins
 RoundCube Webmail is a browser-based multilingual IMAP client with an
 application-like user interface. It provides full functionality
 expected from an e-mail client, including MIME support, address book,
 folder manipulation and message filters.
 .
 The user interface is fully skinnable using XHTML and CSS 2.
 .
 This package provides several plugins for Roundcube. They should be
 enabled in the configuration of Roundcube.
Homepage: http://www.roundcube.net/
Section: web
Priority: extra
Filename: pool/main/r/roundcube/roundcube-plugins_0.7.2-9+deb7u9_all.deb

Package: roundcube-plugins-extra
Version: 0.7-20120110
Installed-Size: 1335
Maintainer: Debian Roundcube Maintainers 
Architecture: all
Depends: libjs-jquery-mousewheel, php-net-sieve (>= 1.3.2), roundcube-core (>= 0.7-3~)
Suggests: fail2ban
Size: 327134
SHA256: 680b132e5866944e8b8590243ff9d9790f975d4fe3583264a1930862d68efc2c
SHA1: c38ce82127a76f8dc61420979ce372a1ac1ec2cd
MD5sum: b23526f0f6adde6a7f17c431cb82dda4
Description: skinnable AJAX based webmail solution - extra plugins
 RoundCube Webmail is a browser-based multilingual IMAP client with an
 application-like user interface. It provides full functionality
 expected from an e-mail client, including MIME support, address book,
 folder manipulation and message filters.
 .
 The user interface is fully skinnable using XHTML and CSS 2.
 .
 This package provides a collection of several plugins for Roundcube that
 are not maintained directly by Roundcube authors, namely:
  - compose_addressbook
  - contextmenu
  - copymessage
  - dkimstatus
  - dovecot_impersonate
  - fail2ban
  - keyboard_shortcuts
  - listcommands
  - markasjunk2
  - message_highlight
  - quickrules
  - sauserprefs
  - sieverules
  - zipdownload
 .
 They should be enabled in the configuration of Roundcube.
Section: web
Priority: extra
Filename: pool/main/r/roundcube-plugins-extra/roundcube-plugins-extra_0.7-20120110_all.deb

Package: roundup
Version: 1.4.20-1.1+deb7u1
Installed-Size: 4536
Maintainer: Kai Storbeck 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8)
Suggests: python-gdbm, python-psycopg2, python-mysqldb, runit, libapache2-mod-python, python-tz, python-xapian, python-openssl, python-pyme
Size: 1525532
SHA256: b6bad4cbbdd8d48a9060374cc9644584d6ef1f1cfbaab0931f32ccd9173dc449
SHA1: fff4766f9c1694c262e7eaf0bebb03a605e78a9a
MD5sum: 23a088cf34d4df6cb2157bd47147d24c
Description: an issue-tracking system
 Simple-to-use and -install issue-tracking system with
 command-line, web and e-mail interfaces. It is based on the winning
 design from Ka-Ping Yee in the Software Carpentry "Track" design
 competition.
 .
 If you install one or more of the suggested database interface packages,
 you will be able to store tracker data in the corresponding database.
Homepage: http://www.roundup-tracker.org/
Recommends: libjs-jquery
Section: web
Priority: optional
Filename: pool/main/r/roundup/roundup_1.4.20-1.1+deb7u1_all.deb

Package: routeplanner
Version: 0.19
Installed-Size: 392
Maintainer: Chris Lawrence 
Architecture: all
Depends: python-central (>= 0.5), python, python-newt, python-pqueue
Suggests: routeplanner-gnome
Size: 292106
SHA256: 40c082c7c5a630f17b23aa4d4e0fe5c3428ad99d5f3b7b61b323ac42efc2e8d5
SHA1: a1ebe6dfd598b747325c73757eda756c3e8defe1
MD5sum: 4ecd307a10384858e59708801e1953d9
Description: A highway trip planner
 This is a highway trip planning program, similar to programs like
 Rand McNally's "TripMaker."  It allows you to plan road trips between
 two or more locations, using various interchangeable map files (or
 databases).
 .
 Currently, the program includes two databases covering North America;
 one is fairly simplistic, and one is quite detailed.  You can also
 create new databases with the editor in the routeplanner-gnome package.
 .
 For retrocomputing nuts, this program traces its lineage back to a
 1980s program called "RoadRoute" written by Jim Butterfield for the
 Amiga.  AFAIK all of the code has been rewritten, but the Basic-USA
 database included here is Jim's original dataset.
 .
 The package includes two console-based interfaces; for the GNOME
 interface and the editor, you should install routeplanner-gnome as well.
 .
 Home Page: http://sourceforge.net/projects/routeplanner/
Python-Version: current
Tag: field::geography, implemented-in::python, interface::text-mode,
 role::program, scope::application, uitoolkit::gtk, use::organizing
Section: misc
Priority: optional
Filename: pool/main/r/routeplanner/routeplanner_0.19_all.deb

Package: routeplanner-gnome
Source: routeplanner
Version: 0.19
Installed-Size: 372
Maintainer: Chris Lawrence 
Architecture: all
Replaces: routeplanner (<< 0.8)
Depends: python-central (>= 0.5), python, python-glade2, python-gnome2, routeplanner (= 0.19)
Size: 36906
SHA256: 3c54419af723b959a59846556a466dc6808194f621bf420b00adfdd82901977f
SHA1: 95ea1af82ca70c44315d68e85ae2474ff4f475d7
MD5sum: 5aa36647452f784bb953261cb4a8fbe0
Description: A highway trip planner (GNOME interface)
 This is a highway trip planning program, similar to programs like
 Rand McNally's "TripMaker."  It allows you to plan road trips between
 two or more locations, using various interchangeable map files (or
 databases).
 .
 Currently, the program includes two databases covering North America;
 one is fairly simplistic, and one is quite detailed.  You can also
 create new databases with the included editor.
 .
 For retrocomputing nuts, this program traces its lineage back to a
 1980s program called "RoadRoute" written by Jim Butterfield for the
 Amiga.  AFAIK all of the code has been rewritten, but the Basic-USA
 database included here is Jim's original dataset.
 .
 This package includes the GNOME interface and the database editor
 (which also requires GNOME).
 .
 Home Page: http://sourceforge.net/projects/routeplanner/
Python-Version: current
Tag: field::geography, implemented-in::python, interface::x11, role::program,
 scope::application, suite::gnome, uitoolkit::gtk, use::organizing,
 x11::application
Section: gnome
Priority: optional
Filename: pool/main/r/routeplanner/routeplanner-gnome_0.19_all.deb

Package: routino
Version: 2.2-4+deb7u1
Architecture: armhf
Maintainer: Thibaut Gridel 
Installed-Size: 1282
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Homepage: http://www.routino.org/
Priority: extra
Section: misc
Filename: pool/main/r/routino/routino_2.2-4+deb7u1_armhf.deb
Size: 488862
SHA256: 3e4eadc3fe70a751662a3a233b487dfd068ce05126ecc2dfe49cd6dcd4efe8ec
SHA1: b56db3eb1245806890f13ddf9b146f986c5b4fb1
MD5sum: d1151763b65f3df4e8167edd2bb2e8d1
Description: Set of tools to find a path between two points.
 Routino is an application for finding a route between two points
 using the dataset of topographical information collected by
 OpenStreetMap

Package: routino-www
Source: routino
Version: 2.2-4+deb7u1
Installed-Size: 1166
Maintainer: Thibaut Gridel 
Architecture: all
Depends: apache2, libjs-openlayers, javascript-common, routino
Size: 866862
SHA256: ee5b151062c91d08443f806bafc7992d9defc3010f12b707f49041cd445e15b7
SHA1: 602d423f62f2c58ea45acf8603c23c91a21afca4
MD5sum: 888c75354006a1571e5e1abcc724455d
Description: Web frontend for routino
 Routino is an application for finding a route between two points
 using the dataset of topographical information collected by
 OpenStreetMap
 .
 This is the web frontend to the router.
Homepage: http://www.routino.org/
Tag: field::geography, implemented-in::ecmascript, implemented-in::perl,
 interface::web, role::program
Section: misc
Priority: extra
Filename: pool/main/r/routino/routino-www_2.2-4+deb7u1_all.deb

Package: rox-filer
Source: rox
Version: 2.10-3
Architecture: armhf
Maintainer: Francesco Paolo Lovergine 
Installed-Size: 3798
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxml2 (>= 2.7.4), shared-mime-info (>= 0.16)
Recommends: zeroinstall-injector
Suggests: file, menu
Homepage: http://rox.sourceforge.net/desktop/ROX-Filer
Priority: optional
Section: x11
Filename: pool/main/r/rox/rox-filer_2.10-3_armhf.deb
Size: 1563294
SHA256: c93edd20451e11f7e8d39f87ac6db5ed8f10214577d39dc3c3dca1a2f06891fb
SHA1: b3bfb8a0b1e871a761ce07e1bc14d7523b94098e
MD5sum: 46d16febede552cec18a1aa053ddd7fe
Description: A simple graphical file manager for X11
 ROX-Filer is a simple and easy to use graphical file manager
 for X11 based on the GTK2 library. It uses a uniform
 drag-and-drop approach for every operation.
 .
 It is also the core component of the ROX Desktop Environment.
 .
 Invoking rox opens each directory or file listed,  or  the
 current working directory if no arguments are given.

Package: roxterm
Version: 2.6.5-1
Installed-Size: 37
Maintainer: Tony Houghton 
Architecture: all
Depends: roxterm-gtk3 (>= 2.6.5-1)
Size: 37506
SHA256: b60f70cff344d04ece2e87c24c533b4f559a982c05e1f4a3b5014f3aee56143d
SHA1: 26b0f1a92d1de07974ceef88e5c03eaa7a771e6b
MD5sum: 89ed214e6f2c0aefe47f664aa93abbad
Description: Multi-tabbed GTK+/VTE terminal emulator - virtual package for GTK3
 ROXTerm is a terminal emulator based on the VTE library. It was originally
 intended to be a lightweight alternative to gnome-terminal, but its role has
 shifted to providing a richer range of features for power users.
 .
 This is a virtual package to ease upgrade to roxterm-gtk3. Install
 roxterm-gtk2 instead if you want to stick with GTK+2.
Homepage: http://roxterm.sourceforge.net/
Tag: interface::x11, role::program, uitoolkit::gtk, x11::terminal
Section: x11
Priority: optional
Filename: pool/main/r/roxterm/roxterm_2.6.5-1_all.deb

Package: roxterm-common
Source: roxterm
Version: 2.6.5-1
Installed-Size: 524
Maintainer: Tony Houghton 
Architecture: all
Replaces: roxterm (<< 2.0.0-1)
Recommends: roxterm-gtk3 | roxterm-gtk2, openssh-client
Breaks: roxterm (<< 2.0.0-1)
Size: 128126
SHA256: 9a58a3524586bd2bda19df0f1a11e7149339e24d786369faeb9ba7d5fa71ae46
SHA1: 3abcd30925d4fc8b3b950cd7eb8de135581f3238
MD5sum: 94bd9bfe3844ac550e1dc4ec4e228b0f
Description: Multi-tabbed GTK+/VTE terminal emulator - common files
 ROXTerm is a terminal emulator based on the VTE library. It was originally
 intended to be a lightweight alternative to gnome-terminal, but its role has
 shifted to providing a richer range of features for power users.
 .
 This package contains files used by both the GTK+2 (roxterm-gtk2) and
 GTK+3 (roxterm-gtk3) versions.
Homepage: http://roxterm.sourceforge.net/
Section: x11
Priority: optional
Filename: pool/main/r/roxterm/roxterm-common_2.6.5-1_all.deb

Package: roxterm-gtk2
Source: roxterm
Version: 2.6.5-1
Architecture: armhf
Maintainer: Tony Houghton 
Installed-Size: 342
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libvte9 (>= 1:0.28.0), libx11-6, librsvg2-common, roxterm-common (= 2.6.5-1)
Conflicts: roxterm-gtk3
Provides: x-terminal-emulator
Homepage: http://roxterm.sourceforge.net/
Priority: optional
Section: x11
Filename: pool/main/r/roxterm/roxterm-gtk2_2.6.5-1_armhf.deb
Size: 158272
SHA256: 3c6bba832eb3b9f89b746d4b9c2b7dba4ba62846fab8f676230cea2d2182976b
SHA1: 9ff2bc589ef39982441173a45fc110fe09f3623a
MD5sum: 5f43b7da3234495a64b228ed65b6bc96
Description: Multi-tabbed GTK+/VTE terminal emulator - GTK2 version
 ROXTerm is a terminal emulator based on the VTE library. It was originally
 intended to be a lightweight alternative to gnome-terminal, but its role has
 shifted to providing a richer range of features for power users.
 .
 This package provides the GTK+2 version of the binaries. The roxterm-gtk3
 package provides a GTK+3 version.

Package: roxterm-gtk3
Source: roxterm
Version: 2.6.5-1
Architecture: armhf
Maintainer: Tony Houghton 
Installed-Size: 339
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk-3-0 (>= 3.0.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libvte-2.90-9 (>= 1:0.27.2), libx11-6, librsvg2-common, roxterm-common (= 2.6.5-1)
Conflicts: roxterm-gtk2
Provides: x-terminal-emulator
Homepage: http://roxterm.sourceforge.net/
Priority: optional
Section: x11
Filename: pool/main/r/roxterm/roxterm-gtk3_2.6.5-1_armhf.deb
Size: 158826
SHA256: 36de0361d12ce31153ac01571432603543f9135ce043cbbbbd8f7150e30dfdf8
SHA1: a90b2c20c6713e602c93132542458de7ab1de818
MD5sum: 6ce14251c54e641489e4eb88c47d8ef4
Description: Multi-tabbed GTK+/VTE terminal emulator - GTK3 version
 ROXTerm is a terminal emulator based on the VTE library. It was originally
 intended to be a lightweight alternative to gnome-terminal, but its role has
 shifted to providing a richer range of features for power users.
 .
 This package provides the GTK+3 version of the binaries. The roxterm-gtk2
 package provides a GTK+2 version.

Package: rpcbind
Version: 0.2.0-8+deb7u2
Architecture: armhf
Maintainer: Anibal Monsalve Salazar 
Installed-Size: 78
Depends: libc6 (>= 2.13-28), libtirpc1 (>= 0.2.2-5+deb7u1), libwrap0 (>= 7.6-4~), lsb-base (>= 3.2-14), insserv (>= 1.14.0-2.1) | file-rc, initscripts (>= 2.88dsf-13.3)
Conflicts: portmap
Provides: portmap
Homepage: http://sourceforge.net/projects/rpcbind/
Priority: standard
Section: net
Filename: pool/main/r/rpcbind/rpcbind_0.2.0-8+deb7u2_armhf.deb
Size: 43072
SHA256: 2835bc5a5b84bb2191e820072212cf3b15de5c954c9d0dc7b07f588ae7eb84d4
SHA1: b52cbe3fc6ec92ce72ede11c1c39726a9e8136df
MD5sum: d54f4a75ca1c2034729a353f60770a2a
Description: converts RPC program numbers into universal addresses
 The rpcbind utility is a server that converts RPC program numbers
 into universal addresses.

Package: rpl
Version: 1.5.5-1
Installed-Size: 84
Maintainer: Kevin Coyner 
Architecture: all
Depends: python, python-support (>= 0.2)
Size: 8314
SHA256: 6cdc2098d63324d0078bd5280ebdc5be64c74f9e45707e666ed338d0499da407
SHA1: 3be4e72853b748ac476a7e8264d61fe53bdaed2b
MD5sum: 98f8a35510f8a84860d58f0316922319
Description: intelligent recursive search/replace utility
 rpl is a text replacement utility. It will replace strings with new strings
 in multiple text files. It can work recursively over directories and supports
 limiting the search to specific file suffixes.
 .
  Homepage: http://rpl.sourceforge.net/
Python-Version: 2.4, 2.3, 2.5
Tag: implemented-in::python, interface::commandline, role::program,
 scope::utility, works-with::text
Section: utils
Priority: optional
Filename: pool/main/r/rpl/rpl_1.5.5-1_all.deb

Package: rplay-client
Source: rplay
Version: 3.3.2-14
Architecture: armhf
Maintainer: Thorsten Alteholz 
Installed-Size: 113
Depends: libc6 (>= 2.13-28), libreadline6 (>= 6.0), librplay3 (>= 3.3.2-2), dpkg (>= 1.15.4) | install-info, devrplay3
Breaks: rplay (<< 3.3.2-9)
Replaces: rplay (<< 3.3.2-9)
Homepage: http://rplay.doit.org/
Priority: optional
Section: sound
Filename: pool/main/r/rplay/rplay-client_3.3.2-14_armhf.deb
Size: 56342
SHA256: 25cf56476c0af42becba598096f6630c19c4698133a0b63e7e61f134e62a7cb0
SHA1: 1845017cd87033aa441ab0ed04d39080ebfe2382
MD5sum: d5fffefd21e4c06f4e91c85ee8ef3ff5
Description: rplay network audio system - basic clients
 This package contains the basic rplay clients (rplay, rptp).  The clients
 are used to play sounds on the local host or remote systems.
 .
 RPlay allows sounds to be played to and from local and remote Unix
 systems.  Sounds can be played with or without sending audio data over
 the network using either UDP or TCP/IP.  RPlay audio servers can be
 configured to share sound files with each other.
 .
 Support for RPlay is included in several applications.  These include
 xpilot, xlockmore, xboing, fvwm, and ctwm.

Package: rplay-contrib
Source: rplay
Version: 3.3.2-14
Architecture: armhf
Maintainer: Thorsten Alteholz 
Installed-Size: 78
Depends: libc6 (>= 2.13-28), librplay3 (>= 3.3.2-2), librplay-perl, perl
Breaks: rplay (<< 3.3.2-9)
Replaces: rplay (<< 3.3.2-9)
Homepage: http://rplay.doit.org/
Priority: optional
Section: sound
Filename: pool/main/r/rplay/rplay-contrib_3.3.2-14_armhf.deb
Size: 36418
SHA256: 5db5fee82d56f8007429e128af728a21f7fe44d6048f8b8df723206acc4af7b9
SHA1: 67685b381d68ef02bf09e9aabbaa162148b193ff
MD5sum: 6c3379410b230c1009c533e30fc1a0c4
Description: rplay network audio system - contributed binaries
 Contains mailsounds and Mailsounds each of which can be used to play
 sounds on a system when new mail arrives.
 .
 RPlay allows sounds to be played to and from local and remote Unix
 systems.  Sounds can be played with or without sending audio data over
 the network using either UDP or TCP/IP.  RPlay audio servers can be
 configured to share sound files with each other.
 .
 Support for RPlay is included in several applications.  These include
 xpilot, xlockmore, xboing, fvwm, and ctwm.

Package: rplay-server
Source: rplay
Version: 3.3.2-14
Architecture: armhf
Maintainer: Thorsten Alteholz 
Installed-Size: 227
Depends: libc6 (>= 2.13-28), libgsm1 (>= 1.0.13), librplay3 (>= 3.3.2-2)
Suggests: mpg123, vorbis-tools
Breaks: rplay (<< 3.3.2-9)
Replaces: rplay (<< 3.3.2-9)
Homepage: http://rplay.doit.org/
Priority: optional
Section: sound
Filename: pool/main/r/rplay/rplay-server_3.3.2-14_armhf.deb
Size: 98032
SHA256: 67eb4d8d48edc9afc3d9af5e622db9de47f6647276371ed750591a40f94c4741
SHA1: f5ba615547eed0433bd7d24c05ad315bdd3b1cec
MD5sum: 53904e4d51307cf732097a91985a7d80
Description: rplay network audio system - server
 This package contains the rplay server.  The rplay server allows sounds
 to be played on the system.
 .
 RPlay allows sounds to be played to and from local and remote Unix
 systems.  Sounds can be played with or without sending audio data over
 the network using either UDP or TCP/IP.  RPlay audio servers can be
 configured to share sound files with each other.
 .
 Support for RPlay is included in several applications.  These include
 xpilot, xlockmore, xboing, fvwm, and ctwm.

Package: rpld
Version: 1.8beta1-8.1
Architecture: armhf
Maintainer: Jonas Smedegaard 
Installed-Size: 197
Depends: libc6 (>= 2.4), netbase
Priority: optional
Section: net
Filename: pool/main/r/rpld/rpld_1.8beta1-8.1_armhf.deb
Size: 112552
SHA256: f2602b5b16f1b21026ef1322c5cc09c4f2d943a5adb504ce22e342093568f732
SHA1: fc2a2ee6c4afb17f8fe9e18b05eae7394852d85d
MD5sum: 14f3e7681b6e0d57c5a7e6139e4376d9
Description: RPL/RIPL remote boot daemon
 Daemon to net-boot IBM style RPL boot ROMs (this is not the
 same as the Novell IPX-style RPL protocol, despite the
 name).
 .
 Website: http://gimel.esc.cam.ac.uk/james/rpld/

Package: rpm
Version: 4.10.0-5+deb7u2
Architecture: armhf
Maintainer: Michal Čihař 
Installed-Size: 1269
Depends: libc6 (>= 2.13-28), libelf1 (>= 0.131), libgcc1 (>= 1:4.4.0), libpopt0 (>= 1.14), librpm3 (>= 4.10.0), librpmbuild3 (>= 4.10.0), librpmio3 (>= 4.10.0), librpmsign1 (>= 4.10.0), perl, rpm2cpio, rpm-common (= 4.10.0-5+deb7u2)
Suggests: alien, elfutils, rpm-i18n
Breaks: man-db (<< 2.5.0-1), manpages-pl (<< 20051017-1)
Replaces: manpages-pl (<< 20051017-1)
Homepage: http://rpm.org/
Priority: optional
Section: admin
Filename: pool/main/r/rpm/rpm_4.10.0-5+deb7u2_armhf.deb
Size: 1067724
SHA256: 4cd11630529d47c714cb934914d53424331d10f957fac754ffefd8828034da82
SHA1: e979f6bc818124f3b397d39ec14a8448c3ac1840
MD5sum: 5eb42bdd04930cb1984125265b31a558
Description: package manager for RPM
 The RPM Package Manager (RPM) is a command-line driven package
 management system capable of installing, uninstalling, verifying,
 querying, and updating computer software packages.
 .
 On Debian and derived systems it is recommended to use "alien" to
 convert RPM packages into .deb format instead of bypassing the Debian
 package management system by installing them directly with rpm.

Package: rpm-common
Source: rpm
Version: 4.10.0-5+deb7u2
Architecture: armhf
Maintainer: Michal Čihař 
Installed-Size: 1286
Depends: libc6 (>= 2.13-28), librpm3 (>= 4.10.0), librpmio3 (>= 4.10.0)
Breaks: librpm0 (<< 4.7.1-1), librpm2, rpm (<< 4.7.1-1)
Replaces: librpm0 (<< 4.7.1-1), librpm2, rpm (<< 4.7.1-1)
Homepage: http://rpm.org/
Priority: optional
Section: admin
Filename: pool/main/r/rpm/rpm-common_4.10.0-5+deb7u2_armhf.deb
Size: 942068
SHA256: b8c2509a0d8e22f22aa8dfadde6b9af700fcea43069db45d0cf7cf97932a6438
SHA1: 50a50d3f8c06926d23ea874ceaa3fe8d5da1ad95
MD5sum: 7dbe10451ccff06c1434be076b6e46d2
Description: common files for RPM
 The RPM Package Manager (RPM) is a command-line driven package
 management system capable of installing, uninstalling, verifying,
 querying, and updating computer software packages.
 .
 This package contains some scripts and default configuration which is
 used by RPM libraries.

Package: rpm-i18n
Source: rpm
Version: 4.10.0-5+deb7u2
Installed-Size: 2592
Maintainer: Michal Čihař 
Architecture: all
Replaces: rpm (<< 4.7.0-2)
Suggests: rpm
Breaks: rpm (<< 4.7.0-2)
Size: 1438874
SHA256: c5f931d3784741ed8ccf3acc96d3e0b8c1d56c3e8e95b1d24cff7e7ef0332dcd
SHA1: 713137cdb46566073cdf3014dfd8b90960bdd308
MD5sum: f6fe611422cb224b1b48ae4b922f7c69
Description: localization and localized man pages for rpm
 The RPM Package Manager (RPM) is a command-line driven package
 management system capable of installing, uninstalling, verifying,
 querying, and updating computer software packages.
 .
 This package contains localization of rpm and localized man pages.
Homepage: http://rpm.org/
Section: localization
Priority: optional
Filename: pool/main/r/rpm/rpm-i18n_4.10.0-5+deb7u2_all.deb

Package: rpm2cpio
Source: rpm
Version: 4.10.0-5+deb7u2
Architecture: armhf
Maintainer: Michal Čihař 
Installed-Size: 941
Depends: libc6 (>= 2.13-28), librpm3 (>= 4.10.0), librpmio3 (>= 4.10.0), rpm-common (= 4.10.0-5+deb7u2)
Suggests: rpm-i18n
Breaks: rpm (<< 4.7.1-1)
Replaces: rpm (<< 4.7.1-1)
Homepage: http://rpm.org/
Priority: optional
Section: admin
Filename: pool/main/r/rpm/rpm2cpio_4.10.0-5+deb7u2_armhf.deb
Size: 922412
SHA256: 4bcf1e82b0e82b2ec357d0510982614a3eda0eb2f2feb13e2af00c2ccba79ec6
SHA1: 11555e5c924db90bdb14fb3d071ecf2f9646d365
MD5sum: 92672b3d13809da5d3221068ac30423e
Description: tool to convert RPM package to CPIO archive
 The RPM Package Manager (RPM) is a command-line driven package
 management system capable of installing, uninstalling, verifying,
 querying, and updating computer software packages.
 .
 This package contains tool to convert RPM packages to standard CPIO
 archive.

Package: rpm2html
Source: rpm2html (1.11.2-3)
Version: 1.11.2-3+b1
Architecture: armhf
Maintainer: Michal Čihař 
Installed-Size: 188
Depends: rpm, libbz2-1.0, libc6 (>= 2.13-28), libpopt0 (>= 1.14), librpm3 (>= 4.10.0), librpmio3 (>= 4.10.0), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4)
Homepage: http://www.nongnu.org/rpm2html/
Priority: extra
Section: web
Filename: pool/main/r/rpm2html/rpm2html_1.11.2-3+b1_armhf.deb
Size: 79532
SHA256: dc459b873013ecba2dc56fc4f5baa9ecf40363e587091bdcfced48c50e55ab58
SHA1: af1eb636476ead22f2107b7a9e05cff29ce0082a
MD5sum: 6c75c6152e2d95c2c6d61fac5682a770
Description: generate HTML index from directories of RPMs
 rpm2html automatically generates Web pages describing a set of RPM
 packages. The goal of rpm2html is also to identify the dependencies between
 various packages, and being able to find the packages providing the
 resources needed to install another package.

Package: rrdcached
Source: rrdtool
Version: 1.4.7-2+rpi1
Architecture: armhf
Maintainer: Debian RRDtool Team 
Installed-Size: 278
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbi1 (>= 0.8.4), libglib2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), librrd4 (>= 1.4~rc2+20091004), libwrap0 (>= 7.6-4~), libxml2 (>= 2.6.27)
Homepage: http://oss.oetiker.ch/rrdtool/
Priority: optional
Section: utils
Filename: pool/main/r/rrdtool/rrdcached_1.4.7-2+rpi1_armhf.deb
Size: 151870
SHA256: 1fbfaf74a55166dff715dc3924f62ce2148b55bd87ec88691f95a2d30993afdc
SHA1: cd5002baf693e72893e816833bda1cee4aef3223
MD5sum: 29c800212e79f2568a06962d9e86176e
Description: data caching daemon for RRDtool
 RRDCacheD is a daemon that receives updates to existing RRD files, accumulates
 them and, if enough have been received or a defined time has passed, writes
 the updates to the RRD file. The daemon was written with big setups in mind.
 Those setups usually run into I/O related problems. RRDCacheD's goal is to
 alleviate these problems.

Package: rrdcollect
Version: 0.2.10-2
Architecture: armhf
Maintainer: Artur R. Czechowski 
Installed-Size: 115
Depends: libc6 (>= 2.13-28), libpcre3 (>= 8.10), librrd4 (>= 1.3.0)
Recommends: rrdtool
Homepage: http://rrdcollect.sourceforge.net/
Priority: extra
Section: utils
Filename: pool/main/r/rrdcollect/rrdcollect_0.2.10-2_armhf.deb
Size: 32962
SHA256: b98d3519b9065dc3b643e2ca7649c496677efb99166df0ab8eda2bab590f3cdf
SHA1: 96a6a3eaa4c0575594a88b5655eb611a0c03eb9b
MD5sum: d997e017d13ba198ff43f545ff585b84
Description: Round-Robin-Database Collecting Daemon
 RRDcollect is a daemon which polls certain files in /proc/
 directory, gathering data and storing it inside RRDtool's
 database files.  Being written in C it should be both fast
 and resources-friendly.  It supports both scanf(3)-style
 pattern matches and perl compatible regular expressions.
 .
 This package does not create rrd files. You need to create those files
 manually using rrdtool package.

Package: rrdcollect-dbg
Source: rrdcollect
Version: 0.2.10-2
Architecture: armhf
Maintainer: Artur R. Czechowski 
Installed-Size: 73
Depends: rrdcollect (= 0.2.10-2)
Homepage: http://rrdcollect.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/r/rrdcollect/rrdcollect-dbg_0.2.10-2_armhf.deb
Size: 15964
SHA256: 72967f165e7372eff4936b3e2582e56e1652678c8ba089d5de492e77a7eb843c
SHA1: f4239f1aa352634464ffb411eb6b5485aa77b327
MD5sum: b37ff85b21d9c39a9f24aa274cc77b0e
Description: debugging symbols for rrdcollect
 This package contains the debugging symbols for RRDcollect, the daemon which
 polls data from certain sources into RRD databases.

Package: rrdtool
Version: 1.4.7-2+rpi1
Architecture: armhf
Maintainer: Debian RRDtool Team 
Installed-Size: 910
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbi1 (>= 0.8.4), libglib2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), librrd4 (>= 1.4~rc2), libwrap0 (>= 7.6-4~), libxml2 (>= 2.6.27)
Suggests: librrds-perl
Homepage: http://oss.oetiker.ch/rrdtool/
Priority: optional
Section: utils
Filename: pool/main/r/rrdtool/rrdtool_1.4.7-2+rpi1_armhf.deb
Size: 461192
SHA256: 83d26b0e10e2c312406f9f46728652f6ff52a8b8b81f91ebb908556d1e93c20b
SHA1: 1d57c010e6ea8440ef7a72c72e8586f57c350f4e
MD5sum: 1ec1a14a7947c230f9c4b44e069cf18f
Description: time-series data storage and display system (programs)
 The Round Robin Database Tool (RRDtool) is a system to store and display
 time-series data (e.g. network bandwidth, machine-room temperature,
 server load average). It stores the data in Round Robin Databases (RRDs),
 a very compact way that will not expand over time. RRDtool processes the
 extracted data to enforce a certain data density, allowing for useful
 graphical representation of data values.
 .
 RRDtool is often used via various wrappers that can poll data from devices
 and feed data into RRDs, as well as provide a friendlier user interface and
 customized graphs.
 .
 This package contains command-line programs used to access and manipulate
 RRDs.

Package: rrdtool-dbg
Source: rrdtool
Version: 1.4.7-2+rpi1
Architecture: armhf
Maintainer: Debian RRDtool Team 
Installed-Size: 1175
Depends: rrdtool (= 1.4.7-2+rpi1), librrd4 (= 1.4.7-2+rpi1), libc6 (>= 2.13-28), python2.7-dbg | python2.6-dbg, python-dbg (>= 2.6), python-dbg (<< 2.8)
Recommends: librrds-perl (= 1.4.7-2+rpi1), rrdtool-tcl (= 1.4.7-2+rpi1), python-rrdtool (= 1.4.7-2+rpi1), librrd-ruby1.8 (= 1.4.7-2+rpi1), librrd-ruby1.9.1 (= 1.4.7-2+rpi1), python-all-dbg, liblua5.1-rrd0 (= 1.4.7-2+rpi1)
Homepage: http://oss.oetiker.ch/rrdtool/
Priority: extra
Section: debug
Filename: pool/main/r/rrdtool/rrdtool-dbg_1.4.7-2+rpi1_armhf.deb
Size: 494390
SHA256: 9d93e49926c91059e659dec800ac911183a4bb79207dea65c024a8b4dbc30dd0
SHA1: c2a5fc987ffaeeaa795cb5f1b1445b1cd9d87904
MD5sum: 33e16da26d8cbc96dc66d57495c8f053
Description: time-series data storage and display system (debugging symbols)
 The Round Robin Database Tool (RRDtool) is a system to store and display
 time-series data (e.g. network bandwidth, machine-room temperature,
 server load average). It stores the data in Round Robin Databases (RRDs),
 a very compact way that will not expand over time. RRDtool processes the
 extracted data to enforce a certain data density, allowing for useful
 graphical representation of data values.
 .
 RRDtool is often used via various wrappers that can poll data from devices
 and feed data into RRDs, as well as provide a friendlier user interface and
 customized graphs.
 .
 This package contains the debugging symbols for the program and library files.

Package: rrdtool-tcl
Source: rrdtool
Version: 1.4.7-2+rpi1
Architecture: armhf
Maintainer: Debian RRDtool Team 
Installed-Size: 155
Depends: libc6 (>= 2.13-28), librrd4 (>= 1.4~rc2), tcl8.5 | tclsh
Homepage: http://oss.oetiker.ch/rrdtool/
Priority: optional
Section: utils
Filename: pool/main/r/rrdtool/rrdtool-tcl_1.4.7-2+rpi1_armhf.deb
Size: 106394
SHA256: 9bd25a0ed1f0063386807d9f50287dc1eca55f00d41b26e11c9c52801b31f4d9
SHA1: 372e5f6a795b5cfc64285f936dc9263e1aa66434
MD5sum: 8cfa24c0ff8257e488d4b997a40ad4ac
Description: time-series data storage and display system (Tcl interface)
 The Round Robin Database Tool (RRDtool) is a system to store and display
 time-series data (e.g. network bandwidth, machine-room temperature,
 server load average). It stores the data in Round Robin Databases (RRDs),
 a very compact way that will not expand over time. RRDtool processes the
 extracted data to enforce a certain data density, allowing for useful
 graphical representation of data values.
 .
 This package contains a Tcl interface to RRDs.

Package: rrep
Version: 1.3.3-2
Architecture: armhf
Maintainer: Arno Onken 
Installed-Size: 168
Pre-Depends: libc6 (>= 2.4)
Depends: dpkg (>= 1.15.4) | install-info
Homepage: http://sourceforge.net/projects/rrep/
Priority: optional
Section: utils
Filename: pool/main/r/rrep/rrep_1.3.3-2_armhf.deb
Size: 53578
SHA256: 8e242d43a35df5ebb35d583fb7eff8c3d3d5f4956b8dcfe7b18e84202cdca213
SHA1: c58d819c7c654cf19f3c7176f1f7d6926211032d
MD5sum: e4dfdeb7f7ef4ed69c8e8882a0479cc5
Description: recursive pattern replacement utility
 rrep is a pattern replacement utility. It comes with
 support for regular expressions, recursive directory
 processing, backup, simulation and prompting. The
 replacement string may contain special characters to
 refer to portions of the matched pattern.

Package: rrootage
Version: 0.23a-9
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 124
Depends: libbulletml0d2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.3.0), rrootage-data (= 0.23a-9)
Homepage: http://rrootage.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/r/rrootage/rrootage_0.23a-9_armhf.deb
Size: 42178
SHA256: de4e73f5fd056e07fad4634a8a6b963de965232acbbf647b72ae1126017c974a
SHA1: e6a749963257dd1411f45f2d8ec660df86dfd94f
MD5sum: aeb1706db203a8d3ba74ae20b0f1ae78
Description: arcade-style space shooting game
 rRootage is an arcade-style vertical shooting game, with music to match.
 Avoid the barrage of bullets and destroy the enemy's battle ship with your
 laser. rRootage has 40 levels, each playable in four different modes.
 .
 rRootage was created by Kenta Cho.

Package: rrootage-data
Source: rrootage
Version: 0.23a-9
Installed-Size: 4276
Maintainer: Debian Games Team 
Architecture: all
Size: 3742144
SHA256: bfbcf37fbe70e362b2cf792c4523b4ec1b55c844a6e44478fc6021ff95171ca2
SHA1: 296bea559e6b8ebdaecae0bbdbc8f8d855419800
MD5sum: 5798dd4eeb38a81230c26ae9672ffc99
Description: space shooting game - data files
 This package contains data files for the rRootage game.
Homepage: http://rrootage.sourceforge.net/
Tag: game::arcade, interface::x11, role::app-data, uitoolkit::sdl,
 x11::application
Section: games
Priority: optional
Filename: pool/main/r/rrootage/rrootage-data_0.23a-9_all.deb

Package: rs
Version: 20120414-1
Architecture: armhf
Maintainer: Thorsten Glaser 
Installed-Size: 57
Depends: libbsd0 (>= 0.2.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1)
Multi-Arch: foreign
Priority: optional
Section: utils
Filename: pool/main/r/rs/rs_20120414-1_armhf.deb
Size: 13558
SHA256: 8a8b225e2ead8692faeb638ecc5107a91f6ca3c3c5a55c7426d351b0a2a6233b
SHA1: 12c4d6443bb7215bd99fc8f700ca3da51b79bae7
MD5sum: 32a993ae5f25870d7d452e5e053f7ac2
Description: reshape a data array
 rs reads the standard input, interpreting each line as a row of
 blank-separated entries in an array, transforms the array
 according to the options, and writes it on the standard output.
 Numerous options control input, reshaping and output processing;
 the simplest usage example is "ls -1 | rs", which outputs the
 same (on an 80-column terminal) as the modern "ls" with no "-1"
 argument.

Package: rsakeyfind
Version: 1:1.0-2.1
Architecture: armhf
Maintainer: Debian Forensics 
Installed-Size: 54
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Homepage: http://citp.princeton.edu/memory/code/
Priority: optional
Section: utils
Filename: pool/main/r/rsakeyfind/rsakeyfind_1.0-2.1_armhf.deb
Size: 10238
SHA256: 2cdc5b31dcbcd0081e1e6e429879d163baa56bf1ae5d4eb3d235f8bbdba7aed6
SHA1: 8f05d56b6204692a52c7375e02372fc84669f776
MD5sum: 3e88e9e31af7460b0ce98f63246aa10c
Description: locates BER-encoded RSA private keys in memory images
 rsakeyfind is a tool that locates BER-encoded RSA private keys in MEMORY-IMAGE.
 If a MODULUS-FILE is specified, it will locate private and public keys matching
 the hex-encoded modulus read from this file.

Package: rsh-client
Source: netkit-rsh
Version: 0.17-15
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 94
Depends: libc6 (>= 2.4)
Conflicts: suidmanager (<< 0.50)
Replaces: netstd
Priority: extra
Section: net
Filename: pool/main/n/netkit-rsh/rsh-client_0.17-15_armhf.deb
Size: 32752
SHA256: f123a4aa084648e14216351d10a0c0fd78aa92d8f707bf72aaa23d0002bc92bb
SHA1: e11cee889dcfd7b0397acdb7e76ffbca64151a7e
MD5sum: e548381f1f3c5dac936934e915a5c7ea
Description: client programs for remote shell connections
 This package contains rsh, rcp and rlogin.

Package: rsh-redone-client
Source: rsh-redone
Version: 85-1
Architecture: armhf
Maintainer: Guus Sliepen 
Installed-Size: 64
Depends: libc6 (>= 2.13-28)
Recommends: rsh-client
Priority: optional
Section: net
Filename: pool/main/r/rsh-redone/rsh-redone-client_85-1_armhf.deb
Size: 17434
SHA256: a4dc897b2f0ba27ba51626a9bab4fc3240609c83b4f5b7ee56d97e5c9b20380b
SHA1: 070fa5ca5d43f7f1ff335b914747d870641bed41
MD5sum: 10c900a3ca2f1a9715b264b1f5bcdbba
Description: Reimplementation of rsh and rlogin
 Rsh-redone is a reimplementation of the remote shell clients and servers.
 It is written from the ground up to avoid the bugs found in the standard
 clients and servers. It also fully supports IPv6.
 .
 This package provides rsh and rlogin.

Package: rsh-redone-server
Source: rsh-redone
Version: 85-1
Architecture: armhf
Maintainer: Guus Sliepen 
Installed-Size: 74
Depends: libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1), openbsd-inetd | inet-superserver
Conflicts: rsh-server
Replaces: rsh-server
Provides: rsh-server
Priority: optional
Section: net
Filename: pool/main/r/rsh-redone/rsh-redone-server_85-1_armhf.deb
Size: 16434
SHA256: f64c467b46aaba6b4fb06d8b01e76365dd8fe860dbd54acc08c4452bdde8fe95
SHA1: 93adf20cd223a53645d72882a6f05defeb38e994
MD5sum: 23779657f8512b19e35b3db47bd8da98
Description: Reimplementation of rshd and rlogind
 Rsh-redone is a reimplementation of the remote shell clients and servers.
 It is written from the ground up to avoid the bugs found in the standard
 clients and servers. It also fully supports IPv6.
 .
 This package provides rshd and rlogind.

Package: rsh-server
Source: netkit-rsh
Version: 0.17-15
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 108
Depends: openbsd-inetd | inet-superserver, libc6 (>= 2.4), libpam0g (>= 0.99.7.1)
Replaces: netstd
Priority: extra
Section: net
Filename: pool/main/n/netkit-rsh/rsh-server_0.17-15_armhf.deb
Size: 37758
SHA256: 868b1cd96f534f13d904b58ed11ba0b2d0aa06450bd4f2e13e311bae720245fa
SHA1: 7cc97301a8432d3ab56695112b7b85ee5a3435f2
MD5sum: e16f855919d1c78f30f87e1a5990a9b5
Description: server program for remote shell connections
 This package contains rexecd, rlogind and rshd.

Package: rsibreak
Version: 4:0.11-2
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 1898
Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.4.95), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.4.0), libkemoticons4 (>= 4:4.4.95), libkidletime4 (>= 4:4.4.95), libkio5 (>= 4:4.4.0), libknotifyconfig4 (>= 4:4.4.0), libkprintutils4 (>= 4:4.4.95), libkutils4, libplasma3 (>= 4:4.4.4-2~), libqt4-dbus (>= 4:4.6.0), libqt4-network (>= 4:4.6.0), libqt4-svg (>= 4:4.6.0), libqt4-xml (>= 4:4.6.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.0), libstdc++6 (>= 4.4.0), libx11-6
Homepage: http://www.rsibreak.org
Priority: optional
Section: utils
Filename: pool/main/r/rsibreak/rsibreak_0.11-2_armhf.deb
Size: 616044
SHA256: 04a3b1695f01fbc77d800da9993c849526a7a3d3b2b61e85b82931bbaab7b802
SHA1: 37d40753ba4c54c148f08f38c02c69dee7dbe240
MD5sum: 6fc7ae7ded2bfdf124677e968fa475ef
Description: utility to help prevent repetitive strain injury for KDE 4
 Prolonged use of a mouse and keyboard can cause a repetitive strain injury
 (RSI), so computer users are advised to take regular breaks.
 .
 RSIBreak helps prevent repetitive strain injuries by keeping track of usage
 patterns and periodically informing users to take breaks.  During break
 periods, RSIBreak can either show an unobtrusive message, lock the screen
 entirely, or present a slideshow.

Package: rsnapshot
Version: 1.3.1-3
Installed-Size: 656
Maintainer: Guillaume Delacour 
Architecture: all
Depends: perl, rsync, logrotate, liblchown-perl
Recommends: openssh-client | ssh-client
Size: 157890
SHA256: bc15fb0144056835a054a052228e87187114f6eaf769ef0776a8554c6b4f5548
SHA1: 6e36ff4c872ba1784520c8a92641c2c249ab7d8e
MD5sum: 14c51a23d50002ad3f58d86bb3643f1e
Description: local and remote filesystem snapshot utility
 rsnapshot is an rsync-based filesystem snapshot utility. It can take
 incremental backups of local and remote filesystems for any number of
 machines. rsnapshot makes extensive use of hard links, so disk space is
 only used when absolutely necessary.
Homepage: http://www.rsnapshot.org/
Tag: admin::backup, implemented-in::perl, implemented-in::shell,
 role::program, use::storing, works-with::file
Section: utils
Priority: optional
Filename: pool/main/r/rsnapshot/rsnapshot_1.3.1-3_all.deb

Package: rsrce
Version: 0.2.2
Architecture: armhf
Maintainer: Debootloaders miBoot Maintainers Team 
Installed-Size: 59
Depends: libc6 (>= 2.4)
Suggests: hfsutils, macutils
Priority: optional
Section: otherosfs
Filename: pool/main/r/rsrce/rsrce_0.2.2_armhf.deb
Size: 15108
SHA256: 4ad0ff4aee9fd5b80096a32be30f40b837efbe3a12678c10bdf62a1b84a890b6
SHA1: 040f4cbb30d06201a8042b7237073ec41545e471
MD5sum: 1eb243870b597b11a68b339e13638a9f
Description: editor for Macintosh resource forks
 Rsrce is an interactive, command-driven Macintosh resource editor. It
 aims at providing the functionality of the MacOS tool "resedit" on
 Unix-like systems.
 .
 This program hasn't been tested much and should be considered unstable
 material. It has exclusively been created and used to change the
 configuration of miBoot files. Please use with care and report any
 experience.

Package: rss-glx
Version: 0.9.1-5
Architecture: armhf
Maintainer: Ari Pollak 
Installed-Size: 5515
Depends: libalut0 (>= 1.0.1), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglc0 (>= 0.7.1), libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libmagickcore5 (>= 8:6.7.4.0), libmagickwand5 (>= 8:6.7.4.0), libopenal1, libstdc++6 (>= 4.6), libx11-6, libxext6
Suggests: xscreensaver | gnome-screensaver (>= 2.18)
Homepage: http://rss-glx.sourceforge.net
Priority: optional
Section: x11
Filename: pool/main/r/rss-glx/rss-glx_0.9.1-5_armhf.deb
Size: 5113288
SHA256: 39543cf735404a2689c5d035df70ab20ef48bce2c4af5858e3c9be28e16c9d35
SHA1: a2719c865b30101da9b2bf08302acc356b7b9426
MD5sum: f760d9644ae4950123494d2dd5e63f17
Description: Really Slick Screensavers GLX Port
 rss-glx is a GLX port of the Really Slick Screensavers collection by
 Terry Welsh (http://www.reallyslick.com/). Also included are several
 other OpenGL screensavers ported from other platforms.
 .
 The screensavers can either be run as stand-alone applications or get
 integrated into XScreenSaver's list of active screensavers. More
 information about using these with xscreensaver can be found in
 /usr/share/doc/rss-glx.
 .
 Screensavers included in this package are: Biof, Busy Spheres,
 Colorfire, Cyclone, Drempels, Euphoria, Feedback, Fieldlines, Flocks, Flux,
 Helios, Hufo's Smoke, Hufo's Tunnel, Hyperspace, Lattice, Lorenz Attractor,
 MatrixView, Plasma, Pixel City, Skyrocket, Solarwinds, SpirographX, and
 Sundancer2.

Package: rss2email
Version: 1:2.71-1
Installed-Size: 114
Maintainer: Etienne Millon 
Architecture: all
Depends: python (>= 2.6.6-7~), python-feedparser, python-html2text
Size: 27100
SHA256: cc620f124a0a7c152779afe5c282f084ea5157e450a9e3b2f3502aa8d7714b47
SHA1: c454b9eaf29f33fa4abe822b7e6d37a11e619d98
MD5sum: 769e950b71c39558b5e959a4f28e0d55
Description: receive RSS feeds by email
 rss2email is a simple program which you can run in your crontab.
 It watches RSS (or Atom) feeds and sends you a nicely formatted
 email message for each new item.
Homepage: http://www.allthingsrss.com/rss2email/
Tag: implemented-in::python, interface::commandline, mail::TODO,
 network::client, protocol::http, protocol::smtp, role::program,
 scope::utility, use::converting, use::downloading, use::monitor,
 use::storing, web::blog, works-with-format::xml,
 works-with-format::xml:rss, works-with::mail
Section: mail
Priority: optional
Filename: pool/main/r/rss2email/rss2email_2.71-1_all.deb

Package: rss2irc
Version: 0.4.2-4
Architecture: armhf
Maintainer: Debian Haskell Group 
Installed-Size: 11482
Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10
Homepage: http://hackage.haskell.org/package/rss2irc
Priority: optional
Section: net
Filename: pool/main/r/rss2irc/rss2irc_0.4.2-4_armhf.deb
Size: 2578726
SHA256: 072adbbf6f7cb5729aae00bb8be2b4e9a8c90ab805b10d1c0f556cc4278dcc7e
SHA1: 9c821defee279509bb56baa0eb82680d16dde12a
MD5sum: 1679f5626ba1cc1f771126153e285910
Description: IRC bot that watches an RSS/Atom feed and relays it to a channel
 This bot polls a single RSS or Atom feed and announces updates to
 an IRC channel, with options for customizing output and behavior. It
 aims to be a simple, dependable bot that does its job and creates no
 problems.

Package: rssh
Version: 2.3.3-6
Architecture: armhf
Maintainer: Russ Allbery 
Installed-Size: 172
Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, openssh-server
Suggests: cvs, rdist, rsync, subversion, makejail
Homepage: http://www.pizzashack.org/rssh/
Priority: optional
Section: net
Filename: pool/main/r/rssh/rssh_2.3.3-6_armhf.deb
Size: 55484
SHA256: bbec98d6b031aa7e7d9eef86359c5f2bd4832de4cb3ba280d342d5fb22005071
SHA1: 043b920ee6a2c2d7c7238233f9fb14347338c3fc
MD5sum: c1bb76fd5fad5e3185644d3d2af34e9d
Description: Restricted shell allowing scp, sftp, cvs, svn, rsync or rdist
 rssh is a restricted shell, used as a login shell, that allows users to
 perform only scp, sftp, cvs, svnserve (Subversion), rdist, and/or rsync
 operations.  It can also optionally chroot user logins into a restricted
 jail.

Package: rsstail
Version: 1.8-1
Architecture: armhf
Maintainer: Rene Mayorga 
Installed-Size: 54
Depends: libc6 (>= 2.13-28), libmrss-abi-0.19.2, libmrss0
Enhances: multitail
Homepage: http://www.vanheusden.com/rsstail/
Priority: optional
Section: text
Filename: pool/main/r/rsstail/rsstail_1.8-1_armhf.deb
Size: 10302
SHA256: 96c4f44b8959dab0c63d736112dc78b05014c4e4486cc7029355bbb75e6c28f3
SHA1: 0c4d6252811b6a037277849c216c7ebbe899b64f
MD5sum: b6abb5cca53ee71725877080c0dc9692
Description: console RSS reader that monitors a feed and outputs new entries
 This small, simple application reads an RSS feed and outputs it like the
 'tail' command.
 .
 This tool can be used as a plugin in MultiTail

Package: rst2pdf
Version: 0.16-2
Installed-Size: 1424
Maintainer: Chris Lamb 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-pkg-resources, python-docutils, python-reportlab, python-simplejson, python-setuptools, python-pygments, python-pdfrw
Suggests: python-uniconvertor, python-imaging, python-sphinx, python-matplotlib, python-aafigure
Size: 508672
SHA256: ae15b7c6bf6f54307ff2516afd67e1143f4d7d3b087ad08b938c226f3de7baad
SHA1: 4f70701bd21059c8c327acb14c2f9e2622326e57
MD5sum: 669b859c54b951286ac38e4fbd459844
Description: ReportLab-based reStructuredText to PDF renderer
 The usual way of creating PDF files from reStructuredText is by going through
 LaTeX. This tool provides an alternative by producing PDF directly using the
 ReportLab library.
Homepage: http://code.google.com/p/rst2pdf/
Section: utils
Priority: optional
Filename: pool/main/r/rst2pdf/rst2pdf_0.16-2_all.deb

Package: rstat-client
Source: rstatd
Version: 4.0.1-8
Architecture: armhf
Maintainer: Anibal Monsalve Salazar 
Installed-Size: 70
Depends: libc6 (>= 2.13-28)
Replaces: netstd
Homepage: http://rstatd.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/r/rstatd/rstat-client_4.0.1-8_armhf.deb
Size: 15704
SHA256: c06e95c5e2ec8ad0957dc1e23720df8f096a36daeeaec2ec93b604f851c3cb04
SHA1: fd879c32ba5b69b17e3471895f67b422786d2fde
MD5sum: 2dedb799ecefd925682ca995967e8215
Description: client for rstatd
 This package contains rup(1) and rsysinfo(1), clients for rstatd.

Package: rstatd
Version: 4.0.1-8
Architecture: armhf
Maintainer: Anibal Monsalve Salazar 
Installed-Size: 68
Depends: netbase, rpcbind | netbase (<< 4.00), update-inetd, openbsd-inetd | inet-superserver, libc6 (>= 2.13-28)
Replaces: netstd
Homepage: http://rstatd.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/r/rstatd/rstatd_4.0.1-8_armhf.deb
Size: 17158
SHA256: b5c5151ce4d7d49277ba2f779c71b1d7e73432fad079a8853484a0bb456445ea
SHA1: 95c93a957fdb207f1bede078d81dbfec119ea2ac
MD5sum: 08cfcaf92d3bf15275af964823efac89
Description: displays uptime information for remote machines
 This allows other machines on your local network to get information about
 your computer - especially uptime.  This will allow you to use the rup(1)
 command.

Package: rsync
Version: 3.0.9-4+deb7u2
Architecture: armhf
Maintainer: Paul Slootman 
Installed-Size: 513
Depends: libacl1 (>= 2.2.51-8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpopt0 (>= 1.14), lsb-base (>= 3.2-14), base-files (>= 4.0.1)
Suggests: openssh-client, openssh-server
Breaks: duplicity (<< 0.6.11)
Multi-Arch: foreign
Homepage: http://rsync.samba.org/
Priority: optional
Section: net
Filename: pool/main/r/rsync/rsync_3.0.9-4+deb7u2_armhf.deb
Size: 356148
SHA256: e36936a8ee83e7008e753475650775dd7341600d14d3655395e2364280ee2acb
SHA1: 0b5cdf0c526005235cd77fb7b8fc5d875d314b5f
MD5sum: c39c71a35cb3118b1c7da763e67958df
Description: fast, versatile, remote (and local) file-copying tool
 rsync is a fast and versatile file-copying tool which can copy locally
 and to/from a remote host. It offers many options to control its behavior,
 and its remote-update protocol can minimize network traffic to make
 transferring updates between machines fast and efficient.
 .
 It is widely used for backups and mirroring and as an improved copy
 command for everyday use.
 .
 This package provides both the rsync command line tool and optional
 daemon functionality.

Package: rsyncrypto
Version: 1.12-1
Architecture: armhf
Maintainer: Shachar Shemesh 
Installed-Size: 391
Depends: libargtable2-0 (>= 7), libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), gzip (>= 1.3.5-4)
Recommends: rsync
Homepage: http://rsyncrypto.lingnu.com
Priority: optional
Section: utils
Filename: pool/main/r/rsyncrypto/rsyncrypto_1.12-1_armhf.deb
Size: 300312
SHA256: e9380f43883886daec2468d1d016044d2f3f116d033a90a0fc44314d46a9cddd
SHA1: d7cbbd37ad3c887eb7525eae3b4e35369a21cdaf
MD5sum: aacc560fd8137935070bf62bdd555273
Description: rsync friendly encryption
 Rsyncrypto allows you to encrypt a file or a directory structure, such that
 they can later be synchronized to another machine using rsync. This means
 that local changes to the plain text file result in local changes to the
 cipher text file.
 .
 rsyncrypto compresses the plain text file prior to encrypting it.

Package: rsyslog
Version: 5.8.11-3+deb7u2
Architecture: armhf
Maintainer: Michael Biebl 
Installed-Size: 1153
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4), lsb-base (>= 3.2-14), initscripts (>= 2.88dsf-13.3)
Recommends: logrotate
Suggests: rsyslog-mysql | rsyslog-pgsql, rsyslog-doc, rsyslog-gnutls, rsyslog-gssapi, rsyslog-relp
Conflicts: linux-kernel-log-daemon, system-log-daemon
Provides: linux-kernel-log-daemon, system-log-daemon
Homepage: http://www.rsyslog.com/
Priority: important
Section: admin
Filename: pool/main/r/rsyslog/rsyslog_5.8.11-3+deb7u2_armhf.deb
Size: 508900
SHA256: 3865a3b98cf368ee7c281bcf2b898954b47810e7de1e13de9ea05920881c327b
SHA1: 90f25274934849c7d709b2fae01e64e6a4f54c08
MD5sum: 6949e5b70987ac549163f6c466ef307c
Description: reliable system and kernel logging daemon
 Rsyslog is a multi-threaded implementation of syslogd (a system utility
 providing support for message logging), with features that include:
  * reliable syslog over TCP, SSL/TLS and RELP
  * on-demand disk buffering
  * email alerting
  * writing to MySQL or PostgreSQL databases (via separate output plugins)
  * permitted sender lists
  * filtering on any part of the syslog message
  * on-the-wire message compression
  * fine-grained output format control
  * failover to backup destinations
  * enterprise-class encrypted syslog relaying
 .
 It is the default syslogd on Debian systems.

Package: rsyslog-doc
Source: rsyslog
Version: 5.8.11-3+deb7u2
Installed-Size: 1657
Maintainer: Michael Biebl 
Architecture: all
Replaces: rsyslog (<< 2.0.1-2)
Suggests: doc-base, www-browser
Breaks: rsyslog (<< 2.0.1-2)
Size: 1106390
SHA256: d6c8203dc5a5ed29e5a02d89fb212f42c300e9aeba7d74b12fefe1f7008f304e
SHA1: ce5a6c479e05861cbbf22319307198544ca19c51
MD5sum: 073f7a28f1640668c676c9207c831fa5
Description: documentation for rsyslog
 This package contains detailed HTML documentation for rsyslog.
 .
 It describes the general configuration file syntax for filters, actions,
 templates, etc, and has detailed information for all available configuration
 directives.
Homepage: http://www.rsyslog.com/
Section: doc
Priority: extra
Filename: pool/main/r/rsyslog/rsyslog-doc_5.8.11-3+deb7u2_all.deb

Package: rsyslog-gnutls
Source: rsyslog
Version: 5.8.11-3+deb7u2
Architecture: armhf
Maintainer: Michael Biebl 
Installed-Size: 172
Depends: libc6 (>= 2.13-28), libgnutls26 (>= 2.12.17-0), rsyslog (= 5.8.11-3+deb7u2)
Suggests: gnutls-bin
Homepage: http://www.rsyslog.com/
Priority: extra
Section: admin
Filename: pool/main/r/rsyslog/rsyslog-gnutls_5.8.11-3+deb7u2_armhf.deb
Size: 126452
SHA256: 83fc94390d29af14bf0fb6df3e6d3eed86e3802b8e341afebd5cda79a36f360d
SHA1: 3c7c3ebc595e60eecee2fec208d22cdbef832e7d
MD5sum: 6f4f54fe4023632accad2097b96d6852
Description: TLS protocol support for rsyslog
 This netstream plugin allows rsyslog to send and receive encrypted syslog
 messages via the upcoming syslog-transport-tls IETF standard protocol.

Package: rsyslog-gssapi
Source: rsyslog
Version: 5.8.11-3+deb7u2
Architecture: armhf
Maintainer: Michael Biebl 
Installed-Size: 183
Depends: libc6 (>= 2.13-28), libgssapi-krb5-2 (>= 1.10+dfsg~), rsyslog (= 5.8.11-3+deb7u2)
Suggests: krb5-user
Homepage: http://www.rsyslog.com/
Priority: extra
Section: admin
Filename: pool/main/r/rsyslog/rsyslog-gssapi_5.8.11-3+deb7u2_armhf.deb
Size: 127818
SHA256: c8ffbe25f003d4194fbec73782f28f0066c9ed5be165db8d0e09088a41928a8f
SHA1: 9a961fc35488ed6f76f9bd46bc3aa0636dbea288
MD5sum: 5b7c67339314705e385d3605b6e011da
Description: GSSAPI authentication and encryption support for rsyslog
 These plugins allow rsyslog to write and/or receive GSSAPI authenticated and
 encrypted syslog messages. GSSAPI is commonly used for Kerberos
 authentication.

Package: rsyslog-mysql
Source: rsyslog
Version: 5.8.11-3+deb7u2
Architecture: armhf
Maintainer: Michael Biebl 
Installed-Size: 179
Depends: libc6 (>= 2.13-28), libmysqlclient18 (>= 5.5.24+dfsg-1), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, rsyslog (= 5.8.11-3+deb7u2), dbconfig-common, ucf
Recommends: mysql-client
Suggests: mysql-server
Homepage: http://www.rsyslog.com/
Priority: extra
Section: admin
Filename: pool/main/r/rsyslog/rsyslog-mysql_5.8.11-3+deb7u2_armhf.deb
Size: 120668
SHA256: fbfa49aa925e6071b1cc0720ea60891279de5f2001cd380594731eed9b7e9169
SHA1: a58e051f514c842c545a3883953a92e344e9d4e0
MD5sum: e36a44f0a055b0b3ef0bf0811cf04caa
Description: MySQL output plugin for rsyslog
 This plugin allows rsyslog to write the syslog messages into a MySQL database.

Package: rsyslog-pgsql
Source: rsyslog
Version: 5.8.11-3+deb7u2
Architecture: armhf
Maintainer: Michael Biebl 
Installed-Size: 179
Depends: libc6 (>= 2.13-28), libpq5, debconf (>= 0.5) | debconf-2.0, rsyslog (= 5.8.11-3+deb7u2), dbconfig-common, ucf
Recommends: postgresql-client
Suggests: postgresql
Homepage: http://www.rsyslog.com/
Priority: extra
Section: admin
Filename: pool/main/r/rsyslog/rsyslog-pgsql_5.8.11-3+deb7u2_armhf.deb
Size: 120416
SHA256: 12cb77aa5ac7cd540729608a2052fbda2167c7fcc9512e51585a6a75e702177a
SHA1: 3074007683940fbe5f689b86b8175d6acc93f9c4
MD5sum: e22495deedb83e8bdb03f2a30f56f26d
Description: PostgreSQL output plugin for rsyslog
 This plugin allows rsyslog to write the syslog messages into a PostgreSQL
 database.

Package: rsyslog-relp
Source: rsyslog
Version: 5.8.11-3+deb7u2
Architecture: armhf
Maintainer: Michael Biebl 
Installed-Size: 165
Depends: libc6 (>= 2.13-28), librelp0 (>= 0.1.1), rsyslog (= 5.8.11-3+deb7u2)
Homepage: http://www.rsyslog.com/
Priority: extra
Section: admin
Filename: pool/main/r/rsyslog/rsyslog-relp_5.8.11-3+deb7u2_armhf.deb
Size: 120468
SHA256: 8c74b8eecdccf9649af570ef211cc29273dbe695fc2b9632eebf3f077d6002dc
SHA1: 37263617e688a22bc8a8603305160cde4976ba3f
MD5sum: 3cc5cde4ea84c45ba914dff7bde7676c
Description: RELP protocol support for rsyslog
 These plugins allows rsyslog to send and receive syslog messages via the
 RELP protocol. RELP ensures reliable transport over the network even on
 connection loss or if a peer becomes unavailable.

Package: rt-tests
Version: 0.83-1+deb7u1
Architecture: armhf
Maintainer: Uwe Kleine-König 
Installed-Size: 299
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), python
Recommends: backfire-dkms
Conflicts: xenomai-runtime
Priority: extra
Section: misc
Filename: pool/main/r/rt-tests/rt-tests_0.83-1+deb7u1_armhf.deb
Size: 139844
SHA256: daa907882ae0bab0e1c33c5e47c09b6bd076813242304745d1d23625d55b2082
SHA1: 1e26792a4b5f82c3a1f4707167fe95028ac5e276
MD5sum: 1b5556f53a94d134b75e2a9451d8d8fb
Description: Test programs for rt kernels
 rt-tests contains a set of programs that test and measure various components
 of real-time kernel behavior, such as timer latency, signal latency and the
 functioning of priority-inheritance mutexes.
 Additionally it contains hackbench, a program to generate work for the
 scheduler.

Package: rt3.8-apache2
Source: request-tracker3.8
Version: 3.8.11-1
Installed-Size: 52
Maintainer: Debian Request Tracker Group 
Architecture: all
Depends: apache2, libapache2-mod-perl2 (>= 2.0.0) | speedy-cgi-perl | libapache2-mod-fcgid | libapache2-mod-fastcgi, libapache2-mod-perl2 (>= 2.0.0) | speedy-cgi-perl | libcgi-fast-perl, libapache-dbi-perl (>= 0.92) | speedy-cgi-perl | libapache2-mod-fcgid | libapache2-mod-fastcgi
Suggests: libapache2-mod-speedycgi
Size: 13742
SHA256: 1b1543c789f535ba49bee34f3ace83f8c7e04e9252fc6c2a8bc23a12a776c947
SHA1: bf0031faa6c34d309dbcd7513ef3fb9dd0e61ff3
MD5sum: 5db0782298ce66c67c21bcd14204e126
Description: Apache 2 specific files for request-tracker3.8
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt3.8-clients).
 .
 This package provides the 3.8 series of RT. It can be installed alongside
 the 3.6 series without any problems.
 .
 RT is a high-level, portable, platform independent system that eases
 collaboration within organizations and makes it easy for them to take care
 of their customers.
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides various configuration files and manages the
 necessary dependencies for running request tracker (RT) version 3.8
 on the Apache 2 web server.
Tag: admin::configuring, admin::issuetracker, role::app-data
Section: misc
Priority: optional
Filename: pool/main/r/request-tracker3.8/rt3.8-apache2_3.8.11-1_all.deb

Package: rt3.8-clients
Source: request-tracker3.8
Version: 3.8.11-1
Installed-Size: 165
Maintainer: Debian Request Tracker Group 
Architecture: all
Depends: perl, exim4 | mail-transport-agent, libhtml-tree-perl, libhtml-format-perl, libwww-perl, libterm-readkey-perl, libterm-readline-perl-perl | libterm-readline-gnu-perl
Recommends: libio-socket-ssl-perl
Size: 48482
SHA256: 020e91d1d59cb3dae97e2e96178701b41d8afd53999441869d58c441b4386bb8
SHA1: 1dda918896c549740dd39359c7363093a7874924
MD5sum: c930665e5183aacea78c87b8f3ced1f2
Description: mail gateway and command-line interface to request-tracker3.8
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt3.8-clients).
 .
 This package provides the 3.8 series of RT. It can be installed alongside
 the 3.6 series without any problems.
 .
 RT is a high-level, portable, platform independent system that eases
 collaboration within organizations and makes it easy for them to take care
 of their customers.
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides support for injecting tickets into Request Tracker
 from a mail server via rt-mailgate. It may be installed onto any
 machine where you want to use the "rt" command-line interface.
Tag: role::program, works-with::bugs
Section: misc
Priority: optional
Filename: pool/main/r/request-tracker3.8/rt3.8-clients_3.8.11-1_all.deb

Package: rt3.8-db-mysql
Source: request-tracker3.8
Version: 3.8.11-1
Installed-Size: 39
Maintainer: Debian Request Tracker Group 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, libdbd-mysql-perl (>= 2.1018), mysql-client-5.0 | mysql-client (>= 4.0.13)
Suggests: mysql-server-5.0 | mysql-server (>= 4.0.13)
Size: 12418
SHA256: ed0e578042fd4884549d7d54fbfe75e4ba8b190e8da2baff3e469bf7c1d57609
SHA1: dcc4654a5fe8f522f5cbef11108ff050cb59dff4
MD5sum: 9957b2d950cce378b07de1bb51a790eb
Description: MySQL database backend for request-tracker3.8
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt3.8-clients).
 .
 This package provides the 3.8 series of RT. It can be installed alongside
 the 3.6 series without any problems.
 .
 RT is a high-level, portable, platform independent system that eases
 collaboration within organizations and makes it easy for them to take care
 of their customers.
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides dependencies and dbconfig-common support for
 using Request Tracker version 3.8 with a MySQL database.
Section: misc
Priority: optional
Filename: pool/main/r/request-tracker3.8/rt3.8-db-mysql_3.8.11-1_all.deb

Package: rt3.8-db-postgresql
Source: request-tracker3.8
Version: 3.8.11-1
Installed-Size: 39
Maintainer: Debian Request Tracker Group 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, libdbd-pg-perl (>= 1.41), postgresql-client-8.3 | postgresql-client (>= 7.4)
Suggests: postgresql-8.3 | postgresql (>= 7.4)
Size: 12424
SHA256: 80779aed6f8954baa6a41798db084d5c6970390658d42390892cb40fba36e53e
SHA1: f6abdd436fbef4ea1a541f346d9c805efb8e0cf6
MD5sum: 69ecb644727bed41425839930ea3e5a4
Description: PostgreSQL database backend for request-tracker3.8
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt3.8-clients).
 .
 This package provides the 3.8 series of RT. It can be installed alongside
 the 3.6 series without any problems.
 .
 RT is a high-level, portable, platform independent system that eases
 collaboration within organizations and makes it easy for them to take care
 of their customers.
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides dependencies and dbconfig-common support for
 using Request Tracker version 3.8 with a PostgreSQL database.
Section: misc
Priority: optional
Filename: pool/main/r/request-tracker3.8/rt3.8-db-postgresql_3.8.11-1_all.deb

Package: rt3.8-db-sqlite
Source: request-tracker3.8
Version: 3.8.11-1
Installed-Size: 39
Maintainer: Debian Request Tracker Group 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, libdbd-sqlite3-perl, sqlite3
Size: 12516
SHA256: e286cbfce8e35bc13a4dd7063dc9e5f62cb707b05178fc75f22649f4c9d329c5
SHA1: 28ff4a9b7e181eb02eacaf5855b55e4eab641483
MD5sum: f9f41bccc5ff3684325c1ea290e77a04
Description: SQLite database backend for request-tracker3.8
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt3.8-clients).
 .
 This package provides the 3.8 series of RT. It can be installed alongside
 the 3.6 series without any problems.
 .
 RT is a high-level, portable, platform independent system that eases
 collaboration within organizations and makes it easy for them to take care
 of their customers.
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides dependencies and dbconfig-common support for
 using Request Tracker version 3.8 with a local SQLite (version 3) database.
 .
 This package will be pulled in by default by request-tracker3.8, but SQLite
 is not recommended for production use.  Please see
 /usr/share/doc/request-tracker3.8/NOTES.Debian for more details and
 consider installing rt3.8-db-postgresql or rt3.8-db-mysql instead of this
 package.
Section: misc
Priority: optional
Filename: pool/main/r/request-tracker3.8/rt3.8-db-sqlite_3.8.11-1_all.deb

Package: rt4-apache2
Source: request-tracker4
Version: 4.0.7-5+deb7u5
Installed-Size: 47
Maintainer: Debian Request Tracker Group 
Architecture: all
Depends: apache2, libapache2-mod-perl2 (>= 2.0.0) | libapache2-mod-fcgid | libapache2-mod-fastcgi
Size: 10852
SHA256: 9c67e3a11435f9bc2a235d2c45cde1817998d31594b5b8616fb47de4a34c30a4
SHA1: fe8fd486f252688390f3e0ceea666c650cad11d5
MD5sum: b87dec6f1813ea54335796b19fbbdfa2
Description: Apache 2 specific files for request-tracker4
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 RT is a high-level, portable, platform independent system that eases
 collaboration within organizations and makes it easy for them to take care
 of their customers.
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides various configuration files and manages the
 necessary dependencies for running request tracker (RT) version 4
 on the Apache 2 web server.
Homepage: http://bestpractical.com/rt/
Recommends: libapache2-mod-perl2 (>= 2.0.0), libapache-dbi-perl (>= 0.92), libapache2-mod-fcgid
Section: misc
Priority: optional
Filename: pool/main/r/request-tracker4/rt4-apache2_4.0.7-5+deb7u5_all.deb

Package: rt4-clients
Source: request-tracker4
Version: 4.0.7-5+deb7u5
Installed-Size: 166
Maintainer: Debian Request Tracker Group 
Architecture: all
Depends: perl, exim4 | mail-transport-agent, libwww-perl, libterm-readkey-perl, libterm-readline-perl-perl | libterm-readline-gnu-perl
Size: 48324
SHA256: b19182dc716f34726b5e48ab384b6c9414e8ae8f8dc45caba0bcd0eba445025a
SHA1: 662ccb194e2e899b6b8468192444d9aa7dff1633
MD5sum: 2eaa532beb510e2a356fc4df48f4a346
Description: mail gateway and command-line interface to request-tracker4
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 RT is a high-level, portable, platform independent system that eases
 collaboration within organizations and makes it easy for them to take care
 of their customers.
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides support for injecting tickets into Request Tracker
 from a mail server via rt-mailgate. It may be installed onto any
 machine where you want to use the "rt" command-line interface.
Homepage: http://bestpractical.com/rt/
Recommends: libio-socket-ssl-perl
Section: misc
Priority: optional
Filename: pool/main/r/request-tracker4/rt4-clients_4.0.7-5+deb7u5_all.deb

Package: rt4-db-mysql
Source: request-tracker4
Version: 4.0.7-5+deb7u5
Installed-Size: 37
Maintainer: Debian Request Tracker Group 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, libdbd-mysql-perl (>= 2.1018), mysql-client-5.0 | mysql-client (>= 4.1)
Suggests: mysql-server-5.0 | mysql-server (>= 4.1)
Size: 10016
SHA256: e85f19eaa5ebdc11cd04442a40aa46ede5f44247cfd9705fac9f4eec72c6effa
SHA1: 80dd40faf8ea61b7f523ff9c60bb82b1c1d7469d
MD5sum: 256985e2d1bc445a1306bb191de8b692
Description: MySQL database backend for request-tracker4
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 RT is a high-level, portable, platform independent system that eases
 collaboration within organizations and makes it easy for them to take care
 of their customers.
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This empty package provides dependencies and dbconfig-common support for
 using Request Tracker version 4 with a MySQL database.
Homepage: http://bestpractical.com/rt/
Section: misc
Priority: optional
Filename: pool/main/r/request-tracker4/rt4-db-mysql_4.0.7-5+deb7u5_all.deb

Package: rt4-db-postgresql
Source: request-tracker4
Version: 4.0.7-5+deb7u5
Installed-Size: 37
Maintainer: Debian Request Tracker Group 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, libdbd-pg-perl (>= 1.41), postgresql-client-8.3 | postgresql-client (>= 8.1)
Suggests: postgresql-8.3 | postgresql (>= 8.1)
Size: 10020
SHA256: 180cb2899b7800b739ccf1b4977084ecede72eedf3d8f766ec877877ac9ddd6f
SHA1: 29840289bbec6f4090fab0844839c3723db74133
MD5sum: ca399f14fe0a958369ff53806b1001da
Description: PostgreSQL database backend for request-tracker4
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 RT is a high-level, portable, platform independent system that eases
 collaboration within organizations and makes it easy for them to take care
 of their customers.
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This empty package provides dependencies and dbconfig-common support for
 using Request Tracker version 4 with a PostgreSQL database.
Homepage: http://bestpractical.com/rt/
Section: misc
Priority: optional
Filename: pool/main/r/request-tracker4/rt4-db-postgresql_4.0.7-5+deb7u5_all.deb

Package: rt4-db-sqlite
Source: request-tracker4
Version: 4.0.7-5+deb7u5
Installed-Size: 37
Maintainer: Debian Request Tracker Group 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, libdbd-sqlite3-perl, sqlite3
Size: 10116
SHA256: ad47cc991ceaa24e708a095b79156ec9453eda4eac7fcfa4d4c8192fceccaf97
SHA1: 4bab134567041653dddd5fc9e1d92fdce536b7ab
MD5sum: 94ce9158a289fe5c9884a2fd80f547ee
Description: SQLite database backend for request-tracker4
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 RT is a high-level, portable, platform independent system that eases
 collaboration within organizations and makes it easy for them to take care
 of their customers.
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This empty package provides dependencies and dbconfig-common support for
 using Request Tracker version 4 with a local SQLite (version 3) database.
 .
 This package will be pulled in by default by request-tracker4, but SQLite
 is not recommended for production use.  Please see
 /usr/share/doc/request-tracker4/NOTES.Debian for more details and
 consider installing rt4-db-postgresql or rt4-db-mysql instead of this
 package.
Homepage: http://bestpractical.com/rt/
Section: misc
Priority: optional
Filename: pool/main/r/request-tracker4/rt4-db-sqlite_4.0.7-5+deb7u5_all.deb

Package: rt4-extension-assettracker
Source: rt-extension-assettracker
Version: 2.0.0~b2-6
Installed-Size: 1523
Maintainer: Debian Request Tracker Group 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, request-tracker4, libset-scalar-perl, libxml-parser-perl, libxml-simple-perl, ucf
Size: 214038
SHA256: 998044a9fd0cd852c6c9feafb9d688292d66b95181811e1762e5852ecaab17bf
SHA1: 81da456c2ac8973fd427aabd90e036d88b3e4c4e
MD5sum: 8f57b1728e82d17fc2aa314fcc87f7d1
Description: asset tracking extension for Request Tracker
 Asset Tracker is an extension for Request Tracker. It adds the
 ability to track assets in the same way one tracks tickets.
Homepage: https://github.com/chakatodd/rt-extension-assettracker
Section: perl
Priority: optional
Filename: pool/main/r/rt-extension-assettracker/rt4-extension-assettracker_2.0.0~b2-6_all.deb

Package: rt4-extension-authenexternalauth
Source: rt-authen-externalauth
Version: 0.10-4+deb7u1
Architecture: armhf
Maintainer: Tom Jampen 
Installed-Size: 94
Depends: perl, request-tracker4
Recommends: libnet-ldap-perl
Homepage: http://search.cpan.org/dist/RT-Authen-ExternalAuth/
Priority: optional
Section: perl
Filename: pool/main/r/rt-authen-externalauth/rt4-extension-authenexternalauth_0.10-4+deb7u1_armhf.deb
Size: 30238
SHA256: 0d36becdf53e4093f7bd6d2582f19c572bd93671a73e35c6496248809ad23822
SHA1: 1ba246682aa626cc3cf3b82529cfae55f6a20b79
MD5sum: cae087b1a81070af3cb36d0f46785e16
Description: External authentication module for request tracker 4
 This module provides the ability to authenticate RT users against one or more
 external data sources at once. It will also allow information about that user
 to be loaded from the same, or any other available, source as well as allowing
  multiple redundant servers for each method.
 .
 The extension currently supports authentication and information from LDAP via
 the Net::LDAP module, and from any data source that an installed DBI driver is
 available for.
 .
 It is also possible to use cookies set by an alternate application for Single
 Sign-On (SSO) with that application.

Package: rt4-fcgi
Source: request-tracker4
Version: 4.0.7-5+deb7u5
Installed-Size: 57
Maintainer: Debian Request Tracker Group 
Architecture: all
Depends: libfcgi-perl, procps, spawn-fcgi
Size: 11928
SHA256: 4947d3d36895ed7e00821d61f9a282111c074ee90445c50718c2a892a0e1024f
SHA1: dd77ea91a426ec2453bc3ba8e4455fe4a8b3051f
MD5sum: ef293c71a99e134d6255a601578857c1
Description: External FastCGI support for request-tracker4
 Request Tracker (RT) is a ticketing system which
 enables a group of people to intelligently and efficiently manage
 tasks, issues, and requests submitted by a community of users. It
 features web, email, and command-line interfaces (see the package
 rt4-clients).
 .
 This package provides the 4 series of RT. It can be installed alongside
 the 3.8 series without any problems.
 .
 RT is a high-level, portable, platform independent system that eases
 collaboration within organizations and makes it easy for them to take care
 of their customers.
 .
 RT manages key tasks such as the identification, prioritization,
 assignment, resolution, and notification required by
 enterprise-critical applications, including project management, help
 desk, NOC ticketing, CRM, and software development.
 .
 This package provides an external FCGI interface for web servers
 including, but not limited to, nginx, and is not needed for web servers
 such as Apache which invoke FCGI programs directly.
Homepage: http://bestpractical.com/rt/
Recommends: nginx-full
Section: misc
Priority: optional
Filename: pool/main/r/request-tracker4/rt4-fcgi_4.0.7-5+deb7u5_all.deb

Package: rtai-doc
Source: rtai
Version: 3.8.1-4
Installed-Size: 3400
Maintainer: Edelhard Becker 
Architecture: all
Size: 630940
SHA256: 9c713e0e4b07dda6314e4c03de080cd8d20ed0c4457d3c1c881c1acf4c83a854
SHA1: 5cacb464174ba1dfa1d2207d58e9b4d54f081cf1
MD5sum: e135d9c6c62facb4685bf6184a733899
Description: Real Time Application Interface (documentation)
 RTAI is a realtime extension with a broad variety of services which
 make realtime programmers' lifes easier. Some of them are
 .
  choose between FIFO and RR scheduling policies on a per task basis.
  extended POSIX APIs and message queues, in kernel and user space
  semaphores can be typed as: counting, binary and resource
  a mailbox implementation
  LXRT for hard real time in user space
 .
 This package contains the documentation.
Homepage: http://www.rtai.org/
Tag: devel::doc, role::documentation
Section: doc
Priority: extra
Filename: pool/main/r/rtai/rtai-doc_3.8.1-4_all.deb

Package: rtgui
Version: 0.2.81-4
Architecture: armhf
Maintainer: Dario Minnucci 
Installed-Size: 207
Depends: apache2 | httpd, libapache2-mod-scgi, php5, php5-xmlrpc, rtorrent
Homepage: http://code.google.com/p/rtgui/
Priority: extra
Section: web
Filename: pool/main/r/rtgui/rtgui_0.2.81-4_armhf.deb
Size: 49388
SHA256: a572822e76c339b8208989cbdac26124bb9a51542183f771dee5f178988e85a3
SHA1: cdf04e3c0c54bf6f89cb6ee647f562d07f6a0751
MD5sum: c029f5563d0679757d044571d4514424
Description: Web based front-end for rTorrent
 rtGui is a web based front end for rTorrent - the Linux
 command line BitTorrent client. It's written in PHP and
 uses XML-RPC to communicate with the rTorrent client.

Package: rtirq-init
Source: rtirq
Version: 20120505-1
Installed-Size: 48
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Size: 5630
SHA256: 8489e6cee50d7f49d6c3356b2c5ecee83416fa4aea67e0518b5a0e5ebb272272
SHA1: 5fc01f1830a154ea2f2409d783fcc414c3b57b0b
MD5sum: 293ff03a759ccf60dadb6c483a3c1058
Description: startup script for realtime-preempt enabled kernels
 This package contains a script to prioritize IRQ thread handlers
 on a kernel patched with realtime-preempt patch from Ingo Molnar.
 The script takes advantage of the fact that realtime kernels use
 threads for IRQs management, and as such these threads (like any
 other thread running on your system) can be given maximum
 priority in an effort to minimize the latency of audio
 peripherals.
 .
 The script identifies the audio devices present on the machine
 and raises the priority of the threads that handle the IRQs of
 such devices.
Homepage: http://www.rncbc.org/jack/
Section: misc
Priority: optional
Filename: pool/main/r/rtirq/rtirq-init_20120505-1_all.deb

Package: rtkit
Version: 0.10-2+wheezy1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 158
Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libdbus-1-3 (>= 1.0.2), adduser
Homepage: http://0pointer.de/public/
Priority: optional
Section: admin
Filename: pool/main/r/rtkit/rtkit_0.10-2+wheezy1_armhf.deb
Size: 34370
SHA256: 3d9a5182f8b5e613cce2b48c49b7e1a2d1d8ba6c5483b24f41f4d232a45de8e0
SHA1: 705cc4e18b2d62f1e1c297db7280b5f331e3e366
MD5sum: f6c19a2b8adef520ab1e4e48d8f18ea8
Description: Realtime Policy and Watchdog Daemon
 RealtimeKit is a D-Bus system service that changes the
 scheduling policy of user processes/threads to SCHED_RR
 (i.e. realtime scheduling mode) on request. It is intended to
 be used as a secure mechanism to allow real-time scheduling to
 be used by normal user processes.

Package: rtmpdump
Version: 2.4+20111222.git4e06e21-1+deb7u1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 96
Depends: libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), librtmp0 (>= 2.3), zlib1g (>= 1:1.1.4)
Suggests: ffmpeg, mplayer
Homepage: http://rtmpdump.mplayerhq.hu/
Priority: extra
Section: web
Filename: pool/main/r/rtmpdump/rtmpdump_2.4+20111222.git4e06e21-1+deb7u1_armhf.deb
Size: 53598
SHA256: f44578b6951501addbad0bf477334215263f33373aac60185be3fd676188e753
SHA1: 3f14213f9229b03d0c816e602d57dfb8de786967
MD5sum: 046c1017561f30d2982b7328fcaf0428
Description: small dumper for media content streamed over the RTMP protocol
 A small dumper for media content streamed over the RTMP protocol (like BBC's
 iPlayer high quality streams). Supplying an RTMP URL will result in a dumped
 flv file, which can be played/transcoded with standard tools.

Package: rtorrent
Version: 0.9.2-1
Architecture: armhf
Maintainer: Jose Luis Rivas 
Installed-Size: 1206
Depends: libc6 (>= 2.13-28), libcurl3 (>= 7.16.3), libgcc1 (>= 1:4.4.0), libncursesw5 (>= 5.6+20070908), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libtinfo5, libtorrent14, libxmlrpc-core-c3
Suggests: screen | dtach
Homepage: http://libtorrent.rakshasa.no/
Priority: extra
Section: net
Filename: pool/main/r/rtorrent/rtorrent_0.9.2-1_armhf.deb
Size: 523266
SHA256: 587ddf2165198d09cab0fe25cccab5f60cfe03ffccdd42e36ea4c2f085fd87b0
SHA1: a21e1fc89a12fa37f7da7697459882bb88f49f7b
MD5sum: 6bb76e467adf300e9e93bd383559e7c2
Description: ncurses BitTorrent client based on LibTorrent from rakshasa
 rtorrent is a BitTorrent client based on LibTorrent.  It uses ncurses
 and aims to be a lean, yet powerful BitTorrent client, with features
 similar to the most complex graphical clients.
 .
 Since it is a terminal application, it can be used with the "screen"/"dtach"
 utility so that the user can conveniently logout from the system while keeping
 the file transfers active.
 .
 Some of the features of rtorrent include:
  * Use an URL or file path to add torrents at runtime
  * Stop/delete/resume torrents
  * Optionally loads/saves/deletes torrents automatically in a session
    directory
  * Safe fast resume support
  * Detailed information about peers and the torrent
  * Support for distributed hash tables (DHT)
  * Support for peer-exchange (PEX)
  * Support for initial seeding (Superseeding)

Package: rtpg-www
Source: rtpg
Version: 0.2.11-3
Installed-Size: 684
Maintainer: Dmitry E. Oboukhov 
Architecture: all
Depends: librpc-xml-perl (>= 0.69), libjson-xs-perl, libjs-jquery (>= 1.4.2), libtemplate-perl, rtorrent (>= 0.7.9), debconf, apache2 | httpd, liblocale-po-perl, libgeo-ipfree-perl, libmime-types-perl, famfamfam-flag-png, libtree-simple-perl, libcgi-simple-perl
Recommends: libapache2-mod-scgi, apache2
Size: 91982
SHA256: bc485f0d0b94c3757a1f9e674ccafa100d89d1171ff60b110a6d6eb9e8a5d726
SHA1: f113fb11f124574879d1f3b7cc6bf6fd572b5941
MD5sum: 3ee7d78623574ad61296ff7472926e07
Description: web based front end for rTorrent
 RTPG (rTorrent Perl GUI) is a simple web interface for rTorrent. Users
 can scan/delete/add torrents and set their respective priorities.
Homepage: http://rtpg2.rshadow.ru
Section: web
Priority: optional
Filename: pool/main/r/rtpg/rtpg-www_0.2.11-3_all.deb

Package: rtpproxy
Version: 1.2.1-1.1
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 118
Depends: adduser, libc6 (>= 2.13-28)
Suggests: ser | openser
Homepage: http://www.rtpproxy.org
Priority: optional
Section: net
Filename: pool/main/r/rtpproxy/rtpproxy_1.2.1-1.1_armhf.deb
Size: 40826
SHA256: b79607a60b0b197bc2f6aa5a07d162b837fcfdcf97f65100b7578dba509c8b18
SHA1: 31f895a1e37ebde70d9af686a85075907aad4b09
MD5sum: 619b021909b37acc78695c1ac01f883a
Description: Relay for Real-time Transport Protocol (RTP) media streams
 A high-performance media relay for RTP streams that can work together
 with SIP Express Router, OpenSER or Sippy B2BUA. Its main use is to
 support SIP user agents behind NAT, but it can also act as a generic media
 relay.
 .
 The main purpose of RTPproxy is to make the communication between SIP
 user agents behind NAT(s) (Network Address Translator) possible. Several
 cases exists when direct end-to-end communication is not possible and
 RTP streams have to be relayed through another host. The RTPproxy can
 be used to setup such a relaying host.
 .
 Originally created for handling NAT scenarious it can also act as a
 generic media relay as well as gateway RTP sessions between IPv4 and
 IPv6 networks. It can also perform number of additional functions,
 including call recording, playing pre-encoded announcements, real-time
 stream copying and RTP payload reframing.

Package: rttool
Version: 1.0.3-2
Installed-Size: 148
Maintainer: Youhei SASAKI 
Architecture: all
Depends: librt-ruby1.8 (= 1.0.3-2), ruby1.8
Size: 15902
SHA256: cd057c9a13b90ce51088ed2936cb40b3af5330fc6d4e336f76b14d506b20366e
SHA1: 6af23ad6db191dedc05547699bf0d9a3a3193b14
MD5sum: 16af269a7299ce49e008b18431672aba
Description: RT table formatter
 RT is simple human-readble table format. RTtool is a converter form RT into
 various formats. RTtool is one of frontends of formatter for RT.
 .
 This package provides rt2 command.
Homepage: http://www.rubyist.net/~rubikitch/computer/rttool/index.en.html
Tag: implemented-in::ruby, role::program, use::converting
Section: text
Priority: extra
Filename: pool/main/r/rttool/rttool_1.0.3-2_all.deb

Package: rubber
Version: 1.1+20100306-2
Installed-Size: 274
Maintainer: Hilmar Preuße 
Architecture: all
Depends: python, python-support (>= 0.90.0), dpkg (>= 1.15.4) | install-info, texlive-latex-base
Suggests: imagemagick, transfig, sam2p
Size: 88966
SHA256: af81a83a1830c10ac111e6bdd97571d1b03fd5edecd3da74c0200f7261fe5a19
SHA1: 45233a7f29ef5c07b6e62df833370ccd34280ecf
MD5sum: aa59f7e73383115d2e8444d7cf0d82a5
Description: automated system for building LaTeX documents
 This is a building system for LaTeX documents. It is based on a routine that
 runs just as many compilations as necessary. The module system provides a
 great flexibility that virtually allows support for any package with no user
 intervention, as well as pre- and post-processing of the document. The
 standard modules currently provide support for bibtex, dvips, dvipdfm,
 pdftex, makeindex. A good number of standard packages are supported,
 including graphics/graphicx with automatic conversion between various
 graphics formats and Metapost compilation.
Homepage: https://launchpad.net/rubber
Python-Version: 2.6, 2.7
Tag: devel::buildtools, implemented-in::python, interface::commandline,
 role::program, scope::utility, works-with-format::tex, works-with::text
Section: tex
Priority: optional
Filename: pool/main/r/rubber/rubber_1.1+20100306-2_all.deb

Package: rubberband-cli
Source: rubberband
Version: 1.3-1.3
Architecture: armhf
Maintainer: Székelyi Szabolcs 
Installed-Size: 51
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), librubberband2, libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0)
Homepage: http://www.breakfastquay.com/rubberband/
Priority: extra
Section: sound
Filename: pool/main/r/rubberband/rubberband-cli_1.3-1.3_armhf.deb
Size: 11320
SHA256: 712ef15c7d9f9daabf6db6391365731b209e1ded14a7052aa69adbc0dc09caaf
SHA1: d3ca6b72637699b1aae2ffbb0fd619971511156c
MD5sum: 3d710dbaf9854f463dbfb69e4381d7f9
Description: an audio time-stretching and pitch-shifting utility
 Rubber Band is a library and utility program that permits you to
 change the tempo and pitch of an audio recording independently of one
 another.
 .
 This package contains a command-line utility that can be used to
 exploit Rubber Band's capabilities.

Package: rubberband-ladspa
Source: rubberband
Version: 1.3-1.3
Architecture: armhf
Maintainer: Székelyi Szabolcs 
Installed-Size: 41
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), librubberband2, libstdc++6 (>= 4.4.0)
Homepage: http://www.breakfastquay.com/rubberband/
Priority: extra
Section: sound
Filename: pool/main/r/rubberband/rubberband-ladspa_1.3-1.3_armhf.deb
Size: 7410
SHA256: fdff9d4ebf34e29cbade6ae5d94290ca70e9449585a47a394afd974d2a6908cd
SHA1: a80b2530c5f5215b910a87eb7f127d3ee9da037c
MD5sum: 84d37c6e93e072b24ecb059bf8443fbe
Description: a LADSPA plugin for audio pitch-shifting
 Rubber Band is a library and utility program that permits you to
 change the tempo and pitch of an audio recording independently of one
 another.
 .
 This package contains a LADSPA plugin that can change the pitch of a
 sound in real-time.

Package: rubberband-vamp
Source: rubberband
Version: 1.3-1.3
Architecture: armhf
Maintainer: Székelyi Szabolcs 
Installed-Size: 90
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), librubberband2, libstdc++6 (>= 4.4.0), libvamp-sdk2
Homepage: http://www.breakfastquay.com/rubberband/
Priority: extra
Section: sound
Filename: pool/main/r/rubberband/rubberband-vamp_1.3-1.3_armhf.deb
Size: 28982
SHA256: ee0576356f926405f8a8e8840f47f88c8f27870698352e11f5d20a44b696cae7
SHA1: ef9132fbc5210e6a65540bf2e0c96ab583567d8b
MD5sum: 02a70dec015f67bada6b3f74c3b0016a
Description: Vamp plugins using Rubber Band
 Rubber Band is a library and utility program that permits you to
 change the tempo and pitch of an audio recording independently of one
 another.
 .
 This package contains the following Vamp plugins:
 .
  * increments (Output Increments): Output time increment for each
    input step
 .
  * aggregate_increments (Accumulated Output Increments): Accumulated
    output time increments
 .
  * divergence (Divergence from Linear): Difference between actual
    output time and the output time for a theoretical linear stretch
 .
  * phaseresetdf (Phase Reset Detection Function): Curve whose peaks
    are used to identify transients for phase reset points
 .
  * smoothedphaseresetdf (Smoothed Phase Reset Detection Function):
    Phase reset curve smoothed for peak picking
 .
  * phaseresetpoints (Phase Reset Points): Points estimated as
    transients at which phase reset occurs
 .
  * timesyncpoints (Time Sync Points): Salient points which stretcher
    aims to place with strictly correct timing

Package: rubilicious
Version: 0.1.4-2
Installed-Size: 32
Maintainer: Nobuhiro IMAI 
Architecture: all
Depends: librubilicious-ruby1.8
Size: 2732
SHA256: dceeca494c97f8b728a29008bed020383b02c1588bff304f3e946d425262fe79
SHA1: 2d00ab56593ce162774d3cf084e10d2c9ca2afa9
MD5sum: 5ac0276a212f31a73512b7e16588300a
Description: Ruby bindings for Delicious, social bookmarks manager
 This package is a dummy package and always depends on the Delicious bindings
 for Debian's default version of Ruby, currently librubilicious-ruby1.8.
 .
 Delicious is a social bookmarks manager. It allows you to easily add sites
 you like to your personal collection of links, to categorize those sites with
 keywords, and to share your collection not only between your own browsers and
 machines, but also with others.
Tag: role::metapackage, use::organizing, works-with::pim
Section: ruby
Priority: optional
Filename: pool/main/r/rubilicious/rubilicious_0.1.4-2_all.deb

Package: rubrica
Version: 2.0-1.3
Architecture: armhf
Maintainer: Sebastien Bacher 
Installed-Size: 1506
Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27), gconf2 (>= 2.28.1-2)
Priority: optional
Section: x11
Filename: pool/main/r/rubrica/rubrica_2.0-1.3_armhf.deb
Size: 408894
SHA256: 9a1ba4aa54b3ccb27792e08994d80ab495d64f905584772c16e22f2b1e070ee5
SHA1: 726b249477f6e4d0e4aaa363f4c8546531be2040
MD5sum: b14e633527095cf7087cc4f5fefa773e
Description: An addressbook for the GNOME desktop
 Rubrica stores personal data (first name, last name, etc.) web urls and
 email addresses, telephone numbers (home, etc.), job data (company
 name, company address, assignment in company), notes (contact is
 married, etc.).
 .
  Author:   Nicola Fragale 
  Homepage: http://digilander.libero.it/nfragale/

Package: ruby
Source: ruby-defaults
Version: 1:1.9.3
Installed-Size: 31
Maintainer: akira yamada 
Architecture: all
Replaces: irb, rdoc
Provides: irb, rdoc
Depends: ruby1.9.1 (>= 1.9.3.194-1)
Suggests: ri, ruby-dev
Conflicts: irb, rdoc
Breaks: apt-listbugs (<< 0.1.6)
Size: 6484
SHA256: 6ba6bdbcf33a77e7df06a42aa3d58bf3a4612528629070d69f239fcca97e06c5
SHA1: 7496a629895bd8e96be399c91d0f25fb88460799
MD5sum: 403b83504d74b4478ba21a27663afa34
Description: Interpreter of object-oriented scripting language Ruby (default version)
 Ruby is the interpreted scripting language for quick and easy
 object-oriented programming.  It has many features to process text
 files and to do system management tasks (as in perl).  It is simple,
 straight-forward, and extensible.
 .
 This package is a dependency package, which depends on Debian's default Ruby
 version (currently v1.9.3).
Homepage: http://www.ruby-lang.org/
Tag: devel::interpreter, devel::lang:ruby, devel::runtime,
 implemented-in::ruby, interface::commandline, role::dummy,
 role::metapackage, works-with::software:source
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-defaults/ruby_1.9.3_all.deb

Package: ruby-actionmailer
Source: rails
Version: 2:2.3.14.2
Installed-Size: 32
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby-actionmailer-2.3
Size: 9226
SHA256: 19ef1df34edfd1bec42d6d8c3929919dd6f261ceca30e858de22dd0ccb71f2f6
SHA1: e5bb7e5bf936d583f3e95162bddea1f82b3b3e48
MD5sum: d838a8a873a73b375a39f3b8f29a1cc4
Description: Framework for generation of customized email messages
 Action Mailer is a framework for designing email-service layers. These layers
 are used to consolidate code for sending out forgotten passwords, welcome
 wishes on signup, invoices for billing, and any other use case that requires
 a written notification to either a person or another system.
 .
 This is empty dependency package which depends on the default Debian
 version of rails framework.  If you need to depend on a specific version
 of rails, you need to depend on -VERSION package.
Section: ruby
Priority: optional
Filename: pool/main/r/rails/ruby-actionmailer_2.3.14.2_all.deb

Package: ruby-actionmailer-2.3
Version: 2.3.14-3
Installed-Size: 133
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libactionmailer-ruby (<< 2.3.11-1~), libactionmailer-ruby1.8 (<< 2.3.11-1~)
Provides: libactionmailer-ruby, libactionmailer-ruby1.8
Depends: ruby | ruby-interpreter, ruby-actionpack-2.3 (>= 2.3.14), ruby-actionpack-2.3 (<< 2.3.14.1), ruby-text-format (>= 0.6.3~), ruby-tmail (>= 1.2.7~)
Breaks: libactionmailer-ruby (<< 2.3.11-1~), libactionmailer-ruby1.8 (<< 2.3.11-1~)
Size: 35106
SHA256: c8ff1e523f409f9598ec5706376e246a7c03b70addadc42f4b4a02bf79ca85a2
SHA1: 8239b891ac8f9b29273bb42dbdffb94384a6e77c
MD5sum: ad3bbe4eb3140702e92098b978f6ff9a
Description: Framework for generation of customized email messages
 Action Mailer is a framework for designing email-service layers. These layers
 are used to consolidate code for sending out forgotten passwords, welcome
 wishes on signup, invoices for billing, and any other use case that requires
 a written notification to either a person or another system.
Homepage: http://www.rubyonrails.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-actionmailer-2.3/ruby-actionmailer-2.3_2.3.14-3_all.deb

Package: ruby-actionmailer-3.2
Version: 3.2.6-2+deb7u1
Installed-Size: 137
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-actionpack-3.2 (>= 3.2.6), ruby-actionpack-3.2 (<< 3.2.6.1), ruby-mail (>= 2.4.4~)
Conflicts: ruby-actionmailer-2.3
Size: 29560
SHA256: 2fb9834fe612e02fe280cfccb1974659d527b34cfa017d1e2c2e909bba73e24b
SHA1: 49d603cece9a6d64f7ad7fdd265f88861444786a
MD5sum: 3283b007270432ff7b2a4851a630fe8b
Description: email composition, delivery, and receiving framework (part of Rails)
 Action Mailer is a framework for working with email on Rails.
 Compose, deliver, receive, and test emails using the familiar
 controller/view pattern.  First-class support for multipart email
 and attachments.
Homepage: http://www.rubyonrails.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-actionmailer-3.2/ruby-actionmailer-3.2_3.2.6-2+deb7u1_all.deb

Package: ruby-actionpack
Source: rails
Version: 2:2.3.14.2
Installed-Size: 32
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby-actionpack-2.3
Size: 9268
SHA256: 772f8c72552cb72863ed3c08c87925b2cf25ca67522ce24cf2ed200d6b66586e
SHA1: 34008befd93d411ed5277023dab19562945e417e
MD5sum: 432326064fbc49e8bbffed6fd49d43e3
Description: Controller and View framework used by Rails
 Action Pack splits the response to a requests into a controller part
 (performing the logic) and a view part (rendering a template). This
 two-step approach is known as an action, which will normally create,
 read, update, or delete (CRUD for short) some sort of model part
 (often backed by a database) before choosing either to render a
 template or redirecting to another action.
 .
 This is empty dependency package which depends on the default Debian
 version of rails framework.  If you need to depend on a specific version
 of rails, you need to depend on -VERSION package.
Section: ruby
Priority: optional
Filename: pool/main/r/rails/ruby-actionpack_2.3.14.2_all.deb

Package: ruby-actionpack-2.3
Version: 2.3.14-5
Installed-Size: 1265
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libactionpack-ruby (<< 2.3.11-1~), libactionpack-ruby1.8 (<< 2.3.11-1~)
Provides: libactionpack-ruby, libactionpack-ruby1.8
Depends: ruby | ruby-interpreter, ruby-activesupport-2.3 (>= 2.3.14), ruby-activesupport-2.3 (<< 2.3.14.1), ruby-activerecord-2.3 (>= 2.3.14), ruby-activerecord-2.3 (<< 2.3.14.1), ruby-rack (>= 1.1.0~)
Breaks: libactionpack-ruby (<< 2.3.11-1~), libactionpack-ruby1.8 (<< 2.3.11-1~)
Size: 373118
SHA256: 369b50cea748608cabe418f3137ecabbbc26e1e63f2f550cc5dbfb167922dc2c
SHA1: b41401909828cef130cc1424e2d4e7c91b1bb2c1
MD5sum: c61e819edb584d6308d297e1b055e58b
Description: Controller and View framework used by Rails
 Action Pack splits the response to a requests into a controller part
 (performing the logic) and a view part (rendering a template). This
 two-step approach is known as an action, which will normally create,
 read, update, or delete (CRUD for short) some sort of model part
 (often backed by a database) before choosing either to render a
 template or redirecting to another action.
 .
 It eases web-request routing, handling, and response as a half-way
 front, half-way page controller. Implemented with specific emphasis
 on enabling easy unit/integration testing that doesn't require
 a browser.
Homepage: http://www.rubyonrails.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-actionpack-2.3/ruby-actionpack-2.3_2.3.14-5_all.deb

Package: ruby-actionpack-3.2
Version: 3.2.6-6+deb7u3
Installed-Size: 1164
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-activesupport-3.2 (>= 3.2.6-6+deb7u2), ruby-activesupport-3.2 (<< 3.2.6.), ruby-activemodel-3.2 (>= 3.2.6), ruby-activemodel-3.2 (<< 3.2.6.), ruby-activerecord-3.2 (>= 3.2.6), ruby-activerecord-3.2 (<< 3.2.6.), ruby-rack-cache (>= 1.2~), ruby-rack (>= 1.3.0~), ruby-rack-test (>= 0.6.1~), ruby-journey (>= 1.0.1~), ruby-sprockets (>= 2.1.2~), ruby-builder (>= 3.0.0), ruby-erubis (>= 2.7.0~), ruby-tzinfo (>= 0.3.29~)
Conflicts: ruby-actionpack-2.3
Size: 387564
SHA256: b55f012d72adb67fffe1c78cf8baff682de2365866b9056d27c2e09cbc959824
SHA1: 00f341e5f798b2c49714166664d71fbfa61bb274
MD5sum: 8e1804000fe63eb37ff0d3e80a850c19
Description: web-flow and rendering framework putting the VC in MVC (part of Rails)
 Action Pack is a framework for web apps on Rails. Simple,
 battle-tested conventions for building and testing MVC web
 applications. Works with any Rack-compatible server.
Homepage: http://www.rubyonrails.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-actionpack-3.2/ruby-actionpack-3.2_3.2.6-6+deb7u3_all.deb

Package: ruby-activeldap
Version: 1.2.4-3
Installed-Size: 571
Maintainer: Marc Dequènes (Duck) 
Architecture: all
Replaces: libactiveldap-ruby (<< 1.2.4-1~), libactiveldap-ruby1.8 (<< 1.2.4-1~)
Provides: libactiveldap-ruby, libactiveldap-ruby1.8
Depends: ruby | ruby-interpreter, ruby-ldap (>= 0.8.2), ruby-activesupport-2.3 (>= 2.3.11), ruby-activerecord-2.3 (>= 2.3.11), ruby-locale (>= 2.0.5), ruby-gettext-activerecord (>= 2.1.0)
Suggests: ruby-log4r (>= 1.0.4), ruby-fastgettext
Breaks: libactiveldap-ruby (<< 1.2.4-1~), libactiveldap-ruby1.8 (<< 1.2.4-1~)
Size: 122616
SHA256: 47bd7c8a2d79d70f4b2a1a43d6a7ba8e33b9a237f76ce578715fbf2a21533ce5
SHA1: 05c3bc86e860ae83dde23de5e996874bf44d06ae
MD5sum: 0007655dbb23469181d95698bcb83d29
Description: ActiveLdap is a object-oriented API to LDAP
 'ActiveLdap' is a ruby extension library which provides a clean
 objected oriented interface to the Ruby/LDAP library.  It was inspired
 by ActiveRecord. This is not nearly as clean or as flexible as
 ActiveRecord, but it is still trivial to define new objects and manipulate
 them with minimal difficulty.
Homepage: http://ruby-activeldap.rubyforge.org/
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-activeldap/ruby-activeldap_1.2.4-3_all.deb

Package: ruby-activeldap-doc
Source: ruby-activeldap
Version: 1.2.4-3
Installed-Size: 5584
Maintainer: Marc Dequènes (Duck) 
Architecture: all
Replaces: libactiveldap-ruby-doc (<< 1.2.4-1~)
Provides: libactiveldap-ruby-doc
Suggests: doc-base
Breaks: libactiveldap-ruby-doc (<< 1.2.4-1~)
Size: 429408
SHA256: 3dd7a764bc69edf356015574c17d83a9eb54d9f43bc12f0388ba8b5a154c5cd1
SHA1: 6a8aa1640193320091299230d2b6c24aa2c5ce45
MD5sum: eca57aad22d411b76209eebe9f6251cc
Description: ActiveLdap is a object-oriented API to LDAP -- API documentation
 This is the Rdoc-generated documentation for ActiveLdap API.
Homepage: http://ruby-activeldap.rubyforge.org/
Tag: role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/ruby-activeldap/ruby-activeldap-doc_1.2.4-3_all.deb

Package: ruby-activemodel-3.2
Version: 3.2.6-3+deb7u1
Installed-Size: 169
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-activesupport-3.2 (>= 3.2.6), ruby-activesupport-3.2 (<< 3.2.6.)
Suggests: ruby-bcrypt (>= 3.0.0)
Size: 46748
SHA256: 8d0a4b9f83ca7f456e78174cadb596db67cd079bb3e6acf8350d4080beafb657
SHA1: 1406bb2abaaff8318f7a8cab32c5f848672da276
MD5sum: 7a81a401c681a5c69e2efa4f13ea9697
Description: toolkit for building modeling frameworks (part of Rails)
 Active Model is a toolkit for building modeling frameworks like
 Active Record and Active Resource.  This includes a rich support for
 attributes, callbacks, validations, observers, serialization,
 internationalization, and testing.
Homepage: http://www.rubyonrails.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-activemodel-3.2/ruby-activemodel-3.2_3.2.6-3+deb7u1_all.deb

Package: ruby-activerecord
Source: rails
Version: 2:2.3.14.2
Installed-Size: 32
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby-activerecord-2.3
Size: 9204
SHA256: 0b1a4de336d154782a3e4ef63c6d1eebc6f3a08fab22b4a56b860bb8f575a0c1
SHA1: 2f1caae2a4fec3a2317b243aacf9fbdd2f5622b8
MD5sum: b87bae86bac0401247dbb1dd664f79e6
Description: ORD database interface for ruby
 Implements the ActiveRecord pattern (Fowler, PoEAA) for ORM.  It ties
 database tables and classes together for business objects, like
 Customer or Subscription, that can find, save, and destroy themselves
 without resorting to manual SQL.
 .
 This is empty dependency package which depends on the default Debian
 version of rails framework.  If you need to depend on a specific version
 of rails, you need to depend on -VERSION package.
Tag: implemented-in::ruby, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/rails/ruby-activerecord_2.3.14.2_all.deb

Package: ruby-activerecord-2.3
Version: 2.3.14-6
Installed-Size: 1024
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libactiverecord-ruby (<< 2.3.11-1~), libactiverecord-ruby1.8 (<< 2.3.11-1~), libactiverecord-ruby1.9.1 (<< 2.3.11-1~)
Provides: libactiverecord-ruby, libactiverecord-ruby1.8, libactiverecord-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-activesupport-2.3 (>= 2.3.14), ruby-activesupport-2.3 (<< 2.3.14.1)
Breaks: libactiverecord-ruby (<< 2.3.11-1~), libactiverecord-ruby1.8 (<< 2.3.11-1~), libactiverecord-ruby1.9.1 (<< 2.3.11-1~)
Size: 307246
SHA256: d070c9de98f1b69e8b2f577e789be2929a46202c78a03859c123f5a55233ce17
SHA1: d73090bf477f4ab4695e273e28883c48f31a626a
MD5sum: bb9ef5501718edddddc128b1d7a00904
Description: ORD database interface for ruby
 Implements the ActiveRecord pattern (Fowler, PoEAA) for ORM.  It ties
 database tables and classes together for business objects, like
 Customer or Subscription, that can find, save, and destroy themselves
 without resorting to manual SQL.
Homepage: http://www.rubyonrails.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-activerecord-2.3/ruby-activerecord-2.3_2.3.14-6_all.deb

Package: ruby-activerecord-3.2
Version: 3.2.6-5+deb7u3
Installed-Size: 1081
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-activesupport-3.2 (>= 3.2.6), ruby-activesupport-3.2 (<< 3.2.6.), ruby-activemodel-3.2 (>= 3.2.6), ruby-activemodel-3.2 (<< 3.2.6.), ruby-arel (>= 3.0.2~), ruby-tzinfo (>= 0.3.29~)
Conflicts: ruby-activerecord-2.3
Size: 392562
SHA256: fa73d322014b0dc41fe2e8c6761a50e4c95e23a808f050fdf0d1dcfbe5d19586
SHA1: 08778032322e7659b798d19cd67d7c60b5d40f8c
MD5sum: 5646659df74b943fa6940b084020fc34
Description: object-relational mapper framework (part of Rails)
 Active Records is a framework to work with databases on Rails.  Build
 a persistent domain model by mapping database tables to Ruby classes.
 Strong conventions for associations, validations, aggregations,
 migrations, and testing come baked-in.
Homepage: http://www.rubyonrails.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-activerecord-3.2/ruby-activerecord-3.2_3.2.6-5+deb7u3_all.deb

Package: ruby-activeresource
Source: rails
Version: 2:2.3.14.2
Installed-Size: 32
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby-activerecord-2.3
Size: 9238
SHA256: 97a78a7125078f8f1898cf479b8074a933a50b05530b6568d9527b837fd2d7d3
SHA1: 24e3ff354ed7b2dde88d051aa572103d1257ed37
MD5sum: 126eb6c22e92a39919f3798dac21f67c
Description: Connects objects and REST web services
 Active Resource (ARes) connects business objects and Representational
 State Transfer (REST) web services. It implements object-relational
 mapping for REST webservices to provide transparent proxying
 capabilities between a client (ActiveResource) and a RESTful service
 (which is provided by Simply RESTffull routing in
 ActionController::Resources)
 .
 This is empty dependency package which depends on the default Debian
 version of rails framework.  If you need to depend on a specific version
 of rails, you need to depend on -VERSION package.
Section: ruby
Priority: optional
Filename: pool/main/r/rails/ruby-activeresource_2.3.14.2_all.deb

Package: ruby-activeresource-2.3
Version: 2.3.14-3
Installed-Size: 167
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libactiveresource-ruby (<< 2.3.11-1~), libactiveresource-ruby1.8 (<< 2.3.11-1~)
Provides: libactiveresource-ruby, libactiveresource-ruby1.8
Depends: ruby | ruby-interpreter, ruby-activesupport-2.3 (>= 2.3.14), ruby-activesupport-2.3 (<< 2.3.14.1)
Breaks: libactiveresource-ruby (<< 2.3.11-1~), libactiveresource-ruby1.8 (<< 2.3.11-1~)
Size: 40094
SHA256: e93723f24225e3285bb2517504b93cbbfcf2ffc7e72fd1298f98d8fe5f6d819a
SHA1: d5c5d9a4f467678cf9046552735c27c15840a81c
MD5sum: b5af11636c395d8b513b053424f06012
Description: Connects objects and REST web services
 Active Resource (ARes) connects business objects and Representational
 State Transfer (REST) web services. It implements object-relational
 mapping for REST webservices to provide transparent proxying
 capabilities between a client (ActiveResource) and a RESTful service
 (which is provided by Simply RESTffull routing in
 ActionController::Resources)
Homepage: http://www.rubyonrails.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-activeresource-2.3/ruby-activeresource-2.3_2.3.14-3_all.deb

Package: ruby-activeresource-3.2
Version: 3.2.6-2
Installed-Size: 177
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-activesupport-3.2 (>= 3.2.6), ruby-activesupport-3.2 (<< 3.2.6.), ruby-activemodel-3.2 (>= 3.2.6), ruby-activemodel-3.2 (<< 3.2.6.)
Conflicts: ruby-activeresource-2.3
Size: 38750
SHA256: aed10a96258c6d3737d71b2b52b7fb7e610a1961878ba4a8eca36fda01f6ab10
SHA1: 3314696d49a803cbb4f1da822d1f48be14723ca8
MD5sum: e9ef28de1a83cd116a4b715efc04d22d
Description: REST modeling framework (part of Rails)
 Active Resource (ARes) connects business objects and Representational
 State Transfer (REST) web services.  It implements object-relational
 mapping for REST webservices to provide transparent proxying
 capabilities between a client (ActiveResource) and a RESTful service.
Homepage: http://www.rubyonrails.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-activeresource-3.2/ruby-activeresource-3.2_3.2.6-2_all.deb

Package: ruby-activesupport
Source: rails
Version: 2:2.3.14.2
Installed-Size: 32
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby-activesupport-2.3
Size: 9140
SHA256: a5475b94381377f50f993721be845bc491a1fc3185055af2280e0199778fd548
SHA1: e91258d5e0fac86623998da639f4ecfe5b88280a
MD5sum: cafa2996ee44f4409548ff9b00b921d2
Description: Support and utility classes used by the Rails framework
 ActiveSupport consists of utility classes and extensions to the Ruby
 standard library that were required for Rails but found to be
 generally useful.
 .
 This is empty dependency package which depends on the default Debian
 version of rails framework.  If you need to depend on a specific version
 of rails, you need to depend on -VERSION package.
Tag: implemented-in::ruby, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/rails/ruby-activesupport_2.3.14.2_all.deb

Package: ruby-activesupport-2.3
Version: 2.3.14-7
Installed-Size: 1363
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libactivesupport-ruby (<< 2.3.11-1~), libactivesupport-ruby1.8 (<< 2.3.11-1~), libactivesupport-ruby1.9.1 (<< 2.3.11-1~)
Provides: libactivesupport-ruby, libactivesupport-ruby1.8, libactivesupport-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-builder (>= 2.1.2~), ruby-i18n (>= 0.4.1~), ruby-memcache-client (>= 1.7.4~), ruby-tzinfo (>= 0.3.12~)
Breaks: libactivesupport-ruby (<< 2.3.11-1~), libactivesupport-ruby1.8 (<< 2.3.11-1~), libactivesupport-ruby1.9.1 (<< 2.3.11-1~)
Size: 304866
SHA256: 017a0d396f4bf2b0d6ccdaa35d0d2edb992def8b043d6be35cf1be1ad86c41ea
SHA1: f4f40958c259a0bba78b187ad4fadddd2cfebc12
MD5sum: 1b744d73be3e9e8d9ca6499945b97db0
Description: Support and utility classes used by the Rails 2.3 framework
 ActiveSupport consists of utility classes and extensions to the Ruby
 standard library that were required for Rails but found to be
 generally useful.
Homepage: http://www.rubyonrails.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-activesupport-2.3/ruby-activesupport-2.3_2.3.14-7_all.deb

Package: ruby-activesupport-3.2
Version: 3.2.6-6+deb7u3
Installed-Size: 1372
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-i18n (>= 0.6~), ruby-multi-json (>= 1.0~)
Conflicts: ruby-activesupport-2.3
Size: 323268
SHA256: dcf706da94c59abaf8f5eedc0207b9322157fcc2d8d6a0bd46541b70c849f3ae
SHA1: b80113947dc1558cf5027d6adc356bb31def5a70
MD5sum: 2045aabe710018053cf7af65a337fff0
Description: Support and utility classes used by the Rails 3.2 framework
 ActiveSupport consists of utility classes and extensions to the Ruby
 standard library that were required for Rails but found to be
 generally useful.
Homepage: http://www.rubyonrails.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-activesupport-3.2/ruby-activesupport-3.2_3.2.6-6+deb7u3_all.deb

Package: ruby-addressable
Version: 2.2.8-1
Installed-Size: 472
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libaddressable-ruby (<< 2.2.2-1~), libaddressable-ruby1.8 (<< 2.2.2-1~), libaddressable-ruby1.9.1 (<< 2.2.2-1~)
Provides: libaddressable-ruby, libaddressable-ruby1.8, libaddressable-ruby1.9.1
Depends: ruby1.8 | ruby-interpreter
Breaks: libaddressable-ruby (<< 2.2.2-1~), libaddressable-ruby1.8 (<< 2.2.2-1~), libaddressable-ruby1.9.1 (<< 2.2.2-1~)
Size: 65718
SHA256: 6f9b2dc168a12fdb0f2e929fc1b221dad62d339df663ec2f60cf4e046d0308c9
SHA1: 3e52801ba9874324f90f05eb40053976e94145f8
MD5sum: b8cf14e73a9c8cfbdb4ac3e768f6cff6
Description: alternative URI implementation for Ruby
 Addressable is a replacement for the URI implementation that is part of
 Ruby's standard library. It more closely conforms to the relevant RFCs and
 adds support for IRIs and URI templates.
Homepage: http://addressable.rubyforge.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-addressable/ruby-addressable_2.2.8-1_all.deb

Package: ruby-aggregate
Version: 0.2.2-1
Installed-Size: 48
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 8086
SHA256: e99d288ccb72fe100ae65f4b54d8bf7f8e7a7f154a6d519d0515f66ebec6f100
SHA1: 603694d7b902aa204a647909f4488d4023ccef75
MD5sum: 73c39c38c052581fdf40cc26ba996ac6
Description: Ruby class for accumulating aggregate statistics
 Aggregate is an intuitive ruby implementation of a statistics aggregator
 including both default and configurable histogram support. It does this
 without recording/storing any of the actual sample values, making it
 suitable for tracking statistics across millions/billions of samples
 without any impact on performance or memory footprint. Originally
 inspired by the Aggregate support in SystemTap.
Homepage: http://github.com/josephruscio/aggregate
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-aggregate/ruby-aggregate_0.2.2-1_all.deb

Package: ruby-akonadi
Source: korundum
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 110
Depends: ruby-kde4 (= 4:4.8.4-1), kdepim-runtime, libakonadi-kde4 (>= 4:4.3.4), libakonadi-kmime4 (>= 4:4.3.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtruby4shared2 (>= 4:4.8.4), libruby1.8 (>= 1.8.7.357-1), libsmokeakonadi3 (>= 4:4.8.4), libsmokebase3 (>= 4:4.8.4), libsmokeqtcore4-3 (>= 4:4.8.4), libsmokeqtdbus4-3 (>= 4:4.8.4), libsmokeqtgui4-3 (>= 4:4.8.4), libsmokeqtnetwork4-3 (>= 4:4.8.4), libsmokeqtopengl4-3 (>= 4:4.8.4), libsmokeqtsql4-3 (>= 4:4.8.4), libsmokeqtsvg4-3 (>= 4:4.8.4), libsmokeqtxml4-3 (>= 4:4.8.4), libstdc++6 (>= 4.4.0)
Breaks: libakonadi-ruby (<< 4:4.6.80), libakonadi-ruby1.8 (<< 4:4.6.80)
Replaces: libakonadi-ruby (<< 4:4.6.80), libakonadi-ruby1.8 (<< 4:4.6.80)
Provides: libakonadi-ruby, libakonadi-ruby1.8
Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/korundum
Priority: optional
Section: ruby
Filename: pool/main/k/korundum/ruby-akonadi_4.8.4-1_armhf.deb
Size: 27946
SHA256: a085236f8478d6ed145ec8dc9d8fa9ea43eacac3f9b3b4abe040d4eecb861523
SHA1: 318eaefe3ff2f29267ace5ac7684a4611b2738fc
MD5sum: 27cccd969ab78439ef2b5219f1acfb41
Description: Akonadi Ruby bindings
 This package allows you to create applications that use the Akonadi PIM
 storage service in the Ruby language.
 .
 This is part of the Korundum module.

Package: ruby-albino
Version: 1.3.3-1
Installed-Size: 71
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-posix-spawn, python-pygments
Size: 5512
SHA256: 5c8d0158339ff592766698788d27c5fa2194ca128cd2be3024eef3f0b501c270
SHA1: f7691e9d7cec74125167a71ac53cd137f3fe7c4b
MD5sum: 7e44b72ef1e808e8d07994908aa6a51c
Description: Ruby wrapper for pygmentize
 Albino is a ruby wrapper for python-pygmentize.
 .
 Pygments aims to be a generic syntax highlighter for general use in all
 kinds of software such as forum systems, wikis or other applications
 that need to prettify source code.
Homepage: http://github.com/github/albino
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-albino/ruby-albino_1.3.3-1_all.deb

Package: ruby-algorithm-diff
Version: 0.4-14
Installed-Size: 72
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libalgorithm-diff-ruby (<< 0.4-12~), libalgorithm-diff-ruby1.8 (<< 0.4-12~)
Provides: libalgorithm-diff-ruby, libalgorithm-diff-ruby1.8
Depends: ruby-interpreter | ruby
Conflicts: libalgorithm-diff-ruby (<< 0.4-12~), libalgorithm-diff-ruby1.8 (<< 0.4-12~)
Size: 5780
SHA256: 7d42b025cc196a07daf27de45a1255a8a33fc538c3cba2933ee5488d1d8eb267
SHA1: b7dd8da01e5153e201add926c8590b61eb64ad75
MD5sum: 9f905b0b665e4217fb3026cbfb9fd037
Description: Ruby conversion of the Perl's Algorithm::Diff
 Diff is an algorithm which computes the differences between two
 lists a and b. The resulting set of differences can be applied to
 a (also called "patching") to get b. This is also what the Unix
 command line tools "diff" and "patch" are able to do.
Tag: devel::lang:ruby, implemented-in::ruby, use::comparing,
 use::synchronizing
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-algorithm-diff/ruby-algorithm-diff_0.4-14_all.deb

Package: ruby-amazon-ec2
Version: 0.9.17-2
Installed-Size: 356
Maintainer: Damien Raude-Morvan 
Architecture: all
Replaces: libamazonec2-ruby (<< 0.9.17-2), libamazonec2-ruby-doc (<< 0.9.17-2), libamazonec2-ruby1.8 (<< 0.9.17-2)
Provides: libamazonec2-ruby, libamazonec2-ruby-doc, libamazonec2-ruby1.8
Depends: ruby1.8 | ruby-interpreter, libxml-simple-ruby
Conflicts: libamazonec2-ruby (<< 0.9.17-2), libamazonec2-ruby-doc (<< 0.9.17-2), libamazonec2-ruby1.8 (<< 0.9.17-2)
Size: 55398
SHA256: 8e770b317605f913967fc3114f73bf322a4452f395e9bbb065cb0bf682eba6f5
SHA1: dc70ffc7565fb84c766fdbfccff02d1603e96862
MD5sum: 435ec7b9fe7e379b6dca1423a9efdee6
Description: Ruby library for accessing Amazon EC2
 A Ruby library for accessing the Amazon Web Services (AWS) APIs like:
  * Elastic Compute Cloud (EC2): provides resizable compute capacity via virtual
    machine set up and allocation.
  * Autoscaling: automatically scale your Amazon EC2 capacity up or down
  * Elastic Load Balancing (ELB): distributes application traffic across
    multiple Amazon EC2 instances
  * Relational Database Service (RDS): set up, operate, and scale MySQL instance
  * CloudWatch: provides monitoring for AWS like Amazon EC2.
Homepage: http://github.com/grempe/amazon-ec2
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: implemented-in::ruby, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-amazon-ec2/ruby-amazon-ec2_0.9.17-2_all.deb

Package: ruby-amq-client
Version: 0.9.3-1
Installed-Size: 225
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-eventmachine, ruby-amq-protocol (>= 0.9.1)
Size: 32514
SHA256: 801bb071c7b83b55377b2a87b4606f1e55ae68ea2c70eefbe09bd44c87a847c0
SHA1: 164b6f58235303b795ed48a2511d71e26e33e172
MD5sum: 2344ea305ff5a9ca9a5a92355ead489a
Description: fully-featured, low-level AMQP 0.9.1 client
 amq-client is a fully-featured, low-level AMQP 0.9.1 client with
 pluggable networking I/O adapters.  It comes with adapters for
 EventMachine, cool.io, Eventpanda and so on and is supposed to back
 more opinionated AMQP clients such as ruby-amqp or be used directly
 in cases when access to more advanced AMQP 0.9.1 features is more
 important that convenient APIs
Homepage: http://github.com/ruby-amqp/amq-client
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-amq-client/ruby-amq-client_0.9.3-1_all.deb

Package: ruby-amq-protocol
Version: 0.9.2-1
Installed-Size: 150
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 15792
SHA256: 87622c998c8cf7b7b43aa029b0d5fe82fdb184543227d42d9646c282e0eb8cba
SHA1: acf794e25eafbff748c539857999fcd00f3e66f4
MD5sum: 141a2f016a69b8d6db7df962efa75b92
Description: AMQP 0.9.1 encoder & decoder
 amq-protocol is an AMQP 0.9.1 serialization library for Ruby. It is not an
 AMQP client: amq-protocol only handles serialization and deserialization.
 If you want to write your own AMQP client, this gem can help you with that.
Homepage: http://github.com/ruby-amqp/amq-protocol
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-amq-protocol/ruby-amq-protocol_0.9.2-1_all.deb

Package: ruby-amqp
Version: 0.9.5-2
Installed-Size: 1654
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-eventmachine, ruby-amq-client (>= 0.9.3), ruby-amq-protocol (>= 0.9.1)
Size: 1168624
SHA256: ea0ebe84ff40b3367d35ed6946d7c675ca53db3f90a1822e97f877042a034b4e
SHA1: 6852581b31dadd92e0687da1933319f33f0b4307
MD5sum: efd138e17403dce52d5960528e012166
Description: feature-rich, asynchronous AMQP 0.9.1 client
 AMQP is an open standard for messaging middleware that emphasizes
 interoperability between different technologies (for example, Java,
 Ruby, Python, .NET, Node.js, Erlang, C and so on).
 .
 Key features of AMQP are very flexible yet simple routing and binary
 protocol efficiency. AMQP supports many sophisticated features, for
 example, message acknowledgements, returning of messages to producer,
 redelivery of messages that couldn't be processed, load balancing
 between message consumers and so on.
 .
 This package contains a ruby client for AMQP.
Homepage: http://github.com/ruby-amqp/amqp
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-amqp/ruby-amqp_0.9.5-2_all.deb

Package: ruby-amrita
Version: 1.0.2-10
Installed-Size: 1688
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libamrita1.8 (<< 1.0.2-9~)
Provides: libamrita-ruby1.8
Depends: ruby1.8
Conflicts: libamrita1.8 (<< 1.0.2-9~)
Size: 147080
SHA256: a7cde93b861ffe01ee7ad6198975889f8f9475e8bcd1b32f359660ac47dd73c6
SHA1: 3e1ab633cdade769cd45f98cadc89a799a6bdb3d
MD5sum: a58472db1487a334663dc5f77bbb95c3
Description: HTML/XML template library for Ruby 1.8
 Amrita is an html/xhtml template library for Ruby. It makes html
 documents from a template and model data.
 .
  * The template for amrita is a pure html/xhtml document without
   special tags like  or <% .. %>
  * The template can be written by designers using almost any html
   editor.
  * There's no need to change Ruby code to modify the view of the
   _dynamic_ part of the template (or the static portion).
  * The model data may be any standard Ruby data-- a Hash, Array,
   String... or an instance of any user-defined class.
  * The output is controlled by _data_, not by logic-- so it's easy to
   write, test, and debug code. (Good for eXtremeProgramming)
  * The html template can be compiled into Ruby code before execution
   with little effort.
 .
 Amrita uses a template and model data to create an html document by
 naturally matching the +id+ attribute of an html element to model data.
Homepage: http://amrita.sourceforge.jp/
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-amrita/ruby-amrita_1.0.2-10_all.deb

Package: ruby-amrita2
Version: 2.0.2+dfsg.1-3
Installed-Size: 156
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libamrita-ruby (<< 2.0.2+dfsg.1-3~), libamrita-ruby1.8 (<< 2.0.2+dfsg.1-3~), libamrita-ruby1.9.1 (<< 2.0.2+dfsg.1-3~)
Provides: libamrita-ruby, libamrita-ruby1.8, libamrita-ruby1.9.1
Depends: ruby-interpreter | ruby
Conflicts: libamrita-ruby (<< 2.0.2+dfsg.1-3~), libamrita-ruby1.8 (<< 2.0.2+dfsg.1-3~), libamrita-ruby1.9.1 (<< 2.0.2+dfsg.1-3~)
Size: 23922
SHA256: 06758a250d90cd2ecc9da58a73be82a53c1a7ba15bea48c56015e79feaf57eff
SHA1: bf052da4bf60849daf29b013abe828abe68f42a6
MD5sum: 018e64410209a58b0034699264040d02
Description: XML/XHTML template library for Ruby
 Amrita produces html documents from XML template and Ruby model data.
 .
  * The template for amrita2 is a pure html/xhtml document without any
    special tag like  or <% .. %>
 .
  * The template can be written by designers using almost any xhtml/xml
    Editor.
 .
  * Need no change on Ruby code to change the view of _dynamic_ part
    (not only static part) of the template
 .
  * The model data may be standard Ruby data, Hash, Array, String... or
    an instance of classes you made.
 .
  * The output is controlled by _data_ not by logic. So It's easy to
    write, test, debug code. (Good for eXtreamPrograming)
 .
 Amrita2 mixes a template and model data up to a html document naturally
 matching the +id+ attribute of XML element to model data.
Homepage: http://rubyforge.org/projects/amrita2/
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-amrita2/ruby-amrita2_2.0.2+dfsg.1-3_all.deb

Package: ruby-archive-tar-minitar
Version: 0.5.2-2+deb7u1
Installed-Size: 126
Maintainer: Alexander Wirt 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 17244
SHA256: fc3273786a7e4a25a8324de7d7e42c23e668ce1871817b9c10a740902cf1b3d0
SHA1: f901df82e564e72ede0aadf7eb4a15b60c9c4a1a
MD5sum: 1d2e505aa82bf82c8f69f835505c827c
Description: Provides POSIX tarchive management from Ruby programs.
 Archive::Tar::Minitar is a pure-Ruby library and command-line utility that
 provides the ability to deal with POSIX tar(1) archive files. The
 implementation is based heavily on Mauricio Ferna'ndez's implementation in
 rpa-base, but has been reorganised to promote reuse in other projects.
Homepage: http://rubyforge.org/projects/ruwiki/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-archive-tar-minitar/ruby-archive-tar-minitar_0.5.2-2+deb7u1_all.deb

Package: ruby-arel
Version: 3.0.2-2
Installed-Size: 168
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-minitest (>= 2.11~), ruby-hoe (>= 2.13~)
Size: 25732
SHA256: fbda61b6f6b92d724a727466e7c90478c7d908075a9df9aeedceb6446930bb03
SHA1: 0987eb1de895c3fcb7e592bf6dbdc73df83d02c8
MD5sum: e7345a6443f2c2e4dc6dca8c34b51ba9
Description: SQL AST manager for Ruby
 Arel is a SQL AST manager for Ruby. It simplifies the generation of
 complex SQL queries and adapts to various RDBMS systems.
 .
 It is intended to be a framework framework; that is, you can build your own ORM
 with it, focusing on innovative object and collection modeling as opposed to
 database compatibility and query generation.
Homepage: http://github.com/rails/arel
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-arel/ruby-arel_3.0.2-2_all.deb

Package: ruby-ascii85
Version: 1.0.1-2
Installed-Size: 89
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 9300
SHA256: 726629a56fc2e05416d881caf27dd96ceb9de61e22fbdccaa450ea9134afaebf
SHA1: 9198bab2b239bdbbfb38a2c207dadb1480aaa95a
MD5sum: 85e781e1365013cdb509c7f9a8d052d5
Description: Ruby library to encode/decode the Ascii85 format
 Ascii85 (also called "Base85") is a form of binary-to-text encoding, wich is
 mainly used in Adobe's PostScript and PDF format. This package provides a
 ruby library to encode/decode Ascii85.
 .
 It ships also a command line utility, which can encode/decode files to the
 standard output.
Homepage: http://rubyforge.org/projects/ascii85/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-ascii85/ruby-ascii85_1.0.1-2_all.deb

Package: ruby-atk
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 170
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0), ruby-glib2 (= 1.1.3-2+b1)
Breaks: libatk1-ruby (<< 0.90.9-1~), libatk1-ruby1.8 (<< 0.90.9-1~)
Replaces: libatk1-ruby (<< 0.90.9-1~), libatk1-ruby1.8 (<< 0.90.9-1~)
Provides: libatk1-ruby, libatk1-ruby1.8
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gnome2/ruby-atk_1.1.3-2+b1_armhf.deb
Size: 47462
SHA256: 80f933beb23315393a257ad642b91546fc9ccf95af04f1526ce5f2b631a5f49a
SHA1: 6212dfd5fdba8811c981e447be3de827534e3617
MD5sum: 23a489568d7aebeaab281007733bef94
Description: ATK bindings for the Ruby language
 ATK is a toolkit providing accessibility interfaces for applications or other
 toolkits. By implementing these interfaces, those other toolkits or
 applications can be used with tools such as screen readers, magnifiers, and
 other alternative input devices. This package contains libraries for using
 ATK with the Ruby programming language.

Package: ruby-atk-dbg
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 383
Depends: ruby-atk (= 1.1.3-2+b1)
Breaks: libatk1-dbg-ruby (<< 0.90.9-1~), libatk1-dbg-ruby1.8 (<< 0.90.9-1~)
Replaces: libatk1-dbg-ruby (<< 0.90.9-1~), libatk1-dbg-ruby1.8 (<< 0.90.9-1~)
Provides: libatk1-dbg-ruby, libatk1-dbg-ruby1.8
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-gnome2/ruby-atk-dbg_1.1.3-2+b1_armhf.deb
Size: 95438
SHA256: 3d7ed1699bbb01de04448478fb13435a444d47bc5d1f0a58ec61031c67132f4b
SHA1: ef2f12326b9f6dd6a14f83049a4eaa0e4b70b5c4
MD5sum: 2f6f595c66d407bdadc92ac73439307b
Description: ATK bindings for the Ruby language (debugging symbols)
 ATK is a toolkit providing accessibility interfaces for applications or other
 toolkits. By implementing these interfaces, those other toolkits or
 applications can be used with tools such as screen readers, magnifiers, and
 other alternative input devices. This package contains libraries for using
 ATK with the Ruby programming language.
 .
 This package contains the debugging symbols.

Package: ruby-bacon
Version: 1.1.0-2
Installed-Size: 72
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Conflicts: ruby-rspec-core
Size: 13358
SHA256: c3142fa32f698d31b5b0d554fb8ef6aeab44c50e81052745f6dc7a94a4e11133
SHA1: a36f3e3672cc063e1a9c02ef0aecfb9078ba8ba1
MD5sum: 6af531691d6203e41503e013887532e4
Description: Small RSpec clone
 Bacon is a small RSpec clone weighing less than 350 line of code, but
 nevertheless providing all essential features.
Homepage: http://chneukirchen.org/repos/bacon
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-bacon/ruby-bacon_1.1.0-2_all.deb

Package: ruby-barby
Version: 0.5.0-1
Installed-Size: 157
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libbarby-ruby (<< 0.4.3-1~), libbarby-ruby-doc (<< 0.4.3-1~), libbarby-ruby1.8 (<< 0.4.3-1~)
Provides: libbarby-ruby, libbarby-ruby-doc, libbarby-ruby1.8
Depends: ruby1.8 | ruby-interpreter, ruby-rqrcode | librqrcode-ruby1.8
Conflicts: libbarby-ruby (<< 0.4.3-1~), libbarby-ruby-doc (<< 0.4.3-1~), libbarby-ruby1.8 (<< 0.4.3-1~)
Size: 35394
SHA256: b4df81a37b9f40a14d83c52bb902e9c6f3dbcade896efadacbee320ce2de0ad2
SHA1: fab0b57e8d0807b2b8c1f9f2f8f3ffd9a294a4e2
MD5sum: 33175aac9c32a0f5ffe3f3a251e78afc
Description: Ruby library for barcode generation
 This is a pure-Ruby library for generating various kinds of barcodes,
 including all the standard 1D and 2D barcodes, and meant to be easily
 extensible.
 .
 Barby separates the concerns of barcode generation and graphical
 representations, so not only the barcodes' format can be extended,
 but also the way they are output.
Homepage: http://toretore.github.com/barby
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-barby/ruby-barby_0.5.0-1_all.deb

Package: ruby-bcrypt
Version: 3.0.1-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 150
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby1.8 | ruby-interpreter, ruby-rspec (>= 2.5.0)
Homepage: http://bcrypt-ruby.rubyforge.org
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-bcrypt/ruby-bcrypt_3.0.1-2_armhf.deb
Size: 38232
SHA256: 36ae9ae9f755365175813319f163d6055e96d5bb0eedaeb66f6e2c4e96064afb
SHA1: 37b54ddb0e717993f2783001d0bb7c31c19dda67
MD5sum: 0fb4a3142907d3d76b56dafb417c8e31
Description: Ruby binding for the bcrypt() password hashing algorithm
 bcrypt() is a sophisticated and secure hash algorithm designed
 by the OpenBSD project for hashing passwords. bcrypt-ruby provides
 a simple, humane wrapper for safely handling passwords.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-bdb
Version: 0.6.5-7
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 431
Depends: libc6 (>= 2.13-28), libdb5.1, libruby1.8 (>= 1.8.7.352), ruby | ruby-interpreter
Conflicts: libdb-ruby1.8 (<< 0.6.5-7), libdb4.2-ruby1.8 (<< 0.6.4-1), libdb4.3-ruby1.8 (<< 0.6.4-1), libdb4.4-ruby1.8 (<< 0.6.4-1)
Replaces: libdb-ruby1.8 (<< 0.6.5-7), libdb4.2-ruby1.8 (<< 0.6.4-1), libdb4.3-ruby1.8 (<< 0.6.4-1), libdb4.4-ruby1.8 (<< 0.6.4-1)
Provides: libdb-ruby1.8, libdb4.2-ruby1.8, libdb4.3-ruby1.8, libdb4.4-ruby1.8
Homepage: https://rubyforge.org/projects/bdb/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-bdb/ruby-bdb_0.6.5-7_armhf.deb
Size: 164704
SHA256: e50483f98d809d8eee0ff3b83b65bda5282f133d72004e02db1b3f7e88db5c02
SHA1: 82096c597cac779b23154c408fe044c707427e6c
MD5sum: 6e2b5c471559aea39862c965c7caf653
Description: Interface to Berkeley DB for Ruby 1.8
 BDB is an interface to the Berkeley database library.
 .
 This package provides BDB linked to the default Debian libdb version.
Ruby-Versions: ruby1.8

Package: ruby-bio
Version: 1.4.2-3
Installed-Size: 3141
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libbio-ruby (<< 1.4.1-2~), libbio-ruby1.8 (<< 1.4.1-2~)
Provides: libbio-ruby, libbio-ruby1.8
Depends: ruby | ruby-interpreter
Recommends: blast2, hmmer, mafft, muscle, probcons, sim4, t-coffee
Suggests: clustalw, emboss
Breaks: libbio-ruby (<< 1.4.1-2~), libbio-ruby1.8 (<< 1.4.1-2~)
Size: 862836
SHA256: 0a2056e4d9d527e88bfaadbec78317d5ca8e662b657ef67749581d77728cda49
SHA1: 0c2009c8bab3d5d66de710cf4d56c56245b21584
MD5sum: 8710c546d68d88d5316c62671867b012
Description: Ruby tools for computational molecular biology
 BioRuby project aims to implement an integrated environment for
 Bioinformatics with Ruby language. Design philosophy of the BioRuby library
 is KISS (keep it simple, stupid) to maximize the usability and the
 efficiency for biologists as a daily tool. The project was started in Japan
 and supported by University of Tokyo (Human Genome Center), Kyoto University
 (Bioinformatics Center) and the Open Bio Foundation.
Homepage: http://www.bioruby.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-bio/ruby-bio_1.4.2-3_all.deb

Package: ruby-blankslate
Version: 2.1.2.4-4
Installed-Size: 64
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: ruby-builder (<< 3.0.0-2~)
Depends: ruby | ruby-interpreter
Breaks: ruby-builder (<< 3.0.0-2~)
Size: 4468
SHA256: d4225e5dee14000dd180ffc46f1e67c1479467c7091f9bc8765f7eae9243ab02
SHA1: 5316792ff312fe880cbd82e0ba11a4d342596b9c
MD5sum: e386d2b024e788b1d115b095d60dda8f
Description: Ruby library providing a class with no predefined methods
 BlankSlate provides an abstract base class with no predefined
 methods (except for __send__ and __id__).
 .
 BlankSlate is useful as a base class when writing classes that
 depend upon method_missing (e.g. dynamic proxies).
Homepage: http://github.com/masover/blankslate
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-blankslate/ruby-blankslate_2.1.2.4-4_all.deb

Package: ruby-bluecloth
Version: 2.2.0-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 226
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Suggests: libtidy-ruby
Breaks: libbluecloth-ruby (<< 2.1.0-1~), libbluecloth-ruby1.8 (<< 2.1.0-1~)
Replaces: libbluecloth-ruby (<< 2.1.0-1~), libbluecloth-ruby1.8 (<< 2.1.0-1~)
Provides: libbluecloth-ruby, libbluecloth-ruby1.8
Homepage: http://deveiate.org/projects/BlueCloth
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-bluecloth/ruby-bluecloth_2.2.0-3_armhf.deb
Size: 68078
SHA256: 8680bf6cf2f00aa55fc2a7a306bf0d7d991b53b6149aa46d979c16883ba36836
SHA1: 210b7339e067492902f4ec6e2ad25e13eb067f1e
MD5sum: 1bd0e6a6a84a982da4f172de94e5d033
Description: Ruby implementation of Markdown
 BlueCloth is a Ruby implementation of Markdown, a text-to-HTML conversion tool
 for web writers. Markdown allows you to write using an easy-to-read,
 easy-to-write plain text format, then convert it to structurally valid XHTML
 (or HTML).
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-bsearch
Version: 1.5-9
Installed-Size: 51
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libbsearch-ruby (<< 1.5-7~), libbsearch-ruby1.8 (<< 1.5-7~)
Provides: libbsearch-ruby, libbsearch-ruby1.8
Depends: ruby | ruby-interpreter
Conflicts: libbsearch-ruby (<< 1.5-7~), libbsearch-ruby1.8 (<< 1.5-7~)
Size: 6422
SHA256: 46c2fd983a7f73cc80f0724dc956f2d86506801a60d7ac329550e57eca2ea505
SHA1: a35872260b41f5761ba965fd1e0f76652162dacd
MD5sum: 44b98a3c6b9602ddca6ba42eacf5fd3b
Description: binary search library for Ruby
 It can search the FIRST or LAST occurrence in an array with a condition
 given by a block.
 The latest version of Ruby/Bsearch is available at
 http://namazu.org/~satoru/ruby-bsearch/
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-bsearch/ruby-bsearch_1.5-9_all.deb

Package: ruby-build
Version: 20120524-1
Installed-Size: 78
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: curl, build-essential, libreadline6-dev, zlib1g-dev
Recommends: rbenv, libssl-dev, libsqlite3-dev, libxml2-dev, libxslt-dev
Suggests: autoconf, automake, libtool, bison, git-core
Size: 15184
SHA256: e1024ee03c6b0d5e0c42ad727505adc7d8d0e4cf193bd0c715c8276ea66cd82a
SHA1: b05344231f408ee70fc37da56824c6eda10691ba
MD5sum: fc4f18c0ea2ba08cecbea38ee2bb90d4
Description: tool to compile and install different versions of Ruby
 ruby-build provides a simple way to compile and install different
 versions of Ruby.
 .
 ruby-build is great when you want to build Ruby versions that are not
 available via APT, for example if you need legacy or bleeding edge
 versions of Ruby.
 .
 Combined with rbenv, ruby-build lets you easily install and switch
 between different implementations of Ruby, such as MRI, JRuby, REE
 and others.
Homepage: https://github.com/sstephenson/ruby-build
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-build/ruby-build_20120524-1_all.deb

Package: ruby-builder
Version: 3.0.0-3
Installed-Size: 96
Maintainer: Marc Dequènes (Duck) 
Architecture: all
Replaces: libbuilder-ruby (<< 3.0.0-1~), libbuilder-ruby1.8 (<< 3.0.0-1~), libbuilder-ruby1.9.1 (<< 3.0.0-1~)
Provides: libbuilder-ruby, libbuilder-ruby1.8, libbuilder-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-blankslate
Breaks: libbuilder-ruby (<< 3.0.0-1~), libbuilder-ruby1.8 (<< 3.0.0-1~), libbuilder-ruby1.9.1 (<< 3.0.0-1~)
Size: 17920
SHA256: 2f6db636bd297a9ccb8f51743b57e97b6b653c59a7230a58807e99e7332d14e6
SHA1: 7d12be70b1702a1f74dc8601c4e5e884e6203e8b
MD5sum: e4123d1a430f97d96fc7ddd185d7c550
Description: Ruby library to facilitate programatic generation of XML markup
 Builder is a library that allows easy programmatic creation of XML markup. It
 gives you a Ruby API to build structures and methods to dump it to XML.
 Some of the features:
  * Easy generation by mapping method calls to elements, arguments to
    attributes and blocks to contents.
  * XML comment & processing instruction support.
  * XML entity declaration support.
  * Some support for XML namespaces.
  * Default escaping of string attribute values.
  * UTF-8 support.
Homepage: http://onestepback.org
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: implemented-in::ruby, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-builder/ruby-builder_3.0.0-3_all.deb

Package: ruby-bunny
Version: 0.7.8-1
Installed-Size: 231
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libbunny-ruby (<< 0.7.8-1~), libbunny-ruby-doc (<< 0.7.8-1~), libbunny-ruby1.8 (<< 0.7.8-1~), libbunny-ruby1.9.1 (<< 0.7.8-1~)
Provides: libbunny-ruby, libbunny-ruby-doc, libbunny-ruby1.8, libbunny-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libbunny-ruby (<< 0.7.8-1~), libbunny-ruby-doc (<< 0.7.8-1~), libbunny-ruby1.8 (<< 0.7.8-1~), libbunny-ruby1.9.1 (<< 0.7.8-1~)
Size: 39018
SHA256: aefbe77929243fa2b4e4bf6393cf9f75d9abbe6754f644cee358104cc3d9048b
SHA1: 142e28e84993bbd6c9a7e3721db4fe62690b54e0
MD5sum: ee2d0003fc7bb9ca542c3314f63902ca
Description: Synchronous Ruby AMQP client
 Bunny is an AMQP (Advanced Message Queuing Protocol) client, written in Ruby,
 that is intended to allow you to interact with AMQP-compliant message
 brokers/servers such as RabbitMQ in a synchronous fashion.
Homepage: http://github.com/ruby-amqp/bunny
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-bunny/ruby-bunny_0.7.8-1_all.deb

Package: ruby-cairo
Version: 1.12.2-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 488
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.12.0), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libcairo-ruby (<< 1.10.0-1~), libcairo-ruby1.8 (<< 1.10.0-1~)
Replaces: libcairo-ruby (<< 1.10.0-1~), libcairo-ruby1.8 (<< 1.10.0-1~)
Provides: libcairo-ruby, libcairo-ruby1.8
Homepage: https://github.com/rcairo/rcairo
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-cairo/ruby-cairo_1.12.2-2_armhf.deb
Size: 139618
SHA256: 83eb70d69cf5c86bcee793acad402dadc7947ef30071c3ca21a50e5b0212550f
SHA1: 9559c756b14bf78e4479a6f88784e9255fb5d3fd
MD5sum: 7a2f969387fb8d7970bc3d8179c9a4c1
Description: Cairo bindings for the Ruby language
 Cairo is a multi-platform library providing anti-aliased vector-based
 rendering for multiple target backends. This package contains libraries for
 using Cairo with the Ruby programming language. It is most likely useful in
 conjunction with Ruby bindings for other libraries such as GTK+.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-capistrano-colors
Version: 0.5.5-1
Installed-Size: 51
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, capistrano (>= 2.3.0)
Size: 5178
SHA256: c42e5c021570c8433f0ac6073fb9bdfdaf88b7af52c1ecc9dc124ff01c482e1c
SHA1: ad8865376d852fc89f097b9fa3a12e08e1777911
MD5sum: 7397ff0ddb695714cb3039e0ea8f724e
Description: Capistrano helper for colorizing its output
 capistrano_colors is a helper for Capistrano to colorize its output.
 This package is used with capistrano.
Homepage: http://github.com/stjernstrom/capistrano_colors
Section: ruby
Priority: extra
Filename: pool/main/r/ruby-capistrano-colors/ruby-capistrano-colors_0.5.5-1_all.deb

Package: ruby-cassiopee
Version: 0.1.9-1
Installed-Size: 81
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-text (>= 0.2.0)
Size: 11276
SHA256: 1719e06e1998da583a2ee57b2db7fd9217fe72a0ba4d9fe8d316fe77e72c660a
SHA1: d3242575b2fb61955a84a441fc57df7eebd70324
MD5sum: 51b2d0c11625bf67fd88a245aaf8830e
Description: Library to index strings and to search with exact or approximate match
 Cassiopee index one String and provide methods to search exact matches
  or approximate matches with Hammming and/or edit distance.
 .
 It supports ambiguous alphabet search and a basic cache implementation.
Homepage: https://github.com/osallou/cassiopee
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-cassiopee/ruby-cassiopee_0.1.9-1_all.deb

Package: ruby-childprocess
Version: 0.3.3-1
Installed-Size: 142
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-ffi (>= 1.0.6)
Size: 19612
SHA256: de5f90caf799f1eb7ad90d95d1210ca51fe055816889e281b443753a1aeaadf3
SHA1: ddd6fbbe727630f1003b2db8107bca6f9ed11f39
MD5sum: 3d0b7f2b60d88d47cff5f88240c8bcb6
Description: Ruby library for controlling external programs running in the background
 This library aims at being a simple and reliable solution for controlling
 external programs running in the background on any Ruby / OS combination.
Homepage: http://github.com/jarib/childprocess
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-childprocess/ruby-childprocess_0.3.3-1_all.deb

Package: ruby-chronic
Version: 0.6.7-2
Installed-Size: 138
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libchronic-ruby (<< 0.6.7-1~)
Provides: libchronic-ruby
Depends: ruby | ruby-interpreter
Breaks: libchronic-ruby (<< 0.6.7-1~)
Size: 27810
SHA256: 46d9ef52cdfbc72bcb275369ed4a6a72cbce6051979c4fb9e52ed74254ab1b33
SHA1: 7eee78381c09bb21f7623c34cb2de82fc63422cd
MD5sum: e02c5d672df7351a92a31574be01f409
Description: natural language date parser
 Chronic is a natural language date/time parser written in pure Ruby.
 .
 Chronic can parse a huge variety of date and time formats. Parsing is case
 insensitive and will handle common abbreviations and misspellings.
Homepage: http://chronic.rubyforge.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-chronic/ruby-chronic_0.6.7-2_all.deb

Package: ruby-chunky-png
Version: 1.2.5-2
Installed-Size: 286
Maintainer: Jonas Smedegaard 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 49824
SHA256: 5a4825aa62399f933302531d4748ef6d3e1a177703984205343d3742286177ea
SHA1: b15b49b66d5ccf71bf7a0e17301e10d442ed3ed9
MD5sum: b42d17bb9047c83269acc43dae5c3107
Description: pure ruby library for read/write, chunk-level access to PNG files
 This pure Ruby library can read and write PNG images without depending
 on an external image library, like RMagick. It tries to be memory
 efficient and reasonably fast.
 .
 Features:
  * Decodes any image that the PNG standard allows. This includes all
    standard color modes, all bit depths and all transparency,
    interlacing and filtering options.
  * Encodes images supports all color modes (true color, grayscale and
    indexed) and transparency for all these color modes. The best color
    mode will be chosen automatically, based on the amount of used
    colors.
  * R/W access to the image's pixels.
  * R/W access to all image metadata that is stored in chunks.
  * Memory efficient (uses a Fixnum, i.e. 4 or 8 bytes of memory per
    pixel, depending on the hardware)
  * Reasonably fast for Ruby standards, by only using integer math and a
    highly optimized saving routine.
  * Interoperability with RMagick if you really have to.
Homepage: https://github.com/wvanbergen/chunky_png/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-chunky-png/ruby-chunky-png_1.2.5-2_all.deb

Package: ruby-classifier
Version: 1.3.3-1
Installed-Size: 109
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-fast-stemmer
Recommends: ruby-gsl
Size: 14296
SHA256: b24dcca8517caad6dbe5d3ca63a1732c01e394d709528504a5425f77f2c287ad
SHA1: 282e307f972c82d81c365a9cc4f27efc0fde34a0
MD5sum: c6a227500a20356fb556c80ff4c70a50
Description: Ruby module to allow Bayesian and other types of classifications
 Classifier is a general module to allow Bayesian and other types of
 classifications.
 .
 This package provides Bayes classifier and Latent Semantic
 Indexer. Bayesian Classifiers are accurate, fast, and have modest
 memory requirements. Latent Semantic Indexing engines are not as fast
 or as small as Bayesian classifiers, but are more flexible, providing
 fast search and clustering detection as well as semantic analysis of
 the text that theoretically simulates human learning.
Homepage: http://classifier.rufy.com/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-classifier/ruby-classifier_1.3.3-1_all.deb

Package: ruby-cmdparse
Version: 2.0.5-1
Installed-Size: 25
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libcmdparse-ruby (<< 2.0.3-1~), libcmdparse2-ruby (<< 2.0.3-1~), libcmdparse2-ruby1.8 (<< 2.0.3-1~)
Provides: libcmdparse-ruby, libcmdparse2-ruby, libcmdparse2-ruby1.8
Depends: ruby | ruby-interpreter
Breaks: libcmdparse-ruby (<< 2.0.3-1~), libcmdparse2-ruby (<< 2.0.3-1~), libcmdparse2-ruby1.8 (<< 2.0.3-1~)
Size: 9224
SHA256: 909f21e7516bcb1f5d8fa4e362545fe430998bb554e4c439c4431e54b95606d0
SHA1: 22d67030b33d27ea3bd2cfdaf9fbf98c83d66658
MD5sum: 37f981ab5214864693fa9f1573b4b0e0
Description: Advanced command line parsing Ruby module supporting sub-commands
 Flexible Ruby library simplifying creation of shell commands using sub-commands,
 ie a la apt-get.
 It Uses optparse, part of the Ruby standard library.
Homepage: http://cmdparse.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-cmdparse/ruby-cmdparse_2.0.5-1_all.deb

Package: ruby-coderay
Source: coderay
Version: 1.0.6-2
Installed-Size: 386
Maintainer: Joshua Timberman 
Architecture: all
Replaces: libcoderay-ruby (<< 0.9.8-1~), libcoderay-ruby1.8 (<< 0.9.8-1~)
Provides: libcoderay-ruby, libcoderay-ruby1.8
Depends: ruby | ruby-interpreter
Breaks: libcoderay-ruby (<< 0.9.8-1~), libcoderay-ruby1.8 (<< 0.9.8-1~)
Size: 82828
SHA256: 2e312a3e7f83ec3825463c99fcd5546f054a16952c9c31ba4b85c8fc42b4bc87
SHA1: 3b40b7e3fb64bda84bd361dcf600e62376c3eddc
MD5sum: c979981f2e4b1ad3f19e6b28d25bbb0a
Description: Ruby library for syntax highlighting
 CodeRay is a Ruby library that can scan an input file or text in a web
 page and encode it as syntax highlighted HTML output.
 .
 CodeRay supports many input languages including: C, C++, CSS, Delphi, diff,
 Groovy, HTML, RHTML (Erb+HTML), Nitro-XHTML, Java, JavaScript, JSON, PHP,
 Python, Ruby, SQL and YAML.
 .
 CodeRay can output to HTML, JSON, XML, Yaml.
Homepage: http://coderay.rubychan.de
Tag: devel::prettyprint, implemented-in::ruby, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/c/coderay/ruby-coderay_1.0.6-2_all.deb

Package: ruby-color-tools
Version: 1.4.1-2
Installed-Size: 157
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libruby-color-tools (<< 1.4.0-2), libruby-color-tools-doc (<< 1.4.0-2), libruby-color-tools1.8 (<< 1.4.0-2)
Provides: libruby-color-tools, libruby-color-tools-doc, libruby-color-tools1.8
Depends: ruby | ruby-interpreter
Breaks: libruby-color-tools (<< 1.4.0-2), libruby-color-tools-doc (<< 1.4.0-2), libruby-color-tools1.8 (<< 1.4.0-2)
Size: 26486
SHA256: b513a727ae50e4f8bbc53c782968c00ca5ca168b59ebc26eab5a99595dccb389
SHA1: e823b6c5e5e2344e2e1e4a8f5278731cc7064470
MD5sum: cf31182eff5af552f7773a61b8c756b4
Description: Ruby library to provide RGB, CMYK and other colorspace support
 This Ruby library provides support to RGB, CMYK and other
 colorspaces. It offers 152 named RGB colors (184 with spelling
 variations) that are commonly supported and used in HTML, SVG and X11
 applications. A technique for generating a monochromatic contrasting
 palette is also included.
Homepage: http://rubyforge.org/projects/color
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-color-tools/ruby-color-tools_1.4.1-2_all.deb

Package: ruby-commandline
Version: 0.7.10-12
Installed-Size: 96
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libcommandline-ruby (<< 0.7.10-11~), libcommandline-ruby1.8 (<< 0.7.10-11~)
Provides: libcommandline-ruby, libcommandline-ruby1.8
Depends: ruby | ruby-interpreter, ruby-open4, ruby-text-format
Breaks: libcommandline-ruby (<< 0.7.10-11~), libcommandline-ruby1.8 (<< 0.7.10-11~)
Size: 19238
SHA256: 30bbf044e00eb611759228a44086e793076c63ed6d1cca6e6541139846f6ac97
SHA1: 7dabdd98cd2155c76e5c569d1a2632efb86ac488
MD5sum: 385a529f14bab274d1d82891d06d226d
Description: Ruby library to write command-line applications
 This library greatly simplifies the repetitive process of building a command
 line user interface for your applications. It's 'ruby-like' usage style
 streamlines application development so that even applications with numerous
 configuration options can be quickly put together. CommandLine automatically
 builds friendly usage and help screens that are nicely formatted for the user.
Homepage: http://rubyforge.org/projects/optionparser/
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: implemented-in::ruby, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-commandline/ruby-commandline_0.7.10-12_all.deb

Package: ruby-commandline-doc
Source: ruby-commandline
Version: 0.7.10-12
Installed-Size: 712
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libcommandline-ruby-doc (<< 0.7.10-11~)
Provides: libcommandline-ruby-doc
Depends: ruby-commandline
Breaks: libcommandline-ruby-doc (<< 0.7.10-11~)
Size: 168506
SHA256: ecaa8229c73bc40d615c7b38e65520b1858ce7f3cd38fcd435795935e377eddb
SHA1: 3a7f9295b17007e2759560b0296de59ab59ce1f1
MD5sum: 1af6b49cb93f8b8094a6a94d558890b6
Description: Ruby library to write command-line applications (documentation)
 This library greatly simplifies the repetitive process of building a command
 line user interface for your applications. It's 'ruby-like' usage style
 streamlines application development so that even applications with numerous
 configuration options can be quickly put together. CommandLine automatically
 builds friendly usage and help screens that are nicely formatted for the user.
 .
 This is the documentation package, with upstream documentation, as well as
 generated RDoc documentation.
Homepage: http://rubyforge.org/projects/optionparser/
Tag: devel::doc, devel::lang:ruby, role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/ruby-commandline/ruby-commandline-doc_0.7.10-12_all.deb

Package: ruby-compass
Version: 0.12.2~dfsg-2
Installed-Size: 2167
Maintainer: Micah Anderson 
Architecture: all
Replaces: libcompass-ruby (<< 0.11), libcompass-ruby1.8 (<< 0.11)
Provides: libcompass-ruby, libcompass-ruby1.8
Depends: ruby | ruby-interpreter, ruby-sass, ruby-chunky-png
Recommends: ruby-oily-png, ruby-fssm
Breaks: libcompass-ruby (<< 0.11), libcompass-ruby1.8 (<< 0.11)
Size: 906250
SHA256: 73d7a0c9cd267aa5ff8c6490ac3f87c8283c35a29bbe6d21b58d940a69e72e25
SHA1: 5b0c8e092de1f9034690387891f3d3b6a94cf4b5
MD5sum: d8897610cd4fada5bf5f30aa9ece1357
Description: Stylesheet Framework streamlining creation and maintainance of CSS
 Compass is a CSS authoring framework which uses the Sass stylesheet
 language to make writing stylesheets powerful and easy.
 .
 Compass provides ports of the best of breed css frameworks that you can
 use without forcing you to use their presentational class names.
Homepage: http://wiki.github.com/chriseppstein/compass/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: web
Priority: optional
Filename: pool/main/r/ruby-compass/ruby-compass_0.12.2~dfsg-2_all.deb

Package: ruby-contest
Version: 0.1.3-2
Installed-Size: 65
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 4568
SHA256: 1a858b10bcb2d7421fdd669cd1bffe6e72f21945b341790c4a439f4d019e85ec
SHA1: c3d7012103cd4b2bc3703cf475f3a5096df5f929
MD5sum: e7d28ccfb8dd776acd7ca2dd68f46675
Description: Ruby library to write declarative tests using nested contexts
 Contest allows you to write with Test::Unit declarative tests using
 nested contexts with a syntax similar to RSpec or Shoulda, and without
 performance penalties. This library is less than 100 lines of code and
 gets the job done.
Homepage: http://github.com/citrusbyte/contest
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-contest/ruby-contest_0.1.3-2_all.deb

Package: ruby-daemons
Version: 1.1.5-2
Installed-Size: 149
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libdaemons-ruby (<< 1.1.3-1~), libdaemons-ruby1.8 (<< 1.1.3-1~)
Provides: libdaemons-ruby, libdaemons-ruby1.8
Depends: ruby1.8 | ruby-interpreter
Breaks: libdaemons-ruby (<< 1.1.3-1~), libdaemons-ruby1.8 (<< 1.1.3-1~)
Size: 24970
SHA256: bed6ba160a071e14347fa92025147680839eec3b23854221ccbe674b20f44f35
SHA1: 1a7098388aaf4a9cdd98953ca7a90d6d4a60551f
MD5sum: e0d32c8029d28f977e11dfa775e89ede
Description: Ruby daemons library
 Daemons provides an easy way to wrap existing ruby scripts (for example
 a self-written server) to be run as a daemon and to be controlled by
 simple start/stop/restart commands.  daemons can also run and control
 blocks of Ruby code in a daemon process.
Homepage: http://daemons.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: implemented-in::ruby, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-daemons/ruby-daemons_1.1.5-2_all.deb

Package: ruby-dataobjects
Version: 0.10.8-4
Installed-Size: 188
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libdataobjects-ruby (<< 0.10.7-1~), libdataobjects-ruby1.8 (<< 0.10.7-1~), libdataobjects-ruby1.9.1 (<< 0.10.7-1~)
Provides: libdataobjects-ruby, libdataobjects-ruby1.8, libdataobjects-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-addressable (>= 2.1)
Recommends: ruby-dataobjects-mysql, ruby-dataobjects-postgres, ruby-dataobjects-sqlite3
Breaks: libdataobjects-ruby (<< 0.10.7-1~), libdataobjects-ruby1.8 (<< 0.10.7-1~), libdataobjects-ruby1.9.1 (<< 0.10.7-1~)
Size: 27202
SHA256: 6eb3ed2c959744cc0afecdf375888157220aebdab1c280677eb0eeebdfc6d8a5
SHA1: 141357fb62c157455cb389d004d9f14ba58fc6f3
MD5sum: 0479cf1194f961a164b8015a7ed61708
Description: Unified interface to various databases for Ruby
 The purpose of DataObjects.rb is to propose a single interface for Ruby to
 various databases. This package contains the core infrastructure. Adapters for
 databases (MySQL, PostgreSQL, SQLite) are available as separate packages.
Homepage: http://github.com/datamapper/do
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-dataobjects/ruby-dataobjects_0.10.8-4_all.deb

Package: ruby-dataobjects-mysql
Version: 0.10.8-4
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 175
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmysqlclient18 (>= 5.5.24+dfsg-1), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter, ruby-dataobjects (>= 0.10.8~)
Breaks: libdataobjects-mysql-ruby (<< 0.10.7-1~), libdataobjects-mysql-ruby1.8 (<< 0.10.7-1~), libdataobjects-mysql-ruby1.9.1 (<< 0.10.7-1~)
Replaces: libdataobjects-mysql-ruby (<< 0.10.7-1~), libdataobjects-mysql-ruby1.8 (<< 0.10.7-1~), libdataobjects-mysql-ruby1.9.1 (<< 0.10.7-1~)
Provides: libdataobjects-mysql-ruby, libdataobjects-mysql-ruby1.8, libdataobjects-mysql-ruby1.9.1
Homepage: https://github.com/datamapper/do
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-dataobjects-mysql/ruby-dataobjects-mysql_0.10.8-4_armhf.deb
Size: 42146
SHA256: a44933a80267c1d4db9321c12f6df28405f1541006933dc4f180798fd6187c62
SHA1: 974695d04d59e219a94fa4af614e64f56851de66
MD5sum: 58d6efb3b75bdb35f9d18beea5afe767
Description: MySQL adapter for ruby-dataobjects
 The purpose of DataObjects.rb is to propose a single interface for Ruby to
 various databases. This package provides the adapter for MySQL to this
 unified interface.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-dataobjects-postgres
Version: 0.10.8-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 178
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpq5, libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter, ruby-dataobjects (>= 0.10.8-1~)
Breaks: libdataobjects-postgres-ruby (<< 0.10.7-1~), libdataobjects-postgres-ruby1.8 (<< 0.10.7-1~), libdataobjects-postgres-ruby1.9.1 (<< 0.10.7-1~)
Replaces: libdataobjects-postgres-ruby (<< 0.10.7-1~), libdataobjects-postgres-ruby1.8 (<< 0.10.7-1~), libdataobjects-postgres-ruby1.9.1 (<< 0.10.7-1~)
Provides: libdataobjects-postgres-ruby, libdataobjects-postgres-ruby1.8, libdataobjects-postgres-ruby1.9.1
Homepage: https://github.com/datamapper/do
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-dataobjects-postgres/ruby-dataobjects-postgres_0.10.8-2_armhf.deb
Size: 40784
SHA256: 938dddeb66df4f6e857d20a7599c595369e941cf5613ce68fe52da32aa1c43b7
SHA1: 7da8283266c84701561ed63e6b3645d252b71511
MD5sum: ffd24ac403c48a94f61df0057e4d4db4
Description: PostgreSQL adapter for ruby-dataobjects
 The purpose of DataObjects.rb is to propose a single interface for Ruby to
 various databases. This package provides the adapter for PostgreSQL to this
 unified interface.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-dataobjects-sqlite3
Version: 0.10.8-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 159
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.5.9), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter, ruby-dataobjects (>= 0.10.8~)
Breaks: libdataobjects-sqlite3-ruby (<< 0.10.7-1~), libdataobjects-sqlite3-ruby1.8 (<< 0.10.7-1~), libdataobjects-sqlite3-ruby1.9.1 (<< 0.10.7-1~)
Replaces: libdataobjects-sqlite3-ruby (<< 0.10.7-1~), libdataobjects-sqlite3-ruby1.8 (<< 0.10.7-1~), libdataobjects-sqlite3-ruby1.9.1 (<< 0.10.7-1~)
Provides: libdataobjects-sqlite3-ruby, libdataobjects-sqlite3-ruby1.8, libdataobjects-sqlite3-ruby1.9.1
Homepage: https://github.com/datamapper/do
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-dataobjects-sqlite3/ruby-dataobjects-sqlite3_0.10.8-3_armhf.deb
Size: 35426
SHA256: 25c107b098a5dcd3e2c6122e3263f641083671a90bd3bedbe82153be79ad93f3
SHA1: cce968b91bed19d985b1ac3589a4e4d87a9d76d5
MD5sum: 9c7feaf3e63844b107f81eb947278be4
Description: SQLite adapter for ruby-dataobjects
 The purpose of DataObjects.rb is to propose a single interface for Ruby to
 various databases. This package provides the adapter for SQLite to this
 unified interface.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-dbd-mysql
Version: 0.4.4+gem2deb-1
Installed-Size: 120
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libdbd-mysql-ruby (<< 0.4.4+gem2deb-1~), libdbd-mysql-ruby1.8 (<< 0.4.4+gem2deb-1~), libdbd-mysql-ruby1.9.1 (<< 0.4.4+gem2deb-1~)
Provides: libdbd-mysql-ruby, libdbd-mysql-ruby1.8, libdbd-mysql-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-dbi, ruby-mysql
Breaks: libdbd-mysql-ruby (<< 0.4.4+gem2deb-1~), libdbd-mysql-ruby1.8 (<< 0.4.4+gem2deb-1~), libdbd-mysql-ruby1.9.1 (<< 0.4.4+gem2deb-1~)
Size: 37892
SHA256: 58659c97f901b4ccaa51fc16e00ba4537f5cebe23106b4d35d7a161827438c30
SHA1: f4397cc91b4137241358deea0c3e9c4aa68a12b3
MD5sum: 92e918267a38d5fadb0c8bae92ff8ecd
Description: Ruby/DBI MySQL driver
 Ruby/DBI is a database independent interface for accessing databases,
 similar to Perl's DBI.
 .
 This package contains Ruby/DBI driver for MySQL database.
Homepage: http://www.rubyforge.org/projects/ruby-dbi
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-dbd-mysql/ruby-dbd-mysql_0.4.4+gem2deb-1_all.deb

Package: ruby-dbd-odbc
Version: 0.2.5+gem2deb-1
Installed-Size: 100
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libdbd-odbc-ruby (<< 0.2.5+gem2deb-1~), libdbd-odbc-ruby1.8 (<< 0.2.5+gem2deb-1~)
Provides: libdbd-odbc-ruby, libdbd-odbc-ruby1.8
Depends: ruby | ruby-interpreter, ruby-dbi, ruby-odbc
Breaks: libdbd-odbc-ruby (<< 0.2.5+gem2deb-1~), libdbd-odbc-ruby1.8 (<< 0.2.5+gem2deb-1~)
Size: 32834
SHA256: d8c4ce92a7e28f4c58bea336c3e4ee93990cbb034f69ef6d5919a135a96e8da6
SHA1: 9b3efdc6ba805d4760570fe76ec345ee7484e0d2
MD5sum: c38c5b87259f337e320869ae64e0fbff
Description: Ruby/DBI ODBC driver
 Ruby/DBI is a database independent interface for accessing databases,
 similar to Perl's DBI.
 .
 This package contains Ruby/DBI driver for ODBC.
Homepage: http://www.rubyforge.org/projects/ruby-dbi
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-dbd-odbc/ruby-dbd-odbc_0.2.5+gem2deb-1_all.deb

Package: ruby-dbd-pg
Version: 0.3.9+gem2deb-1
Installed-Size: 132
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libdbd-pg-ruby (<< 0.3.9+gem2deb-1~), libdbd-pg-ruby1.8 (<< 0.3.9+gem2deb-1~), libdbd-pg-ruby1.9.1 (<< 0.3.9+gem2deb-1~)
Provides: libdbd-pg-ruby, libdbd-pg-ruby1.8, libdbd-pg-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-dbi, ruby-pg
Breaks: libdbd-pg-ruby (<< 0.3.9+gem2deb-1~), libdbd-pg-ruby1.8 (<< 0.3.9+gem2deb-1~), libdbd-pg-ruby1.9.1 (<< 0.3.9+gem2deb-1~)
Size: 41958
SHA256: 61e10050d5b615ea964351d33b60c9f25e546c51646b2ff505acd4aae09cb52b
SHA1: fa1716ff6b96adfa47b1acf95916afaf8291b790
MD5sum: 6b1d36582c58790ca54a6836b01c54c5
Description: Ruby/DBI PostgreSQL driver
 Ruby/DBI is a database independent interface for accessing databases,
 similar to Perl's DBI.
 .
 This package contains Ruby/DBI driver for PostgreSQL database.
Homepage: http://www.rubyforge.org/projects/ruby-dbi
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-dbd-pg/ruby-dbd-pg_0.3.9+gem2deb-1_all.deb

Package: ruby-dbd-sqlite3
Version: 1.2.5+gem2deb-1
Installed-Size: 100
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libdbd-sqlite3-ruby (<< 1.2.5+gem2deb-1~), libdbd-sqlite3-ruby1.8 (<< 1.2.5+gem2deb-1~), libdbd-sqlite3-ruby1.9.1 (<< 1.2.5+gem2deb-1~)
Provides: libdbd-sqlite3-ruby, libdbd-sqlite3-ruby1.8, libdbd-sqlite3-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-dbi, ruby-sqlite3
Breaks: libdbd-sqlite3-ruby (<< 1.2.5+gem2deb-1~), libdbd-sqlite3-ruby1.8 (<< 1.2.5+gem2deb-1~), libdbd-sqlite3-ruby1.9.1 (<< 1.2.5+gem2deb-1~)
Size: 33440
SHA256: 3835747b99aa932383f3092e3fbc15286eb44d8ea3daace101f93629880b1e6d
SHA1: 90d26edeb111ec5c79940782b7938acf6159703a
MD5sum: 0b9fe1f6327b6b6b803a7dd0fee7a39f
Description: Ruby/DBI SQLite3 driver
 Ruby/DBI is a database independent interface for accessing databases,
 similar to Perl's DBI.
 .
 This package contains Ruby/DBI driver for SQLite3 database.
Homepage: http://www.rubyforge.org/projects/ruby-dbi
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-dbd-sqlite3/ruby-dbd-sqlite3_1.2.5+gem2deb-1_all.deb

Package: ruby-dbi
Version: 0.4.5-1
Installed-Size: 1020
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libdbi-ruby (<< 0.4.5-1~), libdbi-ruby1.8 (<< 0.4.5-1~), libdbi-ruby1.9.1 (<< 0.4.5-1~)
Provides: libdbi-ruby, libdbi-ruby1.8, libdbi-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-deprecated (>= 3.0~)
Breaks: libdbi-ruby (<< 0.4.5-1~), libdbi-ruby1.8 (<< 0.4.5-1~), libdbi-ruby1.9.1 (<< 0.4.5-1~)
Size: 123176
SHA256: 6128ac25f4243da126a8b146218414459adc8ac1c9a9466b8cf61e9032143792
SHA1: 6e70dd70c977b6d0107c29e03f13c1bc3dd69d7c
MD5sum: 92d3c019ac6a069390e7089b640bd4ea
Description: Database Independent Interface for Ruby
 Ruby/DBI is a database independent interface for accessing databases,
 similar to Perl's DBI.
 .
 Database-specific drivers are located in ruby-dbd-* packages.
Homepage: http://www.rubyforge.org/projects/ruby-dbi
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-dbi/ruby-dbi_0.4.5-1_all.deb

Package: ruby-dbus
Version: 0.7.2-1
Installed-Size: 1210
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libdbus-ruby (<< 0.7.0-1~), libdbus-ruby1.8 (<< 0.7.0-1~)
Provides: libdbus-ruby, libdbus-ruby1.8
Depends: ruby | ruby-interpreter
Recommends: ruby-glib2
Breaks: libdbus-ruby (<< 0.7.0-1~), libdbus-ruby1.8 (<< 0.7.0-1~)
Size: 159594
SHA256: bff0ca1f7dd67e5a13f597472a63749e121d213ff52f6db44d43e4e3d483bc9d
SHA1: 1285b79d1940bee7b97fe38b67dc631cdb0ed562
MD5sum: 3f05a56c899919ee531fc42d846fef10
Description: Ruby binding for D-Bus
 This module allows Ruby programs to interface with the D-Bus message
 bus installed on newer Unix operating systems.
 .
 Ruby D-Bus currently supports the following features:
   * Connecting to local buses.
   * Accessing remote services, objects and interfaces.
   * Invoking methods on remote objects synchronously and asynchronously.
   * Catch signals on remote objects and handle them via callbacks.
   * Remote object introspection.
   * Walking object trees.
   * Creating services and registering them on the bus.
   * Exporting objects with interfaces on a bus for remote use.
   * Rubyish D-Bus object and interface syntax support that automatically
     allows for introspection.
   * Emitting signals on exported objects.
Homepage: https://trac.luon.net/ruby-dbus
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-dbus/ruby-dbus_0.7.2-1_all.deb

Package: ruby-debian
Source: ruby-debian (0.3.8)
Version: 0.3.8+b1
Architecture: armhf
Maintainer: Ryan Niebur 
Installed-Size: 136
Depends: libapt-pkg4.12 (>= 0.8.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: dpkg-ruby (<< 0.3.8~), libdpkg-ruby (<< 0.3.7~), libdpkg-ruby1.8 (<< 0.3.7~), libdpkg-ruby1.9.1 (<< 0.3.7~)
Replaces: dpkg-ruby (<< 0.3.8~), libdpkg-ruby (<< 0.3.7~), libdpkg-ruby1.8 (<< 0.3.7~), libdpkg-ruby1.9.1 (<< 0.3.7~)
Provides: dpkg-ruby, libdpkg-ruby, libdpkg-ruby1.8, libdpkg-ruby1.9.1
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-debian/ruby-debian_0.3.8+b1_armhf.deb
Size: 26456
SHA256: 92e5d76cba802e6fd526b79212422ffa27ecfe3313644b8955951a784200b300
SHA1: d1508ec971874a5aff553ed87e67608c0c23cbf7
MD5sum: 1712d4028146bc7360005e914c78a980
Description: ruby interface for dpkg
 This package provides Debian::Dpkg and Debian::DpkgDeb modules and
 Debian::Deb, Debian::Dsc, Debian::Archives, Debian::Sources,
 Debian::Packages and Debian::Status classes for ruby.
 .
 It also provides two scripts, dpkg-ruby (a dpkg-awk clone) and
 dpkg-checkdeps (a utility to check for deb dependency problems).
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-dep-selector
Version: 0.0.8-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 182
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgecode32, libstdc++6 (>= 4.4.0), libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Homepage: http://github.com/algorist/dep_selector
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-dep-selector/ruby-dep-selector_0.0.8-1_armhf.deb
Size: 41948
SHA256: 8dbae196d419131d719797838dfd64308f62a6f8c760b5dc81b89467f5f14f82
SHA1: 568a5f9b9897bc49b19e76d98d73f4a1129c20d7
MD5sum: 7ce4f57efe9de38c19be1f622763af82
Description: Given packages, versions, and a dependency graph, find a valid assignment of package versions
 Given packages, versions, and a dependency graph, find a valid assignment of package versions
Ruby-Versions: ruby1.9.1

Package: ruby-deprecated
Version: 3.0.0-1
Installed-Size: 52
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libdeprecated-ruby (<< 3.0.0-1~), libdeprecated-ruby1.8 (<< 3.0.0-1~), libdeprecated-ruby1.9.1 (<< 3.0.0-1~)
Provides: libdeprecated-ruby, libdeprecated-ruby1.8, libdeprecated-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libdeprecated-ruby (<< 3.0.0-1~), libdeprecated-ruby1.8 (<< 3.0.0-1~), libdeprecated-ruby1.9.1 (<< 3.0.0-1~)
Size: 4652
SHA256: 58783886c1ba279ba00f0f72b7d517418b48b81142983a5b3742fa0eb3700070
SHA1: 8fea0b9526c92f80a480e26ef42e384a628ddef6
MD5sum: 9e0125347a0fe4bfe2bb8ffeac0dcd11
Description: Library for handling deprecated code in Ruby
 Deprecated is a small library intended to aid developers working with
 deprecated code. The idea comes from the 'D' programming language, where
 developers can mark certain code as deprecated, and then allow/disallow the
 ability to execute deprecated code.
Homepage: https://github.com/erikh/deprecated
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-deprecated/ruby-deprecated_3.0.0-1_all.deb

Package: ruby-dev
Source: ruby-defaults
Version: 1:1.9.3
Installed-Size: 31
Maintainer: akira yamada 
Architecture: all
Depends: ruby1.9.1-dev (>= 1.9.3.194-1)
Size: 6082
SHA256: b458e5786afe6c91b39df31520dbb8cb4092005bdc93280e2a72af5d222fbb69
SHA1: 1ccec753f881dd45029b86376807f156ab720925
MD5sum: ac62b2e9bbef7b9a9dd6ba3fee10ed13
Description: Header files for compiling extension modules for Ruby (default version)
 Ruby is the interpreted scripting language for quick and easy
 object-oriented programming.  It has many features to process text
 files and to do system management tasks (as in perl).  It is simple,
 straight-forward, and extensible.
 .
 This package contains the header files and the mkmf library, necessary
 to make extension library for Ruby. It is also required to build
 many gems.
 .
 This package is a dependency package, which depends on Debian's default Ruby
 version (currently v1.9.3).
Homepage: http://www.ruby-lang.org/
Section: devel
Priority: optional
Filename: pool/main/r/ruby-defaults/ruby-dev_1.9.3_all.deb

Package: ruby-diff-lcs
Version: 1.1.3-1
Installed-Size: 128
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libdifflcs-ruby (<< 1.1.2-7~), libdifflcs-ruby1.8 (<< 1.1.2-7~), libdifflcs-ruby1.9.1 (<< 1.1.2-7~)
Provides: libdifflcs-ruby, libdifflcs-ruby1.8, libdifflcs-ruby1.9.1
Depends: ruby1.8 | ruby-interpreter
Breaks: libdifflcs-ruby (<< 1.1.2-7~), libdifflcs-ruby1.8 (<< 1.1.2-7~), libdifflcs-ruby1.9.1 (<< 1.1.2-7~)
Size: 23238
SHA256: bc5130f00f3943d19d7ab02bc6868bc07a62931a6bb8f6a6391ea2c3cc6c9116
SHA1: 86f3a28029ffa0c35d7628afd65409c55cfe7f4b
MD5sum: c71c738181fd45bee1f712e2eb842589
Description: McIlroy-Hunt longest common subsequence algorithm implementation
 Diff::LCS is a port of Algorithm::Diff that uses the McIlroy-Hunt
 longest common subsequence (LCS) algorithm to compute intelligent
 differences between two sequenced enumerable containers. The
 implementation is based on Mario I. Wolczko's Smalltalk version,
 and Ned Konz's Perl version (Algorithm::Diff).
Homepage: http://rubyforge.org/projects/ruwiki/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-diff-lcs/ruby-diff-lcs_1.1.3-1_all.deb

Package: ruby-directory-watcher
Version: 1.4.1-1
Installed-Size: 109
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 14324
SHA256: cb2a6d3f60e6df2125f70ccb16e108c657c1a6aa9b82f68a4e53055cda4473a1
SHA1: 50a01dad9462fe3ddc9ec8cb547c63ece84c0e13
MD5sum: 135ba5a4fd4a2c7a102ff754432918b4
Description: Watch directory/files and Generate events by file change
 The directory watcher operates by scanning a directory at some interval
 and generating a list of files based on a user supplied glob
 pattern. As the file list changes from one interval to the next, events
 are generated and dispatched to registered observers.
 .
 Three types of events are supported: added, modified, and removed.
Homepage: http://gemcutter.org/gems/directory_watcher
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-directory-watcher/ruby-directory-watcher_1.4.1-1_all.deb

Package: ruby-dnsruby
Source: dnsruby
Version: 1.53-1
Installed-Size: 586
Maintainer: Ondřej Surý 
Architecture: all
Replaces: libdns-ruby (<< 1.52-2~), libdns-ruby1.8 (<< 1.52-2~)
Provides: libdns-ruby, libdns-ruby1.8
Depends: ruby | ruby-interpreter
Conflicts: libdns-ruby (<< 1.52-2~), libdns-ruby-doc, libdns-ruby1.8 (<< 1.52-2~)
Size: 135240
SHA256: 42680669d4918323ed49629c65c0918650032ba7495d233842aeaf8f1a07777a
SHA1: 97f4c6e11eebbee5dd31ce259efff79ab57186d8
MD5sum: 1585254ddd77701e0a236eedfc95d850
Description: Ruby DNS and DNSSEC client library
 Dnsruby is a pure Ruby DNS client library. It provides a complete DNS
 client implementation, including full DNSSEC support.  Dnsruby is
 currently used in projects such as OpenDNSSEC and ISC's DLV service.
Homepage: http://rubyforge.org/projects/dnsruby/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/d/dnsruby/ruby-dnsruby_1.53-1_all.deb

Package: ruby-domain-name
Version: 0.5.3-1
Installed-Size: 255
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-unf
Size: 55112
SHA256: 0ffae61e52efed48bd519308b9ccae49ded2d6e26c91c8457bf5e74dd7ca4eff
SHA1: 795b902b5f56607b6e32ff8d6f5766348ca4c877
MD5sum: d83d154d855ba314e0e8b9e5eb46a2af
Description: Domain Name manipulation library for Ruby
 This is a Domain Name manipulation library for Ruby.
 .
 It can also be used for cookie domain validation based on the Public
 Suffix List.
Homepage: http://github.com/knu/ruby-domain_name
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-domain-name/ruby-domain-name_0.5.3-1_all.deb

Package: ruby-dust
Version: 0.1.7-2
Installed-Size: 53
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 6016
SHA256: 52049420261e6043205436e0aca5e6b73e0984a7d98db0cf6e161730a24935aa
SHA1: 0d492ab25ba302965cc91117cdf11baa15a44ea6
MD5sum: 150997a60c882a19db7c70f1422cc23e
Description: Dust allows an alternative test definition syntax
 Test::Unit contrib gem that provides additional features on top of
 the Test::Unit core.
 .
 Dust adds descriptive block syntax test definition.
Homepage: http://dust.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-dust/ruby-dust_0.1.7-2_all.deb

Package: ruby-eb
Version: 2.6-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 102
Depends: libc6 (>= 2.13-28), libeb16, zlib1g (>= 1:1.1.4), libruby1.8 (>= 1.8.7.352)
Breaks: libeb-ruby1.8 (<< 2.6-2~)
Replaces: libeb-ruby1.8 (<< 2.6-2~)
Provides: libeb-ruby1.8
Homepage: http://rubyeb.sourceforge.net/
Priority: extra
Section: ruby
Filename: pool/main/r/ruby-eb/ruby-eb_2.6-2_armhf.deb
Size: 22388
SHA256: 2abd614e01556ee49ac782414244274e4224d3f2bc9094fcb0190bcca35c092f
SHA1: 7926f86efb50aae5f360291d9f68e7fa77ba48eb
MD5sum: 433b8a182a3b98b019b29b34b953b9e3
Description: EB library interface for the Ruby
 Extension Library for EB Library
  which is for accessing
 CD-ROM books(EPWING/EB etc.).
Ruby-Versions: ruby1.8

Package: ruby-ecasound
Source: ecasound
Version: 2.9.0-1
Installed-Size: 105
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Replaces: libecasound-ruby1.8 (<< 2.8.1-6)
Provides: libecasound-ruby
Depends: ruby1.8, ecasound
Breaks: libecasound-ruby1.8 (<< 2.8.1-6)
Size: 65458
SHA256: 89405d574cd9ad2bfea85d31381be7ae886fcafd232f9dee8bbb68673306d947
SHA1: bbf182e3519dcda65b40844b9a222983283a1358
MD5sum: 780b4730315b6c64d82be1597ead7ec9
Description: multitrack-capable audio recorder and effect processor (ruby bindings)
 Ecasound is a software package designed for multitrack audio processing. It
 can be used for simple tasks like audio playback, recording and format
 conversions, as well as for multitrack effect processing, mixing, recording
 and signal recycling.
 .
 Ecasound supports a wide range of audio inputs, outputs and effect algorithms.
 Effects and audio objects can be combined in various ways, and their parameters
 can be controlled by operator objects like oscillators and MIDI-CCs.
 .
 This package provides ecasound's Ruby bindings.
Homepage: http://www.eca.cx/ecasound/
Section: ruby
Priority: extra
Filename: pool/main/e/ecasound/ruby-ecasound_2.9.0-1_all.deb

Package: ruby-echoe
Version: 4.6.3-1
Installed-Size: 56
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-rubyforge, rubygems (>= 1.3.6)
Size: 22018
SHA256: 84be37827d64582164ec8ed2b12328659ecfab53ef8c5cc08410723041bff6b6
SHA1: 18f4cbc6a578038ef4379bf0dda93fae489303d9
MD5sum: 87d90809c483db0ed2bea679861dc236
Description: Rubygems packaging tool that provides common Rake tasks
 A Rubygems packaging tool that provides Rake tasks for documentation,
 extension compiling, testing, and deployment.
 .
 This is similar to "Hoe", but implements a simpler model which may
 lead to a smaller, easier to maintain Rakefile, at the cost of some
 functionality.
Homepage: http://fauna.github.com/fauna/echoe/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-echoe/ruby-echoe_4.6.3-1_all.deb

Package: ruby-eim-xml
Version: 0.0.4-3
Installed-Size: 466
Maintainer: Youhei SASAKI 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 32274
SHA256: 043963cb7adb14af0ec9dbd0e9299204a6f7ebc19a352f18919f57b8ea49fd66
SHA1: f458c2e0cfe79eeb9eb63f903d3b0f6f0f4609e2
MD5sum: d3540b4d7873fe4cafefbae7b8afca69
Description: Easy IMplemented XML by Ruby
 EimXML is a library for constructing XML objects by Ruby.
Homepage: http://eimxml.rubyforge.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-eim-xml/ruby-eim-xml_0.0.4-3_all.deb

Package: ruby-em-http-request
Version: 0.3.0-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 140
Depends: libc6 (>= 2.13-28), libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter, ruby-eventmachine (>= 0.12.9), ruby-addressable (>= 2.0.0), ruby-escape-utils
Homepage: http://github.com/igrigorik/em-http-request
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-em-http-request/ruby-em-http-request_0.3.0-1_armhf.deb
Size: 28684
SHA256: a60c0361ff6823d84f0082f799a2134811737df5e46ab865a7409b58942a273b
SHA1: 65189988f766eecfb54f85bfa8579bf20a9aa144
MD5sum: 8563634c0ba8a7fdb1780a7e51ca5c8b
Description: EventMachine based, async HTTP Request client
 em-http-client is an asynchronous HTTP client based on EventMachine
 with support for:
 .
  * Asynchronous HTTP API for single & parallel request execution
  * Keep-Alive and HTTP pipelining support
  * Auto-follow 3xx redirects with max depth
  * Automatic gzip & deflate decoding
  * Streaming response processing
  * Streaming file uploads
  * HTTP proxy and SOCKS5 support
  * Basic Auth & OAuth
  * Connection-level & Global middleware support
Ruby-Versions: ruby1.9.1

Package: ruby-erubis
Version: 2.7.0-2
Installed-Size: 1229
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: erubis (<< 2.7.0-1~), erubis-doc (<< 2.7.0-1~), liberubis-ruby (<< 2.7.0-1~), liberubis-ruby1.8 (<< 2.7.0-1~), liberubis-ruby1.9.1 (<< 2.7.0-1~)
Provides: erubis, erubis-doc, liberubis-ruby, liberubis-ruby1.8, liberubis-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: erubis (<< 2.7.0-1~), erubis-doc (<< 2.7.0-1~), liberubis-ruby (<< 2.7.0-1~), liberubis-ruby1.8 (<< 2.7.0-1~), liberubis-ruby1.9.1 (<< 2.7.0-1~)
Size: 127940
SHA256: d452417d4f987ca6bfee2af3a3abb293e9881fe110d3197d93561bc6ab1cc83e
SHA1: 445eb47905cb8e25f43b500a94f4ffd387b0508a
MD5sum: 56fff18e22b6baecf16c39c57f25c459
Description: fast and extensible eRuby implementation which supports multi-language
 Erubis is a very fast eRuby implementation that features:
  * Multi-language support (Ruby/PHP/C/Java/Scheme/Perl/Javascript)
  * Auto escaping support
  * Auto trimming spaces around '<% %>'
  * Embedded pattern changeable (default '<% %>')
  * Enable to handle Processing Instructions (PI) as embedded pattern
  * Context object available and easy to combine eRuby template with YAML file
  * Print statement available
  * Easy to extend and customize in subclass
  * Ruby on Rails support
Homepage: http://www.kuwata-lab.com/erubis/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-erubis/ruby-erubis_2.7.0-2_all.deb

Package: ruby-escape-utils
Version: 0.2.4-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 112
Depends: libc6 (>= 2.13-28), libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Homepage: http://github.com/brianmario/escape_utils
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-escape-utils/ruby-escape-utils_0.2.4-3_armhf.deb
Size: 18216
SHA256: aa42989238eff7be07f7a4c26c366ee4471b4064e8893e53cff1f8ea25bd148e
SHA1: cb11edec872f64eece3771d4bf038f52847947df
MD5sum: 27e28ba0d8bc5c2faa59233cbb286c26
Description: Faster string escaping routines for your web apps
 escape_utils provides fast HTML escaping of string.  It has
 monkey-patches for Rack::Utils, CGI, URI, ERB::Util and Haml and
 ActionView which speed up their escaping.
 .
 It's assumed that all input is UTF-8 encoded.
Ruby-Versions: ruby1.9.1

Package: ruby-event-loop
Version: 0.3-5
Installed-Size: 87
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libevent-loop-ruby (<< 0.3-4~), libevent-loop-ruby1.8 (<< 0.3-4~)
Provides: libevent-loop-ruby, libevent-loop-ruby1.8
Depends: ruby | ruby-interpreter
Conflicts: libevent-loop-ruby (<< 0.3-4~), libevent-loop-ruby1.8 (<< 0.3-4~)
Size: 24180
SHA256: 68a193cd26b8d6326d709d70a4bacc3864442babf783a78b9475fda9875ea250
SHA1: 19a1ee640c30f1c0db2fee5c76da10497b282d37
MD5sum: 9c250eba3b8374248eeeac27137e43e3
Description: simple signal system and an event loop for Ruby
 This is a library for building event-based programs with Ruby. It contains
 both a simple signal system and an event loop that uses said signal system.
Homepage: http://brockman.se/2005/ruby-event-loop/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-event-loop/ruby-event-loop_0.3-5_all.deb

Package: ruby-eventmachine
Version: 0.12.10-3+deb7u1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 591
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libeventmachine-ruby (<< 0.12.10-1~), libeventmachine-ruby-doc (<< 0.12.10-1~), libeventmachine-ruby1.8 (<< 0.12.10-1~)
Replaces: libeventmachine-ruby (<< 0.12.10-1~), libeventmachine-ruby-doc (<< 0.12.10-1~), libeventmachine-ruby1.8 (<< 0.12.10-1~)
Provides: libeventmachine-ruby, libeventmachine-ruby-doc, libeventmachine-ruby1.8
Homepage: http://rubyeventmachine.com/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-eventmachine/ruby-eventmachine_0.12.10-3+deb7u1_armhf.deb
Size: 209298
SHA256: 5d42c497bed358023006c97b38035292b4feb9c193a4474370e7b311fccdb2b1
SHA1: 80040062a8f18473b6aa16f7d1c46639a92fb993
MD5sum: 3e401c9ac472b14b20aec3bd441f3f87
Description: Ruby/EventMachine library
 EventMachine implements a fast, single-threaded engine for arbitrary network
 communications. It's extremely easy to use in Ruby. EventMachine wraps all
 interactions with IP sockets, allowing programs to concentrate on the
 implementation of network protocols. It can be used to create both network
 servers and clients. To create a server or client, a Ruby program only needs
 to specify the IP address and port, and provide a Module that implements the
 communications protocol. Implementations of several standard network protocols
 are provided with the package, primarily to serve as examples. The real goal
 of EventMachine is to enable programs to easily interface with other programs
 using TCP/IP, especially if custom protocols are required.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-excon
Version: 0.13.4-1
Installed-Size: 83
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Recommends: ca-certificates
Size: 19124
SHA256: 0594c8bbb3d8fe4216e88de6a566228452b7adaff3f1759e1c756a7d944d0725
SHA1: 8160e400c09588456ed1659a235dcae850d5b2e2
MD5sum: 847e83d9b138ca46605adf392c5ee149
Description: Ruby library for creating fast, persistent, HTTP(S) connections
 Ruby library for creating fast HTTP(S) connections, it supports:
  * GET/POST/PUT/DELETE/HEAD/TRACE/OPTIONS/CONNECT methods via HTTP/1.1
  * HTTPS (SSL) connections
  * Proxy and keep-alive connections
  * Multitreading and streaming download
Homepage: https://github.com/geemus/excon
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-excon/ruby-excon_0.13.4-1_all.deb

Package: ruby-exif
Version: 0.1.2-20
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 99
Depends: libc6 (>= 2.13-28), libexif12, libruby1.8 (>= 1.8.7.352), libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libexif-ruby (<< 0.1.2-17~), libexif-ruby1.8 (<< 0.1.2-17~), libexif-ruby1.9.1 (<< 0.1.2-17~)
Replaces: libexif-ruby (<< 0.1.2-17~), libexif-ruby1.8 (<< 0.1.2-17~), libexif-ruby1.9.1 (<< 0.1.2-17~)
Provides: libexif-ruby, libexif-ruby1.8, libexif-ruby1.9.1
Priority: extra
Section: ruby
Filename: pool/main/r/ruby-exif/ruby-exif_0.1.2-20_armhf.deb
Size: 19164
SHA256: 1d23672b1280922859d8b24e76170c43601c6be75da94f4a21339cb012a1d0bd
SHA1: 1d4bba84199b24b398ae1c5aa662c8f5692ac139
MD5sum: 7c784be43a90ae7f3d0236925ec94942
Description: Ruby library for EXIF tag parsing
 ruby-exif is a library for parsing, editing, and saving EXIF data.
 You can retrieve useful information from EXIF tags contained in
 images created by recording equipments(for example, digital camera).
 .
 ruby-exif provides a simple interface to this library.

Package: ruby-extlib
Version: 0.9.15-3
Installed-Size: 174
Maintainer: Bryan McLellan 
Architecture: all
Replaces: libextlib-ruby (<< 0.9.15-1~), libextlib-ruby-doc (<< 0.9.15-1~), libextlib-ruby1.8 (<< 0.9.15-1~), libextlib-ruby1.9.1 (<< 0.9.15-1~)
Provides: libextlib-ruby, libextlib-ruby-doc, libextlib-ruby1.8, libextlib-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libextlib-ruby (<< 0.9.15-1~), libextlib-ruby-doc (<< 0.9.15-1~), libextlib-ruby1.8 (<< 0.9.15-1~), libextlib-ruby1.9.1 (<< 0.9.15-1~)
Size: 35582
SHA256: bf2ac87e0e17a46ec5583f4007e9dede358360d17c5a7be716b941a44fdf68fa
SHA1: ff0b3141b7f2df240b8307ceb05d624851c34974
MD5sum: c9a0ee978f40a2e45d5f811d048dc958
Description: general Ruby class extensions for DataMapper and Merb
 This library provides a number of lightweight Ruby class extensions
 extracted from DataMapper. Examples include a Mash class, which is a
 Hash with indifferent access and an added blank? method to most
 standard variable classes.
Homepage: http://rubyforge.org/projects/extlib/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: extra
Filename: pool/main/r/ruby-extlib/ruby-extlib_0.9.15-3_all.deb

Package: ruby-facets
Version: 2.9.2-1
Installed-Size: 694
Maintainer: Marc Dequènes (Duck) 
Architecture: all
Replaces: libfacets-ruby (<< 2.9.2-1~), libfacets-ruby1.8 (<< 2.9.2-1~), libfacets-ruby1.9.1 (<< 2.9.2-1~)
Provides: libfacets-ruby, libfacets-ruby1.8, libfacets-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libfacets-ruby (<< 2.9.2-1~), libfacets-ruby1.8 (<< 2.9.2-1~), libfacets-ruby1.9.1 (<< 2.9.2-1~)
Size: 186664
SHA256: 147393a20c44a9ac041b99aa5a34503e8921c2f676dafa81fe7fbb6a7794ff9a
SHA1: 4d21b8227d366272bc81fe2639b46da683177659
MD5sum: 38301eb14aa0f1cf8757954229d770cd
Description: extensive additions and extensions library for Ruby
 Ruby Facets is the single largest collection of core extension
 methods and standard library additions available for the Ruby
 programming language.
 .
 The core library extend existing base classes with lot's of
 convenient methods and new base objects (Binding, Functor,
 Stackable, ...).
 .
 In addition to the core libraries, Facets provides dozens of
 additional classes, modules, mixins and light-weight frameworks.
 These solid libraries provide a general-purpose set of
 components commonly useful across a variety of applications
 (Dictionary, LinkedList, PQueue, Random, ...).
Homepage: http://rubyworks.github.com/facets
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-facets/ruby-facets_2.9.2-1_all.deb

Package: ruby-facets-doc
Source: ruby-facets
Version: 2.9.2-1
Installed-Size: 4413
Maintainer: Marc Dequènes (Duck) 
Architecture: all
Replaces: libfacets-ruby-doc (<< 2.9.2-1~)
Provides: libfacets-ruby-doc
Suggests: doc-base
Breaks: libfacets-ruby-doc (<< 2.9.2-1~)
Size: 406912
SHA256: 969999a8e0617a56adfbf617be763888879a13a2dea0a1785e652c7f04a21db0
SHA1: 93cb8e1f954c82617a0a905639cbefe86dcd8b1f
MD5sum: 39f2a4038e22a359927ab2a8796ba55b
Description: extensive additions and extensions library for Ruby -- API documentation
 This is the Rdoc-generated documentation for Facets API.
Homepage: http://rubyworks.github.com/facets
Section: doc
Priority: optional
Filename: pool/main/r/ruby-facets/ruby-facets-doc_2.9.2-1_all.deb

Package: ruby-fakefs
Version: 0.4.0-1
Installed-Size: 75
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libfakefs-ruby (<< 0.4.0-1~), libfakefs-ruby1.8 (<< 0.4.0-1~), libfakefs-ruby1.9.1 (<< 0.4.0-1~)
Provides: libfakefs-ruby, libfakefs-ruby1.8, libfakefs-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libfakefs-ruby (<< 0.4.0-1~), libfakefs-ruby1.8 (<< 0.4.0-1~), libfakefs-ruby1.9.1 (<< 0.4.0-1~)
Size: 11262
SHA256: c997202b576b6c6e1d92e54579c451591d19baebb241a8cb0e5b57edda8315b2
SHA1: 8a0e104e6d9575be6ca5c83a4c1453660d5417ba
MD5sum: 4a5641b3ab34c569d8d68acf4468db13
Description: Fake file system to be used in unit tests
 FakeFS allows you to unit-test file system calls without actually touching the
 file system. File, Dir and FileUtils calls are redirected to a fake file system
 without requiring to use any mocking library thus making it faster and easier
 to test file system calls.
Homepage: http://github.com/defunkt/fakefs
Ruby-Versions: ruby1.8
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-fakefs/ruby-fakefs_0.4.0-1_all.deb

Package: ruby-fast-gettext
Version: 0.6.8-1
Installed-Size: 152
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Suggests: ruby-activerecord
Size: 28124
SHA256: 6e54a1b88a2ecac6334b4c9c96e1094be2d6320b83e9ba4d3f5d516f615c3de5
SHA1: 12666232fc8a042655fa7e7e9e941d4ef423cdca
MD5sum: 90ea764b442a871dd6beb574234af534
Description: Fast GetText implementation for Ruby
 FastGettext provides fast, memory-efficient, and thread-safe
 internationalization API similar to GNU GetText. In addition to performance
 improvements over original Ruby GetText, its capabilities include support for
 multiple backends and advanced extensibility. Translations can be loaded from
 po, mo, yaml files or, if ActiveRecord is available, from databases.
Homepage: https://github.com/grosser/fast_gettext
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-fast-gettext/ruby-fast-gettext_0.6.8-1_all.deb

Package: ruby-fast-stemmer
Version: 1.0.1-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 103
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Conflicts: ruby-stemmer
Homepage: http://github.com/romanbsd/fast-stemmer
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-fast-stemmer/ruby-fast-stemmer_1.0.1-1_armhf.deb
Size: 8648
SHA256: ec9b7d31c796ef61fe465398280477d00e82cf2b831900e7667b3686089a2083
SHA1: 1b984d03c3521929d950bd3016a6eeda476bfa76
MD5sum: dc8f1b8313b9411e5e6aa0af19acf992
Description: Fast Porter stemmer based on a C version of algorithm for Ruby
 Fast-stemmer is simply a wrapping around multithreaded Porter stemming
 algorithm.
 .
 This gem adds a String::stem method, and it's in order of magnitude
 faster (and uses much less memory) than the pure Ruby implementation of
 stemmer.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-fast-xs
Version: 0.8.0-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 104
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: ruby-hpricot (<< 0.8.6-3~)
Homepage: http://fast-xs.rubyforge.org/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-fast-xs/ruby-fast-xs_0.8.0-3_armhf.deb
Size: 10912
SHA256: 83ee9bd88e2aeca578c5002253152795baa6e3a0ca37076a930eb10fd92c91c4
SHA1: c3d0c057dec3385ce4821da76634512f01e17345
MD5sum: 676680fbd787e44438e1c2266edc38e9
Description: ruby extension for escaping text
 fast_xs escapes text so it can be embedded more directly into XML and
 HTML without having to deal with character set issues.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-fastercsv
Version: 1.5.5-1
Installed-Size: 129
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 29224
SHA256: 50acd1b5867386c6a47394c34035449f3fa8f68f38883a520ad2bbbf53c74867
SHA1: 42488c688138e7b361b9f9a2a1bc770f70775113
MD5sum: 5a95b73b0dcf86d73497f87853274a1c
Description: Complete replacement to the CSV standard library for Ruby
 FasterCSV is intended as a complete replacement to the CSV standard library. It
 is significantly faster and smaller while still being pure Ruby code. It also
 strives for a better interface.
 .
 FasterCSV is intended to be used with ruby1.8 interpreter, as ruby1.9
 already provides its own version of FasterCSV.
Homepage: https://github.com/JEG2/faster_csv/
Ruby-Versions: ruby1.8
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-fastercsv/ruby-fastercsv_1.5.5-1_all.deb

Package: ruby-feedparser
Version: 0.7-2
Installed-Size: 116
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libfeedparser-ruby (<< 0.7-2~), libfeedparser-ruby-doc (<< 0.7-2~), libfeedparser-ruby1.8 (<< 0.7-2~)
Provides: libfeedparser-ruby, libfeedparser-ruby-doc, libfeedparser-ruby1.8
Depends: ruby1.8 | ruby-interpreter
Conflicts: libfeedparser-ruby (<< 0.7-2~), libfeedparser-ruby-doc (<< 0.7-2~), libfeedparser-ruby1.8 (<< 0.7-2~)
Size: 16584
SHA256: 2ea5e631d57c3f4678fcd623305e27db095daac58e6358f5e995905c9fee84f3
SHA1: 1478631b0b0170277d832cc80497b6edc2d213e4
MD5sum: ec1935c3187e2096e9f38436a02f0ade
Description: Ruby library to parse ATOM/RSS feeds
 Ruby-feedparser is a library allowing Ruby developers to parse RSS and
 ATOM feeds.
Homepage: http://home.gna.org/ruby-feedparser/
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: implemented-in::ruby, role::shared-lib, works-with-format::xml:rss
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-feedparser/ruby-feedparser_0.7-2_all.deb

Package: ruby-feedtools
Version: 0.2.29+dfsg1-5
Installed-Size: 1712
Maintainer: Marc Dequènes (Duck) 
Architecture: all
Replaces: libfeedtools-ruby (<< 0.2.29+dfsg1-5~), libfeedtools-ruby1.8 (<< 0.2.29+dfsg1-5~), libfeedtools-ruby1.9.1 (<< 0.2.29+dfsg1-5~)
Provides: libfeedtools-ruby, libfeedtools-ruby1.8, libfeedtools-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-builder, ruby-uuidtools, ruby-hpricot, ruby-activerecord
Breaks: libfeedtools-ruby (<< 0.2.29+dfsg1-5~), libfeedtools-ruby1.8 (<< 0.2.29+dfsg1-5~), libfeedtools-ruby1.9.1 (<< 0.2.29+dfsg1-5~)
Size: 199466
SHA256: abb3dc1945867332bcc061fd5779ecf491211b61b5d4718c16a150100bda72e7
SHA1: 0b9b260110e123e777543fee84ce969eeefa594e
MD5sum: 2db10b33027030898baad4f7cc868a01
Description: Parsing, generation, and caching system for xml news feeds.
 FeedTools is a library for handling rss, atom, and cdf parsing,
 generation, and translation as well as caching.
Homepage: http://rubyforge.org/projects/feedtools/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-feedtools/ruby-feedtools_0.2.29+dfsg1-5_all.deb

Package: ruby-feedtools-doc
Source: ruby-feedtools
Version: 0.2.29+dfsg1-5
Installed-Size: 49304
Maintainer: Marc Dequènes (Duck) 
Architecture: all
Replaces: libfeedtools-ruby-doc (<< 0.2.29+dfsg1-5~)
Provides: libfeedtools-ruby-doc
Suggests: doc-base
Breaks: libfeedtools-ruby-doc (<< 0.2.29+dfsg1-5~)
Size: 41011976
SHA256: b1dac3c945416e6c45546b174a825ea4a9b37ec56020cf612afb371c9617ae21
SHA1: 8219e7f7c2c7b8799b8183b7b9a9da7f5fe0f804
MD5sum: bbdc48f740062d5c167fb160c04866b0
Description: Parsing, generation, and caching system for xml news feeds.
 FeedTools is a library for handling rss, atom, and cdf parsing,
 generation, and translation as well as caching.
 .
 This is the Rdoc-generated documentation for the FeedTools API.
Homepage: http://rubyforge.org/projects/feedtools/
Tag: role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/ruby-feedtools/ruby-feedtools-doc_0.2.29+dfsg1-5_all.deb

Package: ruby-ferret
Version: 0.11.8.4+debian-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 1914
Depends: ruby | ruby-interpreter, libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0)
Breaks: libferret-ruby (<< 0.11.6-3~), libferret-ruby1.8 (<< 0.11.6-3~)
Replaces: libferret-ruby (<< 0.11.6-3~), libferret-ruby1.8 (<< 0.11.6-3~)
Provides: libferret-ruby, libferret-ruby1.8
Homepage: https://github.com/jkraemer/ferret
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-ferret/ruby-ferret_0.11.8.4+debian-2_armhf.deb
Size: 635374
SHA256: 7ad846b58891944c7f27a047658c177f5fdaae2997c6790de6b155b56d939842
SHA1: 2d09e0ced6b4b745b0474b59459f9884b6121f4a
MD5sum: ef11ae420a2056dc396dd4dac1a62270
Description: full text search engine library for Ruby
 Ferret is a high-performance, full-featured text search engine library written
 for Ruby, inspired by the Java Lucene Project.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-ffi
Version: 1.0.11debian-5
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 602
Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.10~rc10), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libffi-ruby (<< 1.0.9debian-1~), libffi-ruby1.8 (<< 1.0.9debian-1~), libffi-ruby1.9.1 (<< 1.0.9debian-1~)
Replaces: libffi-ruby (<< 1.0.9debian-1~), libffi-ruby1.8 (<< 1.0.9debian-1~), libffi-ruby1.9.1 (<< 1.0.9debian-1~)
Provides: libffi-ruby, libffi-ruby1.8, libffi-ruby1.9.1
Homepage: http://wiki.github.com/ffi/ffi
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-ffi/ruby-ffi_1.0.11debian-5_armhf.deb
Size: 124528
SHA256: 441fefd33a994597ff6eb3921e05ad2f054d89d0996a8512b80c54df371e6dd8
SHA1: 2bc8b4be458c7f5a2492222dc42cad701177c73d
MD5sum: 6a08b6a874df461d3d1fc7e429a71f53
Description: load dynamic libraries, bind functions from within ruby code
 Ruby-FFI is a ruby extension for programmatically loading dynamic
 libraries, binding functions within them, and calling those functions
 from Ruby code. Moreover, a Ruby-FFI extension works without changes
 on Ruby and JRuby. Discover why should you write your next extension
 using Ruby-FFI here[http://wiki.github.com/ffi/ffi/why-use-ffi].
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-fftw3
Version: 0.4-4
Architecture: armhf
Maintainer: Youhei SASAKI 
Installed-Size: 92
Depends: libc6 (>= 2.13-28), libfftw3-3, libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby-narray
Homepage: http://ruby.gfd-dennou.org/products/ruby-fftw3/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-fftw3/ruby-fftw3_0.4-4_armhf.deb
Size: 10542
SHA256: df20797e8499b11b1714851ce231ca4027ed17bcf3139c5f78e07f408751f14f
SHA1: 3cb8f82a1787340c97360ee37db34c25e2657cec
MD5sum: 07a1800305e09c007a5c82e72e23c69b
Description: Ruby interface to the FFTW Ver.3 library
 Ruby-FFTW3 is the Ruby interface to the FFTW Ver.3 library.
 Features:
   - Use NArray, which is an efficient multi-dimensional numeric array
     class for Ruby
   - Multi-dimensional complex FFT.(Real data are coerced to complex)
   - Supports both double and single float transforms.
   - Not normalized as in FFTW

Package: ruby-fftw3-dbg
Source: ruby-fftw3
Version: 0.4-4
Architecture: armhf
Maintainer: Youhei SASAKI 
Installed-Size: 105
Depends: ruby-fftw3 (= 0.4-4)
Homepage: http://ruby.gfd-dennou.org/products/ruby-fftw3/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-fftw3/ruby-fftw3-dbg_0.4-4_armhf.deb
Size: 14396
SHA256: 62103e32c533bec637a2359ad77e5846f53927bda282b8985195e8d758ca20c1
SHA1: 0991449108ee93207173efb10f5ca927cdd3f6e6
MD5sum: b32242256528159f10cf7a97c3d2b755
Description: Ruby FFT library using FFTW Ver.3
 Ruby-FFTW3 is the Ruby interface to the FFTW Ver.3 library.
 Features:
   - Use NArray, which is an efficient multi-dimensional numeric array
     class for Ruby
   - Multi-dimensional complex FFT.(Real data are coerced to complex)
   - Supports both double and single float transforms.
   - Not normalized as in FFTW
 .
 This package is provided primarily to provide a backtrace with names
 in a debugger, this makes it somewhat easier to interpret core
 dumps.  Most people will not need this package.

Package: ruby-file-tail
Version: 1.0.10-1
Installed-Size: 97
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 13020
SHA256: a186c8e92bff5eef4f872fb75253233cdf143f02b6e3cbabd29dedf9af8190ef
SHA1: 692fa3f3ff18cb38b1fcd9bc76dad893a0196861
MD5sum: c6a77b71647deb59fdb0bd0b7ca79066
Description: Ruby library for following still-growing files
 Small ruby library that allows it to "tail" files in Ruby, including
 following a file that still is growing, like the unix command 'tail
 -f' can.
Homepage: http://www.ping.de/~flori
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-file-tail/ruby-file-tail_1.0.10-1_all.deb

Package: ruby-flexmock
Version: 0.9.0-1
Installed-Size: 119
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libflexmock-ruby (<< 0.9.0-1~), libflexmock-ruby1.8 (<< 0.9.0-1~), libflexmock-ruby1.9.1 (<< 0.9.0-1~)
Provides: libflexmock-ruby, libflexmock-ruby1.8, libflexmock-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libflexmock-ruby (<< 0.9.0-1~), libflexmock-ruby1.8 (<< 0.9.0-1~), libflexmock-ruby1.9.1 (<< 0.9.0-1~)
Size: 24446
SHA256: dd16a494c50002218fc96576a37ba482244921142b6ab27725a086355ccf4c4a
SHA1: 091fd5f8bbcad789e368e14d61634d6a8f17ea15
MD5sum: 00f51e950ec76427559d495a27969305
Description: simple and flexible mock objects for testing
 FlexMock is a extremely simple mock object class compatible
 with the Test::Unit framework.  Although the FlexMock's
 interface is simple, it is very flexible.
Homepage: https://github.com/jimweirich/flexmock
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-flexmock/ruby-flexmock_0.9.0-1_all.deb

Package: ruby-fog
Version: 1.3.1-2
Installed-Size: 3597
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-builder, ruby-excon (>= 0.13.0), ruby-formatador (>= 0.2.0), ruby-hmac, ruby-mime-types, ruby-multi-json (>= 1.0), ruby-net-scp (>= 1.0.4), ruby-net-ssh (>= 2.1.3), ruby-nokogiri (>= 1.5.0), rubygems
Recommends: ruby-libvirt
Size: 532396
SHA256: 9d102e0b64c4b1dd633c1592cc102b270acfa1db54c50d4c8dd3e10b54594174
SHA1: 15b13e44a54c4a6beea8f43e716abff1946d5121
MD5sum: 46e2025aced9f13ad8ff74359c63041a
Description: Ruby cloud services library
 Fog supports all major cloud providers including AWS, Rackspace, Linode,
 Blue Box, StormOnDemand, and many others.
 .
 Full support for most AWS services including EC2, S3, CloudWatch, SimpleDB, ELB
 and RDS.
Homepage: http://fog.io/
Ruby-Versions: ruby1.9.1 ruby1.8
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-fog/ruby-fog_1.3.1-2_all.deb

Package: ruby-formatador
Version: 0.2.1-1
Installed-Size: 52
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 5712
SHA256: c3fc6fb5bc18fe67a20939b77e3c84a1f18a032e19b8d023e3b4054bfc11dfa7
SHA1: 3b8b52350518801081e465e45060ebd6007a4c33
MD5sum: a9a57707ede371d3c48a86e9b05cd031
Description: Ruby STDOUT text formatting library
 Ruby STDOUT text formatting library that allows you to easily change the color
 or the indentation of the displayed text, create tables, progressbar and more.
Homepage: https://github.com/geemus/formatador
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-formatador/ruby-formatador_0.2.1-1_all.deb

Package: ruby-fssm
Version: 0.2.9-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 97
Depends: ruby | ruby-interpreter
Recommends: ruby-rb-inotify
Breaks: libfssm-ruby (<< 0.2.9-1~), libfssm-ruby1.8 (<< 0.2.9-1~), libfssm-ruby1.9.1 (<< 0.2.9-1~)
Replaces: libfssm-ruby (<< 0.2.9-1~), libfssm-ruby1.8 (<< 0.2.9-1~), libfssm-ruby1.9.1 (<< 0.2.9-1~)
Provides: libfssm-ruby, libfssm-ruby1.8, libfssm-ruby1.9.1
Homepage: https://github.com/ttilley/fssm
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-fssm/ruby-fssm_0.2.9-2_armhf.deb
Size: 10130
SHA256: 4cce42f41bc330999a9d8c0472b8cf3b500e71cbf80eaeaada432f6ac5883afd
SHA1: 12cf07de9da6d1275f627f9c11db7b172a304aed
MD5sum: abf6911adc87793ce407fab9be6e7fc5
Description: Ruby library firing events when the state of monitored paths change
 FFSM (File System State Monitor) keeps track of the state of any number of
 paths and will fire events when said state changes (create/update/delete).
 .
 FSSM  supports inotify on GNU/Linux and polling anywhere else.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-full
Source: ruby-defaults
Version: 1:1.9.3
Installed-Size: 31
Maintainer: akira yamada 
Architecture: all
Depends: ruby1.9.1-full (>= 1.9.3.194-1)
Size: 6010
SHA256: 53098974e2825ba477cc9291a27058bbe791911aebab07a0d6b445dba2150a97
SHA1: 58a0055144ef70367f688db4301a484cb876c0c7
MD5sum: f2a3d9cb5be2228e0357baa064513e32
Description: Ruby full installation (default version)
 For many good reasons, the Ruby programming language is split in many
 small different packages. Installing this package will make sure you have
 all the packages that add up to a full Ruby installation, with the exception
 of the Tcl/Tk bindings for Ruby, which are only recommended.
 .
 This package is a dependency package, which depends on Debian's default Ruby
 version (currently v1.9.3).
Homepage: http://www.ruby-lang.org/
Tag: devel::lang:ruby, devel::library, implemented-in::c,
 implemented-in::ruby, role::dummy, role::program, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-defaults/ruby-full_1.9.3_all.deb

Package: ruby-fusefs
Version: 0.7.0-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 111
Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libruby1.8 (>= 1.8.7.357-1), ruby1.8
Breaks: libfusefs-ruby (<< 0.7.0-1~), libfusefs-ruby1.8 (<< 0.7.0-1~)
Replaces: libfusefs-ruby (<< 0.7.0-1~), libfusefs-ruby1.8 (<< 0.7.0-1~)
Provides: libfusefs-ruby, libfusefs-ruby1.8
Homepage: http://github.com/duairc/fusefs
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-fusefs/ruby-fusefs_0.7.0-3_armhf.deb
Size: 25346
SHA256: 4e9884a4fcfe570d9b928da9f23c709ccd6b805114216227998b63b61f4d0d25
SHA1: 2118ab3def7ebfa80e16e27ab75f07e1fc7cb788
MD5sum: fab610c1ae127d0f6656fc2ec6a17fd7
Description: library to easily define a filesystem in Ruby using fuse
 This library provides a simple API to define a FUSE filesystem in Ruby.
 It is *NOT* a full implementation of the FUSE API.
 .
 This package currently only works with Ruby 1.8.
Ruby-Versions: ruby1.8

Package: ruby-gd
Version: 0.8.0-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 170
Depends: libc6 (>= 2.13-28), libgd2-xpm (>= 2.0.36~rc1~dfsg), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4), libruby1.8 (>= 1.8.7.357-1), ruby | ruby-interpreter
Breaks: libgd-ruby (<< 0.8.0-2~), libgd-ruby1.8 (<< 0.8.0-2~)
Replaces: libgd-ruby (<< 0.8.0-2~), libgd-ruby1.8 (<< 0.8.0-2~)
Provides: libgd-ruby, libgd-ruby1.8
Homepage: http://tam.0xfa.com/ruby-gd
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gd/ruby-gd_0.8.0-3_armhf.deb
Size: 46126
SHA256: f77c1668ce69a092d056b3a277037d74e6ece565cea4d214ad3bbcc1d370485d
SHA1: 8f35b7b9dde8fae8a6d8ad7f9e64dba3b01db449
MD5sum: 06650796ae8823c9e70d298ff60fe865
Description: Extension library to use GD graphics library from Ruby
 Ruby extension library for using Thomas Boutell's GD library.
 The GD library is a popular library for creating and manipulating image
 files.
Ruby-Versions: ruby1.8

Package: ruby-gdk-pixbuf2
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 149
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0), ruby-glib2 (= 1.1.3-2+b1)
Breaks: libgdk-pixbuf2-ruby (<< 0.90.9-1~), libgdk-pixbuf2-ruby1.8 (<< 0.90.9-1~)
Replaces: libgdk-pixbuf2-ruby (<< 0.90.9-1~), libgdk-pixbuf2-ruby1.8 (<< 0.90.9-1~)
Provides: libgdk-pixbuf2-ruby, libgdk-pixbuf2-ruby1.8
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gnome2/ruby-gdk-pixbuf2_1.1.3-2+b1_armhf.deb
Size: 44494
SHA256: cba7e4d072bf828375097862dbc0cfbee7f1d833538b730adca1f182f888fa7f
SHA1: 101e04d206ac9708c945889fa4a4678bad0b892e
MD5sum: bca7e9a5945f578ce6b3f7c25e391762
Description: Gdk-Pixbuf 2 bindings for the Ruby language
 Gdk-Pixbuf is a library for loading and rendering images. This package
 contains libraries for using Gdk-Pixbuf with the Ruby programming language.

Package: ruby-gdk-pixbuf2-dbg
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 199
Depends: ruby-gdk-pixbuf2 (= 1.1.3-2+b1)
Breaks: libgdk-pixbuf2-ruby1.8-dbg (<< 0.90.9-1~)
Replaces: libgdk-pixbuf2-ruby1.8-dbg (<< 0.90.9-1~)
Provides: libgdk-pixbuf2-ruby1.8-dbg
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-gnome2/ruby-gdk-pixbuf2-dbg_1.1.3-2+b1_armhf.deb
Size: 58636
SHA256: 437b6516eb06e63b4e974461733a4a73ac9eaaefee10b3c7f25128185124fd60
SHA1: ea4fd6794392cf149ac74cbde45231e3064403bb
MD5sum: 6fabacb26f7cf2616f04a083fe41034d
Description: Gdk-Pixbuf 2 bindings for the Ruby language (debugging symbols)
 Gdk-Pixbuf is a library for loading and rendering images. This package
 contains libraries for using Gdk-Pixbuf with the Ruby programming language.
 .
 This package contains the debugging symbols.

Package: ruby-gelf
Version: 1.3.2-2
Installed-Size: 79
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-json
Size: 8656
SHA256: bdffa772a1d0fb4368b1a16228fc2fa92e935d0594d85d9456e51d02ad389d6f
SHA1: 85e288aee2aa4c98e348eacc4284dd580d884dcf
MD5sum: f814f29cbb520559c87d268c408ef8dd
Description: Ruby GELF library - Graylog2 Extended Log Format library for Ruby
 Library to send Graylog2 Extended Log Format (GELF) messages to an Graylog2
 logging server.
 Supports plain-text, GELF messages and exceptions.
 .
 See http://graylog2.org/ for more information about Graylog2.
Homepage: http://github.com/Graylog2/gelf-rb
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-gelf/ruby-gelf_1.3.2-2_all.deb

Package: ruby-gettext
Version: 2.2.1-3
Installed-Size: 555
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libgettext-ruby (<< 2.2.1-3), libgettext-ruby1.8 (<< 2.2.1-3), libgettext-ruby1.9.1 (<< 2.2.1-3)
Provides: libgettext-ruby, libgettext-ruby1.8, libgettext-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-locale
Breaks: libgettext-ruby (<< 2.2.1-3), libgettext-ruby1.8 (<< 2.2.1-3), libgettext-ruby1.9.1 (<< 2.2.1-3)
Size: 67240
SHA256: f6998e50de79fb4e1082f6190b3c48346d25346b836be0a886301110087f689c
SHA1: 1675323df159b1a442ec653651ff2aa0a82a3ef1
MD5sum: 8560069e3d34a0593f496be687b3a415
Description: gettext for Ruby
 This Ruby package is a localization library and toolset modeled after
 GNU gettext, and with a similar simple API.
 .
 It provides:
  * rgettext - creates gettext-compatible PO files from Ruby scripts;
  * rmsgfmt - creates a MO file from a PO file;
  * rmsgmerge - extracts translatable strings from Ruby scripts.
Homepage: http://ruby-gettext.github.com/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-gettext/ruby-gettext_2.2.1-3_all.deb

Package: ruby-gettext-activerecord
Version: 2.1.0-5
Installed-Size: 385
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libgettext-activerecord-ruby (<< 2.1.0-4~), libgettext-activerecord-ruby-common (<< 2.1.0-4~), libgettext-activerecord-ruby1.8 (<< 2.1.0-4~), libgettext-activerecord-ruby1.9.1 (<< 2.1.0-4~)
Provides: libgettext-activerecord-ruby, libgettext-activerecord-ruby-common, libgettext-activerecord-ruby1.8, libgettext-activerecord-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-gettext (>= 2.1.0) | libgettext-ruby1.8 (>= 2.1.0) | libgettext-ruby1.9.1 (>= 2.1.0), ruby-activerecord (>= 2.3.2) | libactiverecord-ruby1.8 (>= 2.3.2) | libactiverecord-ruby1.9.1 (>= 2.3.2)
Breaks: libgettext-activerecord-ruby (<< 2.1.0-4~), libgettext-activerecord-ruby-common (<< 2.1.0-4~), libgettext-activerecord-ruby1.8 (<< 2.1.0-4~), libgettext-activerecord-ruby1.9.1 (<< 2.1.0-4~)
Size: 28394
SHA256: 9b2454da41bd32e9648a4c46cd5b213dba9ba027a67c04f908af6fd7e0f8e339
SHA1: dd9d4a2c988d006679b3a04a527e2a4a60c6ec4d
MD5sum: 81e5afbc13996df3047553ce9b34047c
Description: GetText localization for Ruby programs using ActiveRecord
 This package provides the localization for ActiveRecord-2.2 or later
 using Ruby-GetText-Package. This support consists in:
 .
 * Validation messages translation
 * Model translation
   * extract messages from models with the rake task.
Homepage: http://www.yotabanana.com/hiki/ruby-gettext.html
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-gettext-activerecord/ruby-gettext-activerecord_2.1.0-5_all.deb

Package: ruby-gettext-rails
Version: 2.1.0-3
Installed-Size: 1521
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libgettext-rails-ruby (<< 2.1.0-2~), libgettext-rails-ruby-doc (<< 2.1.0-2~), libgettext-rails-ruby1.8 (<< 2.1.0-2~)
Provides: libgettext-rails-ruby, libgettext-rails-ruby-doc, libgettext-rails-ruby1.8
Depends: ruby | ruby-interpreter, rails (>= 2.3.2), ruby-locale-rails (>= 2.0.5), ruby-gettext-activerecord (>= 2.1.0)
Breaks: libgettext-rails-ruby (<< 2.1.0-2~), libgettext-rails-ruby-doc (<< 2.1.0-2~), libgettext-rails-ruby1.8 (<< 2.1.0-2~)
Size: 135918
SHA256: 5c01402c26816f815b84e5ecd93696f6fa8926e9436f85219ddeb97a871752cf
SHA1: f3954540aa043a5b18a2c35514d7bc76e16271c5
MD5sum: be5563357ef563b736d7102e50183c02
Description: Gettext support for Rails >= 2.3
 This package provides the localization for Ruby on Rails-2.3 or later
 using Ruby-GetText package. Its main features include:
 .
  * Autodetect client locale using locale/locale_rails.
  * Easy maintenance of translations using the powerful gettext family tools.
  * Model translation using gettext_activerecord.
  * Localization for some helpers.
  * Works with other Rails I18n backends.
    * Note that gettext_rails is not the official Rails I18n backend.
  * Thread safe.
Homepage: http://gettext.rubyforge.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-gettext-rails/ruby-gettext-rails_2.1.0-3_all.deb

Package: ruby-gherkin
Version: 2.4.6-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 3792
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.352) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Homepage: http://github.com/cucumber/gherkin
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gherkin/ruby-gherkin_2.4.6-1_armhf.deb
Size: 624060
SHA256: d3c795c5197a29bf203f762fe778b976dd81cee1be62481ffe293e698f8c8252
SHA1: 7301cfaa00a6feeca4c7643cfd6601bb983013cd
MD5sum: 92a14263718d52d12d1f98000f46ec75
Description: lexer and parser for the Gherkin language in Ruby
 Gherkin is a language for writing software acceptance tests in an
 executable scripting language that looks like structured natural
 language. It was created in the context of the cucumber project.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-gio2
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 444
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0), ruby-glib2 (= 1.1.3-2+b1)
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gnome2/ruby-gio2_1.1.3-2+b1_armhf.deb
Size: 138828
SHA256: c7ed793ace5ca2747aabfd4aba76a2af84a7e0f6857850132473eff31b3a9e24
SHA1: 9ca7615db864fdaaa00a2ed1fc121488c3766188
MD5sum: fafce42edc430d4e3a659a4dc7172669
Description: GIO bindings for the Ruby language
 GIO is a I/O stack for GLIB/GTK+ applications. This package provides GIO
 bindings to be used in Ruby programs.

Package: ruby-gio2-dbg
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 1019
Depends: ruby-gio2 (= 1.1.3-2+b1)
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-gnome2/ruby-gio2-dbg_1.1.3-2+b1_armhf.deb
Size: 255026
SHA256: 36564d9ad9ac9822b1cd6d39d2da2b2c4441c1baeacec0f8f4db4f032f123c6a
SHA1: 0f0ca6da5bbf960f849f81840456695e5d758837
MD5sum: a22552f2c46ba7dd470f7a19dfe1ee74
Description: GIO bindings for the Ruby language (debugging symbols)
 GIO is a I/O stack for GLIB/GTK+ applications. This package provides GIO
 bindings to be used in Ruby programs.
 .
 This package provides debugging symbols.

Package: ruby-gir-ffi
Version: 0.3.1-2
Installed-Size: 263
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-indentation, ruby-ffi, libgirepository-1.0-1, libglib2.0-dev
Suggests: gir1.2-glib-2.0, gir1.2-gtk-3.0, gir1.2-webkit-1.0
Size: 43324
SHA256: 1e1a1f7f6e11f25eafc868046b4aa3f785c09248a11f33d87427425d27dc6b8d
SHA1: 72f949c271cf4dd42e2f14e1ced01549bc2e50b9
MD5sum: b60de8cee4785b39b8aa818af990e4ae
Description: FFI-based GObject binding using the GObject Introspection Repository
 GObject is an abstraction layer that allows programming
 with an object paradigm that is compatible with many
 languages.
 .
 This package contains the dynamic Ruby binding generator
 for libraries that support gobject-introspection, i. e.
 which ship a gir1.2-- package. With these
 packages, the libraries can be used from Ruby.
Homepage: http://www.github.com/mvz/ruby-gir-ffi
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-gir-ffi/ruby-gir-ffi_0.3.1-2_all.deb

Package: ruby-git
Version: 1.2.5-2
Installed-Size: 121
Maintainer: Michael Schutte 
Architecture: all
Replaces: libgit-ruby (<< 1.2.5-2), libgit-ruby1.8 (<< 1.2.5-2)
Provides: libgit-ruby, libgit-ruby1.8
Depends: ruby | ruby-interpreter, git-core
Breaks: libgit-ruby (<< 1.2.5-2), libgit-ruby1.8 (<< 1.2.5-2)
Size: 21722
SHA256: 72c14fecc63a9ad26f3f5c23637cf0de769a4446adc8a2b1b32cb3203b214d0b
SHA1: e57855a958a18a9331b1c89ae7773e0aba5f6eee
MD5sum: d64108035b5b13b216d9c2f4a9da496e
Description: Ruby interface to the Git revision control system
 Ruby/Git allows Ruby applications to access and manipulate Git
 repositories. It provides an object-oriented interface to Git’s data
 storage system and is capable of reading from and writing to the index,
 managing branches, or obtaining information about the history of a
 project.
Homepage: http://github.com/schacon/ruby-git
Ruby-Versions: ruby1.8
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-git/ruby-git_1.2.5-2_all.deb

Package: ruby-glib2
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 552
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libglib2-ruby (<< 0.90.9-1~), libglib2-ruby1.8 (<< 0.90.9-1~)
Replaces: libglib2-ruby (<< 0.90.9-1~), libglib2-ruby1.8 (<< 0.90.9-1~)
Provides: libglib2-ruby, libglib2-ruby1.8
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gnome2/ruby-glib2_1.1.3-2+b1_armhf.deb
Size: 206112
SHA256: 0bdabb18b6e3d9cd98586d4d3856d13c0db99909bd7b526c1781e672a2937055
SHA1: ee3d6fb3b472254b4cfe5c6cde8b8e35d75b54a8
MD5sum: 1c33d0d3968b5a0d15b88ee7f5030513
Description: Glib 2 bindings for the Ruby language
 Glib is a useful general-purpose C library, notably used by GTK+ and GNOME.
 This package contains libraries for using Glib with the Ruby programming
 language. It is most likely useful in conjunction with Ruby bindings for
 other libraries such as GTK+.

Package: ruby-glib2-dbg
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 1090
Depends: ruby-glib2 (= 1.1.3-2+b1)
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-gnome2/ruby-glib2-dbg_1.1.3-2+b1_armhf.deb
Size: 378864
SHA256: 89b0299c379ea3789d3be42c03c8cd2ba260d7f62437565e69472876dffd69c9
SHA1: bbb86d1d3f5e656a239844caed9c9f5bf8286675
MD5sum: 54742eddc00d315d8401b80f6b101c12
Description: Glib 2 bindings for the Ruby language (debugging symbols)
 Glib is a useful general-purpose C library, notably used by GTK+ and GNOME.
 This package contains libraries for using Glib with the Ruby programming
 language. It is most likely useful in conjunction with Ruby bindings for
 other libraries such as GTK+.
 .
 This package contains the debugging symbols.

Package: ruby-globalhotkeys
Version: 0.3.2-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 157
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libx11-6, libruby1.8 (>= 1.8.7.352), ruby1.8 | ruby-interpreter, libgtk2-ruby1.8
Conflicts: libglobalhotkeys-ruby (<< 0.3.2-1~), libglobalhotkeys-ruby1.8 (<< 0.3.2-1~)
Replaces: libglobalhotkeys-ruby (<< 0.3.2-1~), libglobalhotkeys-ruby1.8 (<< 0.3.2-1~)
Provides: libglobalhotkeys-ruby, libglobalhotkeys-ruby1.8
Homepage: http://zik.rubyforge.org/rghk/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-globalhotkeys/ruby-globalhotkeys_0.3.2-3_armhf.deb
Size: 42534
SHA256: 62e4fe7a4a80b5c36bcd658d38d45ca3271e7ef333c1650df9c69d2bf8d8d248
SHA1: 1837d6a109d4b2a4c85a52a5109569284d9f22d7
MD5sum: 492abfa5b548126c4ee138f3af9545a0
Description: Ruby binding to define global hotkeys
 This librairy aims to provide easy global hotkeys setting for ruby
 applications so users can interact with an application even if it does not
 have the focus.
 This package is built for Ruby 1.8.
 Documentations is provided in the rdoc format.
Ruby-Versions: ruby1.8

Package: ruby-gnome2
Version: 1.1.3-2
Architecture: all
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 33
Depends: ruby-rsvg2, ruby-vte, ruby-atk, ruby-gdk-pixbuf2, ruby-pango, ruby-gio2, ruby-goocanvas, ruby-poppler, ruby-gtksourceview2, ruby-gstreamer
Conflicts: libgnomeprint2-ruby (<< 0.19.1-1), libgnomeprint2-ruby1.8 (<< 0.19.1-1), libgnomeprintui2-ruby (<< 0.19.1-1), libgnomeprintui2-ruby1.8 (<< 0.19.1-1), libgtksourceview1-ruby (<< 0.19.1-1), libgtksourceview1-ruby1.8 (<< 0.19.1-1)
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gnome2/ruby-gnome2_1.1.3-2_all.deb
Size: 10274
SHA256: 449124bc560551075ae25cf76aabc3b734f313bd31ea63e9bc30380cbd059ef4
SHA1: d27142963f6f610a24bf21543b12cce691bda88f
MD5sum: 90e52090ddd6bf3365773c61c0302e19
Description: GNOME-related bindings for the Ruby language
 These bindings allow use of the GNOME developer platform using the Ruby
 programming language.
 .
 This is an empty package that depends on the various packages that provide
 the individual bindings.

Package: ruby-gnome2-dev
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 232
Depends: ruby-gnome2, ruby1.8-dev, ruby-pkg-config
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: optional
Section: libdevel
Filename: pool/main/r/ruby-gnome2/ruby-gnome2-dev_1.1.3-2+b1_armhf.deb
Size: 45898
SHA256: 84b3dd9fbeac509999d088f10ac0e16a658fdabcce743cde31ca3c3d1f661560
SHA1: 0b55965d63c1d960503fab4cd12bed220d973ca6
MD5sum: cd1212b152cd3c868097f1a4083a95c6
Description: GNOME-related bindings for the Ruby language (development files)
 These bindings allow use of the GNOME developer platform using the Ruby
 programming language.
 .
 This package contains development files required to build ruby-gnome2
 extensions.

Package: ruby-gnuplot
Version: 2.4.1-2
Installed-Size: 81
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libgnuplot-ruby (<< 2.3.6-1~), libgnuplot-ruby1.8 (<< 2.3.6-1~)
Provides: libgnuplot-ruby, libgnuplot-ruby1.8
Depends: ruby | ruby-interpreter, gnuplot
Breaks: libgnuplot-ruby (<< 2.3.6-1~), libgnuplot-ruby1.8 (<< 2.3.6-1~)
Size: 13282
SHA256: 2b0e8c200e42feb2fd351f3a3563af5bfaffdd62c1f60037759ddc7626e02b17
SHA1: c805e3caf46e4a8bbe125aa3490dd44cc42ab482
MD5sum: f56cc5ff4988ed2d0d6f779afafecaa1
Description: Ruby library to interact with gnuplot
 Gnuplot is a program that has a rich language for the generation of plots.
 Ruby Gnuplot implements a Domain-Specific Language (DSL) to control
 gnuplot from Ruby scripts.
Homepage: https://github.com/rdp/ruby_gnuplot
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-gnuplot/ruby-gnuplot_2.4.1-2_all.deb

Package: ruby-god
Version: 0.12.1-1
Architecture: armhf
Maintainer: Sebastien Delafond 
Installed-Size: 266
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.352) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: god
Replaces: god
Provides: god
Homepage: http://god.rubyforge.org/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-god/ruby-god_0.12.1-1_armhf.deb
Size: 55804
SHA256: 17aae9e448a5722ffe94207693ed978ecddb61c3a31fd7f8240fb88209accefd
SHA1: ab8b728792e4bf2b41b2f541fa90241464141d4b
MD5sum: 91579b51bb3e856eb35bbcbf04788c3b
Description: Fully configurable process monitoring
 God is an easy to configure, easy to extend monitoring framework
 written in Ruby.
 .
 Keeping your server processes and tasks running should be a simple
 part of your deployment process. God aims to be the simplest, most
 powerful monitoring application available.
Ruby-Versions: ruby1.9.1

Package: ruby-goocanvas
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 195
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgoocanvas3 (>= 0.15), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0), ruby-gtk2 (= 1.1.3-2+b1)
Breaks: libgoocanvas-ruby (<< 0.90.9-1~), libgoocanvas-ruby1.8 (<< 0.90.9-1~)
Replaces: libgoocanvas-ruby (<< 0.90.9-1~), libgoocanvas-ruby1.8 (<< 0.90.9-1~)
Provides: libgoocanvas-ruby, libgoocanvas-ruby1.8
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gnome2/ruby-goocanvas_1.1.3-2+b1_armhf.deb
Size: 58116
SHA256: 08e77ab4f38697eea1004a9991695ad443f567827e7eef40451f95ad30b10081
SHA1: 4a7649c3dcbb264b194b676349bf48d333f7bab8
MD5sum: 7c6ec3bcd27a9653a7125c03fa719604
Description: GooCanvas bindings for the Ruby language
 GooCanvas is a canvas widget for GTK+ that uses the cairo 2D library.
 This package contains libraries for using the GtkSourceView2 text widget
 with syntax highlighting and other features typical of a source code
 editor in the Ruby programming language.

Package: ruby-goocanvas-dbg
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 225
Depends: ruby-goocanvas (= 1.1.3-2+b1)
Breaks: libgoocanvas-ruby1.8-dbg (<< 0.90.9-1~)
Replaces: libgoocanvas-ruby1.8-dbg (<< 0.90.9-1~)
Provides: libgoocanvas-ruby1.8-dbg
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-gnome2/ruby-goocanvas-dbg_1.1.3-2+b1_armhf.deb
Size: 61410
SHA256: d9338d741f938108225a765fb8f1d473bed1958829d710d4f5d3431c5c167ec6
SHA1: 8f892e99df6b4b913a9ed60e406ca89a6726af90
MD5sum: 9ca896ebc9b357f1b02de261653c2ede
Description: GooCanvas bindings for the Ruby language (debugging symbols)
 GooCanvas is a canvas widget for GTK+ that uses the cairo 2D library.
 This package contains libraries for using the GtkSourceView2 text widget
 with syntax highlighting and other features typical of a source code
 editor in the Ruby programming language.
 .
 This package contains the debugging symbols.

Package: ruby-gpgme
Version: 2.0.0-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 529
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libgpgme-ruby (<< 2.0.0-1~), libgpgme-ruby1.8 (<< 2.0.0-1~), libgpgme-ruby1.9.1 (<< 2.0.0-1~)
Replaces: libgpgme-ruby (<< 2.0.0-1~), libgpgme-ruby1.8 (<< 2.0.0-1~), libgpgme-ruby1.9.1 (<< 2.0.0-1~)
Provides: libgpgme-ruby, libgpgme-ruby1.8, libgpgme-ruby1.9.1
Homepage: http://github.com/ueno/ruby-gpgme
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gpgme/ruby-gpgme_2.0.0-2_armhf.deb
Size: 189214
SHA256: cd3a5218258eb5345c7eb6138a533271b68460e0d12d983dff49b2017ca2a298
SHA1: 9662098faf44e788eeb9c726288cee0c62f638b8
MD5sum: 5bf3bf38200c0443c7222e5b22ff0d9c
Description: Ruby GPGME binding
 GnuPG Made Easy (GPGME) is a library designed to make access to GnuPG
 easier for applications. It provides a High-Level Crypto API for
 encryption, decryption, signing, signature verification and key
 management.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-graffiti
Version: 2.2-1
Installed-Size: 148
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, syncache, ruby-sequel, ruby-pg | ruby-mysql | ruby-sqlite3
Suggests: ruby-sequel-pg
Size: 33034
SHA256: 7a054383db35481f95afa7c102add32b4d66ccceeb1300468af65a56ef58c0c2
SHA1: c803bf546c92ea8eef3c1c466c5b4b1f5b1a9479
MD5sum: 6ee5df8090a45c753d2b1a3c1e6cfaed
Description: Relational RDF store for Ruby
 Graffiti is an RDF store based on dynamic translation of RDF queries into SQL.
 Graffiti allows one to map any relational database schema into RDF semantics
 and vice versa, to store any RDF data in a relational database.
 .
 Graffiti uses Sequel to connect to database backend and provides a DBI-like
 interface to run RDF queries in Squish query language from Ruby applications.
Homepage: http://samizdat.nongnu.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-graffiti/ruby-graffiti_2.2-1_all.deb

Package: ruby-grib
Version: 0.2.2-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 190
Depends: libc6 (>= 2.13-28), libgrib-api-1.9.16 (>= 1.9.16), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter, libgrib-api-tools
Homepage: http://ruby.gfd-dennou.org/products/rb-grib/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-grib/ruby-grib_0.2.2-3_armhf.deb
Size: 21260
SHA256: 5e46dd8efddea76116d0b22dea23ac2d5a7c3833737207d96ed831cd926e8dd9
SHA1: fa1648ff25b922d9a0c0e6bff77b948fff0fe5d7
MD5sum: ecdeaf6fed02e407a6aaab2ef5aa81ae
Description: Ruby interface to the ECMWF GRIB API
 RUBY-GRIB is a Ruby library to handle GRIB(GRidded Binary) file, a
 mathematically concise data format commonly used in meteorology to
 store historical and forecast weather data.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-grib-dbg
Source: ruby-grib
Version: 0.2.2-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 131
Depends: ruby-grib (= 0.2.2-3)
Homepage: http://ruby.gfd-dennou.org/products/rb-grib/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-grib/ruby-grib-dbg_0.2.2-3_armhf.deb
Size: 22166
SHA256: ad661fd0af0a9507a9c6b13c91cd8e8396fa6654bfdd2b2e4e8dcef498e7a9bc
SHA1: 30cd0c42962f04f52520c2a4d845db59d8741073
MD5sum: aa6f2a0a1b62ebac2b992189eff1526e
Description: Ruby interface to the ECMWF GRIB API (debug symbol)
 RUBY-GRIB is a Ruby library to handle GRIB(GRidded Binary) file, a
 mathematically concise data format commonly used in meteorology to
 store historical and forecast weather data.
 .
 This package is primarily to provide a backtrace with names in a
 debugger, this make it somewhat easier to interpret core dumps. Most
 people will not need this package.

Package: ruby-gruff
Version: 0.3.6-6
Installed-Size: 164
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libgruff-ruby (<< 0.3.6-4~), libgruff-ruby-doc (<< 0.3.6-4~), libgruff-ruby1.8 (<< 0.3.6-4~)
Provides: libgruff-ruby, libgruff-ruby-doc, libgruff-ruby1.8
Depends: ruby | ruby-interpreter, ruby-rmagick
Breaks: libgruff-ruby (<< 0.3.6-4~), libgruff-ruby-doc (<< 0.3.6-4~), libgruff-ruby1.8 (<< 0.3.6-4~)
Size: 31288
SHA256: 3bba93f66d39714845f84aa5cde98023cb5f9538753edf20437ad8f0f021b720
SHA1: 9fbb5429869dcb1a60a73e609ea5565a6fc4ad65
MD5sum: 7205184fe5a643d8f7a3b2ba41aea65b
Description: Beautiful graphs for one or multiple datasets.
 Beautiful graphs for one or multiple datasets. Can be used on websites or
 in documents.
Homepage: http://nubyonrails.com/pages/gruff
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-gruff/ruby-gruff_0.3.6-6_all.deb

Package: ruby-gsl
Version: 1.14.7+dfsg-1
Architecture: armhf
Maintainer: Daigo Moriwaki 
Installed-Size: 3732
Depends: libc6 (>= 2.13-28), libgsl0ldbl (>= 1.9), libtamuanova-0.2 (>= 0.2), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.3~rc1), ruby1.8 | ruby-interpreter, plotutils, ruby-narray
Conflicts: libgsl-ruby (<< 1.14.7-1), libgsl-ruby1.8 (<< 1.14.7-1), libgsl-ruby1.9.1 (<< 1.14.7-1)
Replaces: libgsl-ruby (<< 1.14.7-1), libgsl-ruby1.8 (<< 1.14.7-1), libgsl-ruby1.9.1 (<< 1.14.7-1)
Provides: libgsl-ruby, libgsl-ruby1.8, libgsl-ruby1.9.1
Homepage: http://rb-gsl.rubyforge.org/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gsl/ruby-gsl_1.14.7+dfsg-1_armhf.deb
Size: 1023106
SHA256: ad1fe80b021b3f9ac21f99fdb4becc0eaedc8df6e65c50c04b753429daf0663e
SHA1: b993f123afa23e1d3a2ea346e4b6c96424c3b160
MD5sum: ebd0b437afc5e26699130937990137fc
Description: Ruby bindings for the GNU Scientific Library (GSL)
 The GNU Scientific Library (GSL) is a collection of data types and
 routines for numerical computing. Ruby/GSL is an interface to the GNU
 Scientific Library for the Ruby programming language.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-gsl-dbg
Source: ruby-gsl
Version: 1.14.7+dfsg-1
Architecture: armhf
Maintainer: Daigo Moriwaki 
Installed-Size: 721
Depends: ruby-gsl (= 1.14.7+dfsg-1)
Homepage: http://rb-gsl.rubyforge.org/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-gsl/ruby-gsl-dbg_1.14.7+dfsg-1_armhf.deb
Size: 165628
SHA256: d77bdc5b8554e503c5d62d84f4dc26fafe343b0fc638591fdbf0a0248a7b5ca3
SHA1: fe26d3500b9145f6679ee4b003f0cf187d61b37a
MD5sum: 34dac0e2cc05495196b091546cb8bc30
Description: Ruby bindings for the GNU Scientific Library (GSL)
 The GNU Scientific Library (GSL) is a collection of data types and
 routines for numerical computing. Ruby/GSL is an interface to the GNU
 Scientific Library for the Ruby programming language.
 .
 This package is provided primarily to provide a backtrace with names
 in a debugger, this makes it somewhat easier to interpret core
 dumps.  Most people will not need this package.

Package: ruby-gstreamer
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 313
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.16), libgstreamer0.10-0 (>= 0.10.15), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0), libxml2 (>= 2.6.27), ruby-gtk2 (= 1.1.3-2+b1)
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gnome2/ruby-gstreamer_1.1.3-2+b1_armhf.deb
Size: 103822
SHA256: b06901215e64f68469c40c97d03acdc09bbf24c5adc408a9ee624413b5513a73
SHA1: 7b6f9cb3f7f06d3df9d9f5c75fb77082bb04a797
MD5sum: 94f729ec9d6dfb3fbfab7e8894b9e6b1
Description: GStreamer bindings for the Ruby language
 GStreamer is a media processing framework with support for a wide variety of
 data sources, sinks, and formats through the use of dynamically loaded
 plugins. This package contains libraries for using GStreamer with the Ruby
 programming language.

Package: ruby-gstreamer-dbg
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 782
Depends: ruby-gstreamer (= 1.1.3-2+b1)
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-gnome2/ruby-gstreamer-dbg_1.1.3-2+b1_armhf.deb
Size: 257580
SHA256: e7a1ee0e8b6825d72dc9622df4249278d7defbb25b77005dfb6103aee90503c1
SHA1: 454eb5a387767057096af97815bb0a1ca70db27b
MD5sum: 6bd92d51d14bbaf84544b45df9e9dd83
Description: GStreamer bindings for the Ruby language (debugging symbols)
 GStreamer is a media processing framework with support for a wide variety of
 data sources, sinks, and formats through the use of dynamically loaded
 plugins. This package contains libraries for using GStreamer with the Ruby
 programming language.
 .
 This package contains the debugging symbols.

Package: ruby-gtk2
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 2426
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0), libx11-6, ruby-atk (= 1.1.3-2+b1), ruby-pango (= 1.1.3-2+b1), ruby-gdk-pixbuf2 (= 1.1.3-2+b1)
Conflicts: libgda-ruby1.8, libgtk-trayicon-ruby1.8
Breaks: libgtk2-ruby (<< 0.90.9-1~), libgtk2-ruby1.8 (<< 0.90.9-1~)
Replaces: libgtk-trayicon-ruby1.8, libgtk2-ruby (<< 0.90.9-1~), libgtk2-ruby1.8 (<< 0.90.9-1~)
Provides: libgtk2-ruby, libgtk2-ruby1.8
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gnome2/ruby-gtk2_1.1.3-2+b1_armhf.deb
Size: 919058
SHA256: 7cd968a836d72b09a0324c72c5ca2351f741c64a4a7615538fabfc3e1d1a46dc
SHA1: 78e3be7b7970a2a67c43f59e6c2e6e412c64f8a1
MD5sum: 8b69aabfdb4f6e1ef78c43beee07bd77
Description: GTK+ bindings for the Ruby language
 GTK+ is a library for creating graphical user interfaces. This package
 contains libraries for using GTK+ with the Ruby programming language.

Package: ruby-gtk2-dbg
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 4258
Depends: ruby-gtk2 (= 1.1.3-2+b1)
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-gnome2/ruby-gtk2-dbg_1.1.3-2+b1_armhf.deb
Size: 1392546
SHA256: 6025c5b633aab5ef36d4416bd84502178b848a1342906dd97eeecc25fd938dcd
SHA1: 15773178f829cf3cb90e1560f24942e77d1958bf
MD5sum: 43429bb4dd94a95ff05f02b737507e35
Description: GTK+ bindings for the Ruby language (debugging symbols)
 GTK+ is a library for creating graphical user interfaces. This package
 contains libraries for using GTK+ with the Ruby programming language.
 .
 This package contains the debugging symbols.

Package: ruby-gtksourceview2
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 123
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.0), libgtksourceview2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0), ruby-gtk2 (= 1.1.3-2+b1)
Breaks: libgtksourceview2-ruby (<< 0.90.9-1~), libgtksourceview2-ruby1.8 (<< 0.90.9-1~)
Replaces: libgtksourceview2-ruby (<< 0.90.9-1~), libgtksourceview2-ruby1.8 (<< 0.90.9-1~)
Provides: libgtksourceview2-ruby, libgtksourceview2-ruby1.8
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gnome2/ruby-gtksourceview2_1.1.3-2+b1_armhf.deb
Size: 26330
SHA256: beada27fb0fec62f98e6229f265a3d6dbaa6289330f52377cc21de192b17c006
SHA1: 28225fd9836aaa59d7e4e5009aad6e3a8c3a9bf3
MD5sum: 36ed0b88fd475696333c2fe02c982f21
Description: GtkSourceView2 bindings for the Ruby language
 GTKSourceView2 is a text widget that extends the standard GTK+ text widget.
 This package contains libraries for using the GtkSourceView2 text widget
 with syntax highlighting and other features typical of a source code
 editor in the Ruby programming language.

Package: ruby-gtksourceview2-dbg
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 213
Depends: ruby-gtksourceview2 (= 1.1.3-2+b1)
Breaks: libgtksourceview2-ruby1.8-dbg (<< 0.90.9-1~)
Replaces: libgtksourceview2-ruby1.8-dbg (<< 0.90.9-1~)
Provides: libgtksourceview2-ruby1.8-dbg
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-gnome2/ruby-gtksourceview2-dbg_1.1.3-2+b1_armhf.deb
Size: 58094
SHA256: b1be08744e60d216b176883d97dc453291770447755f764d27900a6a9a952997
SHA1: a8eb01d0c154d54d2541a49fae8d51efcf73f445
MD5sum: 11c578f1cf362fb03154e1857ea5d65a
Description: GtkSourceView2 bindings for the Ruby language (debugging symbols)
 GTKSourceView2 is a text widget that extends the standard GTK+ text widget.
 This package contains libraries for using the GtkSourceView2 text widget
 with syntax highlighting and other features typical of a source code
 editor in the Ruby programming language.
 .
 This package contains the debugging symbols.

Package: ruby-haml
Version: 3.1.6-1
Installed-Size: 1347
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libhaml-ruby (<< 3.1.4-1~), libhaml-ruby-doc (<< 3.1.4-1~), libhaml-ruby1.8 (<< 3.1.4-1~)
Provides: libhaml-ruby, libhaml-ruby-doc, libhaml-ruby1.8
Depends: ruby | ruby-interpreter
Recommends: ruby-sass
Suggests: rails (>= 2.0.0)
Breaks: libhaml-ruby (<< 3.1.4-1~), libhaml-ruby-doc (<< 3.1.4-1~), libhaml-ruby1.8 (<< 3.1.4-1~)
Size: 241376
SHA256: aab64d189434da665b32da0c783a53a90a736666a0dcf45f6a885645da552f1f
SHA1: 2372510db66f1d593d9159448317bbb42979cf51
MD5sum: 9c318601d980d661d12bdc2b3640abcf
Description: Elegant, structured XHTML/XML templating engine
 Haml (HTML Abstraction Markup Language) is a layer on top of XHTML or XML
 that's designed to express the structure of XHTML or XML documents in a
 non-repetitive, elegant, easy way, using indentation rather than closing
 tags and allowing Ruby to be embedded with ease.
 .
 It was originally envisioned as a plugin for Ruby on Rails, but it can
 function as a stand-alone templating engine.
Homepage: http://haml-lang.com/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-haml/ruby-haml_3.1.6-1_all.deb

Package: ruby-heckle
Version: 1.4.3-4
Installed-Size: 111
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libheckle-ruby (<< 1.4.3-3~), libheckle-ruby1.8 (<< 1.4.3-3~)
Provides: libheckle-ruby, libheckle-ruby1.8
Depends: ruby1.8, ruby-ruby2ruby, ruby-parsetree
Breaks: libheckle-ruby (<< 1.4.3-3~), libheckle-ruby1.8 (<< 1.4.3-3~)
Size: 17694
SHA256: 95343a944a9aea5bff7e23dbadf271170c812e507109a285df4b14e40a75ed05
SHA1: 2fc0ba7c186cc1ddc5deafe5f35dcb24efc82f84
MD5sum: 982c3fa61b1ba60e29a2f46b73ff281a
Description: Mutation tester (unit test sadism(tm)/test pentester) for Ruby
 Heckle is a mutation tester. It modifies your code and runs your
 tests to make sure they fail. The idea is that if code can be changed
 and your tests don't notice, either that code isn't being covered or
 it doesn't do anything.
 .
 Think about it as pen-testing. It's like hiring a white-hat hacker to
 try to break into your server and making sure you detect it. You
 learn the most by trying to break things and watching the outcome in
 an act of unit test sadism.
Homepage: http://www.rubyforge.org/projects/seattlerb
Ruby-Versions: ruby1.8
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-heckle/ruby-heckle_1.4.3-4_all.deb

Package: ruby-hiera
Version: 1.0.0~rc3-1
Installed-Size: 105
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Recommends: puppet-common (>= 2.6.2), mcollective-common (>= 2.0.0)
Size: 16340
SHA256: 5ddcb83804a26eba85bc2c0ac5128819ba9761becb8c6a5779d98e4cf5b06e74
SHA1: c7ffa13d42a7df68d9aadb6a8af285dde286190f
MD5sum: 905d315f29fee248be168849c0ef924f
Description: Light weight hierarcical data store
 ruby-hiera is a simple pluggable hierarchical database. It can be
 used to store various information and therefor is a good fit for
 the representation of infrastructure information.
 It can be used to querying multiple data backends e.g. YAML or Puppet.
Homepage: https://github.com/puppetlabs/hiera/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-hiera/ruby-hiera_1.0.0~rc3-1_all.deb

Package: ruby-hiera-puppet
Version: 1.0.0~rc1-2
Installed-Size: 104
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-hiera (>= 1.0.0~)
Recommends: puppet-common (>= 2.7.17~)
Size: 6684
SHA256: 3c89ad0463409442a45304b223d270afda14c21c3eb8df14d228f1e1d897244c
SHA1: 0da854d36f16fc26eb1b49e7f70675208e89f35f
MD5sum: 6f80ba7eafb72510926a09b969fb2a42
Description: data backend for ruby-hiera and puppet query function interface
 ruby-hiera-puppet is a data backend for ruby-hiera that can query the
 internal Puppet scope for data. It also includes a Puppet function that
 works like extlookup() but uses the various Hiera backends.
Homepage: https://github.com/puppetlabs/hiera-puppet
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-hiera-puppet/ruby-hiera-puppet_1.0.0~rc1-2_all.deb

Package: ruby-highline
Version: 1.6.13-2
Installed-Size: 142
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libhighline-ruby (<< 1.6.2-1~), libhighline-ruby-doc (<< 1.6.2-1~), libhighline-ruby1.8 (<< 1.6.2-1~), libhighline-ruby1.9.1 (<< 1.6.2-1~)
Provides: libhighline-ruby, libhighline-ruby-doc, libhighline-ruby1.8, libhighline-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libhighline-ruby (<< 1.6.2-1~), libhighline-ruby-doc (<< 1.6.2-1~), libhighline-ruby1.8 (<< 1.6.2-1~), libhighline-ruby1.9.1 (<< 1.6.2-1~)
Size: 49330
SHA256: 934b7a681f3588b342288a3567bea2b1b53d658234b6188592a182c9ae0db5d4
SHA1: 4ea632c4e8cb0edb432c3732667287e4a7309732
MD5sum: 36b44ab5ba237176820bc165391160a0
Description: High-level interactive IO Ruby library
 High-level IO library that provides validation, type conversion, and more for
 command-line interfaces. HighLine also includes a complete menu system that
 can crank out anything from simple list selection to complete shells with just
 minutes of work.
Homepage: http://highline.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-highline/ruby-highline_1.6.13-2_all.deb

Package: ruby-hike
Version: 1.2.1-2
Installed-Size: 79
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 7680
SHA256: 2702372344b588d1ce67ae8f61d77e1ac0b2d2b8309fdc2cf4fb21818d139c4e
SHA1: 0c87229b4814a1137aff1ca2e91539d533373bfd
MD5sum: e617327acf519e0612bf45b8618be721
Description: Ruby library to find files in a set of paths
 Hike is a Ruby library for finding files in a set of paths.
Homepage: http://github.com/sstephenson/hike
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-hike/ruby-hike_1.2.1-2_all.deb

Package: ruby-hikidoc
Version: 0.0.6-1
Installed-Size: 108
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 16232
SHA256: 7fa8a1766a359357a908edee0ecbda100e8bc9ed2459b9c8982fe640159921a4
SHA1: 41b01517a9f25aedf67c82c1760889b9faee383d
MD5sum: 23da0806cef85b12ee05a2ae9a4d2b34
Description: 'HikiDoc' is a text-to-HTML conversion tool for web writers.
 'HikiDoc' is a text-to-HTML conversion tool for web writers. HikiDoc
 allows you to write using an easy-to-read, easy-to-write plain text
 format, then convert it to structurally valid HTML (or XHTML).
Homepage: http://rubyforge.org/projects/hikidoc/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-hikidoc/ruby-hikidoc_0.0.6-1_all.deb

Package: ruby-hivex
Source: hivex
Version: 1.3.6-2
Architecture: armhf
Maintainer: Debian Libvirt Maintainers 
Installed-Size: 108
Depends: libc6 (>= 2.13-28), libhivex0, libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0)
Homepage: http://libguestfs.org/
Priority: extra
Section: ruby
Filename: pool/main/h/hivex/ruby-hivex_1.3.6-2_armhf.deb
Size: 29482
SHA256: ca2f04334d33660cf5c65348d07f74ce91926f553e1b4bf68b6be7ed5176040e
SHA1: 2881e98250907b4e9a6ec0fb2be921f23e23bb5a
MD5sum: 99d6512e38d26d9b3f8d13d2388df9df
Description: Ruby bindings for hivex
 Ruby bindings for libhivex, a library for reading and writing
 Windows Registry "hive" binary files.

Package: ruby-hmac
Version: 0.4.0-3
Installed-Size: 69
Maintainer: Daigo Moriwaki 
Architecture: all
Replaces: libhmac-ruby (<< 0.4.0-1~), libhmac-ruby1.8 (<< 0.4.0-1~)
Provides: libhmac-ruby, libhmac-ruby1.8
Depends: ruby1.8 | ruby-interpreter
Breaks: libhmac-ruby (<< 0.4.0-1~), libhmac-ruby1.8 (<< 0.4.0-1~), libopenid-ruby1.8 (<< 1.1.4-2)
Size: 6870
SHA256: 229b9711c93309aff0c3c3d0ed60f7bbb99b9c2eba0d968fde1a199676547a98
SHA1: dee4f88933a551f46fe83c4fc8828b7854967614
MD5sum: cf866bede6092fd859801138087af656
Description: Ruby interface for HMAC algorithm
 This module provides common interface to HMAC functionality. HMAC is a
 kind of "Message Authentication Code" (MAC) algorithm whose standard is
 documented in RFC2104. Namely, a MAC provides a way to check the integrity
 of information transmitted over or stored in an unreliable medium, based
 on a secret key.
Homepage: http://ruby-hmac.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-hmac/ruby-hmac_0.4.0-3_all.deb

Package: ruby-hoe
Version: 3.0.3-2
Installed-Size: 175
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, rake (>= 0.8.7), rubygems1.9.1 | rubygems
Size: 43490
SHA256: 4d1abfff78b317dfe9e3085e112bd11010cdc941ffab2e55d02bdac9eaaa0e38
SHA1: 4cbb89fe2c2f6d42dc33c8f19ac332d30a161ee1
MD5sum: f87b70c02589b39049d6f3d0a161ee85
Description: rake/rubygems helper for project Rakefiles
 Hoe is a rake/rubygems helper for project Rakefiles. It helps you
 manage and maintain, and release your project and includes a dynamic
 plug-in system allowing for easy extensibility. Hoe ships with
 plug-ins for all your usual project tasks including rdoc generation,
 testing, packaging, and deployment.
Homepage: http://rubyforge.org/projects/seattlerb/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-hoe/ruby-hoe_3.0.3-2_all.deb

Package: ruby-hpricot
Version: 0.8.6-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 391
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter, ruby-fast-xs
Breaks: libhpricot-ruby (<< 0.8.4-1~), libhpricot-ruby1.8 (<< 0.8.4-1~), libhpricot-ruby1.9 (<< 0.8.4-1~), libhpricot-ruby1.9.1 (<< 0.8.4-1~)
Replaces: libhpricot-ruby (<< 0.8.4-1~), libhpricot-ruby1.8 (<< 0.8.4-1~), libhpricot-ruby1.9 (<< 0.8.4-1~), libhpricot-ruby1.9.1 (<< 0.8.4-1~)
Provides: libhpricot-ruby, libhpricot-ruby1.8, libhpricot-ruby1.9, libhpricot-ruby1.9.1
Homepage: https://github.com/hpricot/hpricot
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-hpricot/ruby-hpricot_0.8.6-3_armhf.deb
Size: 120906
SHA256: ef906b4aceaaf3df4c2ffa5f4ffead1dbcace0a8f19c24b867c3c375498f3410
SHA1: 07142dddf7167f6fae8e288e81334aa6ca05428a
MD5sum: 4f9fe18e11f7f79a2b5b387c3f4fc300
Description: fast, enjoyable HTML parser
 Hpricot is a fast, flexible HTML parser written in C.  It's designed to be
 very accomodating (like Tanaka Akira's HTree) and to have a very helpful
 library (like some JavaScript libs -- JQuery, Prototype -- give you).
 .
 Also, Hpricot can be handy for reading broken XML files, since many of the
 same principles are used.  If a quote is missing, Hpricot tries to figure it
 out.  If tags overlap, Hpricot works on sorting them out.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-htmlentities
Version: 4.3.1-1
Installed-Size: 150
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libhtmlentities-ruby (<< 4.3.0-1~), libhtmlentities-ruby1.8 (<< 4.3.0-1~), libhtmlentities-ruby1.9.1 (<< 4.3.0-1~)
Provides: libhtmlentities-ruby, libhtmlentities-ruby1.8, libhtmlentities-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libhtmlentities-ruby (<< 4.3.0-1~), libhtmlentities-ruby1.8 (<< 4.3.0-1~), libhtmlentities-ruby1.9.1 (<< 4.3.0-1~)
Size: 27174
SHA256: 69130ccb7e5d730e14dac937551bcbdc00a4e5cacfa89dbc3b2e75f2cf324b38
SHA1: 9dcb8e6dbfa68eea858f80be06cc0e7d23565195
MD5sum: 2ffc64553a212bdf8c5f917247ac6e6c
Description: Ruby library for handling HTML entities
 HTMLEntities is a simple library to facilitate encoding and decoding of named
 (ý and so on) or numerical ({ or Ī) entities in HTML and
 XHTML documents.
Homepage: http://htmlentities.rubyforge.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: implemented-in::ruby, role::shared-lib, use::converting,
 works-with-format::html
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-htmlentities/ruby-htmlentities_4.3.1-1_all.deb

Package: ruby-httpclient
Version: 2.2.4-2
Installed-Size: 482
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libhttp-access2-ruby (<< 2.2.1-1~), libhttp-access2-ruby1.8 (<< 2.2.1-1~), libhttpclient-ruby (<< 2.2.1-1~), libhttpclient-ruby1.8 (<< 2.2.1-1~), libhttpclient-ruby1.9.1 (<< 2.2.1-1~)
Provides: libhttp-access2-ruby, libhttp-access2-ruby1.8, libhttpclient-ruby, libhttpclient-ruby1.8, libhttpclient-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libhttp-access2-ruby (<< 2.2.1-1~), libhttp-access2-ruby1.8 (<< 2.2.1-1~), libhttpclient-ruby (<< 2.2.1-1~), libhttpclient-ruby1.8 (<< 2.2.1-1~), libhttpclient-ruby1.9.1 (<< 2.2.1-1~)
Size: 178926
SHA256: c3605c721c9ca6468ce09f9458e323ff26086d80a22c516b4b33dcade19fde80
SHA1: 8564ec2a4593a279fc5f6bba53034fcc4149e5b6
MD5sum: 1e44ab3313f3b592098240c90d350608
Description: HTTP client library for ruby (ruby 1.8 version)
 httpclient gives something like the functionality of
 libwww-perl (LWP) in Ruby.
 .
 Features:
    * methods like GET/HEAD/POST/* via HTTP/1.1.
    * HTTPS(SSL), Cookies, proxy, authentication(Digest, NTLM, Basic), etc.
    * asynchronous HTTP request, streaming HTTP request.
    * by contrast with net/http in standard distribution;
          o Cookies support
          o MT-safe
          o streaming POST (POST with File/IO)
          o Digest auth
          o Negotiate/NTLM auth for WWW-Authenticate (requires net/htlm module)
          o NTLM auth for WWW-Authenticate/Proxy-Authenticate (requires
            win32/sspi module)
          o extensible with filter interface
          o you don't have to care HTTP/1.1 persistent connection (httpclient
            cares instead of you).
Homepage: http://github.com/nahi/httpclient
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: protocol::http
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-httpclient/ruby-httpclient_2.2.4-2_all.deb

Package: ruby-i18n
Version: 0.6.0-3+deb7u1
Installed-Size: 146
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libi18n-ruby (<< 0.6.0-1~), libi18n-ruby1.8 (<< 0.6.0-1~), libi18n-ruby1.9.1 (<< 0.6.0-1~)
Provides: libi18n-ruby, libi18n-ruby1.8, libi18n-ruby1.9.1
Depends: ruby1.8 | ruby-interpreter
Breaks: libi18n-ruby (<< 0.6.0-1~), libi18n-ruby1.8 (<< 0.6.0-1~), libi18n-ruby1.9.1 (<< 0.6.0-1~)
Size: 39614
SHA256: fe6f8008a87a56a395755b4571ef10b2e7d86455a76eba742c830f3e4f426bfd
SHA1: 5b8072027b04416598c9eb029ff0228961e769fb
MD5sum: c597234add52ddcd7bb5235590d055a5
Description: I18n and localization solution for Ruby
 Implementation of the Ruby on Rails I18n core API.  This is the same I18n
 library included in the Ruby on Rails framework, but provided outside of
 that framework for those who wish to use it without pulling the entire
 Ruby on Rails framework.
Homepage: http://ruby-i18n.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-i18n/ruby-i18n_0.6.0-3+deb7u1_all.deb

Package: ruby-ihelp
Version: 0.4.5-3
Installed-Size: 330
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libihelp-ruby (<< 0.4.5-3~), libihelp-ruby1.8 (<< 0.4.5-3~)
Provides: libihelp-ruby, libihelp-ruby1.8
Depends: ruby1.8
Recommends: ruby-ferret
Breaks: libihelp-ruby (<< 0.4.5-3~), libihelp-ruby1.8 (<< 0.4.5-3~)
Size: 39492
SHA256: 577c4fde7a1e1081f4ca777500394c4d95414f62bbaeb3c3a1fa848a64cc2052
SHA1: d51abac1c4c3cf240eb8350c6814acd0e021cd8d
MD5sum: 502cea675ea1ad759a70627c6df4b272
Description: Ruby console contextual help
 IHelp (Interactive Help) provides contextual help for classes, modules and
 methods by using RI.  Particularly useful is the integration with IRb,
 Ruby's interactive execution tool.
 .
 Additionally, using Ferret a full text index of the documentation can be
 created to considerably speed up retrieval.
Homepage: http://rubyforge.org/projects/ihelp
Ruby-Versions: ruby1.8
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-ihelp/ruby-ihelp_0.4.5-3_all.deb

Package: ruby-image-science
Version: 1.2.2-1.1
Installed-Size: 85
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libimage-science-ruby (<< 1.2.1-1~), libimage-science-ruby-doc (<< 1.2.1-1~), libimage-science-ruby1.8 (<< 1.2.1-1~)
Provides: libimage-science-ruby, libimage-science-ruby-doc, libimage-science-ruby1.8
Depends: ruby | ruby-interpreter, ruby-inline | libinline-ruby, libfreeimage-dev
Breaks: libimage-science-ruby (<< 1.2.1-1~), libimage-science-ruby-doc (<< 1.2.1-1~), libimage-science-ruby1.8 (<< 1.2.1-1~)
Size: 11378
SHA256: dd57ed0575bdcc725d9bc59bcba953cb58688e549ee6dd62ab1802aa2a1a9344
SHA1: 20851005022f47c843279f3b3d914489b402a74f
MD5sum: 6f4348d51270d3baa4c8bbcff9673489
Description: Clean Ruby library to provide simple transformations on images
 ImageScience is a clean Ruby library allowing for simple
 transformations on images, mainly geared towards generating thumbnails
 - The provided functions aim at scaling and cropping images.
 .
 Emphasis is made on keeping the code as lean as possible (at less than
 200 LoC), and on correctness to avoid memory leaks and similar
 problems that often accompany similar libraries.
Homepage: http://seattlerb.rubyforge.org/ImageScience.html
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-image-science/ruby-image-science_1.2.2-1.1_all.deb

Package: ruby-imagesize
Version: 1:0.1.1-5
Installed-Size: 53
Maintainer: Tatsuki Sugiura 
Architecture: all
Replaces: libimage-size-ruby (<< 1:0.1.1-5~), libimage-size-ruby1.8 (<< 1:0.1.1-5~), libimage-size-ruby1.9.1 (<< 1:0.1.1-5~)
Provides: libimage-size-ruby, libimage-size-ruby1.8, libimage-size-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libimage-size-ruby (<< 1:0.1.1-5~), libimage-size-ruby1.8 (<< 1:0.1.1-5~), libimage-size-ruby1.9.1 (<< 1:0.1.1-5~)
Size: 6574
SHA256: f3db62a9c9b93dadef2a58daaf70cd67a0ac29c402ca134fa6986703f70124e6
SHA1: c5970bdcc7dd776385dbcdef59fc069f6b365ed2
MD5sum: abe16ae86e307d155c05447d7d5cab92
Description: ruby extension to measure image (GIF, PNG, JPEG ,,, etc) size
 Pure ruby library to measure size of images.
 You can get size information for many types of image easily.
 .
 Supported types:
   PCX, PSD, XPM, TIFF, XBM, PGM, PBM, PPM, BMP, JPEG, PNG, GIF, SWF
Homepage: http://rubyforge.org/projects/imagesize/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: extra
Filename: pool/main/r/ruby-imagesize/ruby-imagesize_0.1.1-5_all.deb

Package: ruby-indentation
Version: 0.0.6-1
Installed-Size: 8
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 4402
SHA256: 49bb5966c2bb41e8070612c0d9c4f0b26e198e3a6eb64ba3c7946dcda0f787dc
SHA1: 51a1065d9d32fa91e0e1bf468152293718ce03fb
MD5sum: aa26f4d653f31e6a2c1d00c936090494
Description: Ruby extensions for Array and String classes
 A small library of extensions to Ruby's Array and String classes that
 allow in dentation manipulation of Strings and Arrays of Strings. Has
 the capability of working with multi-line strings. If you frequently
 use String arrays to manip ulate text, see synopsis (In README.rdoc)
 for examples of how indentation can make your life easier.
Homepage: https://github.com/samueldana/indentation
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-indentation/ruby-indentation_0.0.6-1_all.deb

Package: ruby-inline
Version: 3.11.2-2
Installed-Size: 123
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libinline-ruby (<< 3.10.1-1~), libinline-ruby1.8 (<< 3.10.1-1~)
Provides: libinline-ruby, libinline-ruby1.8
Depends: ruby | ruby-interpreter, ruby1.8-dev | ruby1.9.1-dev, rake
Recommends: gcc | c-compiler, rubygems
Breaks: libinline-ruby (<< 3.10.1-1~), libinline-ruby1.8 (<< 3.10.1-1~)
Size: 27716
SHA256: 29a4dfe9c0033dfc83c7325b81dafaa3912d9b667a56dbb7d77ef96c89113fa5
SHA1: a4d73fcfeb0989a76eb395019499327e69548bf9
MD5sum: b1b0e7fa7da4f5fe95158735d254942e
Description: Ruby library for embedding C/++ external module code
 Inline allows you to write foreign code within your ruby code. It
 automatically determines if the code in question has changed and
 builds it only when necessary. The extensions are then automatically
 loaded into the class/module that defines it.
 .
 You can even write extra builders that will allow you to write inlined
 code in any language. Use Inline::C as a template and look at
 Module#inline for the required API.
Homepage: http://www.zenspider.com/ZSS/Products/RubyInline/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-inline/ruby-inline_3.11.2-2_all.deb

Package: ruby-innate
Version: 2012.03-2
Installed-Size: 313
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libinnate-ruby (<< 2012.03-1~), libinnate-ruby1.8 (<< 2012.03-1~), libinnate-ruby1.9.1 (<< 2012.03-1~)
Provides: libinnate-ruby, libinnate-ruby1.8, libinnate-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-rack
Suggests: ruby-rack-test, ruby-bacon, ruby-hpricot
Breaks: libinnate-ruby (<< 2012.03-1~), libinnate-ruby1.8 (<< 2012.03-1~), libinnate-ruby1.9.1 (<< 2012.03-1~)
Size: 87206
SHA256: f4fa729403e6f24dd8208e3ab525d129141b578c89dbb259566e4c9a315976a6
SHA1: 3fe626d870d51a47b7ddfd307e2542115773d232
MD5sum: be14a92ce1060654c90ebb2444f81e86
Description: core web-framework part of Ramaze
 Innate is the core web-framework part of Ramaze and can be used
 standalone, it features a small and concise code-base, extensive docs
 and specs, and full integration with Rack.
Homepage: http://github.com/manveru/innate
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-innate/ruby-innate_2012.03-2_all.deb

Package: ruby-inotify
Version: 0.0.2-6
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 80
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.352) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libinotify-ruby (<< 0.0.2-6~), libinotify-ruby1.8 (<< 0.0.2-6~), libinotify-ruby1.9.1 (<< 0.0.2-6~)
Replaces: libinotify-ruby (<< 0.0.2-6~), libinotify-ruby1.8 (<< 0.0.2-6~), libinotify-ruby1.9.1 (<< 0.0.2-6~)
Provides: libinotify-ruby, libinotify-ruby1.8, libinotify-ruby1.9.1
Homepage: http://dinhe.net/~aredridel/projects/ruby/ruby-inotify.html
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-inotify/ruby-inotify_0.0.2-6_armhf.deb
Size: 10186
SHA256: 1245e066fe0923421512df5b5dfd02215bb0813d0a27c176bbbcc24a3be6db88
SHA1: e202d1681c51c5864c9c145b265a07c14b31b12a
MD5sum: 0c88a61df9585c198cb62cdfd71ff5c3
Description: Ruby interface to Linux's inotify system
 The Ruby package ruby-inotify allows one to use Linux's inotify system to
 wait for and respond to file access/change/create/delete events.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-instantiator
Version: 0.0.6+git9cbbe70-2
Installed-Size: 49
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-blankslate
Size: 3992
SHA256: 5f803f5588c4c378de56d11bb8e46809dcf082730dad5164b6748367948bc5cb
SHA1: 9f41bad585813cff49523ea88e345aacff361227
MD5sum: bc9ef1f303e5def37e8d6859f9cc1d97
Description: Ruby library to instantiate an arbitrary class
 This Ruby library permits the instantiation of an arbitrary class without
 knowing anything about the constructor.
Homepage: https://github.com/floehopper/instantiator
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-instantiator/ruby-instantiator_0.0.6+git9cbbe70-2_all.deb

Package: ruby-introspection
Version: 0.0.2-2
Installed-Size: 75
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-metaclass (>= 0.0.1~), ruby-instantiator (>= 0.0.3~)
Size: 5264
SHA256: df5599eebf0a2fd7f2b4e7004ddd740ba177dfd9f929663a603b3f7f12e86318
SHA1: 4406517c6bf589860ee22a0978e41ad727dd71d0
MD5sum: 5e8485f8da5136419c58fa72470f727e
Description: Ruby library for the inspection of method definitions on objects
 This library allows a dynamic inspection of the hierarchy of method definitions
 on a Ruby object. It can be used in particular to detect undesirable changes
 to classes made by other libraries.
Homepage: https://github.com/floehopper/introspection
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-introspection/ruby-introspection_0.0.2-2_all.deb

Package: ruby-ipaddress
Version: 0.8.0-1
Installed-Size: 128
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 26000
SHA256: 6ab19e55243b4736190a5b3c778be6a88375081054b2c1b96bf02fea416862af
SHA1: cf5f28fe565a1be6aa052a2a153d0801bc3da9ab
MD5sum: f8f24e3e5a56617139f9316f7e6368f6
Description: Ruby library to manipulate IPv4/IPv6 addresses
 IPAddress is a Ruby library designed to make manipulation
 of IPv4 and IPv6 addresses both powerful and simple. It maintains
 a layer of compatibility with Ruby's own IPAddr, while
 addressing many of its issues.
Homepage: http://github.com/bluemonk/ipaddress
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-ipaddress/ruby-ipaddress_0.8.0-1_all.deb

Package: ruby-journey
Version: 1.0.3-2
Installed-Size: 148
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 18466
SHA256: 8e44e5df1223820a5b0376bc502086027eb3c605b28e39d6ee6dc88816a8210e
SHA1: 1ad961158ad1f48fa7e808ff92e0b36089d27bfd
MD5sum: 820b9418dedf45c30f0615987c57da9f
Description: Journey is a router for Ruby on Rails
 Journey is a router designed for Rails framework.  It routes
 requests.
Homepage: http://github.com/rails/journey
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-journey/ruby-journey_1.0.3-2_all.deb

Package: ruby-jquery-rails
Version: 2.0.2-1
Installed-Size: 124
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-railties-3.2, ruby-thor, libjs-jquery, libjs-jquery-ui
Size: 12206
SHA256: 8a9dfbfd0e6b2f950afb0d698e79a13d63a582ef4f1f31ca6dbb3072a096f8d2
SHA1: eeb6736805e52403b47615c0f03cea5df92943db
MD5sum: 04cb19ec0e96264416e87a9b32cc2cea
Description: jQuery for Rails 3
 This package provides jQuery, jQuery UI and the jQuery-ujs driver for Rails 3
 applications, and integrates these libraries into the Rails 3 asset pipeline.
Homepage: http://rubygems.org/gems/jquery-rails
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-jquery-rails/ruby-jquery-rails_2.0.2-1_all.deb

Package: ruby-json
Version: 1.7.3-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 302
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libjson-ruby (<< 1.5.1-1~), libjson-ruby-doc (<< 1.5.1-1~), libjson-ruby1.8 (<< 1.5.1-1~)
Replaces: libjson-ruby (<< 1.5.1-1~), libjson-ruby-doc (<< 1.5.1-1~), libjson-ruby1.8 (<< 1.5.1-1~)
Provides: libjson-ruby, libjson-ruby-doc, libjson-ruby1.8
Homepage: http://flori.github.com/json
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-json/ruby-json_1.7.3-3_armhf.deb
Size: 75122
SHA256: 88193703f63b41a8470a3e1b47ba833abf00b99e37193b49dbd4ed60eb37410c
SHA1: ad155934259932fbbf1d0aba7f00eb4c82892c90
MD5sum: 161fc8dcfb5e4462e7a48f7f4a9e2109
Description: JSON library for Ruby
 This library implements the JSON (JavaScript Object Notation) specification in
 Ruby, allowing the developer to easily convert data between Ruby and JSON. You
 can think of it as a low fat alternative to XML, if you want to store data to
 disk or transmit it over a network rather than use a verbose markup language.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-kakasi
Version: 2002.09.28-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 84
Depends: libc6 (>= 2.13-28), libkakasi2, libruby1.8 (>= 1.8.7.352) | libruby1.9.1 (>= 1.9.2.0)
Breaks: libkakasi-ruby1.8 (<< 2002.09.28-3~)
Replaces: libkakasi-ruby1.8 (<< 2002.09.28-3~)
Provides: libkakasi-ruby1.8
Homepage: http://raa.ruby-lang.org/project/ruby-kakasi/
Priority: extra
Section: ruby
Filename: pool/main/r/ruby-kakasi/ruby-kakasi_2002.09.28-3_armhf.deb
Size: 13790
SHA256: fd7d9816b3f4db97c5f8489742045681312c4428907ba384113e17af306c5938
SHA1: 0ce63149190710f4513a81d84a350672bfe38fd4
MD5sum: e0e4edd3e0a1ae925718a98657f92476
Description: KAKASI interface for Ruby 1.8
 KAKASI interface for the object-oriented scripting language Ruby 1.8.
 KAKASI is the language processing filter to convert Kanji characters
 to Hiragana, Katakana or Romaji(alphabetical description of Japanese
 pronunciation).
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-kde4
Source: korundum
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 720
Depends: ruby-qt4, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.4.95), libkdecore5 (>= 4:4.3.4), libkdeui5 (>= 4:4.3.4), libkemoticons4 (>= 4:4.4.95), libkidletime4 (>= 4:4.4.95), libkio5 (>= 4:4.3.4), libknewstuff2-4 (>= 4:4.3.4), libkprintutils4 (>= 4:4.4.95), libkpty4 (>= 4:4.3.4), libkutils4, libnepomuk4 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libqtruby4shared2 (>= 4:4.8.4), libruby1.8 (>= 1.8.7.357-1), libsmokebase3 (>= 4:4.8.4), libsmokekdecore4-3 (>= 4:4.8.4), libsmokekdeui4-3 (>= 4:4.8.4), libsmokekfile3 (>= 4:4.8.4), libsmokekhtml3 (>= 4:4.8.4), libsmokekio3 (>= 4:4.8.4), libsmokeknewstuff2-3 (>= 4:4.8.4), libsmokeknewstuff3-3 (>= 4:4.8.4), libsmokekparts3 (>= 4:4.8.4), libsmokektexteditor3 (>= 4:4.8.4), libsmokekutils3 (>= 4:4.8.4), libsmokenepomuk3 (>= 4:4.8.4), libsmokeqtcore4-3 (>= 4:4.8.4), libsmokeqtdbus4-3 (>= 4:4.8.4), libsmokeqtgui4-3 (>= 4:4.8.4), libsmokeqtnetwork4-3 (>= 4:4.8.4), libsmokeqtopengl4-3 (>= 4:4.8.4), libsmokeqtsql4-3 (>= 4:4.8.4), libsmokeqtsvg4-3 (>= 4:4.8.4), libsmokeqtxml4-3 (>= 4:4.8.4), libsmokesolid3 (>= 4:4.8.4), libsolid4 (>= 4:4.3.4), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0)
Breaks: libkde4-ruby (<< 4:4.6.80), libkde4-ruby1.8 (<< 4:4.6.80)
Replaces: libkde4-ruby (<< 4:4.6.80), libkde4-ruby1.8 (<< 4:4.6.80)
Provides: libkde4-ruby, libkde4-ruby1.8
Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/korundum
Priority: optional
Section: ruby
Filename: pool/main/k/korundum/ruby-kde4_4.8.4-1_armhf.deb
Size: 224424
SHA256: 099cca4d8a96b9303fb7be214adba96aaedb73630c8d164fa46de8642fade85a
SHA1: d8e91e8be1972ceb09eda8d840470819bd2c3199
MD5sum: 279429793622d511818cd38a545e9109
Description: KDE bindings for the Ruby language
 This package contains the Ruby bindings for KDE libraries, including:
  * KHTML
  * KIO
  * KTextEditor
  * Nepomuk
  * Solid
 .
 This is part of the Korundum module.

Package: ruby-kde4-dbg
Source: korundum
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 2306
Depends: ruby-kde4 (= 4:4.8.4-1)
Recommends: ruby-qt4-dbg
Breaks: kdebindings-dbg
Replaces: kdebindings-dbg
Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/korundum
Priority: extra
Section: debug
Filename: pool/main/k/korundum/ruby-kde4-dbg_4.8.4-1_armhf.deb
Size: 2119478
SHA256: 372947118d2dc02e7462dad26499cb4bf48ae2ce803473a4c80a0687f982ca5a
SHA1: 043baeb7988989f64d68138fbd6f52686a49c2d9
MD5sum: 6b9d6606a633e805aea24f80161a8973
Description: Debug symbols for the KDE ruby bindings
 This package contains debugging files used to investigate problems with the
 KDE Ruby bindings.
 .
 This is part of the Korundum module.

Package: ruby-kgio
Version: 2.7.3-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 166
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.3~rc1), ruby | ruby-interpreter
Homepage: http://bogomips.org/kgio/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-kgio/ruby-kgio_2.7.3-1_armhf.deb
Size: 50912
SHA256: 0fdc520a4fb04cbf1e44b67e0b890d2beac2d6c0d5399c243bf7d4ba77abe19d
SHA1: 3b532be9d9959d9bdad11f814ee34f37770659e5
MD5sum: 54b7999d9a3012b1aec3e16a599eea1a
Description: Kinder, gentler I/O for Ruby
 kgio provides non-blocking I/O methods for Ruby without raising
 exceptions on EAGAIN and EINPROGRESS.  It is intended for use with the
 Unicorn and Rainbows! Rack servers, but may be used by other
 applications (that run on Unix-like platforms).
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-kramdown
Version: 0.13.7-2
Installed-Size: 1407
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-coderay, libjs-jquery
Size: 214628
SHA256: 47c82fa63701f36c9b709bf976baea768c63a7c9bbedb1fe3425968c6a64e5c0
SHA1: 2cf1a7d600ea2dd6a12af467abf2f67d5c77144d
MD5sum: f0666cf0a596948a8113ab6dd1b91b6c
Description: Fast, pure-Ruby Markdown-superset converter
 The kramdown is yet-another-markdown-parser but fast, pure Ruby, using
 a strict syntax definition and supporting several common
 extensions.
 .
 The kramdown library is mainly written to support the kramdown-to-HTML
 conversion chain. However, due to its flexibility it supports other
 input and output formats as well. Here is a list of the
 supported formats:
   * input formats: kramdown (a Markdown superset), Markdown, HTML
   * output formats: HTML, kramdown, LaTeX (and therefore PDF)
Homepage: http://kramdown.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-kramdown/ruby-kramdown_0.13.7-2_all.deb

Package: ruby-krb5-auth
Version: 0.7-4
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 277
Depends: libc6 (>= 2.13-28), libkrb5-3 (>= 1.6.dfsg.2), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Suggests: doc-base
Breaks: libkrb5-ruby (<< 0.7-3~), libkrb5-ruby-doc (<< 0.7-3~), libkrb5-ruby1.8 (<< 0.7-3~), libkrb5-ruby1.9.1 (<< 0.7-3~)
Replaces: libkrb5-ruby (<< 0.7-3~), libkrb5-ruby-doc (<< 0.7-3~), libkrb5-ruby1.8 (<< 0.7-3~), libkrb5-ruby1.9.1 (<< 0.7-3~)
Provides: libkrb5-ruby, libkrb5-ruby-doc, libkrb5-ruby1.8, libkrb5-ruby1.9.1
Homepage: http://rubyforge.org/projects/krb5-auth/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-krb5-auth/ruby-krb5-auth_0.7-4_armhf.deb
Size: 61064
SHA256: 291fc01ada20f4e5f5abbc5e70529b5682d4df1078ca02c53859aeb3f7c2beee
SHA1: 637231982ec41939319d65b1b7db5932f26f0799
MD5sum: 3098fa4f1fde56528c53ee21fef4697f
Description: Kerberos binding for Ruby
 Ruby kerberos provides basic Kerberos bindings for ruby which allow
 authentication, password changes, adding principals, and deleting
 principals.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-lapack
Version: 1.5-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 17808
Depends: libc6 (>= 2.13-28), liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libruby1.8 (>= 1.8.7.352) | libruby1.9.1 (>= 1.9.2.0), ruby-narray
Homepage: http://www.gfd-dennou.org/arch/ruby/products/ruby-lapack/index.html
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-lapack/ruby-lapack_1.5-1_armhf.deb
Size: 4547878
SHA256: c5a5ff4ccafa6541daeec95763eb4fa5b19d89c7cea70be4d7bc9afa717b78fb
SHA1: 380f308bb9f72e0e82ebe1b6772b63585363f7fe
MD5sum: dc5d26d7d489ad13d3f70bfbe21a2ae1
Description: Ruby wrapper of LAPACK
 Ruby-LAPACK is Ruby wrapper of LAPACK library using NArray.
 .
 This package is provides libraries and documents.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-lapack-dbg
Source: ruby-lapack
Version: 1.5-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 17996
Depends: ruby-lapack (= 1.5-1)
Homepage: http://www.gfd-dennou.org/arch/ruby/products/ruby-lapack/index.html
Priority: extra
Section: debug
Filename: pool/main/r/ruby-lapack/ruby-lapack-dbg_1.5-1_armhf.deb
Size: 3578526
SHA256: 96d5b8cff401038db82451a84bf7e54e1c7a30e388f70c43edc555a083024a76
SHA1: e8d98be40529ba829e327d14a901067f40c15b0c
MD5sum: aecb37b930693241229d961ed996f3f2
Description: Ruby wrapper of LAPACK
 Ruby-LAPACK is Ruby wrapper of LAPACK library using NArray.
 .
 This package is provided primarily to provide a backtrace with names
 in a debugger, this makes it somewhat easier to interpret core
 dumps.  Most people will not need this package.

Package: ruby-ldap
Version: 0.9.12-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 374
Depends: libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libldap-ruby1.8 (<< 0.9.11-1~)
Replaces: libldap-ruby1.8 (<< 0.9.11-1~)
Provides: libldap-ruby1.8
Homepage: https://github.com/alexey-chebotar/ruby-ldap
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-ldap/ruby-ldap_0.9.12-2_armhf.deb
Size: 87264
SHA256: 79add1c3cc61e635924ea35e3e6243addde0f8a17115ca6727a34bf59b045d6d
SHA1: 014c9e339ed0b7184863c8e71bfec735af9168d5
MD5sum: 818b13b6c991167c7be03ca58580baf9
Description: OpenLDAP library binding for Ruby
 Ruby/LDAP is a Ruby extension library which provides an interface to the
 LDAP based on RFC1823.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-libvirt
Version: 0.4.0-1
Architecture: armhf
Maintainer: Debian Libvirt Maintainers 
Installed-Size: 313
Depends: libc6 (>= 2.13-28), libvirt0 (>= 0.9.2), libruby1.8 (>= 1.8.7.352) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libvirt-ruby (<< 0.4.0-1~), libvirt-ruby1.8 (<< 0.4.0-1~)
Replaces: libvirt-ruby (<< 0.4.0-1~), libvirt-ruby1.8 (<< 0.4.0-1~)
Provides: libvirt-ruby, libvirt-ruby1.8
Homepage: http://libvirt.org/ruby/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-libvirt/ruby-libvirt_0.4.0-1_armhf.deb
Size: 99696
SHA256: c89cbcd4184c88a24898cca90cac07ae16aa8f59f381c04e61327183fff3c6ef
SHA1: 86aa943a6e2d07cbe878f0f2ce83aa74c956e7a4
MD5sum: 0a39b06e0708ccde9515118c5271cf18
Description: Ruby bindings for libvirt
 This library provides Ruby bindings for using libvirt.
 .
 Libvirt is a toolkit to interact with the virtualization capabilities
 of recent versions of Linux (and other OSes). The library aims at providing
 a long term stable C API for different virtualization mechanisms. It currently
 supports QEMU, KVM, and XEN.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-libxml
Version: 2.3.2-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 400
Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), libruby1.8 (>= 1.8.7.352) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libxml-ruby (<< 2.2.2-1~), libxml-ruby1.8 (<< 2.2.2-1~), libxml-ruby1.9.1 (<< 2.2.2-1~)
Replaces: libxml-ruby (<< 2.2.2-1~), libxml-ruby1.8 (<< 2.2.2-1~), libxml-ruby1.9.1 (<< 2.2.2-1~)
Provides: libxml-ruby, libxml-ruby1.8, libxml-ruby1.9.1
Homepage: http://xml4r.github.com/libxml-ruby
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-libxml/ruby-libxml_2.3.2-1_armhf.deb
Size: 132186
SHA256: f6774a0fc13bd6ee291a17db898440e803925697cc8cf0495ed2551293f14d47
SHA1: c2d65616e2e58b69cf9b54c69a25e1a3251d362f
MD5sum: ada4b91ed1e261f028429d5635be87e6
Description: Ruby Bindings for LibXML2
 The Libxml-Ruby project provides Ruby language bindings for the GNOME
 Libxml2 XML toolkit. It is free software, released under the MIT License.
 Libxml-ruby's primary advantage over REXML is performance - if speed
 is your need, these are good libraries to consider, as demonstrated
 by the informal benchmark below.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-liquid
Version: 2.3.0-2
Installed-Size: 139
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 24460
SHA256: 533c9840c7231babce995f63580d5db77c04e2dddcb047d14c7780d3493ad691
SHA1: 3de6060b647a3f66efe9e75c2e645e57b10babba
MD5sum: 668d423e232fe07240b5b5a2d5c879bc
Description: Ruby library for rendering safe HTML and email templates
 Liquid is a secure, non-evaling end user template engine.  It has simple
 markup and beautiful results and is able to style emails as well as HTML.
 .
 It was developed for usage in Ruby on Rails web applications and integrates
 seamlessly as a plugin but it also works excellently as a stand alone library.
Homepage: http://www.liquidmarkup.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-liquid/ruby-liquid_2.3.0-2_all.deb

Package: ruby-locale
Version: 2.0.5-6
Installed-Size: 241
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: liblocale-ruby (<< 2.0.5-6), liblocale-ruby1.8 (<< 2.0.5-6), liblocale-ruby1.9.1 (<< 2.0.5-6)
Provides: liblocale-ruby, liblocale-ruby1.8, liblocale-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: liblocale-ruby (<< 2.0.5-6), liblocale-ruby1.8 (<< 2.0.5-6), liblocale-ruby1.9.1 (<< 2.0.5-6)
Size: 94058
SHA256: 43d5aed480b7fda8b3a2c7151a766c37677b814063f212e801c05ebe51b7d4ad
SHA1: 9091cd756337986a8eec53e80ebb7fcd446d530f
MD5sum: bcfd02612faed6da44c9d7531efa9a0b
Description: Locale library for Ruby
 Ruby-Locale is a pure Ruby library which provides a basic API for
 localization.
 .
 It aims to support programs of every kind, from GUI applications to web
 libraries, on all the platforms that Ruby works on, and to provide a
 central hub for other i18n/l10n software.
Homepage: http://rubyforge.org/projects/locale/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-locale/ruby-locale_2.0.5-6_all.deb

Package: ruby-locale-rails
Version: 2.0.5-6
Installed-Size: 456
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: liblocale-rails-ruby (<< 2.0.5-4~), liblocale-rails-ruby1.8 (<< 2.0.5-4~)
Provides: liblocale-rails-ruby, liblocale-rails-ruby1.8
Depends: ruby | ruby-interpreter, ruby-locale (>= 2.0.5) | liblocale-ruby1.8 (>= 2.0.5) | liblocale-ruby1.9.1 (>= 2.0.5)
Breaks: liblocale-rails-ruby (<< 2.0.5-4~), liblocale-rails-ruby1.8 (<< 2.0.5-4~)
Size: 86136
SHA256: 0bbb40e477cdd9e96c741f817317ed80ff84d82befc16d739f0beda8ff9617a0
SHA1: 0fecd32eadb66b1897047225ba45a1574b0b4568
MD5sum: a1cf7d06dd2c710db5f26f8921fd006b
Description: Pure ruby library with basic functions for Rails localization
 This library supports Rails i18n, and has the following features:
 .
   * Auto-Detect the client locale
     * Detect candidate locales from supported locales by the application.
   * Localized Routes
   * Localized Action/Fragment cache
   * Localized view template files
   * I18n.t fallback to localized message candidates.
 .
 Applications can use this library with your preferred i18n backends
 which don't have above features.
Homepage: http://locale.rubyforge.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-locale-rails/ruby-locale-rails_2.0.5-6_all.deb

Package: ruby-lockfile
Version: 2.1.0-2
Installed-Size: 99
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: liblockfile-ruby (<< 2.1.0-1~)
Provides: liblockfile-ruby
Depends: ruby | ruby-interpreter
Breaks: liblockfile-ruby (<< 2.1.0-1~)
Size: 20514
SHA256: 062b63571052277d5e31da64843231420c054b3eb942a1dfa37cb723f07f8b7b
SHA1: 49c341c67500fd068e4fc11ad0192fc054947d95
MD5sum: adb1f2a0456183e77cd7f6acf4be2f5e
Description: create NFS-safe lockfiles
 This is a Ruby library for creating lockfiles safe for use over NFS (Network
 File System).
 .
 The package contains both the library for including lockfile in Ruby programs
 and the command line program rlock. The program rlock can either create
 lockfiles or optionally can run a program with a lockfile.
Homepage: http://codeforpeople.com/lib/ruby/lockfile/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: extra
Filename: pool/main/r/ruby-lockfile/ruby-lockfile_2.1.0-2_all.deb

Package: ruby-log4r
Version: 1.1.10-2
Installed-Size: 342
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: liblog4r-ruby (<< 1.1.9-1~), liblog4r-ruby1.8 (<< 1.1.9-1~)
Provides: liblog4r-ruby, liblog4r-ruby1.8
Depends: ruby | ruby-interpreter
Breaks: liblog4r-ruby (<< 1.1.9-1~), liblog4r-ruby1.8 (<< 1.1.9-1~)
Size: 116346
SHA256: 6fa669901f5ca13f4c795d5ee5cfbb9a3dc93de593ce476a28fa9e46e9585c10
SHA1: 92aa45cc87c4f0ab451ba2a0280dc54e82d35a20
MD5sum: 1a4a1a69a8b24ba5f31e1ca6b6c9c5e1
Description: Logging library for Ruby
 Log4r is a comprehensive and flexible logging library written in Ruby for
 use in Ruby programs.
 .
 It features a hierarchical logging system of any number of levels, custom
 level names, logger inheritance, multiple output destinations, execution
 tracing, custom formatting, thread safety and more.
Homepage: http://log4r.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-log4r/ruby-log4r_1.1.10-2_all.deb

Package: ruby-mab
Version: 0.0.1+git20120515.30414e4-2
Installed-Size: 77
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 7726
SHA256: 257a1af7d081e0e8e65e593e5f26230d0f73470b14112baedbc20fa41c4a968e
SHA1: 15dea179f0fcea438e9ef11534ea909ebe96b7c0
MD5sum: 589b6f22e1fa3ddec897c946a2ffec93
Description: templating engine for writing HTML in pure Ruby
 With Mab, HTML is generated by mapping method calls to HTML elements,
 object attributes to element classes or HTML IDs and block arguments to
 the contents of the elements.
 .
 This engine is an alternative to ERb which weaves the two languages
 together.  It is also a replacement for templating languages which use
 primitive languages that blend with HTML.
 .
 Mab is almost fully backward compatible with the Markaby library.
Homepage: https://github.com/camping/mab
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-mab/ruby-mab_0.0.1+git20120515.30414e4-2_all.deb

Package: ruby-magic
Version: 0.2.6-1
Installed-Size: 204
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-ffi, libmagic1
Size: 12058
SHA256: 4d2129fd7c11b8c2881542f89aa86f6b02238399ecbb0d0b4c163b553264d1f1
SHA1: c5d3562bb6b5f07bb32eed0a12d1b910dbd09547
MD5sum: a1b6a3387c810d6b72a7a72ff21a8eb5
Description: Ruby FFI bindings to libmagic
 This package provides a Ruby FFI wrapper to the "magic" library that
 determines content type and encoding of files and strings. The library does
 three types of tests: file system tests, magic number tests, and language
 tests. The first test that succeeds causes the file type to be returned.
Homepage: http://jah.pl/projects/magic.html
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-magic/ruby-magic_0.2.6-1_all.deb

Package: ruby-mail
Version: 2.4.4-2+deb7u1
Installed-Size: 728
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-mime-types, ruby-treetop
Size: 113586
SHA256: a6b3e9f8eb4d5027ae834d0ca6ec72ccc321666512239c151e157dc2a2077b79
SHA1: bb95840a3ae2b50a77124111fe69d517cc1c615c
MD5sum: d42c7163d00358cf2d33edbb7289ca11
Description: Ruby library to handle email generation, parsing and sending.
 The purpose of this library is to provide a single point of access to
 handle all email functions, including sending and receiving
 emails. All network type actions are done through proxy methods to
 Net::SMTP, Net::POP3 etc.
 .
 Built from experience with TMail, it is designed to be a pure ruby
 implementation that makes generating, sending and parsing emails a no
 brainer.
 .
 Finally, Mail has been designed with a very simple object oriented
 system that really opens up the email messages you are parsing, if
 you know what you are doing, you can fiddle with every last bit of
 your email directly.
Homepage: http://github.com/mikel/mail
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-mail/ruby-mail_2.4.4-2+deb7u1_all.deb

Package: ruby-maruku
Version: 0.6.0-2
Installed-Size: 256
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libmaruku-ruby (<< 0.6.0-1~), libmaruku-ruby1.8 (<< 0.6.0-1~)
Depends: ruby | ruby-interpreter
Breaks: libmaruku-ruby (<< 0.6.0-1~), libmaruku-ruby1.8 (<< 0.6.0-1~)
Size: 74900
SHA256: 44055f27bc23c0557a1f2223b6a1f5c72c5c4e2d74b05f40169b430056bc11a9
SHA1: 667810d31e94b8ef8f04f57e838978b5d1489a37
MD5sum: 928816650bc3d5a9cb4ed036efb3c8cc
Description: Markdown-superset interpreter written in Ruby.
 Maruku implements a superset of the Markdown language, designed to
 easily create HTML documents while only focusing on the high-level
 structure and not the details. Maruku also supports LaTeX export,
 which means you can produce PDF output.
 .
 Maruku prides itself of being decently fast on long documents.
Homepage: http://maruku.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-maruku/ruby-maruku_0.6.0-2_all.deb

Package: ruby-mathml
Version: 0.12.2-2
Installed-Size: 1063
Maintainer: Youhei SASAKI 
Architecture: all
Replaces: libmathml-ruby (<< 0.10-1), libmathml-ruby1.8 (<< 0.10-1), libmathml-ruby1.9.1 (<< 0.10-1)
Provides: libmathml-ruby, libmathml-ruby1.8, libmathml-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-eim-xml, libjs-jquery
Breaks: libmathml-ruby (<< 0.10-1), libmathml-ruby1.8 (<< 0.10-1), libmathml-ruby1.9.1 (<< 0.10-1)
Size: 126794
SHA256: cbe16356629d4648c3edfcfe01060cd88b589be8d22b546c50b624d81f9b2325
SHA1: aa27e1e95efef4373481aa26c3fe7d966c2bec1b
MD5sum: 096935c90c8575a6d8af47e38e46f6e7
Description: TeX formula to MathML conversion library for Ruby
 math_ml.rb is a library for converting TeX formula to MathML.
Homepage: http://www.hinet.mydns.jp/?mathml.rb
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-mathml/ruby-mathml_0.12.2-2_all.deb

Package: ruby-mecab
Version: 0.99.3-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 220
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmecab2 (>= 0.99.3-3), libstdc++6 (>= 4.3.0), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0)
Conflicts: libmecab-ruby (<< 0.98-5~), libmecab-ruby1.8 (<< 0.98-5~), libmecab-ruby1.9.1 (<< 0.98-5~)
Replaces: libmecab-ruby (<< 0.98-5~), libmecab-ruby1.8 (<< 0.98-5~), libmecab-ruby1.9.1 (<< 0.98-5~)
Provides: libmecab-ruby, libmecab-ruby1.8, libmecab-ruby1.9.1
Homepage: http://code.google.com/p/mecab/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-mecab/ruby-mecab_0.99.3-2_armhf.deb
Size: 56294
SHA256: 587057e3cf54d5dc438c14c1d36e4955909c55b56729fcbacddaa146e4abf47f
SHA1: e78eab07fdfe26d97a734648931b96bb43bb8819
MD5sum: 678209aec49ba3c196d50f1049aba1fe
Description: mecab binding for Ruby language
 Mecab is a morphological analysis system.  It reads Japanese
 sentences from the standard input, segments them into morpheme
 sequences, and outputs them to the standard output with many
 additional pieces of information (pronunciation, semantic
 information, etc).

Package: ruby-mechanize
Version: 2.3-2
Installed-Size: 248
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libwww-mechanize-ruby (<< 2.1-1~), libwww-mechanize-ruby-doc (<< 2.1-1~), libwww-mechanize-ruby1.8 (<< 2.1-1~), libwww-mechanize-ruby1.9.1 (<< 2.1-1~)
Provides: libwww-mechanize-ruby, libwww-mechanize-ruby-doc, libwww-mechanize-ruby1.8, libwww-mechanize-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-net-http-digest-auth, ruby-net-http-persistent (>= 2.5), ruby-nokogiri, ruby-webrobots, ruby-ntlm, ruby-domain-name, ruby-mime-types
Breaks: libwww-mechanize-ruby (<< 2.1-1~), libwww-mechanize-ruby-doc (<< 2.1-1~), libwww-mechanize-ruby1.8 (<< 2.1-1~), libwww-mechanize-ruby1.9.1 (<< 2.1-1~)
Size: 76672
SHA256: e775b6c97efa756a3525e12d462eebb643c328cb3ebe5b0c923d2aaff29d6b65
SHA1: 3d0a70bfe3f874351699f121855f0b81014fe65e
MD5sum: 82a6986d950811f23969e9ea6b39bcb5
Description: Library used for automating interaction with websites
 This library is used for automating interaction with websites.
 Mechanize automatically stores and sends cookies, follows redirects,
 can follow links, and submit forms.  Form fields can be populated and
 submitted.  Mechanize also keeps track of the sites that you have
 visited as a history.
 .
 This library is loosely based on WWW::Mechanize for Perl.
Homepage: http://mechanize.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-mechanize/ruby-mechanize_2.3-2_all.deb

Package: ruby-memcache-client
Version: 1.8.5-2
Installed-Size: 52
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libmemcache-client-ruby (<< 1.8.5-1~), libmemcache-client-ruby1.8 (<< 1.8.5-1~), libmemcache-client-ruby1.9.1 (<< 1.8.5-1~)
Provides: libmemcache-client-ruby, libmemcache-client-ruby1.8, libmemcache-client-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libmemcache-client-ruby (<< 1.8.5-1~), libmemcache-client-ruby1.8 (<< 1.8.5-1~), libmemcache-client-ruby1.9.1 (<< 1.8.5-1~)
Size: 18166
SHA256: e5be6ab9bd5573b6e13cafeb511cb4fd3c8186d9dc9588f2c756532354a7fb1a
SHA1: 646e8ebde0e7876dc29c68f29d98de5b8b4836de
MD5sum: 0f64828d82d5f433777b21c6b6cdb06a
Description: Ruby client library for memcached
 This is intended to provide access to basic memcached functionality. It does
 not attempt to be complete implementation of the entire API, but it is
 approaching a complete implementation.
Homepage: http://github.com/mperham/memcache-client
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-memcache-client/ruby-memcache-client_1.8.5-2_all.deb

Package: ruby-merb-assets
Version: 1.1.3-1
Installed-Size: 85
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libmerb-assets-ruby (<< 1.1.3-1~), libmerb-assets-ruby1.8 (<< 1.1.3-1~)
Provides: libmerb-assets-ruby, libmerb-assets-ruby1.8
Depends: ruby | ruby-interpreter, ruby-merb-core (>= 1.1.3), ruby-json
Breaks: libmerb-assets-ruby (<< 1.1.3-1~), libmerb-assets-ruby1.8 (<< 1.1.3-1~)
Size: 15532
SHA256: a9c1f3c11179606d97c94d7e39dd3d1963db3fc28b6dc282f04f96f09a650303
SHA1: ebe744bd10ebef3c73696aa97353c775aecd7a08
MD5sum: 4c1af541163ecd54cd2a5bb84f212054
Description: Plugin library for Merb that provides helpers for assets
 Provides extra functionality related to assets:
  * Assets bundling.
  * Assets hosts.
  * Helpers to add asset links to views.
  * Deployment-time assets processing (for instance, with YUI Compressor).
Homepage: http://merbivore.com/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-merb-assets/ruby-merb-assets_1.1.3-1_all.deb

Package: ruby-merb-core
Version: 1.1.3+dfsg-2
Installed-Size: 649
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libmerb-core-ruby (<< 1.1.3-1~), libmerb-core-ruby1.8 (<< 1.1.3-1~), merb-core (<< 1.1.3-1~)
Provides: libmerb-core-ruby, libmerb-core-ruby1.8, merb-core
Depends: ruby | ruby-interpreter, ruby-extlib (>= 0.9.13), ruby-erubis (>= 2.6.2), rake, ruby-rack, ruby-mime-types (>= 1.16), bundler
Breaks: libmerb-core-ruby (<< 1.1.3-1~), libmerb-core-ruby1.8 (<< 1.1.3-1~), merb-core (<< 1.1.3-1~)
Size: 168130
SHA256: a2492a3e17d33d357b97613bbafad0388a5cafc9404885927e1a30e48754bf46
SHA1: 3f4f14709b96e3e6bce84f3bf886608e0f086799
MD5sum: 20a182ec16bac395479dd25af9496691
Description: Core libraries for the Merb MVC framework
 Ruby-based MVC framework that is agnostic to ORM, JavaScript library,
 and template languages. Merb is plugin-based, so the core is small and
 well organized.
Homepage: http://merbivore.com/
Ruby-Versions: ruby1.9.1 ruby1.8
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-merb-core/ruby-merb-core_1.1.3+dfsg-2_all.deb

Package: ruby-merb-haml
Version: 1.1.3-2
Installed-Size: 186
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libmerb-haml-ruby (<< 1.1.3-1~), libmerb-haml-ruby1.8 (<< 1.1.3-1~)
Provides: libmerb-haml-ruby, libmerb-haml-ruby1.8
Depends: ruby | ruby-interpreter, ruby-haml
Breaks: libmerb-haml-ruby (<< 1.1.3-1~), libmerb-haml-ruby1.8 (<< 1.1.3-1~)
Size: 8160
SHA256: ca1538d19eadcee64b98574d629eec452f4d0dfb55fe767f7cdc596a17545d92
SHA1: 3addea26683d3fa797cd07ce3b40faf96fe9e0ad
MD5sum: 84cea4a4857409feed774e67271ad64c
Description: Plugin library for Merb that provides access to ruby-haml
 Haml (HTML Abstraction Markup Language) is a layer on top of XHTML or
 XML that's designed to express the structure of XHTML or XML documents
 in a non-repetitive, elegant, easy way, using indentation rather than
 closing tags and allowing Ruby to be embedded with ease. It was originally
 envisioned as a plugin for Ruby on Rails, but it can function as a
 stand-alone templating engine.
Homepage: http://merbivore.com/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-merb-haml/ruby-merb-haml_1.1.3-2_all.deb

Package: ruby-merb-helpers
Version: 1.1.3-1
Installed-Size: 110
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libmerb-helpers-ruby (<< 1.1.3-1~), libmerb-helpers-ruby1.8 (<< 1.1.3-1~)
Provides: libmerb-helpers-ruby, libmerb-helpers-ruby1.8
Depends: ruby | ruby-interpreter, ruby-merb-core (>= 1.1.3)
Breaks: libmerb-helpers-ruby (<< 1.1.3-1~), libmerb-helpers-ruby1.8 (<< 1.1.3-1~)
Size: 20078
SHA256: c7bfd0885c413cbf2818d3666cfd549087c13c05a45c335d906f511c8483e003
SHA1: 6fad3b520baf10115a62dfe756ad44506d2c00d2
MD5sum: 87841d32fc197c58e4051db3522bebcf
Description: Plugin library for Merb that provides view helpers
 This plugin provides the following helpers for your views:
  * form_helpers
  * date_time_helpers
  * tag_helpers
  * text_helpers
Homepage: http://merbivore.com/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-merb-helpers/ruby-merb-helpers_1.1.3-1_all.deb

Package: ruby-merb-param-protection
Version: 1.1.3-1
Installed-Size: 50
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libmerb-param-protection-ruby (<< 1.1.3-1~), libmerb-param-protection-ruby1.8 (<< 1.1.3-1~)
Provides: libmerb-param-protection-ruby
Depends: ruby | ruby-interpreter, ruby-merb-core
Breaks: libmerb-param-protection-ruby (<< 1.1.3-1~), libmerb-param-protection-ruby1.8 (<< 1.1.3-1~)
Size: 5048
SHA256: 1cce1cb9ade04b01cbfcb8b711628cf757c430531a4decca6fc312c6c0f21ba9
SHA1: acea0f3238abf364b0d7ae558a31052eeb0d3e29
MD5sum: d400ec5290a0bc0256a749570c170220
Description: Merb plugin with controller methods to filter parameters
 Controller methods provided by this package:
  * params_protected - removes ONLY those parameters explicitly specified.
  * params_accessible - removes everything except what is explictly specified.
  * log_params_filtered - scrubbed at log time.
Homepage: http://merbivore.com/
Ruby-Versions: ruby1.9.1 ruby1.8
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-merb-param-protection/ruby-merb-param-protection_1.1.3-1_all.deb

Package: ruby-metaclass
Version: 0.0.1-2
Installed-Size: 65
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 3578
SHA256: 97fdedf4bd3a75349f22176f7284833c05d56170bb5778ea8194fff1a67eeba1
SHA1: fb6b5ea918cb9d4787515d5a6506191b94b14dfe
MD5sum: e0bca42be8ea8d0f9e18bdd3f63632a2
Description: Ruby library adding a metaclass method to all Ruby objects
 This simple Ruby library provides for every Ruby object a method called
 "__metaclass__", returning a class attached the object, which offers
 possibilities of metaprogramming, like adding specific methods to the object.
Homepage: http://github.com/floehopper/metaclass
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-metaclass/ruby-metaclass_0.0.1-2_all.deb

Package: ruby-metaid
Version: 1.0-7
Installed-Size: 62
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libmetaid-ruby (<< 1.0-6~), libmetaid-ruby1.8 (<< 1.0-6~)
Provides: libmetaid-ruby, libmetaid-ruby1.8
Depends: ruby | ruby-interpreter
Breaks: libmetaid-ruby (<< 1.0-6~), libmetaid-ruby1.8 (<< 1.0-6~)
Size: 4566
SHA256: 4e873e3bed57600570fad5c5da1e503cd1871409b2f1f93417d6a8e51bda60b6
SHA1: d6d6ffa6fab772cf0c4f6d9563bcceae6a56e377
MD5sum: f47aa990052ebe2762c8a1407958a9ae
Description: Some additional methods to make metaprogramming easier
 MetAid adds a few innocent methods to the ruby Object and Module
 classes, in order to make metaprogramming easier. For the lore of
 metaprogramming see Seeing Metaclasses Clearly and Chapter Six of
 Why's (Poignant) Guide to Ruby, at
 http://mislav.uniqpath.com/poignant-guide
Homepage: http://rubyforge.org/projects/metaid
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-metaid/ruby-metaid_1.0-7_all.deb

Package: ruby-method-source
Version: 0.7.1-1
Installed-Size: 54
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 5894
SHA256: 6dd73c8d3c1325f884f296f9a43f6ec63bdf74131ec531905f9d93d5a71d63a9
SHA1: f4fe256f6e612cfb5e069e412bfda14622aa2833
MD5sum: 27305022b06017defe16bbd82b21109d
Description: Retrieve the sourcecode for a method as a Ruby string
 The `method_source` is a utility to return a method's sourcecode as a
 Ruby string. Also returns `Proc` and `Lambda` sourcecode.
 .
 Method comments can also be extracted using the `comment` method.
 .
 It is written in pure Ruby (no C).
  - Some Ruby 1.8 support now available.
  - Support for MRI, RBX, JRuby, REE
 .
 `method_source` provides the `source` and `comment` methods to the
 `Method` and `UnboundMethod` and `Proc` classes.
Homepage: http://banisterfiend.wordpress.com
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-method-source/ruby-method-source_0.7.1-1_all.deb

Package: ruby-mime-types
Version: 1.19-1
Installed-Size: 178
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libmime-types-ruby (<< 1.16-3~)
Provides: libmime-types-ruby
Depends: ruby1.8 | ruby-interpreter
Breaks: libmime-types-ruby (<< 1.16-3~)
Size: 31840
SHA256: eac5c4f40695b9c97c0a7a5ba2abfe06c3eed466a503a9616fdc099a05204e1a
SHA1: cc8dfbc395f369e5d4d15391425802c9b1611258
MD5sum: e5a971e6ff1b044f099556bd348f495b
Description: guess MIME type of files
 This library allows for the identification of a file's likely MIME
 content type. The identification of MIME content type is based on a
 file's filename extensions.
Homepage: http://mime-types.rubyforge.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-mime-types/ruby-mime-types_1.19-1_all.deb

Package: ruby-minitest
Version: 3.2.0-1
Installed-Size: 149
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby1.8 | ruby-interpreter
Size: 35576
SHA256: e8b0100bb3f27cdfbfbacc6b1494939265ecf7ab247e66f79adb689abda055c0
SHA1: 3ad8c1cc6fd32b357a0b23cbf397aae0b10634fd
MD5sum: 5536a92be38eedfa27b3f09532f1e171
Description: Ruby test tools supporting TDD, BDD, mocking, and benchmarking
 minitest provides a complete suite of testing facilities supporting
 TDD, BDD, mocking, and benchmarking.
 .
 minitest/unit is a small and incredibly fast unit testing framework.
 It provides a rich set of assertions to make your tests clean and
 readable.
 .
 minitest/spec is a functionally complete spec engine. It hooks onto
 minitest/unit and seamlessly bridges test assertions over to spec
 expectations.
 .
 minitest/benchmark is an awesome way to assert the performance of your
 algorithms in a repeatable manner. Now you can assert that your newb
 co-worker doesn't replace your linear algorithm with an exponential
 one!
 .
 minitest/mock by Steven Baker, is a beautifully tiny mock object
 framework.
 .
 minitest/pride shows pride in testing and adds coloring to your test
 output.
 .
 minitest/unit is meant to have a clean implementation for language
 implementors that need a minimal set of methods to bootstrap a working
 test suite. For example, there is no magic involved for test-case
 discovery.
Homepage: http://rubyforge.org/projects/bfts
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-minitest/ruby-minitest_3.2.0-1_all.deb

Package: ruby-mixlib-authentication
Version: 1.1.4-2
Installed-Size: 87
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libmixlib-authentication-ruby (<< 1.1.4-1~), libmixlib-authentication-ruby1.8 (<< 1.1.4-1~)
Provides: libmixlib-authentication-ruby, libmixlib-authentication-ruby1.8
Depends: ruby | ruby-interpreter, ruby-mixlib-log (>= 1.3.0)
Breaks: libmixlib-authentication-ruby (<< 1.1.4-1~), libmixlib-authentication-ruby1.8 (<< 1.1.4-1~)
Size: 8544
SHA256: bd1ee83cb01f03319c3b28f09efb3993fcb4f19795503d291487b82fd8a088fd
SHA1: b6be1b14b1a83ced8a2ed74f0934fd7b495b06a2
MD5sum: 5b534cbb3327427ee9d3026d99b25312
Description: Simple Ruby mixin for creating a logger object
 Provides a class based object to provide logging.  Messages can be sent at
 different levels such as warn or error.  Logging defaults to STDOUT but
 can also be sent to a file with simple built in rotation.
Homepage: http://github.com/opscode/mixlib-authentication
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-mixlib-authentication/ruby-mixlib-authentication_1.1.4-2_all.deb

Package: ruby-mixlib-cli
Version: 1.2.2-2
Installed-Size: 77
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libmixlib-cli-ruby (<< 1.2.2-1~), libmixlib-cli-ruby1.8 (<< 1.2.2-1~), libmixlib-cli-ruby1.9.1 (<< 1.2.2-1~)
Provides: libmixlib-cli-ruby, libmixlib-cli-ruby1.8, libmixlib-cli-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libmixlib-cli-ruby (<< 1.2.2-1~), libmixlib-cli-ruby1.8 (<< 1.2.2-1~), libmixlib-cli-ruby1.9.1 (<< 1.2.2-1~)
Size: 6460
SHA256: cd34b2cf6be44907d1022a8e2ac0bd5e6b35d86d495bb7b1f31b787e58b3b209
SHA1: 022265a122022ebe63a12dc8ddee8c2c907afdb1
MD5sum: 769a7342d33fc1be598019064c252e2d
Description: Ruby mixin for creating command line applications
 It provides a class based object to parse command line options. It supports
 long and short options, descriptions, and a number of other common features.
 .
 Mixlib::CLI provides a class-based command line option parsing object,
 like the one used in Chef, Ohai and Relish.
Homepage: http://github.com/opscode/mixlib-cli
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-mixlib-cli/ruby-mixlib-cli_1.2.2-2_all.deb

Package: ruby-mixlib-config
Version: 1.1.2-3
Installed-Size: 71
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libmixlib-config-ruby (<< 1.1.2-2~), libmixlib-config-ruby1.8 (<< 1.1.2-2~)
Provides: libmixlib-config-ruby, libmixlib-config-ruby1.8
Depends: ruby | ruby-interpreter
Breaks: libmixlib-config-ruby (<< 1.1.2-2~), libmixlib-config-ruby1.8 (<< 1.1.2-2~)
Size: 5678
SHA256: 21f7aec8ef7f66dcb16b5e5396850fae8f6ac0adace884fead151aa0cc07778a
SHA1: 1c470ce9b9bedfa78a6c5961dbb8bbd82c797f26
MD5sum: b89a2805b2805636639b0393fbed1707
Description: Simple class based config mechanism for Ruby
 Mixlib-config provides a class based object to store and manipulate
 configuration values. A file can be read in to populate the object,
 and values can be set and read from the internal hash using methods.
Homepage: https://github.com/opscode/mixlib-config
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-mixlib-config/ruby-mixlib-config_1.1.2-3_all.deb

Package: ruby-mixlib-log
Version: 1.4.1-1
Installed-Size: 77
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libmixlib-log-ruby (<< 1.3.0-1~), libmixlib-log-ruby1.8 (<< 1.3.0-1~)
Provides: libmixlib-log-ruby, libmixlib-log-ruby1.8
Depends: ruby | ruby-interpreter
Breaks: libmixlib-log-ruby (<< 1.3.0-1~), libmixlib-log-ruby1.8 (<< 1.3.0-1~)
Size: 6384
SHA256: 932a156949a3a2c51d0decb9cf9171619722d1de4de48d391186baf104e4325f
SHA1: 5ab6c52b1a49172a247572a9e9143844b0f132a7
MD5sum: a2e2f7067546a7d4bb6cf12f8b8df811
Description: Simple Ruby mixin for creating a logger object
 Provides a class based object to provide logging. Messages can be sent at
 different levels such as warn or error. Logging defaults to STDOUT but
 can also be sent to a file with simple built in rotation.
Homepage: http://github.com/opscode/mixlib-log
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-mixlib-log/ruby-mixlib-log_1.4.1-1_all.deb

Package: ruby-mixlib-shellout
Version: 1.0.0-2
Installed-Size: 106
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 14368
SHA256: f4ecc97caad8f32df491dd10e11ea5c26bb1aeb85d6ca9f7e6cf808b928cf89c
SHA1: 1405ce2acb9165989ea900e5b582fa2647aef492
MD5sum: 42daf654b74512d3df2f51e9370d5a83
Description: mixin library for subprocess management, output collection
 Provides a simplified interface to shelling out yet still collecting
 both standard out and standard error and providing full control over
 environment, working directory, uid, gid, etc.
 .
 No means for providing interaction with the subprocess is provided,
 apart from a simple string as input.
Homepage: https://github.com/opscode/mixlib-shellout
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-mixlib-shellout/ruby-mixlib-shellout_1.0.0-2_all.deb

Package: ruby-mkrf
Version: 0.2.3+dfsg-2
Installed-Size: 116
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, rake
Suggests: rcov
Size: 15888
SHA256: 870771b32979b23e8c58c320f2006daef05d8eea09442ac852195621840be7dc
SHA1: 7c468d138985d6cd42ffa7d39692247de0fff665
MD5sum: 635d3224508cb41e4962817dac170e7c
Description: Ruby library generating Rakefiles to build C extensions to Ruby
 The mkrf Ruby library generates Rakefilesto build extensions to Ruby written
 in C.  Rakefiles are Ruby analogs of Makefiles, describing tasks for the Rake
 utility (Ruby make).
 .
 This library is an alternative to the mkmf Ruby library, provided in the
 ruby-*-dev packages, the main difference being that mkmf generates Makefiles
 for the make utility instead.
Homepage: http://mkrf.rubyforge.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-mkrf/ruby-mkrf_0.2.3+dfsg-2_all.deb

Package: ruby-mocha
Version: 0.11.3-3
Installed-Size: 246
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libmocha-ruby (<< 0.9.12-1~), libmocha-ruby1.8 (<< 0.9.12-1~)
Provides: libmocha-ruby, libmocha-ruby1.8
Depends: ruby | ruby-interpreter, ruby-metaclass, rubygems
Suggests: ruby-mocha-doc
Breaks: libmocha-ruby (<< 0.9.12-1~), libmocha-ruby1.8 (<< 0.9.12-1~)
Size: 36694
SHA256: e0a476623f879c71689b3970b951c9096a05120ccd72a8aec449cd014ec499be
SHA1: 93e19311be8c8367f319fd54349ed7c6cd797894
MD5sum: 94ae523e8dc5a64c66a5b8cc6a097b7e
Description: Mocking and stubbing library for Ruby
 Mocha is a mocking and stubbing library with JMock/SchMock syntax, which
 allows mocking and stubbing of methods on real (non-mock) classes. Mocking and
 stubbing are techniques used to improve unit testing.
Homepage: http://mocha.rubyforge.org/
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-mocha/ruby-mocha_0.11.3-3_all.deb

Package: ruby-mocha-doc
Source: ruby-mocha
Version: 0.11.3-3
Installed-Size: 724
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: libjs-jquery
Suggests: ruby-mocha, doc-base
Size: 78758
SHA256: 41975591c3734f2a5165c5041259375a9c9ea43d13b0574dacd1adf75f4053a7
SHA1: 0b7cda42aa5b1fc6006ff03fcdbf4b48d7ae6e30
MD5sum: 4c51d779af16c0f51b108cbb1665c374
Description: Mocking and stubbing library for Ruby - documentation
 Mocha is a mocking and stubbing library with JMock/SchMock syntax, which
 allows mocking and stubbing of methods on real (non-mock) classes. Mocking and
 stubbing are techniques used to improve unit testing.
 .
 This package contains the documentation of the Mocha library.
Homepage: http://mocha.rubyforge.org/
Section: doc
Priority: optional
Filename: pool/main/r/ruby-mocha/ruby-mocha-doc_0.11.3-3_all.deb

Package: ruby-moneta
Version: 0.6.0-4
Installed-Size: 88
Maintainer: Joshua Timberman 
Architecture: all
Replaces: libmoneta-ruby (<< 0.6.0-1~), libmoneta-ruby1.8 (<< 0.6.0-1~)
Provides: libmoneta-ruby, libmoneta-ruby1.8
Depends: ruby | ruby-interpreter
Breaks: libmoneta-ruby (<< 0.6.0-1~), libmoneta-ruby1.8 (<< 0.6.0-1~)
Size: 9420
SHA256: fee45b6dbc3b975e3eaf8c00b36b9aa053793f3e485e7353a7dfec342f13d476
SHA1: c0707f0603f8c83e79dd9c4bd4d19584ed782eba
MD5sum: 7b4f1eeb59f9a1f4e497b6ee21b13d09
Description: Ruby interface to multiple key/value stores
 Moneta is a Ruby library to interface with a variety of key/value stores.
 Out of the box it supports: xattr, basic file, memcache, in-memory,
 datamapper, s3, berkeley db, redis, sdbm, tokyo, couchdb.
Homepage: http://github.com/wycats/moneta
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: extra
Filename: pool/main/r/ruby-moneta/ruby-moneta_0.6.0-4_all.deb

Package: ruby-mp3tag
Version: 1.0-11
Installed-Size: 59
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libmp3tag-ruby1.8 (<< 1.0-7~), libmp3tag-ruby1.9.1 (<< 1.0-7~)
Provides: libmp3tag-ruby1.8, libmp3tag-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libmp3tag-ruby1.8 (<< 1.0-7~), libmp3tag-ruby1.9.1 (<< 1.0-7~)
Size: 7960
SHA256: 4deb88140b60ca269a7b747a04743e3fe4efb5911bb95240c9ad32a23ed73997
SHA1: 4314cdac10d404f689a028bb150a194f87429a8f
MD5sum: 2f19dc9becb474a57bfd45386fbc7991
Description: Ruby library for manipulating ID3V1.1 tags in MP3
 mp3tag.rb is a ruby class to read ID3v1.0 an ID3v1.1, and write
 ID3v1.1 tags in MP3 files. These tags save meta information about
 the music piece such song name, artist, album, genre, track number
 and a comment.
Homepage: http://users.cybercity.dk/~dsl8950/ruby/mp3tag.html
Section: ruby
Priority: extra
Filename: pool/main/r/ruby-mp3tag/ruby-mp3tag_1.0-11_all.deb

Package: ruby-msgpack
Version: 0.4.6-4
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 105
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.352) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libmsgpack-ruby1.8 (<< 0.4.6-2~), libmsgpack-ruby1.9.1 (<< 0.4.6-2~)
Replaces: libmsgpack-ruby1.8 (<< 0.4.6-2~), libmsgpack-ruby1.9.1 (<< 0.4.6-2~)
Provides: libmsgpack-ruby1.8, libmsgpack-ruby1.9.1
Homepage: http://msgpack.org/
Priority: extra
Section: ruby
Filename: pool/main/r/ruby-msgpack/ruby-msgpack_0.4.6-4_armhf.deb
Size: 20408
SHA256: 05026953e8faaec4ab232a5b31684b09dfc8156aad9e7b3c95d2abae5e57385e
SHA1: fcf64145a07de402a5075fa7a62093e7434c5193
MD5sum: 8d93b6f723ce155d5ab9ba7a8467b432
Description: Ruby library for MessagePack
 ruby-msgpack is Ruby library for MessagePack, a binary-based efficient object
 serialization.  It enables to exchange structured objects between many
 languages like JSON.  But unlike JSON, it is very fast and small.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-multi-json
Version: 1.3.6-1
Installed-Size: 101
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Recommends: ruby-yajl (>= 1.0) | ruby-json (>= 1.4)
Size: 13642
SHA256: 698c7e5e6b3e029868c3f13f5a5fc44a525e28e2abf363ef954510571b40683f
SHA1: 900025334549fccd24347428db3c572c54eb0085
MD5sum: ec143d0b33c66c477741ef0b3c653b10
Description: Ruby library to provide easy switching between different JSON backends
 A Ruby library to provide easy switching between different JSON backends,
 including Oj, Yajl, the JSON gem (with C-extensions), the pure-Ruby JSON gem,
 and OkJson.
Homepage: http://github.com/intridea/multi_json
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-multi-json/ruby-multi-json_1.3.6-1_all.deb

Package: ruby-multibitnums
Version: 0.1.4-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 76
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.352) | libruby1.9.1 (>= 1.9.2.0), ruby-narray, ruby | ruby-interpreter
Conflicts: libmultibitnums-ruby (<< 0.1.2-1), libmultibitnums-ruby1.8 (<< 0.1.2-1), libmultibitnums-ruby1.9.1 (<< 0.1.2-1)
Replaces: libmultibitnums-ruby (<< 0.1.2-1), libmultibitnums-ruby1.8 (<< 0.1.2-1), libmultibitnums-ruby1.9.1 (<< 0.1.2-1)
Provides: libmultibitnums-ruby, libmultibitnums-ruby1.8, libmultibitnums-ruby1.9.1
Homepage: http://www.gfd-dennou.org/library/ruby/products/multibitnums/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-multibitnums/ruby-multibitnums_0.1.4-1_armhf.deb
Size: 7846
SHA256: b574f1b35ba2af33728443b40972122c4fb697b53549fcad901667bd618fe234
SHA1: 4c35241498908309c3f5023144c0c81877ce11b5
MD5sum: 613a059b3c1f4c21ed091fee121a137a
Description: extension library for integers with arbitrary bit lengths
 Multibitnums is Ruby class library, handling multiple multi-bit data
 packed in a C string. The number of bits is fixed to an arbitrary
 value. For example, it can accommodate 11-bit integers.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-multibitnums-dbg
Source: ruby-multibitnums
Version: 0.1.4-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 96
Depends: ruby-multibitnums (= 0.1.4-1)
Homepage: http://www.gfd-dennou.org/library/ruby/products/multibitnums/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-multibitnums/ruby-multibitnums-dbg_0.1.4-1_armhf.deb
Size: 10654
SHA256: ab7dc2444b73df83f2795fb413aa3023c9cccf5771ad588167d4f5c5d9fb1bd4
SHA1: b96d120d139ed53be9f07192e7f52afab6a99a4d
MD5sum: d2188256cea34b7f9660011c9e5eb0c7
Description: extension library for integers with arbitrary bit lengths
 Multibitnums is Ruby class library, handling multiple multi-bit data
 packed in a C string. The number of bits is fixed to an arbitrary
 value. For example, it can accommodate 11-bit integers.
 .
 This package is provided primarily to provide a backtrace with names
 in a debugger, this makes it somewhat easier to interpret core
 dumps.  Most people will not need this package.

Package: ruby-mustache
Version: 0.99.4-3
Installed-Size: 64
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-sinatra
Suggests: ruby-rack
Size: 28476
SHA256: 1f9f43f2b6518a9123aec98c8d5eddf5aa061d37fc233d7832b5c5ab4ac1d3a6
SHA1: c8bec3f78d7ddc12837e0e7912ca868a3428d91a
MD5sum: bb1e82f0896b7e0a31d6d81af6547bdd
Description: Mustache is a framework-agnostic way to render logic-free views
 Inspired by ctemplate, Mustache is a framework-agnostic way to render
 logic-free views. (As in model-view-controller.)
 .
 As ctemplates says, "It emphasizes separating logic from presentation:
 it is impossible to embed application logic in this template
 language."
 .
 Think of Mustache as a replacement for your views. Instead of views
 consisting of ERB or HAML with random helpers and arbitrary logic,
 your views are broken into two parts: a Ruby class and an HTML
 template.
Homepage: http://github.com/defunkt/mustache
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-mustache/ruby-mustache_0.99.4-3_all.deb

Package: ruby-mysql
Version: 2.8.2+gem2deb-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 313
Depends: libc6 (>= 2.13-28), libmysqlclient18 (>= 5.5.24+dfsg-1), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libmysql-ruby (<< 2.8.2-2~), libmysql-ruby1.8 (<< 2.8.2-2~), libmysql-ruby1.9.1 (<< 2.8.2-2~)
Replaces: libmysql-ruby (<< 2.8.2-2~), libmysql-ruby1.8 (<< 2.8.2-2~), libmysql-ruby1.9.1 (<< 2.8.2-2~)
Provides: libmysql-ruby, libmysql-ruby1.8, libmysql-ruby1.9.1
Homepage: http://www.tmtm.org/en/mysql/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-mysql/ruby-mysql_2.8.2+gem2deb-3_armhf.deb
Size: 88924
SHA256: f5e192cee5eaab610b14e95bec937ab881da3756744e46fdebca22c9dc1d9d2b
SHA1: 1de12530ef80a8a1f8b794840ec4d7b2e8d073ad
MD5sum: 8df5493f0bdcee93a0a59b30d00b7e09
Description: MySQL module for Ruby
 This is an API module that allows Ruby programs to interface with MySQL
 databases. Usually, it will be pulled in automatically by packages providing
 Ruby programs which need this capability, you only need to install it directly
 if you intend to write such programs yourself.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-narray
Version: 0.6.0.1-1
Architecture: armhf
Maintainer: Daigo Moriwaki 
Installed-Size: 350
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.352) | libruby1.9.1 (>= 1.9.3~rc1), ruby1.8 | ruby-interpreter
Conflicts: libnarray-ruby (<< 0.5.9p9-1), libnarray-ruby1.8 (<< 0.5.9p9-1), libnarray-ruby1.9.1 (<< 0.5.9p9-1)
Replaces: libnarray-ruby (<< 0.5.9p9-1), libnarray-ruby1.8 (<< 0.5.9p9-1), libnarray-ruby1.9.1 (<< 0.5.9p9-1)
Provides: libnarray-ruby, libnarray-ruby1.8, libnarray-ruby1.9.1
Homepage: http://narray.rubyforge.org/index.html.en
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-narray/ruby-narray_0.6.0.1-1_armhf.deb
Size: 132190
SHA256: 54a1ce16e262be8e379e39d7496113c14f8d44d385e12d50969e97e8764847fc
SHA1: 6a8c37b167aeb832f1757a9d6065794f172c09d5
MD5sum: 2646588150a93f50781b84d7487f6140
Description: Numerical N-dimensional Array library for Ruby
 NArray is an extension library for Ruby that provides fast calculation and
 easy manipulation of large numerical arrays with the Ruby language. It has
 numerical n-dimensional array class as well as vector and matrix subclasses,
 which can contain 1/2/4-byte Integer, single/double-precision Real/Complex and
 Ruby Object.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-narray-dbg
Source: ruby-narray
Version: 0.6.0.1-1
Architecture: armhf
Maintainer: Daigo Moriwaki 
Installed-Size: 719
Depends: ruby-narray (= 0.6.0.1-1)
Conflicts: libnarray-ruby1.8-dbg (<< 0.5.9p9-1), libnarray-ruby1.9.1-dbg (<< 0.5.9p9-1)
Replaces: libnarray-ruby1.8-dbg (<< 0.5.9p9-1), libnarray-ruby1.9.1-dbg (<< 0.5.9p9-1)
Provides: libnarray-ruby1.8-dbg, libnarray-ruby1.9.1-dbg
Homepage: http://narray.rubyforge.org/index.html.en
Priority: extra
Section: debug
Filename: pool/main/r/ruby-narray/ruby-narray-dbg_0.6.0.1-1_armhf.deb
Size: 249752
SHA256: 8d12a8fbd0cfac824b3157afae3f5a68c6e6b84770736ced8ac995e024f4d327
SHA1: f597dc2ab4b306160ed8daefcc8e5421179d9223
MD5sum: 80bf5a1dcf8b19aed3b589f5f4754d19
Description: Numerical N-dimensional Array library for Ruby
 NArray is an extension library for Ruby that provides fast calculation and
 easy manipulation of large numerical arrays with the Ruby language. It has
 numerical n-dimensional array class as well as vector and matrix subclasses,
 which can contain 1/2/4-byte Integer, single/double-precision Real/Complex and
 Ruby Object.
 .
 This package is provided primarily to provide a backtrace with names
 in a debugger, this makes it somewhat easier to interpret core
 dumps.  Most people will not need this package.

Package: ruby-narray-miss
Version: 1.2.7-2
Installed-Size: 118
Maintainer: Debian GIS Project 
Architecture: all
Replaces: libnarray-miss-ruby (<< 1.2.1-1~), libnarray-miss-ruby1.8 (<< 1.2.1-1~), libnarray-miss-ruby1.9.1 (<< 1.2.1-1~)
Provides: libnarray-miss-ruby, libnarray-miss-ruby1.8, libnarray-miss-ruby1.9.1
Depends: ruby-narray
Breaks: libnarray-miss-ruby (<< 1.2.1-1~), libnarray-miss-ruby1.8 (<< 1.2.1-1~), libnarray-miss-ruby1.9.1 (<< 1.2.1-1~)
Size: 18086
SHA256: 9be77623ab7918ed99bd7d4ed49928448c861fd236a87753878012c91a017e2b
SHA1: cb70e0be1bd93c0d48aaf32227381e2d3f7b9e89
MD5sum: 336aad4aae9b5606f38cb5688dbca3b3
Description: Additional class with processing of missing value to NArray
 NArrayMiss is a additional class with processing of missing value to
 NArray, which is a numeric multi-dimensional array class.
Homepage: http://ruby.gfd-dennou.org/products/narray_miss/index.html
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-narray-miss/ruby-narray-miss_1.2.7-2_all.deb

Package: ruby-ncurses
Version: 1.3.1-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 366
Depends: libc6 (>= 2.13-28), libncursesw5 (>= 5.6+20070908), libtinfo5, libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libncurses-ruby (<< 1.2.4-1~), libncurses-ruby1.8 (<< 1.2.4-1~), libncurses-ruby1.9 (<< 1.2.4-1~), libncurses-ruby1.9.1 (<< 1.2.4-1~)
Replaces: libncurses-ruby (<< 1.2.4-1~), libncurses-ruby1.8 (<< 1.2.4-1~), libncurses-ruby1.9 (<< 1.2.4-1~), libncurses-ruby1.9.1 (<< 1.2.4-1~)
Provides: libncurses-ruby, libncurses-ruby1.8, libncurses-ruby1.9, libncurses-ruby1.9.1, ruby-ncursesw
Homepage: http://ncurses-ruby.berlios.de/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-ncurses/ruby-ncurses_1.3.1-2_armhf.deb
Size: 120436
SHA256: 1badd5f8029d70ecc8445923fb277f83a8078054847e20ab65056b071ba1b9d0
SHA1: d1cfdc3ecb75d920061bf6144549196b0d2c4c26
MD5sum: b839806f269f8c755f8e89da260f707a
Description: ruby extension for the ncurses C library
 All C functions are wrapped by module functions of the module "Ncurses",
 with exactly the same name. Additionally, C functions expecting a WINDOW* as
 their first argument can also be called as methods of the "Ncurses::WINDOW"
 class.
 .
 The panel library (for support of overlapping windows) is also wrapped, in
 the module "Ncurses::Panel".
 .
 This module is built with wide character support.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-net-http-digest-auth
Version: 1.2-2
Installed-Size: 83
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 6904
SHA256: 0e1fc3702390051d67659d033fa4585cf58f3dfc47e0773a841ea44a41dbb49c
SHA1: 4aa3c674fa6a8cc2500174c8c0cb64a4be57e09b
MD5sum: dccc7754a62017a8c597aa47d8eab3a2
Description: RFC 2617 Digest Access Authentication implementation
 Stand-alone Ruby library implementing Digest Access
 Authentication. This package is completely independent (and does not
 fully integrate with) Net::HTTP.
Homepage: http://docs.seattlerb.org/net-http-digest_auth
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-net-http-digest-auth/ruby-net-http-digest-auth_1.2-2_all.deb

Package: ruby-net-http-persistent
Version: 2.7-2
Installed-Size: 114
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 18656
SHA256: cdde0f156d5bf517ff6685fab8ee082e633f7052c05067ef3a5ab8b6a432281d
SHA1: 7efe040d5e6baf583291551f955c105e3c0d7041
MD5sum: 87559dfc610de08d755cc8fe12e215f9
Description: Manages persistent connections using Net::HTTP
 This package provides persistent connections to Net::HTTP.
 .
 Creating a new HTTP connection for every request involves an extra TCP
 round-trip and causes TCP congestion avoidance negotiation to start over.
 Using persistent HTTP connections can dramatically increase the speed of HTTP.
 .
 Net::HTTP supports persistent connections with some API methods but does not
 handle reconnection gracefully.  Net::HTTP::Persistent supports reconnection
 and retry according to RFC 2616.
Homepage: http://docs.seattlerb.org/net-http-persistent
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-net-http-persistent/ruby-net-http-persistent_2.7-2_all.deb

Package: ruby-net-irc
Version: 0.0.9-2
Installed-Size: 290
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Conflicts: libnet-irc-ruby
Size: 62536
SHA256: 231b1b2fcb73b2ce2423a6047fd187ac5d9c2d0eb131dca7029d95a47d15649f
SHA1: ab54d37ce90e9882eafc1446473e1d301eb22f43
MD5sum: f9dae9c4b9cab5dc3cedc270b16a9651
Description: Ruby IRC library (Client, Server and many IRC gateways to webservice)
 The "net-irc" is a library for implementing IRC server(for gateway and
 web services) and client(for bot).
 .
 This is mostly conform to RFC 1459 but partly not for convenience.
Homepage: http://cho45.stfuawsc.com/net-irc/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-net-irc/ruby-net-irc_0.0.9-2_all.deb

Package: ruby-net-ldap
Version: 0.3.1-2
Installed-Size: 237
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 49082
SHA256: 252437f5ec5f9f745014045e6ce044dfe39e2ea3b7288fff3e22480ec5ea77c7
SHA1: c870fe580ef54f1eec461018a6528d333565ed46
MD5sum: 9a67f9ae5987ba8950554d5a0e72ea77
Description: LDAP client library for Ruby
 Net::LDAP is an LDAP support library written in pure Ruby.  It
 supports all LDAP client features, and a subset of server features
 as well.
Homepage: http://rubyldap.com/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-net-ldap/ruby-net-ldap_0.3.1-2_all.deb

Package: ruby-net-netrc
Version: 0.2.2-2
Installed-Size: 54
Maintainer: Tatsuki Sugiura 
Architecture: all
Replaces: libnet-netrc-ruby1.8 (<< 0.2.2-2~)
Provides: libnet-netrc-ruby, libnet-netrc-ruby1.8
Depends: ruby | ruby-interpreter
Breaks: libnet-netrc-ruby1.8 (<< 0.2.2-2~)
Size: 6964
SHA256: 79cada0cb9cd437662451f5a11b6ff55fc61c43f664e1f72df6ca52fc9b53d59
SHA1: f791086a778bcb5cbef3706a2cf902ac34d4edc9
MD5sum: a782f2397a7e6522f51df62e4a779193
Description: Pure Ruby library to parse .netrc file
 Net::Netrc is a pure Ruby library to parse .net file compat with
 ftp(1) / netrc(5).
Homepage: http://rubyforge.org/projects/net-netrc/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: extra
Filename: pool/main/r/ruby-net-netrc/ruby-net-netrc_0.2.2-2_all.deb

Package: ruby-net-scp
Version: 1.0.4-2
Installed-Size: 108
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libnet-scp-ruby (<< 1.0.4-1~), libnet-scp-ruby1.8 (<< 1.0.4-1~), libnet-scp-ruby1.9.1 (<< 1.0.4-1~)
Provides: libnet-scp-ruby, libnet-scp-ruby1.8, libnet-scp-ruby1.9.1
Depends: ruby1.8 | ruby-interpreter, ruby-net-ssh
Breaks: libnet-scp-ruby (<< 1.0.4-1~), libnet-scp-ruby1.8 (<< 1.0.4-1~), libnet-scp-ruby1.9.1 (<< 1.0.4-1~)
Size: 14034
SHA256: 8c1deddbefc9bd8c829f1eeca955cc5a8e8b2a59e8c8b6d767708c5dd1d12924
SHA1: 0a1d1b43fab7ca62ce30b7ba0e227838178ae901
MD5sum: 339d0505e6a8942d2d4f0786c3cf74da
Description: pure ruby implementation of the SCP protocol
 Net::SCP is a pure-Ruby implementation of the SCP protocol.
 This operates over SSH (and requires the Net::SSH library), and allows
 files and directory trees to copied to and from a remote server.
Homepage: http://net-ssh.github.com/scp/v1/api/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-net-scp/ruby-net-scp_1.0.4-2_all.deb

Package: ruby-net-sftp
Version: 1:2.0.5-3
Installed-Size: 247
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libnet-sftp-ruby1.8 (<< 2.0.5-1~), libnet-sftp2-ruby (<< 2.0.5-1~), libnet-sftp2-ruby1.8 (<< 2.0.5-1~), libnet-sftp2-ruby1.9.1 (<< 2.0.5-1~)
Provides: libnet-sftp-ruby1.8, libnet-sftp2-ruby, libnet-sftp2-ruby1.8, libnet-sftp2-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-net-ssh
Breaks: libnet-sftp-ruby1.8 (<< 2.0.5-1~), libnet-sftp2-ruby (<< 2.0.5-1~), libnet-sftp2-ruby1.8 (<< 2.0.5-1~), libnet-sftp2-ruby1.9.1 (<< 2.0.5-1~)
Size: 38234
SHA256: f90b50dbdf450b73a7499ba8b2e2a0a160d1b7b73810071aa144639694b42a27
SHA1: 9ab3c2ca056e467e877c833770297f5044524ed2
MD5sum: 6d739f837cc6970de87d8ec2ac7b4bfb
Description: Ruby implementation of the SFTP protocol
 Net::SFTP is a pure-Ruby implementation of the SFTP protocol (specifically,
 versions 1 through 6 of the SFTP protocol). Note that this is the "Secure File
 Transfer Protocol", typically run over an SSH connection, and has nothing to
 do with the FTP protocol.
Homepage: http://net-ssh.github.com/sftp/v2/api/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-net-sftp/ruby-net-sftp_2.0.5-3_all.deb

Package: ruby-net-ssh
Version: 1:2.5.2-2
Installed-Size: 418
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libnet-ssh-ruby1.8 (<< 2.1.4-1~), libnet-ssh2-ruby (<< 2.1.4-1~), libnet-ssh2-ruby1.8 (<< 2.1.4-1~), libnet-ssh2-ruby1.9.1 (<< 2.1.4-1~)
Provides: libnet-ssh-ruby1.8, libnet-ssh2-ruby, libnet-ssh2-ruby1.8, libnet-ssh2-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libnet-ssh-ruby1.8 (<< 2.1.4-1~), libnet-ssh2-ruby (<< 2.1.4-1~), libnet-ssh2-ruby1.8 (<< 2.1.4-1~), libnet-ssh2-ruby1.9.1 (<< 2.1.4-1~)
Size: 91390
SHA256: f5bb7a236d678c09bdf0dfcf8434402588c17cd9c59b0099f1c8b801fc8a5bcd
SHA1: 38f27e5403c393b7fc721320825f88bd533a9605
MD5sum: 2ea60b2e2b3c392d40048ac6430708cb
Description: Ruby implementation of the SSH protocol
 Net::SSH is a pure-Ruby implementation of the SSH protocol. It allows
 you to write programs that invoke and interact with processes on remote
 servers, via SSH.
Homepage: http://net-ssh.github.com/net-ssh/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-net-ssh/ruby-net-ssh_2.5.2-2_all.deb

Package: ruby-net-ssh-gateway
Version: 1.1.0-2
Installed-Size: 78
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libnet-ssh-gateway-ruby (<< 1.0.1-3~), libnet-ssh-gateway-ruby1.8 (<< 1.0.1-3~), libnet-ssh-gateway-ruby1.9.1 (<< 1.0.1-3~)
Provides: libnet-ssh-gateway-ruby, libnet-ssh-gateway-ruby1.8, libnet-ssh-gateway-ruby1.9.1
Depends: ruby1.8 | ruby-interpreter, ruby-net-ssh
Breaks: libnet-ssh-gateway-ruby (<< 1.0.1-3~), libnet-ssh-gateway-ruby1.8 (<< 1.0.1-3~), libnet-ssh-gateway-ruby1.9.1 (<< 1.0.1-3~)
Size: 7042
SHA256: 45debdae468c1c5d2a29aab9270591a085806730304a271d0198e2932ee0ba47
SHA1: 0a8b92ce1087a7d02a1c64e625626c3248b37f5e
MD5sum: d5fd410cfef22f4fddec7dc244d528da
Description: Ruby library for tunneling connections to servers with ssh
 Net::SSH::Gateway is a library for programmatically tunneling connections to
 servers via a single "gateway" host.
 It is useful for establishing Net::SSH connections to servers behind firewalls,
 but can also be used to forward ports and establish connections of other types,
 like HTTP, to servers with restricted access.
Homepage: http://net-ssh.github.com/net-ssh/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-net-ssh-gateway/ruby-net-ssh-gateway_1.1.0-2_all.deb

Package: ruby-net-ssh-multi
Version: 1.1-2
Installed-Size: 135
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libnet-ssh-multi-ruby (<< 1.1-1~), libnet-ssh-multi-ruby1.8 (<< 1.1-1~), libnet-ssh-multi-ruby1.9.1 (<< 1.1-1~)
Provides: libnet-ssh-multi-ruby, libnet-ssh-multi-ruby1.8, libnet-ssh-multi-ruby1.9.1
Depends: ruby1.8 | ruby-interpreter, ruby-net-ssh, ruby-net-ssh-gateway
Breaks: libnet-ssh-multi-ruby (<< 1.1-1~), libnet-ssh-multi-ruby1.8 (<< 1.1-1~), libnet-ssh-multi-ruby1.9.1 (<< 1.1-1~)
Size: 21386
SHA256: caf630c0e260ebe771b238ca8b94a450d50490669b740d00456913bddcf7504f
SHA1: 19f17bca1bf5efd7d60470ff7ecb39e836c0c507
MD5sum: 9d9677d7c0520aef12d2a026b5c7d9a2
Description: Ruby library for making multiple SSH connections to remote systems
 Net::SSH::Multi is a library for controlling multiple Net::SSH connections
 via a single interface. It exposes an API similar to that of
 Net::SSH::Connection::Session and Net::SSH::Connection::Channel, making it
 simpler to adapt programs designed for single connections to be used with
 multiple connections.
 .
 This library is particularly useful for automating repetitive tasks that
 must be performed on multiple machines. It executes the commands in parallel,
 and allows commands to be executed on subsets of servers (defined by groups).
Homepage: http://net-ssh.github.com/multi/v1/api/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-net-ssh-multi/ruby-net-ssh-multi_1.1-2_all.deb

Package: ruby-netcdf
Version: 0.6.6-1
Architecture: armhf
Maintainer: Debian GIS Project 
Installed-Size: 252
Depends: libc6 (>= 2.13-28), libnetcdfc7, libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby-narray, ruby-narray-miss
Breaks: netcdf-ruby (<< 0.6.5-2~), netcdf-ruby1.8 (<< 0.6.5-2~), netcdf-ruby1.9.1 (<< 0.6.5-2~)
Replaces: netcdf-ruby (<< 0.6.5-2~), netcdf-ruby1.8 (<< 0.6.5-2~), netcdf-ruby1.9.1 (<< 0.6.5-2~)
Provides: netcdf-ruby, netcdf-ruby1.8, netcdf-ruby1.9.1
Homepage: http://ruby.gfd-dennou.org/products/ruby-netcdf
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-netcdf/ruby-netcdf_0.6.6-1_armhf.deb
Size: 62126
SHA256: 08488c8087b5507e8e11acbd6356a3324d7a37ea1590d2f5119b801965dd1167
SHA1: 1b852eaeb2914429ca94ea786c1af8f8270f9715
MD5sum: 09ccd54ac12f6e5a932083fb780a0e06
Description: Ruby interface of netCDF library
 RubyNetCDF is a Ruby interface of netCDF library built on the NArray
 library, which is an efficient multi-dimensional numeric array class
 for Ruby.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-netcdf-dbg
Source: ruby-netcdf
Version: 0.6.6-1
Architecture: armhf
Maintainer: Debian GIS Project 
Installed-Size: 311
Depends: ruby-netcdf (= 0.6.6-1)
Breaks: netcdf-ruby1.8-dbg (<< 0.6.5-2~), netcdf-ruby1.9.1-dbg (<< 0.6.5-2~)
Replaces: netcdf-ruby1.8-dbg (<< 0.6.5-2~), netcdf-ruby1.9.1-dbg (<< 0.6.5-2~)
Provides: netcdf-ruby, netcdf-ruby1.8, netcdf-ruby1.9.1
Homepage: http://ruby.gfd-dennou.org/products/ruby-netcdf
Priority: extra
Section: debug
Filename: pool/main/r/ruby-netcdf/ruby-netcdf-dbg_0.6.6-1_armhf.deb
Size: 99330
SHA256: 35bb44d4dab299246546f650e0d6d4eeae71a48e61b79f59a09cf9aa36f580a9
SHA1: 32166fef9c284e102853b41f92341c1b72a0f6cd
MD5sum: 50308031185208ea6f87922055258a5f
Description: Ruby-NetCDF debug symbols
 RubyNetCDF is a Ruby interface of netCDF library built on the NArray
 library, which is an efficient multi-dimensional numeric array class
 for Ruby.
 .
 This package is provided primarily to provide a backtrace with names
 in a debugger, this makes it somewhat easier to interpret core
 dumps.  Most people will not need this package.

Package: ruby-nokogiri
Version: 1.5.5-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 528
Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libnokogiri-ruby (<< 1.5.0-1~), libnokogiri-ruby1.8 (<< 1.5.0-1~), libnokogiri-ruby1.9 (<< 1.5.0-1~), libnokogiri-ruby1.9.1 (<< 1.5.0-1~)
Replaces: libnokogiri-ruby (<< 1.5.0-1~), libnokogiri-ruby1.8 (<< 1.5.0-1~), libnokogiri-ruby1.9 (<< 1.5.0-1~), libnokogiri-ruby1.9.1 (<< 1.5.0-1~)
Provides: libnokogiri-ruby, libnokogiri-ruby1.8, libnokogiri-ruby1.9, libnokogiri-ruby1.9.1
Homepage: http://nokogiri.org
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-nokogiri/ruby-nokogiri_1.5.5-1_armhf.deb
Size: 128706
SHA256: 660abbc6f4917f515a91fa93c0e13c10ff1b6eb61664d94fba508772340b8d6a
SHA1: 161cb0b32651a0413338a994ae573d0ba784dbff
MD5sum: 23ba06a61598168789dbb615e55652c7
Description: HTML, XML, SAX, and Reader parser for Ruby
 Nokogiri (鋸) is an HTML, XML, SAX, and Reader parser.  It is able to
 search documents via XPath or CSS3 selectors, and is a drop-in replacement
 for Hpricot (though not bug for bug).
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-nora
Version: 1:0.0.20041021-5.1
Architecture: armhf
Maintainer: Tatsuki Sugiura 
Installed-Size: 288
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1), ruby1.8
Suggests: ruby-fcgi, libapache-mod-ruby, drb
Breaks: libnora-ruby (<< 1:0.0.20041021-5~), libnora-ruby1.8 (<< 1:0.0.20041021-5~)
Replaces: libnora-ruby (<< 1:0.0.20041021-5~), libnora-ruby1.8 (<< 1:0.0.20041021-5~)
Provides: libnora-ruby, libnora-ruby1.8
Priority: extra
Section: ruby
Filename: pool/main/r/ruby-nora/ruby-nora_0.0.20041021-5.1_armhf.deb
Size: 48286
SHA256: aca511f286453f7f9bfc8c41c013d902269b2fcd26e768384c427a47df847ec6
SHA1: ded1049d36d60a21a41846fc05bf754964e60268
MD5sum: 3cec3e8546e1c1eb8aed68f9bdd7bb3c
Description: Web Application Library for ruby
 Features:
  * CGI/mod_ruby/FastCGI/Rinda/Mail interface
  * Persistent Framework
  * multipart/form-data support
  * Cookie support

Package: ruby-ntlm
Version: 0.1.1-1
Installed-Size: 65
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 7802
SHA256: e6be7f3e6f5867690d4799c700c3aa27760209452970f5d0a34509a7620ae9fb
SHA1: b623a6b8eefd103c9882fc1701ff61b360523833
MD5sum: 4ce53d6404ab3cac3a12862fcb529fb1
Description: Ruby/NTLM library.
 Ruby/NTLM provides message creator and parser for the NTLM authentication.
Homepage: http://rubyforge.org/projects/rubyntlm
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-ntlm/ruby-ntlm_0.1.1-1_all.deb

Package: ruby-oauth
Version: 0.4.6-2
Installed-Size: 98
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: liboauth-ruby1.8 (<< 0.4.5-2~), liboauth-ruby1.9.1 (<< 0.4.5-2~)
Provides: liboauth-ruby1.8, liboauth-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: liboauth-ruby1.8 (<< 0.4.5-2~), liboauth-ruby1.9.1 (<< 0.4.5-2~)
Size: 31936
SHA256: fe45e3d37c5b35fdec518c597a17f291b3a59e0cee648c82ff2674d25b34fcb2
SHA1: 30f8814b6fc4a39d4a7036a36fbeda75200190ea
MD5sum: 7448e54bedc51de5eac2ab3ee241be40
Description: Ruby library for OAuth core
 This package is a ruby library providing OAuth consumer and provider.
 .
 OAuth is an open standard that allows users to share their private
 resources (e.g. photos, videos, contact lists) stored on one site with
 another site without having to hand out their username and password.
Homepage: https://github.com/oauth/oauth-ruby
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: extra
Filename: pool/main/r/ruby-oauth/ruby-oauth_0.4.6-2_all.deb

Package: ruby-odbc
Version: 0.99994-4
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 583
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.352), libruby1.9.1 (>= 1.9.2.0), libiodbc2 | unixodbc, ruby | ruby-interpreter
Suggests: libdbi-ruby1.8, libdbi-ruby1.9
Conflicts: libodbc-ruby (<< 0.99994-1), libodbc-ruby-doc (<< 0.99994-2~), libodbc-ruby1.8 (<< 0.99994-1), libodbc-ruby1.9.1 (<< 0.99994-1)
Replaces: libodbc-ruby (<< 0.99994-1), libodbc-ruby-doc (<< 0.99994-2~), libodbc-ruby1.8 (<< 0.99994-1), libodbc-ruby1.9.1 (<< 0.99994-1)
Provides: libodbc-ruby, libodbc-ruby-doc, libodbc-ruby1.8, libodbc-ruby1.9.1
Homepage: http://www.ch-werner.de/rubyodbc/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-odbc/ruby-odbc_0.99994-4_armhf.deb
Size: 210484
SHA256: 28ee629184cd8008d247319628abf313b2c869e48b9cd0f6bdef64827e0508e9
SHA1: 2176c40859f52cd33da215ba1f3e6fb5e723dac7
MD5sum: 30bb904ea09645acfff09b74d0159798
Description: ODBC Binding for Ruby
 ODBC is a standard API for connecting to ODBC data sources such as Relational
 Database Management Systems (RDBMSs). For accessing ODBC data sources from the
 Ruby language, an ODBC binding library and Ruby interface are required. This
 package provides them for both Ruby 1.8 and 1.9.1.
 .
 This package includes both UTF-8 and non-UTF-8 versions.

Package: ruby-odbc-dbg
Source: ruby-odbc
Version: 0.99994-4
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 662
Depends: ruby-odbc (= 0.99994-4)
Conflicts: libodbc-ruby1.8-dbg (<< 0.99994-1), libodbc-ruby1.9.1-dbg (<< 0.99994-1)
Replaces: libodbc-ruby1.8-dbg (<< 0.99994-1), libodbc-ruby1.9.1-dbg (<< 0.99994-1)
Provides: libodbc-ruby1.8-dbg, libodbc-ruby1.9.1-dbg
Homepage: http://www.ch-werner.de/rubyodbc/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-odbc/ruby-odbc-dbg_0.99994-4_armhf.deb
Size: 259576
SHA256: ab374aa32fa29038372c86a72e25b1c93c8c48086cf936ecf6994ec321ffd134
SHA1: 8ac2a54a131934b3c08be8a8af6ee3d07ede5de2
MD5sum: eacc2737fc3ce79287a31bd2fa87337e
Description: ODBC Binding for Ruby
 ODBC is a standard API for connecting to ODBC data sources such as Relational
 Database Management Systems (RDBMSs). For accessing ODBC data sources from the
 Ruby language, an ODBC binding library and Ruby interface are required. This
 package provides them for both Ruby 1.8 and 1.9.1.
 .
 This package includes both UTF-8 and non-UTF-8 versions.
 .
 This package allows developers to interpret core dumps by providing
 backtrace names for debuggers

Package: ruby-ogginfo
Version: 0.6.10-1
Installed-Size: 93
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libogginfo-ruby (<< 0.6.5-1~), libogginfo-ruby1.8 (<< 0.6.5-1~), libogginfo-ruby1.9.1 (<< 0.6.5-1~)
Provides: libogginfo-ruby, libogginfo-ruby1.8, libogginfo-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libogginfo-ruby (<< 0.6.5-1~), libogginfo-ruby1.8 (<< 0.6.5-1~), libogginfo-ruby1.9.1 (<< 0.6.5-1~)
Size: 13876
SHA256: 9b82c4b43f3f07b72a90329f90ba5635fd8d1075ed00a5240a032a1491b3e666
SHA1: df02f66083c8a7df6a702a0e67326712bcf5a35c
MD5sum: 081a3b037a7066ccf43c72082e1f29d9
Description: Ruby library for accessing low-level information on ogg files
 Ruby-ogginfo provides access to the bitrate, length, samplerate, encoder,
 and tag information of ogg files. It can also access and write tags for
 ogg files provided that vorbis-tools is installed.
Homepage: http://ruby-ogginfo.rubyforge.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: implemented-in::ruby, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-ogginfo/ruby-ogginfo_0.6.10-1_all.deb

Package: ruby-oily-png
Version: 1.0.2-2
Architecture: armhf
Maintainer: Jonas Smedegaard 
Installed-Size: 152
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter, ruby-chunky-png (>= 1.2.1)
Homepage: https://github.com/wvanbergen/oily_png/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-oily-png/ruby-oily-png_1.0.2-2_armhf.deb
Size: 22876
SHA256: 6e6c1f4868104b764aacf33422fcbc2f877faca6a13e974189f6c451ff5a71fc
SHA1: b46ce950cbb695b13852935aa03d76fb685a5f99
MD5sum: 215b2c673a683b806f33081982af4d97
Description: native mixin to speed up ChunkyPNG
 OilyPNG is a Ruby C extension to speed up the pure Ruby ChunkyPNG
 library. It is a standalone module, so it does not require LibPNG,
 ImageMagick or any other library. Currently it has an alternative
 implementation of decoding and encoding PNGs, making these operations
 much faster, especially for PNG images that apply filtering.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-okular
Source: korundum
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 112
Depends: ruby-kde4 (= 4:4.8.4-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libokularcore1 (>= 4:4.4.5), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtruby4shared2 (>= 4:4.8.4), libruby1.8 (>= 1.8.7.357-1), libsmokebase3 (>= 4:4.8.4), libsmokeokular3 (>= 4:4.8.4), libsmokeqtcore4-3 (>= 4:4.8.4), libsmokeqtdbus4-3 (>= 4:4.8.4), libsmokeqtgui4-3 (>= 4:4.8.4), libsmokeqtnetwork4-3 (>= 4:4.8.4), libsmokeqtopengl4-3 (>= 4:4.8.4), libsmokeqtsql4-3 (>= 4:4.8.4), libsmokeqtsvg4-3 (>= 4:4.8.4), libsmokeqtxml4-3 (>= 4:4.8.4), libstdc++6 (>= 4.4.0)
Breaks: libokular-ruby (<< 4:4.6.80), libokular-ruby1.8 (<< 4:4.6.80)
Replaces: libokular-ruby (<< 4:4.6.80), libokular-ruby1.8 (<< 4:4.6.80)
Provides: libokular-ruby, libokular-ruby1.8
Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/korundum
Priority: optional
Section: ruby
Filename: pool/main/k/korundum/ruby-okular_4.8.4-1_armhf.deb
Size: 29468
SHA256: 1f490191c925b32d4fe41fe3f566b9d65816e742ade8a1236c274448588e4307
SHA1: aa04a8b58eaa45579fc506d4f437a56c9dd50ee2
MD5sum: 91f8896a3f7338cf8a9fbbeed1d935e8
Description: Okular Ruby bindings
 This package allows you to create extensions for the Okular document reader.
 .
 This is part of the Korundum module.

Package: ruby-ole
Version: 1.2.11.3-1
Installed-Size: 143
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libole-ruby (<< 1.2.11.1-4~), libole-ruby-doc (<< 1.2.11.1-4~), libole-ruby1.8 (<< 1.2.11.1-4~), libole-ruby1.9.1 (<< 1.2.11.1-4~)
Provides: libole-ruby, libole-ruby-doc, libole-ruby1.8, libole-ruby1.9.1
Depends: ruby1.8 | ruby-interpreter
Breaks: libole-ruby (<< 1.2.11.1-4~), libole-ruby-doc (<< 1.2.11.1-4~), libole-ruby1.8 (<< 1.2.11.1-4~), libole-ruby1.9.1 (<< 1.2.11.1-4~)
Size: 36414
SHA256: 503aef9b86c016d5deeb2e8b3e6ce4b3bbb175758c63bcf2526eb3073189c3b9
SHA1: b90d02da23d88ce3d585f068e7da19be63196959
MD5sum: b6e912cddb81fec357b78de051196f6d
Description: Ruby library for read/write access for OLE compound documents
 Ruby-ole is a library for read/write access to OLE2 structured storage
 files, such as those produced by Microsoft Office, eg *.doc, *.msg
 etc.
 .
 It provides a simple and easy to use API, supporting read and write
 streaming, by providing transparent access to the "files" within OLE
 documents as IO-like objects, supporting all the expected operations.
Homepage: http://code.google.com/p/ruby-ole
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: implemented-in::ruby, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-ole/ruby-ole_1.2.11.3-1_all.deb

Package: ruby-open4
Version: 1.3.0-1
Installed-Size: 88
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libopen4-ruby (<< 1.1.0-1~), libopen4-ruby1.8 (<< 1.1.0-1~), libopen4-ruby1.9.1 (<< 1.1.0-1~)
Provides: libopen4-ruby, libopen4-ruby1.8, libopen4-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libopen4-ruby (<< 1.1.0-1~), libopen4-ruby1.8 (<< 1.1.0-1~), libopen4-ruby1.9.1 (<< 1.1.0-1~)
Size: 15164
SHA256: 4d8f01908d61e2f0c1ca9968aad11818f49067169d9bafb8cbac8f7b41e6d9df
SHA1: 0987ca15b8bd11d20f23eb939dffe7c46c82a4ef
MD5sum: 66b024c6901234e51be94839147cc992
Description: library for managing child processes in Ruby
 Open4 lets you open child process with handles on pid, stdin, stdout, and
 stderr: manage child processes and their I/O handles easily.
Homepage: https://github.com/ahoward/open4
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-open4/ruby-open4_1.3.0-1_all.deb

Package: ruby-opengl
Version: 0.60.1+dfsg2-1~wheezy1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 2032
Depends: freeglut3, libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libopengl-ruby (<< 0.60.1-2~), libopengl-ruby1.8 (<< 0.60.1-2~), libopengl-ruby1.9.1 (<< 0.60.1-2~)
Replaces: libopengl-ruby (<< 0.60.1-2~), libopengl-ruby1.8 (<< 0.60.1-2~), libopengl-ruby1.9.1 (<< 0.60.1-2~)
Provides: libopengl-ruby, libopengl-ruby1.8, libopengl-ruby1.9.1
Homepage: http://ruby-opengl.rubyforge.org
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-opengl/ruby-opengl_0.60.1+dfsg2-1~wheezy1_armhf.deb
Size: 632524
SHA256: a44044eac716019882f0cdd692cebd2d054abc03a4f96acc18753bfd71e632d4
SHA1: 4d4dde147f4cf670547161e9ce767093cbf1a45f
MD5sum: d053516a64a579483449953ca1ca0ae6
Description: OpenGL Interface for Ruby
 OpenGL (Open Graphics Library) is a standard cross-platform API for writing
 applications to produce two-dimensional and three-dimensional computer
 graphics.
 .
 This library allows programs written in Ruby to use the OpenGL API for
 two-dimensional and three-dimensional graphics.

Package: ruby-openid
Version: 2.1.8debian-6
Installed-Size: 652
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libopenid-ruby (<< 2.1.8-1~), libopenid-ruby1.8 (<< 2.1.8-1~)
Provides: libopenid-ruby, libopenid-ruby1.8
Depends: ruby | ruby-interpreter, ruby-hmac
Breaks: libopenid-ruby (<< 2.1.8-1~), libopenid-ruby1.8 (<< 2.1.8-1~)
Size: 137198
SHA256: 5b70cf83581862e5e8cae9d3e17d1b5f8d616019af6d0264f984f8976c061518
SHA1: 28830866de141156cdcc3a44829e9a4db0d02e93
MD5sum: 4c83e17ec3a4b383d19cb9087869f74f
Description: Ruby library for verifying and serving OpenID identities
 Ruby OpenID makes it easy to add OpenID authentication to your web
 applications.
 This library is a port of the Python OpenID library, and features:
 .
  * API for verifying OpenID identities (OpenID::Consumer)
  * API for serving OpenID identities (OpenID::Server)
  * Consumer and server support for extensions, including simple registration
  * Yadis 1.0 and OpenID 1.0 service discovery, including server fallback
  * Does not depend on underlying web framework
  * Multiple storage implementations (Filesystem, SQL)
  * Comprehensive test suite
  * Example code to help you get started, including:
    - WEBrick based consumer
    - Ruby on rails based server
    - OpenIDLoginGenerator for quickly creating a rails app that uses OpenID
      for authentication
    - ActiveRecord adapter for using an SQL store in rails
Homepage: http://github.com/openid/ruby-openid
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-openid/ruby-openid_2.1.8debian-6_all.deb

Package: ruby-opennebula
Source: opennebula
Version: 3.4.1-3.1
Installed-Size: 305
Maintainer: Debian OpenNebula Maintainers 
Architecture: all
Replaces: opennebula (<< 3.2.1-1~)
Depends: ruby | ruby-interpreter, ruby-mysql, ruby-password, ruby-sequel, ruby-sqlite3, rubygems
Breaks: opennebula (<< 3.2.1-1~)
Size: 43470
SHA256: 5d937de3e63ec99a02d656a4b98b372eeae4f0895248a1e2735ca39589c4ca4c
SHA1: 6c8fba156c1bfbee9b28803eb1c058519bdb1d1a
MD5sum: 0b480970bcafab04c1433f71960eac89
Description: Ruby bindings for OpenNebula Cloud API (OCA)
 OpenNebula is an open source virtual infrastructure engine that enables the
 dynamic deployment and re-placement of virtual machines on a pool of physical
 resources.
 .
 ONE (OpenNebula) extends the benefits of virtualization platforms from a
 single physical resource to a pool of resources, decoupling the server not
 only from the physical infrastructure but also from the physical location.
 .
 This package provides the OpenNebula Cloud API (OCA) Ruby bindings.
Homepage: http://opennebula.org/
Section: ruby
Priority: extra
Filename: pool/main/o/opennebula/ruby-opennebula_3.4.1-3.1_all.deb

Package: ruby-packet
Version: 0.1.15-5
Installed-Size: 204
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libpacket-ruby (<< 0.1.15-1~), libpacket-ruby1.8 (<< 0.1.15-1~), libpacket-ruby1.9.1 (<< 0.1.15-1~)
Provides: libpacket-ruby, libpacket-ruby1.8, libpacket-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libpacket-ruby (<< 0.1.15-1~), libpacket-ruby1.8 (<< 0.1.15-1~), libpacket-ruby1.9.1 (<< 0.1.15-1~)
Size: 26946
SHA256: a838609c1ad8848bf8fa6efa52f7db51830d58bd7eff8efd5d6c1b91ab970376
SHA1: 9538f8fda83d80488688c100e4b405caaf2c779e
MD5sum: 51404ef95a6e51cb7fb0bcede4cc21fa
Description: Ruby library for Event driven network programming (dependency package)
 Packet is a pure ruby library for writing network applications in Ruby.
 It follows Evented Model of network programming and implements almost all the
 features provided by EventMachine.
 .
 It also provides easy to use UNIX workers for concurrent programming.
 .
 This is a dummy package depending on the library for the current default
 version of Ruby.
Homepage: http://code.google.com/p/packet/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-packet/ruby-packet_0.1.15-5_all.deb

Package: ruby-pango
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 269
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.25.5), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0), ruby-glib2 (= 1.1.3-2+b1), ruby-cairo
Breaks: libpango1-ruby (<< 0.90.9-1~), libpango1-ruby1.8 (<< 0.90.9-1~)
Replaces: libpango1-ruby (<< 0.90.9-1~), libpango1-ruby1.8 (<< 0.90.9-1~)
Provides: libpango1-ruby, libpango1-ruby1.8
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gnome2/ruby-pango_1.1.3-2+b1_armhf.deb
Size: 83058
SHA256: c5c4d4046a4fe71bdb33ba71d2ddb295d5a19ae99d6878dde6b35ea38ece02cf
SHA1: c0d1f6f086b6675ee01a3775c077ea1d340c272c
MD5sum: 2ae0fc045eefbfd22a62d84a72574e9f
Description: Pango bindings for the Ruby language
 Pango is a library for layout and rendering of text, with an emphasis on
 internationalization. This package contains libraries for using Pango with
 the Ruby programming language.

Package: ruby-pango-dbg
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 542
Depends: ruby-pango (= 1.1.3-2+b1)
Breaks: libpango1-dbg-ruby (<< 0.90.9-1~), libpango1-dbg-ruby1.8 (<< 0.90.9-1~)
Replaces: libpango1-dbg-ruby (<< 0.90.9-1~), libpango1-dbg-ruby1.8 (<< 0.90.9-1~)
Provides: libpango1-dbg-ruby, libpango1-dbg-ruby1.8
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-gnome2/ruby-pango-dbg_1.1.3-2+b1_armhf.deb
Size: 151254
SHA256: ff10b4518a510022390e454b48ac823632a881a766a6735a490761d5eadcef6b
SHA1: 2386cffb5236d66635666a78c0647c1683fb5bb1
MD5sum: ea977990cdf8ab6ad87604f4437b31fd
Description: Pango bindings for the Ruby language (debug symbols)
 Pango is a library for layout and rendering of text, with an emphasis on
 internationalization. This package contains libraries for using Pango with
 the Ruby programming language.
 .
 This package contains the debugging symbols.

Package: ruby-parser
Version: 2.3.1-2
Installed-Size: 412
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-sexp-processor
Size: 68658
SHA256: a9e108123e3fc392b8679417e2767a484df49da9d772b0488f3b33700b1ed293
SHA1: c123a04aa42b47c5808ef98ffbb9142d18d491be
MD5sum: a71cea42e0110ed3f459c418a4fb51d8
Description: Ruby parser written in pure Ruby
 ruby_parser (RP) can be used to parse and check syntax of Ruby code.
 "Pure Ruby" in this context means the parser's code
 * consists solely of Ruby source files
 * does not add any native extensions or other C code (eg. with RubyInline)
   which requires a C compiler to be present on the user's system
 .
 It utilizes racc and its output is same as that of ParseTree's.
Homepage: https://github.com/seattlerb/ruby_parser
Ruby-Versions: ruby1.8
Tag: devel::code-generator, implemented-in::ruby, interface::commandline,
 role::program, scope::utility
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-parser/ruby-parser_2.3.1-2_all.deb

Package: ruby-parsetree
Version: 3.0.8-3
Installed-Size: 103
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libparsetree-ruby (<< 3.0.8-1~), libparsetree-ruby1.8 (<< 3.0.8-1~)
Provides: libparsetree-ruby, libparsetree-ruby1.8
Depends: ruby1.8, libinline-ruby1.8, libsexp-processor-ruby1.8
Breaks: libparsetree-ruby (<< 3.0.8-1~), libparsetree-ruby1.8 (<< 3.0.8-1~)
Size: 26532
SHA256: e44b3ccda84a6007c6eca011f2e2d51ad9ac8c04e04a8cd8d96ff9fdf6e3b6b7
SHA1: 2b5bc5964cab2f29dd4a124c3f8c38b423895377
MD5sum: 0a43da779d6ca9d38ef734571d7afeb3
Description: Extract a class or method's parse tree, return it as a s-expression (ruby1.8)
 ParseTree is a C extension (using RubyInline) that extracts the parse
 tree for an entire class or a specific method and returns it as a
 s-expression (aka sexp) using ruby's arrays, strings, symbols, and
 integers.
 .
 This module is compatible only with Ruby 1.8.
Homepage: https://github.com/seattlerb/parsetree
Ruby-Versions: ruby1.8
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-parsetree/ruby-parsetree_3.0.8-3_all.deb

Package: ruby-passenger
Version: 3.0.13debian-1+deb7u2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 5124
Depends: libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libev4 (>= 1:4.04), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.3~rc1), ruby | ruby-interpreter, rubygems (>= 1.2), ruby-rack
Suggests: python, rails, ruby-passenger-doc
Breaks: libapache2-mod-passenger (<< 3.0.11debian)
Replaces: libapache2-mod-passenger (<< 3.0.11debian)
Homepage: http://www.modrails.com/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-passenger/ruby-passenger_3.0.13debian-1+deb7u2_armhf.deb
Size: 1394560
SHA256: bd5864a46b1b89fb57ee73395af33a292b7284e057b44cc2956790058c3a77c1
SHA1: 3e328c91b6582925791a51d577bb72683f94892e
MD5sum: f960e35f85542fe24948d236387b2e34
Description: Rails and Rack support for Apache2 and Nginx
 Phusion Passenger — a.k.a. mod_rails or mod_rack — makes
 deployment of Ruby web applications, such as those built on the
 revolutionary Ruby on Rails web framework, a breeze.

Package: ruby-passenger-doc
Source: ruby-passenger
Version: 3.0.13debian-1+deb7u2
Installed-Size: 483
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: passenger-doc
Provides: passenger-doc
Suggests: www-browser
Conflicts: passenger-doc
Size: 411330
SHA256: 64cbd4337f6fadfc2f38320f49e5cb202a4873bea0af4a2e859a4ea0d2586b75
SHA1: 0a006a16e9e8f7d96b7454ef99a704316db420f3
MD5sum: a6a767c60687369d040d152710fa53cc
Description: Rails and Rack support for Apache2 - Documentation
 Phusion Passenger — a.k.a. mod_rails or mod_rack — makes
 deployment of Ruby web applications, such as those built on the
 revolutionary Ruby on Rails web framework, a breeze.
 .
 This package provides the documentation for Phusion Passenger.
Homepage: http://www.modrails.com/
Section: doc
Priority: optional
Filename: pool/main/r/ruby-passenger/ruby-passenger-doc_3.0.13debian-1+deb7u2_all.deb

Package: ruby-password
Version: 0.5.3-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 78
Depends: libc6 (>= 2.13-28), libcrack2 (>= 2.8.12), libruby1.8 (>= 1.8.7.352), ruby1.8, ruby-termios
Breaks: libpassword-ruby (<< 0.5.3-3~), libpassword-ruby1.8 (<< 0.5.3-3~)
Replaces: libpassword-ruby (<< 0.5.3-3~), libpassword-ruby1.8 (<< 0.5.3-3~)
Provides: libpassword-ruby, libpassword-ruby1.8
Homepage: http://www.caliban.org/ruby/ruby-password.shtml
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-password/ruby-password_0.5.3-3_armhf.deb
Size: 16294
SHA256: a5f5b006d725e96ada1fab0cee30aadd0a1678063392b35e0feab25f3c4d6896
SHA1: 2a65c0591cd111b871a04b9a8928515c55cff2b7
MD5sum: 6cd52eca0cc2b0fae093e1f27a40fad1
Description: Ruby library for handling passwords
 This package is a suite of password handling methods. Specifically, it
 handles manual entry of passwords from the keyboard in both buffered and
 unbuffered modes, password strength checking, random password generation,
 phonemic password generation, and encryption of passwords.
 .
 The common CrackLib library is used to perform password strength checking.
Ruby-Versions: ruby1.8

Package: ruby-pcap
Version: 0.7.0-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 204
Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), libruby1.8 (>= 1.8.7.357-1), ruby | ruby-interpreter
Breaks: libpcap-ruby (<< 0.7.0-1~), libpcap-ruby1.8 (<< 0.7.0-1~)
Replaces: libpcap-ruby (<< 0.7.0-1~), libpcap-ruby1.8 (<< 0.7.0-1~)
Provides: libpcap-ruby, libpcap-ruby1.8
Homepage: http://www.goto.info.waseda.ac.jp/~fukusima/ruby/pcap-e.html
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-pcap/ruby-pcap_0.7.0-2_armhf.deb
Size: 42434
SHA256: 76095dd9fc444a889df7c624e7241f526ebb7130cc8834a761dcecc36e298bcc
SHA1: ad3d1fb2d765ae6e25b13b538f5e7e9695d61214
MD5sum: 4aa5dc59a928e1e6bbd3a47435ae16ca
Description: Interface to LBL Packet Capture library (libpcap)
 Ruby interface to LBL Packet Capture library.  This library also includes
 classes to access packet header fields.
Ruby-Versions: ruby1.8

Package: ruby-pdf-inspector
Version: 1.0.1-2
Installed-Size: 81
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-pdf-reader (>= 0.9.0)
Size: 6808
SHA256: adcb08a0cd2167e828e84c2c2fc80a3f7d9662ecb60f9e8767043ce50d5ab261
SHA1: 83d138d495fbf275cc8081b0586ffc00cfee4bc0
MD5sum: 6b0587dcea54fa6db5d5bbc03808d953
Description: Ruby library for analyzing PDF output
 This library provides a number of tools based on the Ruby library PDF::Reader,
 provided in the ruby-pdf-reader package, to test and analyze PDF output. the
 primary purpose of this tool is to support the tests for ruby-prawn, a pure
 Ruby PDF generation library.
Homepage: https://github.com/sandal/pdf-inspector
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-pdf-inspector/ruby-pdf-inspector_1.0.1-2_all.deb

Package: ruby-pdf-reader
Version: 1.1.1-2
Installed-Size: 336
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-ascii85 (>= 1.0.0), ruby-rc4
Suggests: ruby-rspec, ruby-prawn
Size: 81478
SHA256: 3ba4cd87f993aaf29a6c1bfca1a15a5f7c9d95171b07c174cd1762031d9884a1
SHA1: 5c30dcb5d17e8aa67a29133dafedd6b91b8a5920
MD5sum: 1f6b8445aae3cdb47a37f86d4dba84b1
Description: Ruby library for accessing the content of PDF files
 The PDF::Reader library implements a PDF parser conforming as much as possible
 to the PDF specification from Adobe. It provides programmatic access to the
 contents of a PDF file with a high degree of flexibility.
 .
 This is primarily a low-level library that should be used as the foundation
 for higher level functionality. There are a few exceptions to support very
 common use cases like extracting text from a page.
Homepage: http://github.com/yob/pdf-reader
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-pdf-reader/ruby-pdf-reader_1.1.1-2_all.deb

Package: ruby-peach
Version: 0.4-2
Installed-Size: 63
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 4470
SHA256: 49a97c6f32f8d838d5ea25a8618b9b1c05a7d60bfe44a7780888d9ef985da05b
SHA1: f2acf06e1a5d81fd0a5bccef39cd0e402e211d49
MD5sum: 82184498e4ac2f617460bd1d888736b6
Description: parallel execution of each and map in Ruby
 This module provides parallel versions of the each and map methods.
Homepage: http://peach.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-peach/ruby-peach_0.4-2_all.deb

Package: ruby-pg
Version: 0.13.2-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 201
Depends: libc6 (>= 2.13-28), libpq5 (>= 8.3~rc1-1~), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libpgsql-ruby (<< 0.11.0+gem2deb-1~), libpgsql-ruby-doc (<< 0.11.0+gem2deb-1~), libpgsql-ruby1.8 (<< 0.11.0+gem2deb-1~), libpgsql-ruby1.9.1 (<< 0.11.0+gem2deb-1~)
Replaces: libpgsql-ruby (<< 0.11.0+gem2deb-1~), libpgsql-ruby-doc (<< 0.11.0+gem2deb-1~), libpgsql-ruby1.8 (<< 0.11.0+gem2deb-1~), libpgsql-ruby1.9.1 (<< 0.11.0+gem2deb-1~)
Provides: libpgsql-ruby, libpgsql-ruby-doc, libpgsql-ruby1.8, libpgsql-ruby1.9.1
Homepage: http://bitbucket.org/ged/ruby-pg
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-pg/ruby-pg_0.13.2-2_armhf.deb
Size: 54098
SHA256: 17f11c759aadf119503d1d4efce2b7269df05db644eb126085e7ef5ca6c69e54
SHA1: 42964833ce73163da1118b64994369346fc54041
MD5sum: 75d9f49897faba3eef1af893a2a8eceb
Description: PostgreSQL interface for Ruby
 ruby-pg module allows Ruby programs to interface with the PostgreSQL
 database engine. It supports the functions defined in libpq library.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-phonon
Source: qtruby
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 138
Depends: ruby-qt4 (= 4:4.8.4-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libphonon4 (>= 4:4.2.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtruby4shared2 (= 4:4.8.4-1), libruby1.8 (>= 1.8.7.357-1), libsmokebase3 (>= 4:4.8.4), libsmokephonon3 (>= 4:4.8.4), libsmokeqtcore4-3 (>= 4:4.8.4), libsmokeqtdbus4-3 (>= 4:4.8.4), libsmokeqtgui4-3 (>= 4:4.8.4), libsmokeqtnetwork4-3 (>= 4:4.8.4), libsmokeqtopengl4-3 (>= 4:4.8.4), libsmokeqtsql4-3 (>= 4:4.8.4), libsmokeqtsvg4-3 (>= 4:4.8.4), libsmokeqtxml4-3 (>= 4:4.8.4), libstdc++6 (>= 4.4.0)
Breaks: libqt4-ruby (<< 4:4.6.80), libqt4-ruby1.8 (<< 4:4.6.80)
Replaces: libqt4-ruby (<< 4:4.6.80), libqt4-ruby1.8 (<< 4:4.6.80)
Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/qtruby
Priority: optional
Section: ruby
Filename: pool/main/q/qtruby/ruby-phonon_4.8.4-1_armhf.deb
Size: 60982
SHA256: d9939da2125aa3225b0dc12db0d2f7da436e924bfab9ee51a4186d22e028c8b9
SHA1: 6b4f4e92227605d0e3edb402716ffa18ee3f2c90
MD5sum: 07ad31241402bc968fa3ee38050f9e9a
Description: Phonon bindings for the Ruby language
 This package provides the Ruby bindings for the Phonon library.
 .
 This is part of the Qt Ruby module.

Package: ruby-pkg-config
Version: 1.1.2-1
Installed-Size: 64
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 5888
SHA256: c31243fdd43c073a9a7b81b495ea790bd8f513fc7bda2af932365c89b6ee41ee
SHA1: e3e5bdac0e438aa67312a26f4706dbee1937dd01
MD5sum: 6069268668ec073da92251e54349e9fb
Description: pkg-config implementation for Ruby
 pkg-config can be used in your extconf.rb to properly detect neeed libraries
 for compiling Ruby native extensions, using the pkg-config database. Note that
 this package does not use pkg-config, only it's database.
Homepage: https://github.com/rcairo/pkg-config
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-pkg-config/ruby-pkg-config_1.1.2-1_all.deb

Package: ruby-pkg-tools
Version: 0.18
Installed-Size: 89
Maintainer: Paul van Tilburg 
Architecture: all
Depends: ruby, rdoc, libsetup-ruby1.8
Recommends: svn-buildpackage, devscripts, libwww-perl
Size: 14252
SHA256: 9d9f2bd6df1d32a6bc1246e4366d27b303673f7376ed7e64f44ba4e3bf77a1c7
SHA1: 646b20698a9105e3bd6919c951fe83b9dee7fd82
MD5sum: 79fab07483d09a0d96db6ba3a0322c8c
Description: Tools for building Debian Ruby packages
 This package contains some useful tools for building Debian Ruby packages
 and is used by the Debian Ruby Maintainers Team. It includes:
   * CDBS classes for building packages which use setup.rb and/or extconf.rb
     as installer,
   * a program to retrieve upstream sources for use in conjunction with
     svn-buildpackage,
   * a debhelper tool, dh_rdoc, to generate and install RDoc documentation
     of libraries and applications,
   * a list of team members.
Tag: devel::debian, devel::lang:ruby, devel::packaging, implemented-in::perl,
 implemented-in::ruby, interface::commandline, role::plugin,
 role::program, scope::utility, suite::debian
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-pkg-tools/ruby-pkg-tools_0.18_all.deb

Package: ruby-plasma
Source: korundum
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 166
Depends: ruby-kde4 (= 4:4.8.4-1), ruby-qt4, ruby-qt4-webkit, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtruby4shared2 (>= 4:4.8.4), libruby1.8 (>= 1.8.7.357-1), libsmokebase3 (>= 4:4.8.4), libsmokeplasma3 (>= 4:4.8.4), libsmokeqtcore4-3 (>= 4:4.8.4), libsmokeqtdbus4-3 (>= 4:4.8.4), libsmokeqtgui4-3 (>= 4:4.8.4), libsmokeqtnetwork4-3 (>= 4:4.8.4), libsmokeqtopengl4-3 (>= 4:4.8.4), libsmokeqtsql4-3 (>= 4:4.8.4), libsmokeqtsvg4-3 (>= 4:4.8.4), libsmokeqtxml4-3 (>= 4:4.8.4), libstdc++6 (>= 4.4.0)
Breaks: libplasma-ruby (<< 4:4.6.80), libplasma-ruby1.8 (<< 4:4.6.80)
Replaces: libplasma-ruby (<< 4:4.6.80), libplasma-ruby1.8 (<< 4:4.6.80)
Provides: libplasma-ruby, libplasma-ruby1.8
Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/korundum
Priority: optional
Section: ruby
Filename: pool/main/k/korundum/ruby-plasma_4.8.4-1_armhf.deb
Size: 40036
SHA256: 2d1e985d638f05b9a8a6f8714316dfcfd85246c27bab445143325dbaebefc742
SHA1: 4f70cca5461b1197d444fcef04531c39cbf65260
MD5sum: c4937d21384bf2009c1fd0d10185856a
Description: Plasma Ruby bindings
 This package allows you to create desktop widgets in the Ruby language.
 .
 This is part of the Korundum module.

Package: ruby-platform
Version: 0.4.0-2
Installed-Size: 42
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 4230
SHA256: b59f7ad6ed605442cc44a9b00e9dcb8b11417a0a9be059c54475225c605d5084
SHA1: f48fc446e7ebd5353c050cb29474c00f54bea495
MD5sum: cb12c516fd62862718ddfbcd3540a139
Description: Hopefully robust platform sensing for ruby
 Platform is a ruby library which figures out what platform Ruby is running
 on. It's doing the obvious thing of parsing RUBY_PLATFORM but doing it
 systematically and it is being tested to make sure it handles 99% of
 cases right.
Homepage: http://rubyforge.org/projects/platform/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-platform/ruby-platform_0.4.0-2_all.deb

Package: ruby-playerc
Source: player
Version: 3.0.2+dfsg-4
Architecture: armhf
Maintainer: Michael Janssen 
Installed-Size: 1767
Depends: libc6 (>= 2.13-28), libgeos-c1 (>= 3.3.1), libjpeg8 (>= 8c), libplayerc3.0, libplayercommon3.0, libplayerinterface3.0, libplayerjpeg3.0, libplayerwkb3.0, libruby1.8 (>= 1.8.7.352), zlib1g (>= 1:1.1.4)
Homepage: http://playerstage.sourceforge.net/
Priority: extra
Section: ruby
Filename: pool/main/p/player/ruby-playerc_3.0.2+dfsg-4_armhf.deb
Size: 457844
SHA256: 01249878644b902c4fc8f35f06548c8fabe3e45e04d7d6ca809b6fb38028cea2
SHA1: 1a599641e2219cc71848a719f24429fa09a475a5
MD5sum: 53a377e982d5389ae9267a34c174801e
Description: Networked server for robots and sensors - Ruby wrapper
 Provides a network interface to a variety of robot and sensor
 hardware. Player's client/server model allows robot control programs
 to be written in any programming language and to run on any computer
 with a network connection to the robot. Player supports multiple
 concurrent client connections to devices, creating new possibilities
 for distributed and collaborative sensing and control.
 .
 This package contains the Ruby wrapper module.

Package: ruby-polyglot
Version: 0.3.3-3
Installed-Size: 70
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby1.8 | ruby-interpreter
Size: 6000
SHA256: 7ddf12b75077f8f5611c964c10b21e17ac275dd6f20533b25883d6ec237ba4a4
SHA1: d8900a41c43a7bf5968387407c7e37096bbb5d2e
MD5sum: 125ab937b4d63c62cbbe78d9a6df2817
Description: Custom language loaders for specified file extensions
 Allows one to write custom language loaders for specified file extensions
 to be hooked into require.
 .
 This supports the creation of DSLs having a syntax that is most
 appropriate to their purpose, instead of abusing the Ruby syntax.
 .
 Required files are attempted first using the normal Ruby loader,
 and if that fails, Polyglot conducts a search for a file having
 a supported extension.
Homepage: http://polyglot.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-polyglot/ruby-polyglot_0.3.3-3_all.deb

Package: ruby-popen4
Version: 0.1.4-1
Installed-Size: 45
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-open4, ruby-platform (>= 0.4.0)
Size: 5240
SHA256: 2ea23141bc4b6a3666956763df1ba8543380e21a6e13355ce66cf281196d8a0b
SHA1: cf356aabf00123494191f117fcfc40d3bf16f956
MD5sum: 2153dad03aa926a5f427b934471d396c
Description: ruby open4 cross-platform library
 POpen4 provides the Rubyist a single API across platforms for executing
 a command in a child process with handles on stdout, stderr, stdin streams
 as well as access to the process ID and exit status.
Homepage: http://github.com/pka/popen4
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-popen4/ruby-popen4_0.1.4-1_all.deb

Package: ruby-poppler
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 174
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.12.0), libpoppler-glib8 (>= 0.18), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0)
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gnome2/ruby-poppler_1.1.3-2+b1_armhf.deb
Size: 49808
SHA256: 54bea2d10564003b742512a1862e784b9f29b9f7c41fb7492139bb9b48a4949e
SHA1: 1e6fc68320e8c70352b3f9df552c28130b68439e
MD5sum: 9f8f1b00a643dd76d97bc233fd778d58
Description: Ruby bindinds for the libpoppler-glib library
 Poppler is a PDF rendering library based on xpdf PDF viewer. This package
 contains ruby bindings for Poppler.

Package: ruby-poppler-dbg
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 330
Depends: ruby-poppler (= 1.1.3-2+b1)
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-gnome2/ruby-poppler-dbg_1.1.3-2+b1_armhf.deb
Size: 81752
SHA256: 8c55c21649c1a7615c482a7de63e13834269ccf466eb1166c261442deea3a917
SHA1: a8b8af615fbcb61d2659d7aa931fb46f556aa2ba
MD5sum: 7379d89c602c47ab0969525b3e80f762
Description: Ruby bindinds for the libpoppler-glib library (debugging symbols)
 Poppler is a PDF rendering library based on xpdf PDF viewer. This package
 contains ruby bindings for Poppler.
 .
 This package contains the debugging symbols.

Package: ruby-posix-spawn
Version: 0.3.6-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 146
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Homepage: http://github.com/rtomayko/posix-spawn
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-posix-spawn/ruby-posix-spawn_0.3.6-1_armhf.deb
Size: 27882
SHA256: ca26c3b3b439ae2507bf8a89f58269aa305c105ca4bec68efa740da65bab3d79
SHA1: 745a1ed45d67d1d9a321535598c82698fabc9796
MD5sum: 1630fcd29ddc579edd90d96cca05f6d3
Description: Ruby Implementation of posix_spawn(2) for faster process spawning
 The posix-spawn library aims to implement a subset of the Ruby 1.9
 `Process::spawn` interface in a way that takes advantage of fast
 process spawning interfaces when available and provides sane fallbacks
 on systems that do not.
 .
 `fork(2)` calls slow down as the parent process uses more memory due to
 the need to copy page tables. In many common uses of fork(), where it
 is followed by one of the exec family of functions to spawn child
 processes (`Kernel#system`,`IO::popen`, `Process::spawn`, etc.), it's
 possible to remove this overhead by using the use of special process
 spawning interfaces (`posix_spawn()`, `vfork()`, etc.)
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-prawn
Version: 1.0.0~rc1+dfsg1-3
Installed-Size: 1183
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libprawn-ruby (<< 1.0.0~rc1-1~), libprawn-ruby-common (<< 1.0.0~rc1-1~), libprawn-ruby1.8 (<< 1.0.0~rc1-1~), libprawn-ruby1.9.1 (<< 1.0.0~rc1-1~)
Provides: libprawn-ruby, libprawn-ruby-common, libprawn-ruby1.8, libprawn-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-pdf-reader (>= 0.9.0), ruby-ttfunk (>= 1.0.2), ruby-pdf-inspector (>= 1.0.1)
Suggests: ttf-dejavu-core, fonts-arphic-gkai00mp, ruby-prawn-doc
Breaks: libprawn-ruby (<< 1.0.0~rc1-1~), libprawn-ruby-common (<< 1.0.0~rc1-1~), libprawn-ruby1.8 (<< 1.0.0~rc1-1~), libprawn-ruby1.9.1 (<< 1.0.0~rc1-1~)
Size: 275838
SHA256: ee0fbca2b3c32ab0e0f36a81f19ee328eaec981b6e25831bc3c4336ab83c9ca5
SHA1: bc56edb34ac19cc48f3599bae1af33208e52b8ef
MD5sum: 69f4ae3a97cd67b67284e92ac13447a1
Description: Fast, nimble PDF generation library for Ruby
 Prawn is a PDF generation library for Ruby. Its highlights include:
  * Built in support for UTF-8
  * Easy image embedding
  * Flexible table drawing
  * Simple content positioning, allowing for placing elements relative to
    their sections
  * Easy text flowing within and around sections
Homepage: http://prawn.majesticseacreature.com
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: devel::lang:ruby, devel::library, implemented-in::ruby, role::devel-lib,
 role::shared-lib, works-with-format::pdf
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-prawn/ruby-prawn_1.0.0~rc1+dfsg1-3_all.deb

Package: ruby-prawn-doc
Source: ruby-prawn
Version: 1.0.0~rc1+dfsg1-3
Installed-Size: 480
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Suggests: ruby-prawn, doc-base
Size: 444742
SHA256: 8b10a22753c1281c095654469cad1d4a4aa74c3232e917986564f0c8334de3aa
SHA1: 3df08245a0018315d5b0595445a96239077ddac2
MD5sum: 3f11b7ff4c402f02ae5e0e53da7bed52
Description: Fast, nimble PDF generation library for Ruby (documentation)
 Prawn is a PDF generation library for Ruby. Its highlights include:
  * Built in support for UTF-8
  * Easy image embedding
  * Flexible table drawing
  * Simple content positioning, allowing for placing elements relative to
    their sections
  * Easy text flowing within and around sections
  .
  This package contains the manual created from Prawn's source.
Homepage: http://prawn.majesticseacreature.com
Section: doc
Priority: optional
Filename: pool/main/r/ruby-prawn/ruby-prawn-doc_1.0.0~rc1+dfsg1-3_all.deb

Package: ruby-prof
Version: 0.7.3-1.1
Architecture: armhf
Maintainer: Arnaud Cornet 
Installed-Size: 125
Depends: ruby1.8, rake, libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1)
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-prof/ruby-prof_0.7.3-1.1_armhf.deb
Size: 31994
SHA256: 1857390a1b6fa08e1603590987e626efce4ac296a42a3b3b2a5c1afe355d257d
SHA1: b6423f4a076f22d5a302d8f0d009436cc2cbfc80
MD5sum: 517384b2f3fbe86732497f8a6499e6c1
Description: A fast code profiler for Ruby
 ruby-prof is runs a ruby program and keeps track of the amount of time spent
 in each method. At the end of execution it displays collected information.
 Its features include:
   * Speed - it is a C extension and therefore many times faster than
     the standard Ruby profiler.
   * Flat Profiles - similar to the reports generated by the standard
     Ruby profiler.
   * Graph profiles - similar to GProf, these show how long a method
     runs, which methods call it and which methods it calls.
   * Threads - supports profiling multiple threads simultaneously.
   * Recursive calls - supports profiling recursive method calls.
   * Reports - can generate both text and cross-referenced html reports.
   * Output - can output to standard out or to a file.

Package: ruby-progressbar
Version: 0.11.0-2
Installed-Size: 72
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libprogressbar-ruby (<< 0.9-2~), libprogressbar-ruby1.8 (<< 0.9-2~)
Provides: libprogressbar-ruby, libprogressbar-ruby1.8
Depends: ruby | ruby-interpreter
Breaks: libprogressbar-ruby (<< 0.9-2~), libprogressbar-ruby1.8 (<< 0.9-2~)
Size: 8390
SHA256: 427790a2df32fe4be727cdaaf443ef7d0fbfe1b885a67225fcc476c319f19a4d
SHA1: c4fa3e7b0ec0b462548325ca9ffe84457b8c0624
MD5sum: 50906294c30be47ae4f97ecf5737dab8
Description: Text progress bar library for Ruby
 Ruby/ProgressBar is a text progress bar library for Ruby. It can indicate
 progress with percentage, a progress bar, and estimated remaining time.
Homepage: https://github.com/peleteiro/progressbar
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-progressbar/ruby-progressbar_0.11.0-2_all.deb

Package: ruby-qdbm
Source: qdbm
Version: 1.8.78-2
Architecture: armhf
Maintainer: KURASHIKI Satoru 
Installed-Size: 237
Depends: libbz2-1.0, libc6 (>= 2.13-28), libqdbm14 (>= 1.8.74), libruby1.8 (>= 1.8.7.352), libruby1.9.1 (>= 1.9.2.0), zlib1g (>= 1:1.1.4)
Suggests: qdbm-doc
Breaks: libqdbm-ruby1.8 (<< 1.8.78-1), libqdbm-ruby1.9.1 (<< 1.8.78-1)
Replaces: libqdbm-ruby1.8 (<< 1.8.78-1), libqdbm-ruby1.9.1 (<< 1.8.78-1)
Provides: libqdbm-ruby1.8, libqdbm-ruby1.9.1
Homepage: http://fallabs.com/qdbm/
Priority: optional
Section: ruby
Filename: pool/main/q/qdbm/ruby-qdbm_1.8.78-2_armhf.deb
Size: 53534
SHA256: 06d5a4281d103b27264e00f92f64dde7166265103fff20ea77238e7bd76311cc
SHA1: 1db0b5392b05e8b17e29e865b55d44d75bd848a2
MD5sum: b71459c627281b9055d6f0132908c833
Description: QDBM Database Libraries for Ruby
 QDBM is an embeded database library compatible with GDBM and NDBM.
 It features hash database and B+ tree database and is developed referring
 to GDBM for the purpose of the following three points: higher processing
 speed, smaller size of a database file, and simpler API.
 This package provides the Ruby interface for the QDBM database library.

Package: ruby-qpid
Source: qpid-cpp
Version: 0.16-6+deb7u1+rpi1
Architecture: armhf
Maintainer: Cajus Pollmeier 
Installed-Size: 657
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqmf2-1, libqmfengine1, libqpidclient2, libqpidmessaging2, libqpidtypes1, libstdc++6 (>= 4.6)
Breaks: libqpid-ruby1.8 (<< 0.16-1~)
Replaces: libqpid-ruby1.8 (<< 0.16-1~)
Provides: libqpid-ruby1.8
Homepage: http://qpid.apache.org
Priority: extra
Section: ruby
Filename: pool/main/q/qpid-cpp/ruby-qpid_0.16-6+deb7u1+rpi1_armhf.deb
Size: 216178
SHA256: 2313f4a85093bd88dc4c0bc5a489c717bcd37d1a86cf84fbb2088a2d79ca0945
SHA1: 35dd348065551dad9391e14c72a0e6e3b85778d6
MD5sum: 966eae5379f25bc36d6ee375ca3c4479
Description: enterprise messaging system - Ruby bindings
 Apache Qpid is a cross-platform enterprise messaging system which implements
 the Advanced Message Queuing Protocol (AMQP), providing message brokers
 written in C++ and Java, along with clients for C++, Java JMS, .Net, Python,
 and Ruby.
 .
 This package provides the Apache Qpid Ruby bindings.

Package: ruby-qscintilla2
Source: qtruby
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 121
Depends: ruby-qt4 (= 4:4.8.4-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqscintilla2-8, libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtruby4shared2 (= 4:4.8.4-1), libruby1.8 (>= 1.8.7.357-1), libsmokebase3 (>= 4:4.8.4), libsmokeqsci3 (>= 4:4.8.4), libsmokeqtcore4-3 (>= 4:4.8.4), libsmokeqtdbus4-3 (>= 4:4.8.4), libsmokeqtgui4-3 (>= 4:4.8.4), libsmokeqtnetwork4-3 (>= 4:4.8.4), libsmokeqtopengl4-3 (>= 4:4.8.4), libsmokeqtsql4-3 (>= 4:4.8.4), libsmokeqtsvg4-3 (>= 4:4.8.4), libsmokeqtxml4-3 (>= 4:4.8.4), libstdc++6 (>= 4.4.0)
Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/qtruby
Priority: optional
Section: ruby
Filename: pool/main/q/qtruby/ruby-qscintilla2_4.8.4-1_armhf.deb
Size: 56118
SHA256: 6865a374a76d2f9b7785b213cb299bc1ff4ad85cb91ca2f44b3992acb2631e2f
SHA1: 908a3bd9b86cfb5248301560860ddd85d4d91f9c
MD5sum: d76ff4d587605c07912632b549d56a99
Description: QScintilla2 bindings for the Ruby language
 This package provides the Ruby bindings for the QScintilla2 library.
 .
 This is part of the Qt Ruby module.

Package: ruby-qt4
Source: qtruby
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 1373
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtruby4shared2 (= 4:4.8.4-1), libruby1.8 (>= 1.8.7.357-1), libsmokebase3 (>= 4:4.8.4), libsmokeqtcore4-3 (>= 4:4.8.4), libsmokeqtdbus4-3 (>= 4:4.8.4), libsmokeqtgui4-3 (>= 4:4.8.4), libsmokeqtnetwork4-3 (>= 4:4.8.4), libsmokeqtopengl4-3 (>= 4:4.8.4), libsmokeqtsql4-3 (>= 4:4.8.4), libsmokeqtsvg4-3 (>= 4:4.8.4), libsmokeqtxml4-3 (>= 4:4.8.4), libstdc++6 (>= 4.4.0)
Recommends: ruby1.8
Breaks: libqt4-ruby (<< 4:4.6.80), libqt4-ruby1.8 (<< 4:4.6.80)
Replaces: libqt4-ruby (<< 4:4.6.80), libqt4-ruby1.8 (<< 4:4.6.80)
Provides: libqt4-ruby, libqt4-ruby1.8
Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/qtruby
Priority: optional
Section: ruby
Filename: pool/main/q/qtruby/ruby-qt4_4.8.4-1_armhf.deb
Size: 811700
SHA256: 1254ae4870686f11a55cc457a0e6889e6226a57121640ff5c9893068dec5bacc
SHA1: 71e437c09a314853b660627a8feaa437667e2dcc
MD5sum: 68a300c45710f2bd154acbf72dcf0203
Description: Qt 4 bindings for the Ruby language
 This package provides the Ruby bindings for some of the Qt 4 modules:
  * QtCore
  * QtDBus
  * QtGui
  * QtNetwork
  * QtXml
 .
 This is part of the Qt Ruby module.

Package: ruby-qt4-dbg
Source: qtruby
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 4061
Depends: ruby-qt4 (= 4:4.8.4-1)
Recommends: libsmokeqt4-dbg, libruby1.8-dbg
Breaks: kdebindings-dbg
Replaces: kdebindings-dbg
Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/qtruby
Priority: extra
Section: debug
Filename: pool/main/q/qtruby/ruby-qt4-dbg_4.8.4-1_armhf.deb
Size: 3829592
SHA256: 693f6c657c356449aea2e4113a7064bce579158b7a9d420a55bb2fca380a3396
SHA1: fcb01939098d29b09a5cab7b61d49778cd76e89b
MD5sum: b4665df56f875d5afd0418e5f67284fa
Description: Qt 4 bindings for the Ruby language -- debug symbols
 This package provides the debug symbols of the Qt 4 Ruby bindings.
 .
 This is part of the Qt Ruby module.

Package: ruby-qt4-declarative
Source: qtruby
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 117
Depends: ruby-qt4 (= 4:4.8.4-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-declarative (>= 4:4.7.0~beta1), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtruby4shared2 (= 4:4.8.4-1), libruby1.8 (>= 1.8.7.357-1), libsmokebase3 (>= 4:4.8.4), libsmokeqtcore4-3 (>= 4:4.8.4), libsmokeqtdbus4-3 (>= 4:4.8.4), libsmokeqtdeclarative4-3 (>= 4:4.8.4), libsmokeqtgui4-3 (>= 4:4.8.4), libsmokeqtnetwork4-3 (>= 4:4.8.4), libsmokeqtopengl4-3 (>= 4:4.8.4), libsmokeqtsql4-3 (>= 4:4.8.4), libsmokeqtsvg4-3 (>= 4:4.8.4), libsmokeqtxml4-3 (>= 4:4.8.4), libstdc++6 (>= 4.4.0)
Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/qtruby
Priority: optional
Section: ruby
Filename: pool/main/q/qtruby/ruby-qt4-declarative_4.8.4-1_armhf.deb
Size: 56470
SHA256: 059a248c388fe97054a110a8e5825350ec9f96cf9bf602b327f28032ce2f51e7
SHA1: d1ef460193157c9f58ac6ceea8d35423834bf4d5
MD5sum: 2f9384f58a31e9e3715e3498386b45d2
Description: QtDeclarative bindings for the Ruby language
 This package provides the Ruby bindings for the QtDeclarative Qt 4 library.
 .
 This is part of the Qt Ruby module.

Package: ruby-qt4-script
Source: qtruby
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 118
Depends: ruby-qt4 (= 4:4.8.4-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtruby4shared2 (= 4:4.8.4-1), libruby1.8 (>= 1.8.7.357-1), libsmokebase3 (>= 4:4.8.4), libsmokeqtcore4-3 (>= 4:4.8.4), libsmokeqtdbus4-3 (>= 4:4.8.4), libsmokeqtgui4-3 (>= 4:4.8.4), libsmokeqtnetwork4-3 (>= 4:4.8.4), libsmokeqtopengl4-3 (>= 4:4.8.4), libsmokeqtscript4-3 (>= 4:4.8.4), libsmokeqtsql4-3 (>= 4:4.8.4), libsmokeqtsvg4-3 (>= 4:4.8.4), libsmokeqtxml4-3 (>= 4:4.8.4), libstdc++6 (>= 4.4.0)
Breaks: libqt4-ruby (<< 4:4.6.80), libqt4-ruby1.8 (<< 4:4.6.80)
Replaces: libqt4-ruby (<< 4:4.6.80), libqt4-ruby1.8 (<< 4:4.6.80)
Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/qtruby
Priority: optional
Section: ruby
Filename: pool/main/q/qtruby/ruby-qt4-script_4.8.4-1_armhf.deb
Size: 56860
SHA256: 548fc2b6d8b88db64a0aa01cf1bc9a13cee9823704c449bb8fb1f4dd05f66ead
SHA1: d80316a8cf7c0e56210a0d58752da354e7db4229
MD5sum: 825b12ffdb466906778e6cb2a161d414
Description: QtScript bindings for the Ruby language
 This package provides the Ruby bindings for the QtScript Qt 4 library.
 .
 This is part of the Qt Ruby module.

Package: ruby-qt4-test
Source: qtruby
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 126
Depends: ruby-qt4 (= 4:4.8.4-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtruby4shared2 (= 4:4.8.4-1), libruby1.8 (>= 1.8.7.357-1), libsmokebase3 (>= 4:4.8.4), libsmokeqtcore4-3 (>= 4:4.8.4), libsmokeqtdbus4-3 (>= 4:4.8.4), libsmokeqtgui4-3 (>= 4:4.8.4), libsmokeqtnetwork4-3 (>= 4:4.8.4), libsmokeqtopengl4-3 (>= 4:4.8.4), libsmokeqtsql4-3 (>= 4:4.8.4), libsmokeqtsvg4-3 (>= 4:4.8.4), libsmokeqttest4-3 (>= 4:4.8.4), libsmokeqtxml4-3 (>= 4:4.8.4), libstdc++6 (>= 4.4.0)
Breaks: libqt4-ruby (<< 4:4.6.80), libqt4-ruby1.8 (<< 4:4.6.80)
Replaces: libqt4-ruby (<< 4:4.6.80), libqt4-ruby1.8 (<< 4:4.6.80)
Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/qtruby
Priority: optional
Section: ruby
Filename: pool/main/q/qtruby/ruby-qt4-test_4.8.4-1_armhf.deb
Size: 58052
SHA256: f16ed4e128c89cfaf9e1f0ddb649b8c66c085c348cc49928b3022eb9483ec9aa
SHA1: fb474dddbb8ba664d10247da07524b11e9229ea0
MD5sum: 785e3f63719a1525bd7139d632c12869
Description: QtTest bindings for the Ruby language
 This package provides the Ruby bindings for the QtTest Qt 4 library.
 .
 This is part of the Qt Ruby module.

Package: ruby-qt4-uitools
Source: qtruby
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 109
Depends: ruby-qt4 (= 4:4.8.4-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtruby4shared2 (= 4:4.8.4-1), libruby1.8 (>= 1.8.7.357-1), libsmokebase3 (>= 4:4.8.4), libsmokeqtcore4-3 (>= 4:4.8.4), libsmokeqtdbus4-3 (>= 4:4.8.4), libsmokeqtgui4-3 (>= 4:4.8.4), libsmokeqtnetwork4-3 (>= 4:4.8.4), libsmokeqtopengl4-3 (>= 4:4.8.4), libsmokeqtsql4-3 (>= 4:4.8.4), libsmokeqtsvg4-3 (>= 4:4.8.4), libsmokeqtuitools4-3 (>= 4:4.8.4), libsmokeqtxml4-3 (>= 4:4.8.4), libstdc++6 (>= 4.4.0)
Breaks: libqt4-ruby (<< 4:4.6.80), libqt4-ruby1.8 (<< 4:4.6.80)
Replaces: libqt4-ruby (<< 4:4.6.80), libqt4-ruby1.8 (<< 4:4.6.80)
Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/qtruby
Priority: optional
Section: ruby
Filename: pool/main/q/qtruby/ruby-qt4-uitools_4.8.4-1_armhf.deb
Size: 53030
SHA256: 325649b51371a3dab20f0c098b29a8a6d53d07760d31f1a817a78989859b1a6f
SHA1: 69d46e8b33cebebee424fc5e5cbe5cfc43c42377
MD5sum: 662a684098f1733086f57618d4e2633b
Description: QtUiTools bindings for the Ruby language
 This package provides the Ruby bindings for the QtUiTools Qt 4 library.
 .
 This is part of the Qt Ruby module.

Package: ruby-qt4-webkit
Source: qtruby
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 121
Depends: ruby-qt4 (= 4:4.8.4-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtruby4shared2 (= 4:4.8.4-1), libqtwebkit4 (>= 2.1.0~2011week13), libruby1.8 (>= 1.8.7.357-1), libsmokebase3 (>= 4:4.8.4), libsmokeqtcore4-3 (>= 4:4.8.4), libsmokeqtdbus4-3 (>= 4:4.8.4), libsmokeqtgui4-3 (>= 4:4.8.4), libsmokeqtnetwork4-3 (>= 4:4.8.4), libsmokeqtopengl4-3 (>= 4:4.8.4), libsmokeqtsql4-3 (>= 4:4.8.4), libsmokeqtsvg4-3 (>= 4:4.8.4), libsmokeqtwebkit4-3 (>= 4:4.8.4), libsmokeqtxml4-3 (>= 4:4.8.4), libstdc++6 (>= 4.4.0)
Breaks: libqt4-ruby (<< 4:4.6.80), libqt4-ruby1.8 (<< 4:4.6.80)
Replaces: libqt4-ruby (<< 4:4.6.80), libqt4-ruby1.8 (<< 4:4.6.80)
Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/qtruby
Priority: optional
Section: ruby
Filename: pool/main/q/qtruby/ruby-qt4-webkit_4.8.4-1_armhf.deb
Size: 57790
SHA256: b8f3bedc49391b9e2f9f74705bffd850e9143078d9e554436ac84a893d3a54ba
SHA1: 58ea612d2e944a6ce24148b570db2649a55da78a
MD5sum: 2d01834da336f5b7ce9d20df8f950c83
Description: QtWebKit bindings for the Ruby language
 This package provides the Ruby bindings for the QtWebKit Qt 4 library.
 .
 This is part of the Qt Ruby module.

Package: ruby-rack
Version: 1.4.1-2.1+deb7u1
Installed-Size: 356
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: librack-ruby (<< 1.2.2-1~), librack-ruby1.8 (<< 1.2.2-1~), librack-ruby1.9.1 (<< 1.2.2-1~)
Provides: librack-ruby, librack-ruby1.8, librack-ruby1.9.1
Depends: ruby | ruby-interpreter
Conflicts: librack-ruby (<< 1.2.2-1~), librack-ruby1.8 (<< 1.2.2-1~), librack-ruby1.9.1 (<< 1.2.2-1~)
Size: 83268
SHA256: 9b4f6104df3bb9fde1172d965ff4514e3053b13367af9c76328527e1acf420e2
SHA1: 1595a095c4968add36bff4593c537004022f8139
MD5sum: f9a542a09f5d1839647308cd4ee88f80
Description: Modular Ruby webserver interface
 Rack provides a minimal, modular and adaptable interface for
 developing web applications in Ruby.  By wrapping HTTP requests and
 responses in the simplest way possible, it unifies and distills the
 API for web servers, web frameworks, and software in between (the
 so-called middleware) into a single method call.
 .
 The exact details of this are described in the Rack specification,
 which all Rack applications should conform to.
Homepage: http://rack.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rack/ruby-rack_1.4.1-2.1+deb7u1_all.deb

Package: ruby-rack-cache
Version: 1.2-2
Installed-Size: 155
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-rack (>= 0.4)
Size: 39592
SHA256: ee650a32cf91f4d8c233ab2a532489a907685dcfb0797a870ec3d535de7e8a31
SHA1: 47d8b498d47decac2d5b0049a7611801f613211d
MD5sum: 51c6d0fbb47c43028c90858c1bd1e52a
Description: HTTP Caching for Rack
 Rack::Cache is suitable as a quick drop-in component to enable HTTP
 caching for Rack-based applications that produce freshness (Expires,
 Cache-Control) and/or validation (Last-Modified, ETag) information.
Homepage: http://tomayko.com/src/rack-cache/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rack-cache/ruby-rack-cache_1.2-2_all.deb

Package: ruby-rack-protection
Version: 1.2.0-1
Installed-Size: 62
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-rack
Size: 7768
SHA256: 15a597efabb606fa7515557c38bce024d06d31b4aa615185a5e5e405ca4f17e4
SHA1: b9572d3aef61329ceaf1cedb3964d8867c28d479
MD5sum: cd7235d667256a890b2c97e4f9d0c796
Description: Protects against typical web attacks for Rack apps
 This library protects against typical web attacks. Should work for all
 Rack apps, including Rails.
Homepage: http://github.com/rkh/rack-protection
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rack-protection/ruby-rack-protection_1.2.0-1_all.deb

Package: ruby-rack-ssl
Version: 1.3.2-2
Installed-Size: 66
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-rack
Size: 3854
SHA256: e39037eb8add24a590b41398bb1199401df294c979de4432e3cd21ce9cc21ea5
SHA1: e8cf7bf2c22026c27c08f82addcb8fbdf3b441d6
MD5sum: 0bf15fc7e764198053b5559ab6a3fdc3
Description: SSL/TLS extension for Ruby Rack
 Rack middleware to force SSL/TLS connection in your Ruby Rack
 applications.
Homepage: https://github.com/josh/rack-ssl
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rack-ssl/ruby-rack-ssl_1.3.2-2_all.deb

Package: ruby-rack-test
Version: 0.6.1-3
Installed-Size: 96
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-rack (>= 1.0)
Size: 13080
SHA256: aa87bee8cd23531492a74158548c0572c999372fd30a2264dd05c7db75a0f7fa
SHA1: 2320c695cca26f4501f7d5541cea55e75970c6fb
MD5sum: 26c66687366673cb47cdd84f7b4596e8
Description: Simple testing API built on Rack
 Rack::Test is a small, simple testing API for Rack apps. It can be used on its
 own or as a reusable starting point for Web frameworks and testing libraries
 to build on. Most of its initial functionality is an extraction of Merb 1.0's
 request helpers feature.
Homepage: http://github.com/brynary/rack-test
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rack-test/ruby-rack-test_0.6.1-3_all.deb

Package: ruby-rails-2.3
Version: 2.3.14-4
Installed-Size: 728
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: rails-ruby1.8 (<< 2.3.11-1~)
Provides: rails-ruby1.8
Depends: ruby | ruby-interpreter, rake (>= 0.8.3), libjs-prototype, libjs-scriptaculous, ruby-activesupport-2.3 (>= 2.3.14), ruby-activesupport-2.3 (<< 2.3.14.1), ruby-activerecord-2.3 (>= 2.3.14), ruby-activerecord-2.3 (<< 2.3.14.1), ruby-actionpack-2.3 (>= 2.3.14), ruby-actionpack-2.3 (<< 2.3.14.1), ruby-actionmailer-2.3 (>= 2.3.14), ruby-actionmailer-2.3 (<< 2.3.14.1), ruby-activeresource-2.3 (>= 2.3.14), ruby-activeresource-2.3 (<< 2.3.14.1)
Breaks: rails-ruby1.8 (<< 2.3.11-1~)
Size: 171408
SHA256: a1619ae3d1b23d577c410f204e69e5be3dd9c298bb307ea4128dbf6fe30336ab
SHA1: c4288733479cecb6f92c630b6ea5934e710ba9e8
MD5sum: 456d52af74021ff5cfa45d240c891e24
Description: MVC ruby based framework geared for web application development
 Rails is a full-stack, open-source web framework in Ruby for writing
 real-world applications.
 .
 Being a full-stack framework means that all layers are built to work
 seamlessly together. That way you don't repeat yourself and you can
 use a single language from top to bottom. Everything from templates to
 control flow to business logic is written in Ruby.
Homepage: http://www.rubyonrails.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rails-2.3/ruby-rails-2.3_2.3.14-4_all.deb

Package: ruby-rails-3.2
Version: 3.2.6-1
Installed-Size: 50
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby-activesupport-3.2 (>= 3.2.6), ruby-activesupport-3.2 (<< 3.2.6.), ruby-actionpack-3.2 (>= 3.2.6), ruby-actionpack-3.2 (<< 3.2.6.), ruby-activerecord-3.2 (>= 3.2.6), ruby-activerecord-3.2 (<< 3.2.6.), ruby-activeresource-3.2 (>= 3.2.6), ruby-activeresource-3.2 (<< 3.2.6.), ruby-actionmailer-3.2 (>= 3.2.6), ruby-actionmailer-3.2 (<< 3.2.6.), ruby-railties-3.2 (>= 3.2.6), ruby-railties-3.2 (<< 3.2.6.), bundler, rubygems-integration
Size: 3104
SHA256: 8f25f893c81dfa4686db379740a677ceaea6b9b1b994fb6bb2b6f442141c9f3a
SHA1: 7e9051d210f451702cfc0f9d428f00938343bcf5
MD5sum: 3eb6ffa0c60d8d41d7aaf7ca012ca84c
Description: MVC ruby based framework geared for web application development
 Rails is a full-stack, open-source web framework in Ruby for writing
 real-world applications.
 .
 Being a full-stack framework means that all layers are built to work
 seamlessly together. That way you don't repeat yourself and you can
 use a single language from top to bottom. Everything from templates to
 control flow to business logic is written in Ruby.
 .
 This package provides Rails version 3.2.
Homepage: http://www.rubyonrails.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rails-3.2/ruby-rails-3.2_3.2.6-1_all.deb

Package: ruby-railties-3.2
Version: 3.2.6-3
Installed-Size: 914
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, rake (>= 0.8.7), ruby-thor (>= 0.14.6), ruby-rack-ssl (>= 1.3.2), ruby-activesupport-3.2 (>= 3.2.6), ruby-activesupport-3.2 (<< 3.2.6.), ruby-actionpack-3.2 (>= 3.2.6), ruby-actionpack-3.2 (<< 3.2.6.), ruby-actionmailer-3.2 (>= 3.2.6), ruby-actionmailer-3.2 (<< 3.2.6.)
Recommends: ruby-sqlite3, ruby-sass-rails, ruby-coffee-rails, ruby-uglifier, ruby-jquery-rails
Conflicts: rails (<< 3:3.2.0), ruby-rails-2.3
Size: 165494
SHA256: c852578effd8bad1c4cd5bbed645b56fb284df713cf3b82a18105db0d1e03614
SHA1: 342f3d44738a5f8479acc9e623af0bcd2296c095
MD5sum: bc8e2f6dac1209335dd98b03c1f37dda
Description: MVC ruby based framework geared for web application development
 Rails is a full-stack, open-source web framework in Ruby for writing
 real-world applications.
 .
 Being a full-stack framework means that all layers are built to work
 seamlessly together. That way you don't repeat yourself and you can
 use a single language from top to bottom. Everything from templates to
 control flow to business logic is written in Ruby.
Homepage: http://www.rubyonrails.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-railties-3.2/ruby-railties-3.2_3.2.6-3_all.deb

Package: ruby-raindrops
Version: 0.9.0-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 185
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.3~rc1), ruby | ruby-interpreter
Homepage: http://raindrops.bogomips.org/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-raindrops/ruby-raindrops_0.9.0-1_armhf.deb
Size: 55402
SHA256: d8fa5c1b96114de50e5075cd4001b061dc3d9d84713b6460e57d32fa38a1e266
SHA1: 3491f6042ba75796bcafd243c55758f727830e59
MD5sum: fc22a23a1cc8a99f5533d7f6830cff54
Description: Real-time stats for preforking Rack servers
 Raindrops is a real-time stats toolkit to show statistics for Rack HTTP
 servers.  It is designed for preforking servers such as Rainbows! and
 Unicorn, but should support any Rack HTTP server under Ruby 1.9, 1.8 and
 Rubinius on platforms supporting POSIX shared memory.  It may also be
 used as a generic scoreboard for sharing atomic counters across multiple
 processes.
Ruby-Versions: ruby1.9.1 ruby1.8

Package: ruby-rb-inotify
Version: 0.8.8-2
Installed-Size: 92
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: librb-inotify-ruby (<< 0.8.8-1~), librb-inotify-ruby1.8 (<< 0.8.8-1~), librb-inotify-ruby1.9.1 (<< 0.8.8-1~)
Provides: librb-inotify-ruby, librb-inotify-ruby1.8, librb-inotify-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-ffi (>= 0.5.0)
Breaks: librb-inotify-ruby (<< 0.8.8-1~), librb-inotify-ruby1.8 (<< 0.8.8-1~), librb-inotify-ruby1.9.1 (<< 0.8.8-1~)
Size: 11556
SHA256: a43bc0c1c96b3991156231414e254f6dbbcc376e862e8ab1743dce09e988c31a
SHA1: 6374f8aae8d351cec1cd15cd7c972b2b8f2f44af
MD5sum: 6b483131753ad09fc110e2d83e7a5f6e
Description: Ruby wrapper for Linux's inotify
 This Ruby library is a simple wrapper over inotify, a Linux kernel subsystem
 that acts to extend filesystems to notice changes to the filesystem, and
 report those changes to applications.
Homepage: http://github.com/nex3/rb-inotify
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rb-inotify/ruby-rb-inotify_0.8.8-2_all.deb

Package: ruby-rc4
Version: 0.1.5-2
Installed-Size: 62
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 3936
SHA256: 6e1414375d1068e5922749ab6b0973d0c0a3252ae751e934f525450e82e4e84b
SHA1: b5be17aa2058ef1b4ff0c8797e6fe3e0ec1bca70
MD5sum: 685926407e1b6291f14f4c817c86e406
Description: Ruby library implementing the RC4 algorithm
 The RC4 encryption algorithm, also known as ARCFOUR is used in popular
 protocols such as SSL and WEP. This package provides a pure Ruby
 implementation of this algorithm.
Homepage: https://github.com/caiges/Ruby-RC4
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rc4/ruby-rc4_0.1.5-2_all.deb

Package: ruby-rchardet
Version: 1.3-3
Installed-Size: 495
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 156286
SHA256: 317868cf3f4996d74b09bc3c4bb2d1f59183ae2e030351c7ad73e951b9049f34
SHA1: ca9c94dfcc0d627f393e7ecfb376ac4c5ed615ec
MD5sum: 4f78ac4915ce26310f833f2023ba1101
Description: Character encoding auto-detection for Ruby
 CharDet is a library to automatically detect character encoding
 'as smart as your browser'.
Homepage: http://github.com/jmhodges/rchardet/tree/master
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: implemented-in::ruby, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rchardet/ruby-rchardet_1.3-3_all.deb

Package: ruby-rd
Source: rdtool
Version: 0.6.34-4
Installed-Size: 280
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: librd-html-ext-ruby1.8 (<< 0.1.4-3~), librd-ruby1.8 (<< 0.6.31-1~), ruby-rd-html-ext (<< 0.1.4-3~)
Provides: librd-html-ext-ruby, librd-html-ext-ruby1.8, librd-ruby1.8, ruby-rd-html-ext
Depends: libruby1.8, libruby1.9.1
Conflicts: rdtool (<< 0.6.14-2)
Breaks: librd-html-ext-ruby1.8 (<< 0.1.4-3~), librd-ruby1.8 (<< 0.6.31-1~), ruby-rd-html-ext (<< 0.1.4-3~)
Size: 72696
SHA256: eb5712bdc408cdc9dea55097d5f5d8a1b54e9b0d6f8cce27b37106518eab43b2
SHA1: 4ab4f39c9e0d15a4bebf7893d86522064d4e3790
MD5sum: 4021e6e1f709f93c4977f4a7f05dbf24
Description: RDTool library for Ruby
 RD is multipurpose documentation format created for documentating Ruby and
 output of Ruby world.
 .
 You can embed RD into Ruby script. And RD have neat syntax which help you
 to read document in Ruby script. On the other hand, RD have a feature for
 class reference.
 .
 This package contains a library which is used by RDTool.
Homepage: http://uwabami.github.com/rdtool/
Section: ruby
Priority: optional
Filename: pool/main/r/rdtool/ruby-rd_0.6.34-4_all.deb

Package: ruby-rdiscount
Version: 1.6.8-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 189
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Homepage: http://github.com/rtomayko/rdiscount
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-rdiscount/ruby-rdiscount_1.6.8-3_armhf.deb
Size: 53920
SHA256: b5d6cf89136e9f7791dae7092b85516755a600cf7c9e9effc45bc500f28d8182
SHA1: ea516ead3dadd47fbc7133be3d391a6a236a9519
MD5sum: 2caf64cdfff8773bfa1f750db96933e7
Description: Discount Markdown Processor for Ruby
 Discount is an implementation of John Gruber's Markdown markup language in C.
 It implements all of the language described in the markdown syntax document
 and passes the Markdown 1.0 test suite.
 .
 RDiscount is a Ruby extension library for Discount. It can be used as a
 stand-alone program or within another Ruby program.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-redcarpet
Version: 2.1.1-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 216
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Homepage: http://github.com/tanoku/redcarpet
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-redcarpet/ruby-redcarpet_2.1.1-3_armhf.deb
Size: 63548
SHA256: b289b2f26beb053cc01a72d1306d33b4ce17e0847cf1a32b4c51cc190d553570
SHA1: f45b2416019c8f146d8e5cedd53c43893f8ee145
MD5sum: 41cd8aaea7d79fe9ae47084a23c9fdd4
Description: Fast, safe and extensible Markdown to (X)HTML parser for Ruby
 Redcarpet is Ruby library for Markdown processing.
 .
 This is fast, safe and extensible Markdown to (X)HTML parser.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-redcloth
Version: 4.2.9-2+deb7u2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 1694
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libredcloth-ruby (<< 4.2.8-1~), libredcloth-ruby-doc (<< 4.2.8-1~), libredcloth-ruby1.8 (<< 4.2.8-1~), libredcloth-ruby1.9.1 (<< 4.2.8-1~)
Replaces: libredcloth-ruby (<< 4.2.8-1~), libredcloth-ruby-doc (<< 4.2.8-1~), libredcloth-ruby1.8 (<< 4.2.8-1~), libredcloth-ruby1.9.1 (<< 4.2.8-1~)
Provides: libredcloth-ruby, libredcloth-ruby-doc, libredcloth-ruby1.8, libredcloth-ruby1.9.1
Homepage: https://github.com/jgarber/redcloth
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-redcloth/ruby-redcloth_4.2.9-2+deb7u2_armhf.deb
Size: 335550
SHA256: c71071141d7524926db9869df6a564fa69ca34093557b0ce599a6cd25a930862
SHA1: 6d1371d94bdd76a02d29522f79589216e73a37f6
MD5sum: ccd48cbefbcef26420ade33583e3715d
Description: Textile module for Ruby
 Textile is a very simple text format, intended for making readable text
 that can be converted to HTML, and used in docs, blogs, and Wiki pages.
 .
 RedCloth is a Ruby module for converting Textile to HTML.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-remctl
Source: remctl
Version: 3.2-4
Architecture: armhf
Maintainer: Russ Allbery 
Installed-Size: 116
Depends: libc6 (>= 2.13-28), libremctl1 (>= 3.1), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0)
Breaks: libremctl-ruby1.8 (<< 3.2), libremctl-ruby1.9 (<< 3.2)
Replaces: libremctl-ruby1.8 (<< 3.2), libremctl-ruby1.9 (<< 3.2)
Homepage: http://www.eyrie.org/~eagle/software/remctl/
Priority: optional
Section: ruby
Filename: pool/main/r/remctl/ruby-remctl_3.2-4_armhf.deb
Size: 37522
SHA256: 13f08396ac8e9f9d8be5f6015a8ed9206e415de54babe0ec49086f739d3226c1
SHA1: 5ef5f6c44431698f5380bda9cf278930c2146591
MD5sum: fd610a703f947f795f900ff82718ea41
Description: Ruby extension for Kerberos-authenticated command execution
 remctl is a client/server protocol for executing specific commands on a
 remote system with Kerberos authentication.  The allowable commands must
 be listed in a server configuration file, and the executable run on the
 server may be mapped to any command name.  Each command is also
 associated with an ACL containing a list of Kerberos principals
 authorized to run that command.
 .
 This package contains the Ruby remctl extension, which provides native
 Ruby bindings for the libremctl client library.

Package: ruby-rest-client
Version: 1.6.7-3
Installed-Size: 125
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: librestclient-ruby (<< 1.6.1-2~), librestclient-ruby1.8 (<< 1.6.1-2~)
Provides: librestclient-ruby, librestclient-ruby1.8
Depends: ruby | ruby-interpreter, ruby-mime-types
Breaks: librestclient-ruby (<< 1.6.1-2~), librestclient-ruby1.8 (<< 1.6.1-2~)
Size: 22530
SHA256: 4d223d9d1b9d8b30c4f9cb33e9cc74d8d2cffc208bf59645507f7db9406b6a04
SHA1: 192d542a207b0faeff01762f6e9d54e5b4d6c68d
MD5sum: 2367c707997442dda8a84359571da06b
Description: simple REST client for Ruby
 A simple HTTP and REST client for Ruby, inspired by the Sinatra microframework
 style of specifying actions: get, put, post, delete.
Homepage: http://github.com/archiloque/rest-client
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rest-client/ruby-rest-client_1.6.7-3_all.deb

Package: ruby-revolution
Version: 0.5-8
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 82
Depends: gconf-service, libc6 (>= 2.13-28), libebook-1.2-13 (>= 3.4.3), libecal-1.2-11 (>= 3.4.3), libedataserver-1.2-16 (>= 3.4.3), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.16.0), libical0 (>= 0.31), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.6.27), libruby1.8 (>= 1.8.7.357-1), ruby | ruby-interpreter
Breaks: librevolution-ruby (<< 0.5-7~), librevolution-ruby1.8 (<< 0.5-7~)
Replaces: librevolution-ruby (<< 0.5-7~), librevolution-ruby1.8 (<< 0.5-7~)
Provides: librevolution-ruby, librevolution-ruby1.8
Homepage: http://revolution.rubyforge.org/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-revolution/ruby-revolution_0.5-8_armhf.deb
Size: 13302
SHA256: d1ead081a36d594728b71bcc7c1ee4817c683f632f2075add2fd3a5e70218a8b
SHA1: ecc9aaa2a74d65ca44a8684cdd3a3ee5698ea039
MD5sum: 8bdd257e1ba7bc398af7e8089e06b282
Description: Ruby binding for the Evolution mail client
 Revolution is a little Ruby binding to the excellent Evolution email
 client. At the moment it supports simple querying of the calendar,
 task, and contact information, and minimal editing.
Ruby-Versions: ruby1.8

Package: ruby-rhash
Source: rhash
Version: 1.2.9-8+deb7u1
Architecture: armhf
Maintainer: Aleksey Kravchenko 
Installed-Size: 80
Depends: libc6 (>= 2.13-28), librhash0 (>= 1.2.8), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0)
Breaks: librhash-ruby (<< 1.2.9-1), librhash-ruby1.8 (<< 1.2.9-1)
Replaces: librhash-ruby (<< 1.2.9-1), librhash-ruby1.8 (<< 1.2.9-1)
Provides: librhash-ruby, librhash-ruby1.8
Homepage: http://rhash.sourceforge.net/
Priority: optional
Section: ruby
Filename: pool/main/r/rhash/ruby-rhash_1.2.9-8+deb7u1_armhf.deb
Size: 15022
SHA256: 42100912f63ee0afa5281338cb3a3cb49cfd1808e5f442bd789f3d29032d2838
SHA1: 231db63d28fc9d0f3344e25cebce6c004285041f
MD5sum: f3fd540adeceb65cc7f53aac0f0b1d55
Description: Ruby interface for LibRHash hash sums calculation library
 LibRHash is a professional, portable, thread-safe C library for computing
 magnet links and a wide variety of hash sums, such as CRC32, MD4, MD5, SHA1,
 SHA256, SHA512, AICH, ED2K, Tiger, DC++ TTH, BitTorrent BTIH,
 GOST R 34.11-94, RIPEMD-160, HAS-160, EDON-R, Whirlpool and Snefru.
 .
 This package contains the files needed to use the RHash library
 in Ruby programs.

Package: ruby-rmagick
Version: 2.13.1-6
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 1183
Depends: libc6 (>= 2.13-28), libmagickcore5 (>= 8:6.7.7.2), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby1.8 | ruby-interpreter
Breaks: librmagick-ruby (<< 2.13.1-3~), librmagick-ruby1.8 (<< 2.13.1-3~)
Replaces: librmagick-ruby (<< 2.13.1-3~), librmagick-ruby1.8 (<< 2.13.1-3~)
Provides: librmagick-ruby, librmagick-ruby-doc, librmagick-ruby1.8
Homepage: http://rubyforge.org/projects/rmagick
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-rmagick/ruby-rmagick_2.13.1-6_armhf.deb
Size: 351456
SHA256: eb0ff884ed1e9ce8b31eacdd90b4052c7c9a5d16397e99ca8af3155f109021c3
SHA1: d4a14e1156f2db140a870d7026d74fca46a68293
MD5sum: 109dd6c65ffd329faa0d2bbd7469d504
Description: ImageMagick API for Ruby (documentation)
 RMagick is an interface between the Ruby programming language and the
 ImageMagick image processing library.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-rmagick-doc
Source: ruby-rmagick
Version: 2.13.1-6
Installed-Size: 7692
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: librmagick-ruby-doc (<< 2.13.1-3~)
Breaks: librmagick-ruby-doc (<< 2.13.1-3~)
Size: 6434722
SHA256: 5b4eeea5cf2e455d31c55bd075017b56c2d7cee44d21c94b6c9934c3cf86178d
SHA1: a3d0c8acafc3ff7139127b76c56377240ae0172f
MD5sum: a21208944f51270225eb9faf4d1286f1
Description: ImageMagick API for Ruby (documentation)
 RMagick is an interface between the Ruby programming language and the
 ImageMagick image processing library.
 .
 This package contains the documentation and some example scripts.
Homepage: http://rubyforge.org/projects/rmagick
Tag: devel::doc, devel::examples, devel::lang:ruby, role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/ruby-rmagick/ruby-rmagick-doc_2.13.1-6_all.deb

Package: ruby-romkan
Version: 0.4-9
Installed-Size: 16
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libromkan-ruby (<< 0.4-7~), libromkan-ruby1.8 (<< 0.4-7~)
Provides: libromkan-ruby, libromkan-ruby1.8
Depends: ruby | ruby-interpreter
Conflicts: libromkan-ruby (<< 0.4-7~), libromkan-ruby1.8 (<< 0.4-7~)
Size: 7702
SHA256: 0ef7084f4f1abb8efccb27dd224556235683336aae12f06a0f6b74084fa64d9d
SHA1: f3f900f94ac0a47feabaf06548114586178943ab
MD5sum: d2f92a9736196516be20ad7c9589b56e
Description: Romaji <-> Kana conversion library for Ruby
 Ruby/Romkan is a Romaji <-> Kana conversion library for Ruby.
 It can convert a Japanese Romaji string to a Japanese Kana string
 or vice versa.
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-romkan/ruby-romkan_0.4-9_all.deb

Package: ruby-ronn
Version: 0.7.3-2
Installed-Size: 70
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-hpricot (>= 0.8.2), ruby-rdiscount (>= 1.5.8), ruby-mustache (>= 0.7.0)
Size: 31768
SHA256: 83e132377ab7d4c7c8613812785918cc7d38605c575b10c8cafbcb1107f7d7ea
SHA1: 49d42e6dcb6b33e227229a66518365ae85fd6627
MD5sum: f7a35d1c4b5a1060146ff0a59c093526
Description: Builds manuals from Markdown
 Ronn builds manuals. It converts simple, human readable textfiles to roff for
 terminal display, and also to HTML for the web.
 .
 The source format includes all of Markdown but has a more rigid structure and
 syntax extensions for features commonly found in manpages (definition lists,
 link notation, etc.). The ronn-format(7) manual page defines the format in
 detail.
Homepage: http://rtomayko.github.com/ronn
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-ronn/ruby-ronn_0.7.3-2_all.deb

Package: ruby-rqrcode
Version: 0.4.2-1
Installed-Size: 93
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: librqrcode-ruby (<< 0.3.3-2~), librqrcode-ruby-doc (<< 0.3.3-2~), librqrcode-ruby1.8 (<< 0.3.3-2~), librqrcode-ruby1.9 (<< 0.3.3-2~)
Provides: librqrcode-ruby, librqrcode-ruby-doc, librqrcode-ruby1.8, librqrcode-ruby1.9
Depends: ruby1.8 | ruby-interpreter
Conflicts: librqrcode-ruby (<< 0.3.3-2~), librqrcode-ruby-doc (<< 0.3.3-2~), librqrcode-ruby1.8 (<< 0.3.3-2~), librqrcode-ruby1.9 (<< 0.3.3-2~)
Size: 13516
SHA256: 521a2d240e857a1b1dcab4e52d28bbfa29656f5d2a86597558c14275cd98e7b4
SHA1: 89e28875cfceafcd93276b7cbb33fcbeff1b611c
MD5sum: 297b218e1d91e1d886345935b8263ec0
Description: Ruby library for encoding QRCode (2D barcodes)
 rQRCode is a standalone, pure-Ruby library for generating QRCodes (2D
 barcodes). The simple interface allows you to create QR Code data
 structures ready to be displayed in the way you choose.
Homepage: http://whomwah.github.com/rqrcode/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rqrcode/ruby-rqrcode_0.4.2-1_all.deb

Package: ruby-rr
Version: 1.0.4-1
Installed-Size: 108
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 27816
SHA256: eb814c224833ffe325666a05efbaad7f28cbf191155804953e38a0c9849f876a
SHA1: 1d74e79fd8ffe6f4f103d1129c07e9ad8e8a184a
MD5sum: ea301e1529e651f67a6fb685173798b3
Description: test double framework for Ruby
 RR (Double Ruby) is a double framework that features a rich selection of
 double techniques and a terse syntax.
 .
 Double flamework is written in http://xunitpatterns.com/Test%20Double.html
Homepage: http://pivotallabs.com
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rr/ruby-rr_1.0.4-1_all.deb

Package: ruby-rspec
Version: 2.10.0-2
Installed-Size: 68
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: librspec-ruby (<< 2.5.0-1~), librspec-ruby1.8 (<< 2.5.0-1~), librspec-ruby1.9.1 (<< 2.5.0-1~)
Provides: librspec-ruby, librspec-ruby1.8, librspec-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-rspec-core, ruby-rspec-expectations, ruby-rspec-mocks
Breaks: librspec-ruby (<< 2.5.0-1~), librspec-ruby1.8 (<< 2.5.0-1~), librspec-ruby1.9.1 (<< 2.5.0-1~)
Size: 5920
SHA256: d159012c23539df35915f35a83aa6646013854bed7cb9b43ba6feca1bbd06187
SHA1: 768a1f41f465a8359399ab1db3e0505ad1460021
MD5sum: 8b1846fe60bf29ed83532e2e833f869b
Description: Behaviour Driven Development framework for Ruby - metapackage
 RSpec is a Behaviour Driven Development framework for Ruby. It
 provides a framework for writing and executing examples of how your
 Ruby application should behave.
Homepage: http://github.com/rspec/rspec
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: implemented-in::ruby, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rspec/ruby-rspec_2.10.0-2_all.deb

Package: ruby-rspec-core
Version: 2.10.1-2
Installed-Size: 301
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: librspec-ruby (<< 2.5.0-1~), librspec-ruby1.8 (<< 2.5.0-1~), librspec-ruby1.9.1 (<< 2.5.0-1~)
Depends: ruby | ruby-interpreter
Suggests: ruby-rspec
Breaks: librspec-ruby (<< 2.5.0-1~), librspec-ruby1.8 (<< 2.5.0-1~), librspec-ruby1.9.1 (<< 2.5.0-1~)
Size: 59844
SHA256: 32003eb8774676ff84d11ecee89ab80d78c78d64e46a5619a7c616a04baf0cd3
SHA1: 7a9b0eb46fbbaf77aa7b755d370f789118433832
MD5sum: d03e47577af72967e75e4df95352a415
Description: Behaviour Driven Development framework for Ruby - core
 RSpec is a Behaviour Driven Development framework for Ruby. It
 provides a framework for writing and executing examples of how your
 Ruby application should behave.
Homepage: http://github.com/rspec/rspec-core
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rspec-core/ruby-rspec-core_2.10.1-2_all.deb

Package: ruby-rspec-expectations
Version: 2.10.0-2
Installed-Size: 197
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby1.8 | ruby-interpreter, ruby-diff-lcs
Suggests: ruby-rspec
Size: 31920
SHA256: 386d86dd1895c6a993462b96f16725b393a43be901e869625f76b991cb8cecd2
SHA1: d7446c357255a7198bb9c469921824b637308277
MD5sum: 7258c83309f8d3814de7c7021212639e
Description: Behaviour Driven Development framework for Ruby - expectations
 RSpec is a Behaviour Driven Development framework for Ruby. It
 provides a framework for writing and executing examples of how your
 Ruby application should behave.
 .
 This package provides the handling of expectations (should/should_not and
 matchers).
Homepage: http://github.com/rspec/rspec-expectations
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rspec-expectations/ruby-rspec-expectations_2.10.0-2_all.deb

Package: ruby-rspec-mocks
Version: 2.10.1-2
Installed-Size: 165
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Suggests: ruby-rspec
Size: 25534
SHA256: 04ad79040189f91cfe82fe50d16c22da9bfebaac3d70d71f3b785a84b19abdf0
SHA1: b23d74d0bca40e85680c3e55d93676911853d022
MD5sum: 9765ae4fdcd52656cdd62b7eb241fbdd
Description: Behaviour Driven Development framework for Ruby - mocks
 RSpec is a Behaviour Driven Development framework for Ruby. It
 provides a framework for writing and executing examples of how your
 Ruby application should behave.
 .
 This package provides support for stubbing and mocking.
Homepage: http://github.com/rspec/rspec-mocks
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rspec-mocks/ruby-rspec-mocks_2.10.1-2_all.deb

Package: ruby-rsvg2
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 104
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0), ruby-glib2 (= 1.1.3-2+b1)
Breaks: librsvg2-ruby (<< 0.90.9-1~), librsvg2-ruby1.8 (<< 0.90.9-1~)
Replaces: librsvg2-ruby (<< 0.90.9-1~), librsvg2-ruby1.8 (<< 0.90.9-1~)
Provides: librsvg2-ruby, librsvg2-ruby1.8
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gnome2/ruby-rsvg2_1.1.3-2+b1_armhf.deb
Size: 22006
SHA256: cb03a9af41aeee861632065e98741424fc90aeed14216f8f4ae846cce6760799
SHA1: 47ebb7719b45ade65192762880b0300fa66c2e5a
MD5sum: 168b2bc3866322f713fb8d5d89e7104c
Description: RSVG renderer bindings for the Ruby language
 RSVG is a renderer for Scalable Vector Graphics image files. This package
 contains libraries for using RSVG with the Ruby programming language.

Package: ruby-rsvg2-dbg
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 130
Depends: ruby-rsvg2 (= 1.1.3-2+b1)
Breaks: librsvg2-ruby1.8-dbg (<< 0.90.9-1~)
Replaces: librsvg2-ruby1.8-dbg (<< 0.90.9-1~)
Provides: librsvg2-ruby1.8-dbg
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-gnome2/ruby-rsvg2-dbg_1.1.3-2+b1_armhf.deb
Size: 28994
SHA256: e1d539aa1d1f8bca30c080a17bbb4a2d106603a3ac47876c1cf7d5030ab7a9fb
SHA1: 0a63ba7a8d6696f6f64df43afd6a3f0dd6bcd24a
MD5sum: c05285cfc9d04ffb70e95a5c53ea3c2c
Description: RSVG renderer bindings for the Ruby language (debugging symbols)
 RSVG is a renderer for Scalable Vector Graphics image files. This package
 contains libraries for using RSVG with the Ruby programming language.
 .
 This package contains the debugging symbols.

Package: ruby-ruby2ruby
Version: 1.3.1-1.1
Installed-Size: 69
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libruby2ruby-ruby (<< 1.3.1-1~), libruby2ruby-ruby1.8 (<< 1.3.1-1~)
Provides: libruby2ruby-ruby, libruby2ruby-ruby1.8
Depends: ruby1.8, ruby-sexp-processor, ruby-parser
Breaks: libruby2ruby-ruby (<< 1.3.1-1~), libruby2ruby-ruby1.8 (<< 1.3.1-1~)
Size: 12694
SHA256: 9a8e38730abb695e93b4f2275e86aa21f11ff24d32ae8e18cbe10e286798c8d5
SHA1: 60469bd1fc00425a7f4b0c06a39d87541d03adaa
MD5sum: c489a434465bc98dbdaa6da5e1876af7
Description: Generate pure ruby code easily from ParseTree's Sexps (ruby1.8)
 ruby2ruby provides a means of generating pure ruby code easily from
 ParseTree's Sexps. This makes making dynamic language processors much
 easier in ruby than ever before.
Homepage: https://github.com/seattlerb/ruby2ruby
Ruby-Versions: ruby1.8
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-ruby2ruby/ruby-ruby2ruby_1.3.1-1.1_all.deb

Package: ruby-rubyforge
Version: 2.0.4-1
Installed-Size: 84
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-json (>= 1.1.7)
Size: 11648
SHA256: 136d45e734c824a25c299ecc5438881bc181a731968f1933bf7523b5c935874e
SHA1: e4ec90d1f55abb590b9cd71690bb0ef4abae649c
MD5sum: adefd900cbc3743aecd9b0ae2869ddec
Description: automation of some Rubyforge operations
 This Ruby script and library implements a command line interface to
 a subset of operations that one can perform on Rubyforge (a forge
 dedicated to projects related to the Ruby programming language).
 The library can be used to implement Rubyforge-related actions in
 Rakefiles.
Homepage: http://codeforpeople.rubyforge.org/rubyforge/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rubyforge/ruby-rubyforge_2.0.4-1_all.deb

Package: ruby-rubymail
Version: 1.0.0-1
Installed-Size: 176
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: librmail-ruby1.8 (<< 1.0.0-1~)
Provides: librmail-ruby1.8
Depends: ruby | ruby-interpreter
Suggests: ruby-rubymail-doc
Breaks: librmail-ruby1.8 (<< 1.0.0-1~)
Size: 38234
SHA256: a64d7fe2bde00d2387b79bcc84c53097f73e3b8975e66c7bc4007f66cfdc82ac
SHA1: 42bdc0f98b5d9966bd430c2933160c6ed5e72835
MD5sum: a11a4d47682ee0092321c202ac715055
Description: lightweight mail library for Ruby
 This is RubyMail, a lightweight mail library containing various utility
 classes and modules that allow Ruby scripts to parse, modify, and generate
 MIME mail messages.
Homepage: http://rubyforge.org/projects/rubymail/
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rubymail/ruby-rubymail_1.0.0-1_all.deb

Package: ruby-rubymail-doc
Source: ruby-rubymail
Version: 1.0.0-1
Installed-Size: 596
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: librmail-ruby-doc (<< 1.0.0-1~)
Provides: librmail-ruby-doc
Suggests: ruby-rubymail
Breaks: librmail-ruby-doc (<< 1.0.0-1~)
Size: 92072
SHA256: a17dfbf536b0b52725a501307343b214f5fe675c1fdb1216ee4a5198de185ea9
SHA1: b0e8a20416d951e40320f34391171301dc8f1ddb
MD5sum: d68e48e4bcd3ee68d1ef8249e1e3c3b6
Description: lightweight mail library for Ruby (documentation)
 RubyMail is a lightweight mail library containing various utility classes
 and modules that allow Ruby scripts to parse, modify, and generate MIME
 mail messages.
Homepage: http://rubyforge.org/projects/rubymail/
Section: doc
Priority: optional
Filename: pool/main/r/ruby-rubymail/ruby-rubymail-doc_1.0.0-1_all.deb

Package: ruby-rubytorrent
Version: 0.3-4
Installed-Size: 191
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libtorrent-ruby (<< 0.3-3~), libtorrent-ruby1.8 (<< 0.3-3~)
Provides: libtorrent-ruby, libtorrent-ruby1.8
Depends: ruby | ruby-interpreter
Breaks: libtorrent-ruby (<< 0.3-3~), libtorrent-ruby1.8 (<< 0.3-3~)
Size: 41546
SHA256: 07e1ebc410259bd454cd48dc2d871242568d0d23eb623b42eea58e49b8b9f853
SHA1: d12eadd3d69f1efbf8b939fa288f64ceab8f3fad
MD5sum: 55fb0673d3aba0000345254c60f7e1a4
Description: BitTorrent library in Ruby
 BitTorrent is a peer-to-peer file sharing protocol used for distributing large
 amounts of data over the Internet.
 .
 RubyTorrent is a pure-Ruby BitTorrent peer library and toolset. You can use
 it to download or serve files over BitTorrent from any Ruby program.
Homepage: http://rubytorrent.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-rubytorrent/ruby-rubytorrent_0.3-4_all.deb

Package: ruby-sass
Version: 3.1.19-3
Installed-Size: 617
Maintainer: Jonas Smedegaard 
Architecture: all
Replaces: libhaml-ruby (<< 3.1), libhaml-ruby1.8 (<< 3.1)
Depends: ruby | ruby-interpreter
Suggests: ruby-compass
Breaks: libhaml-ruby (<< 3.1), libhaml-ruby1.8 (<< 3.1)
Size: 139344
SHA256: 2d4f3872a1f27b20d43b6b1d42b9fbeafb62aee52facc881f8d6256f3474a61f
SHA1: 4a468e5f1f6e1db696c67118d5cea4eea27aeba3
MD5sum: 07eca820c0544f49de78e035d7d71235
Description: powerful but elegant CSS compiler that makes CSS fun again
 Sass makes CSS fun again. Sass is an extension of CSS3, adding nested
 rules, variables, mixins, selector inheritance, and more.
 .
 It's translated to well-formatted, standard CSS using the command line
 tool or a web-framework plugin.
Homepage: http://sass-lang.com/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: web
Priority: optional
Filename: pool/main/r/ruby-sass/ruby-sass_3.1.19-3_all.deb

Package: ruby-sass-rails
Version: 3.2.5-1
Installed-Size: 163
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-sass (>= 3.1.19), ruby-railties-3.2 (>= 3.2.0), ruby-tilt (>= 1.3.0)
Size: 10944
SHA256: 3dbb8c899c4991862b779a39e193e62cf369ab95a9612eec976adeabf032579f
SHA1: 94c2dfadd4d2d4d87169e13354774963960a7d89
MD5sum: 5bab8e72395f3972a481ffd6753a67f3
Description: Sass adapter for the Rails asset pipeline
 This package integrates Sass into the Rails asset pipeline, i.e. you can write
 stylesheets using Sass and have them compiled into CSS before being sent to
 the clients.
Homepage: https://github.com/rails/sass-rails/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-sass-rails/ruby-sass-rails_3.2.5-1_all.deb

Package: ruby-sdl
Version: 2.1.2-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 1036
Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-sge (>= 030809-1), libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libsmpeg0, libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Suggests: ruby-opengl, doc-base
Breaks: libsdl-ruby (<< 2.1.1.1-2~), libsdl-ruby1.8 (<< 2.1.1.1-2~), libsdl-ruby1.9.1 (<< 2.1.1.1-2~)
Replaces: libsdl-ruby (<< 2.1.1.1-2~), libsdl-ruby1.8 (<< 2.1.1.1-2~), libsdl-ruby1.9.1 (<< 2.1.1.1-2~)
Provides: libsdl-ruby, libsdl-ruby1.8, libsdl-ruby1.9.1
Homepage: http://www.kmc.gr.jp/~ohai/rubysdl.en.html
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-sdl/ruby-sdl_2.1.2-3_armhf.deb
Size: 246478
SHA256: 70004859409d48d72cf313aaa3bb0554814c29ffd557754b8567152d5e64c5dd
SHA1: 4115a3f58355ff32460ed3f89a5644c007f3d3b6
MD5sum: 0c16e0865a325dacee27f7f5808c256c
Description: Ruby/SDL interface for Ruby
 Ruby/SDL is the ruby extension library to use SDL. SDL (Simple
 DirectMedia Layer) is a cross-platform multimedia library designed to
 provide fast access to the graphics framebuffer and audio device.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-sdl-dbg
Source: ruby-sdl
Version: 2.1.2-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 499
Depends: ruby-sdl (= 2.1.2-3)
Homepage: http://www.kmc.gr.jp/~ohai/rubysdl.en.html
Priority: extra
Section: debug
Filename: pool/main/r/ruby-sdl/ruby-sdl-dbg_2.1.2-3_armhf.deb
Size: 156008
SHA256: 1ec658391cd663e32174d4642c09df4ed763f9cd18fe0789080d86406e662038
SHA1: 219e0df71113899423d3783ad658cdd31b4af626
MD5sum: 8644d377da1770ce3f8080905a36e256
Description: Ruby/SDL interface for Ruby (debug symbols)
 Ruby/SDL is the ruby extension library to use SDL. SDL (Simple
 DirectMedia Layer) is a cross-platform multimedia library designed to
 provide fast access to the graphics framebuffer and audio device.
 .
 This package is provided primarily to provide a backtrace with names
 in a debugger, this makes it somewhat easier to interpret core
 dumps. Most people will not need this package.

Package: ruby-selinux
Source: libselinux
Version: 2.1.9-5
Architecture: armhf
Maintainer: Debian SELinux maintainers 
Installed-Size: 479
Depends: ruby | ruby-interpreter, libc6 (>= 2.13-28), libselinux1 (>= 2.1.9)
Breaks: libselinux-ruby1.8 (<= 2.1.0-1)
Replaces: libselinux-ruby1.8 (<= 2.1.0-1)
Provides: libselinx-ruby1.8
Priority: optional
Section: ruby
Filename: pool/main/libs/libselinux/ruby-selinux_2.1.9-5_armhf.deb
Size: 147738
SHA256: 38826a4d9e8b801de460d9c7c1081f1a957f3440429c45138ff4f4bdbde6f87b
SHA1: c1819628da7d11b155074d265e596e18d1575476
MD5sum: fd601e5d460329c6d8715e77647fcfb1
Description: Ruby bindings to SELinux shared libraries
 This package provides the Ruby bindings needed for developing Ruby
 SELinux applications.  Security-enhanced Linux is a patch of the
 Linux kernel and a number of utilities with enhanced security
 functionality designed to add mandatory access controls to Linux.
 The Security-enhanced Linux kernel contains new architectural
 components originally developed to improve the security of the Flask
 operating system. These architectural components provide general
 support for the enforcement of many kinds of mandatory access control
 policies, including those based on the concepts of Type Enforcement,
 Role-based Access Control, and Multi-level Security.

Package: ruby-semanage
Source: libsemanage
Version: 2.1.6-6
Architecture: armhf
Maintainer: Debian SELinux maintainers 
Installed-Size: 364
Depends: ruby | ruby-interpreter, libc6 (>= 2.13-28), libsemanage1 (>= 2.1.6)
Breaks: libsemanage-ruby1.8 (<< 2.1.6-3)
Replaces: libsemanage-ruby1.8 (<< 2.1.6-3)
Provides: libsemanage-ruby1.8
Homepage: http://userspace.selinuxproject.org/
Priority: optional
Section: ruby
Filename: pool/main/libs/libsemanage/ruby-semanage_2.1.6-6_armhf.deb
Size: 100468
SHA256: 80082a2a54b550435ae7475ce05e1a24c25e003ea32a58d76ab2c62866f80230
SHA1: 39f1365d9c73f1e6b6a8b2312258e26f0b3ebc64
MD5sum: aa7ea0b450629e2e8e9f328a4c6f74e9
Description: Ruby bindings to for SELinux policy management
 This package provides the Ruby bindings needed for developing Ruby
 applications that manage SELinux policies.
 .
 Security-enhanced Linux is a patch of the Linux kernel and a
 number of utilities with enhanced security functionality designed to
 add mandatory access controls to Linux.  The Security-enhanced Linux
 kernel contains new architectural components originally developed to
 improve the security of the Flask operating system. These
 architectural components provide general support for the enforcement
 of many kinds of mandatory access control policies, including those
 based on the concepts of Type Enforcement, Role-based Access
 Control, and Multi-level Security.

Package: ruby-sequel
Version: 3.36.1-1
Installed-Size: 1902
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libsequel-ruby (<< 3.36.1-1), libsequel-ruby1.8 (<< 3.36.1-1), libsequel-ruby1.9.1 (<< 3.36.1-1)
Provides: libsequel-ruby, libsequel-ruby1.8, libsequel-ruby1.9.1
Depends: ruby | ruby-interpreter
Recommends: ruby-sequel-pg
Breaks: libsequel-ruby (<< 3.36.1-1), libsequel-ruby1.8 (<< 3.36.1-1), libsequel-ruby1.9.1 (<< 3.36.1-1)
Size: 722808
SHA256: a977c427f0d9c5bc1fdc71431a425a900695483ae1c7bcb3cc9e030852a442e2
SHA1: 3dc69d6d024ca8b3ae8e218da232df4b74c677a1
MD5sum: 36c6486c84b10e73f91109bc3e385720
Description: Simple, flexible, and powerful SQL database access toolkit for Ruby
 Sequel provides thread safety, connection pooling and a concise
 DSL for constructing SQL queries and table schemas.
 .
 Sequel includes a comprehensive ORM layer for mapping
 records to Ruby objects and handling associated records.
 .
 Sequel supports advanced database features such as prepared
 statements, bound variables, stored procedures, savepoints,
 two-phase commit, transaction isolation, master/slave
 configurations, and database sharding.
 .
 Sequel currently has adapters for ADO, Amalgalite, DataObjects,
 DB2, DBI, Firebird, IBM_DB, Informix, JDBC, MySQL, Mysql2, ODBC,
 OpenBase, Oracle, PostgreSQL, SQLite3, Swift, and TinyTDS.
Homepage: https://github.com/jeremyevans/sequel
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: devel::lang:ruby, devel::library, implemented-in::ruby,
 protocol::db:mysql, protocol::db:psql, role::devel-lib, role::program,
 role::shared-lib, works-with::db
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-sequel/ruby-sequel_3.36.1-1_all.deb

Package: ruby-sequel-pg
Version: 1.4.0-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 109
Depends: libc6 (>= 2.13-28), libpq5, libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter, ruby-pg, ruby-sequel
Homepage: https://github.com/jeremyevans/sequel_pg
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-sequel-pg/ruby-sequel-pg_1.4.0-1_armhf.deb
Size: 24562
SHA256: 2699c3a453c0d90e612fd7d94b9b959f19953b4de1135db73fe9e7320bbaa01e
SHA1: 8efeeecdf5205861fcf648d384e69897d325269f
MD5sum: 74bc2b42efd3b87fcae419736e3f45ef
Description: Faster SELECTs when using Sequel with pg
 sequel_pg overwrites the inner loop of the Sequel postgres
 adapter row fetching code with a C version.  The C version
 is significantly faster (2-6x) than the pure ruby version
 that Sequel uses by default.
Ruby-Versions: ruby1.9.1 ruby1.8

Package: ruby-serialport
Version: 1.1.0-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 115
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libserialport-ruby (<< 1.0.4-1~), libserialport-ruby1.8 (<< 1.0.4-1~)
Replaces: libserialport-ruby (<< 1.0.4-1~), libserialport-ruby1.8 (<< 1.0.4-1~)
Provides: libserialport-ruby, libserialport-ruby1.8
Homepage: http://github.com/hparra/ruby-serialport/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-serialport/ruby-serialport_1.1.0-1_armhf.deb
Size: 16958
SHA256: a83e5dff2a2757c86f5665e3a0de001505f8a3e2476ca4b16da86fd11a41b104
SHA1: 3efd320656ada17011244a7745c00e73f2f2a7f7
MD5sum: 230dfee01c401f07de7f64309cd9482a
Description: Library for using RS-232 serial ports from Ruby programs
 This library provides an API to control RS-232 serial ports, and check
 and set the current state of the signals on the line.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-session
Version: 3.1.0-1
Installed-Size: 104
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 12592
SHA256: 9d530a8abff83ca8f431db50f4a7b73da320aedde9027c521266b0d56db1d797
SHA1: aa83f5ea85e06884afab124b7790c3b41f8e58df
MD5sum: 43ba345f9b6dbb20356959564da08e92
Description: offers a set of classes for driving external programs via pipes
 Session::* offers a set of classes built upon Open3::popen3 for driving
 external programs via pipes. It offers a significant abstraction over
 Open3::popen in that the stdout/stderr of each command sent can be deliniated.
Homepage: http://github.com/ahoward/session/tree/master
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-session/ruby-session_3.1.0-1_all.deb

Package: ruby-setup
Version: 3.4.1-5
Installed-Size: 152
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 37196
SHA256: 96ad7936a38693134ff33bda2ee9d6ff6bca93f19ded86cfb357620752a0a292
SHA1: b56118dcc5fd1da78fdd2965235b79653efcebae
MD5sum: 0ea0a0c6147eff316751787148a614d0
Description: the setup.rb install tool for Ruby
 setup.rb is an installation tool widely used for Ruby
 programs. You can use it to distribute Ruby code you wrote
 and be sure your users won't have difficulties installing
 it.
Homepage: http://i.loveruby.net/en/projects/setup/
Tag: implemented-in::ruby, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-setup/ruby-setup_3.4.1-5_all.deb

Package: ruby-sexp-processor
Version: 3.0.7-1
Installed-Size: 271
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libsexp-processor-ruby (<< 3.0.4-2~), libsexp-processor-ruby1.8 (<< 3.0.4-2~), libsexp-processor-ruby1.9.1 (<< 3.0.4-2~)
Provides: libsexp-processor-ruby, libsexp-processor-ruby1.8, libsexp-processor-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libsexp-processor-ruby (<< 3.0.4-2~), libsexp-processor-ruby1.8 (<< 3.0.4-2~), libsexp-processor-ruby1.9.1 (<< 3.0.4-2~)
Size: 31830
SHA256: 3f26576629486db7ca7052c3a15670cf391c41720f0dd1a3cd6951846041aedb
SHA1: 65d29910000b9b8e0168493eed2ca6c5d8c6acfa
MD5sum: 9662b3f52441070ad023ba6c1515b4bf
Description: brings all the generic sexp processing tools to ruby
 sexp_processor branches from ParseTree bringing all the generic sexp
 processing tools with it. Sexp, SexpProcessor, Environment, etc.
 .
 Includes SexpProcessor and CompositeSexpProcessor.
 .
 Allows you to write very clean filters.
Homepage: https://github.com/seattlerb/sexp_processor
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: implemented-in::ruby, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-sexp-processor/ruby-sexp-processor_3.0.7-1_all.deb

Package: ruby-shadow
Version: 2.1.4-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 80
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0)
Breaks: libshadow-ruby1.8 (<< 2.1.4-1~)
Replaces: libshadow-ruby1.8 (<< 2.1.4-1~)
Provides: libshadow-ruby1.8
Homepage: https://github.com/apalmblad/ruby-shadow
Priority: extra
Section: ruby
Filename: pool/main/r/ruby-shadow/ruby-shadow_2.1.4-2_armhf.deb
Size: 11956
SHA256: 44a501db0fd5c17d0ac49535cd179d52e747d2cc7daeb5a04b1e2325d880905c
SHA1: 50f4b7b889c31750acf272f7112b8bfb0fae7c73
MD5sum: 085abd0e674c3e74c0b53395aa9f10c6
Description: Interface of shadow password for Ruby
 This is a module which used when you access shadow password files
 from Ruby.
Ruby-Versions: ruby1.9.1 ruby1.8

Package: ruby-shoulda
Version: 3.0.0~beta2-1
Installed-Size: 20
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libshoulda-ruby (<< 3.0.0~beta2-1~), libshoulda-ruby1.8 (<< 3.0.0~beta2-1~)
Provides: libshoulda-ruby, libshoulda-ruby1.8
Depends: ruby1.8 | ruby-interpreter, ruby-shoulda-context, ruby-shoulda-matchers
Conflicts: libshoulda-ruby (<< 3.0.0~beta2-1~), libshoulda-ruby1.8 (<< 3.0.0~beta2-1~)
Size: 4108
SHA256: 24c986e0ade617c6487293eda04711f7d53af849965ba823c29ed34ac0f7007d
SHA1: 85bad16c7e45b657671464e19a74bfcbd846e100
MD5sum: f2d0a9a347f2aa16321592ef3b0f28d5
Description: additional features for the Test::Unit testing framework
 Shoulda makes it easy to write elegant, understandable, and maintainable
 tests. Shoulda consists of test macros, assertions, and helpers added on to
 the Test::Unit framework. It's fully compatible with your existing tests, and
 requires no retooling to use.
 .
 Shoulda adds lots of features for testing Rails applications, but can also be
 used for plain Ruby ones.
Homepage: https://github.com/thoughtbot/shoulda
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: implemented-in::ruby, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-shoulda/ruby-shoulda_3.0.0~beta2-1_all.deb

Package: ruby-shoulda-context
Version: 1.0.0~beta1-1
Installed-Size: 68
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Conflicts: libshoulda-ruby (<< 3.0.0~beta2-1~), libshoulda-ruby1.8 (<< 3.0.0~beta2-1)
Size: 10926
SHA256: 31bbdaf5daa40d75263e1b345d1fbccd395892fe5076f89de304136353b052b5
SHA1: 69836a9f230a642c949e2eb328380229bde4adde
MD5sum: 4c48a2e08f5bb8d70235d5658a5f9e24
Description: context framework for Test::Unit
 Shoulda’s contexts make it easy to write understandable and maintainable
 tests for Test::Unit. It’s fully compatible with your existing tests in
 Test::Unit, and requires no retooling to use.
 .
 This package provides shoulda-context, a context framework for Test::Unit that
 was is extracted from (and is used by) shoulda.
Homepage: https://github.com/thoughtbot/shoulda-context
Ruby-Versions: ruby1.8
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-shoulda-context/ruby-shoulda-context_1.0.0~beta1-1_all.deb

Package: ruby-shoulda-matchers
Version: 1.0.0~beta2-1
Installed-Size: 176
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Conflicts: libshoulda-ruby (<< 3.0.0~beta2-1~), libshoulda-ruby1.8 (<< 3.0.0~beta2-1~)
Size: 18140
SHA256: 5a522fe0c1850cdb88dd057a7d78b2c6136270b93c95a47e3874022df5ce2c44
SHA1: 5faff976728bddcaf133ad00ef9ce51a69cda69f
MD5sum: a06e7c47cfd355b7bdeea6c54fe673e7
Description: Test helpers for Rails applications, compatible with Test::Unit and RSpec
 Test::Unit- and RSpec-compatible one-liners that test common Rails
 functionality. These tests would otherwise be much longer, more complex, and
 error-prone.
Homepage: https://github.com/thoughtbot/shoulda-matchers
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-shoulda-matchers/ruby-shoulda-matchers_1.0.0~beta2-1_all.deb

Package: ruby-sigar
Version: 0.7.2-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 383
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Homepage: http://sigar.hyperic.com/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-sigar/ruby-sigar_0.7.2-1_armhf.deb
Size: 144430
SHA256: 0a65410a35f037f916257953ebf31cbbff9cada9dff59718f559d5e70fb9df6c
SHA1: 2c7992ef55bb21b14eb951b14a052133fc30b08c
MD5sum: 5df4be73c0a1ab18d7a138113a233fc8
Description: System Information Gatherer And Reporter
 One API to access system information regardless of the underlying platform
 .
 Hyperic's System Information Gatherer (SIGAR) is a cross-platform API for
 collecting software inventory data. SIGAR is core of HQ's auto-discovery
 functionality, and you can use it to extend auto-discovery behavior.
 .
 SIGAR includes support for Linux, FreeBSD, Windows, Solaris, AIX, HP-UX and
 Mac OSX across a variety of versions and architectures. Users of the SIGAR
 API are given portable access to inventory and monitoring data including:
 .
  * System memory, swap, cpu, load average, uptime, logins
  * Per-process memory, cpu, credential info, state, arguments, environment,
    open files
  * File system detection and metrics
  * Network interface detection, configuration information and metrics
  * Network route and connection tables
 .
 This is ruby binding for the core API, which is implemented in pure C.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-sinatra
Version: 1.3.2-2
Installed-Size: 294
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libsinatra-ruby (<< 1.2.3-1~), libsinatra-ruby-doc (<< 1.2.3-1~), libsinatra-ruby1.8 (<< 1.2.3-1~), libsinatra-ruby1.9.1 (<< 1.2.3-1~)
Provides: libsinatra-ruby, libsinatra-ruby-doc, libsinatra-ruby1.8, libsinatra-ruby1.9.1
Depends: ruby1.8 | ruby-interpreter, ruby-rack, ruby-tilt, ruby-rack-protection
Conflicts: libsinatra-ruby (<< 1.2.3-1~), libsinatra-ruby-doc (<< 1.2.3-1~), libsinatra-ruby1.8 (<< 1.2.3-1~), libsinatra-ruby1.9.1 (<< 1.2.3-1~)
Size: 247292
SHA256: ff8a1af4f650a581801a1210eca76f2c8456c8febbc4fdeed97db2708a5ed89a
SHA1: 4592bb26a41cd89799efd8b0894c75e670779926
MD5sum: dcb7b3578fbc592461f13cabe71301da
Description: Ruby web-development dressed in a DSL
 Sinatra is an open source web framework for Ruby programming language.
 It provides simple Domain Specific Language (DSL) for defining RESTful
 HTTP actions, and then defining how the application is going to respond
 to them.
 .
 This framework is lighweight and uses Rack which is a web server
 interface developed to run many Ruby frameworks using the same stack.
Homepage: http://www.sinatrarb.com/
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: implemented-in::ruby, role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-sinatra/ruby-sinatra_1.3.2-2_all.deb

Package: ruby-slop
Version: 2.4.4-1
Installed-Size: 71
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby1.8 | ruby-interpreter
Size: 14416
SHA256: 2883cad113f0e04e0d0f94e9740f069b0a52a8c6bbb028e3ba1502f63df6f346
SHA1: 2abda2d9074f69e690bb367d3f30da1f0778dc8a
MD5sum: 54f233fb6f51609d8fc67c5bb91d406f
Description: Simple DSL for gathering options and parsing the command lineOption
 Slop is a simple option parser with an easy to remember syntax and
 friendly API.
Homepage: http://github.com/injekt/slop
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-slop/ruby-slop_2.4.4-1_all.deb

Package: ruby-soprano
Source: korundum
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 123
Depends: ruby-qt4, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtruby4shared2 (>= 4:4.8.4), libruby1.8 (>= 1.8.7.357-1), libsmokebase3 (>= 4:4.8.4), libsmokeqtcore4-3 (>= 4:4.8.4), libsmokeqtdbus4-3 (>= 4:4.8.4), libsmokeqtgui4-3 (>= 4:4.8.4), libsmokeqtnetwork4-3 (>= 4:4.8.4), libsmokeqtopengl4-3 (>= 4:4.8.4), libsmokeqtsql4-3 (>= 4:4.8.4), libsmokeqtsvg4-3 (>= 4:4.8.4), libsmokeqtxml4-3 (>= 4:4.8.4), libsmokesoprano3 (>= 4:4.8.4), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0)
Breaks: libsoprano-ruby (<< 4:4.6.80), libsoprano-ruby1.8 (<< 4:4.6.80)
Replaces: libsoprano-ruby (<< 4:4.6.80), libsoprano-ruby1.8 (<< 4:4.6.80)
Provides: libsoprano-ruby, libsoprano-ruby1.8
Homepage: http://projects.kde.org/projects/kde/kdebindings/ruby/korundum
Priority: optional
Section: ruby
Filename: pool/main/k/korundum/ruby-soprano_4.8.4-1_armhf.deb
Size: 29802
SHA256: 140b0510d74f67fbda8f51375fcbf8d0c5866f69d4877f72c69d8de41b0537b4
SHA1: 0fa45265e7c2d0015b7e78051189d8ffc3fdf4f2
MD5sum: 5de18f5e9a4b8cf54e0117c747aa2dd4
Description: Soprano Ruby bindings
 This package allows you to create applications that use the Soprano RDF
 storage, parsing and serialization framework in the Ruby language.
 .
 This is part of the Korundum module.

Package: ruby-sourcify
Version: 0.5.0-2
Installed-Size: 156
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-ruby2ruby, ruby-sexp-processor, ruby-parser, ruby-file-tail
Recommends: ruby-parsetree
Size: 27694
SHA256: 57879c266d7e38bf3f8a6ad647e0e11b2f676d260d2763cb7046be0daefb4a78
SHA1: 04e968e7a0122c01ff8efb6c329a4803ff4711c4
MD5sum: 848b28e6708d7c871fe2533f494c3783
Description: Extract a Ruby class or method's parse tree
 This library is a unified solution to extract proc code into a
 human-readable parse tree. It is intended as a replacement for
 ruby-parsetree for versions of Ruby different to 1.8.
Homepage: http://github.com/ngty/sourcify
Ruby-Versions: ruby1.9.1 ruby1.8
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-sourcify/ruby-sourcify_0.5.0-2_all.deb

Package: ruby-spreadsheet
Version: 0.7.3-1
Installed-Size: 260
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libspreadsheet-ruby (<< 0.6.5.4-1~), libspreadsheet-ruby-doc (<< 0.6.5.4-1~), libspreadsheet-ruby1.8 (<< 0.6.5.4-1~), libspreadsheet-ruby1.9.1 (<< 0.6.5.4-1~)
Provides: libspreadsheet-ruby, libspreadsheet-ruby-doc, libspreadsheet-ruby1.8, libspreadsheet-ruby1.9.1
Depends: ruby1.8 | ruby-interpreter, ruby-ole | libole-ruby
Breaks: libspreadsheet-ruby (<< 0.6.5.4-1~), libspreadsheet-ruby-doc (<< 0.6.5.4-1~), libspreadsheet-ruby1.8 (<< 0.6.5.4-1~), libspreadsheet-ruby1.9.1 (<< 0.6.5.4-1~)
Size: 79010
SHA256: 687049ffdfe2e8f93049e4f39f3bf8f58004dfd5941f9423a59172a5b35e47fb
SHA1: 00b226dc1240982c3bc0a6fc45cebbe368d3dead
MD5sum: 99fa00389f9b2098f3fd194d07736582
Description: Ruby library for manipulating MS Excel (.xls) spreadsheets
 This module provides a pure-Ruby implementation of a spreadsheet
 manipulation library, allowing for creating, reading and modifying
 files in the Microsoft Excel (.xls) format.
Homepage: http://spreadsheet.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-spreadsheet/ruby-spreadsheet_0.7.3-1_all.deb

Package: ruby-sprockets
Version: 2.4.3-1
Installed-Size: 198
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-hike (>= 1.2), ruby-multi-json (>= 1.0), ruby-rack (>= 1.0), ruby-tilt (>= 1.3.1)
Size: 40252
SHA256: 13f97dc67c2880ab7639e4a7d9a50962fb5e31414f0f02ba6e5a2c918d892547
SHA1: e02edb728821ae31eb23b9ef1a62fc88da87b59e
MD5sum: 623ad766f9824d2a34af9d4b999d64b2
Description: Rack-based asset packaging system
 Sprockets is a Rack-based asset packaging system that concatenates
 and serves JavaScript, CoffeeScript, CSS, LESS, Sass, and SCSS.
Homepage: http://getsprockets.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-sprockets/ruby-sprockets_2.4.3-1_all.deb

Package: ruby-sqlite3
Version: 1.3.6-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 220
Depends: libc6 (>= 2.13-28), libsqlite3-0 (>= 3.6.11), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libsqlite3-ruby (<< 1.3.4-1~), libsqlite3-ruby1.8 (<< 1.3.4-1~), libsqlite3-ruby1.9.1 (<< 1.3.4-1~)
Replaces: libsqlite3-ruby (<< 1.3.4-1~), libsqlite3-ruby1.8 (<< 1.3.4-1~), libsqlite3-ruby1.9.1 (<< 1.3.4-1~)
Provides: libsqlite3-ruby, libsqlite3-ruby1.8, libsqlite3-ruby1.9.1
Homepage: http://github.com/luislavena/sqlite3-ruby
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-sqlite3/ruby-sqlite3_1.3.6-2_armhf.deb
Size: 44594
SHA256: ba49108e0e9cc1bd4c22c8a1a5ca9164d8fa5f276df6cf4689be98b64e56c9a4
SHA1: 291a0f98ec8c561ebda6a3dd6a395926e90a7a17
MD5sum: 3a6a3d20bc51a9ba0d096453beb2aa1b
Description: SQLite3 interface for Ruby
 This module allows Ruby programs to interface with the SQLite3 database
 engine.
 .
 Note that this module is NOT compatible with SQLite 2.x.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-stomp
Version: 1.2.2-2
Installed-Size: 204
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libstomp-ruby (<< 1.1.9-1~), libstomp-ruby-doc (<< 1.1.9-1~), libstomp-ruby1.8 (<< 1.1.9-1~), libstomp-ruby1.9.1 (<< 1.1.9-1~)
Provides: libstomp-ruby, libstomp-ruby-doc, libstomp-ruby1.8, libstomp-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libstomp-ruby (<< 1.1.9-1~), libstomp-ruby-doc (<< 1.1.9-1~), libstomp-ruby1.8 (<< 1.1.9-1~), libstomp-ruby1.9.1 (<< 1.1.9-1~)
Size: 34792
SHA256: 17a341df66c3f1e4d4bd9f6e09f54e51d269a9de91ad71d36b175b1bf71e8953
SHA1: 1416c0878dcd4044bd4fb96d40a89ede17def822
MD5sum: 4cbb314627353cfd1907a4ea7d44c91e
Description: Ruby client for the stomp messaging protocol
 Stomp is a text-oriented wire protocol for messaging (MOM/MQ/JMS)
 type systems. This library provides two useful interfaces, a low-
 level class, Stomp::Connection, which is a basic protocol
 implementation, and Stomp::Client, which is designed as a higher
 level convenience API.
Homepage: https://github.com/morellon/stomp
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-stomp/ruby-stomp_1.2.2-2_all.deb

Package: ruby-svg-graph
Version: 1.0.5-1
Installed-Size: 590
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libsvg-graph-ruby (<< 1.0.3-1~), libsvg-graph-ruby-doc (<< 1.0.3-1~), libsvg-graph-ruby1.8 (<< 1.0.3-1~), libsvg-graph-ruby1.9.1 (<< 1.0.3-1~)
Provides: libsvg-graph-ruby, libsvg-graph-ruby-doc, libsvg-graph-ruby1.8, libsvg-graph-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libsvg-graph-ruby (<< 1.0.3-1~), libsvg-graph-ruby-doc (<< 1.0.3-1~), libsvg-graph-ruby1.8 (<< 1.0.3-1~), libsvg-graph-ruby1.9.1 (<< 1.0.3-1~)
Size: 72372
SHA256: 011772c95ce3cc033dbd7a335df8b67d338a16f494a4fb45560cad8135d8ec46
SHA1: c8be20484f680de6c389a2eb183699458f32466d
MD5sum: 3601833eb5125502588752143f3bcdda
Description: Pure Ruby library for generating charts in SVG format
 SVG::Graph allows one to generate charts, that is, graphs where the values of
 one axis are not scalar. It has a very similar API to the Perl library
 SVG::TT::Graph, and the resulting charts also look the same. This isn't
 surprising, because SVG::Graph started as a loose port of SVG::TT::Graph,
 although the internal code no longer resembles the Perl original at all.
Homepage: http://www.germane-software.com/software/SVG/SVG::Graph/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-svg-graph/ruby-svg-graph_1.0.5-1_all.deb

Package: ruby-svn
Source: subversion
Version: 1.7.5-1+rpi4
Architecture: armhf
Maintainer: Peter Samuelson 
Installed-Size: 2009
Pre-Depends: multiarch-support
Depends: ruby1.8, libapr1 (>= 1.2.7), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libruby1.8 (>= 1.8.7.357-1), libsvn1 (>= 1.7)
Breaks: libsvn-ruby1.8 (<< 1.6.18dfsg-1)
Replaces: libsvn-ruby1.8 (<< 1.6.18dfsg-1)
Provides: libsvn-ruby1.8
Multi-Arch: same
Homepage: http://subversion.apache.org/
Priority: optional
Section: ruby
Filename: pool/main/s/subversion/ruby-svn_1.7.5-1+rpi4_armhf.deb
Size: 664714
SHA256: 768622e582323b781a66b894d2e5d666d60c35f5a94ab72d715437199ccdb56d
SHA1: cf4eed5160f56ed5bb8c3ce2f7a2ec189efb124f
MD5sum: e1488c16bc4a4ae65c555dd1d253c1c3
Description: Ruby bindings for Apache Subversion
 This is a set of Ruby interfaces to libsvn, the Apache Subversion libraries.
 It is useful if you want to, for example, write a Ruby script that
 manipulates a Subversion repository or working copy.  See the
 'subversion' package for more information.

Package: ruby-switch
Version: 0.1.0
Installed-Size: 46
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 7312
SHA256: 74a5f37b8718d863822c6b643d49f6d0b5e14c8604fa63b8c218285d3746312a
SHA1: 1420acebac6a2db8e3ee9a2a8e186c368e0901c3
MD5sum: f42e9068e69abd86037b8dac97d85fc7
Description: switch between different Ruby interpreters
 ruby-switch allows system administrators to easily switch between different
 Ruby interpreters as the system-wide default Ruby. When switching, all the
 entries in the alternatives database are changed, including but not limited to
 "ruby", "irb" and "gem".
 .
 Note that ruby-switch helps sysadmins change the system-wide default Ruby, and
 requires root privileges. For letting users choose between the different Ruby
 implementations available in Debian, use the rbenv package instead.
Homepage: http://wiki.debian.org/Ruby
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-switch/ruby-switch_0.1.0_all.deb

Package: ruby-systemtimer
Version: 1.2.3-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 72
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.352), ruby1.8
Homepage: https://github.com/ph7/system-timer
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-systemtimer/ruby-systemtimer_1.2.3-1_armhf.deb
Size: 13996
SHA256: 21813d823a7504b21eb7668b3c7d1ee2c63f9c7dbdd76fdbbcd83190b457e4f2
SHA1: 89bbfab7d513204e62324241c0ed842061ba24fe
MD5sum: c373387c12814b12a9a243d579e2a379
Description: System Timer provides consistent timeout based on signals
 System Timer, a timer based on underlying `SIGALRM` system timers, is a
 solution to Ruby processes which hang beyond the time limit when accessing
 external resources. This is useful when `timeout.rb`, which, on M.R.I 1.8,
 relies on green threads, does not work consistently.
Ruby-Versions: ruby1.8

Package: ruby-systemu
Version: 2.5.1-1
Installed-Size: 80
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libsystemu-ruby (<< 2.4.0-1~), libsystemu-ruby-doc (<< 2.4.0-1~), libsystemu-ruby1.8 (<< 2.4.0-1~), libsystemu-ruby1.9.1 (<< 2.4.0-1~)
Provides: libsystemu-ruby, libsystemu-ruby-doc, libsystemu-ruby1.8, libsystemu-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libsystemu-ruby (<< 2.4.0-1~), libsystemu-ruby-doc (<< 2.4.0-1~), libsystemu-ruby1.8 (<< 2.4.0-1~), libsystemu-ruby1.9.1 (<< 2.4.0-1~)
Size: 8670
SHA256: ebacc75c600ffa3365e6c7eeaf8a6749ddb7430801c67066e0fcebcc1ae8ce4b
SHA1: 8b5b90eed7ecfddf7f344fbdab61913b76cb80b7
MD5sum: a8a5bd1fe727d75274acaf2e1d05f722
Description: universal child process handling Ruby library
 Systemu is a Ruby library to handle spawned processes in a platform
 independent fashion. This library will capture stdout and stderr and
 track child process pid.
Homepage: https://github.com/ahoward/systemu
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-systemu/ruby-systemu_2.5.1-1_all.deb

Package: ruby-taglib2
Version: 0.1.3-1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 84
Depends: libc6 (>= 2.13-28), libtagc0 (>= 1.5), libruby1.8 (>= 1.8.7.352) | libruby1.9.1 (>= 1.9.2.0), ruby1.8 | ruby-interpreter
Recommends: libtag-extras1
Conflicts: libtagc0-ruby, libtagc0-ruby1.8
Replaces: libtagc0-ruby, libtagc0-ruby1.8
Provides: libtagc0-ruby, libtagc0-ruby1.8
Homepage: http://zik.rubyforge.org/ruby-taglib2
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-taglib2/ruby-taglib2_0.1.3-1_armhf.deb
Size: 9250
SHA256: 99f0485af27229f8ed6b3fb366f00b848b3aa243603090f620d10289e41e79d0
SHA1: 7804c53cf5a8a9cf3bca495d35d37e0e2a47cb82
MD5sum: 1920dce05a7190ada687007f8c571f03
Description: Ruby interface to TagLib, the audio meta-data library.
 It allows Ruby programs to read and write meta-data of all the audio
 formats supported by TagLib.
 It was written because ruby-taglib suffers severals bugs with ruby 1.9.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-term-ansicolor
Version: 1.0.7-1
Installed-Size: 88
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libcoderay-ruby1.8 (<< 0.9.1-1.1~), libterm-ansicolor-ruby (<< 1.0.6-1~), libterm-ansicolor-ruby1.8 (<< 1.0.6-1~)
Provides: libterm-ansicolor-ruby, libterm-ansicolor-ruby1.8
Depends: ruby | ruby-interpreter
Breaks: libcoderay-ruby1.8 (<< 0.9.1-1.1~), libterm-ansicolor-ruby (<< 1.0.6-1~), libterm-ansicolor-ruby1.8 (<< 1.0.6-1~)
Size: 9452
SHA256: d81ebdf3c2c1d7758ab7039676c2d37453aee5497578bb7c1bd950072c9d7205
SHA1: 156bf9e1913c1d095f036af138ba4ba877acab76
MD5sum: 0bd484dceef2bba516e10698e4f9c37a
Description: Ruby library that colors strings using ANSI escape sequences
 Small Ruby library that colors strings using ANSI escape sequences.
 It's possible to use constants or unary functions.  Block-forms
 also autoreset at the block's end.  It's also possible to use this
 module as a mixin for classes of objects that respond to :to_str,
 e.g. String.
 .
 This package is part of the Ruby library extras, a supplement to Ruby's
 standard library.
Homepage: http://flori.github.com/term-ansicolor
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-term-ansicolor/ruby-term-ansicolor_1.0.7-1_all.deb

Package: ruby-termios
Version: 0.9.6-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 179
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.352) | libruby1.9.1 (>= 1.9.2.0), ruby1.8 | ruby-interpreter
Conflicts: libtermios-ruby (<< 0.9.6-1~), libtermios-ruby1.8 (<< 0.9.6-1~)
Replaces: libtermios-ruby (<< 0.9.6-1~), libtermios-ruby1.8 (<< 0.9.6-1~)
Provides: libtermios-ruby, libtermios-ruby1.8
Homepage: http://arika.org/ruby/termios
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-termios/ruby-termios_0.9.6-2_armhf.deb
Size: 49316
SHA256: 1cbbcc2003f58b310bec1c3cacdfaf5937a8ce3ed4b18378523b2ebfb59e1171
SHA1: 281b9b9a3d66ef49fd2a0789a0963f78f10b4519
MD5sum: a9dbf134aa9b596d4c131d26daf4b297
Description: termios simple wrapper for ruby
 Ruby-termios is a wrapper for the termios(3) interface.  It can be included
 into IO-family classes and extends IO-family objects.  In addition, the
 methods can used as module function.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-test-declarative
Version: 0.0.5-1
Installed-Size: 60
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby1.8 | ruby-interpreter
Size: 2780
SHA256: c451ff75ba889f872d15d48f8aa0780e54769fac0a9167f5d665ca3af2d9d79a
SHA1: 387899bf3118af2445ad125d89309bc002ef7a5b
MD5sum: 9eae6ff7fbdddc1f4cb8df173640ea09
Description: adds a declarative test method syntax to test/unit
 Simply adds a declarative test method syntax to test/unit.
Homepage: http://github.com/svenfuchs/test_declarative
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-test-declarative/ruby-test-declarative_0.0.5-1_all.deb

Package: ruby-test-spec
Version: 0.10.0-2
Installed-Size: 122
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-test-unit
Size: 19592
SHA256: 61a2ae03cfce4028d982ad6ad6354a27413e364e14cecef753a050de8928e80b
SHA1: 064703f0ded3f58b577632fdb13f105166f33953
MD5sum: 4ff318f173046596ad26dead27d13ff2
Description: Ruby library providing behaviour driven development interface for Test::Unit
 The test/spec library layers an RSpec-inspired interface on top of Test::Unit,
 so you can mix test-driven and behavior-driven development.
 .
 test/spec is a clean-room implementation that maps most kinds of Test::Unit
 assertions to a `should'-like syntax.
Homepage: http://test-spec.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-test-spec/ruby-test-spec_0.10.0-2_all.deb

Package: ruby-test-unit
Version: 2.5.0-2
Installed-Size: 359
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 61098
SHA256: 73902852a50254a4bc44dfd80bd12a1e004236b9b8fa9c236f03b4bb99c4c1ee
SHA1: 58b7abe6171e1071ca0a5c45cf13e9d650326f26
MD5sum: c674af0e7496ed4da43af61382e5034e
Description: Improved version of Test::Unit library initially bundled in Ruby 1.8
 Test::Unit is a testing framework originally bundled with Ruby 1.8.
 .
 This package provides an updated version of this framework, with many fixes and
 improvements, and support for Ruby 1.9, which bundles minitest, but not
 Test::Unit.
 .
 Note that this version of Test::Unit will override the one possibly shipped
 with the Ruby interpreter.
Homepage: http://test-unit.rubyforge.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-test-unit/ruby-test-unit_2.5.0-2_all.deb

Package: ruby-text
Version: 1.0.3-1
Installed-Size: 71
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 11874
SHA256: dcc6e1dd8dcae778566b1219f374837ddec125a2cba18e06c373676cf7ad4335
SHA1: a9c1aac2d04e71a0e78eb3941760ca7b76315a6f
MD5sum: 849f3bbf8de5a33a465575606c554cb3
Description: Collection of text algorithms for Ruby
 A collection of text algorithms: Levenshtein, Soundex,
 Metaphone, Double Metaphone, Figlet, Porter Stemming.
 Library can be used to extend String and compare strings
 with errors or other algos.
Homepage: http://github.com/threedaymonk/text
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-text/ruby-text_1.0.3-1_all.deb

Package: ruby-text-format
Version: 1.0.0-3
Installed-Size: 116
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libtext-format-ruby (<< 1.0.0-3~), libtext-format-ruby-doc (<< 1.0.0-3~)
Provides: libtext-format-ruby, libtext-format-ruby-doc
Depends: ruby | ruby-interpreter
Conflicts: libtext-format-ruby (<< 1.0.0-3~), libtext-format-ruby-doc (<< 1.0.0-3~)
Size: 17668
SHA256: 2d41885effd488d96df84f9cf3f5b32db2444712520bfb2613249db68bc762ec
SHA1: 99201048f0f9c3a37577ef12d647d4883c412ee7
MD5sum: d274bb2e138f689d2de92e0aee82e6e3
Description: Ruby library for text formatting
 Text::Format is provides the ability to nicely format fixed-width
 text with knowledge of the writable space (number of columns),
 margins, and indentation settings. Text::Format can work with either
 TeX::Hyphen or Text::Hyphen to hyphenate words when formatting.
Homepage: http://rubyforge.org/projects/text-format
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: role::shared-lib
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-text-format/ruby-text-format_1.0.0-3_all.deb

Package: ruby-thor
Version: 0.15.3-1
Installed-Size: 243
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 46010
SHA256: 5e81df1b5adcae328e38a2ea786ab77edfd5a09017d908647a330b791f85e6d9
SHA1: a7a11b77137ef340629ad7ff4731caf4af43bdac
MD5sum: a89764ad1f34b32abdb32252220ec04c
Description: Ruby scripting framework
 Thor is a scripting framework that replaces rake, sake and rubigen.
Homepage: http://github.com/wycats/thor
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-thor/ruby-thor_0.15.3-1_all.deb

Package: ruby-tidy
Version: 1.1.2+gem2deb-1
Installed-Size: 59
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libtidy-ruby (<< 1.1.2+gem2deb-1~), libtidy-ruby1.8 (<< 1.1.2+gem2deb-1~)
Provides: libtidy-ruby, libtidy-ruby1.8
Depends: ruby | ruby-interpreter, libtidy-0.99-0
Breaks: libtidy-ruby (<< 1.1.2+gem2deb-1~), libtidy-ruby1.8 (<< 1.1.2+gem2deb-1~)
Size: 7942
SHA256: 5956a3188e4c9644fd1a41058c342bd67c12f678d30e02c9a8e52e91ff4899fe
SHA1: 4c4a761446d41ab90b3228e330c638de9395b268
MD5sum: 7ca124a6451b01eb933dbea38e7eb8ea
Description: Ruby interface to HTML Tidy Library
 HTML Tidy corrects markup in a way compliant with the latest standards,
 and optimal for the popular browsers.
Homepage: http://tidy.rubyforge.org/
Ruby-Versions: ruby1.8
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-tidy/ruby-tidy_1.1.2+gem2deb-1_all.deb

Package: ruby-tilt
Version: 1.3.3-2
Installed-Size: 132
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Size: 20630
SHA256: 859da280f7cf4552708ecd83a43283c93fe18d7b113ef8d91b4705600dbd2015
SHA1: 56cdcb64c1547c319fc643f4e84dc0c2571a787e
MD5sum: 910e08357903872fe4bd0badfa039435
Description: Generic interface to multiple Ruby template engines
 Tilt is a thin interface over a bunch of different Ruby template engines in an
 attempt to make their usage as generic possible. This is useful for web
 frameworks, static site generators, and other systems that support multiple
 template engines but don't want to code for each of them individually.
Homepage: http://github.com/rtomayko/tilt/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-tilt/ruby-tilt_1.3.3-2_all.deb

Package: ruby-tioga
Version: 1.14-3
Architecture: armhf
Maintainer: Vincent Fourmond 
Installed-Size: 1289
Depends: libc6 (>= 2.13-28), libfftw3-3, zlib1g (>= 1:1.1.4), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter, texlive-latex-base | tetex-bin
Suggests: libtioga-ruby-doc, xpdf | pdf-viewer, irb | irb1.8 | irb1.9.1
Breaks: libtioga-ruby (<< 1.13-4~), libtioga-ruby1.8 (<< 1.13-4~), libtioga-ruby1.9.1 (<< 1.13-4~)
Replaces: libtioga-ruby (<< 1.13-4~), libtioga-ruby1.8 (<< 1.13-4~), libtioga-ruby1.9.1 (<< 1.13-4~)
Homepage: http://tioga.rubyforge.org
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-tioga/ruby-tioga_1.14-3_armhf.deb
Size: 410648
SHA256: 179815b206f4d0076cb63fdf7e24290fc7743cde4ed7bcc631bc72c1b0e75ada
SHA1: 283ea7186f8a231e0c7bb87580a499d57adcf548
MD5sum: febc4e0aadbc80e48b8d61f6ae79194f
Description: Ruby library for scientific graphs
 Tioga is a blend of PDF, pdfTex and ruby into a library to make
 scientific graphs of high quality. It is fairly complete and
 extensive, and comes with examples and (online) complete documentation.
 .
 Tioga is useful for scripting the generation of graphs, and comes
 with a configuration file for irb for interactive plotting of pictures.
 .
 A PDF viewer is strongly recommended if you want to make use of the
 tioga script, a wrapper around some of the library functionalities.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-tioga-doc
Source: ruby-tioga
Version: 1.14-3
Installed-Size: 6912
Maintainer: Vincent Fourmond 
Architecture: all
Recommends: ruby-tioga, irb
Size: 5369136
SHA256: ab00b0994de2b7c895002db3f2b42cd6a4a2fbc2d9228a42088a1b38f5ba93ab
SHA1: 8fe6f1d9404366766c9b98826533f277a16c0251
MD5sum: 10a91135c497b71902ffbc2269d9b067
Description: Ruby library for scientific graphs
 Tioga is a blend of PDF, pdfTex and ruby into a library to make
 scientific graphs of high quality. It is fairly complete and
 extensive, and comes with examples and (online) complete documentation.
 .
 Tioga is useful for scripting the generation of graphs, and comes
 with a configuration file for irb for interactive plotting of pictures.
 .
 This package provides the rdoc documentation generated from tioga's
 source. It includes a complete API reference and a fairly extensive
 tutorial.
Homepage: http://tioga.rubyforge.org
Tag: role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/ruby-tioga/ruby-tioga-doc_1.14-3_all.deb

Package: ruby-tmail
Version: 1.2.7.1-3+deb7u1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 288
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1), ruby-rchardet (>= 1.3), ruby | ruby-interpreter
Breaks: libtmail-ruby-doc (<< 1.2.7.1-1~), libtmail-ruby1.8 (<< 1.2.7.1-1~)
Replaces: libtmail-ruby-doc (<< 1.2.7.1-1~), libtmail-ruby1.8 (<< 1.2.7.1-1~)
Provides: libtmail-ruby-doc, libtmail-ruby1.8
Homepage: http://tmail.rubyforge.org
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-tmail/ruby-tmail_1.2.7.1-3+deb7u1_armhf.deb
Size: 64160
SHA256: a997a4e632a94820ad51c1d8d03242dc69745dc44407e926dace3580e01e6fc6
SHA1: 13b9830882e072a4baa48174bcb253b43184010b
MD5sum: f8eb7de0e3862da2e8a765cb281a0f70
Description: Mail class library for Ruby
 TMail is a Ruby-based mail handler.  It allows you to handle mail
 headers or compose MIME multipart standards compliant emails in a
 very Ruby-way.
 .
 This package works with Ruby 1.8, but may have some issues with Ruby 1.9.
Ruby-Versions: ruby1.8

Package: ruby-tokyocabinet
Version: 1.31-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 200
Depends: libbz2-1.0, libc6 (>= 2.13-28), libtokyocabinet9 (>= 1.4.47), zlib1g (>= 1:1.1.4), libruby1.8 (>= 1.8.7.352) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libtokyocabinet-ruby-doc (<< 1.31-1~), libtokyocabinet-ruby1.8 (<< 1.31-1~), libtokyocabinet-ruby1.9.1 (<< 1.31-1~)
Replaces: libtokyocabinet-ruby-doc (<< 1.31-1~), libtokyocabinet-ruby1.8 (<< 1.31-1~), libtokyocabinet-ruby1.9.1 (<< 1.31-1~)
Provides: libtokyocabinet-ruby-doc, libtokyocabinet-ruby1.8, libtokyocabinet-ruby1.9.1
Homepage: http://fallabs.com/tokyocabinet/
Priority: extra
Section: ruby
Filename: pool/main/r/ruby-tokyocabinet/ruby-tokyocabinet_1.31-2_armhf.deb
Size: 53610
SHA256: 3ae128928d96ea6875d63e72642946ea7c56b2090ffa1e6cfe974c5afa830da5
SHA1: 6952b3e82e33c5ada08f68a018ad6ccaebff9095
MD5sum: 4e383cb498219d8e2c10c1841222bdcc
Description: Ruby Binding of Tokyo Cabinet Database
 Tokyo Cabinet is an efficient database library like GDBM and NDBM.
 It features hash database and B+ tree database and is developed as the
 successor of QDBM, for the purpose of the following three points: higher
 processing speed, smaller size of a database file, and simpler API.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-tomoe
Source: tomoe
Version: 0.6.0-1.3
Architecture: armhf
Maintainer: NIIBE Yutaka 
Installed-Size: 176
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libruby1.8 (>= 1.8.7.352), libtomoe0
Homepage: http://tomoe.sourceforge.jp/
Priority: extra
Section: ruby
Filename: pool/main/t/tomoe/ruby-tomoe_0.6.0-1.3_armhf.deb
Size: 58144
SHA256: 72524138af22e773727f33d07248163bc411fb0d5d9f5a208387ddd67854cff1
SHA1: 7c542336b58e60001a1325454ae06a9f1a41a7e1
MD5sum: 0e89c91252f8402887c410d619b6bd23
Description: Handwriting recognition engine (ruby binding)
 Tomoe is a software which provides a handwriting recognition engine
 and its user interface on desktop environment.
 .
 This package gives ruby binding of the handwriting recognition engine.

Package: ruby-transaction-simple
Version: 1.4.0-2
Installed-Size: 100
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libtransaction-simple-ruby (<< 1.4.0-2), libtransaction-simple-ruby-doc (<< 1.4.0-2), libtransaction-simple-ruby1.8 (<< 1.4.0-2)
Provides: libtransaction-simple-ruby, libtransaction-simple-ruby-doc, libtransaction-simple-ruby1.8
Depends: ruby | ruby-interpreter
Conflicts: libtransaction-simple-ruby (<< 1.4.0-2), libtransaction-simple-ruby-doc (<< 1.4.0-2), libtransaction-simple-ruby1.8 (<< 1.4.0-2)
Size: 12488
SHA256: 6516effe381399f275ce969401c9def38acbf8d875e40413ea3ed605c7ee2c07
SHA1: fbf5f8fcd14122ef1eb529a10f1355bb43be3a37
MD5sum: 7b16b80fee566948587cfe543e82358b
Description: A generic way to add active transaction support to objects
 Transaction::Simple provides a generic way to add active transaction
 support to objects. The transaction methods added by this module will work
 with most objects, excluding those that cannot be Marshal-ed (bindings,
 procedure objects, IO instances, or singleton objects).
 .
 The transactions supported by Transaction::Simple are not associated with
 any sort of data store. They are "live" transactions occurring in memory
 on the object itself. This is to allow "test" changes to be made to an
 object before making the changes permanent.
Homepage: http://rubyforge.org/projects/trans-simple
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-transaction-simple/ruby-transaction-simple_1.4.0-2_all.deb

Package: ruby-treetop
Version: 1.4.10-5
Installed-Size: 430
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-polyglot, ruby-i18n
Suggests: treetop, doc-base
Size: 146130
SHA256: 2fe206bdcde9762061b800af77b0cea9498e0e3945b414020c8c8ad896a05ed6
SHA1: 0f661457ae7e18b3f7900d17451b5dc3b936abc6
MD5sum: 1e6aa438122328a088c1bc108c57a306
Description: Ruby-based text parsing and interpretation DSL
 Treetop is a language for describing languages. It provides a Ruby
 implementation of a custom language based on parsing expression
 grammars (PEGs).
 .
 This package provides the Ruby library implementation of treetop.
Homepage: http://functionalform.blogspot.com
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-treetop/ruby-treetop_1.4.10-5_all.deb

Package: ruby-trollop
Version: 1.16.2-3
Installed-Size: 75
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libtrollop-ruby (<< 1.16.2-1~)
Provides: libtrollop-ruby
Depends: ruby | ruby-interpreter
Breaks: libtrollop-ruby (<< 1.16.2-1~)
Size: 16580
SHA256: 7f82957efc1ec7e9f9c94eec1ad65f797fadde98f46ee6b6ca6c2e99d9682b24
SHA1: 160b058f1951c22d5d585bf2e9574ce7249ef59c
MD5sum: b55a9b0a57ff3b7520a17fb440382cae
Description: command-line argument processing library
 Trollop is YAFCLAP --- yet another fine commandline argument processor
 for Ruby. Trollop is designed to provide the maximal amount of GNU-style
 argument processing in the minimum number of lines of code (for you, the
 programmer).
Homepage: http://trollop.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: extra
Filename: pool/main/r/ruby-trollop/ruby-trollop_1.16.2-3_all.deb

Package: ruby-ttfunk
Version: 1.0.3+dfsg-1
Installed-Size: 170
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Suggests: ttf-dejavu-core
Size: 25250
SHA256: 9c4e9f0ac4df1b2e17814ef5ca71acc25171a9bdefe3d948a5f317ad76dd410c
SHA1: cf3a1683ad729cc8a2d7b54bbc4bf6436d302d8b
MD5sum: 42cb4709f14d3708e600931df387bea0
Description: Ruby library to parse TrueType font metrics
 TTFunk is a TrueType font parser written in pure Ruby.
 It gives access to various data included in a .ttf file, including the
 name, family, subfamily of the font, as well as some metrics information.
 .
 TTFunk can be used by Ruby PDF generation libraries, like ruby-prawn.
Homepage: http://github.com/sandal/ttfunk
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-ttfunk/ruby-ttfunk_1.0.3+dfsg-1_all.deb

Package: ruby-twitter4r
Version: 0.7.0-3
Installed-Size: 156
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libtwitter-ruby1.8 (<< 0.7.0-2~), libtwitter-ruby1.9.1 (<< 0.7.0-2~)
Provides: libtwitter-ruby1.8, libtwitter-ruby1.9.1
Depends: ruby | ruby-interpreter, ruby-json, ruby-oauth
Breaks: libtwitter-ruby1.8 (<< 0.7.0-2~), libtwitter-ruby1.9.1 (<< 0.7.0-2~)
Size: 27084
SHA256: cbfaadeb33d73b0ae6c5457f555f4d9888298c87fab06ea0b562d44382bc580f
SHA1: 7d1b64cc2d86a65cf257e6b13ee2e3f463e6122b
MD5sum: cfcc4afcce216e92babe50c8e863644e
Description: Ruby library for accessing Twitter
 Twitter4R provides an object based API to query or update your Twitter
 account via pure Ruby. It hides the ugly HTTP/REST code from your code.
Homepage: http://twitter4r.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: extra
Filename: pool/main/r/ruby-twitter4r/ruby-twitter4r_0.7.0-3_all.deb

Package: ruby-tzinfo
Version: 0.3.33-3
Installed-Size: 325
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libtzinfo-ruby (<< 0.3.33-3), libtzinfo-ruby1.8 (<< 0.3.33-3), libtzinfo-ruby1.9.1 (<< 0.3.33-3)
Provides: libtzinfo-ruby, libtzinfo-ruby1.8, libtzinfo-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libtzinfo-ruby (<< 0.3.33-3), libtzinfo-ruby1.8 (<< 0.3.33-3), libtzinfo-ruby1.9.1 (<< 0.3.33-3)
Size: 60434
SHA256: 0f5ceddebe4ccce0b622946f266342164f3b1fabd2e900b7b6770ea52f7a7b9f
SHA1: ea35c85778c5264f1d1b8e30ce2e196122761614
MD5sum: 433f72b06b119ef878c92fca0ec20dfe
Description: Daylight-savings aware timezone library
 TZInfo is a Ruby library that uses the standard tz (Olson) database
 to provide daylight savings aware transformations between times
 in different time zones.
Homepage: http://tzinfo.rubyforge.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-tzinfo/ruby-tzinfo_0.3.33-3_all.deb

Package: ruby-uconv
Version: 0.5.3-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 1489
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.352) | libruby1.9.1 (>= 1.9.2.0)
Breaks: libuconv-ruby1.8 (<< 0.5.3-2~), libuconv-ruby1.9.1 (<< 0.5.3-2~)
Replaces: libuconv-ruby1.8 (<< 0.5.3-2~), libuconv-ruby1.9.1 (<< 0.5.3-2~)
Provides: libuconv-ruby1.8, libuconv-ruby1.9.1
Homepage: http://www.yoshidam.net/Ruby.html#uconv
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-uconv/ruby-uconv_0.5.3-2_armhf.deb
Size: 362744
SHA256: bff3b35ba04c84361b1cf3d10c9f9347b68e0dce3522741ea73636724b4601f7
SHA1: 87015213cb4729e92eec6cd40dfdb746bd1970a4
MD5sum: 89405a26eaf036ad8b864a0862367050
Description: Unicode/EUC-JP translation module for Ruby
 The encoding translation module for the object-oriented scripting language
 Ruby 1.8.  Supported character encodings are UCS-4, UTF-16, UTF-8, EUC-JP
 and CP932 (a variant of Shift_JIS for Japanese Windows).  This cannot
 detect character encoding automatically.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-unf
Version: 0.0.5-1
Installed-Size: 44
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-unf-ext
Size: 3514
SHA256: fa81ece50899673d37f37518d0b9e61383ddec3e0fd92056d93266cc36aa71fa
SHA1: 478c49861717b2f77e68db395c0e9f0097e3df87
MD5sum: 774822d9b6739bfb29cd4a903f7f2b42
Description: Wrapper library to bring Unicode Normalization Form support to Ruby
 This library allows code to be portable between Ruby implementations,
 using 'unf_ext' under CRuby and 'java.text.Normalizer' on JRuby. It
 normalizes UTF-8 strings into and from NFC, NFD, NFKC or NFKD
Homepage: http://github.com/knu/unf
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-unf/ruby-unf_0.0.5-1_all.deb

Package: ruby-unf-ext
Version: 0.0.5-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 890
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Homepage: http://github.com/knu/ruby-unf_ext
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-unf-ext/ruby-unf-ext_0.0.5-2_armhf.deb
Size: 409562
SHA256: 0694dde73ddd5841b7a63494e61d64ade158020be16236af6f3eec36331a322c
SHA1: 66e5db32b5c64a3506294523729b607a9aa6d213
MD5sum: e3496be520f2ee28eee047029ef07496
Description: Unicode Normalization Form support library for CRuby
 ruby-unf_ext is an unicode normalization library for CRuby.  It provides
 classes for normalizing UTF-8 strings into and from NFC, NFD, NFKC, NFKD. The
 results is compliant with Unicode 6.0.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-usb
Version: 0.2.1-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 147
Depends: libc6 (>= 2.13-28), libusb-0.1-4 (>= 2:0.1.12), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Breaks: libusb-ruby (<< 0.2.1-1~), libusb-ruby1.8 (<< 0.2.1-1~), libusb-ruby1.9.1 (<< 0.2.1-1~)
Replaces: libusb-ruby (<< 0.2.1-1~), libusb-ruby1.8 (<< 0.2.1-1~), libusb-ruby1.9.1 (<< 0.2.1-1~)
Provides: libusb-ruby, libusb-ruby1.8, libusb-ruby1.9.1
Homepage: http://github.com/akr/ruby-usb
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-usb/ruby-usb_0.2.1-2_armhf.deb
Size: 25684
SHA256: 6e63787cee37bb2cc5deada0ab94cfcba9cf828d30636ce8d882afed1548fdc9
SHA1: ba6cf3eca289c4413c8be60352fe2de40b88a56b
MD5sum: e5fe166a3cc544070f7fc6752d10e97a
Description: Ruby library providing bindings for libusb-0.1
 ruby-usb provides is a Ruby libusb binding library allowing Ruby programs to
 communicate with USB devices through the (legacy) version 0.1 of the API of
 libusb.
 .
 It provides both direct (non-rubyish) binding and rubyish interface, while the
 latter is work in progress.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-uuidtools
Version: 2.1.2-2
Installed-Size: 39
Maintainer: Marc Dequènes (Duck) 
Architecture: all
Replaces: libuuidtools-ruby (<< 2.1.2-1~), libuuidtools-ruby1.8 (<< 2.1.2-1~), libuuidtools-ruby1.9.1 (<< 2.1.2-1~)
Provides: libuuidtools-ruby, libuuidtools-ruby1.8, libuuidtools-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libuuidtools-ruby (<< 2.1.2-1~), libuuidtools-ruby1.8 (<< 2.1.2-1~), libuuidtools-ruby1.9.1 (<< 2.1.2-1~)
Size: 12852
SHA256: a14cd667a6a9d5f3963964e354791991ca805ffc9585522bd12a46ea9eb4ca93
SHA1: d70a63d79963570b7d2c42a408707403933d9b8c
MD5sum: e9fc00d29cd6a80a31e4ac3fd9bc36d9
Description: UUIDs generation library for Ruby
 UUIDTools was designed to be a simple library for generating any
 of the various types of UUIDs (or GUIDs if you prefer to call
 them that). It conforms to RFC 4122 whenever possible.
Homepage: http://uuidtools.rubyforge.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-uuidtools/ruby-uuidtools_2.1.2-2_all.deb

Package: ruby-uuidtools-doc
Source: ruby-uuidtools
Version: 2.1.2-2
Installed-Size: 227
Maintainer: Marc Dequènes (Duck) 
Architecture: all
Replaces: libuuidtools-ruby-doc (<< 2.1.2-1~)
Provides: libuuidtools-ruby-doc
Suggests: doc-base
Breaks: libuuidtools-ruby-doc (<< 2.1.2-1~)
Size: 58894
SHA256: 6a5a14e5cb1641805b510f2009fe6ae5082633d5c4452d68da7d6bdeaa465970
SHA1: 75db862106f3343929454b8cf70d6735816a7e51
MD5sum: 6c16bd25ad39e583ca637d3f2487f230
Description: UUIDs generation library for Ruby - documentation
 UUIDTools was designed to be a simple library for generating any
 of the various types of UUIDs (or GUIDs if you prefer to call
 them that). It conforms to RFC 4122 whenever possible.
 .
 This is the Rdoc-generated documentation for the UUIDTools API.
Homepage: http://uuidtools.rubyforge.org/
Tag: devel::doc, devel::lang:ruby, role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/ruby-uuidtools/ruby-uuidtools-doc_2.1.2-2_all.deb

Package: ruby-validatable
Version: 1.6.7-9
Installed-Size: 42
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libvalidatable-ruby (<< 1.6.7-7~), libvalidatable-ruby1.8 (<< 1.6.7-7~), libvalidatable-ruby1.9.1 (<< 1.6.7-7~)
Provides: libvalidatable-ruby, libvalidatable-ruby1.8, libvalidatable-ruby1.9.1
Depends: ruby | ruby-interpreter
Breaks: libvalidatable-ruby (<< 1.6.7-7~), libvalidatable-ruby1.8 (<< 1.6.7-7~), libvalidatable-ruby1.9.1 (<< 1.6.7-7~)
Size: 12494
SHA256: e8edb536f75317972dc7ad9f8ceeb852cf7b13e63b9f2220b274f7d24d9f3afb
SHA1: 85b8c0deae4905db5d11e8a8f2c8a9576f347fcc
MD5sum: 42e5a852fad2d46b1c15977a73aa98a8
Description: Ruby library for adding validations
 The validatable library can be included with any Ruby class and
 provide ActiveRecord looking validations. The library follows
 ActiveRecord's lead for features that are similar and introduce new
 features.
Homepage: http://validatable.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-validatable/ruby-validatable_1.6.7-9_all.deb

Package: ruby-vte
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 137
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0), libvte9 (>= 1:0.28.0), libx11-6
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-gnome2/ruby-vte_1.1.3-2+b1_armhf.deb
Size: 35980
SHA256: 6d7ec6ac2f89b329b2f14508a9022f85a15405a4c5b56be9673fb8ff2592b05b
SHA1: 188d1601dc3e1c80cf23b902df39a81f28cce9ed
MD5sum: f8927d054da51ba45835522d61ecce90
Description: VTE widget bindings for the Ruby language
 VTE is a Ruby binding for the VTE terminal emulator widget for GTK+.

Package: ruby-vte-dbg
Source: ruby-gnome2 (1.1.3-2)
Version: 1.1.3-2+b1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 201
Depends: ruby-vte (= 1.1.3-2+b1)
Homepage: http://ruby-gnome2.sourceforge.jp/
Priority: extra
Section: debug
Filename: pool/main/r/ruby-gnome2/ruby-vte-dbg_1.1.3-2+b1_armhf.deb
Size: 58634
SHA256: 84c0a67e0c7748a1367aa774ef12041b1c5482f869811ff3026c91ceb3d83b49
SHA1: 30ef95048eb7b994709f43ae19f91ff6c95125e7
MD5sum: 6054f925a8e544e45198397e2c4c6533
Description: VTE widget bindings for the Ruby language (debugging symbols)
 VTE is a Ruby binding for the VTE terminal emulator widget for GTK+.
 .
 This package contains the debugging symbols.

Package: ruby-webrobots
Version: 0.0.13-3
Installed-Size: 41
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, racc, ruby-nokogiri
Size: 10996
SHA256: 121092a61aaace48dc4bd3e9b21d354d744b0384db691d37c0ecff59e3ff9b90
SHA1: f8cca58c9ef2f05565e19a7c0c298e5dc2fae194
MD5sum: fdea2bb204e2f5c8a1e36875b4622b9f
Description: Library for creating robots.txt-aware web robots
 This library helps write robots.txt-compliant web robots in Ruby,
 based on Nokogiri's functionality.
Homepage: https://github.com/knu/webrobots
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-webrobots/ruby-webrobots_0.0.13-3_all.deb

Package: ruby-whitewash
Version: 2.0-1
Installed-Size: 61
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-nokogiri
Size: 10342
SHA256: c863e0efae0142df8ed99fb099a4d4ffcc031333294add7807d6d9b52a60d4a2
SHA1: affabe865c1e210b070ad191a59f7ea71f2f994b
MD5sum: aff4ef8b9fdee7957e0609b3fafaf41e
Description: Whitelist-based HTML filter for Ruby
 This module allows Ruby programs to clean up any HTML document or fragment
 coming from an untrusted source and to remove all dangerous constructs that
 could be used for cross-site scripting or request forgery.
Homepage: http://samizdat.nongnu.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-whitewash/ruby-whitewash_2.0-1_all.deb

Package: ruby-will-paginate
Version: 3.0.3-1
Installed-Size: 121
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libwill-paginate-ruby (<< 2.3.15-1~), libwill-paginate-ruby-doc (<< 2.3.15-1~), libwill-paginate-ruby1.8 (<< 2.3.15-1~)
Provides: libwill-paginate-ruby, libwill-paginate-ruby-doc, libwill-paginate-ruby1.8
Depends: ruby1.8 | ruby-interpreter
Breaks: libwill-paginate-ruby (<< 2.3.15-1~), libwill-paginate-ruby-doc (<< 2.3.15-1~), libwill-paginate-ruby1.8 (<< 2.3.15-1~)
Size: 19004
SHA256: 52473e63f4dab01d49ab0fc019b9547893f5b814a4d2161ff0ce8126316522a0
SHA1: 6b9ff3bcb670d7c387d6efaed6f4877a43fa37de
MD5sum: 984e401f6cc4662cd7fc944a7996ee19
Description: Pagination for Rails
 The will_paginate library provides a simple, yet powerful and
 extensible API for pagination and rendering of pagination links in
 ActionView templates.
 .
 Pagination is just limiting the number of records displayed, showing
 a subset of them at any given view, and allowing you to move the
 displayed window. This plugin makes the whole process a breeze.
 .
 Although this package is aimed at being used from the Ruby on Rails
 framework, any Enumerable class can include WillPaginate, giving it
 this functionality.
Homepage: http://github.com/mislav/will_paginate/wikis
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-will-paginate/ruby-will-paginate_3.0.3-1_all.deb

Package: ruby-wirble
Version: 0.1.3-4
Installed-Size: 24
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libwirble-ruby (<< 0.1.3-3), libwirble-ruby-doc (<< 0.1.3-3), libwirble-ruby1.8 (<< 0.1.3-3), libwirble-ruby1.9.1 (<< 0.1.3-3)
Provides: libwirble-ruby, libwirble-ruby-doc, libwirble-ruby1.8, libwirble-ruby1.9.1
Depends: ruby | ruby-interpreter
Conflicts: libwirble-ruby (<< 0.1.3-3), libwirble-ruby-doc (<< 0.1.3-3), libwirble-ruby1.8 (<< 0.1.3-3), libwirble-ruby1.9.1 (<< 0.1.3-3)
Size: 13568
SHA256: 40f23080d31673f40a0355670ddb2a06bbf1b4600dd4ed6b777445dc338a1dbc
SHA1: cde89a5ae05b51ef778a721624da4833ceac64af
MD5sum: edbb415586fba68395b2fce5ccb287dd
Description: Extensions for the Ruby irb command line shell
 A handful of useful Irb features, including colorized results,
 tab-completion, history, a simple prompt, and several helper
 methods, all rolled into one easy to use package.
Homepage: http://pablotron.org/software/wirble/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-wirble/ruby-wirble_0.1.3-4_all.deb

Package: ruby-xml-simple
Version: 1.1.1-1
Installed-Size: 74
Maintainer: Deepak Tripathi 
Architecture: all
Replaces: libxml-simple-ruby (<< 1.0.12-1~)
Provides: libxml-simple-ruby
Depends: ruby | ruby-interpreter
Breaks: libxml-simple-ruby (<< 1.0.12-1~)
Size: 11774
SHA256: c7105c1bc3a043ad719045e1819ca82957929a24355cb86d463d7512b1011e6d
SHA1: 9805e751939d8751e0b2c46d8d97cf08ea63d6c2
MD5sum: 4ea2d740ba6523f88b4c4e9422121af7
Description: Simple Ruby API for reading and writing XML
 Class XmlSimple offers an easy API to read and write XML. It is a Ruby
 translation of Grant McLean's Perl module XML::Simple.  Simply put, it
 automatically converts XML documents into a Ruby hash.
Homepage: http://xml-simple.rubyforge.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-xml-simple/ruby-xml-simple_1.1.1-1_all.deb

Package: ruby-xmlparser
Version: 0.7.2-2
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 469
Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Conflicts: libxml-parser-ruby (<< 0.7.2-1~), libxml-parser-ruby1.8 (<< 0.7.2-1~), libxml-parser-ruby1.9.1 (<< 0.7.2-1~)
Replaces: libxml-parser-ruby (<< 0.7.2-1~), libxml-parser-ruby1.8 (<< 0.7.2-1~), libxml-parser-ruby1.9.1 (<< 0.7.2-1~)
Provides: libxml-parser-ruby, libxml-parser-ruby1.8, libxml-parser-ruby1.9.1
Homepage: http://www.yoshidam.net/Ruby.html
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-xmlparser/ruby-xmlparser_0.7.2-2_armhf.deb
Size: 112950
SHA256: 4bd702fd2b822af19226c193d72927b0d1038620d97f9306dd7e4e459c66b584
SHA1: fc39788351c37969eb69cb8d719059059be9322e
MD5sum: 9352e1f53caa341c54465bb8d267f404
Description: Ruby interface for the expat XML parser toolkit
 This is the module to access to James Clark's XML Parser Toolkit "expat"
  from Ruby.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-xmmsclient
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 211
Depends: ruby | ruby-interpreter, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libruby1.8 (>= 1.8.7.357-1), libruby1.9.1 (>= 1.9.2.0), libxmmsclient-glib1 (>= 0.6DrMattDestruction), libxmmsclient6 (>= 0.8)
Replaces: libxmmsclient-ruby1.8 (<< 0.8+dfsg-4)
Homepage: http://xmms2.org/
Priority: optional
Section: ruby
Filename: pool/main/x/xmms2/ruby-xmmsclient_0.8+dfsg-4+deb7u1_armhf.deb
Size: 55392
SHA256: 5c06c7b0c9b1434ea3ca5fcaa218951acb0e2f4b5aa6379831eec989ef41f8be
SHA1: 13f8121b6fbe76adac7ac70fa4da3fcb3bc5140f
MD5sum: 77116c7808227191a2a2181f1f243b3a
Description: XMMS2 - Ruby bindings
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains a Ruby library that allows you to write XMMS2 clients.
 It's a language binding of libxmmsclient0.

Package: ruby-yajl
Version: 1.1.0-2+deb7u1
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 253
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter
Conflicts: libyajl-ruby
Replaces: libyajl-ruby
Homepage: http://github.com/brianmario/yajl-ruby
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-yajl/ruby-yajl_1.1.0-2+deb7u1_armhf.deb
Size: 61882
SHA256: 62d2c932c0af266cfff76bdcbf3170888ea0b5e21dc2e3e6980a05c02c6c7ac7
SHA1: 850ff61e0882ccb62e945ca037e1812bc8fe36a8
MD5sum: 7d0a6131a646487a044f134ae12b3aa8
Description: Ruby interface to Yajl, a JSON stream-based parser library
 Supported features include,
 .
 JSON parsing and encoding directly to and from an IO stream
 (file, socket, etc) or String. Compressed stream parsing and
 encoding supported for Bzip2, Gzip and Deflate.
 .
 Parse and encode *multiple* JSON objects to and from streams or strings
 continuously.
 .
 JSON gem compatibility API - allows yajl-ruby to be used as a drop-in
 replacement for the JSON gem
 .
 Basic HTTP client (only GET requests supported for now) which parses JSON
 directly off the response body *as it's being received*
Ruby-Versions: ruby1.8 ruby1.9.1

Package: ruby-yard-sinatra
Version: 1.0.0-1
Installed-Size: 48
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, yard
Size: 4156
SHA256: 93b49f2eac003fab3049468c8d5a7daf241144e9a11062f9565036c40e49de4e
SHA1: 995f446389c4d0bdfaff344d13ca42d830601259
MD5sum: ac7181d58a8774e3dd2887b0dd1d5a6d
Description: YARD plugin to include Sinatra routes
 yard-sinatra is a plugin for YARD that adds the Sinatra routes to the
 generated documentation.
Homepage: http://github.com/rkh/yard-sinatra
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-yard-sinatra/ruby-yard-sinatra_1.0.0-1_all.deb

Package: ruby-zoom
Version: 0.4.1-5
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 94
Depends: libc6 (>= 2.13-28), libxml2 (>= 2.6.27), libxslt1.1 (>= 1.1.25), libyaz4 (>= 4.0.1), libruby1.8 (>= 1.8.7.357-1), ruby | ruby-interpreter
Breaks: libzoom-ruby (<< 0.4.1-3~), libzoom-ruby1.8 (<< 0.4.1-3~)
Replaces: libzoom-ruby (<< 0.4.1-3~), libzoom-ruby1.8 (<< 0.4.1-3~)
Provides: libzoom-ruby, libzoom-ruby1.8
Homepage: http://ruby-zoom.rubyforge.org
Priority: optional
Section: ruby
Filename: pool/main/r/ruby-zoom/ruby-zoom_0.4.1-5_armhf.deb
Size: 17002
SHA256: d8641de37e88d3634e357ba21e0b25418df399411318a3dc6efd33ac4c521087
SHA1: 927ccfa106afb6e004651bf6c80e8440da677a64
MD5sum: cb6b3bf2e1a7a1c65abf67f69d2bb16e
Description: Ruby/ZOOM provides a Ruby binding to the Z40.50 Object-Orientation Model
 Ruby/ZOOM provides a Ruby binding to the Z39.50 Object-Orientation Model
 (ZOOM), an abstract object-oriented programming interface to a subset of
 the services specified by the Z39.50 standard, also known as the
 international standard ISO 23950.
 .
 Z39.50 is a client-server protocol for searching and retrieving
 information from remote computer databases.  It is covered by ANSI/NISO
 standard Z39.50, and ISO standard 23950.  The standard's maintenance
 agency is the Library of Congress.
Ruby-Versions: ruby1.8

Package: ruby1.8
Version: 1.8.7.358-7.1+deb7u6
Architecture: armhf
Maintainer: akira yamada 
Installed-Size: 324
Depends: libc6 (>= 2.13-28), libruby1.8 (= 1.8.7.358-7.1+deb7u6)
Suggests: ruby1.8-examples, ri1.8, ruby-switch
Conflicts: irb1.8 (<< 1.8.7.249-3~), rdoc1.8 (<< 1.8.7.249-3~), ri (<= 4.5), ri1.8 (<< 1.8.7.334-4~), ruby (<= 4.5)
Replaces: irb1.8, rdoc1.8
Provides: irb1.8, rdoc1.8, ruby, ruby-interpreter
Homepage: http://www.ruby-lang.org/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby1.8/ruby1.8_1.8.7.358-7.1+deb7u6_armhf.deb
Size: 320712
SHA256: ff70c4a71dbf90f45b0bc8232a73cf5ca655a9c5413ac2de7b6adf816a4f53de
SHA1: beda792594e4077cbdb46316d02d4d0ac3952c61
MD5sum: 8863e4c3f1aaa98876d6adaae9348df4
Description: Interpreter of object-oriented scripting language Ruby 1.8
 Ruby is the interpreted scripting language for quick and easy
 object-oriented programming.  It has many features to process text
 files and to do system management tasks (as in perl).  It is simple,
 straight-forward, and extensible.
 .
 This package provides version 1.8 series of Ruby.
 .
 On Debian, Ruby 1.8 is provided as separate packages.  You can get
 full Ruby 1.8 distribution by installing following packages.
 .
   ruby1.8 ruby1.8-dev ri1.8 ruby1.8-examples libtcltk-ruby1.8

Package: ruby1.8-dev
Source: ruby1.8
Version: 1.8.7.358-7.1+deb7u6
Architecture: armhf
Maintainer: akira yamada 
Installed-Size: 1617
Depends: libruby1.8 (= 1.8.7.358-7.1+deb7u6), libc6-dev, gcc-4.6
Recommends: ruby1.8 (= 1.8.7.358-7.1+deb7u6)
Provides: ruby-dev
Homepage: http://www.ruby-lang.org/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby1.8/ruby1.8-dev_1.8.7.358-7.1+deb7u6_armhf.deb
Size: 851594
SHA256: 74e2be61c47c6c0607e5fde458e26de2076e0429151fdfd030a21cd9bc9c5e1c
SHA1: 2d421f00fc3c79a3a6c5df8417ba1ee774ec8598
MD5sum: b1a247a791ed8eb81629cd9c3c867099
Description: Header files for compiling extension modules for the Ruby 1.8
 Ruby is the interpreted scripting language for quick and easy
 object-oriented programming.  It has many features to process text
 files and to do system management tasks (as in perl).  It is simple,
 straight-forward, and extensible.
 .
 This package contains the header files and the mkmf library, necessary
 to make extension library for Ruby 1.8.

Package: ruby1.8-examples
Source: ruby1.8
Version: 1.8.7.358-7.1+deb7u6
Installed-Size: 532
Maintainer: akira yamada 
Architecture: all
Suggests: ruby1.8 (>= 1.8.7.358-7.1+deb7u6)
Size: 345592
SHA256: 259ddef0d2786fbb8a08059263448c27e9d30f030b55e1e6ad35875821dad097
SHA1: 7ecfc6d4f775355335352779b262e652f8a94f03
MD5sum: 588010888fd07e5d403708a353b3c74b
Description: Examples for Ruby 1.8
 This package provides example programs about Ruby 1.8.
 .
 Ruby is the interpreted scripting language for quick and easy
 object-oriented programming.  It has many features to process text
 files and to do system management tasks (as in perl).  It is simple,
 straight-forward, and extensible.
Homepage: http://www.ruby-lang.org/
Section: interpreters
Priority: optional
Filename: pool/main/r/ruby1.8/ruby1.8-examples_1.8.7.358-7.1+deb7u6_all.deb

Package: ruby1.8-full
Source: ruby1.8
Version: 1.8.7.358-7.1+deb7u6
Installed-Size: 304
Maintainer: akira yamada 
Architecture: all
Provides: ruby-full
Depends: ruby1.8, ri1.8, ruby1.8-dev
Size: 284842
SHA256: 9465da362e6e577a7e56152d550c5650a13837e9f2e1bc5be298fd4c9fbf6b4d
SHA1: 39b450e5145b191319659544c0cd30c49325683f
MD5sum: 4976d0a6ad1a3e92003f17acbc91a14e
Description: Ruby 1.8 full installation
 For many good reasons, the Ruby programming language is split in many
 small different packages. Installing this package will make sure you have
 all the packages that add up to a full Ruby installation, with the exception
 of the Tcl/Tk bindings for Ruby, which are only recommended.
Homepage: http://www.ruby-lang.org/
Recommends: libtcltk-ruby1.8
Section: ruby
Priority: optional
Filename: pool/main/r/ruby1.8/ruby1.8-full_1.8.7.358-7.1+deb7u6_all.deb

Package: ruby1.9.1
Version: 1.9.3.194-8.1+deb7u8
Architecture: armhf
Maintainer: akira yamada 
Installed-Size: 214
Depends: libruby1.9.1 (= 1.9.3.194-8.1+deb7u8), libc6 (>= 2.13-28)
Suggests: ruby1.9.1-examples, ri1.9.1, graphviz, ruby1.9.1-dev, ruby-switch
Conflicts: irb1.9.1 (<< 1.9.1.378-2~), rdoc1.9.1 (<< 1.9.1.378-2~), ri (<= 4.5), ri1.9.1 (<< 1.9.2.180-3~), ruby (<= 4.5), rubygems1.9.1
Breaks: apt-listbugs (<< 0.1.6)
Replaces: irb1.9.1, rdoc1.9.1, rubygems1.9.1
Provides: irb1.9.1, rdoc1.9.1, ruby-interpreter, rubygems1.9.1
Homepage: http://www.ruby-lang.org/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby1.9.1/ruby1.9.1_1.9.3.194-8.1+deb7u8_armhf.deb
Size: 209604
SHA256: 67474fc0e16573ba644044dbcce8dd5618db05210efe3a70e36c78d95a495de8
SHA1: 96d52d20a6c6d985971c19c8d41f138f532aec72
MD5sum: f7fd5dbd008da364f87ada5fe9bd3f5c
Description: Interpreter of object-oriented scripting language Ruby
 Ruby is the interpreted scripting language for quick and easy
 object-oriented programming.  It has many features to process text
 files and to do system management tasks (as in perl).  It is simple,
 straight-forward, and extensible.
 .
 In the name of this package, `1.9.1' indicates the Ruby library
 compatibility version. This package currently provides the `1.9.3'
 branch of Ruby, which is compatible with the `1.9.1' branch.

Package: ruby1.9.1-dev
Source: ruby1.9.1
Version: 1.9.3.194-8.1+deb7u8
Architecture: armhf
Maintainer: akira yamada 
Installed-Size: 2895
Depends: libruby1.9.1 (= 1.9.3.194-8.1+deb7u8), libc6-dev
Recommends: ruby1.9.1 (= 1.9.3.194-8.1+deb7u8)
Homepage: http://www.ruby-lang.org/
Priority: optional
Section: ruby
Filename: pool/main/r/ruby1.9.1/ruby1.9.1-dev_1.9.3.194-8.1+deb7u8_armhf.deb
Size: 1259382
SHA256: 1d4c376b8b757f9f4e2191eb223c3c5bd480b7d21015b8cdb31b4d26f04e9ee7
SHA1: cf6bbfcaadca78d491406c24ed089a4be630c20a
MD5sum: 7744451e6c606a286f9fdc867995e09b
Description: Header files for compiling extension modules for the Ruby 1.9.1
 Ruby is the interpreted scripting language for quick and easy
 object-oriented programming.  It has many features to process text
 files and to do system management tasks (as in perl).  It is simple,
 straight-forward, and extensible.
 .
 This package contains the header files and the mkmf library, necessary
 to make extension library for Ruby 1.9.1. It is also required to build
 many gems.

Package: ruby1.9.1-examples
Source: ruby1.9.1
Version: 1.9.3.194-8.1+deb7u8
Installed-Size: 423
Maintainer: akira yamada 
Architecture: all
Suggests: ruby1.9.1 (>= 1.9.3.194-8.1+deb7u8)
Size: 233522
SHA256: 11c1409b9bc077d7c6688efed577fa3290f994ae2614fe3c3e5da879978995a4
SHA1: f334773c66674ce00339b468dcd94a6e7836cf16
MD5sum: bf1e80f47932cbec6500f11c1e131cad
Description: Examples for Ruby 1.9
 This package provides example programs about Ruby 1.9.1.
 .
 Ruby is the interpreted scripting language for quick and easy
 object-oriented programming.  It has many features to process text
 files and to do system management tasks (as in perl).  It is simple,
 straight-forward, and extensible.
Homepage: http://www.ruby-lang.org/
Section: ruby
Priority: optional
Filename: pool/main/r/ruby1.9.1/ruby1.9.1-examples_1.9.3.194-8.1+deb7u8_all.deb

Package: ruby1.9.1-full
Source: ruby1.9.1
Version: 1.9.3.194-8.1+deb7u8
Installed-Size: 193
Maintainer: akira yamada 
Architecture: all
Depends: ruby1.9.1 (>= 1.9.3.194-8.1+deb7u8), libruby1.9.1-dbg (>= 1.9.3.194-8.1+deb7u8), ruby1.9.1-dev (>= 1.9.3.194-8.1+deb7u8), ruby1.9.1-examples (>= 1.9.3.194-8.1+deb7u8), ri1.9.1 (>= 1.9.3.194-8.1+deb7u8)
Size: 172378
SHA256: 694bc26f8bc01e5218d775023264e723bfe045a692f7a36b5f729a6264594a04
SHA1: c2ca362f1b62122537ae0b1700e73056136a2da1
MD5sum: e0d87b8d715dd35f8cd6b41ba9f6d70b
Description: Ruby 1.9.1 full installation
 For many good reasons, the Ruby programming language is split in many
 small different packages. Installing this package will make sure you have
 all the packages that add up to a full Ruby installation, with the exception
 of the Tcl/Tk bindings for Ruby, which are only recommended.
 .
 This package installs the dependencies for Ruby compatibility version 1.9.1
 (currently Ruby 1.9.3).
Homepage: http://www.ruby-lang.org/
Recommends: libtcltk-ruby1.9.1 (>= 1.9.3.194-8.1+deb7u8)
Section: ruby
Priority: optional
Filename: pool/main/r/ruby1.9.1/ruby1.9.1-full_1.9.3.194-8.1+deb7u8_all.deb

Package: ruby1.9.3
Source: ruby1.9.1
Version: 1.9.3.194-8.1+deb7u8
Installed-Size: 205
Maintainer: akira yamada 
Architecture: all
Depends: ruby1.9.1 (>= 1.9.3.194-8.1+deb7u8)
Size: 172928
SHA256: 3af08eaa34bd90dbdb5373cc1b8b6ed44f0c81cd725fb29e142e14a984590096
SHA1: e23dc8df836c8a66630b7e7655e9bf389bd7e723
MD5sum: 24a6d25a2f17b54983ebccd92d0b7d6e
Description: Interpreter of object-oriented scripting language Ruby, version 1.9.3
 Ruby is the interpreted scripting language for quick and easy
 object-oriented programming.  It has many features to process text
 files and to do system management tasks (as in perl).  It is simple,
 straight-forward, and extensible.
 .
 Ruby uses two parallel versioning schemes: the `Ruby library compatibility
 version' (1.9.1 for this package), which is similar to a library SONAME, and
 the `Ruby version' (1.9.3 for this package). Ruby packages in Debian are
 named using the Ruby library compatibility version, which is sometimes
 confusing for users who do not follow Ruby development closely. This package
 depends on the ruby1.9.1 package, and provides compatibility symbolic links
 from 1.9.3 executables and manual pages to their 1.9.1 counterparts.
Homepage: http://www.ruby-lang.org/
Recommends: ruby1.9.1-full (>= 1.9.3.194-8.1+deb7u8)
Section: ruby
Priority: optional
Filename: pool/main/r/ruby1.9.1/ruby1.9.3_1.9.3.194-8.1+deb7u8_all.deb

Package: rubyfilter-doc
Source: rubyfilter
Version: 0.12-2
Installed-Size: 628
Maintainer: YAEGASHI Takeshi 
Architecture: all
Size: 64108
SHA256: 55927a4a8f5c494cce96754049516c9854d22c22d368c90318200effc6e5cfbd
SHA1: a4b8c2e6e12978a3e1649d67d694bd137548a96b
MD5sum: 62a96dc398432cec281fae19fd57b073
Description: A mail filtering library for Ruby (documentation)
 RubyFilter is a framework for filtering mail, possibly modifying it, and
 delivering it to various mailbox formats.
 .
 This package contains an online documentation of RubyFilter.
Tag: devel::doc, devel::examples, devel::lang:ruby, made-of::html,
 role::documentation, works-with::mail
Section: doc
Priority: optional
Filename: pool/main/r/rubyfilter/rubyfilter-doc_0.12-2_all.deb

Package: rubygems
Version: 1.8.24-1+deb7u2
Installed-Size: 3768
Maintainer: Daigo Moriwaki 
Architecture: all
Replaces: rubygems-doc (<< 1.7.2-1~), rubygems1.8 (<< 1.7.2-1~)
Provides: rubygems-doc, rubygems1.8
Depends: ruby1.8
Conflicts: rubygems-doc (<< 1.7.2-1~), rubygems1.8 (<< 1.7.2-1~)
Size: 601946
SHA256: 55ce32a40417ecc2241c42027bd4c149ab8c7a56176b87f0fcf4138b2a3214a0
SHA1: 937e6ba943cf1a5903b0bcdf7613e307f23f4118
MD5sum: 2c8f7e09c9d5a48718ec70826ac30354
Description: package management framework for Ruby libraries/applications
 This is a way to package Ruby libraries/applications for distribution.
 RubyGems provides the ability to manage concurrent versions of libraries and
 dependencies between those libraries. Using RubyGems, you can:
   * download and install Ruby libraries easily
   * not worry about libraries A and B depending on different versions of
     library C
   * easily remove libraries you no longer use
 .
 This package provides Rubygems for Ruby 1.8. In Ruby 1.9.X, Rubygems is
 provided with the interpreter.
Homepage: http://rubygems.org/
Recommends: ruby1.8-dev, build-essential
Ruby-Versions: ruby1.8
Section: interpreters
Priority: optional
Filename: pool/main/r/rubygems/rubygems_1.8.24-1+deb7u2_all.deb

Package: rubygems-doc
Source: rubygems
Version: 1.8.24-1+deb7u2
Installed-Size: 56
Maintainer: Daigo Moriwaki 
Architecture: all
Size: 29656
SHA256: 6152928fbaea1e177fc337373207dd4910d4724d0499843f62f4a0f4e6ce4799
SHA1: 1bc5b686afe6dd982df436604a358f359579bc8d
MD5sum: c0d1193102a01bc8eafc365aa0748ee8
Description: Transitional package for rubygems
 This is a transitional package to ease upgrades to the rubygems
 package. It can safely be removed.
Homepage: http://rubygems.org/
Section: doc
Priority: optional
Filename: pool/main/r/rubygems/rubygems-doc_1.8.24-1+deb7u2_all.deb

Package: rubygems-integration
Version: 1.1
Installed-Size: 64
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter
Suggests: rubygems, bundler
Size: 4782
SHA256: ee713bf8db4274034a9faff60e8a754a05f0a2e4e8867e8d4c3f41578681d83d
SHA1: c49e088d37d06bd09fda7a32cba47697257d5c19
MD5sum: ede97e52f284d50c120467213b5bf8e1
Description: integrates Debian Ruby packages with Rubygems
 rubygems-integration makes Debian Ruby packages recognizable by Rubygems.
 Debian Ruby packages may be used to satisfy Rubygems dependencies both with
 plain Rubygems and with Bundler. This also allows one to use Debian Ruby
 packages to satisfy dependencies in Rails 3 applications.
Section: ruby
Priority: optional
Filename: pool/main/r/rubygems-integration/rubygems-integration_1.1_all.deb

Package: rubygems1.8
Source: rubygems
Version: 1.8.24-1+deb7u2
Installed-Size: 56
Maintainer: Daigo Moriwaki 
Architecture: all
Depends: rubygems
Size: 29664
SHA256: 2927c1cba24fabc8fa8b2985deb0e8121e73060dc563e7b37e8da9242e83a29c
SHA1: b0d179b6db8a25d480858e2aca2e2a440cfc2f76
MD5sum: ce5e2a4960a9c1040ddcae335f15025d
Description: Transitional package for rubygems
 This is a transitional package to ease upgrades to the rubygems
 package. It can safely be removed.
Homepage: http://rubygems.org/
Section: interpreters
Priority: optional
Filename: pool/main/r/rubygems/rubygems1.8_1.8.24-1+deb7u2_all.deb

Package: rumor
Version: 1.0.5-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 220
Depends: guile-1.8-libs, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), dpkg (>= 1.15.4) | install-info
Recommends: lilypond
Suggests: qjackctl, aconnectgui, doc-base
Enhances: frescobaldi
Homepage: https://launchpad.net/rumor/
Priority: optional
Section: sound
Filename: pool/main/r/rumor/rumor_1.0.5-1_armhf.deb
Size: 67514
SHA256: 233eecfd1e19fc4eb31c41a93c7060a4b39a659e178812ec31780628dd6214eb
SHA1: 9edc16e193b7e44a6cf710dc332e225fd0c02bb0
MD5sum: ec417a5982b1d2fd032eaa5d377a2d09
Description: Realtime MIDI keyboard to Lilypond converter
 Program  for transcripting simple music played on (MIDI) keyboard to Lilypond
 text notation. It receives MIDI events, quantizes them according to its
 metronome on the fly and outputs handwritten-like corresponding Lilypond
 notation. Tempo, meter, key and other parameters can be set via command-line
 options.

Package: rungetty
Version: 1.2-15
Architecture: armhf
Maintainer: Gerfried Fuchs 
Installed-Size: 56
Depends: libc6 (>= 2.12)
Priority: optional
Section: admin
Filename: pool/main/r/rungetty/rungetty_1.2-15_armhf.deb
Size: 14092
SHA256: ac9d1a77b80f0321c84e5b66a25100601d82ce2cef8c9efccd7ff288f0814937
SHA1: dcf3158189c8e3573fac25fe6e46517c5df1c5ed
MD5sum: 6c093b1a9eaa4fac5918defd1f288cc1
Description: minimal console getty that can run any process
 rungetty might be the getty you were looking for.  It is able to run any
 program, not just login. If a different program than login is used it usually
 is run as nobody:nogroup, or the user/group specified on the commandline.
 rungetty can even be configured to autologin, under certain circumstances.
 See the manual page for more information.
 .
 You have to change some lines in /etc/inittab for having any effect after
 installing the package.  rungetty is based on mingetty and therefore not
 suitable for serial use.

Package: runit
Version: 2.1.1-6.2
Architecture: armhf
Maintainer: Gerrit Pape 
Installed-Size: 1339
Depends: procps, libc6 (>= 2.4)
Recommends: fgetty
Suggests: socklog-run
Homepage: http://smarden.org/runit/
Priority: optional
Section: admin
Filename: pool/main/r/runit/runit_2.1.1-6.2_armhf.deb
Size: 594490
SHA256: 9d56bd9ce1736e751e25901209f6c21b51ec70d7cceb53aef0c8a2368eb16f34
SHA1: 4de9eb3c32ee4b1546e5f4b604ff60893039fcbc
MD5sum: cac08c21a39e1f332df470e1d045bbd2
Description: system-wide service supervision
 runit is a collection of tools to provide system-wide service supervision
 and to manage services.  Contrary to sysv init, it not only cares about
 starting and stopping services, but also supervises the service daemons
 while they are running.  Amongst other things, it provides a reliable
 interface to send signals to service daemons without the need for pid-files,
 and a log facility with automatic log file rotation and disk space limits.
 .
 runit service supervision can run under sysv init or replace the init
 system completely.  Complete init replacement requires some manual
 configuration described in the supplied documentation.

Package: runlim
Version: 1.7-1
Architecture: armhf
Maintainer: Thomas Krennwallner 
Installed-Size: 55
Depends: libc6 (>= 2.13-28)
Homepage: http://fmv.jku.at/runlim/
Priority: extra
Section: utils
Filename: pool/main/r/runlim/runlim_1.7-1_armhf.deb
Size: 12190
SHA256: 6391207b7b6e1a7ed3a9e209cfebd31f194b0c975c89199c69ef065961102e93
SHA1: e454fea789602111d4c2223cef61c9bb332a009a
MD5sum: b8be1d50668e45bfb80af05ca2d68d2f
Description: tool for sampling time and memory usage
 This package contains runlim, a tool for sampling time and memory
 usage of a program and its children using the proc file system of
 Linux. Time and space limits are also supported. It is very helpful
 for benchmarking and running competitions. It also supports limits on
 wall clock time and thus can control runs of multi-threaded programs
 on multi-core machines as well.

Package: runsnakerun
Version: 2.0.2a1-2
Installed-Size: 630
Maintainer: Federico Ceratto 
Architecture: all
Depends: python-squaremap, python-setuptools, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8)
Size: 451982
SHA256: 414c94c3fed2ea4a09b5ad3aacd0ff9c9af9174d166fddec2894ed28cc779896
SHA1: c588c9c778de29e63a6395104483fb9fc042b419
MD5sum: 9d740d2028aa5c958a0599a09a4572de
Description: GUI utility for (Python) cProfile or Profile profiler dumps
 RunSnakeRun is a small GUI utility that allows you to view (Python) cProfile or
 Profile profiler dumps in a sortable GUI view.
 .
 It allows you to explore the profiler information using a "square map"
 visualization or sortable tables of data.
Homepage: http://www.vrplumber.com/programming/runsnakerun
Section: devel
Priority: optional
Filename: pool/main/r/runsnakerun/runsnakerun_2.0.2a1-2_all.deb

Package: rusers
Source: netkit-rusers
Version: 0.17-8
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 52
Depends: libc6 (>= 2.4)
Priority: optional
Section: net
Filename: pool/main/n/netkit-rusers/rusers_0.17-8_armhf.deb
Size: 12362
SHA256: 49a8ad058023dabca32137e722ea07fbf37ee28812349ededd68d70f91605595
SHA1: 2c440922b723ec29073451b454a9f7e17a9ab265
MD5sum: fa84361e3c9e32a5b774956db3c77317
Description: Displays who is logged in to machines on local network
 The rusers command produces output similar to who, but for the list of hosts
 or all machines on the local network.  For each host responding to the rusers
 query, the hostname with the names of the users currently logged on is printed
 on each line.  The rusers command will wait for one minute to catch late
 responders.

Package: rusersd
Source: netkit-rusers
Version: 0.17-8
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 52
Depends: rpcbind | portmap, openbsd-inetd | inet-superserver, libc6 (>= 2.4)
Priority: optional
Section: net
Filename: pool/main/n/netkit-rusers/rusersd_0.17-8_armhf.deb
Size: 10292
SHA256: fa68d71c2ccd01cb3b8277320635f63ea9e437feb50c961b3fd1448e117d2a19
SHA1: ffdd9e9082d0c16dad35f823ac92dd0e0b06635f
MD5sum: 4cd8f0add5256bcc1d2b7be53e2da20e
Description: Logged in users server
 This is a server which returns information about users currently logged in to
 the system.

Package: rush
Version: 1.7+dfsg-1+deb7u1
Architecture: armhf
Maintainer: Mats Erik Andersson 
Installed-Size: 454
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Suggests: xinetd | inetutils-inetd
Homepage: http://puszcza.gnu.org.ua/projects/rush/
Priority: extra
Section: shells
Filename: pool/main/r/rush/rush_1.7+dfsg-1+deb7u1_armhf.deb
Size: 133934
SHA256: 3b36071e1ce3d94219948d920f745e6eb95c644925122ba3ab4604a9e7794cf7
SHA1: 64601f770e6d3ba3e8479ef731a624b9befb1377
MD5sum: 4a103583dd3783e39df5fbdaba1ee7b9
Description: restricted user shell
 GNU Rush is a restricted shell designed for sites providing only
 limited access to resources for remote users. The main binary
 executable is configurable as a user login shell, intended for
 users that only are allowed remote login to the system at hand.
 .
 A notification service can be implemented individually for each
 provided client service, using the TCPMUX support found within
 xinetd, or inetutils-inetd.
 .
 The standard use is to create access to a chrooted target directory,
 typically providing arbitrary combinations of scp, sftp, rsync,
 cvs, svn, and git. Each service may be further restricted in its
 capabilities. The administrator configures pattern matching rules
 for manipulating any incoming request.
 .
 The present restricted shell is an alternative to the well known
 "rssh" package, which provides similar capabilities.

Package: rutilt
Version: 0.16-2.2
Architecture: armhf
Maintainer: Debian Ralink packages maintainers 
Installed-Size: 638
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0), libx11-6, menu
Recommends: procps, dhcp3-client
Homepage: http://cbbk.free.fr/bonrom/
Priority: extra
Section: net
Filename: pool/main/r/rutilt/rutilt_0.16-2.2_armhf.deb
Size: 259790
SHA256: 218255c20f726e8c21230084001ded7038652dc68e6c3a28d47f158a272e0a06
SHA1: a8e0f0c6538c78ceeca616f4f054640c7f9bcfbb
MD5sum: 32ded7394721594c4e9bcdbb3d22e2a3
Description: Configuration tool for rt2x00 wireless network cards
 RutilT is a Gtk+2 utility for Linux that helps you configure your wireless
 devices. Although primarily written for the rt2x00 project, it supports any
 Linux wireless extensions compliant kernel module.

Package: rwall
Source: netkit-rwall
Version: 0.17-7
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 50
Depends: libc6 (>= 2.4)
Priority: optional
Section: net
Filename: pool/main/n/netkit-rwall/rwall_0.17-7_armhf.deb
Size: 10268
SHA256: 3866bf4a7b3f8d4e24f19863a34729ae89c67c36c00331dda9342c9260f5bbd9
SHA1: db281e833eaa04ee566a8528830f0b5db8a163c6
MD5sum: 218e52940a9c7bb5d11ba559bf126224
Description: Send a message to users logged on a host
 The rwall command sends a message to the users logged into the specified host.
 The message to be sent can be typed in and terminated with EOF or it can be in
 a file.

Package: rwalld
Source: netkit-rwall
Version: 0.17-7
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 48
Depends: rpcbind | portmap, openbsd-inetd | inet-superserver, libc6 (>= 2.4)
Priority: optional
Section: net
Filename: pool/main/n/netkit-rwall/rwalld_0.17-7_armhf.deb
Size: 8900
SHA256: a23438e7871840c5de1aeb183cb7195e2c27f4b32aabf9408323805477eb6446
SHA1: 16c05c6bad84fe3b49c39e1ff917183feb0de21b
MD5sum: 241be4f91126b2ea3f4cb5e848217c5f
Description: Write messages to users currently logged in server
 rpc.rwalld is a server which will send a message to users currently logged in
 to the system.  This server invokes the wall(1) command to actually write the
 messages to the system.

Package: rwho
Source: netkit-rwho
Version: 0.17-12
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 56
Depends: rwhod, libc6 (>= 2.4)
Conflicts: ruptime
Replaces: netstd, ruptime
Priority: optional
Section: net
Filename: pool/main/n/netkit-rwho/rwho_0.17-12_armhf.deb
Size: 14142
SHA256: 1b9523c68dbfc73ce714a83c4c57f29a7a3a3c3d7da9be1ec338c25628b7704d
SHA1: c7a37ac04fc55459e556032edae2acca214cd7ca
MD5sum: 339407fde96cbc93361ec3fe1ad1f06c
Description: Clients to query the rwho server
 The rwho command produces output similar to who, but for all machines on
 the local network.  If no report has been received from a machine for 11
 minutes then rwho assumes the machine is down, and does not report users
 last known to be logged into that machine.
 .
 The ruptime command gives a status line like uptime for each machine on the
 local network; these are formed from packets broadcast by each host on the
 network once a minute.

Package: rwhod
Source: netkit-rwho
Version: 0.17-12
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 78
Depends: adduser, lsb-base (>= 3.2-13), passwd, libc6 (>= 2.4)
Replaces: netstd
Priority: optional
Section: net
Filename: pool/main/n/netkit-rwho/rwhod_0.17-12_armhf.deb
Size: 18900
SHA256: a6f6187e6cfcfb14dcdc191a2ee995b44c1993318b866a4b95490cc728361468
SHA1: a7eef3919d9f0956f9626e28fe6022f5df763c56
MD5sum: de67e47b22a64c4a177cf011af44e8ad
Description: System status server
 Rwhod is the server which maintains the database used by the rwho(1)
 and ruptime(1) programs.  Its operation is predicated on the ability to
 broadcast messages on a network.

Package: rxp
Version: 1.5.0-1
Architecture: armhf
Maintainer: NIIBE Yutaka 
Installed-Size: 64
Depends: libc6 (>= 2.4), librxp0 (>= 1.5.0)
Homepage: http://www.cogsci.ed.ac.uk/~richard/rxp.html
Priority: optional
Section: text
Filename: pool/main/r/rxp/rxp_1.5.0-1_armhf.deb
Size: 17252
SHA256: 60476eb942c4d4955396f4a6de37ba5585be5c2a46e5e8a13caf1678f16062ae
SHA1: 248e985340c73b847dd3b65825eea653fae8353f
MD5sum: f21e25ccda62dfc27ee9596d0930fe1b
Description: A validating XML parser
 Rxp reads, parses, and can optionally validate XML.

Package: rxvt
Version: 1:2.6.4-14
Architecture: armhf
Maintainer: Jan Christoph Nordholz 
Installed-Size: 549
Depends: libc6 (>= 2.13-28), libx11-6, libxpm4
Provides: x-terminal-emulator
Priority: optional
Section: x11
Filename: pool/main/r/rxvt/rxvt_2.6.4-14_armhf.deb
Size: 219006
SHA256: 70809adab5ec278e5e85b23aa15a9bb2695c72b768390dd46f62fd4ff449ed0c
SHA1: 1f6fda73dad0fc4f6f2502fefc01f2eba51dfda6
MD5sum: 1ca7b4fdec17eec7e8e5270764640e1d
Description: VT102 terminal emulator for the X Window System
 Rxvt is an 8-bit clean, color xterm replacement that uses significantly
 less memory than a conventional xterm, mostly since it doesn't support
 toolkit configurability or Tek graphics, but also since features can
 be removed at compile-time to reflect your needs.
 .
 The distribution also includes rclock, the smaller/better xclock
 replacement with appointment scheduling and xbiff functionality.

Package: rxvt-beta
Version: 2.7.10-6
Architecture: armhf
Maintainer: Jan Christoph Nordholz 
Installed-Size: 465
Depends: libc6 (>= 2.13-28), libx11-6, libxpm4
Conflicts: rxvt, rxvt-ml
Provides: x-terminal-emulator
Priority: extra
Section: x11
Filename: pool/main/r/rxvt-beta/rxvt-beta_2.7.10-6_armhf.deb
Size: 227890
SHA256: 548fee7e010e1d87d4e26da6567153543c038361e69200339da401dce91c84de
SHA1: d56d9e3fdd913285152a04011fb92f72e3e89e07
MD5sum: c77298c4c8b5bd8d9315df93461bd240
Description: VT102 terminal emulator for the X Window System
 Rxvt is an 8-bit clean, color xterm replacement that uses significantly
 less memory than a conventional xterm, mostly since it doesn't support
 toolkit configurability or Tek graphics, but also since features can
 be removed at compile-time to reflect your needs.
 .
 The distribution also includes rclock, the smaller/better xclock
 replacement with appointment scheduling and xbiff functionality.
 .
 This package contains the developer (beta) version of rxvt.  See the
 rxvt package for the current stable version of rxvt.

Package: rxvt-ml
Source: rxvt
Version: 1:2.6.4-14
Architecture: armhf
Maintainer: Jan Christoph Nordholz 
Installed-Size: 909
Depends: libc6 (>= 2.13-28), libx11-6, libxpm4
Recommends: rxvt
Suggests: xfonts-intl-european, xfonts-intl-chinese (>= 1.1-4) | xfonts-cmex-big5p, xcin (>= 2.5.0-0.2)
Provides: x-terminal-emulator
Priority: optional
Section: x11
Filename: pool/main/r/rxvt/rxvt-ml_2.6.4-14_armhf.deb
Size: 348318
SHA256: 0f9261273f7247c4858529e3fec33735a9af0f040ce07e917637750f2e9b4418
SHA1: 18dfa8b19d068928b1f516f1d7141a6499e3eeb8
MD5sum: fdb49f66b2aeb958f41c86d146342774
Description: multi-lingual VT102 terminal emulator for the X Window System
 Rxvt is an 8-bit clean, color xterm replacement that uses significantly
 less memory than a conventional xterm, mostly since it doesn't support
 toolkit configurability or Tek graphics, but also since features can
 be removed at compile-time to reflect your needs.
 .
 This package contains three versions of rxvt: krxvt and crxvt,
 which support Japanese and Chinese characters, and grxvt, which
 supports both ELOT-928 (ISO-8859-7 standard) and IBM-437 keyboard
 translations for Greek character entry.

Package: rxvt-unicode
Version: 9.15-2+deb7u1
Architecture: armhf
Maintainer: Debian rxvt-unicode Maintainers 
Installed-Size: 2741
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libperl5.14 (>= 5.14.2), libstartup-notification0 (>= 0.2), libx11-6, libxft2 (>> 2.1.1), libxrender1, base-passwd (>= 2.0.3.4), ncurses-base (>= 5.4-7)
Recommends: ttf-dejavu, fonts-vlgothic | fonts-japanese-gothic
Breaks: rxvt-unicode-ml (<< 9.10-2)
Replaces: rxvt-unicode-ml (<< 9.10-2)
Provides: x-terminal-emulator
Homepage: http://software.schmorp.de/pkg/rxvt-unicode.html
Priority: optional
Section: x11
Filename: pool/main/r/rxvt-unicode/rxvt-unicode_9.15-2+deb7u1_armhf.deb
Size: 1271240
SHA256: 8b91cc9ecccf46e02b37cebd30b764bcdddf28d445be25f079ea8e22981158bd
SHA1: 67793c64e34b56dc6a45e522d63ffd77b3ea61e2
MD5sum: 9054a6840879442173f883d36e51f5ce
Description: RXVT-like terminal emulator with Unicode support
 rxvt-unicode is a modern, Unicode-aware color xterm replacement that uses
 significantly less memory than a conventional xterm and many other Unicode
 supporting terminal emulators.
 .
 It supports using multiple fonts at the same time, including Xft fonts, and
 client-server technology to reduce memory consumption when using multiple
 windows.

Package: rxvt-unicode-256color
Source: rxvt-unicode
Version: 9.15-2+deb7u1
Architecture: armhf
Maintainer: Debian rxvt-unicode Maintainers 
Installed-Size: 2756
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libperl5.14 (>= 5.14.2), libstartup-notification0 (>= 0.2), libx11-6, libxft2 (>> 2.1.1), libxrender1, base-passwd (>= 2.0.3.4), ncurses-term (>= 5.8-1)
Recommends: ttf-dejavu, fonts-vlgothic | fonts-japanese-gothic
Conflicts: rxvt-unicode
Provides: rxvt-unicode, x-terminal-emulator
Homepage: http://software.schmorp.de/pkg/rxvt-unicode.html
Priority: optional
Section: x11
Filename: pool/main/r/rxvt-unicode/rxvt-unicode-256color_9.15-2+deb7u1_armhf.deb
Size: 1279856
SHA256: 2b45e92c30a3e700d65cdf08c9be9d085dba934c7a5077d76d2223ac15408496
SHA1: 38c6f091519f5e8cf7e953e03517aa7ef5026d49
MD5sum: f51bd1191638dccf69a627e5a8580448
Description: multi-lingual terminal emulator with Unicode support for X11
 rxvt-unicode is a modern, Unicode-aware color xterm replacement that uses
 significantly less memory than a conventional xterm and many other Unicode
 supporting terminal emulators.
 .
 It supports using multiple fonts at the same time, including Xft fonts, and
 client-server technology to reduce memory consumption when using multiple
 windows.
 .
 This package is configured with 256-color support, and TERM set to
 "rxvt-unicode-256color". Any other systems you log into must have this
 terminfo entry installed!

Package: rxvt-unicode-lite
Source: rxvt-unicode
Version: 9.15-2+deb7u1
Architecture: armhf
Maintainer: Debian rxvt-unicode Maintainers 
Installed-Size: 2230
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libx11-6, libxrender1, base-passwd (>= 2.0.3.4), ncurses-base (>= 5.4-7)
Conflicts: rxvt-unicode
Provides: rxvt-unicode, x-terminal-emulator
Homepage: http://software.schmorp.de/pkg/rxvt-unicode.html
Priority: optional
Section: x11
Filename: pool/main/r/rxvt-unicode/rxvt-unicode-lite_9.15-2+deb7u1_armhf.deb
Size: 1050348
SHA256: 25b401db0d064bf7e66ff80bb72334f3bcde52c72f9849cb99322b0558b8e732
SHA1: 9e55650154ddaea9cf53b71308f1ed741091a899
MD5sum: 09bf74629df9c6adfc89d5121b3dab9b
Description: RXVT-like terminal emulator with basic Unicode support
 rxvt-unicode is a modern, Unicode-aware color xterm replacement that uses
 significantly less memory than a conventional xterm and many other Unicode
 supporting terminal emulators.
 .
 It supports using multiple fonts at the same time, including Xft fonts, and
 client-server technology to reduce memory consumption when using multiple
 windows.
 .
 This package contains the small version with only a few extra features and
 without Freetype support.

Package: rxvt-unicode-ml
Source: rxvt-unicode
Version: 9.15-2+deb7u1
Architecture: armhf
Maintainer: Debian rxvt-unicode Maintainers 
Installed-Size: 69
Depends: rxvt-unicode (>= 9.10-2)
Homepage: http://software.schmorp.de/pkg/rxvt-unicode.html
Priority: extra
Section: oldlibs
Filename: pool/main/r/rxvt-unicode/rxvt-unicode-ml_9.15-2+deb7u1_armhf.deb
Size: 45582
SHA256: 14e9cf824843873b64ae79490f7b0cb57914ca07a215cabf627a6ac7f91666ef
SHA1: 185eb633dfe6162f04632177825d056a56dffcb7
MD5sum: e891e1245469d68f3c6cc2477894c6c3
Description: multi-lingual terminal emulator -- transitional package
 This is a transitional package depending on rxvt-unicode. Previously, some
 features were disabled in the regular package because they used more memory
 even when not being used, but this is no longer the case. All features
 previously enabled only in this package are now available in rxvt-unicode.

Package: rygel
Version: 0.14.3-2+deb7u1
Architecture: armhf
Maintainer: Debian Rygel Maintainers 
Installed-Size: 2347
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgee2 (>= 0.5.2), libglib2.0-0 (>= 2.31.8), libgssdp-1.0-3 (>= 0.12.0), libgstreamer-plugins-base0.10-0 (>= 0.10.32), libgstreamer0.10-0 (>= 0.10.31), libgupnp-1.0-4 (>= 0.18.0), libgupnp-av-1.0-2 (>= 0.10.0), libgupnp-dlna-1.0-2 (>= 0.6.0), libsoup2.4-1 (>= 2.34.0), libsqlite3-0 (>= 3.5.9), libunistring0, libuuid1 (>= 2.16), libxml2 (>= 2.7.4)
Recommends: gstreamer0.10-plugins-base, gstreamer0.10-plugins-ugly, gstreamer0.10-ffmpeg
Suggests: rygel-preferences, rygel-playbin, rygel-tracker, rygel-mediathek, tumbler
Homepage: http://live.gnome.org/Rygel
Priority: extra
Section: net
Filename: pool/main/r/rygel/rygel_0.14.3-2+deb7u1_armhf.deb
Size: 880270
SHA256: 2efa6e3c3e57b053804b5f7eb3065d21f58f601843274433842928513c0589c1
SHA1: 5a923130431bf9da2941b94cbc96563f33422e89
MD5sum: b27da4a698dcdfd3ec5b54ac9f8f8d9d
Description: GNOME UPnP/DLNA services
 Rygel is a collection of DLNA (UPnP AV) services (devices in UPnP speak),
 implemented through a plug-in mechanism. Important facts and features:
   * APIs to ease the implementation of AV devices (currently only
     MediaServer V 2.0 specification).
   * Audio and Video Transcoding: source format could be anything
     GStreamer's decodebin2 can handle but output formats are currently
     limited to: mp3, PCM and MPEG TS. Fortunately the transcoding
     framework is flexible enough to easily add more transcoding targets.
   * Specifically designed for GNOME (Mobile).
   * Based on GUPnP.
   * Written (mostly) in Vala language.
 .
 This package contains the core of Rygel and following plugins:
   * media-export: Recursively exports folders and files specified in the
     user configuration. This plugin supports all types of URIs that
     gio/gvfs and GStreamer can handle.
   * external: A MediaServer plugin that exports media hierarchies
     provided by external applications.
   * mpris: Export of media players that implement MPRIS2 D-Bus interface,
     as MediaRenderer devices.

Package: rygel-1.0-dev
Source: rygel
Version: 0.14.3-2+deb7u1
Architecture: armhf
Maintainer: Debian Rygel Maintainers 
Installed-Size: 460
Depends: libgupnp-1.0-dev, libgstreamer0.10-dev
Homepage: http://live.gnome.org/Rygel
Priority: extra
Section: devel
Filename: pool/main/r/rygel/rygel-1.0-dev_0.14.3-2+deb7u1_armhf.deb
Size: 346168
SHA256: 18c88dcd6ba958627fe2c708ad309efeb1b766042fc7a350aa0555a8a932e1fe
SHA1: fce04f02fca7ca91daacef4de1620946261ce9f1
MD5sum: 8fb342bb134f5640558e73cb0214adc2
Description: GNOME UPnP/DLNA services - plugin development files
 Rygel is a collection of DLNA (UPnP AV) services (devices in UPnP speak),
 implemented through a plug-in mechanism. Important facts and features:
   * APIs to ease the implementation of AV devices (currently only
     MediaServer V 2.0 specification).
   * Audio and Video Transcoding: source format could be anything
     GStreamer's decodebin2 can handle but output formats are currently
     limited to: mp3, PCM and MPEG TS. Fortunately the transcoding
     framework is flexible enough to easily add more transcoding targets.
   * Specifically designed for GNOME (Mobile).
   * Based on GUPnP.
   * Written (mostly) in Vala language.
 .
 This package privdes the files needed to build Rygel plugins.

Package: rygel-dbg
Source: rygel
Version: 0.14.3-2+deb7u1
Architecture: armhf
Maintainer: Debian Rygel Maintainers 
Installed-Size: 2251
Depends: rygel (= 0.14.3-2+deb7u1)
Homepage: http://live.gnome.org/Rygel
Priority: extra
Section: debug
Filename: pool/main/r/rygel/rygel-dbg_0.14.3-2+deb7u1_armhf.deb
Size: 1836952
SHA256: 98f259a57de780c458dfb04dc10467d5202595f665de873a431888f95b709319
SHA1: 14e40bad03a73fa48d6dffd42341f0bfc95daebd
MD5sum: b2790e73c1d67717a329a09569c44bd2
Description: GNOME UPnP/DLNA services
 Rygel is a collection of DLNA (UPnP AV) services (devices in UPnP speak),
 implemented through a plug-in mechanism. Important facts and features:
   * APIs to ease the implementation of AV devices (currently only
     MediaServer V 2.0 specification).
   * Audio and Video Transcoding: source format could be anything
     GStreamer's decodebin2 can handle but output formats are currently
     limited to: mp3, PCM and MPEG TS. Fortunately the transcoding
     framework is flexible enough to easily add more transcoding targets.
   * Specifically designed for GNOME (Mobile).
   * Based on GUPnP.
   * Written (mostly) in Vala language.
 .
 This package contains the debug symbols for rygel itself as well as
 all the extra plugins.

Package: rygel-gst-launch
Source: rygel
Version: 0.14.3-2+deb7u1
Architecture: armhf
Maintainer: Debian Rygel Maintainers 
Installed-Size: 369
Depends: libc6 (>= 2.13-28), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.26), libgssdp-1.0-3 (>= 0.12.0), libgstreamer0.10-0 (>= 0.10.24), libgupnp-1.0-4 (>= 0.18.0), libgupnp-av-1.0-2 (>= 0.6.0), libsoup2.4-1 (>= 2.34.0), libuuid1 (>= 2.16), libxml2 (>= 2.6.27), rygel (= 0.14.3-2+deb7u1)
Homepage: http://live.gnome.org/Rygel
Priority: extra
Section: net
Filename: pool/main/r/rygel/rygel-gst-launch_0.14.3-2+deb7u1_armhf.deb
Size: 337662
SHA256: e9bcaf61e5d3ca935118526c895a3b489cf80a5cc955168ee6fefb9d90b80dde
SHA1: c215025be978fcadba99c65b1b0efa98ffc1f421
MD5sum: f3e8b22f89924f6b8906d59e7ad4b4f4
Description: GNOME UPnP/DLNA services - gst-launch plugin
 Rygel is a collection of DLNA (UPnP AV) services (devices in UPnP speak),
 implemented through a plug-in mechanism. Important facts and features:
   * APIs to ease the implementation of AV devices (currently only
     MediaServer V 2.0 specification).
   * Audio and Video Transcoding: source format could be anything
     GStreamer's decodebin2 can handle but output formats are currently
     limited to: mp3, PCM and MPEG TS. Fortunately the transcoding
     framework is flexible enough to easily add more transcoding targets.
   * Specifically designed for GNOME (Mobile).
   * Based on GUPnP.
   * Written (mostly) in Vala language.
 .
 This package provides a gst-launch plugin that enables using a
 custom GStreamer pipeline as a DLNA service/UPnP device.

Package: rygel-gst-renderer
Source: rygel
Version: 0.14.3-2+deb7u1
Installed-Size: 346
Maintainer: Debian Rygel Maintainers 
Architecture: all
Depends: rygel-playbin
Size: 330512
SHA256: ff4fab592382e6158fe533f76d12153b171c1890c71088008caad2d26b9472c2
SHA1: 76c60c6e1252a25b06b52282fddbfc0300f079e1
MD5sum: b4b2660b17049693b7e76df4832b6f07
Description: transitional dummy package
 The old rygel-gst-renderer package was renamed to rygel-playbin.
 This is just a transitional dummy package to guide upgrades.
 This package can safely be removed!
Homepage: http://live.gnome.org/Rygel
Tag: role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/r/rygel/rygel-gst-renderer_0.14.3-2+deb7u1_all.deb

Package: rygel-mediathek
Source: rygel
Version: 0.14.3-2+deb7u1
Architecture: armhf
Maintainer: Debian Rygel Maintainers 
Installed-Size: 389
Depends: libc6 (>= 2.13-28), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.26), libgssdp-1.0-3 (>= 0.12.0), libgstreamer0.10-0 (>= 0.10.23), libgupnp-1.0-4 (>= 0.18.0), libgupnp-av-1.0-2 (>= 0.6.0), libsoup2.4-1 (>= 2.34.0), libuuid1 (>= 2.16), libxml2 (>= 2.7.4), rygel (= 0.14.3-2+deb7u1)
Homepage: http://live.gnome.org/Rygel
Priority: extra
Section: net
Filename: pool/main/r/rygel/rygel-mediathek_0.14.3-2+deb7u1_armhf.deb
Size: 346640
SHA256: 75efe662b6c965709410281f77738e5f77371d505c1e6ef611c17534137668cc
SHA1: 117a2127fc428f5b362203b0481ed3d1c636f7de
MD5sum: 75237e9f290bf5e06077fbd5d7c50580
Description: GNOME UPnP/DLNA services - Mediathek plugin
 Rygel is a collection of DLNA (UPnP AV) services (devices in UPnP speak),
 implemented through a plug-in mechanism. Important facts and features:
   * APIs to ease the implementation of AV devices (currently only
     MediaServer V 2.0 specification).
   * Audio and Video Transcoding: source format could be anything
     GStreamer's decodebin2 can handle but output formats are currently
     limited to: mp3, PCM and MPEG TS. Fortunately the transcoding
     framework is flexible enough to easily add more transcoding targets.
   * Specifically designed for GNOME (Mobile).
   * Based on GUPnP.
   * Written (mostly) in Vala language.
 .
 This package provides a MediaServer plugin that exports TV programs
 from the 2nd German TV station online service (http://www.zdf.de/)
 over the UPnP network.

Package: rygel-playbin
Source: rygel
Version: 0.14.3-2+deb7u1
Architecture: armhf
Maintainer: Debian Rygel Maintainers 
Installed-Size: 369
Depends: libc6 (>= 2.13-28), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.26), libgssdp-1.0-3 (>= 0.12.0), libgstreamer0.10-0 (>= 0.10.24), libgupnp-1.0-4 (>= 0.18.0), libgupnp-av-1.0-2 (>= 0.6.0), libsoup2.4-1 (>= 2.34.0), libuuid1 (>= 2.16), libxml2 (>= 2.6.27), rygel (= 0.14.3-2+deb7u1)
Enhances: rygel
Conflicts: rygel-gst-renderer (<< 0.8.3-1~)
Replaces: rygel-gst-renderer (<< 0.8.3-1~)
Homepage: http://live.gnome.org/Rygel
Priority: extra
Section: net
Filename: pool/main/r/rygel/rygel-playbin_0.14.3-2+deb7u1_armhf.deb
Size: 338082
SHA256: f86daa03a84cb1b5ed51af401bd8f4dc07afda92d8398adfb293e6435f9c6ffa
SHA1: 485df2e0822734d6aa96a66fc5840c408438eac6
MD5sum: 64796500b9f802a4b3b2233f54a1da74
Description: GNOME UPnP/DLNA services - GStreamer Media Renderer plugin
 Rygel is a collection of DLNA (UPnP AV) services (devices in UPnP speak),
 implemented through a plug-in mechanism. Important facts and features:
   * APIs to ease the implementation of AV devices (currently only
     MediaServer V 2.0 specification).
   * Audio and Video Transcoding: source format could be anything
     GStreamer's decodebin2 can handle but output formats are currently
     limited to: mp3, PCM and MPEG TS. Fortunately the transcoding
     framework is flexible enough to easily add more transcoding targets.
   * Specifically designed for GNOME (Mobile).
   * Based on GUPnP.
   * Written (mostly) in Vala language.
 .
 This package contains the Playbin plugin which is a MediaRenderer plugin.
 With this installed Rygel can render (view/play) media locally from
 other network resources (MediaServers) through GStreamer playbin2.

Package: rygel-preferences
Source: rygel
Version: 0.14.3-2+deb7u1
Architecture: armhf
Maintainer: Debian Rygel Maintainers 
Installed-Size: 406
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.26.0), libgssdp-1.0-3 (>= 0.12.0), libgstreamer0.10-0 (>= 0.10.23), libgtk-3-0 (>= 3.0.0), libgupnp-1.0-4 (>= 0.18.0), libgupnp-av-1.0-2 (>= 0.6.0), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.34.0), libuuid1 (>= 2.16), libxml2 (>= 2.6.27), rygel (= 0.14.3-2+deb7u1)
Homepage: http://live.gnome.org/Rygel
Priority: extra
Section: net
Filename: pool/main/r/rygel/rygel-preferences_0.14.3-2+deb7u1_armhf.deb
Size: 352406
SHA256: c9880a8c3a83f6229028442e1148bc6cb262487081c7c02bb71954031d80093e
SHA1: 6c36bfde5437b2f24258b4a81023c867c27fa460
MD5sum: f3ad9dc1c4e495755eb3b30e07c5e6e0
Description: GNOME UPnP/DLNA services - preferences tool
 Rygel is a collection of DLNA (UPnP AV) services (devices in UPnP speak),
 implemented through a plug-in mechanism. Important facts and features:
   * APIs to ease the implementation of AV devices (currently only
     MediaServer V 2.0 specification).
   * Audio and Video Transcoding: source format could be anything
     GStreamer's decodebin2 can handle but output formats are currently
     limited to: mp3, PCM and MPEG TS. Fortunately the transcoding
     framework is flexible enough to easily add more transcoding targets.
   * Specifically designed for GNOME (Mobile).
   * Based on GUPnP.
   * Written (mostly) in Vala language.
 .
 This package provides a graphical tool to configure Rygel and set
 custom preferences.

Package: rygel-tracker
Source: rygel
Version: 0.14.3-2+deb7u1
Architecture: armhf
Maintainer: Debian Rygel Maintainers 
Installed-Size: 483
Depends: libc6 (>= 2.13-28), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.26.0), libgssdp-1.0-3 (>= 0.12.0), libgstreamer-plugins-base0.10-0 (>= 0.10.30), libgstreamer0.10-0 (>= 0.10.23), libgupnp-1.0-4 (>= 0.18.0), libgupnp-av-1.0-2 (>= 0.6.0), libgupnp-dlna-1.0-2 (>= 0.6.0), libsoup2.4-1 (>= 2.34.0), libuuid1 (>= 2.16), libxml2 (>= 2.6.27), rygel (= 0.14.3-2+deb7u1), tracker (>= 0.8)
Homepage: http://live.gnome.org/Rygel
Priority: extra
Section: net
Filename: pool/main/r/rygel/rygel-tracker_0.14.3-2+deb7u1_armhf.deb
Size: 383024
SHA256: 32ea802d971ee7880fbcea401223260f4f5d64989aa1a2ed0f2a68ee95e0d0e0
SHA1: d4b9c3c0ac18c4f93513021522692b3e92d86f5b
MD5sum: 154e30d0096d8d713bce02bdd6a5ba3a
Description: GNOME UPnP/DLNA services - Tracker plugin
 Rygel is a collection of DLNA (UPnP AV) services (devices in UPnP speak),
 implemented through a plug-in mechanism. Important facts and features:
   * APIs to ease the implementation of AV devices (currently only
     MediaServer V 2.0 specification).
   * Audio and Video Transcoding: source format could be anything
     GStreamer's decodebin2 can handle but output formats are currently
     limited to: mp3, PCM and MPEG TS. Fortunately the transcoding
     framework is flexible enough to easily add more transcoding targets.
   * Specifically designed for GNOME (Mobile).
   * Based on GUPnP.
   * Written (mostly) in Vala language.
 .
 This package provides a MediaServer plugin that exports user's media
 contents known to Tracker (http://projects.gnome.org/tracker).

Package: rzip
Version: 2.1-1+deb7u1
Architecture: armhf
Maintainer: Alec Berryman 
Installed-Size: 30
Depends: libbz2-1.0, libc6 (>= 2.13-28)
Priority: optional
Section: utils
Filename: pool/main/r/rzip/rzip_2.1-1+deb7u1_armhf.deb
Size: 18428
SHA256: f504b751d4d80c0a68287fe817a5097e6a4eb2c5d7e812499a5c5d473246b28d
SHA1: 1cbc5c80384250f1c50c585e8cccd759aa49abed
MD5sum: a7587f6908ae6e9ed346995d585ba4b8
Description: compression program for large files
 rzip is a compression program able to take advantage of
 long distance redundancies in files, allowing greater
 compression ratios.  rzip uses a history buffer of up to
 900MB, while gzip uses 32KB and bzip2 uses 900KB.  rzip
 cannot read from the standard input or write to the
 standard output.

Package: s3cmd
Version: 1.1.0~beta3-1
Installed-Size: 287
Maintainer: Mikhail Gusarov 
Architecture: all
Depends: python (>= 2.6.6-7~)
Size: 67066
SHA256: 206d6a820aa6f6cd67bb2e5e655da17e11f8cd8132acb9c800d148252254ad10
SHA1: d5e7c100ecb89867fa6f014ff936fbcbc12ab196
MD5sum: 5db99b397503ad48d332862b37cf5a5c
Description: command-line Amazon S3 client
 Command-line tool to upload, retrieve and manage data in Amazon S3 service
 (http://www.amazon.com/s3/), designed for use in scripts. Features:
  - creating and destroying S3 buckets
  - uploading and downloading files
  - listing remote files
  - removing remote files
  - synchronizing local directories to S3 buckets
  - getting various information about buckets and disk usage
 .
 s3cmd supports both (US and EU) S3 datacentres.
Homepage: http://s3tools.logix.cz/s3cmd
Tag: hardware::storage, implemented-in::python, interface::commandline,
 network::client, role::program, use::downloading, use::storing
Section: utils
Priority: optional
Filename: pool/main/s/s3cmd/s3cmd_1.1.0~beta3-1_all.deb

Package: s3d
Version: 0.2.2-8
Architecture: armhf
Maintainer: Simon Wunderlich 
Installed-Size: 118
Depends: libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libsdl1.2debian (>= 1.2.11)
Recommends: dotmcp (= 0.2.2-8)
Homepage: http://s3d.sourceforge.net/
Priority: extra
Section: net
Filename: pool/main/s/s3d/s3d_0.2.2-8_armhf.deb
Size: 44800
SHA256: ab5abacb7dc61c25985bd47f489167000464e15efb09b90d4908744c1ca39e8c
SHA1: a985810159a4c630797bef4a84e2ae094253e93b
MD5sum: aab1628c8330ccc24f87993946bec5e6
Description: 3d network display server
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides the display server.

Package: s3d-data
Source: s3d
Version: 0.2.2-8
Installed-Size: 2563
Maintainer: Simon Wunderlich 
Architecture: all
Size: 1177630
SHA256: 1c2efe884aa80f9006b4bf0c22e7af2d8e2c79ee420ffd91946451aac4c210b9
SHA1: 2306fc3372873ba15660d0334b4b8c85d4bfe94d
MD5sum: e08887b40c478a1df168ae0612864cad
Description: 3d network display server data files
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package contains arch-independent files.
Homepage: http://s3d.sourceforge.net/
Tag: role::app-data
Section: net
Priority: extra
Filename: pool/main/s/s3d/s3d-data_0.2.2-8_all.deb

Package: s3d-dbg
Source: s3d
Version: 0.2.2-8
Architecture: armhf
Maintainer: Simon Wunderlich 
Installed-Size: 426
Depends: libs3d2 (= 0.2.2-8) | libs3dw2 (= 0.2.2-8) | s3d (= 0.2.2-8) | s3dvt (= 0.2.2-8) | s3dfm (= 0.2.2-8) | dotmcp (= 0.2.2-8) | s3dx11gate (= 0.2.2-8) | meshs3d (= 0.2.2-8) | s3dosm (= 0.2.2-8) | kism3d (= 0.2.2-8)
Homepage: http://s3d.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/s/s3d/s3d-dbg_0.2.2-8_armhf.deb
Size: 275342
SHA256: 87961837081149f872893f730385d8a487485c1d97c5ff9fa79cf39478efd9e7
SHA1: 515ee50703ec4e5436f9401db48b9d72ba3f60eb
MD5sum: d66afe6e5bff12733fde3b867155a10d
Description: 3d network display server (debug files)
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package contains the debug files.

Package: s3d-doc
Source: s3d
Version: 0.2.2-8
Installed-Size: 303
Maintainer: Simon Wunderlich 
Architecture: all
Size: 117982
SHA256: 02f4eb0b3eabc18ffba6a27f99dba09fd7e380be41fdf33a1b886af6b7e0971c
SHA1: d72a347d056fd57ea99baccfdf9e052d929cb8bc
MD5sum: 4c55d8f1f128172a00d2000b009ab03c
Description: Documentation for s3d
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides documentation for s3d.
Homepage: http://s3d.sourceforge.net/
Tag: made-of::html, role::documentation
Section: doc
Priority: extra
Filename: pool/main/s/s3d/s3d-doc_0.2.2-8_all.deb

Package: s3dfm
Source: s3d
Version: 0.2.2-8
Architecture: armhf
Maintainer: Simon Wunderlich 
Installed-Size: 93
Depends: libc6 (>= 2.13-28), libs3d2 (>= 0.2.1), libs3dw2 (>= 0.2.1)
Homepage: http://s3d.sourceforge.net/
Priority: extra
Section: utils
Filename: pool/main/s/s3d/s3dfm_0.2.2-8_armhf.deb
Size: 33884
SHA256: ec977368d5e46573a522203c4f50815be529effd7a47d22822ca5005b2e451ae
SHA1: 3ff6fdb75adee135b9835fa64ae848d5fc6c23c1
MD5sum: 4c14bc407776a0a11f586a4d1d825f61
Description: s3d file manager
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides a file manager for s3d.

Package: s3dosm
Source: s3d
Version: 0.2.2-8
Architecture: armhf
Maintainer: Simon Wunderlich 
Installed-Size: 106
Depends: libc6 (>= 2.13-28), libgps20 (>= 3.3), libs3d2 (>= 0.2.1), libs3dw2 (>= 0.2.1), libsqlite3-0 (>= 3.5.9), libxml2 (>= 2.7.4), libg3d-plugins, s3d-data (= 0.2.2-8)
Homepage: http://s3d.sourceforge.net/
Priority: extra
Section: utils
Filename: pool/main/s/s3d/s3dosm_0.2.2-8_armhf.deb
Size: 40514
SHA256: af7dd59c28194fa68815f553bd3683191ea23dfc22b9a0b1e21847acca34488e
SHA1: 7be36d10977ee8e0552f3bb82cd22a3df360dad7
MD5sum: 4c800480d25c8b3270ec26189912f194
Description: gps card application for s3d
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides a gps card application for s3d.

Package: s3dvt
Source: s3d
Version: 0.2.2-8
Architecture: armhf
Maintainer: Simon Wunderlich 
Installed-Size: 77
Depends: libc6 (>= 2.13-28), libs3d2 (>= 0.2.1), bash (>= 2.0)
Homepage: http://s3d.sourceforge.net/
Priority: extra
Section: utils
Filename: pool/main/s/s3d/s3dvt_0.2.2-8_armhf.deb
Size: 26254
SHA256: 921d55f6e5b4f1c3769b482c2371963dbfc824109c2bd1123d7b905d9e22b025
SHA1: 027c595bd132cbe39b5bec267b5d34ce0c22d82c
MD5sum: b0afd0a08b981880ffe1856df7cc9804
Description: 3d terminal emulator for s3d
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides a 3d terminal emulator for s3d.

Package: s3dx11gate
Source: s3d
Version: 0.2.2-8
Architecture: armhf
Maintainer: Simon Wunderlich 
Installed-Size: 69
Depends: libc6 (>= 2.13-28), libs3d2 (>= 0.2.1), libx11-6, libxext6, libxtst6
Homepage: http://s3d.sourceforge.net/
Priority: extra
Section: x11
Filename: pool/main/s/s3d/s3dx11gate_0.2.2-8_armhf.deb
Size: 22596
SHA256: 6c04a6d959d2c61faad1f760500f16c57b892f2949c320e1cf8648efb269035e
SHA1: 97ebf9b323a3dfc44ba23d3c41317d960f2afcdb
MD5sum: 26e4c884b542c50ed574614566d6f140
Description: 3d gateway for x11 desktops
 s3d is a 3d network display server which can be used as 3d desktop environment.
 .
 This package provides a 3d gateway for x11 desktops.

Package: s3ql
Version: 1.11.1-3+deb7u1
Architecture: armhf
Maintainer: Debian Python Apps Team 
Installed-Size: 1345
Depends: python (>= 2.7), python-apsw (>= 3.7.0), python-pycryptopp, python-llfuse (>= 0.37), python-lzma (>= 0.5.3), python2.7, libc6 (>= 2.13-28), libsqlite3-0 (>= 3.7.0), libjs-sphinxdoc (>= 1.0), fuse, psmisc, python-pkg-resources
Homepage: http://code.google.com/p/s3ql/
Priority: optional
Section: misc
Filename: pool/main/s/s3ql/s3ql_1.11.1-3+deb7u1_armhf.deb
Size: 495978
SHA256: ce4ea494ce090ac0c4ce282583ce1b2e759c6ff22ab6ec438e82f2ef3b957665
SHA1: 935668fa48f47a6f95d667f3ad22b3f8e8605051
MD5sum: b49a5a794fb3037100ecf9e83dbb4623
Description: Full-featured file system for online data storage
 S3QL is a file system that stores all its data online. It supports
 online storage services like Amazon S3 as well as arbitrary FTP or
 SFTP servers. It effectively provides you with a hard disk of
 infinite capacity that can be accessed from any computer with
 internet access.
 .
 S3QL has been designed mainly for backup and archival purposes and
 many of its features are especially geared towards this. However,
 since S3QL is providing a standard UNIX file system, it can in
 principle be used for any other application as well.
 .
 S3QL is designed to favor simplicity and elegance over performance
 and feature-richness. Care has been taken to make the source code as
 readable and serviceable as possible. Solid error detection and error
 handling have been included from the very first line, and S3QL comes
 with extensive automated test cases for all its components.

Package: s3ql-dbg
Source: s3ql
Version: 1.11.1-3+deb7u1
Architecture: armhf
Maintainer: Debian Python Apps Team 
Installed-Size: 246
Depends: s3ql (= 1.11.1-3+deb7u1)
Recommends: python-dbg, python-llfuse-dbg, python-apsw-dbg, python-pycryptopp-dbg, python-lzma-dbg
Homepage: http://code.google.com/p/s3ql/
Priority: extra
Section: debug
Filename: pool/main/s/s3ql/s3ql-dbg_1.11.1-3+deb7u1_armhf.deb
Size: 74650
SHA256: ab2250e7b7065e2b9cccacb9d1ab0f699ac4ef90cd8d5b3a84fa65d0a7bfdd91
SHA1: d2f6432d2aeeb87a110086c71c14569e275e372e
MD5sum: 77abf94ea5d33f946662336451884ad9
Description: Full-featured file system for online data storage (debugging symbols)
 S3QL is a file system that stores all its data online. It supports
 online storage services like Amazon S3 as well as arbitrary FTP or
 SFTP servers. It effectively provides you with a hard disk of
 infinite capacity that can be accessed from any computer with
 internet access.
 .
 S3QL has been designed mainly for backup and archival purposes and
 many of its features are especially geared towards this. However,
 since S3QL is providing a standard UNIX file system, it can in
 principle be used for any other application as well.
 .
 S3QL is designed to favor simplicity and elegance over performance
 and feature-richness. Care has been taken to make the source code as
 readable and serviceable as possible. Solid error detection and error
 handling have been included from the very first line, and S3QL comes
 with extensive automated test cases for all its components.
 .
 This package contains the debugging symbols as well as the extension
 built for the Python 2 debug interpreter.

Package: s5
Version: 1.1.dfsg.2-5
Installed-Size: 752
Maintainer: Peter Pentchev 
Architecture: all
Depends: ucf
Size: 420142
SHA256: 8b9f9b21926b6f40e39aebd8d9d1012ec2c24f7ffb6a6a73eb0dcc9af3b7ee8a
SHA1: 23ae8b68a7e1b7d2e4dceac7507aa0f55abf3a1c
MD5sum: 381df0c3d178cb1a48179032410e1a7c
Description: simple HTML-based presentation system
 S5 is a slide show format based entirely on XHTML, CSS, and JavaScript.
 With one file, you can run a complete slide show and have a
 printer-friendly version as well. The markup used for the slides is
 very simple, highly semantic, and completely accessible. Anyone with
 even a smidgen of familiarity with HTML or XHTML can look at the markup
 and figure out how to adapt it to their particular needs. Anyone
 familiar with CSS can create their own slide show theme. It's totally
 simple, and it's totally standards-driven.
 .
 The name S5 stands for "Simple Standards-Based Slide Show System".
Multi-Arch: foreign
Homepage: http://www.meyerweb.com/eric/tools/s5/
Tag: interface::web, made-of::html, role::app-data, use::organizing,
 use::text-formatting, use::viewing, works-with::people,
 works-with::text
Section: text
Priority: optional
Filename: pool/main/s/s5/s5_1.1.dfsg.2-5_all.deb

Package: s51dude
Version: 0.2.0-1.1
Architecture: armhf
Maintainer: Lucas Chiesa 
Installed-Size: 110
Depends: libc6 (>= 2.13-28), libusb-0.1-4 (>= 2:0.1.12)
Homepage: http://s51dude.gforge.lug.fi.uba.ar/
Priority: extra
Section: electronics
Filename: pool/main/s/s51dude/s51dude_0.2.0-1.1_armhf.deb
Size: 16460
SHA256: aff4d58f2abea9515fe9fe41b8405ec6bf88cbaae229e9c834a6b110d59e5042
SHA1: 7e6c7952810393c6211a5d2762c533b36f08c107
MD5sum: a6a6455abe45771455c3c26358f5fd7a
Description: In-System Programmer for 8051 MCUs using usbtiny
 In-System programmer for Atmel's 8051 MCUs like the AT89S8252 and AT89S53.
 It needs the usbtinyisp board with a modified firmware.

Package: sa-exim
Version: 4.2.1-14
Architecture: armhf
Maintainer: Magnus Holmgren 
Installed-Size: 241
Depends: exim4-localscanapi-1.1, spamc, libc6 (>= 2.13-28), debconf (>= 1.2.0) | debconf-2.0
Recommends: perl
Suggests: spamassassin
Homepage: http://marc.merlins.org/linux/exim/sa.html
Priority: optional
Section: mail
Filename: pool/main/s/sa-exim/sa-exim_4.2.1-14_armhf.deb
Size: 86532
SHA256: d872a6408676d650f7f26d695162aa25ce2c51a4b3e11c965cb2ee94aa152d57
SHA1: 32bc00fa59855a629c1883613654499b4772a0fd
MD5sum: 3cd183a2dde230508859c0563b407fe3
Description: SpamAssassin filter for Exim
 SA-Exim integrates SpamAssassin filtering at SMTP time with the Exim
 v4 MTA. It may be used to reject incoming mails before they arrive, or
 even to tie up the sender's resources by slowing down the connection
 ("teergrubing").
 .
 Most of the functionality of this package can also be achieved
 using the exiscan ACL conditions built into the "heavy" Exim daemon,
 exim-daemon-heavy. Use this package if you need SpamAssassin's
 report_safe feature, or if you want to have easier control over the
 header fields added, without using the report template to add multiple
 fields.

Package: sa-learn-cyrus
Version: 0.3.5-1.1
Installed-Size: 126
Maintainer: Jan Hauke Rahm 
Architecture: all
Depends: cyrus-imapd-2.4 | kolab-cyrus-imapd, spamassassin, perl
Size: 37980
SHA256: ced233cfc02407274389801c026e35b4df69195d4bdd5dfeb2822f8a6b482630
SHA1: 82116f7fe462909908c00277547b83b086c57519
MD5sum: dfdb827725c75418eff493cbdadeba92
Description: Train SpamAssassin with spam/ham from user's imap mailbox(es)
 sa-learn-cyrus is a perl script using sa-learn from the SpamAssassin package
 to train it knowing spam and ham. sa-learn-cyrus gets its spam and ham
 messages from Cyrus-imapd mailboxes instead of mbox files or Maildirs as
 sa-learn does. This is useful for Cyrus users who for example sort their
 missed spam into a subfolder and let sa-learn-cyrus read it out (e.g. using a
 cron job).
 .
 SpamAssassin is spam filter with numerous features including automatic
 white-listing, RBL testing, Bayesian analysis, header and body text analysis.
 .
 Cyrus is a fully-featured IMAP daemon.
Homepage: http://www.pollux.franken.de/mail-server-tools/sa-learn-cyrus/
Tag: implemented-in::perl, interface::commandline, mail::filters,
 role::plugin, role::program, scope::utility, works-with::mail
Section: web
Priority: extra
Filename: pool/main/s/sa-learn-cyrus/sa-learn-cyrus_0.3.5-1.1_all.deb

Package: sablecc
Version: 3.2-1
Installed-Size: 351
Maintainer: Debian Eucalyptus Maintainers 
Architecture: all
Size: 290016
SHA256: 5fb53345c318586d63cb6ba8828266d9897f075704973d0829bfe40c4b962fc7
SHA1: 1f580335cb035b7da60f8e9ecc86404f18d39c40
MD5sum: 7be70e52bd8e106ec00c610f206cdc5b
Description: Object-oriented fully featured parser generator
 SableCC is a parser generator which generates fully featured
 object-oriented frameworks for building compilers, interpreters and
 other text parsers.
 .
 In particular, generated frameworks include intuitive strictly-typed
 abstract syntax trees and tree walkers. SableCC also keeps a clean
 separation between machine-generated code and user-written code.
 .
 This package also contains AntTask, a task to invoke SableCC on grammar
 files.
Homepage: http://sablecc.org/
Section: java
Priority: extra
Filename: pool/main/s/sablecc/sablecc_3.2-1_all.deb

Package: sac
Version: 1.9b5-3
Architecture: armhf
Maintainer: Javier Fernandez-Sanguino Pen~a 
Installed-Size: 145
Depends: libc6 (>= 2.4)
Priority: optional
Section: admin
Filename: pool/main/s/sac/sac_1.9b5-3_armhf.deb
Size: 60516
SHA256: ef5b0197a446e9661f7a9fa66890dfa708d189f0b06f4a575c40dbcbd9dc7c7e
SHA1: 6168a4c4f0088eb4b1e924d6ce0c738353ebb09b
MD5sum: 5f9cc120212ae2882e6b520965434044
Description: Login accounting
 Performs login accounting, just like the ac program but with
 totals, per day and per users.  Also performs average usage
 and hourly profiling. Tons of other options.

Package: safe-rm
Version: 0.8-6
Installed-Size: 78
Maintainer: Francois Marier 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0
Pre-Depends: debconf
Size: 18008
SHA256: 9c94aa5a68ae6141245f601bf0ff584ee26e4f76b1b649fd78d353a3af83fec7
SHA1: 2b09e2ded1bcdbb1548ec859ec6855c92d8ef836
MD5sum: 5f60b989b82eca73eda884857543edc1
Description: wrapper around the rm command to prevent accidental deletions
 This package provides a tool intended to prevent the accidental deletion
 of important files by replacing rm with a wrapper, which checks the
 given arguments against a configurable blacklist of files and directories
 that should never be removed.
 .
 Users who attempt to delete one of these protected files or directories will
 not be able to do so and will be shown a warning message instead.
 .
 Protected paths can be set both at the site and user levels.
Homepage: http://www.safe-rm.org.nz
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility, use::checking
Section: utils
Priority: optional
Filename: pool/main/s/safe-rm/safe-rm_0.8-6_all.deb

Package: safecat
Version: 1.13-2
Architecture: armhf
Maintainer: Teemu Hukkanen 
Installed-Size: 72
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Homepage: http://pobox.com/~lbudney/linux/software/safecat.html
Priority: optional
Section: utils
Filename: pool/main/s/safecat/safecat_1.13-2_armhf.deb
Size: 21446
SHA256: 8ccb6eeea2bca74075b9e20e8b4afb0fd262bb3bcff61cf9a67e39f30a7a74eb
SHA1: 72a439527814698d5c4da8ea290ca3cf8a128a23
MD5sum: cccb9336a7ba5c72569f2788af476af8
Description: Safely copy stdin to a file
 Safecat is a program which implements Daniel Bernstein's maildir
 algorithm to copy stdin safely to a file in a specified directory.
 It can be used to write mail messages to a qmail-style maildir, or to
 write data to a "spool" directory reliably.

Package: safecopy
Version: 1.6-1
Architecture: armhf
Maintainer: Debian Forensics 
Installed-Size: 111
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0)
Homepage: http://safecopy.sourceforge.net
Priority: optional
Section: admin
Filename: pool/main/s/safecopy/safecopy_1.6-1_armhf.deb
Size: 39596
SHA256: b8163b16745952a244f7dbee9a8173f47eea606dfc8724350303161366f29a5f
SHA1: 875b3f243b9fe2c22c476b21704c2d647d684b68
MD5sum: ac5a474f7363fd60ce2cc8c018e0fa53
Description: Copy utility ignoring errors
 Safecopy is a data recovery tool which tries to extract as much data as
 possible from a seekable, but problematic (i.e. damaged sectors) source - like
 floppy drives, harddisk partitions, CDs, ..., where other tools like dd would
 fail due to I/O errors.

Package: sagan
Version: 0.2.1.r1-1
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 283
Depends: libc6 (>= 2.13-28), libee0 (>= 0.3.0), libesmtp6, libestr0 (>= 0.1.0), libgcc1 (>= 1:4.4.0), liblognorm0 (>= 0.3.0), libmysqlclient18 (>= 5.5.13-1), libpcap0.8 (>= 0.9.8), libpcre3 (>= 8.10), libpq5, libprelude2, adduser, sagan-rules
Homepage: http://sagan.softwink.com/
Priority: extra
Section: admin
Filename: pool/main/s/sagan/sagan_0.2.1.r1-1_armhf.deb
Size: 102088
SHA256: 87ab33a887a2d73c445269a75d2c6ead8e37ddb1b5940a41064e91d32a0dffe9
SHA1: 46f4ddebe78768800e93190210644418a48b1fea
MD5sum: 5294ef7e6926bac5ea2d6747e38e0b60
Description: Real-time System & Event Log Monitoring System
 Sagan is a multi-threaded, real time system- and event-log monitoring
 system, but with a twist. Sagan uses a “Snort” like rule set for
 detecting malicious events happening on your network and/or computer
 systems.
 If Sagan detects a potentially bad event, that event can be stored to a
 Snort database (MySQL/PostgreSQL), send it to a SIEM tool like Prelude,
 or send an email.
 Sagan is meant to be used in a ‘centralized’ logging environment, but
 will work fine as part of a standalone Host IDS system for workstations.

Package: sagan-rules
Version: 10212010-r1-1
Installed-Size: 500
Maintainer: Pierre Chifflier 
Architecture: all
Size: 41902
SHA256: 3ee4962219fb20be2329a0be4748f6eb732b2eb5140ef70dcc525a6dd8e82a09
SHA1: 71979daeb0d3a41e7a90f4db598c1f0f92d4110d
MD5sum: 607c8d05de9ceeca5aecac7ce4b375d2
Description: Real-time System & Event Log Monitoring System [rules]
 Sagan is a multi-threaded, real time system- and event-log monitoring
 system, but with a twist. Sagan uses a “Snort” like rule set for
 detecting malicious events happening on your network and/or computer
 systems.
 If Sagan detects a potentially bad event, that event can be stored to a
 Snort database (MySQL/PostgreSQL), send it to a SIEM tool like Prelude,
 or send an email.
 .
 This package provides the rules for Sagan.
Homepage: http://sagan.softwink.com/
Tag: role::app-data
Section: admin
Priority: extra
Filename: pool/main/s/sagan-rules/sagan-rules_10212010-r1-1_all.deb

Package: sagasu
Version: 2.0.10-1
Architecture: armhf
Maintainer: Daniel Gubser 
Installed-Size: 321
Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libstdc++6 (>= 4.4.0)
Priority: optional
Section: utils
Filename: pool/main/s/sagasu/sagasu_2.0.10-1_armhf.deb
Size: 94036
SHA256: d9f06121cfb0e43ec50866d8eb328dad8122a15eca2a88b37cf8613d822b0c38
SHA1: 699c65c316abe18c47930505cd99dc16f9316fb8
MD5sum: c74cfdcb5a0efa32415d3cf2ebede254
Description: GNOME tool to find strings in a set of files
 The user specifies the search directory and the set of files
 to be searched.  Double-clicking on a search result launches a
 user command that can for example load the file in an editor
 at the appropriate line.  The search can optionally ignore
 CVS directories.
 .
 http://sarrazip.com/dev/sagasu.html

Package: saidar
Source: libstatgrab
Version: 0.17-1
Architecture: armhf
Maintainer: Bartosz Fenski 
Installed-Size: 94
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstatgrab6, libtinfo5
Homepage: http://www.i-scream.org/libstatgrab/
Priority: optional
Section: utils
Filename: pool/main/libs/libstatgrab/saidar_0.17-1_armhf.deb
Size: 50854
SHA256: 2dc04c6c813beaca9613f4fbed7d70a02c34c4ff410ed438eef18d5aa0266ca8
SHA1: 8de6b5bc1c4b2420fdcdc57496b23fbbdfc0dc5a
MD5sum: ccb9c70e5c2673be7660a4c37fbbffa5
Description: curses-based program which displays live system statistics
 saidar is a curses-based application to display system statistics.
 Statistics include CPU, processes, load, memory, swap, network I/O and
 disks I/O along with their free space.
 .
 saidar utilizes libstatgrab library.

Package: sailcut
Version: 1.3.5-2
Architecture: armhf
Maintainer: Jeremy Lainé 
Installed-Size: 1297
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libqt4-opengl (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Suggests: sailcut-doc
Homepage: http://sailcut.sourceforge.net
Priority: optional
Section: graphics
Filename: pool/main/s/sailcut/sailcut_1.3.5-2_armhf.deb
Size: 506914
SHA256: f23daf2feb5a7839650cb16bc31487ba2ce0c738f53e8ef36aa1b06c47aa7388
SHA1: d13a399d389b0384ae4828c75a771ef0d30f34cd
MD5sum: b70cb17d499c88800f5fc3ab7b2a24c8
Description: A sail design and plotting software
 Sailcut CAD is a sail design and plotting software which allows you to
 design and visualise your own sails and compute the accurate development
 of all panels in flat sheets.  You can export the sails you create to
 DXF, plaintext or Carlson plotter files.
 .
 Sailcut CAD's user interface is available in English, French, Dutch,
 German, Italian, Norwegian, Portuguese, Danish and Russian.

Package: sailcut-doc
Source: sailcut
Version: 1.3.5-2
Installed-Size: 728
Maintainer: Jeremy Lainé 
Architecture: all
Suggests: sailcut
Size: 449460
SHA256: 788d5fe88181db59f178690bb6fc02e8e9f961a70a0fb89fea23056384d9c49d
SHA1: 5c355a3b39ef6ee71d5264cbfd46e9aad77e2053
MD5sum: 0ab683a39eefc0466466c18fb54e3e3e
Description: Sailcut CAD documentation
 This provides the documentation for Sailcut CAD.
Homepage: http://sailcut.sourceforge.net
Tag: made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/sailcut/sailcut-doc_1.3.5-2_all.deb

Package: saint
Version: 2.3.3-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 281
Depends: libc6 (>= 2.13-28), libgsl0ldbl (>= 1.9)
Homepage: http://saint-apms.sourceforge.net/Main.html
Priority: optional
Section: science
Filename: pool/main/s/saint/saint_2.3.3-1_armhf.deb
Size: 114738
SHA256: 0ff578a630e83cffc122493e7f0fe62d06b8daaa362534ff643787d23a800d6a
SHA1: 362a65888c59f087f5859b58347f458d28aa4336
MD5sum: 7779912e8137d3b05b0c43d7c900ce78
Description: Significance Analysis of INTeractome
 SAINT implements the scoring algorithm for protein-protein interaction
 data using label free quantitative proteomics data in AP-MS experiments.
 It was used for spectral count data in the yeast kinase interactome work
 not incorporating control purification, as well as a generalized
 implementation for spectral count data with and without control
 purification.
 .
 Alternatively, you can also run SAINT in combination with ProHits.
 .
 The package was written for either doing analysis without or with
 control IPs and

Package: sakura
Version: 3.0.4-2
Architecture: armhf
Maintainer: Andrew Starr-Bochicchio 
Installed-Size: 324
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.2.1), libpango1.0-0 (>= 1.14.0), libvte-2.90-9 (>= 1:0.27.2), libx11-6
Provides: x-terminal-emulator
Homepage: http://www.pleyades.net/david/projects/sakura
Priority: optional
Section: x11
Filename: pool/main/s/sakura/sakura_3.0.4-2_armhf.deb
Size: 60788
SHA256: df998072ee6fa817d517d4c6a7767867740ea33ef9fb9dcd588d8b3e80db44b5
SHA1: 0e77ac1de3a79225853aca1a5c06a67c01abc6c8
MD5sum: 8884fcf80ba6b7be63d805e16f16e9a3
Description: simple but powerful libvte-based terminal emulator
 Sakura is a terminal emulator based on GTK+ and VTE. It's a
 terminal emulator with few dependencies, so you don't need a
 full GNOME desktop installed to have a decent terminal emulator.

Package: salliere
Version: 0.10-1
Installed-Size: 92
Maintainer: Matthew Johnson 
Architecture: all
Depends: default-jre | java6-runtime, jarwrapper (>= 0.5), libcommons-net-java, libcsv-java, libitext-java
Size: 69980
SHA256: 2ab474e9a2567b843157d3df1133908ea5754ea4ed7812131f3f4599a898b9ae
SHA1: 317743f57dd54371966731a1db8f5852fb2fd352
MD5sum: a34b61c7310d876cd9e3849d9f981d9c
Description: Bridge duplicate scorer
 Salliere is a scoring program for duplicate bridge.  It will take a file of
 pair numbers and contracts then score and match point them for duplicate
 bridge. It will then produce nicely tabulated overall results and
 board-by-board results.
Tag: implemented-in::java, interface::commandline, role::program
Section: games
Priority: optional
Filename: pool/main/s/salliere/salliere_0.10-1_all.deb

Package: salt-common
Source: salt
Version: 0.10.1-3
Installed-Size: 1510
Maintainer: Michael Prokop 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), python-jinja2, python-m2crypto, msgpack-python, python-crypto, python-yaml, python-zmq (>= 2.1.9)
Size: 405460
SHA256: 207ec76e87e02ac830da262d1e6dbc35f21e7acdfb9460cb23e0f8e288785de1
SHA1: d841c159f97734eef80e0d5f215592cb328a7ced
MD5sum: f90ef2787d98e5e53066cd0134cb49ce
Description: shared libraries that salt requires for all packages
 salt is a powerful remote execution manager that can be used to
 administer servers in a fast and efficient way.
 .
 It allows commands to be executed across large groups of
 servers. This means systems can be easily managed, but data can
 also be easily gathered.  Quick introspection into running
 systems becomes a reality.
 .
 Remote execution is usually used to set up a certain state on a
 remote system. Salt addresses this problem as well, the salt
 state system uses salt state files to define the state a server
 needs to be in.
 .
 Between the remote execution system, and state management Salt
 addresses the backbone of cloud and data center management.
 .
 This particular package provides shared libraries that
 salt-master, salt-minion, and salt-syndic require to function.
Homepage: http://saltstack.org/
Section: admin
Priority: optional
Filename: pool/main/s/salt/salt-common_0.10.1-3_all.deb

Package: salt-doc
Source: salt
Version: 0.10.1-3
Installed-Size: 3531
Maintainer: Michael Prokop 
Architecture: all
Depends: libjs-sphinxdoc
Size: 525876
SHA256: 8d730fc91e2ef6203e939fbe186a8bef903b6512e1615ca76df55eaa40e98910
SHA1: 2bd422deb94337341c6c0173c9532102b504d63c
MD5sum: f5974aa7670805e96b533cef7bfb2b51
Description: additional documentation for salt, the distributed remote execution system
 salt is a powerful remote execution manager that can be used to
 administer servers in a fast and efficient way.
 .
 It allows commands to be executed across large groups of
 servers. This means systems can be easily managed, but data can
 also be easily gathered.  Quick introspection into running
 systems becomes a reality.
 .
 Remote execution is usually used to set up a certain state on a
 remote system. Salt addresses this problem as well, the salt
 state system uses salt state files to define the state a server
 needs to be in.
 .
 Between the remote execution system, and state management Salt
 addresses the backbone of cloud and data center management.
 .
 This particular package provides the HTML documentation for
 salt.
Homepage: http://saltstack.org/
Section: doc
Priority: optional
Filename: pool/main/s/salt/salt-doc_0.10.1-3_all.deb

Package: salt-master
Source: salt
Version: 0.10.1-3
Installed-Size: 87
Maintainer: Michael Prokop 
Architecture: all
Depends: python, python-pkg-resources, salt-common (= 0.10.1-3)
Size: 15442
SHA256: 776ebdbf08b83de87240276b4daef6ad2381c47e59d28635d24ed489f84319fd
SHA1: be3ca9f23e06e57b6d16484109e940671eaaf918
MD5sum: 1148c739876f4596cb11b96bf4bb1161
Description: remote manager to administer servers via salt
 salt is a powerful remote execution manager that can be used to
 administer servers in a fast and efficient way.
 .
 It allows commands to be executed across large groups of
 servers. This means systems can be easily managed, but data can
 also be easily gathered.  Quick introspection into running
 systems becomes a reality.
 .
 Remote execution is usually used to set up a certain state on a
 remote system. Salt addresses this problem as well, the salt
 state system uses salt state files to define the state a server
 needs to be in.
 .
 Between the remote execution system, and state management Salt
 addresses the backbone of cloud and data center management.
 .
 This particular package provides the salt controller.
Homepage: http://saltstack.org/
Section: admin
Priority: optional
Filename: pool/main/s/salt/salt-master_0.10.1-3_all.deb

Package: salt-minion
Source: salt
Version: 0.10.1-3
Installed-Size: 81
Maintainer: Michael Prokop 
Architecture: all
Depends: python, python-pkg-resources, salt-common (= 0.10.1-3)
Recommends: dmidecode
Suggests: python-augeas
Size: 10490
SHA256: e7e6d11ee890fc00f2c3f46ec08748d109d0cb94051fdddf8c9be88fbe5e1f71
SHA1: f11034d1de93deda0197a68bbc8addf2ac7e326b
MD5sum: 1a25b36a6028d7c8c8631350d787e15a
Description: client package for salt, the distributed remote execution system
 salt is a powerful remote execution manager that can be used to
 administer servers in a fast and efficient way.
 .
 It allows commands to be executed across large groups of
 servers. This means systems can be easily managed, but data can
 also be easily gathered.  Quick introspection into running
 systems becomes a reality.
 .
 Remote execution is usually used to set up a certain state on a
 remote system. Salt addresses this problem as well, the salt
 state system uses salt state files to define the state a server
 needs to be in.
 .
 Between the remote execution system, and state management Salt
 addresses the backbone of cloud and data center management.
 .
 This particular package provides the worker / agent for salt.
Homepage: http://saltstack.org/
Section: admin
Priority: optional
Filename: pool/main/s/salt/salt-minion_0.10.1-3_all.deb

Package: salt-syndic
Source: salt
Version: 0.10.1-3
Installed-Size: 68
Maintainer: Michael Prokop 
Architecture: all
Depends: python, python-pkg-resources, salt-master (= 0.10.1-3)
Size: 5770
SHA256: e0e7f85047559bb142b0598c5e11361ee1021ba1b78dcb2b8a49bc7fd23a26e7
SHA1: 16eb2abded9205f7c67decba4ee62cd209524677
MD5sum: 0483d03ca26d7ef6a020fbf5fd2fc41b
Description: master-of-masters for salt, the distributed remote execution system
 salt is a powerful remote execution manager that can be used to
 administer servers in a fast and efficient way.
 .
 It allows commands to be executed across large groups of
 servers. This means systems can be easily managed, but data can
 also be easily gathered.  Quick introspection into running
 systems becomes a reality.
 .
 Remote execution is usually used to set up a certain state on a
 remote system. Salt addresses this problem as well, the salt
 state system uses salt state files to define the state a server
 needs to be in.
 .
 Between the remote execution system, and state management Salt
 addresses the backbone of cloud and data center management.
 .
 This particular package provides the master of masters for salt
 - it enables the management of multiple masters at a time.
Homepage: http://saltstack.org/
Section: admin
Priority: optional
Filename: pool/main/s/salt/salt-syndic_0.10.1-3_all.deb

Package: sam2p
Version: 0.49.1-1+deb7u3
Architecture: armhf
Maintainer: Tanguy Ortolo 
Installed-Size: 462
Depends: libc6 (>= 2.13-28)
Recommends: netpbm, libjpeg-progs
Homepage: http://code.google.com/p/sam2p/
Priority: optional
Section: graphics
Filename: pool/main/s/sam2p/sam2p_0.49.1-1+deb7u3_armhf.deb
Size: 240892
SHA256: 8f3205f4a426263880d303d3aa6156de0b4d8db3a66f59de59ddc3d30b9574bf
SHA1: e2d76cddbea0eba27cc12864b69375ec74d5006d
MD5sum: dd52e610a16ca13770621b299094b8d4
Description: convert raster images to EPS, PDF, and other formats
 sam2p is a command line utility that converts many raster
 (bitmap) image formats like GIF, JPG/JPEG, and PNG into
 PostScript or PDF files. PS or EPS files created by sam2p are
 usually not much larger than the source file.

Package: samba
Version: 2:3.6.6-6+deb7u16
Architecture: armhf
Maintainer: Debian Samba Maintainers 
Installed-Size: 19723
Pre-Depends: dpkg (>= 1.15.7.2)
Depends: samba-common (= 2:3.6.6-6+deb7u16), libwbclient0 (= 2:3.6.6-6+deb7u16), libacl1 (>= 2.2.51-8), libattr1 (>= 1:2.4.46-8), libc6 (>= 2.13-28), libcap2 (>= 2.10), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libgcc1 (>= 1:4.4.0), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.10+dfsg~), libldap-2.4-2 (>= 2.4.7), libpam0g (>= 0.99.7.1), libpopt0 (>= 1.14), libtalloc2 (>= 2.0.4~git20101213), libtdb1 (>= 1.2.7+git20101214), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, libpam-runtime (>= 1.0.1-11), libpam-modules, lsb-base (>= 3.2-13), procps, update-inetd, adduser
Recommends: logrotate, tdb-tools
Suggests: openbsd-inetd | inet-superserver, smbldap-tools, ldb-tools, ctdb
Conflicts: samba4 (<< 4.0.0~alpha6-2)
Replaces: samba-common (<= 2.0.5a-2)
Homepage: http://www.samba.org
Priority: optional
Section: net
Filename: pool/main/s/samba/samba_3.6.6-6+deb7u16_armhf.deb
Size: 3333208
SHA256: 0f4104f3bad6fc3310e9c628a2750684ecc20569c2e1a429af3c3db6c1fa760b
SHA1: 191729af2929973c943b5c1b9b39358fabc2b637
MD5sum: c866c65eb57d39451f5d00473fda2aff
Description: SMB/CIFS file, print, and login server for Unix
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file and printer sharing with
 Microsoft Windows, OS X, and other Unix systems.  Samba can also function
 as an NT4-style domain controller, and can integrate with both NT4 domains
 and Active Directory realms as a member server.
 .
 This package provides the components necessary to use Samba as a
 stand-alone file and print server.  For use in an NT4 domain or Active
 Directory realm, you will also need the winbind package.
 .
 This package is not required for connecting to existing SMB/CIFS servers
 (see smbclient) or for mounting remote filesystems (see cifs-utils).

Package: samba-common
Source: samba
Version: 2:3.6.6-6+deb7u16
Installed-Size: 687
Maintainer: Debian Samba Maintainers 
Architecture: all
Replaces: samba (<< 3.0.20b-1), samba4-common (<< 4.0.0~alpha7-1)
Depends: ucf, debconf (>= 0.5) | debconf-2.0
Conflicts: samba4-common (<< 4.0.0~alpha7-1)
Size: 243844
SHA256: 434a5850a47646fd1641e977d454c7bf86abdb6c295c50e234008fc9808ffb06
SHA1: d07b7b59492fa27796fbcb526b262b2c2c709ce2
MD5sum: 3abb3d3ef2b7aa6f0238d88662543d25
Description: common files used by both the Samba server and client
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file and printer sharing with
 Microsoft Windows, OS X, and other Unix systems.
 .
 This package contains common files used by both Samba 3 and Samba 4.
Homepage: http://www.samba.org
Multi-Arch: foreign
Pre-Depends: dpkg (>= 1.15.6~)
Recommends: samba-common-bin
Section: net
Priority: optional
Filename: pool/main/s/samba/samba-common_3.6.6-6+deb7u16_all.deb

Package: samba-common-bin
Source: samba
Version: 2:3.6.6-6+deb7u16
Architecture: armhf
Maintainer: Debian Samba Maintainers 
Installed-Size: 15776
Pre-Depends: dpkg (>= 1.15.6~)
Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libcomerr2 (>= 1.01), libgcc1 (>= 1:4.4.0), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.10+dfsg~), libldap-2.4-2 (>= 2.4.7), libpopt0 (>= 1.14), libreadline6 (>= 6.0), libtalloc2 (>= 2.0.4~git20101213), libtdb1 (>= 1.2.7+git20101214), libtinfo5, libuuid1 (>= 2.16), libwbclient0 (>= 2:3.6.0~pre3), zlib1g (>= 1:1.1.4), samba-common (>= 2:3.4.0~pre1-2)
Replaces: samba (<< 3.0.20b-1), samba-common (<< 2:3.4.0~pre1-2)
Multi-Arch: foreign
Homepage: http://www.samba.org
Priority: optional
Section: net
Filename: pool/main/s/samba/samba-common-bin_3.6.6-6+deb7u16_armhf.deb
Size: 2745420
SHA256: 89de81cc5ffe441bed99a84af3aa754f15c0ef5cd59bf3762a2ce7483a506825
SHA1: df8a5e733986ba8fc993ff04f51a66f6cde263d9
MD5sum: e7c99722d5bc0b0ea712eec961b9f321
Description: common files used by both the Samba server and client
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file and printer sharing with
 Microsoft Windows, OS X, and other Unix systems.
 .
 This package contains files and programs needed by Samba when used as
 either a client or a server.

Package: samba-dbg
Source: samba
Version: 2:3.6.6-6+deb7u16
Architecture: armhf
Maintainer: Debian Samba Maintainers 
Installed-Size: 60115
Pre-Depends: dpkg (>= 1.15.6~)
Depends: samba (= 2:3.6.6-6+deb7u16)
Homepage: http://www.samba.org
Priority: extra
Section: debug
Filename: pool/main/s/samba/samba-dbg_3.6.6-6+deb7u16_armhf.deb
Size: 49645928
SHA256: 00c88f530801588e9d80bc93f4b88dc09548306b616457683fe7014e4a1b6d99
SHA1: 0494030a30ab4c8f240f645a90c63be046274f6f
MD5sum: 92dcf9e82f45da6c0006c024c759d789
Description: Samba debugging symbols
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file and printer sharing with
 Microsoft Windows, OS X, and other Unix systems.
 .
 This package provides debugging information for the software in the
 Samba suite. Install it to get a better backtrace in the event of a
 crash.

Package: samba-doc
Source: samba
Version: 2:3.6.6-6+deb7u16
Installed-Size: 13706
Maintainer: Debian Samba Maintainers 
Architecture: all
Suggests: samba-doc-pdf
Size: 5781232
SHA256: 31517af61bb694bddd596b95ea8dc6f2c635b38ed6fa0121aa7ade396a8b1e2f
SHA1: d028910ad71103752527e2207b009f622d889152
MD5sum: f271573b1090789067a2b6ecf89f5ae6
Description: Samba documentation
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file and printer sharing with
 Microsoft Windows, OS X, and other Unix systems.
 .
 This package contains all the non-PDF documentation for the Samba
 suite. See also samba-doc-pdf.
Homepage: http://www.samba.org
Pre-Depends: dpkg (>= 1.15.6~)
Section: doc
Priority: optional
Filename: pool/main/s/samba/samba-doc_3.6.6-6+deb7u16_all.deb

Package: samba-doc-pdf
Source: samba
Version: 2:3.6.6-6+deb7u16
Installed-Size: 6952
Maintainer: Debian Samba Maintainers 
Architecture: all
Size: 7069964
SHA256: 76901202fa250038e0e4f9ac82f196d23e63bddb1d0abc1412555a6d91f643ff
SHA1: 42115e807f70476068f0d591ffb04cdfbcd211fc
MD5sum: 2be7ae9e88aeee0f4ae28178990e753c
Description: Samba documentation in PDF format
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file and printer sharing with
 Microsoft Windows, OS X, and other Unix systems.
 .
 This package contains all the PDF documentation for the Samba suite.
Homepage: http://www.samba.org
Pre-Depends: dpkg (>= 1.15.6~)
Section: doc
Priority: optional
Filename: pool/main/s/samba/samba-doc-pdf_3.6.6-6+deb7u16_all.deb

Package: samba-dsdb-modules
Source: samba4
Version: 4.0.0~beta2+dfsg1-3.2
Architecture: armhf
Maintainer: Samba Debian Maintainers 
Installed-Size: 888
Depends: libbsd0 (>= 0.0), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libdcerpc0, libgensec0, libkrb5-26-heimdal (>= 1.4.0+git20110226), libldb1 (>= 1.1.2~), libndr0, libpopt0 (>= 1.14), libsamba-credentials0, libsamba-hostconfig0, libsamba-util0, libsamdb0, libtalloc2 (>= 2.0.4~git20101213), libtdb1 (>= 1.2.7+git20101214), libtevent0 (>= 0.9.12)
Enhances: libldb1
Replaces: libgensec0 (<< 4.0.0~alpha17~git20110724.dfsg1-1)
Homepage: http://www.samba.org/
Priority: optional
Section: libs
Filename: pool/main/s/samba4/samba-dsdb-modules_4.0.0~beta2+dfsg1-3.2_armhf.deb
Size: 286694
SHA256: 2173cae2867b746cdf9aa8eee28e12695360969950ef8e82484ee448e31a894d
SHA1: f0aae6e035c1cffb6f614d4c6ef260c5c5d3fba3
MD5sum: 9499c4f554b41f46287ae82058fd5219
Description: Samba Directory Services Database
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file sharing with Microsoft Windows, OS X,
 and other Unix systems.  Samba can also function as a domain controller
 or member server in both NT4-style and Active Directory domains.
 .
 This package contains LDB plugins which add support for various Active
 Directory features to the LDB library.

Package: samba-tools
Source: samba
Version: 2:3.6.6-6+deb7u16
Architecture: armhf
Maintainer: Debian Samba Maintainers 
Installed-Size: 29142
Pre-Depends: dpkg (>= 1.15.6~)
Depends: libacl1 (>= 2.2.51-8), libattr1 (>= 1:2.4.46-8), libc6 (>= 2.13-28), libcap2 (>= 2.10), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libgcc1 (>= 1:4.4.0), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.10+dfsg~), libldap-2.4-2 (>= 2.4.7), libpam0g (>= 0.99.7.1), libpopt0 (>= 1.14), libreadline6 (>= 6.0), libtalloc2 (>= 2.0.4~git20101213), libtdb1 (>= 1.2.7+git20101214), libtinfo5, libwbclient0 (>= 2:3.6.0~pre3), zlib1g (>= 1:1.1.4)
Conflicts: samba4, samba4-testsuite
Homepage: http://www.samba.org
Priority: optional
Section: net
Filename: pool/main/s/samba/samba-tools_3.6.6-6+deb7u16_armhf.deb
Size: 4156358
SHA256: e4e1533a30ebd781a288755a74cced4117a7f48853bcd70d6cdd987e8429ff49
SHA1: 243edd035c18661af3b3e1a62ae132e7ec113c49
MD5sum: b7fd579554a971ccc44787eeeeb45c47
Description: Samba testing utilities
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file and printer sharing with
 Microsoft Windows, OS X, and other Unix systems.
 .
 This package contains extra command-line tools such as smbtorture for
 performance-testing CIFS servers and clients.

Package: samba4
Version: 4.0.0~beta2+dfsg1-3.2
Architecture: armhf
Maintainer: Samba Debian Maintainers 
Installed-Size: 10603
Depends: python, python-dnspython, python-samba, samba-dsdb-modules, samba4-common-bin (= 4.0.0~beta2+dfsg1-3.2), tdb-tools, debconf (>= 0.5) | debconf-2.0, libasn1-8-heimdal (>= 1.4.0+git20110226), libattr1 (>= 1:2.4.46-8), libbsd0 (>= 0.0), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libdcerpc-server0, libdcerpc0, libgcc1 (>= 1:4.4.0), libgensec0, libhdb9-heimdal (>= 1.4.0+git20110226), libkdc2-heimdal (>= 1.4.0+git20110226), libkrb5-26-heimdal (>= 1.4.0+git20110226), libldb1 (>= 0.9.21), libndr-standard0, libndr0, libpopt0 (>= 1.14), libpython2.7 (>= 2.7), libroken18-heimdal (>= 1.4.0+git20110226), libsamba-credentials0, libsamba-hostconfig0, libsamba-util0, libsamdb0, libsmbclient-raw0, libtalloc2 (>= 2.0.4~git20101213), libtdb1 (>= 1.2.7+git20101214), libtevent0 (>= 0.9.12)
Recommends: attr, bind9 (>= 1:9.5.1), bind9utils, ldb-tools
Suggests: phpldapadmin, samba-gtk, swat2
Conflicts: samba (<< 2:3.3.0~rc2-5), samba-tools
Replaces: libsamdb0 (<< 4.0.0~alpha17~)
Homepage: http://www.samba.org/
Priority: optional
Section: net
Filename: pool/main/s/samba4/samba4_4.0.0~beta2+dfsg1-3.2_armhf.deb
Size: 1645852
SHA256: 3c4850094628a2abe54211e46c62347301a4a9950c4381153466424d97772ad2
SHA1: 16f3793a9e5844c84c4c4ef7dec4e821617e4db7
MD5sum: 8e1af0bf510d82da4f3431c6515ed66f
Description: SMB/CIFS file, NT domain and active directory server (version 4)
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file sharing with Microsoft Windows, OS X,
 and other Unix systems.  Samba can also function as a domain controller
 or member server in both NT4-style and Active Directory domains.
 .
 These packages contain snapshot versions of Samba 4, the next-generation
 version of Samba. These should be considered _experimental_, and should
 not be used in production.
 .
 This package contains the main daemon.

Package: samba4-clients
Source: samba4
Version: 4.0.0~beta2+dfsg1-3.2
Architecture: armhf
Maintainer: Samba Debian Maintainers 
Installed-Size: 252
Depends: samba4-common-bin (= 4.0.0~beta2+dfsg1-3.2), libbsd0 (>= 0.0), libc6 (>= 2.13-28), libdcerpc0, libgensec0, libndr-standard0, libndr0, libpopt0 (>= 1.14), libreadline6 (>= 6.0), libsamba-credentials0, libsamba-hostconfig0, libsamba-util0, libsmbclient-raw0, libtalloc2 (>= 2.0.4~git20101213), libtevent0 (>= 0.9.9), smbclient
Conflicts: samba-common (<< 2:3.3.0~rc2-5)
Homepage: http://www.samba.org/
Priority: optional
Section: net
Filename: pool/main/s/samba4/samba4-clients_4.0.0~beta2+dfsg1-3.2_armhf.deb
Size: 100490
SHA256: 8b4264fa0d9a4a74dcd95a5a736cf77af98668e243081a934e644246308a118b
SHA1: d687331c63865dcc6e1a76f953c5d104723114e3
MD5sum: 0d1d6d7f4a17f1d2053b5bd67ba912bf
Description: client utilities from Samba 4
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file sharing with Microsoft Windows, OS X,
 and other Unix systems.  Samba can also function as a domain controller
 or member server in both NT4-style and Active Directory domains.
 .
 These packages contain snapshot versions of Samba 4, the next-generation
 version of Samba.
 .
 This package contains some client components of the Samba suite. In
 particular it includes the command line utilities smbclient, net,
 and some ACL tools.

Package: samba4-common-bin
Source: samba4
Version: 4.0.0~beta2+dfsg1-3.2
Architecture: armhf
Maintainer: Samba Debian Maintainers 
Installed-Size: 180
Depends: python-samba (= 4.0.0~beta2+dfsg1-3.2), samba-common (>= 2:3.4.0~pre2-1), python
Conflicts: samba (<< 2:3.3.0~rc2-5), samba-common (<< 2:3.3.0~rc2-5)
Replaces: samba-common (<< 2:3.4.0~pre2-1), samba4-common (<< 4.0.0~alpha7-1)
Homepage: http://www.samba.org/
Priority: optional
Section: net
Filename: pool/main/s/samba4/samba4-common-bin_4.0.0~beta2+dfsg1-3.2_armhf.deb
Size: 36084
SHA256: 69a69974bca3c63cca41958eb5107f5681a02997d692202263554d2f0c5ed543
SHA1: 64e73cbf02357ac22e8bc3679b2fbfb43cab5df2
MD5sum: aec3a5150be9a178d54cd79ebdc9031a
Description: Samba 4 common files used by both the server and the client
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file sharing with Microsoft Windows, OS X,
 and other Unix systems.  Samba can also function as a domain controller
 or member server in both NT4-style and Active Directory domains.
 .
 These packages contain snapshot versions of Samba 4, the next-generation
 version of Samba. These should be considered _experimental_, and should
 not be used in production.
 .
 This package contains the common files that are used by both the server
 (provided in the samba package) and the client (provided in the samba-clients
 package).

Package: samba4-dev
Source: samba4
Version: 4.0.0~beta2+dfsg1-3.2
Architecture: armhf
Maintainer: Samba Debian Maintainers 
Installed-Size: 580
Depends: libc6-dev, libldb-dev, libparse-pidl-perl, libpopt-dev, libtalloc-dev, libtdb-dev (>= 1.1.0)
Homepage: http://www.samba.org/
Priority: optional
Section: devel
Filename: pool/main/s/samba4/samba4-dev_4.0.0~beta2+dfsg1-3.2_armhf.deb
Size: 109448
SHA256: ae1ab2dc1644e3fd40cf73d0143a1421eabdc652ed6d8c2504d93ab24c1d771c
SHA1: 0da46485eb59bd6d8659068c23126202633e73a5
MD5sum: de51fa1fb0187e35db188b2ab3624ec7
Description: tools for extending Samba
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file sharing with Microsoft Windows, OS X,
 and other Unix systems.  Samba can also function as a domain controller
 or member server in both NT4-style and Active Directory domains.
 .
 These packages contain snapshot versions of Samba 4, the next-generation
 version of Samba. These should be considered _experimental_, and should
 not be used in production.
 .
 This package contains include files shared by the various Samba-based
 libraries.

Package: samba4-testsuite
Source: samba4
Version: 4.0.0~beta2+dfsg1-3.2
Architecture: armhf
Maintainer: Samba Debian Maintainers 
Installed-Size: 5317
Depends: samba4-common-bin, libasn1-8-heimdal (>= 1.4.0+git20110226), libbsd0 (>= 0.0), libc6 (>= 2.13-28), libdcerpc-server0, libdcerpc0, libgcc1 (>= 1:4.4.0), libgensec0, libhcrypto4-heimdal (>= 1.4.0+git20110226), libhx509-5-heimdal (>= 1.4.0+git20110226), libkrb5-26-heimdal (>= 1.4.0+git20110226), libldb1 (>= 0.9.21), libndr-standard0, libndr0, libpopt0 (>= 1.14), libreadline6 (>= 6.0), libregistry0, libroken18-heimdal (>= 1.4.0+git20110226), libsamba-credentials0, libsamba-hostconfig0, libsamba-util0, libsamdb0, libsmbclient (>= 3.0.24), libsmbclient-raw0, libtalloc2 (>= 2.0.4~git20101213), libtdb1 (>= 1.2.7+git20101214), libtevent0 (>= 0.9.12), libtorture0, libwbclient0 (>= 2:3.2.0)
Recommends: subunit
Conflicts: samba-tools
Homepage: http://www.samba.org/
Priority: optional
Section: net
Filename: pool/main/s/samba4/samba4-testsuite_4.0.0~beta2+dfsg1-3.2_armhf.deb
Size: 1714762
SHA256: f0085cdd6ecf0116939559462cf44f278805238a7fbba631dd04015081c56ea4
SHA1: 9c914c7d0c0cfd501d104d73746a262794170e39
MD5sum: ab90d5a174a2a206569f1588a32c1dfa
Description: test suite from Samba 4
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file sharing with Microsoft Windows, OS X,
 and other Unix systems.  Samba can also function as a domain controller
 or member server in both NT4-style and Active Directory domains.
 .
 This package contains programs for testing the reliability and speed
 of SMB servers, Samba in particular.

Package: samdump2
Version: 1.1.1-1.1
Architecture: armhf
Maintainer: Adam Cécile (Le_Vert) 
Installed-Size: 58
Depends: libc6 (>= 2.4), libssl1.0.0 (>= 1.0.0)
Recommends: bkhive
Suggests: ophcrack
Homepage: http://ophcrack.sourceforge.net/
Priority: optional
Section: admin
Filename: pool/main/s/samdump2/samdump2_1.1.1-1.1_armhf.deb
Size: 13774
SHA256: 903a65ac4d4c2abddd7180b0418ca27efad98bbb4555ddf904a55a6565f0541b
SHA1: 82caf5b679ebd02e7354061db604ae6f0bcb020c
MD5sum: e1a0f306bcafad52e278d9ccb8de9527
Description: Dump Windows 2k/NT/XP password hashes
 This tool is designed to dump Windows 2k/NT/XP password hashes from a SAM
 file. It requires the syskey key which can be found with tools like bkhive.
 .
 Syskey is a Windows feature that adds an additional encryption layer to the
 password hashes stored in the SAM database.

Package: samhain
Version: 2.8.3a-1+deb7u1
Architecture: armhf
Maintainer: Javier Fernandez-Sanguino Pen~a 
Installed-Size: 2133
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libprelude2, zlib1g (>= 1:1.2.0), debconf (>= 1.2.9) | debconf-2.0
Homepage: http://la-samhna.de/samhain/index.html
Priority: optional
Section: admin
Filename: pool/main/s/samhain/samhain_2.8.3a-1+deb7u1_armhf.deb
Size: 1175956
SHA256: 0182125b7a857115417393121740b64a561f092977b7d343b884340aea647dbf
SHA1: cf9d441e093b101c5d4252121cd39adfc7ec2934
MD5sum: 40a15bf4a402394f9045fd65fa3f9027
Description: Data integrity and host intrusion alert system
 Samhain is an integrity checker and host intrusion detection system that
 can be used on single hosts as well as large, UNIX-based networks.
 It supports central monitoring as well as powerful (and new) stealth
 features to run undetected on memory using steganography.
 .
 Main features
     * Complete integrity check
          + uses cryptographic checksums of files to detect
            modifications,
          + can find rogue SUID executables anywhere on disk, and
     * Centralized monitoring
          + native support for logging to a central server via encrypted
            and authenticated connections
     * Tamper resistance
          + database and configuration files can be signed
          + logfile entries and e-mail reports are signed
          + support for stealth operation

Package: samidare
Version: 0.7-1
Installed-Size: 132
Maintainer: NIIBE Yutaka 
Architecture: all
Depends: libhtree-ruby1.8, libyaml-ruby1.8, libzlib-ruby1.8, ruby (>= 1.8), ruby1.8
Size: 22366
SHA256: f8748535a0c9ab91f44669b9f18449d3a8ea97a904b6f2bc27878613cc581f67
SHA1: 54ebef6545447d3cb22f49bdd4368c26b8b83afc
MD5sum: 9244ea570fa7567a0bb25ecde236f797
Description: web page updates checker
 Samidare makes it easy to keep up with your favorite weblogs or webpages in
 general.
 It will monitor updates to those sites and list their last modified time.
 .
 It generate HTML file which list URLs (links) to monitor from template.
Homepage: http://www.a-k-r.org/samidare/
Tag: implemented-in::ruby, protocol::http, role::program, scope::utility,
 use::monitor, works-with-format::html, works-with::text
Section: web
Priority: optional
Filename: pool/main/s/samidare/samidare_0.7-1_all.deb

Package: samizdat
Version: 0.7.0-1
Installed-Size: 843
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Replaces: libsamizdat-ruby (<< 0.6.2.20110917-1~), libsamizdat-ruby1.8 (<< 0.6.2.20110917-1~)
Provides: libsamizdat-ruby, libsamizdat-ruby1.8
Depends: ruby | ruby-interpreter, ruby-rack, ruby-graffiti, ruby-whitewash, ruby-rmagick, ruby-magic
Recommends: ruby-fast-gettext | libgettext-ruby1.8 | libgettext-ruby1.9.1, ruby-algorithm-diff, ruby-redcloth, ruby-tzinfo
Suggests: postgresql, nginx | httpd, thin, ruby-erubis
Breaks: libsamizdat-ruby (<< 0.6.2.20110917-1~), libsamizdat-ruby1.8 (<< 0.6.2.20110917-1~)
Size: 251926
SHA256: 1a2f46b5bca2d1a476fa59d1419dc55490828951711e93b160dc5a5530a4b5c9
SHA1: db4393ede57beea89e5c64f6d67345aaf7b31f54
MD5sum: e7358632d7cae0357267096ddfb27a16
Description: Web collaboration and open publishing engine
 Generic RDF-based Web engine intended for building collaboration and open
 publishing web sites. Samizdat engine allows everyone to publish, view,
 comment, edit, and aggregate text and multimedia resources, vote on ratings
 and classifications, filter resources by flexible sets of criteria.
Homepage: http://samizdat.nongnu.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: implemented-in::ruby, interface::web, role::program, scope::application,
 web::cms, web::forum, web::wiki, works-with::db
Section: web
Priority: optional
Filename: pool/main/s/samizdat/samizdat_0.7.0-1_all.deb

Package: sampleicc-tools
Source: sampleicc
Version: 1.6.4-1
Architecture: armhf
Maintainer: Rene Engelhard 
Installed-Size: 280
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libicc-utils2, libsampleicc2, libstdc++6 (>= 4.6), libtiff4 (>= 3.9.5-2)
Homepage: http://sampleicc.sourceforge.net
Priority: optional
Section: utils
Filename: pool/main/s/sampleicc/sampleicc-tools_1.6.4-1_armhf.deb
Size: 101166
SHA256: 04a315654542f8a39ca84984eeb1b32c1d891a274933f0c255b230be7bb098be
SHA1: 34dee3f5442487fa89ec7968d83ee6c8cfa631b3
MD5sum: edbcb0c641c87d004b3ef828b3a982a4
Description: ICC profiles i/o and manipulating library and CMM -- tools
 SampleICC provides an open source platform independent C++ library for
 reading, writing, manipulating, and applying ICC profiles along with
 applications that make use of this library.
 .
 This package contains some useful command line tools using SampleICC:
  - IccApplyNamedCmm – Uses CIccNamedColorCmm to apply transforms to colors
    specified by text files.  Colors can be sample values or color names
    depending upon profiles used.
 .
  - IccApplyProfiles – Uses the CIccCmm to apply transforms to tiff images.
 .
  - IccProfLibTest – An application that was written to test creation of
    tags in a profile.
 .
  - IccDumpProfile – Reads a profile and displays the contents.
 .
  - IccV4ToMPE – Adds MultiProcessingElement Tags using floating point
    encoding to a V4 Profile.
 .
  - IccStripUnused – Removes tags that are not supported by the profile
    specification from a profile.

Package: samplerate-programs
Source: libsamplerate
Version: 0.1.8-5
Architecture: armhf
Maintainer: Erik de Castro Lopo 
Installed-Size: 58
Depends: libc6 (>= 2.13-28), libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20)
Replaces: libsamplerate0 (<< 0.1.8-3)
Homepage: http://www.mega-nerd.com/SRC/
Priority: optional
Section: utils
Filename: pool/main/libs/libsamplerate/samplerate-programs_0.1.8-5_armhf.deb
Size: 18634
SHA256: 1a31d7e077347d7453dede01bec873e1ccecf9847654c49289de80cf6e4f9f0c
SHA1: ce099911bbc9838dbb4fb0e3cae856ebb7bcf33b
MD5sum: ee98c7706c74c62848ec4034c653953d
Description: Sample programs that use libsamplerate
 This package contains simple example programs for resampling sound files with
 the libsamplerate library.
 .
 Currently, the only binary included is sndfile-resample which uses libsndfile
 to read and write audio files and libsamplerate to do the rate conversion.

Package: samtools
Version: 0.1.18-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 945
Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5, zlib1g (>= 1:1.2.3.3)
Suggests: python
Homepage: http://samtools.sourceforge.net
Priority: optional
Section: science
Filename: pool/main/s/samtools/samtools_0.1.18-1_armhf.deb
Size: 499720
SHA256: 1de8a1e82dcde51dc2e3b1569995a3a728dab672b5d3757603da83d225c3df15
SHA1: 99782ceea0f2b03f39bab5bbcd56ee29df80200e
MD5sum: 78f51504332bbbcc59f6b83c9590e6c9
Description: processing sequence alignments in SAM and BAM formats
 Samtools is a set of utilities that manipulate nucleotide sequence alignments
 in the binary BAM format. It imports from and exports to the ascii SAM
 (Sequence Alignment/Map) format, does sorting, merging and indexing, and allows
 to retrieve reads in any regions swiftly. It is designed to work on a stream,
 and is able to open a BAM (not SAM) file on a remote FTP or HTTP server.

Package: sanduhr
Version: 1.93-4
Architecture: armhf
Maintainer: Thanasis Kinias 
Installed-Size: 789
Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6
Priority: optional
Section: gnome
Filename: pool/main/s/sanduhr/sanduhr_1.93-4_armhf.deb
Size: 458566
SHA256: f06312d406fdc31a9f2cd9a9641ef4b7c8424465924f374e1d2ee708b4d7cd13
SHA1: cc75feea3d6878df4bac56a6dc28948d087ce25a
MD5sum: 8dfbe4434922df05daadbc89da8a5616
Description: An alarm clock designed as an hourglass
 Sanduhr is an alarm clock for the X Window System which uses (and requires)
 the GNOME desktop environment.  It has an extensive manual and a complete
 CORBA interface.
 .
 Homepage: http://seehuhn.de/comp/sanduhr.html

Package: sane
Source: sane-frontends
Version: 1.0.14-9
Architecture: armhf
Maintainer: Julien BLACHE 
Installed-Size: 256
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgimp2.0 (>= 2.4.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libsane (>= 1.0.11-3)
Suggests: gimp
Priority: optional
Section: graphics
Filename: pool/main/s/sane-frontends/sane_1.0.14-9_armhf.deb
Size: 110498
SHA256: e1f29bfd0e9798711db27b9ea45726632d2cf9e09f223acea1c0ec904eae3250
SHA1: 29bc1d6a0a583f1f97da78f578086036b86f84ee
MD5sum: 4f5e13a3a563b5897604bb64bcd8a557
Description: scanner graphical frontends
 This package includes :
  o xscanimage, a scanner graphical frontend with GIMP 2.0 support
  o scanadf, a command-line frontend for scanners with Automatic Document Feeder
  o xcam, for acquiring images continuously from cameras.
 .
 An alternative to xscanimage called xsane is packaged separately.
 .
 The scanner frontends use SANE.  SANE stands for "Scanner Access Now
 Easy" and is an application programming interface (API) that provides
 standardized access to any raster image scanner hardware (flatbed
 scanner, hand-held scanner, video- and still-cameras, frame-grabbers,
 etc.). The SANE standard is free and its discussion and development are
 open to everybody. The current source code is written to support several
 operating systems, including GNU/Linux, OS/2, Win32 and various Unices
 and is available under the GNU General Public License (commercial
 applications and backends are welcome, too, however).

Package: sane-utils
Source: sane-backends
Version: 1.0.22-7.4+deb7u1
Architecture: armhf
Maintainer: Julien BLACHE 
Installed-Size: 453
Depends: adduser (>= 3.47), update-inetd (>= 4.31), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), libieee1284-3, libsane (>= 1.0.11-3), libusb-0.1-4 (>= 2:0.1.12), debconf (>= 0.5) | debconf-2.0
Suggests: avahi-daemon, unpaper
Replaces: libsane (<< 1.0.11-4)
Multi-Arch: foreign
Homepage: http://www.sane-project.org
Priority: optional
Section: graphics
Filename: pool/main/s/sane-backends/sane-utils_1.0.22-7.4+deb7u1_armhf.deb
Size: 185354
SHA256: 1296eeeb2180045308a43e5e6e153d1451d3edd330c40842fdfd1928952a1fe3
SHA1: 112f5d4a3f83490268d2b752e4a5a28055f23d29
MD5sum: 723cd435eff1e3d8293c81a76a3fe240
Description: API library for scanners -- utilities
 SANE stands for "Scanner Access Now Easy" and is an application
 programming interface (API) that provides standardized access to any
 raster image scanner hardware (flatbed scanner, hand-held scanner,
 video- and still-cameras, frame-grabbers, etc.). The SANE standard is
 free and its discussion and development are open to everybody. The
 current source code is written to support several operating systems,
 including GNU/Linux, OS/2, Win32 and various Unices and is available
 under the GNU General Public License (commercial applications and
 backends are welcome, too, however).
 .
 This package includes the command line frontend scanimage, the saned
 server and the sane-find-scanner utility, along with their documentation.

Package: sanitizer
Version: 1.76-3
Installed-Size: 856
Maintainer: Alberto Gonzalez Iniesta 
Architecture: all
Depends: perl
Recommends: procmail, maildrop
Size: 181816
SHA256: 680e45cd3313659da84246044c1e25f2dffab712710291c89ae77236975351c0
SHA1: 29295c3ec13f327d0d885e3f24b87bc086dc7df6
MD5sum: ad7998186dd0cf7207cb565d3e33ef58
Description: The Anomy Mail Sanitizer - an email virus scanner
 The Anomy sanitizer is what most people would call "an email virus scanner".
 That description is not totally accurate, but it does cover one of the more
 important jobs that the sanitizer can do for you - it can scan email
 attachments for viruses. Other things it can do:
 .
 Disable potentially dangerous HTML code, such as javascript, within
 incoming email.
 .
 Protect you from email-based break-in attempts which exploit bugs in
 common email programs (Outlook, Eudora, Pine, ...).
 .
 Block or "mangle" attachments based on their file names. This way if you
 don't *need* to receive e.g. visual basic scripts, then you don't have to
 worry about the security risk they imply (the ILOVEYOU virus was a
 visual basic program). This lets you protect yourself and your users
 from whole classes of attacks, without relying on complex, resource
 intensive and outdated virus scanning solutions.
Tag: implemented-in::perl, mail::filters, role::program, security::antivirus,
 works-with::mail
Section: mail
Priority: optional
Filename: pool/main/s/sanitizer/sanitizer_1.76-3_all.deb

Package: sanlock
Version: 2.2-2
Architecture: armhf
Maintainer: David Weber 
Installed-Size: 346
Depends: libaio1 (>= 0.3.93), libblkid1 (>= 2.17.2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libuuid1 (>= 2.16), adduser
Homepage: https://fedorahosted.org/sanlock/
Priority: optional
Section: libs
Filename: pool/main/s/sanlock/sanlock_2.2-2_armhf.deb
Size: 109582
SHA256: 31876f1735417dc049d4db2370be20679d8ba6681788bcf2ee4b4e2b60bc6aea
SHA1: 906356f550b30a92217964edcb6cba663f9d1d22
MD5sum: 8f5a2d2627f8a63ada932818a3932a3a
Description: shared storage lock manager
 Sanlock ensures that single disk cannot be used by more than
 one running VM at a time, across any host in a network.
 This package contains the binaries and private shared libraries

Package: saods9
Version: 7.0.1+dfsg-1
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 3070
Depends: libtk-img, saods9-data (= 7.0.1+dfsg-1), saods9-blt, tcl-signal, tcl-xpa, tcllib, tclxml, tk-table (>= 2.9), tkcon, libc6 (>= 2.13-28), libfuntools1, libgcc1 (>= 1:4.4.0), libstarlink-ast-err0, libstarlink-ast0, libstdc++6 (>= 4.4.0), libwcstools0, libx11-6, libxml2 (>= 2.7.4), libxrandr2, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), zlib1g (>= 1:1.2.3.3)
Recommends: saods9-doc
Suggests: xpa-tools
Homepage: http://hea-www.harvard.edu/RD/ds9/site/Home.html
Priority: extra
Section: science
Filename: pool/main/s/saods9/saods9_7.0.1+dfsg-1_armhf.deb
Size: 843270
SHA256: efc482b51b83d7f917ee9ab44314137e41e975825971f63a11231528444cf493
SHA1: 4833e56655ef67e37bd1f577c7ef4cfbb014696b
MD5sum: 011f9b07e530373d5b128b406b467c7e
Description: Image display tool for astronomy
 SAOImage DS9 is an astronomical imaging and data visualization
 application. DS9 supports FITS images and binary tables, multiple frame
 buffers, region manipulation, and many scale algorithms and colormaps. It
 provides for easy communication with external analysis tasks and is highly
 configurable and extensible via XPA and SAMP.
 .
 All versions and platforms support a consistent set of GUI and
 functional capabilities.
 .
 DS9 supports advanced features such as 2-D, 3-D and RGB frame buffers, mosaic
 images, tiling, blinking, geometric markers, colormap manipulation, scaling,
 arbitrary zoom, cropping, rotation, pan, and a variety of coordinate
 systems.
 .
 The GUI for DS9 is user configurable. GUI elements such as the coordinate
 display, panner, magnifier, horizontal and vertical graphs, button bar, and
 color bar can be configured via menus or the command line.

Package: saods9-blt
Source: saods9
Version: 7.0.1+dfsg-1
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 1877
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libxft2 (>> 2.1.1), tcl8.5 (>= 8.5.0)
Homepage: http://hea-www.harvard.edu/RD/ds9/site/Home.html
Priority: extra
Section: science
Filename: pool/main/s/saods9/saods9-blt_7.0.1+dfsg-1_armhf.deb
Size: 514594
SHA256: f09e674c184e674071eedb5882dead76f30e50e21230cf8ae5d795488f8347e4
SHA1: 720b6dea3e5826e7d0fcdecef5b0ff715407407a
MD5sum: c4054eb77fea8a02b7f30a2e49b548c6
Description: BLT subpackage for saods9
 DS9 is an application for astronomical imaging and data
 visualization.
 .
 This package contains an updated and patched version of BLT for the use
 in saods9.

Package: saods9-data
Source: saods9
Version: 7.0.1+dfsg-1
Installed-Size: 2174
Maintainer: Debian Science Maintainers 
Architecture: all
Size: 426754
SHA256: b3dd114afd9ecf914c460f9d31559c91ba69f603a756d739b132816d4f7aa11e
SHA1: b5b35cc65ebd02236957f21a96a2427fdb488b01
MD5sum: 60676d28fd18eee6a11678edc67aaeb3
Description: Image display tool for astronomy (shared data)
 SAOImage DS9 is an astronomical imaging and data visualization
 application. DS9 supports FITS images and binary tables, multiple frame
 buffers, region manipulation, and many scale algorithms and colormaps. It
 provides for easy communication with external analysis tasks and is highly
 configurable and extensible via XPA and SAMP.
 .
 This package contains the shared data.
Homepage: http://hea-www.harvard.edu/RD/ds9/site/Home.html
Section: science
Priority: extra
Filename: pool/main/s/saods9/saods9-data_7.0.1+dfsg-1_all.deb

Package: saods9-doc
Source: saods9
Version: 7.0.1+dfsg-1
Installed-Size: 6212
Maintainer: Debian Science Maintainers 
Architecture: all
Size: 5513520
SHA256: 252f4ce0f3511547d0d6fd49d53fdca79abca3e1827639800ac399552f9f8dcc
SHA1: db0fd9bdb7c1e8bce5579f1d06d90d0f0ec33eff
MD5sum: 5494f9f50f00c272f196a86cdd6f2fe9
Description: Image display tool for astronomy (documentation)
 SAOImage DS9 is an astronomical imaging and data visualization
 application. DS9 supports FITS images and binary tables, multiple frame
 buffers, region manipulation, and many scale algorithms and colormaps. It
 provides for easy communication with external analysis tasks and is highly
 configurable and extensible via XPA and SAMP.
 .
 This package contains the documentation and help pages.
Homepage: http://hea-www.harvard.edu/RD/ds9/site/Home.html
Section: doc
Priority: extra
Filename: pool/main/s/saods9/saods9-doc_7.0.1+dfsg-1_all.deb

Package: sapphire
Version: 0.15.8-9
Architecture: armhf
Maintainer: Chris Boyle 
Installed-Size: 177
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6, libxext6
Recommends: xfonts-100dpi | xfonts-75dpi
Suggests: menu (>> 1.5)
Provides: x-window-manager
Homepage: http://sourceforge.net/projects/sapphire
Priority: optional
Section: x11
Filename: pool/main/s/sapphire/sapphire_0.15.8-9_armhf.deb
Size: 50214
SHA256: 7eeb5c7389b6966739a6d61fc37e134d0e2ec9f8ebafe795b5bcaab4d14dcda4
SHA1: 786d9221ec10e77e5b77fbebd992bda4849758ed
MD5sum: c85f799b78335a7ecd4b92fa1ee6f820
Description: A minimal but configurable X11R6 window manager
 Sapphire is a window manager for X11R6. It is fairly minimal in
 what it provides on screen: one toolbar, the usual window borders
 and a popup menu from the root window.
 .
 It supports themes as X resource files, and the menu is editable.
 If you install the 'menu' package, you'll get an automatically updated
 'Debian' submenu of installed programs.

Package: sarg
Version: 2.3.2-2
Architecture: armhf
Maintainer: Luigi Gangitano 
Installed-Size: 949
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libldap-2.4-2 (>= 2.4.7), ttf-dejavu-core | ttf-freefont
Suggests: squid, httpd | apache2, squidguard, libapache2-mod-php5
Conflicts: sqmgrlog
Replaces: sqmgrlog
Priority: optional
Section: web
Filename: pool/main/s/sarg/sarg_2.3.2-2_armhf.deb
Size: 254560
SHA256: 12b7fd16c6ec7d542f8daba6e212ce629e0f2edf51ddcd57229aa8be72e322f4
SHA1: 99a2a6f3190c2de9e0eba2f62e0e80e07e83ab14
MD5sum: 3b5a48105ac12d4ae7883622eba8b9f3
Description: squid analysis report generator
 Sarg is a Squid Analysis Report Generator that allow you to view "where"
 your users are going to on the Internet. Sarg generate reports in html,
 with many fields, like: users, IP Addresses, bytes, sites and times.

Package: sary
Version: 1:1.2.0-2.1
Architecture: armhf
Maintainer: Masahito Omote 
Installed-Size: 74
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libsary10
Priority: optional
Section: text
Filename: pool/main/s/sary/sary_1.2.0-2.1_armhf.deb
Size: 22848
SHA256: 818cb32aaa02c281f3b9daf74c0eb39cd7ef95ceefa811f4ebaf9a3f23c4df7e
SHA1: 90dd1b1d85ba31ad138ca4d38b59d0f326137ae3
MD5sum: 2c17f2428f0c906e4427957bf3cc9e37
Description: A suffix array library (program)
 Sary is a suffix array library.  It provides fast full-text
 search facilities for huge, say, 10 MB, 100 MB text files
 using the data structure called suffix array.
 .
 This package contains a simple search program to use the library.

Package: sary-doc
Source: sary
Version: 1:1.2.0-2.1
Installed-Size: 121
Maintainer: Masahito Omote 
Architecture: all
Size: 40106
SHA256: 8f9912808d1de113201e22803dba9539f45b9cbcc34f62b1b5d3fa3d7571283a
SHA1: 39ca65e0d68b1142a47ab15b737c303450956e1a
MD5sum: 7b03a6e192c681f8bb59b9f7d01d5b01
Description: A suffix array library (documentation)
 Sary is a suffix array library.  It provides fast full-text
 search facilities for huge, say, 10 MB, 100 MB text files
 using the data structure called suffix array.
 .
 This package contains documentations for sary and the suffix
 array algorithm.
Tag: culture::japanese, made-of::html, role::documentation, use::searching,
 works-with::dictionary
Section: doc
Priority: optional
Filename: pool/main/s/sary/sary-doc_1.2.0-2.1_all.deb

Package: sash
Version: 3.7-12
Architecture: armhf
Maintainer: Tollef Fog Heen 
Installed-Size: 707
Suggests: doc-debian
Homepage: http://members.tip.net.au/~dbell/
Priority: optional
Section: shells
Filename: pool/main/s/sash/sash_3.7-12_armhf.deb
Size: 344640
SHA256: 87fd79de906005b50676670be3cde9ef47c2a6df7d967b1b84db19aca5e63d16
SHA1: aa21505174d89b2602cd8948e6d15410cf76e5de
MD5sum: bfd6eb75e21a7f8eb8538093586d2035
Description: Stand-alone shell
 sash serves as an interactive substitute for /bin/sh, for use when
 /bin/sh is unusable.  It's statically linked, and includes many standard
 utilities as builtins (type "help" at the prompt for a reference list).
 If you've installed sash before rendering your system unbootable, and
 you have some knowledge of how your system is supposed to work, you might
 be able to repair your system using init=/bin/sash at the boot prompt.
 .
 Some people also prefer to have sash available as the shell for a
 root account (perhaps an under an alternate name such as sashroot)
 Configuration support is included for people who want this.
 .
 Note: sash is not intended to serve as /bin/sh, and has few of the
 interactive features present in bash or ksh.  It's designed to be simple
 and robust, for people who need to do emergency repair work on a system.
 .
 Also note: sash doesn't include a built-in fsck -- fsck is too big
 and complicated.  If you need fsck, you'll have to get at least one
 partition or disk working well enough to run fsck.  More generally,
 sash is but one tool of many (backups, backup recovery tools, emergency
 boot disks or partitions, spare parts, testing of disaster plans,
 etc.) to help you recover a damaged system.

Package: sasl2-bin
Source: cyrus-sasl2
Version: 2.1.25.dfsg1-6+deb7u1
Architecture: armhf
Maintainer: Debian Cyrus SASL Team 
Installed-Size: 403
Depends: libsasl2-2 (>= 2.1.25.dfsg1-6+deb7u1), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libdb5.1, libgssapi-krb5-2 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.7dfsg), libldap-2.4-2 (>= 2.4.7), libpam0g (>= 0.99.7.1), libssl1.0.0 (>= 1.0.0), debconf (>= 0.5) | debconf-2.0, lsb-base (>= 3.0-6), db-util, debconf (>= 1.4.69) | cdebconf (>= 0.39)
Homepage: http://www.cyrusimap.org/
Priority: optional
Section: utils
Filename: pool/main/c/cyrus-sasl2/sasl2-bin_2.1.25.dfsg1-6+deb7u1_armhf.deb
Size: 174032
SHA256: 8c94f334a85e309e0385ee1f7db9ca08a30245127be7ad44512b0bb4fb26a9d2
SHA1: 3b498ef254b8a4cb68e5be9fbc1c4a52d08d7999
MD5sum: 0d66cc2736761eec3b22450d89b8ec7d
Description: Cyrus SASL - administration programs for SASL users database
 This is the Cyrus SASL API implementation, version 2.1. See package
 libsasl2-2 and RFC 2222 for more information.
 .
 This package contains administration programs for the SASL users
 database and common binary files for plugin modules.

Package: sass-elisp
Version: 3.0.15-2
Installed-Size: 81
Maintainer: Gastón Ramos 
Architecture: all
Depends: emacs23, haml-elisp (>= 1:3.0.15-4)
Recommends: ruby-sass
Size: 6704
SHA256: fbe852a2f97be6a72306baf11785b095b10d3661901e74e59bcc0579fae7df8c
SHA1: 7dde811c2b3a8c32e67339334d7a62ac817a2b2d
MD5sum: 12e0ec59d937296266566b37eb218241
Description: Emacs Lisp mode for the Sass markup language
 This package provides the emacs-lisp for syntax-highlighting Sass
 mode for emacs23.
Homepage: http://sass-lang.com/
Section: lisp
Priority: optional
Filename: pool/main/s/sass-elisp/sass-elisp_3.0.15-2_all.deb

Package: sat4j
Version: 2.3.1-1
Installed-Size: 1143
Maintainer: Michael Tautschnig 
Architecture: all
Depends: default-jre | java2-runtime | java5-runtime | java6-runtime, jarwrapper (>= 0.5), libcommons-cli-java
Breaks: eclipse-platform (<= 3.5.2-5)
Size: 997618
SHA256: 138d58f257e62d05046d59388e4dc438f05b5509571003dc5acca323ab1ccab8
SHA1: 4460a18772fd54ceb01be3df13a9d6678454cee2
MD5sum: 402ac5ce0271b698ce925cf5b6bec280
Description: Efficient library of SAT solvers in Java
 The aim of the SAT4J library is to provide an efficient library of SAT solvers
 in Java. Compared to the OpenSAT project, the SAT4J library targets first
 users of SAT "black boxes", willing to embed  SAT technologies into their
 application without worrying about the details. The SAT4J project also tries
 to provide a basis of work for SAT researchers.
Homepage: http://www.sat4j.org
Tag: field::mathematics, implemented-in::java, role::program,
 role::shared-lib
Section: science
Priority: optional
Filename: pool/main/s/sat4j/sat4j_2.3.1-1_all.deb

Package: sauce
Version: 0.9.0+nmu2
Installed-Size: 444
Maintainer: Ian Jackson 
Architecture: all
Depends: tcl8.4, authbind, adns-tools, libtcl-chiark-1
Recommends: exim4 | exim4-daemon-light | exim (>= 2.02-3) | exim-tls (>= 2.02-3), userv
Size: 76926
SHA256: 9ab0f9a297dcfd89d252bc2d3ec754e55914012e2c29f1f102e32bd28e24b008
SHA1: 6a20e859838b5fe8c95ec4600a1d41b1d8bfa645
MD5sum: e132948c9b1735446e372608484aa4e6
Description: SMTP defence software against spam
 SAUCE (Software Against Unsolicited Commercial Email) sits between
 the Internet and your existing Mail Transfer Agent (e.g. Exim).  It
 does a number of checks on incoming mail, including being able to
 blacklist senders and their sites automatically when they mail
 special `spam bait' addresses.
 .
 This is a BETA version and is best used by mail experts only.
Tag: mail::filters, mail::smtp, protocol::smtp, works-with::mail
Section: mail
Priority: extra
Filename: pool/main/s/sauce/sauce_0.9.0+nmu2_all.deb

Package: savi
Version: 1.4.5-4
Architecture: armhf
Maintainer: YunQiang Su 
Installed-Size: 1937
Depends: libc6 (>= 2.13-28), tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), zlib1g (>= 1:1.1.4)
Suggests: geomview
Homepage: http://savi.sourceforge.net/
Priority: optional
Section: science
Filename: pool/main/s/savi/savi_1.4.5-4_armhf.deb
Size: 802870
SHA256: 7a37714edc31979f7c75c479ba04caebce5d5683513ff0f9a34a6f1d829098b8
SHA1: 9d9bfb2a17b24fcd0e45fe833ea4b6b7792d641a
MD5sum: d62e7fef024ac528d575a3792414ceb7
Description: satellite constellation visualisation
 SaVi allows you to simulate satellite orbits and coverage,
 in two and three dimensions. SaVi is particularly useful for
 simulating satellite constellations such as Iridium and Globalstar.
 .
 SaVi can use Geomview, an optional but useful package,
 for 3D rendering.

Package: sawfish
Version: 1:1.5.3-2.1
Architecture: armhf
Maintainer: Luis Rodrigo Gallardo Cruz 
Installed-Size: 935
Depends: libatk1.0-0 (>= 1.12.4), libaudiofile1 (>= 0.3.3), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libesd0 (>= 0.2.35), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgmp10, libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), librep9 (>= 0.90.0), libsm6, libx11-6, libxext6, libxft2 (>> 2.1.1), libxinerama1, libxrandr2, libxrender1, rep, rep-gtk (>= 0.90.0), gnome-terminal | x-terminal-emulator, sawfish-data (= 1:1.5.3-2.1)
Suggests: menu, gnome-control-center, yelp
Conflicts: menu (<< 2.1.14), sawfish-themer, sawfish2
Replaces: sawfish-lisp-source (<= 0.38-6), sawfish2
Provides: x-window-manager
Homepage: http://sawfish.wikia.com/
Priority: optional
Section: x11
Filename: pool/main/s/sawfish/sawfish_1.5.3-2.1_armhf.deb
Size: 294922
SHA256: cbf3e3e45af47b47613ae20bf33fcdfd6727982e0521a9260a699292843474ce
SHA1: 3970c992febf8ae56ed1926b041034408687876b
MD5sum: fc4f1af39a8da99588f39077b6f00a05
Description: a window manager for X11
 Sawfish is an extensible window manager using an Emacs Lisp-like scripting
 language. All window decorations are configurable, the basic idea is to
 have as much user-interface policy as possible controlled through the Lisp
 language.

Package: sawfish-data
Source: sawfish
Version: 1:1.5.3-2.1
Installed-Size: 8896
Maintainer: Luis Rodrigo Gallardo Cruz 
Architecture: all
Replaces: sawfish (<< 1:1.5.0-1)
Depends: dpkg (>= 1.15.4) | install-info
Conflicts: sawfish (<= 1:1.3+cvs20060518-2)
Size: 3862670
SHA256: 333d35015d3b3a9d849396f0723e28d9a3e7d0fda8faaaedd4ed32767c475217
SHA1: 55b52f3808dff4a518793d2cc72188239444ec26
MD5sum: ed1f1c85e349823a4935faa18c3ccc83
Description: sawfish architecture independent data
 This package contains the architecture independent lisp compiled files
 and other data, such as theme pixmaps. It is unlikely to be of any
 use without the sawfish window manager.
 .
 The lisp source files are in the sawfish-lisp-source package.
Homepage: http://sawfish.wikia.com/
Tag: implemented-in::lisp, role::app-data
Section: x11
Priority: optional
Filename: pool/main/s/sawfish/sawfish-data_1.5.3-2.1_all.deb

Package: sawfish-dbg
Source: sawfish
Version: 1:1.5.3-2.1
Architecture: armhf
Maintainer: Luis Rodrigo Gallardo Cruz 
Installed-Size: 645
Depends: sawfish (= 1:1.5.3-2.1), sawfish-data (= 1:1.5.3-2.1)
Recommends: sawfish-lisp-source
Homepage: http://sawfish.wikia.com/
Priority: extra
Section: debug
Filename: pool/main/s/sawfish/sawfish-dbg_1.5.3-2.1_armhf.deb
Size: 228494
SHA256: 897aa9dbec9c18cb9bdd789cc07a59840e96c873fa643c5da76fa7f51b67934a
SHA1: 9791953d6debece20de753a28263b7a2886a3827
MD5sum: 5117be015ec4fb1f915d4ccddc17cc00
Description: sawfish debugging symbols
 This package contains the debugging symbols from the sawfish window manager.
 It is not needed for normal operation of the package.
 .
 Install it if you need to debug problems in sawfish. You will also almost
 certainly need sawfish-lisp-source in that case.

Package: sawfish-lisp-source
Source: sawfish
Version: 1:1.5.3-2.1
Installed-Size: 1156
Maintainer: Luis Rodrigo Gallardo Cruz 
Architecture: all
Depends: sawfish-data (= 1:1.5.3-2.1)
Recommends: sawfish
Size: 184488
SHA256: ab3ce1d754d512db4d898095373508afb6bfee44fc0bb6d74395f57fa97838d7
SHA1: 5d7cfaa694e09620176b8a893bba8ac37e68193d
MD5sum: 9b6d1e8ab5113ccc43b9b9304822dfa5
Description: sawfish lisp files
 This package contains the lisp source files in case you want to modify,
 study or debug the behaviour of the window manager.
 .
 It is not required for normal use of sawfish and not installing it will save
 space in small systems.
Homepage: http://sawfish.wikia.com/
Tag: implemented-in::lisp, interface::x11, role::source, uitoolkit::gtk,
 x11::window-manager
Section: lisp
Priority: optional
Filename: pool/main/s/sawfish/sawfish-lisp-source_1.5.3-2.1_all.deb

Package: sawfish-merlin-ugliness
Version: 1.3.1-1
Installed-Size: 128
Maintainer: Christian Marillat 
Architecture: all
Depends: sawfish
Conflicts: sawfish (<= 0.37.2-1), sawfish-gnome (<= 0.37.2-1)
Size: 13580
SHA256: 97e15ee57687382c5394a7e4baa68c467d781a502214fb6cdf15715f7d71c1e7
SHA1: 8e1d9ff283d21892f39b5266e44b3a100c1c7503
MD5sum: eea6fbb97cdd9c878d476b79b6b2b5ac
Description: More flexible functions for sawfish
 Gives flexibility over the appearance of the popup window when you
 move/resize/cycle windows. Includes the option of displaying a list of all
 windows when m-tab cycling.
Tag: implemented-in::lisp, interface::x11, role::plugin, uitoolkit::gtk,
 x11::window-manager
Section: x11
Priority: extra
Filename: pool/main/s/sawfish-merlin-ugliness/sawfish-merlin-ugliness_1.3.1-1_all.deb

Package: sawfish-themes
Version: 0.13
Installed-Size: 1336
Maintainer: Devin Carraway 
Architecture: all
Depends: sawfish (>= 1:1.1a)
Recommends: xfonts-base, xfonts-75dpi | xfonts-100dpi
Size: 616582
SHA256: 94b8db61addde3bfff58bd06e12d9524ea61e8990785080de6b0097dcd054251
SHA1: ff5c5afd34f5b32bc3bdf847f9d9604df3245624
MD5sum: 53abb69f8e3b489917f47ce10da03952
Description: Themes for the Sawfish window manager
 This package contains contributed themes for Sawfish; they can be used
 to alter the appearance and some behavioral aspects of your Sawfish
 windows.
 .
 After installation, sawfish themes may be selected from the "Appearance"
 section of the Sawfish configurator, or from the "Frame Style" submenu
 of any particular window menu.
Tag: made-of::icons, role::app-data, x11::theme
Section: x11
Priority: extra
Filename: pool/main/s/sawfish-themes/sawfish-themes_0.13_all.deb

Package: saytime
Version: 1.0-24
Architecture: armhf
Maintainer: Holger Levsen 
Installed-Size: 247
Depends: libc6 (>= 2.4), sox (>= 12.17.9), libsox-fmt-oss | libsox-fmt-alsa, oss-compat
Priority: optional
Section: sound
Filename: pool/main/s/saytime/saytime_1.0-24_armhf.deb
Size: 174066
SHA256: 54fab34b4b0de7c62f8b1ce59055fbbc809f7f582d6bff7e53749b4d7ada45b7
SHA1: b4628d534611d50c15aa95b5c5d98d5fb5d8329c
MD5sum: f721df6313df48b50209b4a4fc25f0b7
Description: speaks the current time through your sound card
 Say the current time through your sound card.  Requires you have a
 sound output device available.

Package: sbcl-doc
Source: sbcl
Version: 2:1.0.57.0-2
Installed-Size: 1970
Maintainer: Debian Common Lisp Team 
Architecture: all
Replaces: sbcl (<< 1:0.8.20.5-3), sbcl-common (<= 1:0.9.13.0-2)
Depends: dpkg (>= 1.15.4) | install-info
Breaks: sbcl-common (<= 1:0.9.13.0-2)
Size: 1326046
SHA256: 9934b2194e75fc066e3d26480a4bdb57327ba1676dc931e2bbef8955bf3205c5
SHA1: 948f141ae8617c27ae1f0fc875cf31ce5462bd69
MD5sum: 944aaeacd029913d0b1a193261f8aabb
Description: Documentation for Steel Bank Common Lisp
 This package provides documentation for SBCL -- a development
 environment for the ANSI Common Lisp language.
 .
 The documents in this package cover SBCL-specific extensions to the
 language, explain how to use this Common Lisp implementation and how
 it differs from the ANSI standard.
 .
 The manual about SBCL's internals is also included in this package
 and may be of interest for people who intent to work with SBCL's
 source code.
Homepage: http://www.sbcl.org
Tag: devel::doc, devel::lang:lisp, made-of::html, made-of::pdf,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/sbcl/sbcl-doc_1.0.57.0-2_all.deb

Package: sbcl-source
Source: sbcl
Version: 2:1.0.57.0-2
Installed-Size: 15749
Maintainer: Debian Common Lisp Team 
Architecture: all
Replaces: sbcl (<< 1:0.8.20.5-3), sbcl-common (<= 1:0.9.13.0-2)
Breaks: sbcl-common (<= 1:0.9.13.0-2)
Size: 3650730
SHA256: 993191bc51bde01f02617d5bf1a6bd8cdd92183126a4f093b769c8bab4acce68
SHA1: fc2fba8d5c789bdadedb9d5aa9aa45dc59a4b6f0
MD5sum: 4e3551b3ef76069756bd9ac94ffef551
Description: Source code files for SBCL
 This package provides the source code for SBCL -- a development
 environment for the ANSI Common Lisp language.
 .
 The source code is useful in conjunction with development
 environments and debuggers like SLIME, that allow macro expansion and
 lookup of source definitions.
 .
 Installing this package enables your source browser to dig deeply
 into the core of Steel Bank Common Lisp.
Homepage: http://www.sbcl.org
Tag: role::source
Section: lisp
Priority: optional
Filename: pool/main/s/sbcl/sbcl-source_1.0.57.0-2_all.deb

Package: sbnc
Version: 1.2-26
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 603
Depends: libc-ares2 (>= 1.7.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libltdl7 (>= 2.4.2), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), debconf (>= 0.5) | debconf-2.0, adduser, pwgen
Recommends: sbnc-tcl, oidentd
Suggests: sbnc-mysql
Homepage: http://www.shroudbnc.info/
Priority: optional
Section: net
Filename: pool/main/s/sbnc/sbnc_1.2-26_armhf.deb
Size: 211858
SHA256: 46ee267c20f5c96268dae724e015dca81994c7295834e581d1095fb4254f8d53
SHA1: a49c60a28ee7112352174a27cf2cad73aaeeb325
MD5sum: 5c65d4a617c9d814596954c4b3ecc418
Description: IRC proxy for multiple users
 shroudBNC (short: sbnc) is an modular IRC proxy for multiple
 users. Its main features are SSL support for both IRC and client
 connections, client certificates with passwordless authentication,
 IPv6 support, asynchronous dns resolving, built-in flood protection
 and module support.
 shroudBNC comes with many useful Tcl scripts, but you are able to
 write your own, too.

Package: sbnc-dbg
Source: sbnc
Version: 1.2-26
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 6323
Depends: sbnc (= 1.2-26), sbnc-tcl (= 1.2-26), sbnc-mysql (= 1.2-26)
Homepage: http://www.shroudbnc.info/
Priority: extra
Section: debug
Filename: pool/main/s/sbnc/sbnc-dbg_1.2-26_armhf.deb
Size: 2085524
SHA256: 0ebc5969075a6688b9df57841008f89366c82d818d3ea5ba2fe25666dfc367e0
SHA1: 9ef7699fb8541f73a4a8acf4184d4d9287d62838
MD5sum: 6e7174a7d90c1c2fda4e7d8af04787a9
Description: IRC proxy for multiple users (debugging symbols)
 shroudBNC (short: sbnc) is an modular IRC proxy for multiple
 users. Its main features are SSL support for both IRC and client
 connections, client certificates with passwordless authentication,
 IPv6 support, asynchronous dns resolving, built-in flood protection
 and module support.
 shroudBNC comes with many useful Tcl scripts, but you are able to
 write your own, too.
 .
 This package contains the debugging symbols for sbnc, sbnc-tcl and
 sbnc-mysql.

Package: sbnc-mysql
Source: sbnc
Version: 1.2-26
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 161
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libmysqlclient18 (>= 5.5.24+dfsg-1), libstdc++6 (>= 4.4.0)
Recommends: sbnc
Suggests: mysql-server
Homepage: http://www.shroudbnc.info/
Priority: optional
Section: net
Filename: pool/main/s/sbnc/sbnc-mysql_1.2-26_armhf.deb
Size: 40088
SHA256: 2c880975ef83d56c81ab15fab80a4135929225a3d31cfffaa2d665a625f824ec
SHA1: 393e86d852f7a39d08efcdad4372d1c6b6f88993
MD5sum: 497e010f474ae3d46a44213b46e0f2a1
Description: IRC proxy for multiple users (MySQL extension)
 shroudBNC (short: sbnc) is an modular IRC proxy for multiple
 users. Its main features are SSL support for both IRC and client
 connections, client certificates with passwordless authentication,
 IPv6 support, asynchronous dns resolving, built-in flood protection
 and module support.
 shroudBNC comes with many useful Tcl scripts, but you are able to
 write your own, too.
 .
 This package contains the MySQL extension.

Package: sbnc-php-dev
Source: sbnc
Version: 1.2-26
Installed-Size: 62
Maintainer: Debian QA Group 
Architecture: all
Depends: php5
Recommends: sbnc-tcl
Size: 14146
SHA256: bee273997bcf164b2ee60422b0e2149131f194f612a4c2834e81eb2c23eaf855
SHA1: e1a06f212e28165de93be52b45027770f3466132
MD5sum: 8f60188288a919cb257c2067a6be8d2b
Description: IRC proxy for multiple users (PHP classes)
 shroudBNC (short: sbnc) is an modular IRC proxy for multiple
 users. Its main features are SSL support for both IRC and client
 connections, client certificates with passwordless authentication,
 IPv6 support, asynchronous dns resolving, built-in flood protection
 and module support.
 shroudBNC comes with many useful Tcl scripts, but you are able to
 write your own, too.
 .
 This package contains the PHP classes to develope webinterfaces.
Homepage: http://www.shroudbnc.info/
Section: net
Priority: optional
Filename: pool/main/s/sbnc/sbnc-php-dev_1.2-26_all.deb

Package: sbnc-tcl
Source: sbnc
Version: 1.2-26
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 460
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.3.0), sbnc, tcl8.5 (>= 8.5.0)
Homepage: http://www.shroudbnc.info/
Priority: optional
Section: net
Filename: pool/main/s/sbnc/sbnc-tcl_1.2-26_armhf.deb
Size: 120638
SHA256: 48d4ebd01136c5903cdbec4818e9858a392b9dbccc21ccae9407d3e3a3554d5d
SHA1: f3070d0c9502896291ec52a96c433c3737022c68
MD5sum: babc614f51863ec0030755497cd24599
Description: IRC proxy for multiple users (Tcl extension)
 shroudBNC (short: sbnc) is an modular IRC proxy for multiple
 users. Its main features are SSL support for both IRC and client
 connections, client certificates with passwordless authentication,
 IPv6 support, asynchronous dns resolving, built-in flood protection
 and module support.
 shroudBNC comes with many useful Tcl scripts, but you are able to
 write your own, too.
 .
 This package contains the Tcl extension with many useful scripts.

Package: sbox-dtc
Version: 1.11.6-1
Architecture: armhf
Maintainer: Thomas Goirand 
Installed-Size: 671
Depends: debconf (>= 0.5) | debconf-2.0, debconf, passwd, libc6 (>= 2.4), libdotconf1.0
Recommends: apache2 | httpd-cgi
Homepage: http://www.gplhost.com/software-sbox.html
Priority: extra
Section: web
Filename: pool/main/s/sbox-dtc/sbox-dtc_1.11.6-1_armhf.deb
Size: 289300
SHA256: 547aef033602ab9285feea2ab299fcf1dab46ef32aac26fe60f5e78ad9d20069
SHA1: 171354f233d6a95acc24ceb1781658decd215a27
MD5sum: b0102b7d388b7b0fb784618154764268
Description: CGI chroot wrapper script for safer hosting environment
 Sbox is a CGI wrapper script that helps Web site hosting services to safely
 grant CGI authoring privileges to untrusted clients. In addition to changing
 the process privileges of client scripts to match their owners, it goes beyond
 other wrappers by placing configurable ceilings on script resource usage,
 avoiding unintentional (as well as intentional) denial of service attacks. It
 also optionally let the Webmaster to place client's CGI scripts in a chroot'ed
 shell restricted to the author's home directories.
 .
 Thanks to a cleaver per-vhost configuration, SBOX is also capable of being
 highly customizable on a per web site level. SBOX also runs Ruby, Perl, Python
 and PHP script using their respective interpreter, with a configurable path
 for each of them.

Package: sbrsh
Version: 7.6.1
Architecture: armhf
Maintainer: Riku Voipio 
Installed-Size: 62
Depends: libc6 (>= 2.7)
Priority: extra
Section: net
Filename: pool/main/s/sbrsh/sbrsh_7.6.1_armhf.deb
Size: 19728
SHA256: 9d01a06a7a8c5fefca5ed7509377379b5c1d5b69c4960a328e8644c278ce96c1
SHA1: 8b36be6bc6173c479e335701a73187b6425cc1a3
MD5sum: 48d8a07208e4972a34db4d18244b9806
Description: Scratchbox Remote Shell client
 sbrsh requests a sbrshd host to mount a nfs partition, and executes a
 binary on it.  This used to provide cpu transparency for cross-compiling
 with scratchbox.
 .
 http://scratchbox.org/

Package: sbrshd
Source: sbrsh
Version: 7.6.1
Architecture: armhf
Maintainer: Riku Voipio 
Installed-Size: 98
Depends: libc6 (>= 2.4)
Priority: extra
Section: net
Filename: pool/main/s/sbrsh/sbrshd_7.6.1_armhf.deb
Size: 33080
SHA256: f0d00374e080e6731cdb50bd39fb1e45b914680bb86cd6738ae6948714c1247f
SHA1: 88108db156cf44d6eb369913e0ef312dd2095af1
MD5sum: 774d8107a3e72bdae4ab51764b47f262
Description: Scratchbox Remote Shell daemon
 sbrshd mounts nfs partition from the host and executes a binary on it.
 This used to provide cpu transparency for cross-compiling with
 scratchbox.
 .
  http://scratchbox.org/

Package: sbuild
Version: 0.63.2-1.1
Installed-Size: 456
Maintainer: Debian buildd-tools Developers 
Architecture: all
Depends: perl, perl-modules, libsbuild-perl (= 0.63.2-1.1), adduser, apt-utils
Recommends: fakeroot, debootstrap
Suggests: wget, deborphan
Size: 304894
SHA256: de2c3e8f0b21639026cbe0ef1c3b133da7640cfe7918887e08ea48bc4418aac5
SHA1: 82c715cbe15861f616258de4812141292929372c
MD5sum: 4726a4b21529f98165d41416278459bf
Description: Tool for building Debian binary packages from Debian sources
 The sbuild suite of programs (buildd and sbuild) are used to build
 binary packages from source packages.  sbuild does the actual package
 building.
 .
 sbuild uses chroots to build packages, which act as virtual, minimal
 operating system installations dedicated to package building.  This
 means that a number of environments may be used for building
 simultaneously on the same machines, for example stable, testing,
 unstable and experimental.  When coupled with schroot to create
 snapshots of chroots, sbuild may be used to build many packages in
 parallel.  A chroot envionment allows packages to be built in a
 controlled, clean environment.  sbuild installs only essential and
 build-essential packages, plus those in the package build
 dependencies.
Tag: devel::buildtools, devel::packaging, interface::commandline,
 role::program, scope::utility, suite::debian,
 works-with::software:package, works-with::software:source
Section: devel
Priority: extra
Filename: pool/main/s/sbuild/sbuild_0.63.2-1.1_all.deb

Package: sc
Version: 7.16-3
Architecture: armhf
Maintainer: Adam Majer 
Installed-Size: 396
Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5
Priority: optional
Section: math
Filename: pool/main/s/sc/sc_7.16-3_armhf.deb
Size: 222420
SHA256: c76c6e54f7305d96222a601d29aac5ee4210e3a354352708cc7a2beae3fe13d2
SHA1: 0acd85ed8fa6e707c2274306acf22b584471df39
MD5sum: 3c2f0b88b05c749e4968baf016560b60
Description: Text-based spreadsheet with VI-like keybindings
 "Spreadsheet Calculator" is a much modified version of the public-
 domain spread sheet sc, which was posted to Usenet several years ago
 by Mark Weiser as vc, originally by James Gosling. It is based on
 rectangular table much like a financial spreadsheet.
 .
 Its keybindings are familiar to users of 'vi', and it has most
 features that a pure spreadsheet would, but lacks things like
 graphing and saving in foreign formats.  It's very stable and quite
 easy to use once you've put a little effort into learning it.

Package: scala
Version: 2.9.2+dfsg-1
Installed-Size: 12949
Maintainer: Debian Scala Maintainers 
Architecture: all
Depends: scala-library (= 2.9.2+dfsg-1), openjdk-6-jre | java6-runtime, libjansi-java
Suggests: scala-doc (>= 2.9.2+dfsg-1)
Size: 11649980
SHA256: e12bc6ef1f9e2dec770f3183749c4f02a55035ee22560088811e06585637c478
SHA1: 5ecd867a7c47eec1fd5c7cd507cf17f9a80d8631
MD5sum: e39f3407645e6640d1754f0dc66972b4
Description: Scala programming language
 Scala is a Java-compatible programming language with many
 modern language features.  It is Java-compatible in that
 Scala and Java classes can directly reference each other and
 subclass each other with no glue code needed.  It
 includes modern language features such as closures,
 pattern-matching, parametric types, and virtual type members.
 .
 This package includes the various tools used to develop
 code written in Scala.  It includes a compiler (scalac),
 an interpreter and script runner (scala), the offline
 compiler (fsc), and source-scanning documentation
 generator (scaladoc).
Homepage: http://www.scala-lang.org/
Tag: devel::compiler, implemented-in::java
Section: devel
Priority: optional
Filename: pool/main/s/scala/scala_2.9.2+dfsg-1_all.deb

Package: scala-doc
Source: scala
Version: 2.9.2+dfsg-1
Installed-Size: 211579
Maintainer: Debian Scala Maintainers 
Architecture: all
Size: 19169426
SHA256: d7486ace259a04ead3cae673394b03bdfceb846fdc5e2da249080893daf3fe02
SHA1: 894e2b59771c492e276e095eeedc4432b62613d0
MD5sum: 6765889c38c215758b753272c0cc4b96
Description: Scala API documentation
 This is the API documentation for Scala.
Homepage: http://www.scala-lang.org/
Tag: made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/scala/scala-doc_2.9.2+dfsg-1_all.deb

Package: scala-library
Source: scala
Version: 2.9.2+dfsg-1
Installed-Size: 11109
Maintainer: Debian Scala Maintainers 
Architecture: all
Depends: openjdk-6-jre | java6-runtime
Size: 10274188
SHA256: 3352cac901ed04975713938ecc7f2002a15f9a7e2232d3f250f9a40e9f255365
SHA1: 17be881a6491b8c1ab55efbd4ea6e9d69d442db8
MD5sum: ab3a5d5ddcd5377be57aa9997575888e
Description: Scala standard library
 This run-time library is needed to run Scala programs. It also
 provides scalacheck and scala-partest.
Homepage: http://www.scala-lang.org/
Tag: devel::TODO, devel::library, devel::runtime, implemented-in::java,
 role::devel-lib, role::shared-lib
Section: devel
Priority: optional
Filename: pool/main/s/scala/scala-library_2.9.2+dfsg-1_all.deb

Package: scala-mode-el
Version: 20111005-2
Installed-Size: 165
Maintainer: Mike O'Connor 
Architecture: all
Depends: emacs23 | emacsen
Size: 21618
SHA256: ea96e08e48cc7d06cc06fa1c62c6a98d11e768d49bcb427ca21e0bfd1a96c9d7
SHA1: a49dfaac703b3047fbeb2e6119386d5f9b6d6fb3
MD5sum: 50b69df82f3df8609ea5d02d9c963902
Description: Emacs major mode for editing scala source code
 scala-mode provides syntax highlighting and indentation for scala
 source code inside emacs.  Included is the ability to interact with a
 scala interpreter inside emacs and to send expressions from scala
 source files to the running interpreter.
Homepage: http://www.scala-lang.org
Section: editors
Priority: extra
Filename: pool/main/s/scala-mode-el/scala-mode-el_20111005-2_all.deb

Package: scalable-cyrfonts-tex
Source: scalable-cyrfonts
Version: 4.16
Installed-Size: 13981
Maintainer: Anton Zinoviev 
Architecture: all
Depends: dpkg (>= 1.14.18), tex-common (>= 3)
Suggests: t1-cyrillic, t1-teams, t1-oldslavic
Size: 8421568
SHA256: dd330ad89641006d340d0074cbb208b4f719935fb93c5df62a0949123687cc45
SHA1: 90ec3040b7e4009b8db0dfeb02198273925b9248
MD5sum: 0fa4d040d8b1395d485a6ee19c137fdb
Description: Scalable Cyrillic fonts for TeX
 This package installs all needed TeX font metcic files, virtual
 fonts, font definitions and some style packages in order to provide
 TeX with the following font families: Free Times, Free Helvetian,
 Free Helvetian Condensed, Free Courier, Free Avant Garde, Free
 Paladin, Free Schoolbook, Free Bookman, Free Chancery, Teams and
 OldSlavic.
 .
 If you want to have these font families available to X11 and
 Defoma-aware applications (Ghostscript, Grace, SciGraphica) then
 please install the packages t1-cyrillic, t1-teams and t1-oldslavic.
 .
 In order to make this package functional please read the file
 /usr/share/doc/scalable-cyrfonts-tex/README.Debian.
Tag: made-of::font, role::app-data, works-with-format::tex, works-with::text
Section: tex
Priority: optional
Filename: pool/main/s/scalable-cyrfonts/scalable-cyrfonts-tex_4.16_all.deb

Package: scalapack-doc
Version: 1.5-10
Installed-Size: 7928
Maintainer: Muammar El Khatib 
Architecture: all
Size: 2702356
SHA256: 1a1365afb3f77b497af9bb254266428e45b5d263e4ba7d57e32653154142601e
SHA1: 337796f6c1de983a45d6c965d1d440dbc7da84f3
MD5sum: db5815c22be9ef9e623a4984f60b7843
Description: Scalable Linear Algebra Package Documentation
 ScaLAPACK is the parallel version of LAPACK. It depends on PVM or MPI.
 .
 This package provides the man pages for the routines in the
 ScaLAPACK library (see package scalapack1-pvm, scalapack1-mpich or
 scalapack1-lam) and a quick reference for PBLAS and ScaLAPACK. PBLAS
 is the library for Parallel Basic Linear Algebra Subprograms included in
 ScaLAPACK.
 .
 Also included: ScaLAPACK Users' Guide (SLUG) and the FAQ on ScaLAPACK.
Tag: admin::cluster, field::mathematics, made-of::html, made-of::man,
 made-of::postscript, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/scalapack-doc/scalapack-doc_1.5-10_all.deb

Package: scalapack-mpi-test
Source: scalapack
Version: 1.8.0-9
Architecture: armhf
Maintainer: Muammar El Khatib 
Installed-Size: 8264
Depends: scalapack-test-common, libblacs-mpi1, libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libopenmpi1.3, libscalapack-mpi1 (>= 1.8.0)
Suggests: scalapack-doc
Conflicts: scalapack-lam-test, scalapack-mpich-test
Replaces: scalapack-lam-test, scalapack-mpich-test
Homepage: http://www.netlib.org/scalapack/
Priority: extra
Section: math
Filename: pool/main/s/scalapack/scalapack-mpi-test_1.8.0-9_armhf.deb
Size: 4028704
SHA256: dd812376ad8830307200ba291ecfa9b21e9f91f3f320110c9324fec6496baa89
SHA1: d547ce1d1f301ff8d817f030e3b2b626ee29435f
MD5sum: 2db654aef6fffa04240db386190463e9
Description: Scalable Linear Algebra Package - Test files for MPICH
 ScaLAPACK is the parallel version of LAPACK and is used on Beowulf type
 clusters.
 .
 You can choose between an implementation based on MPI or PVM. This
 package uses MPI.
 .
 The package is available for LAM and MPICH. This package uses MPICH. There
 are packages for the shared libraries, for the static libraries and
 the development files and for test programs (this one).
 .
 Also included: PBLAS, Parallel Basic Linear Algebra Subprograms.

Package: scalapack-pvm-test
Source: scalapack
Version: 1.8.0-9
Architecture: armhf
Maintainer: Muammar El Khatib 
Installed-Size: 8254
Depends: scalapack-test-common, blacs1-pvm (>= 1.1), libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libpvm3, libscalapack-pvm1 (>= 1.8.0)
Suggests: scalapack-doc
Homepage: http://www.netlib.org/scalapack/
Priority: extra
Section: math
Filename: pool/main/s/scalapack/scalapack-pvm-test_1.8.0-9_armhf.deb
Size: 4028402
SHA256: cd2761a78c8496744cf75a4d776afcc44346a3e8117e36dd942304b1b885368e
SHA1: 04ea2988850c01a8574a9f2d338ce48bdc780990
MD5sum: 8565c33811e84093c8ca2a23514b684c
Description: Scalable Linear Algebra Package - Test files for PVM
 ScaLAPACK is the parallel version of LAPACK and is used on Beowulf type
 clusters.
 .
 You can choose between an implementation based on MPI or PVM. This
 package uses PVM.
 .
 There are packages for the shared libraries, for the static libraries and
 the development files and for test programs (this one).
 .
 Also included: PBLAS, Parallel Basic Linear Algebra Subprograms.

Package: scalapack-test-common
Source: scalapack
Version: 1.8.0-9
Installed-Size: 122
Maintainer: Muammar El Khatib 
Architecture: all
Suggests: scalapack-lam-test | scalapack-mpich-test | scalapack-pvm-test
Size: 18662
SHA256: f2dd8f9a33063255ba70f12f10736b7257c4fa3f2ba6fb363aea179d7be5987d
SHA1: fab19c1bbb6648cedc992259e9a5672f0b275147
MD5sum: 670540cfacc7a58d9033c58951d1f771
Description: Test data for ScaLAPACK testers
 ScaLAPACK is the parallel version of LAPACK and is used on Beowulf type
 clusters.
 .
 You can choose between an implementation based on MPI or PVM. This package
 provides test data for all ScaLAPACK packages (MPICH, LAM and PVM versions).
Homepage: http://www.netlib.org/scalapack/
Tag: admin::cluster, devel::testing-qa, field::mathematics, role::app-data
Section: devel
Priority: extra
Filename: pool/main/s/scalapack/scalapack-test-common_1.8.0-9_all.deb

Package: scalpel
Version: 1.60-1
Architecture: armhf
Maintainer: Danny van der Meeren 
Installed-Size: 104
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0)
Priority: optional
Section: utils
Filename: pool/main/s/scalpel/scalpel_1.60-1_armhf.deb
Size: 34944
SHA256: 517272fd0ff3b1f98c2013462865f17029e7e3f538f08fb52485a7eb5929bee6
SHA1: f0ef5a251e250d2a7d5dd822c07055f409547ff9
MD5sum: 583207e43b7ba8dae4132ef509a3ca2d
Description: A Frugal, High Performance File Carver
 A fast file carver that reads a database of header and footer definitions
 and extracts matching files from a set of image files or raw device files.
 Scalpel is filesystem-independent and will carve files from FATx, NTFS, ext2/3,
 or raw partitions. It is useful for both digital forensics investigation and
 file recovery.

Package: scamper
Version: 20111202b-1
Architecture: armhf
Maintainer: Matt Brown 
Installed-Size: 591
Depends: libc6 (>= 2.4), libscamperfile0
Homepage: http://www.wand.net.nz/scamper/
Priority: extra
Section: admin
Filename: pool/main/s/scamper/scamper_20111202b-1_armhf.deb
Size: 284164
SHA256: 900384b3ac89811f4c36c7a55b67295eb5926a62c22173d06d3eeac5b7153ac4
SHA1: 10371a6914b32079b36cc9d084def45f5e885c5d
MD5sum: 3cddd5ef310e2a1191fb2f81f2ad79e7
Description: parallel Internet measurement utility
 scamper is a program that is able to conduct Internet measurement
 tasks to large numbers of IPv4 and IPv6 addresses, in parallel, to
 fill a specified packets-per-second rate. Currently, it supports the
 well-known ping and traceroute techniques, as well as MDA traceroute,
 alias resolution, some parts of tbit, sting, and neighbour discovery.
 .
 scamper can do ICMP-based Path MTU discovery. scamper starts with the
 outgoing interface's MTU and discovers the location of Path MTU
 bottlenecks. scamper performs a PMTUD search when an ICMP
 fragmentation required message is not returned to establish the PMTU
 to the next point in the network, followed by a TTL limited search to
 infer where the failure appears to occur.

Package: scanbuttond
Version: 0.2.3.cvs20090713-8
Architecture: armhf
Maintainer: Milan Zamazal 
Installed-Size: 170
Depends: libc6 (>= 2.4), libusb-0.1-4 (>= 2:0.1.12), libsane
Recommends: sane-utils
Priority: extra
Section: misc
Filename: pool/main/s/scanbuttond/scanbuttond_0.2.3.cvs20090713-8_armhf.deb
Size: 42768
SHA256: bcf611d398c47d173cf4449956ec9f11bbc5c25aef1db09236125fdc992fe891
SHA1: 62222fda0c1ed01961072d8904b9a9336787e195
MD5sum: 8b7032f6bacdd4085455ffa7d038590d
Description: Scanner button daemon
 It allows you to invoke actions such as shell scripts whenever one of
 the scanner front panel buttons is pressed.

Package: scanlogd
Version: 2.2.5-3.1
Architecture: armhf
Maintainer: Michael Vogt 
Installed-Size: 79
Depends: libc6 (>= 2.13-28), adduser
Homepage: http://www.openwall.com/scanlogd/
Priority: optional
Section: net
Filename: pool/main/s/scanlogd/scanlogd_2.2.5-3.1_armhf.deb
Size: 19866
SHA256: ed4a85c7e626e06a1e2889b36c7fde0ca429bef61de27629a75ea7c2a47c6115
SHA1: b2b84ac5f8073de2633809bbe54542d563e271e4
MD5sum: f6926216b092b2e28449238744a4a4d9
Description: A portscan detecting tool
 Scanlogd is a daemon written by Solar Designer
 to detect portscan attacks on your machine.

Package: scanmem
Version: 0.12-2
Architecture: armhf
Maintainer: WANG Lu 
Installed-Size: 125
Depends: libc6 (>= 2.4), libreadline6 (>= 6.0)
Suggests: gameconqueror (>= 0.12)
Homepage: http://code.google.com/p/scanmem/
Priority: extra
Section: utils
Filename: pool/main/s/scanmem/scanmem_0.12-2_armhf.deb
Size: 45750
SHA256: 0c47f30ee8797177980d6a8c820433cf37cb71e21d3f7f2524b5de17ac76578c
SHA1: 615741b93d5b8e9e3da60851159f4a86078941a3
MD5sum: 972602d39690cdb304928cb116978ad3
Description: Program to locate and modify a variable in a running process
 Scanmem is a simple interactive debugging utility for Linux, used to locate
 the address of a variable in a running process. This can be used for the
 analysis or modification of a hostile process on a compromised machine, for
 reverse engineering, or as a "pokefinder" to cheat at video games.

Package: scanssh
Version: 2.0-4
Architecture: armhf
Maintainer: Rene Weber 
Installed-Size: 82
Depends: libc6 (>= 2.13-28), libdumbnet1 (>= 1.8), libevent-2.0-5 (>= 2.0.10-stable), libpcap0.8 (>= 0.9.8)
Priority: optional
Section: net
Filename: pool/main/s/scanssh/scanssh_2.0-4_armhf.deb
Size: 28650
SHA256: af99b711d7cace4e8a84d10dd9ade79bc0add0f64481c09deaf128463bb65412
SHA1: 91adc5dff43af9b93261e20e61e1f695e51964fe
MD5sum: c6ebaf32b0feebe33134ecb0ede8fe72
Description: get SSH server versions for an entire network
 The ScanSSH protocol scanner scans a list of addresses and networks for
 running SSH protocol servers and their version numbers.  Version 2.0 adds
 support for scanning arbitrary ports and specifically open proxies.  The
 ScanSSH protocol scanner supports random selection of IP addresses from
 large network ranges and is useful for gathering statistics on the
 deployment of SSH protocol servers in a company or the Internet as whole.

Package: scantool
Version: 1.21+dfsg-3
Architecture: armhf
Maintainer: Kees Cook 
Installed-Size: 259
Depends: liballegro4.4, libc6 (>= 2.13-28), libx11-6, libxcursor1 (>> 1.1.2), libxext6, libxpm4, libxxf86vm1
Homepage: http://www.scantool.net/downloads/archive/diagnostic-software/
Priority: extra
Section: electronics
Filename: pool/main/s/scantool/scantool_1.21+dfsg-3_armhf.deb
Size: 152576
SHA256: 4b14df2de5fa56299074c9202d4dd80aba263c084967bbc6eacb35df258d9897
SHA1: 10c36ee7984787933b335724e6e1c18aeb0dea78
MD5sum: 3441e5191535a7a3d06df5fbc4881ec2
Description: OBD-II vehicle diagnostic scanner
 Scanning tool that communicates with OBD-II serial port devices, used
 for vehicle diagnostics. Requires a serial to CAN bus device specific
 to the OBD-II port for a given model vehicle.

Package: scantv
Source: xawtv
Version: 3.102-3
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 178
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libzvbi0 (>= 0.2.11), zlib1g (>= 1:1.1.4), xawtv-plugins (= 3.102-3)
Replaces: xawtv (<< 3.53)
Priority: extra
Section: video
Filename: pool/main/x/xawtv/scantv_3.102-3_armhf.deb
Size: 86936
SHA256: 897174edbfdff1577eb69b7247cc31d2832131346b7832f346ba58a20e8b3384
SHA1: e24998392d35a6ac712ce14b6390711ffd6ff830
MD5sum: 428e1eb180a815f4ff0dade2ee7fa61b
Description: television channel-scanner
 This package provides a utility that can scan a channel set for TV
 stations and write the ones found in an xawtv configuration file
 (which is also read by some other utilities such as fbtv). It
 attempts to extract the station names from inter-frame (VBI) data
 as well.

Package: scdaemon
Source: gnupg2
Version: 2.0.19-2+deb7u2
Architecture: armhf
Maintainer: Eric Dorland 
Installed-Size: 401
Depends: libassuan0 (>= 2.0.2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgpg-error0 (>= 1.10), libksba8 (>= 1.2.0), libpth20 (>= 2.0.7), libusb-0.1-4 (>= 2:0.1.12)
Breaks: gpgsm (<< 2.0.18-2)
Replaces: gpgsm (<< 2.0.18-2)
Multi-Arch: foreign
Homepage: http://www.gnupg.org/
Priority: optional
Section: utils
Filename: pool/main/g/gnupg2/scdaemon_2.0.19-2+deb7u2_armhf.deb
Size: 199748
SHA256: f842002ac6b77259522a78fa39cb90c7a4094dec01c11ef2283e68b80348b53a
SHA1: 82f109d9cc120b4adef45fdb66240bca94a64f83
MD5sum: 3d17e8d31ca4b2e1c34cc4295b25aa1b
Description: GNU privacy guard - smart card support
 GnuPG is GNU's tool for secure communication and data storage.
 It can be used to encrypt data and to create digital signatures.
 It includes an advanced key management facility and is compliant
 with the proposed OpenPGP Internet standard as described in RFC2440.
 .
 This package contains the smart card program scdaemon, which is used
 by gnupg-agent to access OpenPGP smart cards.

Package: schedtool
Version: 1.3.0-1
Architecture: armhf
Maintainer: Thibaut VARENE 
Installed-Size: 63
Depends: libc6 (>= 2.4)
Priority: extra
Section: utils
Filename: pool/main/s/schedtool/schedtool_1.3.0-1_armhf.deb
Size: 24748
SHA256: de439c111540bf3a3d5e28ef5a9882db944a0a6d49fcc134915b7a9ae4bc559f
SHA1: bf4bcd764b3ffe158596d840e187310e21dd5d46
MD5sum: d35afbcbc76a0b4290b826b8be898b05
Description: Queries/alters process' scheduling policy and CPU affinity
 Schedtool can query or alter a process' scheduling policy in Linux,
 specifically assigning SCHED_NORMAL, _FIFO, _RR, _BATCH, _ISO or _IDLEPRIO. It
 can set static priority for _FIFO and _RR. Setting CPU-affinity and (re)nicing
 is also possible, making schedtool the definitive interface to Linux scheduler.
 .
 It can be used to avoid skips and glitches in A/V applications, to lock
 processes onto given CPUs on SMP/NUMA systems, which may be beneficial for
 networking or benchmarks, or to adjust nice-levels of lesser important jobs to
 maintain a high amount of interactive responsiveness under high load. It is
 also handy to manage realtime processes.
 .
 If you don't know about scheduling policies, you probably don't want to use
 this program - or learn and read "man sched_setscheduler".
 .
 Some supported scheduling policies (such as SCHED_ISO, or SCHED_IDLEPRIO) need
 a patched kernel (eg. Con Kolivas' -ck patchset).

Package: scheme2c
Version: 2011.07.26-5
Architecture: armhf
Maintainer: Barak A. Pearlmutter 
Installed-Size: 4811
Depends: libc6 (>= 2.13-28), libsigsegv2, libx11-6
Homepage: http://scheme2c.alioth.debian.org/
Priority: extra
Section: lisp
Filename: pool/main/s/scheme2c/scheme2c_2011.07.26-5_armhf.deb
Size: 1615788
SHA256: 6fe147ab8e2c82b6d1a06d0c289137bdd75a94ad4539d633046bbba40977cfa8
SHA1: 3237cb106bd98e76ac8b910af47d6669b53c411c
MD5sum: 8a4b741f76640bd293f21f2d27ec0c02
Description: Joel Bartlett's fabled Scheme->C system
 The original Scheme->C system, the first of its kind.  It conforms to
 the R4RS Scheme standard, and compiles Scheme sources to C as an
 intermediate step, allowing easy integration with external libraries.
 Also included is an interpreter, for development, along with some X11
 interfaces and an X11-enabled interpreter.  Due to a variety of
 clever techniques, the system is quite fast.

Package: scheme2c-doc
Source: scheme2c
Version: 2011.07.26-5
Installed-Size: 982
Maintainer: Barak A. Pearlmutter 
Architecture: all
Size: 891050
SHA256: 60f898567f5e5c38b36aa3abe09f9f8530b69033c45f8173220258ccf30cb4b6
SHA1: db49d4d0bcaff277894be5229e368793f7f8c20c
MD5sum: bb83ea0313eea848d10e0f683e6effe9
Description: Documentation for the Scheme->C system
 The documentation that accompanies the Scheme->C system includes a
 programmer's guide, tutorial, and a copy of the R4RS specification.
Homepage: http://scheme2c.alioth.debian.org/
Tag: devel::doc, devel::lang:c, devel::lang:scheme, made-of::pdf,
 role::documentation
Section: doc
Priority: extra
Filename: pool/main/s/scheme2c/scheme2c-doc_2011.07.26-5_all.deb

Package: scheme48
Version: 1.8+dfsg-1+deb7u1
Architecture: armhf
Maintainer: Daniel Moerner 
Installed-Size: 5035
Depends: libc6 (>= 2.13-28)
Suggests: scheme48-doc, cmuscheme48-el
Provides: scheme-r5rs, scheme-srfi-7
Homepage: http://s48.org/
Priority: optional
Section: lisp
Filename: pool/main/s/scheme48/scheme48_1.8+dfsg-1+deb7u1_armhf.deb
Size: 1344244
SHA256: f73e256319fa587e226b301e7ad47d3bdb187b2df53b1eee17b2ecbbcaa2161c
SHA1: 48f3d248da0a73e49ac95a75e380d6277da1b89a
MD5sum: 41cc797e1bf34ee82d4626619e6ba4ab
Description: A simple, modular, and lightweight Scheme implementation
 Scheme48 is an implementation of Scheme written by Richard Kelsey
 and Jonathan Rees. It is based on a byte-code interpreter and
 incorporates such elements as a sophisticated module system, a
 pre-emptive & user-level thread system, a well-integrated
 interactive environment, Clinger's explicit renaming low-level
 macros, and decent efficiency for its architecture.

Package: scheme48-doc
Source: scheme48
Version: 1.8+dfsg-1+deb7u1
Installed-Size: 968
Maintainer: Daniel Moerner 
Architecture: all
Recommends: scheme48
Size: 558720
SHA256: affe52018873734371b64fcae4d0e99195bc55c1a9e9f0d1782642752ccb1821
SHA1: 6f4c2a5d70c5c9317186b1cc1938d6fe090017b9
MD5sum: 94147428f318c3b09cd2fa508c4efbab
Description: Documentation for the Scheme48 implementation of Scheme
 Scheme48 is an implementation of Scheme written by Richard Kelsey
 and Jonathan Rees. It is based on a byte-code interpreter and
 incorporates such elements as a sophisticated module system, a
 pre-emptive & user-level thread system, a well-integrated
 interactive environment, Clinger's explicit renaming low-level
 macros, and decent efficiency for its architecture.
 .
 This package provides "The Incomplete Scheme48 Reference Manual" in
 html and pdf form.
Homepage: http://s48.org/
Tag: devel::lang:scheme, made-of::html, made-of::pdf, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/scheme48/scheme48-doc_1.8+dfsg-1+deb7u1_all.deb

Package: scheme9
Version: 2010.11.13-2
Architecture: armhf
Maintainer: Barak A. Pearlmutter 
Installed-Size: 4602
Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5
Homepage: http://t3x.org/
Priority: extra
Section: lisp
Filename: pool/main/s/scheme9/scheme9_2010.11.13-2_armhf.deb
Size: 1560474
SHA256: 0c39c51dfff74ca703d351cdf5f29a87fcf522a065bf98e46b7599a9205637f1
SHA1: 498d45b165a4048cdccc850dbd782d13e5e8dc49
MD5sum: 1770588c65bf5a702396c9569aa8a19e
Description: Scheme 9 from Empty Space R4RS Scheme interpreter
 Scheme 9 from Empty Space is an interpreter for a broad subset of
 R4RS Scheme, and runs in many popular environments, including
 Linux, *BSD, the unmentionable horror, and Plan 9.  The S9fES code
 strives to be simple and comprehensible.  It is particularly
 interesting to people who want to (a) try Scheme without having to
 jump through too many hoops (S9fES is very portable); or (b) study
 the implementation of Scheme (in a language other than Scheme).  A
 free online textbook describing the system is also available.

Package: schism
Version: 2:0+20110101-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 743
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl1.2debian (>= 1.2.11), libx11-6, libxext6
Suggests: opencubicplayer, xmp, goattracker, milkytracker
Homepage: http://schismtracker.org/
Priority: optional
Section: sound
Filename: pool/main/s/schism/schism_0+20110101-1_armhf.deb
Size: 384936
SHA256: c53a5d29b6175642f5bbfc586bc187f3aea1349e644424af20067b6daa83a268
SHA1: 07a338bfec2222d35c639c2345f8c917fba084d1
MD5sum: ece790706794b463682eb97ac8705f68
Description: ImpulseTracker clone aiming at providing the same look&feel
 This is an editor for "tracked" music, i.e. audio samples of instruments
 pitch shifted according to the note data.
 .
 The Impulse Tracker is one of the most popular "tracker" programs at
 present, and Schism Tracker attempts to follow their UI layout wherever
 possible in order to make it easy for existing users to switch.

Package: schleuder
Version: 2.2.1-2+deb7u1
Installed-Size: 151
Maintainer: Jérémy Bobbio 
Architecture: all
Depends: adduser, exim4 | mail-transport-agent, ruby1.8, ruby-tmail, ruby-gpgme, ruby-magic, ruby-log4r, ruby-highline
Size: 56018
SHA256: c54f01bf7856724fba9e78c4645afbfed8dcf85d1257d15999dda6ccd6614f65
SHA1: 3e1b09263cf39d8a51bc6da24741858fd73d191d
MD5sum: e2244f79bcb52d8e172d6a5e911ee02b
Description: GnuPG enabled mailing list manager with remailer-capabilities
 Schleuder is designed as a tool for group communication: subscribers
 can communicate encrypted (and pseudonymously) among themselves, receive
 emails from non-subscribers and send emails to non-subscribers via the list.
 .
 Schleuder takes care of all decryption and encryption, stripping of headers,
 formatting conversions, etc. Schleuder can also send out its own public key
 upon request and process administrative commands by email.
Homepage: http://schleuder.nadir.org/
Tag: implemented-in::ruby, interface::daemon, mail::list, mail::smtp,
 network::server, protocol::smtp, role::program, scope::application,
 security::authentication, security::cryptography, security::privacy,
 works-with::mail
Section: mail
Priority: optional
Filename: pool/main/s/schleuder/schleuder_2.2.1-2+deb7u1_all.deb

Package: schroot
Version: 1.6.4-4
Architecture: armhf
Maintainer: Debian buildd-tools Developers 
Installed-Size: 2335
Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblockdev1, libpam0g (>= 0.99.7.1), libstdc++6 (>= 4.6), libuuid1 (>= 2.16), schroot-common (= 1.6.4-4)
Suggests: debootstrap, lvm2, btrfs-tools, aufs-modules | unionfs-modules, qemu-user-static
Enhances: sbuild
Conflicts: dchroot (<< 0.13)
Breaks: binfmt-support (<< 2.0.1), sbuild (<< 0.62.6)
Replaces: buildd (<< 0.62.3-1), sbuild (<< 0.62.3-1)
Priority: optional
Section: admin
Filename: pool/main/s/schroot/schroot_1.6.4-4_armhf.deb
Size: 895990
SHA256: d26407686c958643328520d36b2e696160718072882abb7593778bd6171e0e53
SHA1: 9c952f322fe19c20cfe394094cf44ceecc1b1964
MD5sum: db8542e7240752d8b354d53ca0257ab5
Description: Execute commands in a chroot environment
 schroot allows users to execute commands or interactive shells in
 different chroots.  Any number of named chroots may be created, and
 access permissions given to each, including root access for normal
 users, on a per-user or per-group basis.  Additionally, schroot can
 switch to a different user in the chroot, using PAM for
 authentication and authorisation.  All operations are logged for
 security.
 .
 Several different types of chroot are supported, including normal
 directories in the filesystem, and also block devices.  Sessions,
 persistent chroots created on the fly from files (tar with optional
 compression) and Btrfs and LVM snapshots are also supported.
 .
 schroot supports kernel personalities, allowing the programs run
 inside the chroot to have a different personality.  For example,
 running 32-bit chroots on 64-bit systems, or even running binaries
 from alternative operating systems such as SVR4 or Xenix.
 .
 schroot also integrates with sbuild, to allow building packages with
 all supported chroot types, including session-managed chroot types
 such as Btrfs and LVM snapshots.
 .
 schroot shares most of its options with dchroot, but offers vastly
 more functionality.

Package: schroot-common
Source: schroot
Version: 1.6.4-4
Installed-Size: 572
Maintainer: Debian buildd-tools Developers 
Architecture: all
Size: 268064
SHA256: b873ef64f2478095890de44df693b4b1c316b9ba527f880dd475a7135524251d
SHA1: 2283dd52dab2beb4a34ad1e8d1a0638b54481744
MD5sum: bcd5fc146436d9ef684e41890b8b8388
Description: common files for schroot
 schroot allows users to execute commands or interactive shells in
 different chroots.  Any number of named chroots may be created, and
 access permissions given to each, including root access for normal
 users, on a per-user or per-group basis.  Additionally, schroot can
 switch to a different user in the chroot, using PAM for
 authentication and authorisation.  All operations are logged for
 security.
 .
 This package provides translations for localisation of schroot
 programs.
Tag: role::app-data
Section: admin
Priority: optional
Filename: pool/main/s/schroot/schroot-common_1.6.4-4_all.deb

Package: schroot-dbg
Source: schroot
Version: 1.6.4-4
Architecture: armhf
Maintainer: Debian buildd-tools Developers 
Installed-Size: 28578
Depends: schroot (= 1.6.4-4) | dchroot (= 1.6.4-4) | dchroot-dsa (= 1.6.4-4)
Conflicts: dchroot (>> 1.6.4-4), dchroot (<< 1.6.4-4), dchroot-dsa (>> 1.6.4-4), dchroot-dsa (<< 1.6.4-4), schroot (>> 1.6.4-4), schroot (<< 1.6.4-4)
Priority: extra
Section: debug
Filename: pool/main/s/schroot/schroot-dbg_1.6.4-4_armhf.deb
Size: 27699238
SHA256: 692c541e8b214d6c41d8bc97fcea8a2461bf4beb4888a4eac3429da56489b742
SHA1: a6497f27ce8e3263c88499bcc96d48fd5c765b80
MD5sum: d2bfdaada91fc3806fb6e3412082450b
Description: schroot, dchroot and dchroot-dsa debugging symbols
 sbuild provides facilities to manage and access chroots, as part of
 schroot.
 .
 schroot allows users to execute commands or interactive shells in
 different chroots.  Any number of named chroots may be created, and
 access permissions given to each, including root access for normal
 users, on a per-user or per-group basis.  Additionally, schroot can
 switch to a different user in the chroot, using PAM for
 authentication and authorisation.  All operations are logged for
 security.
 .
 This package contains debugging symbols for the schroot, dchroot
 and dchroot-dsa packages.

Package: scid
Version: 1:4.3.0.cvs20120311-1
Architecture: armhf
Maintainer: Oliver Korff 
Installed-Size: 10421
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), zlib1g (>= 1:1.1.4), scid-data (= 1:4.3.0.cvs20120311-1), python
Recommends: libsnack2, texlive-games, tdom, libtk-img, tcllib
Suggests: toga2, phalanx (>= 22+d051004-9), glaurung, stockfish, crafty, scid-spell-data | scid-rating-data
Homepage: http://scid.sf.net
Priority: extra
Section: games
Filename: pool/main/s/scid/scid_4.3.0.cvs20120311-1_armhf.deb
Size: 5117578
SHA256: bf9399bcfd0de528fd3003b3f87802a5417d77e41e2eea04a3c2b3006ee04699
SHA1: cd86fe68ed04f94fc77bd79c4f6fb13f07275108
MD5sum: 8658e6748d93e3a87f619783335f05ab
Description: chess database with play and training functionality
 Shane's Chess Information Database is a chess database application with
 a graphical user interface. You can browse databases of chess games,
 edit them and search for games by various criteria. Scid uses its
 own compact and fast database format, but can convert to and from PGN.
 Its also possible to play live on the internet and practice tactical
 knowledge.
 .
 This package contains the main program.

Package: scid-data
Source: scid
Version: 1:4.3.0.cvs20120311-1
Installed-Size: 2796
Maintainer: Oliver Korff 
Architecture: all
Replaces: scid (<= 1:4.3.0.cvs20110714-2)
Recommends: scid (>= 1:4.3.0.cvs20111216-1)
Breaks: scid (<= 1:4.3.0.cvs20110714-2)
Size: 601930
SHA256: 8b7fb0b44f8aa28a2c1d9a5fc91b19d7b5a317ce03b40db0ba4a6a5de4b5e6ad
SHA1: c37ebf39914a02d2b39592fd3a34b2525ebf65d8
MD5sum: 33b4b2fd1d1a2fa97cbd607fc1114bfa
Description: data files for scid, the chess database application
 Shane's Chess Information Database is a chess database application with
 a graphical user interface. You can browse databases of chess games,
 edit them and search for games by various criteria. Scid uses its
 own compact and fast database format, but can convert to and from PGN.
 Its also possible to play live on the internet and practice tactical
 knowledge.
 .
 This package contains scids data files.
Homepage: http://scid.sf.net
Section: games
Priority: extra
Filename: pool/main/s/scid/scid-data_4.3.0.cvs20120311-1_all.deb

Package: scid-rating-data
Version: 200901-2
Installed-Size: 23344
Maintainer: Oliver Korff 
Architecture: all
Replaces: scid-spell-data
Depends: scid
Enhances: scid
Conflicts: scid-spell-data
Size: 5978748
SHA256: 72f92498e7ef395ac2f83e446334a6079061c602fbfda715c2530e1490fa6a18
SHA1: 6925c8429634bb9d8f29db1809a4addfde9444bf
MD5sum: 9804450ad99b9555a161c5ed9f6cb926
Description: contains spelling corrections and ELO ratings for scid chess databases
 spelling corrections and ELO chess ratings for maintaining scid chess databases.
 They can be used to correct and identify player names, events and ratings in chess
 databases. This is necessary, because large databases come from various
 sources and only with spell corrected files there is a chance to identify
 duplicate database entries. The content is identical with scid-spell-data,
 it has additional ELO ratings and replaces scid-spell-data.
Homepage: http://scid.sourceforge.net
Tag: game::board:chess, role::app-data
Section: games
Priority: extra
Filename: pool/main/s/scid-rating-data/scid-rating-data_200901-2_all.deb

Package: scid-spell-data
Version: 200901-2
Installed-Size: 5180
Maintainer: Oliver Korff 
Architecture: all
Replaces: scid-rating-data
Depends: scid
Enhances: scid
Conflicts: scid-rating-data
Size: 1519944
SHA256: 5ca101dc297d566f37876b68a5e8a773144e9562a6da54e1d473ca2e92080f96
SHA1: dd6ee6101bda646514614f4bf4b8a2051ede935a
MD5sum: 8bd047b0ddc68569cef81fb9077024d1
Description: contains spelling corrections for scid chess databases
 spelling corrections for maintaining scid chess databases. They can be
 used to correct and identify player names, events and ratings in chess
 databases. This is necessary, because large databases come from various
 sources and only with spell corrected files there is a chance to identify
 duplicate database entries.
Homepage: http://scid.sourceforge.net
Tag: game::board:chess, role::app-data
Section: games
Priority: extra
Filename: pool/main/s/scid-spell-data/scid-spell-data_200901-2_all.deb

Package: scidavis
Version: 0.2.4-3.3
Architecture: armhf
Maintainer: Ruben Molina 
Installed-Size: 5752
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libgsl0ldbl (>= 1.9), libmuparser2 (>= 2.1.0), libpython2.7 (>= 2.7), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtassistantclient4 (>= 4.6.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqwt5-qt4, libqwtplot3d-qt4-0, libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4)
Recommends: qt-assistant-compat
Homepage: http://scidavis.sourceforge.net/
Priority: extra
Section: graphics
Filename: pool/main/s/scidavis/scidavis_0.2.4-3.3_armhf.deb
Size: 2181822
SHA256: d72be072598ca1c6202bcd58150ce4a9eb08511163ff52bc136692f2f2af01ec
SHA1: 7f8964846ddd9d036dc6eb0ebf999aa720fc04ea
MD5sum: 9302b625a4a4ad56ce50b139bbc07e3d
Description: application for scientific data analysis and visualization
 SciDAVis is a free interactive application aimed at data analysis and
 publication-quality plotting. It combines a shallow learning curve and
 an intuitive, easy-to-use graphical user interface with powerful
 features such as scriptability and extensibility.
 .
 SciDAVis is similar in its field of application to proprietary Windows
 applications like Origin and SigmaPlot as well as free applications like
 QtiPlot, Labplot and Gnuplot.
 .
 What sets SciDAVis apart from the above is its emphasis on providing a
 friendly and open environment for new and experienced users alike.

Package: science-astronomy
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: astronomical-almanac, esorex, funtools, gcx, gpredict, gyoto, lightspeed, lynkeos.app, openuniverse, predict, qfits-tools, saods9, savi, seesat5, sextractor, stardata-common, stellarium, wcslib-tools, wcstools, xplanet, yorick-full
Suggests: boinc-app-milkyway, boinc-app-seti, casacore-tools, ftools-fv, gliese, heasoft-fv, linoccult, midas, orbfit, pdl, pgplot5, python-scientific, scilab-celestlab, scilab-overload, scilab-scimysql, spacechart, ssystem, stars, sunclock, worldwind, xephem, xorsa, xtide, xtide-data, yale, yorick-cubeview, yorick-mira, yorick-spydr, yorick-yao
Size: 7790
SHA256: a367037259bd81a17a8afb111b78305147fd980e33711fee5e92a0f1bf6d08ea
SHA1: d4a9ee0be3784ebaed5748e666fec7c528362a20
MD5sum: 52dd9556c40a4d31481b019db30aff64
Description: Debian Science Astronomy packages
 This metapackage will install Debian Science packages related to
 Astronomy.  You might also be interested in field::astronomy debtag
 and, depending on your focus, in the education-astronomy metapackage.
Homepage: http://wiki.debian.org/DebianScience/
Tag: field::astronomy, role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-astronomy_1.0_all.deb

Package: science-astronomy-dev
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: libastro-fits-cfitsio-perl, libccfits-dev, libcfitsio3-dev, libcpl-dev, libgyoto0-dev, libnova-dev, libqfits-dev, libsofa-c-dev, libstarlink-ast-dev, libstarlink-pal-dev, libwcstools-dev, python-cpl, python-pyfits, python-pywcs, slang-cfitsio, wcslib-dev
Suggests: casacore-dev, libworldwind-java, python-astropy-legacy
Size: 7598
SHA256: 205bc601890dc73cdf72c595422c868a9181b937a8db3416b5efb7dc591be328
SHA1: fb8f2a2ac6bb70e6099dafe309a77e3b8058225a
MD5sum: c0be6bf823fc87333749726e57877a6f
Description: Debian Science Astronomy-dev packages
 This metapackage will install Debian Science packages which might be
 helpful for development of applications for Astronomy.
 .
 You might also be interested in the science-astronomy metapackage.
Homepage: http://wiki.debian.org/DebianScience/
Tag: field::astronomy, role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-astronomy-dev_1.0_all.deb

Package: science-biology
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: bauble, critterding, med-bio
Suggests: avida-base, genesis, med-bio-dev
Size: 7630
SHA256: d9ed8614890b9ab2d20f6686264f8203be83c2edae522c52f1b111294616c6e6
SHA1: b17528f336ae398e412bc8939f7a89582d7dbaf8
MD5sum: f612da134d945325fd231f1ed664d759
Description: Debian Science Biology packages
 This metapackage will install Debian Science packages related to
 Biology.  You might also be interested in the field::biology debtag.
 .
 This metapackage makes use of the packages med-bio and med-bio-dev
 (for development of biological applications) which are maintained
 by Debian Med - another Debian Pure Blend.  If you are a biologist
 you are most probably interested in the Debian Med project which
 deals with biology and medicine in much more detail then the more
 general Debian Science.
Homepage: http://wiki.debian.org/DebianScience/
Tag: field::biology, role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-biology_1.0_all.deb

Package: science-chemistry
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: adun.app, apbs, avogadro, bkchem, bodr, chemeq, chemical-mime-data, chemical-structures, chemtool, cp2k, drawxtl, easychem, gabedit, galculator, gamgi, garlic, gausssum, gchempaint, gcrystal, gcu-bin, gcu-plugin, gdis, gdpc, gelemental, ghemical, gperiodic, gromacs | gromacs-mpich | gromacs-openmpi, jmol, kalzium, katomic, libcdk-java, massxpert, mmass, mmass-modules, mopac7-bin, mpqc, mpqc-support, openbabel, pdb2pqr, psi3, pyfai, pymol, python-openbabel, qutemol, rasmol, v-sim, viewmol, xbs, xdrawchem, xmakemol-gl | xmakemol
Suggests: fdmnes, freefoam, gdpc-examples, gromacs-lam, libegad, libint, molden, molekel, openchrom, python-mzml, python-mzml-doc, tandem-mass, tinker
Size: 7828
SHA256: 001ae9131b6c2a75d2bc45ed4c05eb4870b2ddebee053a89f82e46d2d39c36ad
SHA1: 672f8471650e99e3f055986820d8b755ddf92201
MD5sum: 455e926967538296fdf1f217bebe7be5
Description: Debian Science Chemistry packages
 This metapackage will install Debian Science packages related to
 Chemistry.  You might also be interested in the field::chemistry
 debtag and, depending on your focus, in the education-chemistry
 metapackage.
Homepage: http://wiki.debian.org/DebianScience/
Tag: field::chemistry, role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-chemistry_1.0_all.deb

Package: science-config
Source: debian-science
Version: 1.0
Installed-Size: 76
Maintainer: Debian Science Team 
Architecture: all
Depends: adduser, debconf (>= 0.5) | debconf-2.0, menu, blends-common (>= 0.6.15)
Size: 9204
SHA256: 1013acd8e77aaf57fd1502be9e384880487a3d1bfb6fe756ca6bef76b5a03f37
SHA1: baa364c69f682aeeda3ce293db4254812e118b1b
MD5sum: b050bf9bee92d0c9a478cd32edd1c33c
Description: Debian Science Project config package
 This package provides the basic infrastructure of all science-* packages and is
 needed by them.
 .
 These are the science related metapackages in the Debian Science project:
 .
  * science-astronomy       packages related to astronomy
  * science-astronomy-dev   packages related to development of
                            astronomical applications
  * science-biology         packages related to biology
  * science-chemistry       packages related to chemistry
  * science-dataacquisition packages related to data acquisition
  * science-dataacquisition-dev packages related to data acquisition
                            development
  * science-distributedcomputing  distributed computing packages
  * science-electrophysiology  packages related to electrophysiology
  * science-electronics     packages related to electronics
  * science-engineering     packages related to engineering
  * science-engineering-dev packages related to development of
                            engineering applications
  * science-geography       packages related to geography
  * science-highenergy-physics packages related to high energy physics
  * science-highenergy-physics-dev packages related to development of
                            high energy physics applications
  * science-linguistics     packages related to linguistics
  * science-machine-learning  packages related to machine learning
  * science-mathematics     packages related to mathematics
  * science-mathematics-dev packages related to development of
                            mathematical applications
  * science-meteorology     packages related to meteorology and climate
  * science-meteorology-dev packages related to the development of
                            meteorology and climate applications
  * science-nanoscale-physics  packages related to nanoscale physics
  * science-nanoscale-physics-dev packages useful for developing nanoscale
                            physics applications
  * science-neuroscience-cognitive   packages related to cognitive
                            neuroscience
  * science-neuroscience-datasets    packages for Neuroscience Datasets
  * science-neuroscience-modeling    packages for modeling of neural
                            systems
  * science-physics         packages related to physics
  * science-physics-dev     packages related to physics development of
                            physical applications
  * science-psychophysics   packages related to packages for psychophysics
  * science-robotics        packages related to robotics
  * science-simulation      packages to do simulations
 .
 This are metapackages for common utilities for all sciences:
 .
  * science-imageanalysis   packages related to image analysis
  * science-numericalcomputation   packages related to numerical
                            computation
  * science-statistics      packages related to statistics
  * science-typesetting     packages related to typesetting
  * science-viewing         packages related to viewing
 .
 Note: All these packages are so called metapackages. This means they are not
 executable programs, but only links to other packages. This way you will
 conveniently get most of scientific free software which is available in
 Debian.
Homepage: http://wiki.debian.org/DebianScience/
Tag: suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-config_1.0_all.deb

Package: science-dataacquisition
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: engauge-digitizer, g3data, ghkl, jaaa, libfreenect-dev, netcdf-bin
Suggests: comedi-source, epics, gnudatalanguage, gpsd, hart, ktimetrace, libgpib-bin, linux-image-2.6-rt, mx-dataacquisition, narval-utils, opendap, plot-digitizer, python-pytango, python-sardana, python-taurus, python-visa, qtdmm, rt-tests, rtai, tango-accesscontrol, tango-db, tango-starter, xenomai-runtime
Size: 7672
SHA256: 8378a6f6b2f248505a8a8c50c175c981d11d27513e8e3c02ff74edbb2e67e117
SHA1: 51065cac06c7f26f56664a1c4005709ec43d8abb
MD5sum: 09cf3512e88d4ffc2ae6c7967cdd2b5b
Description: Debian Science data acquisition packages
 This metapackage will install Debian Science packages related to data
 acquisition.  This might be used in several sciences (as for instance
 science-viewing and science-typesetting).
Homepage: http://wiki.debian.org/DebianScience/
Tag: role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-dataacquisition_1.0_all.deb

Package: science-dataacquisition-dev
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: liblog4tango4-dev, libtango7-dev
Suggests: libnarval1-dev
Size: 7514
SHA256: d767e786cc2391163b9df27826b42dc24e1744c7ff212f27edc120ac72ccdbbe
SHA1: 27285e6ad3005e17b496a48ee4d9742ed200ddec
MD5sum: d93f49c3c725fc74fa0e0b23f76c7dea
Description: Debian Science data acquisition development packages
 This metapackage will install Debian Science packages which are helpful
 to develop applications related to data  acquisition.  This might be
 used in several sciences.
Homepage: http://wiki.debian.org/DebianScience/
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-dataacquisition-dev_1.0_all.deb

Package: science-distributedcomputing
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: condor, dmtcp, environment-modules, globus-core, gridengine-client, gridengine-exec, gridengine-master, gridengine-qmon, ipython, mpich2, mpich2python, openmpi-bin, openmpipython, python-mpi, torque-common, torque-server
Suggests: coop-computing-tools, hpcc, nordugrid-arc-nox, psom, python-mpi4py
Size: 7618
SHA256: 05fef2baf8f632f2385575a79ba99225b839381fe281a689d637115a2e02b026
SHA1: 5b31833d6126b2690f389a5434015f249c74c224
MD5sum: d42becd7cdd51dadc59cb963484a7996
Description: Debian Science Distributed Computing packages
 This metapackage will install Debian Science packages useful for
 various types of distributed computing, such as grid-, cloud-, cluster-
 and parallel-computing.
Homepage: http://wiki.debian.org/DebianScience/
Tag: role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-distributedcomputing_1.0_all.deb

Package: science-electronics
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: arduino, arduino-core, avrdude, confluence, covered, electric, freehdl, fritzing, gcc-avr, geda, gerbv, gnucap, gplcver, gputils, iverilog, kicad, linsmith, oregano, pcb, qelectrotech, simulpic, texlive-latex-extra, tkgate, uisp, verilator, visolate, xsmc-calc
Suggests: easyspice, geda-doc, geda-examples, ghdl, gspiceui, herb, kicad-doc-de, kicad-doc-en, kicad-doc-es, kicad-doc-fr, kicad-doc-it, kicad-doc-pt, kicad-doc-ru, klogic, ksimus, liblife-dev, ngspice, qucs, vbpp, vbs
Size: 7726
SHA256: c0b0b6ac2ee451bd1e9d6543147ce289ff01cea3dc583327716d34e564770155
SHA1: ef58ef5e39eec95a3b97b8228940750ecdde2cfd
MD5sum: 6f579f25a7173b468e02b2243547ffc8
Description: Debian Science Electronics packages
 This metapackage will install Debian Science packages related to
 Electronics.  You might also be interested in the field::electronics
 debtag and, depending on your focus, in the education-electronics
 metapackage.
Homepage: http://wiki.debian.org/DebianScience/
Tag: field::electronics, role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-electronics_1.0_all.deb

Package: science-electrophysiology
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: libdrawtk-dev, libgdf-dev, sigviewer
Suggests: aghermann, bluespike, chronus, eeglab, eegview, klustakwik, libbiosig0, libeegdev-dev, libmcpanel-dev, librtfilter-dev, libxdffileio-dev, mclust, meabench, neo, neurodata, nspike, openelectrophy, patchclamp, pymeg, python-neuroshare, python-spykeutils, qrtailab, relacs, rtxi, science-dataacquisition, science-neuroscience-modeling, spike, spykeview, stimfit, trellis-neuro
Size: 7810
SHA256: 173334c353e8c267241133cce5859f11542451044dd6c027e782b550d925049f
SHA1: ec411789f02ab118a87dba4613d1bed3948584af
MD5sum: 15f4790ebc830d8606feda88d9037f0b
Description: Debian Science packages for Electrophysiology
 This metapackage will install Debian packages which might be useful for
 scientists doing electrophysiology-based neuroscience research.
 .
 The selection of packages is targeting the application of analysis
 techniques. Methods developers are referred to the
 science-statistics, science-imageanalysis, science-numericalcomputation,
 med-imaging, and med-imaging-dev metapackages for a variety of additional
 software that might be useful for electrophysiology research.
Homepage: http://wiki.debian.org/DebianScience/
Tag: role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-electrophysiology_1.0_all.deb

Package: science-engineering
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: cba, cgns-convert, code-saturne, hdfview, librecad, libsilo-bin, python-fiat, python-openturns, python-silo, pythoncad, sailcut, syrthes, z88
Suggests: avl, brlcad, code-aster-gui, ecs, freecad, freefoam, gerris, getdp, gmsh, life-apps, netgen, opencascade-draw, pycgns, r-cran-spc, salome, syfi-bin, tochnog, varkon
Size: 7680
SHA256: 7f0bb4ead810d394bd21f8ddc201b16863b0b448df528fcf476df59ddffe7fe3
SHA1: c091e3dfc41e7a614666d876612f1266cb331125
MD5sum: 18fd464520c4ebc0b7cc157a3e122ea6
Description: Debian Science Engineering packages
 This metapackage is part od the Debian Pure Blend "Debian Science"
 and installs packages related to Engineering.
 .
 Please not that there is an additional package engineering-dev
 which depends from packages which are useful to develop engineering
 related software.
Homepage: http://wiki.debian.org/DebianScience/
Tag: role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-engineering_1.0_all.deb

Package: science-engineering-dev
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: libadios-dev, libalberta2-dev, libbox-dev, libcgns-dev, libelmer-dev, libexodusii-dev, libgetfem++-dev, libhdf5-serial-dev, libitpp-dev, libjhdf4-java, libjhdf5-java, libmadlib-dev, libmed-dev, libmedc-dev, libmedimport-dev, libnetcdf-dev, libopenturns-dev, libsilo-dev, libxdmf-dev, python-netcdf, syfi-dev
Suggests: freefoam-dev, libdeal.ii-dev, libfvm-dev, libgtkdatabox-0.9.1-1-dev, libgtkdataboxmm-dev, liblife-dev, libluminate-dev, libmesh-dev, libnetcdf-java, libopencascade-dev, libqwt-dev | libqwt5-qt4-dev, libslepc3.0.0-dev, pycgns
Size: 7694
SHA256: 1590a72f71781a371fc1da3ac611c9c2de4f87f0850174cb5dd2ea18d2c23b38
SHA1: ba61ee91258b607ddec3083f3054c1932632b1f9
MD5sum: 3fe9c29b93541f4be5baf78f6a310a92
Description: Debian Science Engineering-dev packages
 This metapackage will install Debian Science packages which might be
 helpful for development of applications for Engineering.
 .
 You might also be interested in the science-engineering metapackage.
Homepage: http://wiki.debian.org/DebianScience/
Tag: role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-engineering-dev_1.0_all.deb

Package: science-geography
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: avce00, drawmap, e00compr, gdal-bin, geoip-bin, gis-workstation, gmt, gosmore, gpsbabel, gpsd, gpsd-clients, gpsman, gpsmanshp, gpstrans, gpx2shp, grass, grass-doc, imposm, josm, josm-plugins, mapnik-utils, mapserver-bin, merkaartor, ogdi-bin, openscenegraph, osm2pgsql, osmpbf-bin, pgadmin3, php5-mapscript, phppgadmin, postgis, postgresql-9.1-postgis, proj-bin, qgis, qgis-plugin-grass, r-cran-mapdata, r-cran-mapproj, r-cran-maps, r-cran-sp, thuban, viking
Suggests: earth3d, googleearth-package, gpsdrive, grace6, openjump, python-mapnik, r-cran-maptools, sumo
Size: 7758
SHA256: fd16b2fcc0488357af13da96c8fe6b44eb73deb253c688abc3db0a111cb95187
SHA1: d07eaf34af958c6fd630d18c7d5f46fd9b3f4f21
MD5sum: 26b45f084eb74787a0814c87e5f55ae0
Description: Debian Science Geography packages
 This metapackage will install Debian Science packages related to
 Geography.  You might also be interested in the field::geography
 debtag and, depending on your focus, in the education-geography
 metapackage.
Homepage: http://wiki.debian.org/DebianScience/
Tag: field::geography, role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-geography_1.0_all.deb

Package: science-highenergy-physics
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: cernlib, cernlib-base, cernlib-core, cernlib-extras, cernlib-montecarlo, dzedit, feynmf, geant321, kuipc, kxterm, libroot-bindings-python5.34, libroot-bindings-ruby5.34, libroot-core5.34, libroot-geom5.34, libroot-graf2d-gpad5.34, libroot-graf2d-graf5.34, libroot-graf2d-postscript5.34, libroot-graf3d-eve5.34, libroot-graf3d-g3d5.34, libroot-graf3d-gl5.34, libroot-gui-ged5.34, libroot-gui5.34, libroot-hist-spectrum5.34, libroot-hist5.34, libroot-html5.34, libroot-io-xmlparser5.34, libroot-io5.34, libroot-math-foam5.34, libroot-math-genvector5.34, libroot-math-mathcore5.34, libroot-math-mathmore5.34, libroot-math-matrix5.34, libroot-math-minuit5.34, libroot-math-mlp5.34, libroot-math-physics5.34, libroot-math-quadp5.34, libroot-math-smatrix5.34, libroot-math-splot5.34, libroot-math-unuran5.34, libroot-misc-memstat5.34, libroot-misc-minicern5.34, libroot-misc-table5.34, libroot-montecarlo-eg5.34, libroot-montecarlo-vmc5.34, libroot-net-auth5.34, libroot-net-bonjour5.34, libroot-net-ldap5.34, libroot-net5.34, libroot-proof-clarens5.34, libroot-proof-proofplayer5.34, libroot-proof5.34, libroot-roofit5.34, libroot-tmva5.34, libroot-tree-treeplayer5.34, libroot-tree5.34, looptools, nypatchy, octave-lhapdf, paw, paw++, pawserv, pythia8-root-interface, python-lhapdf, python-rivet, rivet, rivet-plugins, rivet-root-converter, root-macro-fastjet, root-plugin-geom-gdml, root-plugin-geom-geombuilder, root-plugin-geom-geompainter, root-plugin-graf2d-asimage, root-plugin-graf2d-qt, root-plugin-graf2d-x11, root-plugin-graf3d-x3d, root-plugin-gui-fitpanel, root-plugin-gui-guibuilder, root-plugin-gui-qt, root-plugin-gui-sessionviewer, root-plugin-hist-hbook, root-plugin-hist-histpainter, root-plugin-hist-spectrumpainter, root-plugin-io-sql, root-plugin-io-xml, root-plugin-math-fftw3, root-plugin-math-fumili, root-plugin-math-minuit2, root-plugin-montecarlo-pythia8, root-plugin-net-globus, root-plugin-net-krb5, root-plugin-proof-peac, root-plugin-sql-mysql, root-plugin-sql-odbc, root-plugin-sql-pgsql, root-plugin-tree-treeviewer, root-system, root-system-bin, root-system-common, root-system-proofd, root-system-rootd, thepeg, thepeg-gui, zftp
Suggests: geant321-doc, geant4, herwig++, lhapdf-pdfsets-minimal, paw-demos, root-system-doc
Size: 8252
SHA256: 0d797e03faeae978881de9d9e683fde95a835cf26b73d8a202b36638030abcb1
SHA1: 75675afb0d6dc764574b922ddfa77422fe9e00a7
MD5sum: fc5eb666344a90f8923c603575eb8b4d
Description: Debian Science High Energy Physics packages
 This metapackage will install Debian Science packages related to High Energy
 Physics, which is a branch of physics that studies the elementary subatomic
 constituents of matter and radiation, and their interactions.  The field is
 also called Particle Physics.
 .
 You might also be interested in the debtag field::physics and, depending on
 your focus, in the physics and education-physics metapackages.
Homepage: http://wiki.debian.org/DebianScience/
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-highenergy-physics_1.0_all.deb

Package: science-highenergy-physics-dev
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: cernlib-base-dev, cernlib-core-dev, fcc, libclhep-dev, libfastjet-dev, libfastjet-fortran-dev, libfastjetplugins-dev, libfastjettools-dev, libhepmc-dev, libhepmcfio-dev, liblhapdf-dev, libooptools-dev, libpythia8-dev, librivet-dev, libroot-bindings-python-dev, libroot-bindings-ruby-dev, libroot-core-dev, libroot-geom-dev, libroot-graf2d-gpad-dev, libroot-graf2d-graf-dev, libroot-graf2d-postscript-dev, libroot-graf3d-eve-dev, libroot-graf3d-g3d-dev, libroot-graf3d-gl-dev, libroot-gui-dev, libroot-gui-ged-dev, libroot-hist-dev, libroot-hist-spectrum-dev, libroot-html-dev, libroot-io-dev, libroot-io-xmlparser-dev, libroot-math-foam-dev, libroot-math-genvector-dev, libroot-math-mathcore-dev, libroot-math-mathmore-dev, libroot-math-matrix-dev, libroot-math-minuit-dev, libroot-math-mlp-dev, libroot-math-physics-dev, libroot-math-quadp-dev, libroot-math-smatrix-dev, libroot-math-splot-dev, libroot-math-unuran-dev, libroot-misc-memstat-dev, libroot-misc-minicern-dev, libroot-misc-table-dev, libroot-montecarlo-eg-dev, libroot-montecarlo-vmc-dev, libroot-net-auth-dev, libroot-net-bonjour-dev, libroot-net-dev, libroot-net-ldap-dev, libroot-proof-clarens-dev, libroot-proof-dev, libroot-proof-proofplayer-dev, libroot-roofit-dev, libroot-static, libroot-tmva-dev, libroot-tree-dev, libroot-tree-treeplayer-dev, libsiscone-dev, libsiscone-spherical-dev, libthepeg-dev, rivet-plugins-dev
Suggests: clhep-doc, fastjet-doc, fastjet-examples, hepmc-examples, hepmc-reference-manual, hepmc-user-manual, herwig++-dev, lhapdf-ccwrap-doc, libhepmcinterface-dev, pythia8-doc-html, pythia8-doc-worksheet, pythia8-examples, rivet-plugins-doc, rivet-reference, rivet-user-manual, siscone-doc-html, siscone-doc-pdf, siscone-examples, thepeg-reference
Size: 8114
SHA256: 607f903d451f1167ec5ba96ee74723991ae8f887a4f923e4842cb9597a784740
SHA1: 43968533486dd2f191b0671d8174dadae07e137d
MD5sum: e3677fbac059eca9f46c4f3eb39c1038
Description: Debian Science High Energy Physics development packages
 This metapackage will install Debian Science packages related to development
 of High Energy Physics applications, which is a branch of physics that studies
 the elementary subatomic constituents of matter and radiation, and their
 interactions.  The field is also called Particle Physics.
 .
 You might also be interested in the debtag field::physics and, depending on
 your focus, in the physics and education-physics metapackages.
Homepage: http://wiki.debian.org/DebianScience/
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-highenergy-physics-dev_1.0_all.deb

Package: science-imageanalysis
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: gpiv, gpivtools, gwyddion, libcv-dev
Suggests: cimg-dev, libsimage-dev, libteem1, libvisad-java, scilab-sivp
Size: 7544
SHA256: 3108a8d84bac05f30f3ac3da99c894a1b7853519eace767ed2a35c40aaf3b67c
SHA1: 64071148ee6663c8de764d9d2d975883c7904efe
MD5sum: b4e5e7d137c6f8ea5c2df02051fad6f0
Description: Debian Science image analysis packages
 This metapackage will install Debian Science packages related to
 scientific image acquisition.  This might be used in several
 sciences (as for instance science-dataacquisition, science-viewing
 and science-typesetting).
Homepage: http://wiki.debian.org/DebianScience/
Tag: role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-imageanalysis_1.0_all.deb

Package: science-linguistics
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: apertium, artha, dimbl, frog, link-grammar, mbt, mbtserver, timbl, timblserver, ucto, wordnet
Suggests: libfolia1-dev, libmbt0-dev, libtimbl3-dev, libtimblserver2-dev, libucto1-dev, python-timbl, wnsqlbuilder
Size: 7538
SHA256: a6dabdeae64889310c90cca8c4d54688850d9ed8436dec4473b47765507c3879
SHA1: cbbb0a89b3f7228621c77df5c6f2d5a53eecc9d9
MD5sum: cae56283afc08fc826773b8a74503e84
Description: Debian Science Linguistics packages
 This metapackage is part of the Debian Pure Blend "Debian Science"
 and installs packages related to Linguistics.
Homepage: http://wiki.debian.org/DebianScience/
Tag: field::linguistics, role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-linguistics_1.0_all.deb

Package: science-machine-learning
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: autoclass, gprolog, libcv-dev, libevocosm-dev, libfann-dev, libga-dev, liblinear-dev, libocas-dev, libroot-math-mlp-dev, libroot-montecarlo-vmc-dev, libroot-tmva-dev, libsvm-dev, libtorch3-dev, libvigraimpex-dev, lush, mcl, octave-ga, pgapack, python-genetic, python-mdp, python-mlpy, python-mvpa, python-opencv, python-pebl, python-pyevolve, python-pyke, python-scikits-learn, python-statsmodels, python-vigra, r-cran-amore, r-cran-bayesm, r-cran-class, r-cran-cluster, r-cran-mass, r-cran-mcmcpack, r-cran-mnp, r-cran-msm, root-system, scilab-ann, vowpal-wabbit, weka, yap
Suggests: flann, libacovea-dev, libcomplearn-dev, libqsearch-dev, libshark-dev, libshogun-dev, lua-torch5, pybrain, python-orange, python-pymc, science-numericalcomputation, science-statistics, science-typesetting
Size: 7862
SHA256: 7ab47e38849dfc4e368df7adb17b32d3472db4975f0eeb8a20cf604f094940d2
SHA1: bc20ce19a776ad0edc3f62c114eb9b6cb89eb84b
MD5sum: 9a8445e89e36075d010413cb9400f162
Description: Debian Science Machine Learning packages
 This metapackage will install Debian packages which might be useful for
 scientists interested in machine learning.  Included packages range
 from knowledge-based (expert) inference systems to software
 implementing dominant nowadays statistical methods.
Homepage: http://wiki.debian.org/DebianScience/
Tag: role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-machine-learning_1.0_all.deb

Package: science-mathematics
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: agda, axiom, bliss, coq, dolfin-bin, fenics, freefem, freefem++, freefem3d, freemat, gap, geogebra, geomview, ginac-tools, hol-light, libmatheval1, lp-solve, lrslib, mathomatic, matita, mcl, minisat, mumps-test, octave, pari-extra, pari-gp, polylib-utils, proofgeneral, prover9, python-deap, python-ffc, python-openturns, qtoctave, regina-normal, rheolef, science-statistics, scilab, scilab-ann, scotch, snappea, wxmaxima, xmaxima, xmds
Suggests: eprover, gerris, getdp, gmsh, isabelle, kseg, libgivaro0, life-apps, magnus, nauty, netgen, netgen-doc, octaviz, polybori, polybori-gui, proofgeneral-coq, r-cran-evd, sagemath, scilab-scimax, scilab-sivp, scilab-swt, singular
Size: 7824
SHA256: 4ac17efc1e14366d4f0af14da143f85af21783fa14cbd5e2ce8b1cc6ca1e6636
SHA1: e69563d35bc3588ec59a1e0597821c009b2e3259
MD5sum: 2f5be2d2157813435fe54404d4c146f6
Description: Debian Science Mathematics packages
 This metapackage will install Debian Science packages related to
 Mathematics.  You might also be interested in the field::mathematics
 debtag and, depending on your focus, in the education-mathematics
 metapackage.
Homepage: http://wiki.debian.org/DebianScience/
Tag: field::mathematics, role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-mathematics_1.0_all.deb

Package: science-mathematics-dev
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: dolfin-dev, libarmadillo-dev, libarpack2-dev, libatlas-base-dev, libblas-dev, libblitz0-dev, libcgal-dev, libcqrlib2-dev, libcvector2-dev, libfreefem++-dev, libfreefem-dev, libglpk-dev, libgsl0-dev, libhypre-dev, libitsol-dev, libjama-dev, liblapack-dev, liblip-dev, libmadlib-dev, libmatheval-dev, libmatio-dev, libmuparser-dev, libnewmat10-dev, libpolybori-dev, libqd-dev, libranlip-dev, libscythestat-dev, libsparskit-dev, libspooles-dev, libstxxl-dev, libsuitesparse-dev, libsuperlu3-dev, libtnt-dev, petsc-dev, python-ffc, python-numpy, python-openopt, python-polybori, python-ufc, python-ufl, ufc
Suggests: cfortran, fflas-ffpack, fflas-ffpack-dev-doc, fflas-ffpack-user-doc, givaro-dev-doc, givaro-users-doc, libcneartree3-dev, libgivaro-dev, libitpp-dev, liblevmar-dev, liblife-dev, liblrs-dev, libmei-dev, libmesh-dev, libnauty-dev, libnglib-dev, libopenturns-dev, libpolybori-groebner-dev, libqrupdate-dev, libslepc3.0.0-dev, libtet1.4.2-dev, libtrilinos-dev, openturns-wrapper, python-openturns
Size: 7836
SHA256: d15c514352d1c0e9a0e4e32b347fc92c90aeb9ed3eeb9736fe31a83acce5eabe
SHA1: f554287fce6cb7aa7cae7eaa1552ae431d9754a8
MD5sum: 8f78b38c329af3c60244361666a94f69
Description: Debian Science Mathematics-dev packages
 This metapackage will install Debian Science packages which might be
 helpful for development of applications for Mathematics.
 .
 You might also be interested in the science-mathematics metapackage.
Homepage: http://wiki.debian.org/DebianScience/
Tag: field::mathematics, role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-mathematics-dev_1.0_all.deb

Package: science-meteorology
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: aweather, cdo, cmip5-cmor-tables, dballe, ecaccess, grads, libdap-bin, libdap-doc, libgrib-api-tools, magics++, nco, ncview, python-cmor, python-drslib, python-grib, vistrails
Suggests: ferret-vis, freefoam, geonetwork, gerris, gnudatalanguage, hdf-eos4, hdf-eos5, ncl, octave-octcdf, paraview, pydap, python-netcdf4, python-openturns, python-spherepack, r-base, visit, zygrib
Size: 7648
SHA256: 820600f2325c3e40343ab61cc799b3d1b36530b3dc4fee99f817708174603fbc
SHA1: ecb07c7c9688fae15f39046733bddf2590d6fa25
MD5sum: 14f6fdfc53a0b795f88a290319d7c800
Description: Debian Science Meteorology packages
 This metapackage is part of the Debian Pure Blend "Debian Science"
 and installs packages related to Meteorology and Climate.
Homepage: http://wiki.debian.org/DebianScience/
Tag: field::meteorology, role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-meteorology_1.0_all.deb

Package: science-meteorology-dev
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: libblas-dev, libblas-doc, libcmor-dev, libdap-dev, libemos-dev, libfftw3-dev, libfftw3-doc, libgadap-dev, libgctp-dev, libgrib-api-dev, libgrib-api-tools, libgrib2c-dev, libhe5-hdfeos-dev, liblapack-dev, liblapack-doc, liblas-dev, libnetcdf-dev, liboasis3-dev, libopenturns-dev, libproj-dev, librsl-dev, libterralib-dev, libudunits2-dev, netcdf-bin, python-openturns, wcslib-dev, wcslib-doc
Suggests: freefoam-dev, gfortran, ksh, libhe4-hdfeos-dev, liblife-dev, libspherepack-dev, openturns-wrapper, pkg-config
Size: 7666
SHA256: a2c55766d1736dddd07ec20dade234ddcfe060038601579f6d177662ca1637b0
SHA1: f0be3d95c80bd9817593e06cdb2a2e8380fe7862
MD5sum: 1f3e482aa87aa0150fe9c652f9a99c5e
Description: Debian Science Meteorology-dev packages
 This metapackage will install Debian Science packages which might be
 helpful for development of applications for Meteorology and Climate.
 .
 You might also be interested in the science-meteorology metapackage.
Homepage: http://wiki.debian.org/DebianScience/
Tag: field::meteorology, role::metapackage
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-meteorology-dev_1.0_all.deb

Package: science-nanoscale-physics
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: abinit, avogadro, axiom, cadabra, cp2k, drawxtl, etsf-io, extrema, feynmf, fityk, garlic, gdis, ggobi, ghemical, gnuplot, gperiodic, grace, graphviz, gsl-bin, gwyddion, libblacs-mpi1, libblas3gf, libfftw3-3, libgsl0ldbl, liblapack3gf, libopenmpi1.3, libscalapack-mpi1, maxima, mayavi2, mpqc, nco, ncview, netcdf-bin, netcdf-doc, openmpi-bin | mpich2, openmpi-doc | mpich2-doc, openmx, psi3, pymca, python-ase, python-scientific, python-scipy, python-scitools, python-sympy, pyxplot, qtoctave, quantum-espresso, science-numericalcomputation, udav, v-sim
Suggests: ape, atompaw, bigdft, dx, dx-doc, espresso++, exciting, fdmnes, gmsh, gpaw, gpiv, gpivtools, horae, ifeffit, libmpich1.0gf, libmpich2-1.2, life-apps, mpi-doc, mpich-bin, octaviz, octopus, python-elemental, qtiplot, scidavis, science-mathematics, science-statistics, scilab-sivp, sixpack, wannier90
Size: 8328
SHA256: 56797adb14d790e7622ddc937ccd211e5cf0557fcdb0a341716da6c478bb7d58
SHA1: 428eb5b263bc95d1e409464129226fc0efbce0b7
MD5sum: 9c65d120f1a339f86ce8b0a2e32f7ddc
Description: Debian Science Nanoscale Physics packages
 This metapackage will install Debian Science packages related to
 Nanoscale Physics, which corresponds to the study of physical systems
 typically ranging from 1 to 100 nm in size. The properties of such
 systems usually depend on the number of atoms they are made of, while
 this number is still relatively large for an accurate description.
 .
 The nanoscale is the meeting point of classical and quantum physics.
 Previous research efforts were considering either smaller systems, for
 which everybody could develop their own methods and software
 independently, or much bigger systems, for which it was clearly
 impossible to provide a fine-grained description. Addressing the issues
 raised by the nanoscale requires however cooperative and coordinated
 efforts in a multidisciplinary context. This metapackage is part of
 such an endeavor.
 .
 You might also be interested in the debtag field::physics and, depending on
 your focus, in the physics and education-physics metapackages.
Homepage: http://wiki.debian.org/DebianScience/
Tag: field::physics, role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-nanoscale-physics_1.0_all.deb

Package: science-nanoscale-physics-dev
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: abinit-doc, gsl-bin, libblacs-mpi-dev, libblas-dev, libblas-doc, libetsf-io-dev, libetsf-io-doc, libfftw3-dev, libfftw3-doc, libgsl0-dev, liblapack-dev, liblapack-doc, libnetcdf-dev, libroot-math-mlp-dev, libroot-montecarlo-vmc-dev, libroot-tmva-dev, libscalapack-mpi-dev, netcdf-doc, root-system, scalapack-doc, science-mathematics-dev
Suggests: gsl-doc-info, gsl-doc-pdf, gsl-ref-html, gsl-ref-psdoc, libfox95, liblife-dev, libnbc, libopencascade-dev, libpspio, libtrilinos-dev, libtrilinos-doc, libxc, libxc-dev, opencascade-draw, python-netcdf, spglib, wannier90-dev
Size: 7770
SHA256: c0d0ed6af5016c1da133ee81e8f5ab79d28878de750dc5ed029e59ad0b262af7
SHA1: 2b6d5b790bf95cc952ce0deebc141fc9afef57d1
MD5sum: 05ca74ddd6bc0a72d5b47ffa435284c1
Description: Debian Science Nanoscale Physics development packages
 This metapackage will install Debian Science packages which might be
 helpful for the development of applications for Nanoscale Physics.
 .
 You might also be interested in the debtag field::physics and, depending
 on your focus, in the nanoscale-physics, physics and education-physics
 metapackages.
Homepage: http://wiki.debian.org/DebianScience/
Tag: field::physics, role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-nanoscale-physics-dev_1.0_all.deb

Package: science-neuroscience-cognitive
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: amide, caret, dicom3tools, dicomnifti, itksnap, medcon, minc-tools, mriconvert, mricron, nifti-bin, openmeeg-tools, praat, psignifit, python-mvpa, python-nifti, python-pyepl, python-pypsignifit, python-pyxnat, python-statsmodels, voxbo, xmedcon
Suggests: afni, bioimagesuite, brainvisa, connectomeviewer, debruijn, eeglab, fieldtrip, freesurfer, fsl, fslview, hid, iqr, lipsia, mni-autoreg, mni-n3, pysurfer, science-psychophysics, science-typesetting, slicer, spm8, xnat
Size: 7928
SHA256: d3c9f2a024c80a6ee281811f1a9f9d790249bfaa24b8ccf614c036a66de18c8a
SHA1: df938df116780d137b5c661c9737d7cf3689d2b2
MD5sum: 01bf502cf75a481e1377ef2bbfdfbd13
Description: Debian Science packages for Cognitive Neuroscience
 This metapackage will install Debian packages which might be useful for
 scientists doing cognitive neuroscience research. This comprises the full
 research process from conducting psychophysical experiments, over data
 acquisition and analysis, to visualization and typesetting of scientific
 results.
 .
 The selection of packages is targeting the application of analysis
 techniques. Methods developers are referred to the science-statistics,
 science-imageanalysis, science-numericalcomputation, med-imaging, and
 med-imaging-dev metapackages for a variety of additional software that
 might be useful in the context of cognitive neuroscience.
Homepage: http://wiki.debian.org/DebianScience/
Tag: role::metapackage
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-neuroscience-cognitive_1.0_all.deb

Package: science-neuroscience-modeling
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: python-brian
Suggests: cnrun, invt, moose, nest, pcsim, pynn, xppaut
Size: 7700
SHA256: e74e15531f5eaea8cafc3391b762bfca2eba41f0b99f3124c23c6a1aec14e2d4
SHA1: e4af67cb2b6c745b50b99634feee9bf9dcc5005f
MD5sum: b076d9c08a1d886906f30af8d0720888
Description: Debian Science packages for modeling of neural systems
 This metapackage will install Debian packages which might be useful for
 scientists interested in modeling of real neural systems at different
 levels (from single neuron to complex networks).
 .
 The selection of packages is targeting the application of simulation
 techniques. Methods developers are referred to the
 science-statistics, science-imageanalysis,
 science-numericalcomputation, med-imaging, and med-imaging-dev
 metapackages for a variety of additional software that might be
 useful for neuroscience research.
Homepage: http://wiki.debian.org/DebianScience/
Tag: role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-neuroscience-modeling_1.0_all.deb

Package: science-numericalcomputation
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: esys-particle, freemat, gnudatalanguage, ipython, lammps, liggghts, lush, octave, pdl, python-deap, python-guiqwt, python-matplotlib, python-numpy, python-scipy, python-scitools, qtoctave, r-base, scilab, scilab-ann, spyder, yade, yorick
Suggests: hpcc, octavede, scilab-scimax, scilab-sivp, scilab-swt
Size: 7686
SHA256: 8996b2d5c3f90486d59ce0de58159d06ca541dad527f09143d99836c54bf414b
SHA1: c62055c35a58dfb7723d6ad5b986422aea9ff37b
MD5sum: 2a5a87f693de68c04c4bc79bbf1cab90
Description: Debian Science Numerical Computation packages
 This metapackage will install Debian Science packages useful for
 numerical computation. The packages provide an array oriented
 calculation and visualisation system for scientific computing and
 data analysis. These packages are similar to commercial systems such
 as Matlab and IDL.
Homepage: http://wiki.debian.org/DebianScience/
Tag: devel::lang:lisp, role::metapackage, role::shared-lib
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-numericalcomputation_1.0_all.deb

Package: science-physics
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: abinit | mpqc | openmx | psi3, axiom, cadabra, cernlib, cp2k, drawxtl, etsf-io, feynmf, fityk, geant321, ghkl, gpiv, gpivtools, gwyddion, libroot-math-mlp-dev, libroot-montecarlo-vmc-dev, libroot-tmva-dev, maxima, meshlab, opticalraytracer, paw, paw++, pymca, python-deap, python-sympy, quantum-espresso, root-system, science-electronics, science-numericalcomputation, tessa | tessa-mpi, v-sim
Suggests: blzpack, clhep, espresso++, fdmnes, freefoam, gate, geant4, gerris, horae, ifeffit, jfreemesh, liblife-dev, life-apps, octaviz, opencascade-draw, openfoam, paw-demos, science-mathematics, science-statistics, scilab-sivp, sixpack, spis
Size: 7818
SHA256: ba8925efacb3353d98b4214e951dbfcb5bff2d49c0eecc4cadb7088c691c297a
SHA1: 41d44aa5dfa535a6e247bc8ccda35fd9a2ec8948
MD5sum: 22c6e9e8c4e8a09f7eb021edbf5af3d2
Description: Debian Science Physics packages
 This metapackage will install Debian Science packages related to Physics.
 You might also be interested in the debtag field::physics and, depending on
 your focus, in education-physics metapackage.
Homepage: http://wiki.debian.org/DebianScience/
Tag: field::physics, role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-physics_1.0_all.deb

Package: science-physics-dev
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: pyfai
Suggests: freefoam-dev
Size: 7480
SHA256: d05c2f2b390a4f81939b7e47d9a7c05adb8556100045b202f224cd068b18a709
SHA1: 757bfa6611b54607ab580afcc787b011eb4fa283
MD5sum: 9f3f95623d9e6dc706c77545ba9ba164
Description: Debian Science Physics-dev packages
 This metapackage will install Debian Science packages which might be
 helpful for development of applications for Mathematics.
 .
 You might also be interested in the science-physics metapackage.
Homepage: http://wiki.debian.org/DebianScience/
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-physics-dev_1.0_all.deb

Package: science-psychophysics
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: libfreenect-dev, octave-psychtoolbox-3, psychopy, python-pyepl, python-pyoptical
Suggests: debruijn, nrec, opensesame, pebl, psychlops, psytoolkit, python-pyxid, science-bci, science-neuroscience-cognitive, tscope, visionegg
Size: 7748
SHA256: 507e5375d0e345e3a5e1c2010a315dd91c9fe472255f5d6aa6b796d3bb3cba92
SHA1: 73378b770f226ffa85df2676b853061563998e81
MD5sum: 9b743d7e9048d6e1b3b0634a3e631a52
Description: Debian Science packages for Psychophysics
 This metapackage will install Debian packages which might be useful for
 carrying out any experiment relating physical stimuli and their
 psychological effects.
 .
 The selection of packages is targeting software for stimuli delivery.
 For additional software related to the analysis of the acquired data
 refer to science-neuroscience-cognitive, med-imaging depending on the
 domain of application.  Additionally look into
 science-bci since those often provide a complete loop
 frameworks including stimuli delivery.
Homepage: http://wiki.debian.org/DebianScience/
Tag: role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-psychophysics_1.0_all.deb

Package: science-robotics
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: gnuplot, libboost-dev, libcoin60-runtime, libcomedi0, libcv-dev, libode-dev, morse-simulator, octave, robot-player, rtai, xenomai-runtime
Suggests: d-collide, libopenigtlink1, libvtk5.4, orca, orocos-bfl, orocos-kdl, orocos-ocl, orocos-rtt, roboop, rtnet, solid
Size: 7588
SHA256: 01009fb3e72b905a68394b2546676be28225a4913d4eca445d328b0d6c9849f2
SHA1: 8c671d2c2e7cb898af7215a63eee5069dcc5ea8d
MD5sum: 410a36626373f6b244489a09b3a709fd
Description: Debian Robotics packages
 This metapackage is part of the Debian Pure Blend "Debian Science"
 and installs packages related to Robotics.
 .
 You might also be interested in the science-engineering metapackage.
Homepage: http://wiki.debian.org/DebianScience/
Tag: field::physics, role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-robotics_1.0_all.deb

Package: science-simulations
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: esys-particle, lammps, liggghts, python-deap, sumo, yade
Suggests: fdmnes, freefoam, getdp
Size: 7478
SHA256: 87a621d427dab074f467a7799c9cfedb213457e11b620c23267d391700b5602c
SHA1: 3fe96fb5ab6583793824692b5a9d0a77edbafb11
MD5sum: 364771623e7c0b440cd62021fe73fa4d
Description: Debian Science Simulation packages
 This metapackage will install Debian Science packages that are
 used to do simulations in different fields of science.
Homepage: http://wiki.debian.org/DebianScience/
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-simulations_1.0_all.deb

Package: science-statistics
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: ess, littler, psignifit, python-openturns, python-scipy, python-statsmodels, r-cran-abind, r-cran-amore, r-cran-bayesm, r-cran-boot, r-cran-cairodevice, r-cran-car, r-cran-chron, r-cran-cluster, r-cran-coda, r-cran-codetools, r-cran-date, r-cran-dbi, r-cran-eco, r-cran-effects, r-cran-fbasics, r-cran-fcopulae, r-cran-fextremes, r-cran-fmultivar, r-cran-foptions, r-cran-foreign, r-cran-fportfolio, r-cran-gdata, r-cran-gmodels, r-cran-gplots, r-cran-gregmisc, r-cran-gtools, r-cran-hdf5, r-cran-hmisc, r-cran-its, r-cran-kernsmooth, r-cran-lattice, r-cran-latticeextra, r-cran-lme4, r-cran-lmtest, r-cran-mapdata, r-cran-mapproj, r-cran-maps, r-cran-matchit, r-cran-matrix, r-cran-mcmcpack, r-cran-mgcv, r-cran-misc3d, r-cran-mnp, r-cran-msm, r-cran-multcomp, r-cran-mvtnorm, r-cran-nlme, r-cran-pscl, r-cran-psy, r-cran-qtl, r-cran-quadprog, r-cran-rcmdr, r-cran-relimp, r-cran-rggobi, r-cran-rgl, r-cran-rgtk2, r-cran-rmpi, r-cran-rms, r-cran-rmysql, r-cran-rodbc, r-cran-rpart, r-cran-rquantlib, r-cran-rserve, r-cran-rsprng, r-cran-sandwich, r-cran-sm, r-cran-snow, r-cran-strucchange, r-cran-survival, r-cran-teachingdemos, r-cran-tkrplot, r-cran-tseries, r-cran-vcd, r-cran-vgam, r-cran-xml, r-cran-zelig, r-cran-zoo, r-recommended, rkward
Suggests: pandas, python-stats, r-cran-acepack, r-cran-fcalendar, r-cran-fecofin, r-cran-fseries, r-cran-rmetrics, r-cran-rpvm, r-cran-spc, r-cran-vr, r-other-gking-matchit, science-mathematics
Size: 8046
SHA256: c3cae717870af752032fea91af0c4066b676eefac187202198273a7b779f4768
SHA1: e4ec3d4fae728723ade2388c52957d475f29af9e
MD5sum: 9c222f0c09ae0d1ca79d341eb1ee3441
Description: Debian Science Statistics packages
 This metapackage is part of the Debian Pure Blend "Debian Science"
 and installs packages related to statistics.  This task is a general
 task which might be useful for any scientific work.  It depends from
 a lot of R packages as well as from other tools which are useful to
 do statistics.  Moreover the Science Mathematics task is suggested
 to optionally install all mathematics related software.
Homepage: http://wiki.debian.org/DebianScience/
Tag: role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-statistics_1.0_all.deb

Package: science-tasks
Source: debian-science
Version: 1.0
Installed-Size: 67
Maintainer: Debian Science Team 
Architecture: all
Depends: tasksel
Size: 13054
SHA256: fa02405788dea358e6f3566dc89850fd5ee39103c0559433fd6ff90d18f6e727
SHA1: df8f6c9a119103ddf57196144f8f2bc3946ed119
MD5sum: 349ad30c46ef95ff6f053b3d338a0f7e
Description: Debian Science tasks for tasksel
 This package is part of the Debian Pure Blend "Debian Science" and provides
 additional tasks for tasksel.  If this package will be installed all tasks
 which are provided by Debian Science will be added to the tasksel menu.
Homepage: http://wiki.debian.org/DebianScience/
Tag: admin::package-management, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-tasks_1.0_all.deb

Package: science-typesetting
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: auctex, biber, bibus, bibutils, cb2bib, dia, diploma, feynmf, impose+, jabref, jeuclid-mathviewer, kbibtex, latexdiff, libjlatexmath-java, lyx, mlpost, pybliographer, referencer, sketch, texlive, texlive-bibtex-extra, texlive-math-extra, texlive-publishers, texlive-science, texmacs, texmacs-extra-fonts, transfig, xfig
Suggests: csv2latex, gpapers, libgtkmathview-bin, openoffice.org-dmaths, pymarc, quaneko, r-cran-xtable, tellico, texmaker, zotero
Size: 7680
SHA256: 91a953272993bbb4c12ef080fdaa3a5e29de11d344e58dd8d878c92661a2ecd3
SHA1: 2aefd0438346691e13ab800232155ecaf48df69a
MD5sum: dd7ae0449f9c3c66c9ab104c7ee1adc3
Description: Debian Science typesetting packages
 This metapackage will install Debian Science packages related to
 typesetting.  You might also be interested in the use::typesetting
 debtag.
Homepage: http://wiki.debian.org/DebianScience/
Tag: role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-typesetting_1.0_all.deb

Package: science-viewing
Source: debian-science
Version: 1.0
Installed-Size: 60
Maintainer: Debian Science Team 
Architecture: all
Depends: science-tasks (= 1.0), science-config (= 1.0)
Recommends: 3depict, fityk, g3data, gle-graphics, gnudatalanguage, gnuplot, grace, graphviz, gri, gwyddion, ifrit, kst, lybniz, mathgl, mayavi2, paraview, pdl, plotdrop, python-guiqwt, python-scientific, python-viper, pyxplot, qtiplot, udav, vistrails, yorick
Suggests: cassandra, circos, findimagedupes, gmsh, grits, imview, jeuclid-mathviewer, labplot, libgtkmathview-bin, libpuzzle-bin, matlab2tikz, med-imaging, pgfplots, pgplot5, python-matplotlib, python-scitools, r-cran-aplpack, r-cran-colorspace, r-cran-plotrix, texlive-pictures, visit
Size: 7734
SHA256: dee36bf589b5977828eb7ca65d2f0d6976d3dcc255dd48a2a41cd5906c247534
SHA1: b88deb6df2964e92b558f32096d4b9d6cfcfe70a
MD5sum: 6cb9beba2cd2f0d55f77c35a9a92d505
Description: Debian Science data visualisation packages
 This metapackage will install Debian Science packages related to data
 visualization. You might also be interested in the use::viewing
 debtag.
Homepage: http://wiki.debian.org/DebianScience/
Tag: role::metapackage, suite::debian
Section: science
Priority: extra
Filename: pool/main/d/debian-science/science-viewing_1.0_all.deb

Package: scilab
Version: 5.3.3-10
Installed-Size: 629
Maintainer: Debian Science Team 
Architecture: all
Depends: scilab-cli (>= 5.3.3-10), scilab-full-bin
Recommends: scilab-doc (= 5.3.3-10)
Suggests: scilab-doc-fr (= 5.3.3-10), scilab-doc-pt-br (= 5.3.3-10), scilab-doc-ja (= 5.3.3-10)
Size: 268290
SHA256: ea7777ed6c07a0f3d40ffb5af24dbcaedd351ab5dd3842e06c617c9abf2685bb
SHA1: 741b3273bb9679c2ade345d471504a8062cdbf3c
MD5sum: 64ffd5ffd62e8636a6cd956b8897eb95
Description: Scientific software package for numerical computations
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for control, signal
 processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 Scilab is one of the most credible alternatives to Matlab and Simulink.
 .
 For a minimum version of scilab, install package "scilab-cli".
Homepage: http://www.scilab.org
Tag: field::electronics, field::mathematics, field::physics,
 field::statistics, implemented-in::c, implemented-in::fortran,
 implemented-in::java, interface::x11, role::program, scope::utility,
 uitoolkit::tk, use::analysing, use::learning, works-with::image,
 x11::application
Section: math
Priority: optional
Filename: pool/main/s/scilab/scilab_5.3.3-10_all.deb

Package: scilab-ann
Version: 0.4.2.4-1
Installed-Size: 704
Maintainer: Debian Science Team 
Architecture: all
Depends: scilab (>= 5.1.1)
Size: 130958
SHA256: 6bf751d7b9c1bb8277d05a5e128a22dcbbf8bf54e76c2f3529e971258b093b51
SHA1: 01e20a9413dae92f44c4507c3b161dc4b657d320
MD5sum: b3c1315fda84e0d587640623fe105967
Description: Scilab module for artificial neural networks
 This module implements artificial neural networks capabilities
 into the Scilab language.
 Current features are:
  * Only layered feedforward networks are supported *directly* at the moment
    (for others use the "hooks" provided)
  * Unlimited number of layers
  * Unlimited number of neurons per each layer separately
  * User defined activation function (defaults to logistic)
  * User defined error function (defaults to SSE)
  * Algorithms implemented so far:
    * standard (vanilla) with or without bias, on-line or batch
    * momentum with or without bias, on-line or batch
    * SuperSAB with or without bias, on-line or batch
    * Conjugate gradients
    * Jacobian computation
    * Computation of result of multiplication between "vector" and Hessian
  * Some helper functions provided
Homepage: http://atoms.scilab.org/toolboxes/ANN_Toolbox/
Tag: devel::library, role::devel-lib, role::shared-lib
Section: math
Priority: optional
Filename: pool/main/s/scilab-ann/scilab-ann_0.4.2.4-1_all.deb

Package: scilab-celestlab
Version: 2.3.0-1-1
Installed-Size: 10956
Maintainer: Debian Science Team 
Architecture: all
Depends: scilab (>= 5.0)
Size: 2839204
SHA256: fe3f3a6214d1976c7eaa517b3f894b9381978ad3a3535fe3439c00e61a538666
SHA1: 700e68cacd060fb18382ea371fc9ca49193ed9dd
MD5sum: b61e4f34065cbbb4b7e8be3d7e5cbe13
Description: Library of space flight dynamics functions based on Scilab.
 CelestLab is a library of space flight dynamics functions written in Scilab.
 This library has been developed and is maintained by the CNES (Centre National
 d'Etudes Spatiales) for mission analysis purposes. The library is used by CNES
 for the trajectory analysis and orbit design for various type of missions.
 CelestLab gathers in ten modules about 200 functions that allow mission
 designers to perform various tasks such as: orbit propagation, attitude
 computation, elementary manoeuvre computation, change of reference frames,
 change of coordinates, three body orbit analysis. CelestLab has been validated
 against the CNES flight dynamics reference software. Selected examples from
 mission analysis typical studies are provided in the CelestLab help pages.
Homepage: http://atoms.scilab.org/toolboxes/celestlab
Section: math
Priority: optional
Filename: pool/main/s/scilab-celestlab/scilab-celestlab_2.3.0-1-1_all.deb

Package: scilab-cli
Source: scilab
Version: 5.3.3-10
Installed-Size: 198
Maintainer: Debian Science Team 
Architecture: all
Depends: scilab-minimal-bin (>= 5.3.3-10), scilab-data (= 5.3.3-10), scilab-include (>= 5.3.3-10)
Recommends: scilab-sivp (>= 0.5.2)
Suggests: gfortran, gcc, scilab-swt, scilab-scimax, scilab-plotlib, scilab-ann
Size: 79252
SHA256: bae90702c2889178ff33716567c3705da2e16f26ec0d4e931ced5e37dc75ffa2
SHA1: 7367b54f50441e670c31b28e9402f6c6b1f676b0
MD5sum: 61cbb87ce6b7f4547321133ccca23b8d
Description: Scientific software package - Command Line Interpreter
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package provides Scilab as Command Line Interpreter (CLI). This package
 provides Scilab as a computing engine or script interpreter.
 Please install the package "scilab" to have all features.
Homepage: http://www.scilab.org
Tag: role::program
Section: math
Priority: optional
Filename: pool/main/s/scilab/scilab-cli_5.3.3-10_all.deb

Package: scilab-data
Source: scilab
Version: 5.3.3-10
Installed-Size: 86835
Maintainer: Debian Science Team 
Architecture: all
Size: 12947534
SHA256: 43f15ffbf4e9e462fffca73bd8ee7b861fb0581604ad94b1135e99be434c3ad5
SHA1: 848d41a0816c3b871f2a59f9cd926c61ec85ddbf
MD5sum: 2b648ec050a0492927f1b1fae2cf06ec
Description: Scientific software package for numerical computations (data files)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains the data files for Scilab.
Homepage: http://www.scilab.org
Tag: role::app-data
Section: math
Priority: optional
Filename: pool/main/s/scilab/scilab-data_5.3.3-10_all.deb

Package: scilab-doc
Source: scilab
Version: 5.3.3-10
Installed-Size: 25938
Maintainer: Debian Science Team 
Architecture: all
Depends: scilab
Size: 11220576
SHA256: bbfd0c77320213e4b68424a9fde0a5233f033227cc0f5da3f41028a18f42fe8f
SHA1: dcf0d62193c0e0c8f3ba749719c3b403d73d13b1
MD5sum: 5bb48a54613f145741444f5a6ef391f4
Description: Scientific software package (english documentations)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains demos, examples and help pages.
Homepage: http://www.scilab.org
Tag: field::electronics, field::mathematics, field::statistics,
 made-of::html, made-of::xml, role::documentation, use::analysing
Section: doc
Priority: optional
Filename: pool/main/s/scilab/scilab-doc_5.3.3-10_all.deb

Package: scilab-doc-fr
Source: scilab
Version: 5.3.3-10
Installed-Size: 11326
Maintainer: Debian Science Team 
Architecture: all
Depends: scilab-doc
Size: 10311394
SHA256: 30c5b2a9c929668a5dc95003bcff5c5c17fdbb579fadc866819c24b63069bd23
SHA1: e61a0e6f19d99e303f3abaf5105e26c55e2afb36
MD5sum: 1bf8e85582e4808044a3af23f4c770ec
Description: Scientific software package (french documentation)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains help pages in French.
Homepage: http://www.scilab.org
Tag: culture::french, made-of::xml, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/scilab/scilab-doc-fr_5.3.3-10_all.deb

Package: scilab-doc-ja
Source: scilab
Version: 5.3.3-10
Installed-Size: 10047
Maintainer: Debian Science Team 
Architecture: all
Depends: scilab-doc
Size: 9850514
SHA256: 0030074db88a4a26f1666bbca2dc87151c80dd2930c4a0274e6311dde501a205
SHA1: c9192385de7f3e8e409e3be1d8875775cd11cd49
MD5sum: 1656619b8f3e3fffea92f5feedcba1fd
Description: Scientific software package (Japanese documentation)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains help pages in Japanese documentation.
Homepage: http://www.scilab.org
Tag: culture::japanese, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/scilab/scilab-doc-ja_5.3.3-10_all.deb

Package: scilab-doc-pt-br
Source: scilab
Version: 5.3.3-10
Installed-Size: 10167
Maintainer: Debian Science Team 
Architecture: all
Depends: scilab-doc
Size: 9132888
SHA256: bc4bb6766efa1c1b913c86424ede492c8f657cc78707abee3ea02023f9f2be14
SHA1: 04b0574c5ca418fc34b9c9be6ccd14bbda132d7e
MD5sum: 71ba2d1fd01f6768622303476b3d9c10
Description: Scientific software package (Brazilian Portuguese documentation)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains help pages in Brazilian Portuguese documentation.
Homepage: http://www.scilab.org
Tag: culture::brazilian, made-of::xml, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/scilab/scilab-doc-pt-br_5.3.3-10_all.deb

Package: scilab-full-bin
Source: scilab
Version: 5.3.3-10
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 3242
Depends: libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3), libhdf5-7, liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libncurses5 (>= 5.5-5~), libpcre3 (>= 8.10), libpvm3, libstdc++6 (>= 4.6), libtinfo5, libxml2 (>= 2.7.4), scilab-minimal-bin (= 5.3.3-10), tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), libflexdock-java (>= 0.5.2), libjogl-java (>= 1.1.1), libjrosetta-java (>= 1.0.1), libjlatexmath-java (>= 0.9.4), libjlatexmath-fop-java (>= 0.9.4), libjeuclid-core-java, libbatik-java (>= 1.7), default-jre, fop (>= 0.95), libsaxon-java, libavalon-framework-java, docbook-xsl, javahelp2, libjgraphx-java (>= 1.2.0.8), libjhdf5-java (>= 2.8), libskinlf-java, libjgoodies-looks-java
Recommends: pvm
Replaces: scilab (<< 5.0), scilab-bin, scilab-minimal-bin (<< 5.3)
Homepage: http://www.scilab.org
Priority: optional
Section: math
Filename: pool/main/s/scilab/scilab-full-bin_5.3.3-10_armhf.deb
Size: 1158874
SHA256: fefe2e8e2b62166b71c06bd2d460d05a6f3941c2574fd0649d0b515ba3365cd5
SHA1: 0f007cfd8e04d540c106216aa3a7aa7a4fbff041
MD5sum: d1159e5515e824df81a03e718fada750
Description: Scientific software package for numerical computations (all binary files)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 .
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains the architecture specific binary files.

Package: scilab-full-bin-dbg
Source: scilab
Version: 5.3.3-10
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 13753
Depends: scilab-full-bin (>= 5.3.3-10)
Suggests: scilab (>= 5.3.3-10)
Homepage: http://www.scilab.org
Priority: extra
Section: debug
Filename: pool/main/s/scilab/scilab-full-bin-dbg_5.3.3-10_armhf.deb
Size: 4342212
SHA256: c95464efcfe0fcca34214f44e4f42eafc3ab1ca4df7d761c66b56e1648bc7cbc
SHA1: 43de0a95c9e695294ffe6261345d6ee95b50c045
MD5sum: 460cffe493b0cc1b8b6285fd26400f6d
Description: Scientific software package (scilab debugging symbols)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package contains the architecture specific debugging symbols.

Package: scilab-getfem++
Source: getfem++
Version: 4.1.1+dfsg1-12~deb7u1
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 13557
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmuparser2 (>= 2.1.0), libqhull5 (>= 2003.1), libstdc++6 (>= 4.6), libgetfem4++ (= 4.1.1+dfsg1-12~deb7u1), scilab
Homepage: http://home.gna.org/getfem/
Priority: extra
Section: libs
Filename: pool/main/g/getfem++/scilab-getfem++_4.1.1+dfsg1-12~deb7u1_armhf.deb
Size: 5399090
SHA256: 5a1d2cfa7451d77d59baffe9ff85f59c707e139b128923f166ba17e5cf6a7450
SHA1: b6be3c06709045313ccbd7efe5173d3f433f1cff
MD5sum: 994eeb89cc7acb60a18d6dca32172294
Description: Scilab interface to the GETFEM++ generic finite element library
 GETFEM++ is a library allowing the computation of any elementary matrix (even
 for mixed finite element methods) on the largest class of methods and elements,
 and for arbitrary dimension.
 .
 This package contains the Scilab interface to GETFEM++.

Package: scilab-include
Source: scilab
Version: 5.3.3-10
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 544
Homepage: http://www.scilab.org
Priority: optional
Section: math
Filename: pool/main/s/scilab/scilab-include_5.3.3-10_armhf.deb
Size: 137692
SHA256: 5b3589609e1c3576fb54431f99046c79bcbe642d9e79b383de93e58bd67fc99a
SHA1: 0366aeddb0ff209961c1e718f8f37dbb80e0e468
MD5sum: ebef563f84466120207083ca1e6c2255
Description: Scientific software package for numerical computations (include files)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains the include files for Scilab (used in the dynamic link).

Package: scilab-jims
Version: 1.0-1
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 478
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.4.0), scilab (>= 5.3.1), libecj-java
Homepage: http://forge.scilab.org/index.php/p/JIMS/
Priority: optional
Section: math
Filename: pool/main/s/scilab-jims/scilab-jims_1.0-1_armhf.deb
Size: 170652
SHA256: 81d9c21899d198f8c547d7f4445e35078dc38baae8d695c5e6a6c3dba2aa56cf
SHA1: dbb3d985f648516d795bb878268a888fb1fbbf66
MD5sum: 48d628e45c12b757cc22e2be156a3337
Description: Binds Java from the Scilab engine
 JIMS is an effort to allow Scilab programs full access to Java class
 libraries. This is achieved by interfacing at the native level in both Virtual
 Machines.
 .
 From Scilab, JIMS allows the capability to load and manage Java objects
 from the Scilab interpreter.
 .
 Thanks to this module, Scilab can access to complex and advanced Java objects
 with Scilab classical data types.

Package: scilab-minimal-bin
Source: scilab
Version: 5.3.3-10
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 5235
Depends: libamd2.2.0 (>= 1:3.4.0), libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libgomp1 (>= 4.2.1), libhdf5-7, liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libmatio0, libncurses5 (>= 5.5-5~), libpcre3 (>= 8.10), libpvm3, libstdc++6 (>= 4.6), libtinfo5, libumfpack5.4.0 (>= 1:3.4.0), libxml2 (>= 2.7.4), tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), zlib1g (>= 1:1.1.4), scilab-data (= 5.3.3-10)
Replaces: scilab-bin
Homepage: http://www.scilab.org
Priority: optional
Section: math
Filename: pool/main/s/scilab/scilab-minimal-bin_5.3.3-10_armhf.deb
Size: 2609878
SHA256: 1430fa8b5a84cf984f279ba10c6a4be48fba947b9246e4791c992b8c4bd9de1e
SHA1: 6ce51513a923a4c697ccccf26c3734e61f7af8f2
MD5sum: 36fae1f3bd4c370aa250f9f6b0df3bcc
Description: Scientific software package for numerical computations (minimal binary files)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains the architecture specific binary files.
 All mandatory libraries for scilab-cli are shipped in this package.
 Please install the package "scilab" to have all features.

Package: scilab-minimal-bin-dbg
Source: scilab
Version: 5.3.3-10
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 10790
Depends: scilab-minimal-bin (>= 5.3.3-10)
Suggests: scilab (>= 5.3.3-10)
Homepage: http://www.scilab.org
Priority: extra
Section: debug
Filename: pool/main/s/scilab/scilab-minimal-bin-dbg_5.3.3-10_armhf.deb
Size: 3720764
SHA256: e66bd15f17a5f67ef0116be065c09bdd328238c8f1a1e22b2194bb66cb552c72
SHA1: 27d0933a1035bb52d4435cfbffa17ad0cd433db0
MD5sum: 22534c96a180afaae3072563f3cccd92
Description: Scientific software package (scilab-cli debugging symbols)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains the architecture specific debugging symbols.

Package: scilab-plotlib
Version: 0.42-1
Installed-Size: 4096
Maintainer: Debian Science Team 
Architecture: all
Depends: scilab (>= 5.1.1)
Size: 610184
SHA256: 41a98f243515df7fb358a3506976ff5bb0e52264aea585fa52f54df7e7dc24be
SHA1: 4a5047e05c676fad323c6768ee729059af0aa95d
MD5sum: 3599471da13e0fa441a3920318c3a127
Description: "Matlab-like" Plotting library for Scilab
 This toolbox is providing plotting capabilities in the Scilab language.
 Plotlib functions matches the one from Matlab and therefor is dedicated
 to users switching from Matlab to Scilab.
Homepage: http://www.lmac.utc.fr/~mottelet/myplot.html
Section: math
Priority: optional
Filename: pool/main/s/scilab-plotlib/scilab-plotlib_0.42-1_all.deb

Package: scilab-scimax-doc
Source: scilab-scimax
Version: 2.1.1-1
Installed-Size: 9732
Maintainer: Debian Science Team 
Architecture: all
Depends: scilab (>= 5.1.1), scilab-scimax
Size: 9424698
SHA256: fdbef9665ca5c4956c9d062a399b9abb4107b1842b4bc42fb066591e3dae20c1
SHA1: 8751483df20d3aa3c2e3a5813ea4b084bbcf452a
MD5sum: 5c0ff115c3528c7951eebfe735a7e559
Description: Symbolic computations for Scilab based on Maxima - Documentation
 This toolbox is providing symbolic capabilities with the Scilab languages.
 .
 It is based on Maxima which is a fully symbolic computation program.
 It is full featured doing symbolic manipulation of polynomials, matrices,
 rational functions, integration, Todd-coxeter methods for finite group
 analysis, graphing, multiple precision floating point computation.
 .
 This package contains scimax's documentation.
Homepage: http://scilabtbxset.sourceforge.net/
Tag: role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/scilab-scimax/scilab-scimax-doc_2.1.1-1_all.deb

Package: scilab-test
Source: scilab
Version: 5.3.3-10
Installed-Size: 112878
Maintainer: Debian Science Team 
Architecture: all
Depends: scilab
Size: 20776308
SHA256: 748374753ffa9c8cf7344f771db038c84051bbbfe0f77b687a0e7234df58a690
SHA1: 47c17d6cb75aa9a8c8abf4644ba60051cd8ea889
MD5sum: 8567601ca4a892bde650d4dd5ee5813c
Description: Scientific software package for numerical computations (test files)
 Scilab is a matrix-based scientific software package.
 Scilab contains hundreds of built-in mathematical functions, rich
 data structures (including polynomials, rationals, linear systems, lists,
 etc...) and comes with a number of specific toolboxes for
 control, signal processing, ...
 .
 This package also provides Xcos, a graphical editor to design hybrid
 dynamical systems models. Models can be designed, loaded, saved, compiled and
 simulated.
 Stable and efficient solution for industrial and academics needs, Xcos
 provides functionalities for modeling of mechanical systems (automotive,
 aeronautics...), hydraulic circuits (dam, pipe modeling...), control systems,
 etc. Modelica capabilities are also provided.
 .
 This package contains the test files (unitary, non regression,
 performance ...) for Scilab.
 .
 See Scilab function 'test_run' for usage.
Homepage: http://www.scilab.org
Section: math
Priority: optional
Filename: pool/main/s/scilab/scilab-test_5.3.3-10_all.deb

Package: scim
Version: 1.4.13-5
Architecture: armhf
Maintainer: Rolf Leggewie 
Installed-Size: 2399
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libltdl7 (>= 2.4.2), libpango1.0-0 (>= 1.14.0), libscim8c2a (>= 1.4), libstdc++6 (>= 4.6), libx11-6
Recommends: scim-gtk-immodule (= 1.4.13-5), im-config | im-switch, scim-bridge-agent
Suggests: scim-uim, scim-pinyin, scim-hangul, scim-chewing, scim-m17n, scim-prime, scim-anthy, scim-skk, scim-canna, scim-tables-additional, scim-tables-ja, scim-tables-ko, scim-tables-zh, scim-thai
Multi-Arch: foreign
Homepage: http://www.scim-im.org/
Priority: optional
Section: utils
Filename: pool/main/s/scim/scim_1.4.13-5_armhf.deb
Size: 677482
SHA256: 442326aebcb2841eda6a15a2261864550cdd76427a08530824b7882ddb2a8f38
SHA1: b682c9f3b8629a92ac56ce56d4f7d4f0657a2848
MD5sum: 9f476216fb6ec8d56b441d134d18902c
Description: smart common input method platform
 Smart Common Input Method (SCIM) is an input method (IM) platform.  Input
 methods are needed to enter complex characters in many non-latin languages.
 SCIM provides a common platform for various plugin modules and independent IM
 programs, as well as a set of modules and programs on its own.  It is highly
 modularized and exposes abstract interfaces, so that plugin modules with
 different functions can easily communicate with each other.  The currently
 supported module types are configuration, IM engine, front end, filter, and
 setup GUI.
 .
 SCIM achieves the communication between IM engines and front ends through
 both shared library linking and server/client mode.  It supports XIM
 protocol, as well as GTK+ IM module and Qt IM module.
 .
 This package is the main binary package of SCIM.  It includes: the main
 program scim (GTK+ based) and other support programs; simple configuration
 module, X11 front end module, rawcode IM engine module,
 simplified/traditional Chinese conversion filter module, and their
 corresponding setup GUI modules; GTK+ panel and its setup GUI module; and a
 GTK+ based setup tool.
 .
 SCIM is a well accepted platform and features various input method engines
 for many languages.  In Debian you can find the following separately packaged
 IMs useful: scim-tables-{additional,ja,ko,zh}, scim-pinyin, scim-uim,
 scim-m17n, scim-chewing, scim-anthy, scim-canna, scim-prime, and scim-skk.
 GTK+ users would also find package scim-gtk-immodule useful for GTK+ IM
 module support.
 .
 For development on SCIM platform, please see the description of scim-dev
 package.

Package: scim-anthy
Version: 1.2.7-4
Architecture: armhf
Maintainer: Ikuya Awashiro 
Installed-Size: 880
Depends: libanthy0, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libscim8c2a (>= 1.4), libstdc++6 (>= 4.4.0), anthy, scim (<< 1.5)
Recommends: kasumi
Homepage: http://sourceforge.jp/projects/scim-imengine/
Priority: optional
Section: utils
Filename: pool/main/s/scim-anthy/scim-anthy_1.2.7-4_armhf.deb
Size: 319600
SHA256: 9db5e455e837df51252318589e58136782792915ec577b0684d024cddb5bc918
SHA1: 73ea8e613b96bf32df5911478cb858a642ea1e0b
MD5sum: 75a1ab17ae121b8a34752dfbd59c923d
Description: SCIM IMEngine module for Anthy
 Smart Common Input Method platform (SCIM) is an input method server
 and a development platform to make Input Method developers' lives
 easier.
 .
 Anthy is a simple and secure input method in Japanese.
 scim-anthy connects Anthy to SCIM.

Package: scim-canna
Version: 1.0.0-4.2
Architecture: armhf
Maintainer: Ikuya Awashiro 
Installed-Size: 262
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcanna1g, libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libscim8c2a (>= 1.4), libstdc++6 (>= 4.4.0), scim (<< 1.5.0)
Homepage: http://scim-imengine.sourceforge.jp/
Priority: optional
Section: utils
Filename: pool/main/s/scim-canna/scim-canna_1.0.0-4.2_armhf.deb
Size: 76542
SHA256: 0c4591ea1da56589bee35550a2cc4a1cbe1f936263830ee8565c7ec394ed2601
SHA1: a32f303603bc7e16a0827c5dfff37e1ebe0a1b76
MD5sum: f629113ec42491e1265a028af5fb6c82
Description: SCIM IMEngine module for Canna
 Smart Common Input Method platform (SCIM) is an input method server
 and a development platform to make Input Method developers' lives
 easier.
 Canna is a Japanese input system available in Free Software.
 Canna provides a unified user interface for inputting Japanese.
 Canna converts kana to kanji based on a client-server model and
 supports automatic kana-to-kanji conversion.

Package: scim-chewing
Version: 0.3.4-1.2
Architecture: armhf
Maintainer: Andrew Lee (李健秋) 
Installed-Size: 203
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libchewing3, libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libscim8c2a (>= 1.4), libstdc++6 (>= 4.4.0), scim
Recommends: ttf-arphic-uming
Homepage: http://chewing.csie.net
Priority: optional
Section: utils
Filename: pool/main/s/scim-chewing/scim-chewing_0.3.4-1.2_armhf.deb
Size: 62554
SHA256: c2b2863a72dc7d3dd8d204934e6b4dfe99c21ea537c893b6f7f35a3ad0009840
SHA1: 571e68dc785317d77cf738ab61f343844086837c
MD5sum: ff9e965c7ee3eee49c335e5bb0056ed5
Description: Chewing IM engine module for SCIM
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package is the chewing IM engine module for SCIM. With this module,
 you can use intelligent Chinese input method with HanYu PinYin keyboard
 and a various of ZhuYin(bopomofo) keyboards.
 .
 For details about SCIM, please see the description of package scim.

Package: scim-dev
Source: scim
Version: 1.4.13-5
Installed-Size: 46
Maintainer: Rolf Leggewie 
Architecture: all
Depends: libscim-dev (>= 1.4.13-5), scim-dev-doc (= 1.4.13-5)
Size: 16306
SHA256: 20c8089c703535c45725d1859796c88b248fedb281890d99c2fdefdbff13c7dd
SHA1: 468538ef1a765d57a2ba95b24f74d215cf374d9e
MD5sum: e0912c2bfdf3bf2b76a4a3dd32defce8
Description: development files for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package is a metapackage to provide development libraries and
 documentations for SCIM platform.
 .
 For more information about SCIM, please see the description of scim package.
Homepage: http://www.scim-im.org/
Tag: accessibility::input, devel::library, role::devel-lib
Section: devel
Priority: optional
Filename: pool/main/s/scim/scim-dev_1.4.13-5_all.deb

Package: scim-dev-doc
Source: scim
Version: 1.4.13-5
Installed-Size: 7712
Maintainer: Rolf Leggewie 
Architecture: all
Size: 1072418
SHA256: 46c4b195c31c5545f5e86f14e45e131c2e044024755652e4c380f3eb8aa199fa
SHA1: c085456357ef548f1106e41eff275c4f8e6a3843
MD5sum: e2fc733c3268c291fc4e5f534012d6be
Description: development documentation for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package contains doxygen-generated HTML development documentation
 for SCIM APIs.
 .
 For more information about SCIM and SCIM development, please see the
 description of scim and scim-dev packages.
Homepage: http://www.scim-im.org/
Tag: accessibility::input, devel::doc, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/scim/scim-dev-doc_1.4.13-5_all.deb

Package: scim-gtk-immodule
Source: scim
Version: 1.4.13-5
Architecture: armhf
Maintainer: Rolf Leggewie 
Installed-Size: 540
Depends: scim, scim-modules-socket (= 1.4.13-5), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.1.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libgtk2.0-0 (>= 2.24.0), libltdl7 (>= 2.4.2), libpango1.0-0 (>= 1.14.0), libscim8c2a (>= 1.4), libstdc++6 (>= 4.4.0), libx11-6
Enhances: scim
Conflicts: scim-gtk2-immodule
Replaces: scim-gtk2-immodule
Provides: scim-gtk2-immodule
Multi-Arch: same
Homepage: http://www.scim-im.org/
Priority: optional
Section: utils
Filename: pool/main/s/scim/scim-gtk-immodule_1.4.13-5_armhf.deb
Size: 151604
SHA256: cf7ff2f31cbf7990280410963336d79cbcb9dd0d92d66db9df36acbc740fadad
SHA1: 1541dd01a523de9777354f410bd131734168fd96
MD5sum: c9d68a820f7e347a5049436534399699
Description: GTK+ input method module with SCIM as backend
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package is the GTK+ native input method module for SCIM.  It provides
 a GTK+ IM module for version 2 and 3 of GTK with SCIM as the input backend.
 This input method module should work within all GTK+ platforms, including
 gtk-x11, gtk-linuxfb and gtk-directfb.
 .
 The GTK+ IM module communicates with SCIM backend through the socket IM engine
 module and socket front end module provided by scim-modules-socket package.
 .
 For more information about SCIM, please see the description of scim package.

Package: scim-hangul
Version: 0.3.2-1
Architecture: armhf
Maintainer: Yooseong Yang 
Installed-Size: 234
Depends: scim, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libhangul1 (>= 0.1.0), libpango1.0-0 (>= 1.14.0), libscim8c2a (>= 1.4.9), libstdc++6 (>= 4.4.0)
Suggests: ttf-unfonts, ttf-alee
Priority: optional
Section: utils
Filename: pool/main/s/scim-hangul/scim-hangul_0.3.2-1_armhf.deb
Size: 51956
SHA256: 64c746971aec4d815b27d153c08d3e926f80470723c2efc61e9448b12fbb6d5c
SHA1: 204423bf6cf2ee04d4019d787cee8ffb34cedff7
MD5sum: e176eeb2da3224aa136e53218d39ddc9
Description: Hangul Input Method Engine for SCIM
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 Hangul Input Method Engine enables SCIM to input Hangul (Korean)
 characters from the keyboard using the plugin modules and the data
 files.
 .
  Homepage:  http://www.scim-im.org/
 .
 For details about SCIM, please see the description of package scim.

Package: scim-kmfl-imengine
Version: 0.9.8-1.1
Architecture: armhf
Maintainer: Doug Rintoul 
Installed-Size: 1203
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libkmfl0, libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6), libx11-6, libxkbfile1, libkmflcomp0, scim (>= 1.4.4)
Homepage: http://kmfl.sourceforge.net
Priority: optional
Section: utils
Filename: pool/main/s/scim-kmfl-imengine/scim-kmfl-imengine_0.9.8-1.1_armhf.deb
Size: 385476
SHA256: edb20406921c1553fd8dc49e60351abcaf9532509e254c2fac04c5dd56e1cf70
SHA1: 485e9323110b45a01494e3c3912bc726891662e2
MD5sum: f03a4e2bfbf6afa3d0430a944062b7f0
Description: KMFL (Keyboard Mapping for Linux) IM engine for the SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform for Linux.
 .
 This package provides the KMFL (Keyboard Mapping for Linux) IM engine for
 SCIM. With this module, you can use keyboards designed for Tavultesoft Keyman
 for Windows under the SCIM platform.

Package: scim-m17n
Version: 0.2.3-3
Architecture: armhf
Maintainer: IME Packaging Team 
Installed-Size: 109
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libm17n-0 (>= 1.5.5), libscim8c2a (>= 1.4.9), libstdc++6 (>= 4.4.0), scim, m17n-db
Homepage: http://www.scim-im.org/
Priority: optional
Section: utils
Filename: pool/main/s/scim-m17n/scim-m17n_0.2.3-3_armhf.deb
Size: 29316
SHA256: 535657c2ed8fd4f6ef5a736e723548a933ddad7425819dbd7f5dac5d301b5ec9
SHA1: e3a7675a1cb5ef9d8fee3f4512b429606ca8c365
MD5sum: 3b31b8d1721e5bcc48df4f8df6e0046b
Description: M17N Input Method Engine for SCIM
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 M17N (Multilingualization) Input Method Engine enables SCIM to input
 many non-latin characters from the keyboard using libm17n library.
 .
 Author: James Su 
 .
 For details about SCIM, please see the description of the scim package.

Package: scim-modules-socket
Source: scim
Version: 1.4.13-5
Architecture: armhf
Maintainer: Rolf Leggewie 
Installed-Size: 386
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libltdl7 (>= 2.4.2), libscim8c2a (>= 1.4), libstdc++6 (>= 4.4.0)
Enhances: scim
Multi-Arch: same
Homepage: http://www.scim-im.org/
Priority: optional
Section: utils
Filename: pool/main/s/scim/scim-modules-socket_1.4.13-5_armhf.deb
Size: 110338
SHA256: 1b3d3d34d3a24a940ba860da886af417c5c6934fc0953ea5deea5779aa6fc257
SHA1: ee97ebd58be0024e009d315ab2ecbe54e0996a73
MD5sum: fa447abfc2949ee145310beb3bc60ba1
Description: socket modules for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package provides the socket modules for SCIM.  SCIM can use a local or
 inet socket as the front end and connect to the configuration and IM engine
 modules.  Then other computers and/or environments can share these input
 methods by connecting to the socket with socket IM engine module and socket
 configure module.
 .
 For more information about SCIM, please see the description of scim package.

Package: scim-modules-table
Source: scim-tables
Version: 0.5.9-2
Architecture: armhf
Maintainer: Ming Hua 
Installed-Size: 819
Depends: scim, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libscim8c2a (>= 1.4), libstdc++6 (>= 4.4.0)
Recommends: scim-tables-zh | scim-tables-ja | scim-tables-ko | scim-tables-additional
Replaces: scim (<< 1.2.0)
Homepage: http://www.scim-im.org/projects/imengines
Priority: optional
Section: utils
Filename: pool/main/s/scim-tables/scim-modules-table_0.5.9-2_armhf.deb
Size: 259292
SHA256: 8723fa5ddd44f927f18240f1dab1e5f1bab3d31f3f7c54ccfc2bcb5e13ddaf9f
SHA1: f959418aa698c3060a011372757b427d52f260a4
MD5sum: 8f0fd6259a4cc914979ecf2b628659bd
Description: generic tables IM engine module for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package is the generic tables IM engine for SCIM.  With data tables this
 module can provides input method for various languages.
 .
 For details about SCIM, please see the description of package scim.

Package: scim-pinyin
Version: 0.5.91-2
Architecture: armhf
Maintainer: Ming Hua 
Installed-Size: 5144
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libscim8c2a (>= 1.4.9), libstdc++6 (>= 4.4.0), scim
Recommends: ttf-arphic-uming, ttf-arphic-ukai, im-config | im-switch
Homepage: http://www.scim-im.org/
Priority: optional
Section: utils
Filename: pool/main/s/scim-pinyin/scim-pinyin_0.5.91-2_armhf.deb
Size: 2244252
SHA256: 4800710d63e89ce7215c50e6c63128ac7a0d0a5ec9126743c4358f645a8797a9
SHA1: c2ee81ad572edd3b75eb16fccfa6b2411299d889
MD5sum: 14f3bbc6b1fcbc9ead8c38df692e63f1
Description: smart pinyin IM engine for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package provides smart pinyin IM engine for SCIM.  It includes the
 plugin modules and the data files.  With this module you can use the
 smart pinyin input method for Chinese.
 .
 For details about SCIM, please see the description of package scim.

Package: scim-prime
Version: 1.0.0-4
Architecture: armhf
Maintainer: Ikuya Awashiro 
Installed-Size: 665
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libscim8c2a (>= 1.4.9), libstdc++6 (>= 4.4.0), prime (>= 1.0.0), scim (<< 1.5)
Homepage: http://scim-imengine.sourceforge.jp/
Priority: optional
Section: utils
Filename: pool/main/s/scim-prime/scim-prime_1.0.0-4_armhf.deb
Size: 226462
SHA256: 9bb5458721d6a2918de2b8d7cd76efd65241479e7b00c35814008778161fe7ed
SHA1: a75b19305491378c841aae8dd4df7640a8a589f2
MD5sum: d5ea94cb3622d8ace1f32ccec7ad8d08
Description: SCIM IMEngine module for PRIME
 Smart Common Input Method platform (SCIM) is an input method server
 and a development platform to make Input Method developers' lives
 easier.
 PRIME is Japanese PRedictive Input  Method Editor.
 scim-prime connects PRIME to SCIM.

Package: scim-skk
Version: 0.5.2-7.2
Architecture: armhf
Maintainer: Ikuya Awashiro 
Installed-Size: 727
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libscim8c2a (>= 1.4), libstdc++6 (>= 4.6), skkdic | skkdic-extra | skkserv | dbskkd-cdb, scim (<< 1.5)
Homepage: http://scim-imengine.sourceforge.jp/
Priority: optional
Section: utils
Filename: pool/main/s/scim-skk/scim-skk_0.5.2-7.2_armhf.deb
Size: 244972
SHA256: 749c764fa6359b340119cb31546e9ccd69c8ef72f007069393ddba25e4316424
SHA1: 1b632ff414f8e2e1209e1f2bf5ce866f01bfdc80
MD5sum: 35ab06b3b835a33ce5d957cb5b76dc3b
Description: SCIM IMEngine module like SKK input method
 Smart Common Input Method platform (SCIM) is an input method server
 and a development platform to make Input Method developers' lives
 easier.
 skk is simple and secure input method in Japanese.
 scim-skk comes to be able to input it like skk.

Package: scim-sunpinyin
Version: 2.0.3-3
Architecture: armhf
Maintainer: IME Packaging Team 
Installed-Size: 165
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libscim8c2a (>= 1.4.9), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libsunpinyin3, sunpinyin-data
Homepage: http://code.google.com/p/sunpinyin
Priority: optional
Section: utils
Filename: pool/main/s/scim-sunpinyin/scim-sunpinyin_2.0.3-3_armhf.deb
Size: 48106
SHA256: 8a7c47e3225cf8a4150602c11cb74a83186db931054b08e94e6fc0623995a4c8
SHA1: ed2556b0be9393e8d688b03ea87d8f76f345bd46
MD5sum: d33612a53816ac5f3037880ad3c9c2a6
Description: sunpinyin engine for scim
 Sunpinyin is a statistical language model (SLM) based input method
 engine for Simplified Chinese, it features full sentence input.
 .
 This package contains the sunpinyin engine wrapper for scim.

Package: scim-tables-additional
Source: scim-tables
Version: 0.5.9-2
Installed-Size: 194
Maintainer: Ming Hua 
Architecture: all
Depends: scim-modules-table (>= 0.5.9-2)
Enhances: scim
Size: 97016
SHA256: 3f161e244404f5b21b4ec3789358fa0651d1e5df3a3a5564d8dac8a6c4ce1e9c
SHA1: 981c2ef56c503ded27c680e5d070ee095cb355ae
MD5sum: 1c1d0f3837fed8ea67301a34894f7282
Description: miscellaneous input method data tables for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package contains SCIM input method data tables for non-CJK languages.
 The currently supported languages are Amharic, Arabic, Nepali, Russian, Thai,
 Ukrainian, Vietnamese, and several Indic languages (Bengali, Gujarati, Hindi,
 Kannada, Malayalam, Punjabi, Tamil and Telugu).  It also provides support for
 X-SAMPA and LaTeX style input.
 .
 Note many table-based input methods provided in this package are very
 primitive, and probably doesn't fit native speakers' need.  Users
 should also look at scim-m17n package (which uses M17N library) and see
 if it provides better support to the language he/she needs.
 .
 For details about SCIM, please see the description of package scim.
Homepage: http://www.scim-im.org/projects/imengines
Tag: accessibility::input
Section: utils
Priority: optional
Filename: pool/main/s/scim-tables/scim-tables-additional_0.5.9-2_all.deb

Package: scim-tables-ja
Source: scim-tables
Version: 0.5.9-2
Installed-Size: 343
Maintainer: Ming Hua 
Architecture: all
Depends: scim-modules-table (>= 0.5.9-2)
Enhances: scim
Size: 137676
SHA256: 4f1d8a316b3e951646f7bcd96560409c13929754b119da8ba5b7ec241b13144a
SHA1: 35be4a014ebf45988ae762d1076caaf31b31fe37
MD5sum: a41abaaabcdc79e988659027031212a4
Description: Japanese input method data tables for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package contains SCIM table-based input method data for Japanese.
 Currently the provided tables are Hiragana, Katagana, and Nippon.
 .
 Note the table-based Japanese input method provided in this package is
 very primitive, probably only useful for people just start learning
 Japanese, and most certainly doesn't fit native speakers' need.  Users
 who need to input Japanese all the time should look at other SCIM
 modules for Japanese, such as scim-anthy package.
 .
 For details about SCIM, please see the description of package scim.
Homepage: http://www.scim-im.org/projects/imengines
Tag: accessibility::input, culture::japanese
Section: utils
Priority: optional
Filename: pool/main/s/scim-tables/scim-tables-ja_0.5.9-2_all.deb

Package: scim-tables-ko
Source: scim-tables
Version: 0.5.9-2
Installed-Size: 444
Maintainer: Ming Hua 
Architecture: all
Depends: scim-modules-table (>= 0.5.9-2)
Enhances: scim
Size: 220230
SHA256: a45f47f6d094babb5a3d63b37040d69a79d5a9e91275f5424fe6adcb4bdda64f
SHA1: 6b74600267fe390d8b508b15b87985cd6b7d415b
MD5sum: 68771328489f0575819d69fed67bcfb0
Description: Korean input method data tables for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package contains SCIM table-based input method data for Korean.
 Currently the provided tables are Hangul, Hangul Romaja, and Hanja.
 .
 Note the table-based Korean input method provided in this package is
 very primitive, probably only useful for people just start learning
 Korean, and most certainly doesn't fit native speakers' need.  Users
 who need to input Korean all the time should look at other SCIM modules
 for Korean, such as scim-hangul package.
 .
 For details about SCIM, please see the description of package scim.
Homepage: http://www.scim-im.org/projects/imengines
Tag: accessibility::input, culture::korean
Section: utils
Priority: optional
Filename: pool/main/s/scim-tables/scim-tables-ko_0.5.9-2_all.deb

Package: scim-tables-zh
Source: scim-tables
Version: 0.5.9-2
Installed-Size: 11499
Maintainer: Ming Hua 
Architecture: all
Depends: scim-modules-table (>= 0.5.9-2)
Recommends: ttf-arphic-gbsn00lp | ttf-arphic-gkai00mp, ttf-arphic-bsmi00lp | ttf-arphic-bkai00mp
Enhances: scim
Size: 6034842
SHA256: 686e1dcfb19e9d6e619d3384dd0ed85818b2cc867fbfeda4862af0d326e48c96
SHA1: 077392ac8754467b028de07ba85598a1818876ed
MD5sum: 2cd680014c67a475a6f4a557974a9998
Description: Chinese input method data tables for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package contains SCIM table-based input method data for Chinese.
 Currently the provided tables are:
 .
 Array30, CangJie, CangJie3, CangJie5, Cantonese, CantonHK, CNS11643,
 Dayi3, EZ-Big, Erbi, Erbi-QS, Jyutping, Quick, Simplex, Stroke5, Wubi,
 Wu, ZhuYin, ZhuYin-Big, and Ziranma.
 .
 Traditional Chinese users may also want to look at the scim-chewing
 package, which provides a Phonetic (BoPoMoFo) input method likely
 better than the one provided by ZhuYin and ZhuYin-Big tables in this
 package.
 .
 For details about SCIM, please see the description of package scim.
Homepage: http://www.scim-im.org/projects/imengines
Tag: accessibility::input, culture::chinese, culture::taiwanese
Section: utils
Priority: optional
Filename: pool/main/s/scim-tables/scim-tables-zh_0.5.9-2_all.deb

Package: scim-thai
Version: 0.1.3-1
Architecture: armhf
Maintainer: Theppitak Karoonboonyanan 
Installed-Size: 122
Depends: scim, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgtk-3-0 (>= 3.0.0), libscim8c2a (>= 1.4), libstdc++6 (>= 4.4.0), libthai0 (>= 0.1.12)
Homepage: http://linux.thai.net/projects/libthai
Priority: optional
Section: utils
Filename: pool/main/s/scim-thai/scim-thai_0.1.3-1_armhf.deb
Size: 25934
SHA256: 3fe8ac98846b522625633b373bc7a4216c2304d83f4a7ccf7ee7a60e74de99cf
SHA1: 910e7a82797967e4781b4dd7314b7f219b5c05a6
MD5sum: cc1eed6696637a9cdb170a186292e6d0
Description: Thai Input Method Engine for SCIM
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package provides Thai input method engine for SCIM.  Currently, it
 supports Ketmanee, TIS-820.2538 and Pattachote keyboards; three levels
 of strictness for input sequence check.

Package: scim-uim
Version: 0.2.0-3
Architecture: armhf
Maintainer: Ming Hua 
Installed-Size: 110
Depends: scim, uim-common, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libscim8c2a (>= 1.4.9), libstdc++6 (>= 4.4.0), libuim-scm0 (>= 1:1.5.7), libuim8 (>= 1:1.5.7)
Homepage: http://www.scim-im.org/
Priority: optional
Section: utils
Filename: pool/main/s/scim-uim/scim-uim_0.2.0-3_armhf.deb
Size: 30770
SHA256: 8492295ae22208f55058a0ea26c69cc10c373be212fa5b7cf3dca3644c70d4e0
SHA1: 9a0a8d707e9fd5cb29d6d13b549de32bc2bce1a4
MD5sum: af2dbb5c7ca65cff9523b467be259344
Description: UIM IM engine module for SCIM platform
 SCIM (Smart Common Input Method) is an input method (IM) platform.
 .
 This package provides the UIM IM engine module for SCIM.  It provides various
 imput methods through UIM library.  With this module you can use all the
 input methods provided by UIM with the SCIM user interface.
 .
 For details about SCIM, please see the description of package scim.
 For details about UIM, please see the description of package uim-common.

Package: scim-unikey
Version: 0.3.1+debian-3.1
Architecture: armhf
Maintainer: Lê Quốc Tuấn 
Installed-Size: 317
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libscim8c2a (>= 1.4), libstdc++6 (>= 4.4.0), scim | skim
Homepage: http://scim-unikey.googlecode.com/
Priority: extra
Section: utils
Filename: pool/main/s/scim-unikey/scim-unikey_0.3.1+debian-3.1_armhf.deb
Size: 109404
SHA256: 90947e1afc1215b536dee9c41680bf7a01b797d1501932aee23ca3e7ce294914
SHA1: ec909c4123fddf3ba02e64c738b525eaa14acfa5
MD5sum: a81f0e34ad64efcf38736fe14561bcb3
Description: Vietnamese Input Method Engine for SCIM using Unikey Engine
 Smart Common Input Method (SCIM) is an input method (IM) platform.
 .
 Scim-Unikey is a Vietnamese input method for SCIM
 Use Unikey engine to process keyevent

Package: sciplot-dev
Source: sciplot
Version: 1.36-15
Architecture: armhf
Maintainer: Barak A. Pearlmutter 
Installed-Size: 203
Depends: sciplot1 (= 1.36-15)
Conflicts: sciplot
Priority: extra
Section: libdevel
Filename: pool/main/s/sciplot/sciplot-dev_1.36-15_armhf.deb
Size: 86354
SHA256: fc5e667b7f9063aba85189155c17e785c6ff53aaac1b97a0425a6d6b0a93ba60
SHA1: 500c0a2eae1a0da15c5453f314d5ad0c5dcc4d3a
MD5sum: cd9c185d5549daead5ff461d2c1ead6a
Description: Development library and header files for SciPlot
 Contains C header files and development shared libraries for SciPlot.
 The SciPlot Widget is a widget capable of plotting Cartesian or polar
 graphs, including logarithmic axes in Cartesian plots.

Package: sciplot1
Source: sciplot
Version: 1.36-15
Architecture: armhf
Maintainer: Barak A. Pearlmutter 
Installed-Size: 98
Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxmu6, libxt6
Conflicts: sciplot
Replaces: sciplot
Priority: extra
Section: libs
Filename: pool/main/s/sciplot/sciplot1_1.36-15_armhf.deb
Size: 37670
SHA256: fb90f6178ff894e7ce50d5a699ce5b70f3de3b365f0ca9d513f7f0b92cf4baea
SHA1: 8e6524838283ffde552b5868a67dec0c135e120d
MD5sum: 27f843e1f8d0a885e2bc64e87e3e19ac
Description: widget for scientific plotting
 The SciPlot Widget is a widget capable of plotting Cartesian or polar
 graphs, including logarithmic axes in Cartesian plots.  The widget is
 subclassed directly from the Core widget class, which means that it does
 not depend upon any other widget set.  It may be freely used with Athena,
 Motif, or the Open Look/Xview widget sets.  (There is optional Motif
 support that causes the widget to be subclassed from XmPrimitive.  See
 the man page.)
 .
 Features provided in the widget include automatic scaling, legend drawing,
 axis labeling, PostScript output, multiple plotted lines, color support,
 user font specification, dashed lines, symbols drawn at points, logarithmic
 scales on one or both axes in Cartesian plots, and degrees or radians as
 angles in polar plots.

Package: scite
Version: 3.0.2-3
Architecture: armhf
Maintainer: Michael Vogt 
Installed-Size: 2574
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.22.0), libpango1.0-0 (>= 1.18.0), libstdc++6 (>= 4.4.0)
Homepage: http://scintilla.org/SciTE.html
Priority: optional
Section: editors
Filename: pool/main/s/scite/scite_3.0.2-3_armhf.deb
Size: 1146700
SHA256: 423f9662eac8011fee624be04ff45d03ea077d2cf1d3d42759a7f54ee025b044
SHA1: bbc7eb27a306b10027a1a029f4b7d05123250343
MD5sum: 91176236c160fd97364034e8383f437a
Description: Lightweight GTK-based Programming Editor
 GTK-based Programming with syntax highlighting support for
 many languages. Also supports folding sections, exporting
 highlighted text into colored HTML and RTF.

Package: sciteproj
Version: 0.7.05-2
Architecture: armhf
Maintainer: Andreas Rönnquist 
Installed-Size: 203
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libx11-6, scite
Homepage: http://sciteproj.sourceforge.net
Priority: optional
Section: editors
Filename: pool/main/s/sciteproj/sciteproj_0.7.05-2_armhf.deb
Size: 74550
SHA256: 0ad451cf43dc49f688e1b115e4fa1f37985d3368e88be59a5dcdf3b8e21267c1
SHA1: 25c8f4fd2741470296ffcfedfdb7398fef928565
MD5sum: 119f9c971c5746c1a6d25d1b150d69c1
Description: project manager for the SciTE editor
 Project manager for SciTE, used to group a bunch of files into a
 project for easy access in SciTE giving the possibility to group
 files in folders, using the director interface in SciTE to open them.

Package: scm
Version: 5e5-3.2
Architecture: armhf
Maintainer: Thomas Bushnell, BSG 
Installed-Size: 2263
Depends: slib (>> 3b1), libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libtinfo5, libx11-6
Priority: optional
Section: interpreters
Filename: pool/main/s/scm/scm_5e5-3.2_armhf.deb
Size: 724126
SHA256: adac251d3546ce6229240617de858f7d7948d286b17018a5904bcb3c2c93a818
SHA1: 193d01b00a01b79bd4655fac8d4fca82f6409508
MD5sum: 3c1f23ee54b030bdebaf377670f3fd85
Description: A Scheme language interpreter
 Scm conforms to Revised^5 Report on the Algorithmic Language Scheme and
 the IEEE P1178 specification.

Package: scmail
Version: 1.3-4
Installed-Size: 236
Maintainer: NIIBE Yutaka 
Architecture: all
Depends: gauche, gauche-gdbm
Size: 41322
SHA256: ce4f7ce15ab363fd47ea3efa9724b47f4efc0491d56491270530a036e6724fe7
SHA1: 8ee931925c7f87bdfed190df5ac323166b2bc797
MD5sum: 0dcd568b16b81dc9678dc0fbf75ead7d
Description: a mail filter written in Scheme
 Scmail is a mail filter written in Scheme, you can write processing
 recipes in S-expression.  Scmail can be used as a filter for an
 incoming mail, invoked each time by .forward, or it can be used as a
 mail processor to distribute existing mails into the right mailbox.
 It comes with a bayesian spam filter called scbayes.
Homepage: http://www.namazu.org/~satoru/scmail/index.html.en
Tag: implemented-in::scheme, mail::filters, works-with::mail
Section: mail
Priority: optional
Filename: pool/main/s/scmail/scmail_1.3-4_all.deb

Package: scmxx
Version: 0.9.0-2.3
Architecture: armhf
Maintainer: Michael Banck 
Installed-Size: 534
Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28)
Suggests: flexmem
Homepage: http://www.hendrik-sattler.de/scmxx/
Priority: optional
Section: comm
Filename: pool/main/s/scmxx/scmxx_0.9.0-2.3_armhf.deb
Size: 210132
SHA256: c6485c04ed5522fa4159ea6d90aefbb785d09c36c7ddfefd7985946e1e5dacdc
SHA1: 24ecc0a42daada54c3a5d9507c332cd1a6a1811e
MD5sum: cac58ab7ecf31623b68b8e59ea8ffa7d
Description: Exchange data with Siemens mobile phones
 SCMxx is a console program that allows you to exchange certain types of
 data with mobile phones made by Siemens. Some of the data types that can
 be exchanged are logos, ring tones, vCalendars, phonebook entries, and
 SMS messages. It works with the S25, S35i, M35i and C35i, SL45, S45 and
 ME45 and probably others.
 .
 You need a serial connection (either cable or infrared) to your mobile
 phone in order to use SCMxx.
 .
 It basically uses the AT command set published by Siemens
 (with some other, additional resources).

Package: scolasync
Version: 3.1-1
Installed-Size: 4593
Maintainer: Georges Khaznadar 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), udisks, python-qt4, python-qt4-dbus, python-pysqlite2, python-dbus, tango-icon-theme
Size: 1170214
SHA256: fcf965af352fb07144be20f6551da95b787393c8603222f0e1d0c4cb193cdfc6
SHA1: 55987d9d08f5ab2dd9f14b510bc47027d689b6c8
MD5sum: 67115ec310214f2207ed5d3fe01e1945
Description: graphic tool to copy data to or from a set of USB storage media
 Teachers may use this package to manage a set of USB sticks owned by their
 students. The keys are recognized (with their owner's name), and the teachers
 can copy assignments to them and retrieve consistently the homeworks from
 the usb sticks.
Tag: hardware::usb, implemented-in::python, interface::x11, role::program,
 scope::application, uitoolkit::qt, use::learning, use::storing,
 use::transmission, x11::application
Section: x11
Priority: extra
Filename: pool/main/s/scolasync/scolasync_3.1-1_all.deb

Package: scons
Version: 2.1.0-1
Installed-Size: 2376
Maintainer: Luca Falavigna 
Architecture: all
Depends: python (>= 2.6.6-7~)
Size: 581980
SHA256: 6d68afd4b8266a4fc6ed0e10254088f8419343f5be3268ac4607604fd444a75f
SHA1: 2b49a1d4bfa47ebcf95542c87bee21d53f2e8c16
MD5sum: 07f17507a95acdc8a4e4920fc3b2bb84
Description: replacement for make
 SCons is a make replacement providing a range of enhanced features such
 as automated dependency generation and built in compilation cache
 support.  SCons rule sets are Python scripts so as well as the features
 it provides itself SCons allows you to use the full power of Python
 to control compilation.
Homepage: http://www.scons.org/
Tag: devel::buildtools, implemented-in::python, interface::commandline,
 role::program, scope::utility
Section: devel
Priority: optional
Filename: pool/main/s/scons/scons_2.1.0-1_all.deb

Package: scons-doc
Version: 2.1.0-2
Installed-Size: 3869
Maintainer: Luca Falavigna 
Architecture: all
Suggests: scons (>= 2.1.0)
Size: 2117602
SHA256: b3ff8ee28964c94de27a6d26d63b95ee8e843e117d9f21ef4e95792becb56f17
SHA1: 6dfac24715ac8075e20b1bd9ec659351ca7f55c3
MD5sum: 09e0a731510a96909d9512878f589bc6
Description: Documentation for SCons, a replacement for Make
 SCons is a make replacement providing a range of enhanced features such
 as automated dependency generation and built in compilation cache
 support.  SCons rule sets are Python scripts so as well as the features
 it provides itself SCons allows you to use the full power of Python
 to control compilation.
 .
 This package provides the SCons User's guide.
Homepage: http://www.scons.org/
Tag: devel::doc, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/scons-doc/scons-doc_2.1.0-2_all.deb

Package: scorched3d
Version: 43.2a.dfsg-6.1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 4951
Pre-Depends: dpkg (>= 1.15.6)
Depends: libalut0 (>= 1.0.1), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libfftw3-3, libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libjpeg8 (>= 8c), libogg0 (>= 1.0rc3), libopenal1, libpng12-0 (>= 1.2.13-4), libsdl-net1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), zlib1g (>= 1:1.1.4), scorched3d-data (= 43.2a.dfsg-6.1)
Homepage: http://scorched3d.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/s/scorched3d/scorched3d_43.2a.dfsg-6.1_armhf.deb
Size: 1243084
SHA256: 3130823dced4b90f22409d46a268e8e0e8e8b058a01970264911620f36efc398
SHA1: ab116102dbaf271ef782740fbf60ff069a5e4384
MD5sum: c1febc9cf8974b0cf9411b3deb49eb02
Description: 3D artillery game similar to Scorched Earth
 Scorched3D is a game based heavily on the classic DOS game Scorched Earth
 "The Mother Of All Games". Scorched3D adds amongst other new features
 a 3D island environment and LAN and internet play.

Package: scorched3d-data
Source: scorched3d
Version: 43.2a.dfsg-6.1
Installed-Size: 148282
Maintainer: Debian Games Team 
Architecture: all
Depends: ttf-dejavu-core
Suggests: scorched3d
Size: 46311398
SHA256: 4045bf83ec092dc86c4c3006534cd4c16b390b408f6c5ff688fe6620a9824f87
SHA1: a3177fa4fb1700ddbd74783e6208aca03cc5f243
MD5sum: 27ed2b33c1a2d9537a1d81c44db0528a
Description: data files for Scorched3D game
 Scorched3D is a game based heavily on the classic DOS game Scorched Earth
 "The Mother Of All Games". Scorched3D adds amongst other new features
 a 3D island environment and LAN and internet play.
 .
 This package contains data files for the game Scorched3D.
Homepage: http://scorched3d.sourceforge.net/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/s/scorched3d/scorched3d-data_43.2a.dfsg-6.1_all.deb

Package: scorched3d-dbg
Source: scorched3d
Version: 43.2a.dfsg-6.1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 68829
Depends: scorched3d (= 43.2a.dfsg-6.1)
Homepage: http://scorched3d.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/s/scorched3d/scorched3d-dbg_43.2a.dfsg-6.1_armhf.deb
Size: 15494604
SHA256: 22241b4244a2b3043565a924a8749839790f33de1fb79d85f58dd48051888a8a
SHA1: 55ec6b5e5b3ee36e4c5f53da82157223da649ccb
MD5sum: 3747e206852cbda370b4a638f3a6b9ea
Description: 3D artillery game similar to Scorched Earth, debug data
 Scorched3D is a game based heavily on the classic DOS game Scorched Earth
 "The Mother Of All Games". Scorched3D adds amongst other new features
 a 3D island environment and LAN and internet play.
 .
 This package contains debug information for the scorched3d
 package. Its purpose is to allow debuggers to produce backtraces with
 names, making it easier to interpret core dumps. The data is
 installed in /usr/lib/debug.

Package: scotch
Version: 5.1.12b.dfsg-1.2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 987
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenmpi1.3, libscotch-5.1, zlib1g (>= 1:1.1.4)
Homepage: http://www.labri.fr/perso/pelegrin/scotch/
Priority: extra
Section: math
Filename: pool/main/s/scotch/scotch_5.1.12b.dfsg-1.2_armhf.deb
Size: 334586
SHA256: a65877785495961ca050d25e7d9368f5c129a3442fdfa7826678552628cfc3e9
SHA1: f0c7075b3ce1da6835f6918ea1c53f01aff0d9a5
MD5sum: da8bb0810912c21ead185e3fa1c599cd
Description: programs and libraries for graph, mesh and hypergraph partitioning
 Its purpose is to apply graph theory, with a divide and conquer
 approach, to scientific computing problems such as graph and mesh
 partitioning, static mapping, and sparse matrix ordering, in
 application domains ranging from structural mechanics to operating
 systems or bio-chemistry.
 .
 The SCOTCH distribution is a set of programs and libraries which
 implement the static mapping and sparse matrix reordering algorithms
 developed within the SCOTCH project.
 .
 SCOTCH has many interesting features:
 .
 o Its capabilities can be used through a set of stand-alone programs
 as well as through the libSCOTCH library, which offers both C and
 Fortran interfaces.
 .
 o It provides algorithms to partition graph structures, as well as
 mesh structures defined as node-element bipartite graphs and which
 can also represent hypergraphs.
 .
 o It can map any weighted source graph onto any weighted target
 graph. The source and target graphs may have any topology, and their
 vertices and edges may be weighted. Moreover, both source and target
 graphs may be disconnected. This feature allows for the mapping of
 programs onto disconnected subparts of a parallel architecture made
 up of heterogeneous processors and communication links.
 .
 o It computes amalgamated block orderings of sparse matrices, for
 efficient solving using BLAS routines.
 .
 o Its running time is linear in the number of edges of the source
 graph, and logarithmic in the number of vertices of the target graph
 for mapping computations.
 .
 o It can handle indifferently graph and mesh data structures created
 within C or Fortran programs, with array indices starting from 0 or
 1.
 .
 o It offers extended support for adaptive graphs and meshes through
 the handling of disjoint edge arrays.
 .
 o It is dynamically parametrizable thanks to strategy strings that
 are interpreted at run-time.
 .
 o It uses system memory efficiently, to process large graphs and
 meshes without incurring out-of-memory faults;
 .
 o It is highly modular and documented. Since it has been released
 under the CeCILL-C free/libre software license, it can be used as a
 testbed for the easy and quick development and testing of new
 partitioning and ordering methods.
 .
 o It can be easily interfaced to other programs. The programs
 comprising the SCOTCH project have been designed to run in
 command-line mode without any interactive prompting, so that they can
 be called easily from other programs by means of system() or popen()
 calls, or piped together on a single command line. Moreover, vertex
 labeling capabilities allow for easy renumbering of vertices.
 .
 o It provides many tools to build, check, and display graphs, meshes
 and matrix patterns.
 .
 o It is written in C and uses the POSIX interface, which makes it
 highly portable. PT-SCOTCH uses the MPI interface, and optionally the
 POSIX threads.

Package: scotch-dbg
Source: scotch
Version: 5.1.12b.dfsg-1.2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 594
Depends: scotch (= 5.1.12b.dfsg-1.2), zlib1g-dbg
Homepage: http://www.labri.fr/perso/pelegrin/scotch/
Priority: extra
Section: debug
Filename: pool/main/s/scotch/scotch-dbg_5.1.12b.dfsg-1.2_armhf.deb
Size: 96982
SHA256: 44ebeda9c16b4791f8725a2f588de057e881526b2940bf9836de0f83d9b3d10d
SHA1: 572cb0a6e5b41dd434d2817232552c04f22a6fc1
MD5sum: fc0e9b66ac8ab8b7d4cf845162a5a69c
Description: programs and libraries for graph, mesh and hypergraph partitioning
 Debug symbols package for scotch, which is a set of programs and
 libraries which implement the static mapping and sparse matrix reordering
 algorithms developed within the SCOTCH project.

Package: scottfree
Version: 1.14-9
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 65
Depends: libc6 (>= 2.7), libncurses5 (>= 5.5-5~), libtinfo5
Priority: optional
Section: games
Filename: pool/main/s/scottfree/scottfree_1.14-9_armhf.deb
Size: 21320
SHA256: 402d324748ea3c99e82a6fbaca5cb19e5a48ef1f0c24762d2988cd8119ab5914
SHA1: 2b4f1f7584001806bccbc7faccb9567081750b83
MD5sum: acf53605879fefc533ccfb88ee6e76c4
Description: Interpreter for Adventure International games
 ScottFree reads and executes TRS-80 format Scott Adams data files. It is
 possible to run other formats either by writing a loader for that format or
 a converter to TRS-80 format.
 .
 Most Adventure International Games are distributed as shareware and are
 available from ftp://ftp.gmd.de/if-archive/scott-adams/

Package: scowl
Version: 7.1-1
Installed-Size: 7348
Maintainer: Don Armstrong 
Architecture: all
Size: 2261572
SHA256: 70bd2f366c85bbc6dc3e6e040277c2f1de16c8237292d1995c82fdad1d562809
SHA1: 5f7020e170e9298772226750f9c07108fda20c53
MD5sum: 053ad8f7f29d2d3abe239640a76eac5b
Description: Spell-Checker Oriented Word Lists
 The SCOWL is a collection of word lists organized by word popularity,
 language, word class, and other factors.  These lists can be
 combined in various ways (or used individually) for spell checking
 and similar purposes.
 .
 The Debian wamerican*, wbritish*, and wcanadian* wordlist packages
 are built from (appropriate collections of) these same lists.  Install
 one (or more) of those packages if you want a comprehensive word list;
 install scowl if you (also) want to pick and choose the pieces that
 comprise those lists.
 .
 You can learn more about SCOWL (and other English word lists) at
 http://wordlist.sourceforge.net/
Homepage: http://wordlist.sourceforge.net/
Tag: made-of::dictionary, role::app-data
Section: text
Priority: optional
Filename: pool/main/s/scowl/scowl_7.1-1_all.deb

Package: scratch
Version: 1.4.0.6~dfsg1-4
Installed-Size: 45660
Maintainer: Miriam Ruiz 
Architecture: all
Depends: squeak-vm, squeak-plugins-scratch, libgtk2.0-bin, shared-mime-info
Suggests: pulseaudio (>= 1.0.9)
Size: 30823878
SHA256: d5b855f7fcec3db22e42f21017700379f8684a73769041e5a10d6b4c495b0361
SHA1: 57c06a7275418d252f1d35eea001886fa7c80c4b
MD5sum: c46905b52a67afb4826eeef9acc2eb99
Description: easy to use programming environment for ages 8 and up
 Scratch is an easy, interactive, collaborative programming
 environment designed for creation of interactive stories, animations,
 games, music, and art -- and sharing these on the web.
 Scratch is designed to help young people (ages 8 and up) develop 21st
 century learning skills. As they create Scratch projects, young people
 learn important mathematical and computational ideas, while also
 gaining a deeper understanding of the process of design.
Homepage: http://scratch.mit.edu
Tag: hardware::hobby:lego, role::program, uitoolkit::gtk, use::entertaining,
 use::learning
Section: education
Priority: optional
Filename: pool/main/s/scratch/scratch_1.4.0.6~dfsg1-4_all.deb

Package: screader
Version: 1.8-7
Architecture: armhf
Maintainer: Mario Lang 
Installed-Size: 249
Depends: libc6 (>= 2.13-28), libtinfo5
Recommends: festival
Priority: extra
Section: text
Filename: pool/main/s/screader/screader_1.8-7_armhf.deb
Size: 114140
SHA256: de0a85fa8ee04dcaa475123ce4f3f2cf3185a539699202168f209e2fe2ee0879
SHA1: 8c9af96cc795fa0374f26677eecd609fa80be41e
MD5sum: 5da235549e1d14f2322496daeeed3414
Description: Screen reader using software or hardware speech synthesizer
 The background program screader reads the screen and puts the information
 through to a software Text-To-Speech package (Like `festival') or a
 hardware speech synthesizer.

Package: screen
Version: 4.1.0~20120320gitdb59704-7+deb7u1
Architecture: armhf
Maintainer: Axel Beckert 
Installed-Size: 830
Depends: libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1), libtinfo5, dpkg (>= 1.15.4) | install-info
Suggests: iselect (>= 1.4.0-1) | screenie | byobu
Homepage: http://savannah.gnu.org/projects/screen
Priority: optional
Section: misc
Filename: pool/main/s/screen/screen_4.1.0~20120320gitdb59704-7+deb7u1_armhf.deb
Size: 649566
SHA256: a226d4c57352855d39f60e32567bf79ac18b0e79393b38208894ea570ae923ea
SHA1: 1dd2fe78001f623d8c388416282f6f8818e419c6
MD5sum: fa34054d86f3e66d8a5950e769ea6c52
Description: terminal multiplexer with VT100/ANSI terminal emulation
 GNU Screen is a terminal multiplexer that runs several separate "screens" on
 a single physical character-based terminal. Each virtual terminal emulates a
 DEC VT100 plus several ANSI X3.64 and ISO 2022 functions. Screen sessions
 can be detached and resumed later on a different terminal.
 .
 Screen also supports a whole slew of other features, including configurable
 input and output translation, serial port support, configurable logging,
 and multi-user support.

Package: screenie
Version: 20120406-1
Installed-Size: 50
Maintainer: Dmitry Smirnov 
Architecture: all
Depends: screen, perl
Size: 7184
SHA256: 380cb69f03b21795e42a7a2997619dcbfb47b6b98843ba5689313f0ab237e885
SHA1: c319ede11d1e10d14b5c7267d4d25832d7860600
MD5sum: a78ffa846273ac56db65e258587c2127
Description: Lightweight GNU screen(1) wrapper
 Screenie is a small and lightweight screen(1) wrapper designed
 to simplify management of detached jobs by providing simple
 interactive menu.
 .
 This is an enhanced Perl-reimplementation of 'screenie'
 by Marc O. Gloor
Homepage: http://screenie.sourceforge.net/
Tag: implemented-in::shell, interface::commandline, role::program,
 scope::utility
Section: shells
Priority: extra
Filename: pool/main/s/screenie/screenie_20120406-1_all.deb

Package: screenie-qt
Version: 0.0~git20100701-1
Architecture: armhf
Maintainer: José Manuel Santamaría Lema 
Installed-Size: 162
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: http://code.google.com/p/screenie/
Priority: optional
Section: utils
Filename: pool/main/s/screenie-qt/screenie-qt_0.0~git20100701-1_armhf.deb
Size: 88726
SHA256: f360456a5020bad567d518f0a4bad696d7dba930b2c21bdce6c244a47f3698ba
SHA1: 5ea879ddd7ba375e57a41ff5e633d4fe9b79f7f3
MD5sum: c08923c9d741c1a016675d8f85c152d4
Description: fancy screenshot composer
 Screenie a small tool to allow you to compose a fancy and stylish screenshots.
 It is cross-platform (for Linux, Windows and Mac OS X) and very easy to use.
 You will have an impressive screenshot in just one minute!

Package: screenlets
Version: 0.1.2-8
Installed-Size: 7854
Maintainer: Julien Lavergne 
Architecture: all
Depends: python (>= 2.4), python-support (>= 0.90.0), python-gtk2, python-gnome2, python-dbus, python-xdg, python-rsvg | python-gnome2-desktop, python-wnck | python-gnome2-desktop
Recommends: gnome-keyring, python-feedparser, python-gmenu, python-gtkmozembed | python-gnome2-extras (<< 2.19), iceweasel | firefox, python-imaging, python-evolution | python-gnome2-desktop, python-gnomekeyring | python-gnome2-desktop, metacity (>= 2.21.4) | xcompmgr | compiz | xfwm4 (>= 4.2)
Suggests: evolution, tomboy, gnome-orca, xfconf (>= 4.5.93)
Size: 2655424
SHA256: 8b63ba06470fd94b7618262f4cd5289cbb9602fc574a5d7927d5aea3b4aa867e
SHA1: 2e56b760bcec6ecc9c0535704b6e1b973985f1bc
MD5sum: 59e5d79ac3762e94098acad8ccd23570
Description: Widget-like mini-applications for GNOME
 Screenlets are small owner-drawn applications (written in Python) that can be
 described as "the virtual representation of things lying/standing around on
 your desk". Sticky notes, clocks, rulers, ... the possibilities are endless.
 .
 The goal of the Screenlets base-classes is to simplify the creation of fully
 themable mini-apps that each solve basic desktop-work-related needs and
 generally improve the usability and eye-candy of the modern composited
 Linux-desktop.
 .
 Features:
  * Real applications, no HTML-"widgets"
  * Easy to use, easy to develop
  * Full compositing support
  * Works with any composited X desktop (compiz, xfce4, ...)
  * Works also on non-composited desktop
  * Included ability to apply themes (SVG, PNG or mixed)
  * Fully scalable when using SVGs
  * Embedded drag&drop-support
  * Automated storing of options (using ini or GConf)
  * Controllable through customizable D-Bus service
  * Can be used together with compiz' widget-plugin to create a
    Dashboard-like feature as seen on OS X
  * Uses Cairo and GTK2 for drawing and windowing
Homepage: http://screenlets.org
Tag: implemented-in::python, interface::x11, role::program, suite::gnome,
 uitoolkit::gtk, x11::application
Section: gnome
Priority: optional
Filename: pool/main/s/screenlets/screenlets_0.1.2-8_all.deb

Package: screenlets-doc
Source: screenlets
Version: 0.1.2-8
Installed-Size: 8003
Maintainer: Julien Lavergne 
Architecture: all
Depends: screenlets
Size: 738344
SHA256: 3adac3f843b3659598a67d2c9334b31a5c22f8fcab5b3663256f529e586b2162
SHA1: 205d701c637e96760a5b5036a5e378d22c45d1e7
MD5sum: 919e801403509f38a28c7afca5f1f794
Description: Widget-like mini-applications for GNOME - Documentation package
 Screenlets are small owner-drawn applications (written in Python) that can be
 described as "the virtual representation of things lying/standing around on
 your desk". Sticky notes, clocks, rulers, ... the possibilities are endless.
 .
 This package contains the API documentation.
Homepage: http://screenlets.org
Tag: devel::doc, devel::lang:python, made-of::html, role::documentation,
 suite::gnome
Section: doc
Priority: optional
Filename: pool/main/s/screenlets/screenlets-doc_0.1.2-8_all.deb

Package: screenruler
Version: 0.960+bzr41-1
Installed-Size: 156
Maintainer: Siegfried-Angel Gevatter Pujals 
Architecture: all
Depends: ruby1.8, ruby-gtk2, ruby-cairo, libgettext-ruby1.8
Size: 21608
SHA256: cafe8247a6778e8c02b8c11984c3b671140c08a0bfb0ac9f7aed2c7f45e1e98d
SHA1: 78f49f8694ce0b7ce1f3ea592402b7d9b4aa1982
MD5sum: d62582d04b0467c0c6374d0868326e53
Description: measure objects on screen with a variety of metrics
 ScreenRuler will display a ruler on screen which allows you to measure the
 other objects that you've there. It has the following features:
  * Horizontal and vertical measurement in 6 different metrics:
    pixels, centimetres, inches, picas, points, and as a percentage
    of the ruler's length.
  * Cusomizable colors and fonts.
  * Keyboard control for precise positioning.
  * Option for the ruler to stay always on top of other windows.
Homepage: http://gnomecoder.wordpress.com/screenruler/
Tag: role::program, scope::utility, uitoolkit::gtk
Section: gnome
Priority: optional
Filename: pool/main/s/screenruler/screenruler_0.960+bzr41-1_all.deb

Package: screentest
Version: 2.0-2.1
Architecture: armhf
Maintainer: Giacomo Catenazzi 
Installed-Size: 124
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27)
Homepage: http://screentest.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/s/screentest/screentest_2.0-2.1_armhf.deb
Size: 21196
SHA256: 3891cc11d64ff9eaf471ce801bff3106089c90fa853a044e36fa2c1759c772e6
SHA1: d4b296a3184487c86e41db1dfdb816ff5295bfb8
MD5sum: 6b7a4120c2278b0b8dd514738fcc7cbe
Description: Utility to test the quality of screens
 Screentest is a CRT and LCD screen testing utility. Ever wondered how
 good the quality (sharpness, linearity, convergence, etc.) of your
 CRT/LCD monitor is? Screentest displays the testing patterns which you
 can use to evaluate the quality of your monitor.

Package: scribble
Version: 1.11-1
Installed-Size: 860
Maintainer: Brian White 
Architecture: all
Replaces: scrabble (<< 1.10)
Conflicts: scrabble (<< 1.10)
Size: 376208
SHA256: dbcdb3433fd7b4ea22ff7a814fdab6dd03bd169edbdb2a0fc57b1a925348d678
SHA1: cbd46b536298c34b6fe341e6be0da490ab6be67c
MD5sum: 4b7e7482f4cc2746bb6fe79f51f7f318
Description: Popular crossword game, similar to Scrabble(R)
 Scribble is a hybrid of crossword mentality, positional strategy, and
 a true test of your language mastery, similar to the game Scrabble(R)
 by Hasbro.  You start with a board that serves for the placement for
 letter tiles.  On the board there are specific squares that when used
 can add to your score dramatically.  These premium squares can double
 or triple letter values.  Some of these squares can even double or
 triple your word scores!  You must position yourself to grab the
 squares and block your opponent from spelling out a "killer" word.
 .
 This version of Scribble includes a full dictionary, adaptive
 vocabulary, and simple learning.  Lower difficulty levels give the
 computer fewer words to choose from but if you use a word that the
 computer wouldn't have, it's fair game from that point forward.  At
 maximum difficulty, the computer will play about a 750 point game.
 .
 This package is not for beginners as the display does not include
 letter values or a description of what the symbols on the board
 represent.  You must be familiar with the game of Scrabble(R) before
 trying to play this game.
Tag: role::program, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/s/scribble/scribble_1.11-1_all.deb

Package: scribes
Version: 0.4~r543-2
Installed-Size: 4920
Maintainer: Chris Silva 
Architecture: all
Depends: python (>= 2.5), python-support (>= 0.90.0), python-dbus (>= 0.70), python-glade2, python-gtkspell, python-gtksourceview2, python-gtk2 (>= 2.10), scrollkeeper, yelp (>= 2.12)
Size: 729510
SHA256: d734766fb3ca77f46467d2873ff683f8e3086bc9800a4751394dae78f455ea27
SHA1: 280e66b1bc5dda4cefe4dbf2f04d90d9f4da4658
MD5sum: b8a14c998346cb923f87439f36763b28
Description: simple, slim and sleek, yet powerful text editor for GNOME
 Scribes focuses on streamlining your workflow. It does so by ensuring
 that common and repetitive operations are intelligently automated and also
 by eliminating factors that prevent you from focusing on your tasks.
 .
 The result is a text editor that provides a fluid user experience,
 that is easy and fun to use and that ensures the safety of your
 documents at all times.
Homepage: http://scribes.sourceforge.net/
Tag: implemented-in::python, interface::x11, role::program,
 scope::application, suite::gnome, uitoolkit::gtk, use::editing,
 works-with-format::plaintext, works-with::software:source,
 works-with::text, x11::application
Section: editors
Priority: optional
Filename: pool/main/s/scribes/scribes_0.4~r543-2_all.deb

Package: scribus
Version: 1.4.0.dfsg+r17300-1.1
Architecture: armhf
Maintainer: Oleksandr Moskalenko 
Installed-Size: 51324
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcups2 (>= 1.4.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), libpodofo0.9.0, libpython2.7 (>= 2.7), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), python, python-tk, ghostscript (>= 8.61)
Recommends: xfonts-scalable | gsfonts-x11, cups-bsd
Suggests: scribus-template, icc-profiles
Homepage: http://www.scribus.net/
Priority: optional
Section: graphics
Filename: pool/main/s/scribus/scribus_1.4.0.dfsg+r17300-1.1_armhf.deb
Size: 25205392
SHA256: 895892c20ed40f19f5442472ba35c59446685243290b0e460402757c0ce069a0
SHA1: 7ce9f8a2b27c3e2d3f3fe730437068a9abcb7cea
MD5sum: 686dfe6184af318ca8f2bb37766f70ef
Description: Open Source Desktop Page Layout - stable branch
 Scribus is an open source desktop page layout program with the aim of
 producing commercial grade output in PDF and Postscript, primarily, though
 not exclusively for Linux.
 .
 Scribus can be used for many tasks; from brochure design to newspapers,
 magazines, newsletters and posters to technical documentation. It has
 sophisticated page layout features like precision placing and rotating of text
 and/or images on a page, manual kerning of type, bezier curves polygons,
 precision placement of objects, layering with RGB and CMYK custom colors. The
 Scribus document file format is XML-based. Unlike proprietary binary file
 formats, even damaged documents, can be recovered with a simple text editor.
 .
 Scribus supports professional DTP features, such as CMYK color and a
 color management system to soft proof images for high quality color printing,
 flexible PDF creation options, Encapsulated PostScript import/export and
 creation of 4 color separations, import of EPS/PS and SVG as native vector
 graphics, Unicode text including right to left scripts such as Arabic and
 Hebrew via freetype. Graphic formats which can be placed in Scribus as images
 include PDF, Encapsulated Post Script (eps), TIFF, JPEG, PNG and XPixMap(xpm),
 and any bitmap type supported by QT4.
 .
 Printing, PDF and SVG creation are done via custom driver libraries and
 plug-ins, giving Scribus inventive features: the abilities to include
 presentation effects with PDF output, fully scriptable interactive PDF
 forms, SVG vector file output. The internal printer drivers fully support
 Level 2 and Level 3/PDF 1.4 postscript features including transparency and
 font embedding.
 .
 When run from KDE, Drag and Drop, for example from desktop to the canvas,
 is enabled. There is easy to use drag and drop scrapbook for frequently
 used items such as text blocks, pictures and custom shaped frames.

Package: scribus-ng
Version: 1.4.0.dfsg+r17300-1
Installed-Size: 42
Maintainer: Oleksandr Moskalenko 
Architecture: all
Depends: scribus (>= 1.4.0.dfsg+r17300)
Size: 19994
SHA256: 15fb5135c12cdac725b894f6cbf5033d38ba812dd98f88397e4044a68b942f43
SHA1: d99206d8ba6c8271853731dfdbdf67e5b17ca0d0
MD5sum: eae5a47efc6637c5258fdeacb75dec94
Description: Transitional dummy package for the 1.4.0 Scribus release
 This is the developmental branch of Scribus - the open source desktop page
 layout program. This package is intended for tracking fast-paced development
 of scribus to make new features available to those who need them. Do not use
 this package if stability is your main requirement or if you intend to return
 to the stable 1.3.3.x "scribus" package at some point as the file formats are
 incompatible. This package is only for people who need the cutting edge
 features and can handle crashes and data loss and submit bug reports.
 .
 Scribus is an open source desktop page layout program with the aim of
 producing commercial grade output in PDF and Postscript, primarily, though
 not exclusively for Linux.
 .
 Scribus can be used for many tasks; from brochure design to newspapers,
 magazines, newsletters and posters to technical documentation. It has
 sophisticated page layout features like precision placing and rotating of text
 and/or images on a page, manual kerning of type, bezier curves polygons,
 precision placement of objects, layering with RGB and CMYK custom colors. The
 Scribus document file format is XML-based. Unlike proprietary binary file
 formats, even damaged documents, can be recovered with a simple text editor.
 .
 Scribus supports professional DTP features, such as CMYK color and a
 color management system to soft proof images for high quality color printing,
 flexible PDF creation options, Encapsulated PostScript import/export and
 creation of 4 color separations, import of EPS/PS and SVG as native vector
 graphics, Unicode text including right to left scripts such as Arabic and
 Hebrew via freetype. Graphic formats which can be placed in Scribus as images
 include PDF, Encapsulated Post Script (eps), TIFF, JPEG, PNG and XPixMap(xpm),
 and any bitmap type supported by QT4.
 .
 Printing, PDF and SVG creation are done via custom driver libraries and
 plug-ins, giving Scribus inventive features: the abilities to include
 presentation effects with PDF output, fully scriptable interactive PDF
 forms, SVG vector file output. The internal printer drivers fully support
 Level 2 and Level 3/PDF 1.4 postscript features including transparency and
 font embedding.
 .
 When run from KDE, Drag and Drop, for example from desktop to the canvas,
 is enabled. There is easy to use drag and drop scrapbook for frequently
 used items such as text blocks, pictures and custom shaped frames.
Homepage: http://www.scribus.net/
Tag: implemented-in::c++, interface::x11, role::program, scope::application,
 uitoolkit::qt, use::editing, works-with-format::pdf,
 works-with-format::postscript, works-with-format::svg, works-with::dtp,
 works-with::image, works-with::image:vector, works-with::text,
 x11::application
Section: graphics
Priority: optional
Filename: pool/main/s/scribus-ng/scribus-ng_1.4.0.dfsg+r17300-1_all.deb

Package: scribus-template
Version: 1.2.4.1-2
Installed-Size: 2308
Maintainer: Oleksandr Moskalenko 
Architecture: all
Replaces: scribus (<= 1.2.0.final+cvs20041226-1)
Recommends: scribus | scribus-ng
Size: 1872842
SHA256: 552320d83badf9b8baea89ac04a0f445da2ee32089f07d87378793624d4b1642
SHA1: 32821377f0aeb862693f2453c0d4dd288d3bcf90
MD5sum: 54c51f93028e78c61a8a6ac2a42e440b
Description: additional scribus templates
 .
 These templates are distributed in addition to the three example templates
 present in the main scribus package. Some of them were prepared by members of
 the core Scribus development team and some were contributions by the
 community members.
 .
 Homepage: http://www.scribus.net/
Tag: interface::x11, role::app-data, uitoolkit::qt, use::editing,
 works-with::dtp, x11::application
Section: graphics
Priority: optional
Filename: pool/main/s/scribus-template/scribus-template_1.2.4.1-2_all.deb

Package: scrobble-cli
Source: qtscrob
Version: 0.10-4
Architecture: armhf
Maintainer: Jon Dowland 
Installed-Size: 133
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libmtp9 (>= 1.1.0), libstdc++6 (>= 4.4.0), libusb-1.0-0 (>= 2:1.0.8)
Homepage: http://qtscrob.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/q/qtscrob/scrobble-cli_0.10-4_armhf.deb
Size: 49576
SHA256: 1fc00cfc7b4c96c2d3a25d7fff927d06b1587523457cd840a5998f1e12b73e66
SHA1: f32000dbaa92aae12f85966994a5c9e62c6f43f7
MD5sum: 6d98b86d11606368f1da5c0bd646557f
Description: audioscrobbler submitter for rockbox-format .scrobbler.log files
 scrobble-cli is a GUI tool which reads .scrobbler.log files produced by
 "Rockbox" (the open source audio player firmware) and submits them to
 the audioscrobbler service "last.fm".
 .
 This is a command-line tool. For a graphical version, see the 'qtscrob'
 package.

Package: scrollkeeper
Source: rarian
Version: 0.8.1-5
Installed-Size: 32
Maintainer: Frederic Peters 
Architecture: all
Depends: rarian-compat
Size: 23464
SHA256: c6423a5b62b96a65664fca779568dc7ceeb706a1a0b7c93e79e29557f0f8751a
SHA1: 37cea3f8261de232ed9cbfb0d2d0a6262b898b4f
MD5sum: a64d4a38a75089744946046f5bd0a62f
Description: Transitional package for scrollkeeper
 This dummy package is designed to facilitate upgrades for systems with
 scrollkeeper installed. It will bring its replacement, named rarian,
 instead.
 .
 You can safely remove this package.
Homepage: http://rarian.freedesktop.org/
Tag: devel::doc, role::program, scope::utility, use::organizing,
 works-with::text
Section: doc
Priority: extra
Filename: pool/main/r/rarian/scrollkeeper_0.8.1-5_all.deb

Package: scrollz
Version: 2.1-1.1
Architecture: armhf
Maintainer: Mike Markley 
Installed-Size: 1435
Depends: libc6 (>= 2.7), libgnutls26 (>= 2.12.17-0), libtinfo5
Provides: irc
Priority: optional
Section: net
Filename: pool/main/s/scrollz/scrollz_2.1-1.1_armhf.deb
Size: 627770
SHA256: e62a6f146722a89e23f03c96fa186c0c3a182402f82c1ddb3e24c03c095f41ab
SHA1: 95efb950a907c008dc3ee39eb2750aff49e3136f
MD5sum: fae729eada4e80c5aaa446013d08ed3a
Description: An advanced ircII-based IRC client
 ScrollZ is advanced IRC client based on ircII code. It adds features
 normally found in ircII scripts like Toolz, PhoEniX, GargOyle or Lice.
 .
 The main difference between these scripts and ScrollZ is the code. Where
 ircII scripts take a lot of disk and memory space and run slow, ScrollZ
 only takes a couple of extra kilobytes compared to stock ircII client
 yet runs faster than any ircII script. This was accomplished by using
 C code instead of ircII scripting language. This reduces memory and
 CPU usage and code tends to run way faster.

Package: scrot
Version: 0.8-13
Architecture: armhf
Maintainer: William Vera 
Installed-Size: 66
Depends: giblib1 (>= 1.2.4), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libimlib2, libx11-6, libxext6, zlib1g (>= 1:1.1.4)
Homepage: http://freshmeat.net/projects/scrot
Priority: optional
Section: graphics
Filename: pool/main/s/scrot/scrot_0.8-13_armhf.deb
Size: 18504
SHA256: adff738dd1deff4e78b0aa28cedde664294932be35ca1721ea0f1a3c2cf42264
SHA1: 7229003c5ac57112ec7170ce57d9b90af998645b
MD5sum: 97a54cde6d3b389c28243a94628826a8
Description: command line screen capture utility
 scrot (SCReen shOT) is a simple commandline screen capture
 utility that uses imlib2 to grab and save images. Multiple
 image formats are supported through imlib2's dynamic saver
 modules.

Package: scrotwm
Source: spectrwm
Version: 1.0.0-1
Installed-Size: 11
Maintainer: Andrea Bolognani 
Architecture: all
Depends: spectrwm
Pre-Depends: dpkg (>= 1.15.7.2~)
Size: 6326
SHA256: 33fa899eee4b30c51c01d23dfa6bef4a0953d009e80a1d22eef7619f206ed9d9
SHA1: 6ec3ce4defbda49fceca2ae8c3ae0d876ea014de
MD5sum: e0a8cfd0df86b205516405effc57c752
Description: dynamic tiling window manager (transitional package)
 spectrwm is a minimalistic window manager that tries to stay out of the
 way so that valuable screen real estate can be used for much more
 important stuff.
 .
 scrotwm is the old name for spectrwm. This transitional package is
 provided to ease upgrades; it also contains compatibility symlinks to
 ensure upgrading doesn't break a working setup. It can be safely
 deleted if backward compatibility with scrotwm is not needed.
Homepage: http://opensource.conformal.com/wiki/spectrwm
Tag: implemented-in::c, interface::x11, role::program, uitoolkit::xlib,
 x11::window-manager
Section: oldlibs
Priority: extra
Filename: pool/main/s/spectrwm/scrotwm_1.0.0-1_all.deb

Package: scrounge-ntfs
Version: 0.9-6
Architecture: armhf
Maintainer: Debian Forensics 
Installed-Size: 74
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0)
Homepage: http://memberwebs.com/stef/software/scrounge/
Priority: optional
Section: admin
Filename: pool/main/s/scrounge-ntfs/scrounge-ntfs_0.9-6_armhf.deb
Size: 19408
SHA256: 527946bded1d46f7247648e1acbe59b9beedf3ad8912d394deadceb803e44ee1
SHA1: 8dee876f4f9f678f0cdf02f6e9f4f2075736ae73
MD5sum: a0d19e5ae703be1e308b315e8df3d80c
Description: Data recovery program for NTFS filesystems
 Utility that can rescue data from corrupted NTFS partitions writes the files
 retrieved to another working file system.

Package: scrub
Version: 2.4.1-1
Architecture: armhf
Maintainer: Chris Taylor 
Installed-Size: 103
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Homepage: http://code.google.com/p/diskscrub/
Priority: extra
Section: utils
Filename: pool/main/s/scrub/scrub_2.4.1-1_armhf.deb
Size: 34286
SHA256: ea9a5e23cbdaf2b0e3621a112835d5de40af5afa25c083402d76445517abb745
SHA1: f317ad6eae0880a0fba272630ff2834391a7182a
MD5sum: c4a6ba144a920477fbcd233510c32e59
Description: writes patterns on magnetic media to thwart data recovery
 scrub iteratively writes patterns on files or disk devices to make retrieving
 the data more difficult.
 .
 scrub can write patterns direct to disk, destroying any file system (preferred
 method), or it can write patterns on files, or on file system free space.
 .
 scrub writes NNSA NAP-14.x, DoD 5220.22-M, BSI, 35-pass gutmann, or one of
 several other selectable pattern sequences.
 .
 scrub operates at the POSIX system call level, thus it is portable to a wide
 variety of hardware platforms. However, this means it cannot do certain things
 like manipulate spare blocks on disks, etc..

Package: scrypt
Version: 1.1.6-3
Architecture: armhf
Maintainer: Barak A. Pearlmutter 
Installed-Size: 74
Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0)
Homepage: http://www.tarsnap.com/scrypt.html
Priority: optional
Section: utils
Filename: pool/main/s/scrypt/scrypt_1.1.6-3_armhf.deb
Size: 21058
SHA256: 00746e1551ae528e843aeaeb1e681dbfe917a8e11cc983552f4b3648c12588a4
SHA1: 815bc06b07b1f3105ed57776fe703d11692a9fb7
MD5sum: d1cb0f90158e0ed94495d1ebcb47d787
Description: File encryption utility using scrypt for key derivation
 A simple password-based encryption utility which demonstrates the
 scrypt key derivation function.  On modern hardware and with default
 parameters, the cost of cracking the password on a file encrypted by
 scrypt enc is approximately 100 billion times more than the cost of
 cracking the same password on a file encrypted by openssl enc; this
 means that a five-character password using scrypt is stronger than a
 ten-character password using openssl.

Package: scsh
Source: scsh-defaults
Version: 0.6.6.3
Installed-Size: 8
Maintainer: Lionel Elie Mamane 
Architecture: all
Depends: scsh-0.6
Suggests: scsh-doc
Size: 2738
SHA256: e5796577c9b36c8fdc2543ed4f59bb2547eefab6c0c006fbd81a3c21cfdfd5ae
SHA1: 3b6f6d9a29ed12f225ae5618dcce5b4aa358f06c
MD5sum: 9de15674e910882cb97c2acd8105ebf9
Description: A `scheme' interpreter designed for writing system programs (default version)
 Scsh has a high-level process notation for doing shell-script like
 tasks: running programs, establishing pipelines and I/O redirection.
 Scsh embeds this process notation within a full implementation of
 Scheme, a minimal and clean dialect of the Lisp programming language.
 The process notation is realized as a set of macro definitions, and
 is carefully designed to allow full integration with standard Scheme
 code. Scsh isn't Scheme-like; it is Scheme.
 .
 At the scripting level, scsh also has an Awk design, also implemented
 as a macro that can be embedded inside general Scheme code.
 .
 Scsh additionally provides the low-level access to the operating
 system normally associated with C. The current release provides full
 access to POSIX, plus important non-POSIX extensions, such as
 complete sockets support. "Complete POSIX" means: fork, exec & wait,
 sockets, full read, write, open & close, seek & tell, complete
 file-system access, including stat, chmod/chgrp/chown, symlink, FIFO
 & directory access, tty & pty support, file locking, pipes, select,
 file-name pattern-matching, time & date, environment variables,
 signal handlers, and more.
 .
 Please be aware that several of the other scheme implementations
 being distributed as Debian packages also provide much of the similar
 system programming functionality.  It is wisest to try them all and
 explore.
 .
 This package is a dependency package, which depends on Debian's default
 scsh version (currently v0.6).
Tag: devel::lang:scheme, devel::library, implemented-in::scheme,
 interface::shell, role::metapackage
Section: lisp
Priority: extra
Filename: pool/main/s/scsh-defaults/scsh_0.6.6.3_all.deb

Package: scsh-0.6
Source: scsh-0.6 (0.6.7-8)
Version: 0.6.7-8+b1
Architecture: armhf
Maintainer: Scheme48 Maintainers Task Force 
Installed-Size: 7880
Depends: libc6 (>= 2.13-28), libelf1 (>= 0.131), scsh-common-0.6 (= 0.6.7-8)
Suggests: scsh-0.6-doc
Conflicts: scsh (<= 0.6.6-3)
Replaces: scsh (<= 0.6.6-3)
Homepage: http://www.scsh.net/
Priority: optional
Section: interpreters
Filename: pool/main/s/scsh-0.6/scsh-0.6_0.6.7-8+b1_armhf.deb
Size: 2967748
SHA256: 049640fa4ebd9e116684c308dc7d67dfe0cc31a306172757e0139b2611f18eb2
SHA1: 5748ba7e956db6ca59f30990cef79238874ebe6a
MD5sum: 14328a0bd165706036683f4507db5e3a
Description: A `scheme' interpreter designed for writing system programs
 Scsh has a high-level process notation for doing shell-script like
 tasks: running programs, establishing pipelines and I/O redirection.
 Scsh embeds this process notation within a full implementation of
 Scheme, a minimal and clean dialect of the Lisp programming language.
 The process notation is realized as a set of macro definitions, and
 is carefully designed to allow full integration with standard Scheme
 code. Scsh isn't Scheme-like; it is Scheme.
 .
 At the scripting level, scsh also has an Awk design, also implemented
 as a macro that can be embedded inside general Scheme code.
 .
 Scsh additionally provides the low-level access to the operating
 system normally associated with C. The current release provides full
 access to POSIX, plus important non-POSIX extensions, such as
 complete sockets support. "Full access to POSIX" means: fork, exec &
 wait, sockets, full read, write, open & close, seek & tell, complete
 file-system access, including stat, chmod/chgrp/chown, symlink, FIFO
 & directory access, tty & pty support, file locking, pipes, select,
 file-name pattern-matching, time & date, environment variables,
 signal handlers, and more.

Package: scsh-0.6-doc
Source: scsh-0.6
Version: 0.6.7-8
Installed-Size: 4600
Maintainer: Scheme48 Maintainers Task Force 
Architecture: all
Replaces: scsh-doc (<= 0.6.6-3)
Conflicts: scsh-doc (<= 0.6.6-3)
Size: 2640498
SHA256: bed03900540e9de215e54ca5dbbfc279cafeab07c114f3552a681297a58bf039
SHA1: 22b306d1f870dc87e648361b39d67fea4aaa0bef
MD5sum: bed859983149253cf7747cf73f51bd79
Description: Documentation for scsh, "The Scheme Shell"
 This package contains the documentation for scsh: The Scheme Shell.
 Scsh is used for shell-script like tasks.
 .
 Included in the package are the scsh manual (in html and postscript),
 the s48 manual (the scheme that scsh is based on) (in html and
 postscript), a paper written in 1994 describing scsh, and some
 miscellaneous documentation.
Homepage: http://www.scsh.net/
Tag: devel::doc, devel::lang:scheme, made-of::html, made-of::postscript,
 role::documentation
Section: doc
Priority: extra
Filename: pool/main/s/scsh-0.6/scsh-0.6-doc_0.6.7-8_all.deb

Package: scsh-common-0.6
Source: scsh-0.6
Version: 0.6.7-8
Installed-Size: 1896
Maintainer: Scheme48 Maintainers Task Force 
Architecture: all
Replaces: scsh (<= 0.6.6-3)
Suggests: scsh-0.6-doc
Conflicts: scsh (<= 0.6.6-3)
Size: 381706
SHA256: 2333de945602c2bc376fdffec1108cce17236910312a06c93ade5ae28207bc3b
SHA1: 6495ebfabd14da9eccfb6608a0f676ab5a5fff74
MD5sum: 39618d8529572829ea2506690e7dd7b8
Description: A `scheme' interpreter designed for writing system programs
 Scsh has a high-level process notation for doing shell-script like
 tasks: running programs, establishing pipelines and I/O redirection.
 Scsh embeds this process notation within a full implementation of
 Scheme, a minimal and clean dialect of the Lisp programming language.
 The process notation is realized as a set of macro definitions, and
 is carefully designed to allow full integration with standard Scheme
 code. Scsh isn't Scheme-like; it is Scheme.
 .
 At the scripting level, scsh also has an Awk design, also implemented
 as a macro that can be embedded inside general Scheme code.
 .
 Scsh additionally provides the low-level access to the operating
 system normally associated with C. The current release provides full
 access to POSIX, plus important non-POSIX extensions, such as
 complete sockets support. "Full access to POSIX" means: fork, exec &
 wait, sockets, full read, write, open & close, seek & tell, complete
 file-system access, including stat, chmod/chgrp/chown, symlink, FIFO
 & directory access, tty & pty support, file locking, pipes, select,
 file-name pattern-matching, time & date, environment variables,
 signal handlers, and more.
 .
 This package contains the architecture-independent files.
Homepage: http://www.scsh.net/
Tag: devel::examples, devel::lang:scheme, devel::library,
 implemented-in::scheme, role::app-data
Section: lisp
Priority: extra
Filename: pool/main/s/scsh-0.6/scsh-common-0.6_0.6.7-8_all.deb

Package: scsh-doc
Source: scsh-defaults
Version: 0.6.6.3
Installed-Size: 8
Maintainer: Lionel Elie Mamane 
Architecture: all
Depends: scsh-0.6-doc
Suggests: scsh
Size: 2104
SHA256: d3b6dabc49bf87e8a2a0810421f59a41b156d362cd6552a04f1bd1dfccf83efe
SHA1: dceca50ff750a25887cfadd5db363bd5ccc47986
MD5sum: 89b787155af7ef720e4fdef9fa425309
Description: Documentation for scsh, "The Scheme Shell"
 This package contains the documentation for scsh: The Scheme Shell.
 Scsh is used for shell-script like tasks.
 .
 Included in the package are the scsh manual (in html and postscript),
 the s48 manual (the scheme that scsh is based on) (in html and
 postscript), a paper written in 1994 describing scsh, and some
 miscellaneous documentation.
 .
 This package is a dependency package, which depends on Debian's default
 scsh version (currently v0.6).
Tag: devel::doc, devel::lang:scheme, role::dummy, role::metapackage
Section: doc
Priority: extra
Filename: pool/main/s/scsh-defaults/scsh-doc_0.6.6.3_all.deb

Package: scsh-install-lib
Version: 1.3.0-1
Installed-Size: 396
Maintainer: Scheme48 Maintainers Task Force 
Architecture: all
Depends: scsh-0.6 (>= 0.6.6)
Size: 244662
SHA256: 66e5e06e353d2819a6f11b56017d798f5ca261936efc9a6884e939934bb38f49
SHA1: 7f120c072968bea716e5d8ad4b3c56026ff5c142
MD5sum: 01de683af64645f263c4cc3c6cfaf970
Description: scsh package installer
 The scsh install library contains the code necessary to install scsh
 packages not packaged as Debian packages, or to build Debian packages
 of scsh packages.
Section: lisp
Priority: extra
Filename: pool/main/s/scsh-install-lib/scsh-install-lib_1.3.0-1_all.deb

Package: scsitools
Version: 0.12-2.1
Architecture: armhf
Maintainer: Eric Delaunay 
Installed-Size: 349
Depends: libc6 (>= 2.7), util-linux (>= 2.11b-3), sg3-utils (>= 1.24)
Recommends: tk8.4 | wish
Conflicts: hwtools (<< 0.6)
Priority: optional
Section: utils
Filename: pool/main/s/scsitools/scsitools_0.12-2.1_armhf.deb
Size: 132116
SHA256: 07e1b1e2105580dc3c666184cc5de90323747c3a35c2fa04ba458f0d9d5c5d67
SHA1: 22a4d0c4bd4d7b577a285cff4a75ea72560874a0
MD5sum: 445e5c23cf99159cf4f73e174ee5f2c1
Description: Collection of tools for SCSI hardware management
 This package is a collection of tools for manipulating SCSI hardware:
 .
  scsiinfo: displays SCSI drive low-level information and modifies SCSI
            drive settings,
  scsidev: makes permanent SCSI LUN -> devicename connections,
  scsifmt: low-level SCSI formatter,
  sraw: benchmarks raw SCSI I/O rates bypassing the buffer cache,
  scsi-spin: program to manually spin up and down a SCSI device.
 .
 Beware that, to be used properly, these tools require some knowledge of
 what they're doing as they can cause damage to your system.

Package: scummvm
Version: 1.4.1-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 12920
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libflac8 (>= 1.2.1), libfluidsynth1, libgcc1 (>= 1:4.4.0), libmad0 (>= 0.15.1b-3), libogg0 (>= 1.0rc3), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), zlib1g (>= 1:1.1.4), scummvm-data
Suggests: fluidsynth | timidity, beneath-a-steel-sky, flight-of-the-amazon-queen
Homepage: http://www.scummvm.org
Priority: optional
Section: games
Filename: pool/main/s/scummvm/scummvm_1.4.1-1_armhf.deb
Size: 5971400
SHA256: 9efcd829ba001a14144e78c33a5962eb6ab92bd21c8d8e69b85677badb7cab64
SHA1: 57d20b546da902e86fdfea2ea0814d5610eeddc9
MD5sum: 354f093ab362eb409557a376e5d698eb
Description: engine for several graphical adventure games
 ScummVM is a `virtual machine' for several classic graphical
 point-and-click adventure games.  It is designed to run Adventure Soft's
 Simon the Sorcerer 1 and 2, Revolution's Beneath A Steel Sky, and games
 based on LucasArts' SCUMM (Script Creation Utility for Maniac Mansion)
 system.  SCUMM is used for many games, including Monkey Island,
 Day of the Tentacle, Sam and Max and more.  See the official compatibility
 list at  for a full list
 of supported games.
 .
 The game data of two games compatible with ScummVM is included in Debian.
 These are:
 .
  - Beneath a Steel Sky        (package name: beneath-a-steel-sky)
  - Flight of the Amazon Queen (package name: flight-of-the-amazon-queen)
 .
 To actually make use of ScummVM, you'll have to install one of these, or
 obtain the proprietary game data for another supported game from somewhere
 else.

Package: scummvm-data
Source: scummvm
Version: 1.4.1-1
Installed-Size: 881
Maintainer: Debian Games Team 
Architecture: all
Replaces: scummvm (<< 1.0.0-3)
Size: 416450
SHA256: f64588af4d485995375a53e09d2efb351c1a8047da84b6ee9dac7f4938cfed55
SHA1: cb3cc54b2b23cc6690ba19000a648c5389356bde
MD5sum: c8ec2429e4ad1279092ce9ecea0f4900
Description: engine for several graphical adventure games (data files)
 ScummVM is a `virtual machine' for several classic graphical
 point-and-click adventure games.  It is designed to run Adventure Soft's
 Simon the Sorcerer 1 and 2, Revolution's Beneath A Steel Sky, and games
 based on LucasArts' SCUMM (Script Creation Utility for Maniac Mansion)
 system.  SCUMM is used for many games, including Monkey Island,
 Day of the Tentacle, Sam and Max and more.  See the official compatibility
 list at  for a full list
 of supported games.
 .
 The game data of two games compatible with ScummVM is included in Debian.
 These are:
 .
  - Beneath a Steel Sky        (package name: beneath-a-steel-sky)
  - Flight of the Amazon Queen (package name: flight-of-the-amazon-queen)
 .
 To actually make use of ScummVM, you'll have to install one of these, or
 obtain the proprietary game data for another supported game from somewhere
 else.
 .
 These packages provides data files needed to run some engines supported by
 ScummVM.
Homepage: http://www.scummvm.org
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/s/scummvm/scummvm-data_1.4.1-1_all.deb

Package: scute
Version: 1.4.0-4
Architecture: armhf
Maintainer: NIIBE Yutaka 
Installed-Size: 141
Pre-Depends: multiarch-support
Depends: libassuan0 (>= 2.0.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgpg-error0 (>= 1.10), dpkg (>= 1.15.4) | install-info
Multi-Arch: same
Homepage: http://www.scute.org/
Priority: extra
Section: utils
Filename: pool/main/s/scute/scute_1.4.0-4_armhf.deb
Size: 66316
SHA256: 939cef7e2e3a9cba8ec009a8f1788d0046a2dd4f6d3295c6eb62a751e9500e9f
SHA1: a5acc7f1c8a8189986b195535471f6c9d73f9b7d
MD5sum: da07780f48cba9b1f29f91c8cbd3937c
Description: OpenPGP smartcard plugin for Mozilla Network Security Services
 Scute is a PKCS #11 implementation for the GnuPG Agent using the GnuPG
 Smart Card Daemon which enables you to use your OpenPGP smart card for
 client authentication with SSL in Mozilla.

Package: scuttle
Version: 0.7.4-8.1
Installed-Size: 1031
Maintainer: Marcelo Jorge Vieira (metal) 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, php5 (>= 5.1.0), php5-mysql, apache2 | httpd, wwwconfig-common, dbconfig-common, php-gettext, mysql-client, ucf
Suggests: mysql-server
Size: 184124
SHA256: 8e06c4d83611ea6316955571ba34a431ee66bae52102664655e294235f7ee52d
SHA1: 04b77e886705036f1c87bd6bcb87badee3ea8f3b
MD5sum: 58105c2122170aec49943bc8438d29b8
Description: Web-based social bookmarking system
 Allows multiple users to store, share and tag their favourite links online.
 .
   * Scuttle supports most of the del.icio.us API.
     Almost all of the neat tools made for that system can be
     modified to work with Scuttle instead. If you find a tool
     that won't let you change the API address, ask the creator
     to add this setting. You never know, they might just do it.
Homepage: http://sourceforge.net/projects/scuttle
Tag: implemented-in::php, protocol::db:mysql, web::application,
 works-with-format::xml:rss
Section: web
Priority: optional
Filename: pool/main/s/scuttle/scuttle_0.7.4-8.1_all.deb

Package: sd
Version: 0.74-1
Installed-Size: 812
Maintainer: Christine Spang 
Architecture: all
Depends: perl, libdatetime-perl, libdatetime-format-natural-perl, liburi-perl, libprophet-perl (>= 0.72), libhtml-tree-perl, libtime-progress-perl
Suggests: librt-client-rest-perl, libhiveminder-perl, libnet-jifty-perl, libemail-address-perl, libwww-perl, libnet-trac-perl, libnet-google-code-perl, libnet-github-perl, libnet-redmine-perl
Size: 121408
SHA256: 48e7aaa37c41ddbb32193c235978cf968d5c24542132908dba767891810b5248
SHA1: 7a58b794dbf694d3a8ecdc988b75e5034826dc4e
MD5sum: 043367875ded10f7ae92f9f4ed82cba4
Description: peer-to-peer bug tracker
 SD is a peer-to-peer bug tracker that's built for sharing and use both
 online and offline. With SD, you can sync your bugs back and forth
 between other instances of SD, and even between SD and other bug
 trackers that SD supports. Since SD does not require a network
 connection for use and stores bug information locally, you can always
 access your bugs, no matter where you are.
 .
 Currently, SD supports syncing between SD and RT, Hiveminder,
 Trac, GitHub, Google Code, and Redmine (read-only).
 .
 SD is built on top of Prophet, a distributed database system.
Homepage: http://search.cpan.org/dist/App-SD/
Tag: devel::bugtracker, implemented-in::perl, interface::commandline,
 interface::web, protocol::http, role::program, use::downloading,
 works-with::bugs
Section: perl
Priority: optional
Filename: pool/main/s/sd/sd_0.74-1_all.deb

Package: sdate
Version: 0.3.1+nmu1
Architecture: armhf
Maintainer: Christoph Berg 
Installed-Size: 80
Depends: libc6 (>= 2.4)
Homepage: http://www.df7cb.de/projects/sdate/
Priority: optional
Section: utils
Filename: pool/main/s/sdate/sdate_0.3.1+nmu1_armhf.deb
Size: 10356
SHA256: 398e68065e5601a1590cfbf97b8e9383ebc5f46e191fe5d3d26e0b7982c1abcc
SHA1: a9591db115e647d0b33c4cbd729785e1c4bf268a
MD5sum: 3e3319e48dcaf2be08975f3f7a433aae
Description: never ending September date
 sdate wraps the libc localtime() and gmtime() functions to output the eternal
 september 1993 date.
 .
 sdate works like fakeroot (on which its code is based) by setting LD_PRELOAD
 to a wrapper library.
 .
  * http://www.catb.org/~esr/jargon/html/S/September-that-never-ended.html

Package: sdcc
Version: 3.1.0+dfsg-1
Architecture: armhf
Maintainer: Gudjon I. Gudjonsson 
Installed-Size: 3258
Depends: sdcc-libraries (= 3.1.0+dfsg-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6)
Recommends: sdcc-doc
Suggests: sdcc-ucsim, python
Breaks: sdcc-libraries (<< 2.9.0)
Homepage: http://sdcc.sourceforge.net
Priority: optional
Section: electronics
Filename: pool/main/s/sdcc/sdcc_3.1.0+dfsg-1_armhf.deb
Size: 1584806
SHA256: c28257cea29e35df36eb89ef38188dec74cb4a78051925c68ff0d5b56737aa68
SHA1: 9e290bf2f5b77714d84fc57454120324b9548d7c
MD5sum: 6abf722e3abfb64b507fa88934549524
Description: Small Device C Compiler
 SDCC is a C compiler for the Intel MCS51 family, AVR, HC08, PIC
 and Z80 microcontrollers.
 .
 This package includes the compiler, assemblers and linkers.

Package: sdcc-doc
Source: sdcc
Version: 3.1.0+dfsg-1
Installed-Size: 820
Maintainer: Gudjon I. Gudjonsson 
Architecture: all
Suggests: sdcc
Size: 799546
SHA256: c1a211cca40650f13c4ccf4b6dc3850a73f07c6d2052860e45ffc77fed33c311
SHA1: 45388c56898bbf1f9d95a81f43af41517c0ab2dc
MD5sum: e7492f2fb9d516fb96b3a18fea8689d4
Description: Small Device C Compiler (documentation)
 SDCC is a C compiler for the Intel MCS51 family, AVR, HC08, PIC
 and Z80 microcontrollers.
 .
 This package provides the documentation and examples for the
 SDCC compiler.
Homepage: http://sdcc.sourceforge.net
Tag: devel::compiler, devel::doc, devel::lang:c, hardware::embedded,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/sdcc/sdcc-doc_3.1.0+dfsg-1_all.deb

Package: sdcc-libraries
Source: sdcc
Version: 3.1.0+dfsg-1
Installed-Size: 9095
Maintainer: Gudjon I. Gudjonsson 
Architecture: all
Recommends: gputils
Size: 1649556
SHA256: ff4401033ce6dbbc9330a0b200c0131cabb4a05449ae9872e6f4b72e80e7f3a4
SHA1: c7bbe9ab08ae51d5d45bd1a1b0371e391fb11c1f
MD5sum: 7e3c3bd49735d87df1502a15fee03a27
Description: Small Device C Compiler (libraries)
 SDCC is a C compiler for the Intel MCS51 family, AVR, HC08, PIC
 and Z80 microcontrollers.
 .
 This package contains the core library for SDCC.
Homepage: http://sdcc.sourceforge.net
Tag: devel::compiler, devel::lang:c, devel::library, hardware::embedded,
 role::devel-lib
Section: electronics
Priority: optional
Filename: pool/main/s/sdcc/sdcc-libraries_3.1.0+dfsg-1_all.deb

Package: sdcc-ucsim
Source: sdcc
Version: 3.1.0+dfsg-1
Architecture: armhf
Maintainer: Gudjon I. Gudjonsson 
Installed-Size: 1765
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0)
Suggests: sdcc
Replaces: sdcc-ucsim-snapshot
Homepage: http://sdcc.sourceforge.net
Priority: optional
Section: electronics
Filename: pool/main/s/sdcc/sdcc-ucsim_3.1.0+dfsg-1_armhf.deb
Size: 874844
SHA256: 761192d300ab9b758dff052448282ddfe37c067542477488737a82bd138ee178
SHA1: cb52fbcf52288d6ffbbe688453a3cde1d459005c
MD5sum: a68cd70c54c39b6790c43ba0c00e3baf
Description: Micro-controller simulator for SDCC
 uCsim is a microcontroller simulator. It is extensible to support
 different microcontroller families. It currently supports Intel
 MCS51 family, HC08 and Z80 microcontrollers.

Package: sdcv
Version: 0.4.2-16
Architecture: armhf
Maintainer: Michal Čihař 
Installed-Size: 223
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libreadline6 (>= 6.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4)
Homepage: http://sdcv.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/s/sdcv/sdcv_0.4.2-16_armhf.deb
Size: 61030
SHA256: fda1f2d09e27374f38a7c103b133f4e24a0718d2391b49b5510f3c6e4fc23923
SHA1: 185d927c2ed919507dc574d5a9c80aba6249c039
MD5sum: 53465386d0b2b0c0f7e1669327028727
Description: StarDict Console Version
 sdcv is simple text-based utility for work with dictionaries in StarDict's
 format.
 .
 It supports command line interaction as well as interactive mode using
 readline.

Package: sdf
Version: 2.001+1-2
Installed-Size: 1414
Maintainer: Colin Watson 
Architecture: all
Depends: perl (>= 5.6.0-16)
Suggests: sdf-doc, perl-doc, htmldoc, texlive-latex-base, ghostscript, sgmltools-lite
Size: 383726
SHA256: 7ef91a462c6ff1c2b9346af05c46b935d6acc68265b5b4688823fccc3e2b7713
SHA1: abd4b9c10a829519e6e1b01d8c78d8fbbda5e22f
MD5sum: ebdbaf30a2f58158478229cdf2120158
Description: Simple Document Parser
 SDF (Simple Document Format) is a freely available document development
 system which generates high quality outputs in a variety of formats
 from a single source. The output formats supported include
 PostScript(tm), PDF, HTML, plain text, POD, man pages, LaTeX,
 MIF, SGML, Windows(tm) help, RTF, MIMS F6 help and MIMS HTX help.
 If the idea of specifying documents in a logical manner via a
 simple markup language sounds appealing, SDF may be useful to you.
Multi-Arch: foreign
Tag: devel::docsystem, implemented-in::perl, interface::commandline,
 role::program, scope::utility, use::converting, use::text-formatting,
 works-with-format::html, works-with-format::man,
 works-with-format::pdf, works-with-format::plaintext,
 works-with-format::postscript, works-with-format::sgml,
 works-with-format::tex, works-with::text
Section: text
Priority: extra
Filename: pool/main/s/sdf/sdf_2.001+1-2_all.deb

Package: sdf-doc
Source: sdf
Version: 2.001+1-2
Installed-Size: 1712
Maintainer: Colin Watson 
Architecture: all
Size: 496862
SHA256: 3f988cf91c6b1bdb25ced0d83aa246c6e0953a478729009936826e0c28612ec7
SHA1: 31ce4fac8a92c23f6e1bc83046e07fa967161425
MD5sum: 83ebaa40abc6474f2cc7409511a160f3
Description: Documentation and examples for the Simple Document Parser
 SDF (Simple Document Format) is a freely available document development
 system which generates high quality outputs in a variety of formats
 from a single source. The output formats supported include
 PostScript(tm), PDF, HTML, plain text, POD, man pages, LaTeX,
 MIF, SGML, Windows(tm) help, RTF, MIMS F6 help and MIMS HTX help.
 If the idea of specifying documents in a logical manner via a
 simple markup language sounds appealing, SDF may be useful to you.
Tag: devel::doc, devel::docsystem, interface::commandline,
 role::documentation, use::converting, use::text-formatting,
 works-with-format::html, works-with-format::man,
 works-with-format::pdf, works-with-format::plaintext,
 works-with-format::postscript, works-with-format::sgml,
 works-with-format::tex, works-with::text
Section: doc
Priority: extra
Filename: pool/main/s/sdf/sdf-doc_2.001+1-2_all.deb

Package: sdl-ball
Version: 1.01-3
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 283
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), sdl-ball-data (= 1.01-3)
Homepage: http://sdl-ball.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/s/sdl-ball/sdl-ball_1.01-3_armhf.deb
Size: 95738
SHA256: f74bd72f35e4b2dc120a46afbc89332b161f1140dc9070a56d26b93b3320d372
SHA1: 69b3889d9007550a96be432d108c673968796e18
MD5sum: bdc165b4490a7c47ac928e4a315caa0f
Description: brick-breaking game like DX-Ball/Arkanoid
 Your mission: To smash your way through a series of progressively harder
 and more tricky levels.
 Your tools: Ultrakinetic titanium balls and your trusty
 Gruntmazter-3000-Paddle edition.

Package: sdl-ball-data
Source: sdl-ball
Version: 1.01-3
Installed-Size: 3361
Maintainer: Debian Games Team 
Architecture: all
Depends: ttf-alee
Size: 3040542
SHA256: f375515d1b7d5f07dead8c71f5ce3b895bd7404b54db6d34533c89647dbba257
SHA1: a34b2833e8328a0745b54290bc1d2b347a5974de
MD5sum: ffa3864201577aff6ad00feb3b2c21a9
Description: brick-breaking game like DX-Ball/Arkanoid - data files
 Your mission: To smash your way through a series of progressively harder
 and more tricky levels.
 Your tools: Ultrakinetic titanium balls and your trusty
 Gruntmazter-3000-Paddle edition.
 .
 This package contains arch-independent data files for sdl-ball (images,
 sounds, levels, etc).
Homepage: http://sdl-ball.sourceforge.net/
Tag: made-of::audio, role::app-data
Section: games
Priority: optional
Filename: pool/main/s/sdl-ball/sdl-ball-data_1.01-3_all.deb

Package: sdlbasic
Version: 0.0.20070714-4
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 2417
Depends: sdlbrt (= 0.0.20070714-4), ttf-dejavu-core, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0)
Homepage: http://sdlbasic.altervista.org/main/index.php
Priority: extra
Section: devel
Filename: pool/main/s/sdlbasic/sdlbasic_0.0.20070714-4_armhf.deb
Size: 853786
SHA256: f09031311ff7ea4f415ea3907f34c1999e432e31ce3d95ecaea12d55345e78c7
SHA1: 084928c124fc0fea438515c1520d8e79818a1904
MD5sum: 454793ea9cf2aca80a1d8ed504e750d1
Description: BASIC interpreter for game development
 sdlBasic is a small, efficient and multiplatform BASIC interpreter for
 creating games using the power of SDL library. It was inspired by the old
 and glorious AMOS.

Package: sdlbasic-dbg
Source: sdlbasic
Version: 0.0.20070714-4
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 235
Depends: sdlbasic (= 0.0.20070714-4)
Homepage: http://sdlbasic.altervista.org/main/index.php
Priority: extra
Section: debug
Filename: pool/main/s/sdlbasic/sdlbasic-dbg_0.0.20070714-4_armhf.deb
Size: 157914
SHA256: d58a2acfc91072c142156bb94e2ad1429aeb5b5d5225de5ee286edbb9cc34984
SHA1: 5798430e57b1ee8d17b737b2704b93133466f431
MD5sum: e882554cad414c0b4d9d89ad719537e5
Description: BASIC interpreter for game development - debug symbols
 sdlBasic is a small, efficient and multiplatform BASIC interpreter for
 creating games using the power of SDL library. It was inspired by the old
 and glorious AMOS.
 .
 This package includes the symbols for debugging sdlBasic.

Package: sdlbrt
Source: sdlbasic
Version: 0.0.20070714-4
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 277
Depends: ttf-dejavu-core, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libogg0 (>= 1.0rc3), libpng12-0 (>= 1.2.13-4), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-net1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libsmpeg0, libtiff4 (>> 3.9.5-3~), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), zlib1g (>= 1:1.1.4)
Homepage: http://sdlbasic.altervista.org/main/index.php
Priority: extra
Section: interpreters
Filename: pool/main/s/sdlbasic/sdlbrt_0.0.20070714-4_armhf.deb
Size: 109952
SHA256: e4997fb5f031995d3afd81e3f9189f2a76c53e4914d7f2527c2582fc061d58e4
SHA1: edd45c8f1e9b621ef3f8d6ebcae7cfaaab7868c2
MD5sum: d6f7ab083cc64b787e55255c5db023a8
Description: BASIC interpreter for game development - runtime interpreter
 sdlBasic is a small, efficient and multiplatform BASIC interpreter for
 creating games using the power of SDL library. It was inspired by the old
 and glorious AMOS.
 .
 This package includes sdlBasic runtime interpreter.

Package: sdm
Version: 0.4.1-4
Installed-Size: 124
Maintainer: Jonas Smedegaard 
Architecture: all
Depends: dash, x11-utils, openssh-server | ssh-server, x11-common
Recommends: zenity
Suggests: wmanager, icewm | x-window-manager, xterm | x-terminal-emulator
Size: 16528
SHA256: fb95104c4e341c5f82e75c443623bf6ba7662eda1aa3b56a53f31b87d29ed410
SHA1: 53d2b317696301aea69e7aac13e97fb67f5dcecd
MD5sum: 494f64ef292f3bf78e6e7c21194b239c
Description: Secure Display Manager - secure remote access to X11
 sdm is an X11 display manager similar to xdm, gdm and kdm, but unlike
 those it wraps the X11 traffic within an ssh tunnel to provide a secure
 login mechanism for remote X sessions.
 sdm provides access only through SSH, not locally. It is technically
 possible to access an sdm server from same host, but probably a waste of
 CPU power.
 .
 This package should be installed on any server acting as SDM server.
Tag: implemented-in::shell, interface::daemon, interface::x11,
 network::server, protocol::ssh, role::program,
 security::authentication, use::login, x11::display-manager
Section: x11
Priority: optional
Filename: pool/main/s/sdm/sdm_0.4.1-4_all.deb

Package: sdm-terminal
Source: sdm
Version: 0.4.1-4
Installed-Size: 120
Maintainer: Jonas Smedegaard 
Architecture: all
Depends: dash, x11-utils, xinit, x11-xserver-utils, openssh-client, xserver-xorg | xserver
Recommends: zenity
Size: 16318
SHA256: 40671f1113b9b03ae4aa45f16d650a6abe53b4a94d945ab5644dd0a16ab63c47
SHA1: 5eda4a6bcc766b3b9a14f8ea849dab08ec468f59
MD5sum: 7514670c6075ae70a19ab6260ab0860f
Description: Secure Display Manager - terminal files
 sdm is an X11 display manager similar to xdm, gdm and kdm, but unlike
 those it wraps the X11 traffic within an ssh tunnel to provide a secure
 login mechanism for remote X sessions.
 sdm provides access only through SSH, not locally. It is technically
 possible to access an sdm server from same host, but probably a waste of
 CPU power.
 .
 This package contains helper files for a terminal to connect to an sdm
 server, and should be installed on any computer accessing an sdm server.
Tag: admin::login, implemented-in::shell, protocol::ssh, role::app-data,
 security::authentication, use::login, x11::display-manager
Section: x11
Priority: optional
Filename: pool/main/s/sdm/sdm-terminal_0.4.1-4_all.deb

Package: sdop
Version: 0.71-1
Architecture: armhf
Maintainer: Andreas Metzler 
Installed-Size: 1978
Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4)
Priority: extra
Section: text
Filename: pool/main/s/sdop/sdop_0.71-1_armhf.deb
Size: 603154
SHA256: ff6eb6cb2a578829d432dcea04dd6f055247f7883711e767a5755a6382c61fe5
SHA1: 5b579b16c4874202a62a90577c6124bb5ea4e753
MD5sum: 3b15cb50b27af5423ea2eadc6b2ee155
Description: Simplified DocBook Processor
 SDoP (Simple DocBook Processor) reads a Simplified DocBook XML file, processes
 it into typeset pages, and outputs the result as PostScript (which can easily
 be converted to a PDF). It is "simple" because it supports only a subset of
 DocBook, and also because it does not make use of a DTD or stylesheets or any
 other heavyweight apparatus. It is a single program.  SDoP is used to format
 the Exim reference manual.

Package: sdpa
Version: 7.3.8+dfsg-1
Architecture: armhf
Maintainer: Makoto Yamashita 
Installed-Size: 801
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libmumps-seq-4.10.0, libstdc++6 (>= 4.4.0), libatlas-base-dev
Homepage: http://sdpa.sourceforge.net/
Priority: extra
Section: math
Filename: pool/main/s/sdpa/sdpa_7.3.8+dfsg-1_armhf.deb
Size: 325870
SHA256: ca3bb16ac4c26f3f7b3db93fc6382d66f85b3aecac5558d856fb8b7e2e55ab76
SHA1: 1ed010f64baa817d4aec1f7bea4ff42e4a9b83eb
MD5sum: c26dca761ea1842b345db6afc2605f6a
Description: High-performance package for SemiDefinite Programs
 The software SDPA (SemiDefinite Programming Algorithm) is one of the most
 efficient and stable  software packages for solving SDPs based on the
 primal-dual interior-point method.
 SDP (SemiDefinite Program) is used for financial engineering, machine
 learning, control theory, sensor network problem, quantum chemistry,
 quantum information, combinatorial optimizaiton, polynomial
 optimization, and so on.
 Further information on SDP and SDPA can be found at
 http://sdpa.sourceforge.net/

Package: sdpam
Source: sdpa
Version: 7.3.8+dfsg-1
Architecture: armhf
Maintainer: Makoto Yamashita 
Installed-Size: 1808
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libmumps-seq-4.10.0, liboctave1, libstdc++6 (>= 4.4.0), libatlas-base-dev, octave, libsdpa-dev
Homepage: http://sdpa.sourceforge.net/
Priority: extra
Section: math
Filename: pool/main/s/sdpa/sdpam_7.3.8+dfsg-1_armhf.deb
Size: 708172
SHA256: 3cf564b3ceb30a8ff1b7e3f94ad1a5e9a8108e4a1fb214ee540d69a5833e269f
SHA1: 9ff035a6fe97d12494c6899aac6ebc83d7f0665a
MD5sum: 7530d63c9d77584c921a6e61e50deda5
Description: Matlab/Octave interface of SDPA
 This package provides SDPA-M, Matlab/Octave interface of
 of SDPA (SemiDefinite Programming Algorithm).
 See the information of the package 'sdpa'.
 To use SDPA-M on Octave, add /usr/lib/sdpa/mex
 to your Octave path.
 The summary of each command can be found in
 /usr/share/sdpa/mex/CommandList.txt
 The compilation by Matlab can be found at
 /usr/share/doc/sdpa/README.
 Further information on SDP and SDPA can be found at
 http://sdpa.sourceforge.net/

Package: sdparm
Version: 1.07-1
Architecture: armhf
Maintainer: Tomas Fasth 
Installed-Size: 273
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Priority: optional
Section: admin
Filename: pool/main/s/sdparm/sdparm_1.07-1_armhf.deb
Size: 112090
SHA256: 760f267d4ff57b86cf10c7748ed2d087b2f067ee294d898cb813f24edfadcf5c
SHA1: e2a399a9347ffd4d1f48572e0650f82011b18bdc
MD5sum: 0c6d19e37c1090793eae914fbd26d9b2
Description: Output and modify SCSI device parameters
 The sdparm utility outputs and in some cases modifies SCSI
 device parameters. It can be used to output and modify
 parameters on any device that uses a SCSI command set.
 Apart from SCSI disks, such devices include CD/DVD drives
 (irrespective of transport), SCSI and ATAPI tape drives
 and SCSI enclosures.

Package: sdpnetstat
Version: 1.60-1
Architecture: armhf
Maintainer: OFED and Debian Developement and Discussion 
Installed-Size: 135
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0)
Homepage: http://www.openfabrics.org
Priority: extra
Section: net
Filename: pool/main/s/sdpnetstat/sdpnetstat_1.60-1_armhf.deb
Size: 52400
SHA256: 1e77199372c97ca7ba4f3178d028bdc2eee22fe1dc88525b044cc25f9eb6d935
SHA1: 256b00c66cd437b1dcc66df0258fdd3d78ecc85e
MD5sum: 97fad3253cdeb7b5ebd7ccff6209f8c7
Description: InfiniBand aware netstat like program
 The package provides a netstat like program which is aware
 of the infiniband Sockets Direct Protocol (SDP).

Package: sea-defender
Version: 0.9-2
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 170
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglfw2, libglu1-mesa | libglu1, libstdc++6 (>= 4.6), libx11-6, libxrandr2
Homepage: http://www.pouet.net/prod.php?which=54272
Priority: optional
Section: games
Filename: pool/main/s/sea-defender/sea-defender_0.9-2_armhf.deb
Size: 78578
SHA256: 14f9e4ee97a631f4a86d572d3c471291d803d6534c01efa925a045ca5ff97e62
SHA1: b5171ff1d2b42b2880e0870083e8f2f4e074b2c0
MD5sum: e608b5699d5ac7023079b98fcbde2470
Description: Sea defender
 This is a simple missile command clone.

Package: seabios
Version: 1.7.0-1
Installed-Size: 323
Maintainer: Debian QEMU Team 
Architecture: all
Conflicts: qemu-system (<< 0.14.0)
Size: 153132
SHA256: 3e9f3fdb8e05481cd915d0ad18944bbfd024651a64bd322663f30bddf83c866d
SHA1: aa93298a6ecca47b7306e173bc86624f0de022a0
MD5sum: 51b9cc6b2be8de333f89b4f95ecdf4e9
Description: Legacy BIOS implementation
 SeaBIOS is a legacy BIOS implementation, aimed at supporting not only
 emulated hosts such as QEMU, but also real hardware.
 .
 Note, however, that SeaBIOS does not handle early initialization of core
 chipsets, so don't even think of flashing it to your board (look at
 Coreboot for that).
Homepage: http://www.seabios.org
Tag: role::app-data
Section: misc
Priority: extra
Filename: pool/main/s/seabios/seabios_1.7.0-1_all.deb

Package: seahorse
Version: 3.4.1-2
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 7300
Depends: libatk1.0-0 (>= 1.12.4), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libgck-1-0 (>= 3.3.90), libgcr-3-1 (>= 3.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome-keyring0 (>= 3.2.2-2~), libgpgme11 (>= 1.2.0), libgtk-3-0 (>= 3.1.4), libldap-2.4-2 (>= 2.4.7), libsoup2.4-1 (>= 2.4.0), dconf-gsettings-backend | gsettings-backend, gcr (>= 3.4), gnupg (>= 1.4.7), gnome-keyring (>= 3.4)
Recommends: openssh-client
Homepage: http://live.gnome.org/Seahorse
Priority: optional
Section: gnome
Filename: pool/main/s/seahorse/seahorse_3.4.1-2_armhf.deb
Size: 2367480
SHA256: 351fc8fcd71cfa086a7fdc9dc96fc7530605829ab136ccdd235cabe1e416590b
SHA1: 83cba147b5fac14b65f277cba1fac40b534b6c88
MD5sum: 957978a604328f75d332fe72127ec842
Description: GNOME front end for GnuPG
 Seahorse is a front end for GnuPG - the GNU Privacy Guard program -
 that integrates to the GNOME desktop. It is a tool for secure
 communications and data storage.  Data encryption and digital signature
 creation can easily be performed through a GUI and Key Management
 operations can easily be carried out through an intuitive interface.

Package: seahorse-daemon
Source: libcryptui
Version: 3.2.2-1
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 5412
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libglib2.0-0 (>= 2.31.8), libgnome-keyring0 (>= 3.0.0), libgpgme11 (>= 1.2.0), libgtk-3-0 (>= 3.0.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), dconf-gsettings-backend | gsettings-backend, gnupg (>= 1.4.7)
Recommends: seahorse
Breaks: libcryptui0a (<< 3.2), seahorse (<< 3.2)
Replaces: libcryptui (<< 3.2)
Multi-Arch: foreign
Homepage: http://developer.gnome.org/libcryptui/
Priority: optional
Section: gnome
Filename: pool/main/libc/libcryptui/seahorse-daemon_3.2.2-1_armhf.deb
Size: 1582426
SHA256: cf04ba4e93289b905f34321c3cba7420652b244c36588aba5358c8aedbe07b32
SHA1: 25a864e674c8195feb3fc1b7756082777000f60d
MD5sum: c4abf7329b4d28cf51372e4ea09e29ba
Description: Seahorse pass phrase caching agent
 This is the agent program for seahorse which keeps a temporary secure
 storage of your pass phrases.
 .
 Seahorse is a front end for GnuPG - the GNU Privacy Guard program -
 that integrates to the GNOME desktop. It is a tool for secure
 communications and data storage.  Data encryption and digital signature
 creation can easily be performed through a GUI and Key Management
 operations can easily be carried out through an intuitive interface.

Package: search-ccsb
Version: 0.5-3
Installed-Size: 128
Maintainer: Otavio Salvador 
Architecture: all
Replaces: search-ccsb-el
Provides: search-ccsb-el
Depends: perl (>= 5.6.0-16), libwww-perl (>= 5.47-1), emacsen-common
Conflicts: search-ccsb-el
Size: 8502
SHA256: 142cab826db53ac882d4c6ac38cda4ed07bdf9f8cd4a4b513f6cbfbf1a28a837
SHA1: 274ea3ffbc4db57fa88fcede9eb0f1d19b8e2905
MD5sum: b67dd3317c8cb183f0fe80e5741f7748
Description: BibTeX search tool
 Search-ccsb is a Perl script that connects to "The Collection of
 Computer Science Bibliographies"[1], and performs a keyword search
 query. The result is a set of BibTeX entries that matches the query.
 .
 1. http://liinwww.ira.uka.de/bibliography/index.html
 .
 If you use some emacsen application, this package will install the
 search-ccsb.el script on it.
Tag: implemented-in::perl, interface::commandline, protocol::http,
 role::plugin, suite::emacs, use::searching, works-with-format::tex,
 works-with::text
Section: tex
Priority: optional
Filename: pool/main/s/search-ccsb/search-ccsb_0.5-3_all.deb

Package: search-citeseer
Version: 0.3-1
Installed-Size: 128
Maintainer: Otavio Salvador 
Architecture: all
Depends: perl (>= 5.6.0-16), libwww-perl (>= 5.47-1)
Suggests: emacs21 | emacsen-common
Size: 8282
SHA256: 9a13ec1913871a8c6dd1607002791a4c5399d71d4672f4c5cd83e1c73203c605
SHA1: 59e04727a9ededb2ffc867492eea6d5360d81856
MD5sum: a11d3a0c92b8ad5d16fe9f381e204fd2
Description: BibTeX search tool
 Search-Citeseer is a Perl script that connects to Citeseer
 (http://citeseer.nj.nec.com/cs), and performs a keyword search
 query. The result is a set of BibTeX entries that matches the query.
 .
 If you use some emacsen application, this package will install the
 search-citeseer.el script on it.
Tag: implemented-in::perl, interface::commandline, network::client,
 protocol::http, role::plugin, role::program, suite::emacs,
 use::searching, works-with-format::tex, works-with::text
Section: tex
Priority: optional
Filename: pool/main/s/search-citeseer/search-citeseer_0.3-1_all.deb

Package: searchandrescue
Version: 1.4.0-2
Architecture: armhf
Maintainer: Phil Brooke 
Installed-Size: 912
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libsm6, libstdc++6 (>= 4.1.1), libx11-6, libxext6, libxmu6, libxpm4, libxxf86vm1, searchandrescue-common (= 1.4.0-2), searchandrescue-data (>= 1.3.0-1)
Conflicts: sar
Replaces: sar
Homepage: http://sourceforge.net/projects/searchandrescue/
Priority: extra
Section: games
Filename: pool/main/s/searchandrescue/searchandrescue_1.4.0-2_armhf.deb
Size: 419462
SHA256: 18b8e2bf247654b7211bbddcc2ed4d5a5f8587421664b6567f79ac78a0432400
SHA1: 9abc2ecb6b3e50da8b63f9ebe350e1fa52a68bfd
MD5sum: 7e063672d2578bf8eb61ab8afad0e724
Description: fly aircraft to search (for) and rescue people in distress
 Tired of scores indicating things destroyed or lives snuffed? Try something
 different -- fly a helicopter around and rescue people in distress. If you
 were in trouble wouldn't you want someone to rescue you?
 .
 This game is intended for players of all audiences, but especially for mature
 players who want to get away from the violence and still retain a level of
 precise challenge. Flight difficulty can be lowered for beginners (regardless
 of mission type), and graphics minimized to suit slower computers
 (minimum Pentium 166 with no graphics acceleration).

Package: searchandrescue-common
Source: searchandrescue
Version: 1.4.0-2
Installed-Size: 162
Maintainer: Phil Brooke 
Architecture: all
Conflicts: sar, searchandrescue (<< 0.7.20-7)
Size: 65080
SHA256: 3ff8ac45d6b3103eaae9f9193332c551cdb9f014278d8900161c88232bdc3361
SHA1: 73d64a091302c279ecca33cd29249ee99fc8cfee
MD5sum: 0fccd9e7e0b6e544f2430cbd75a9de37
Description: common files and documentation for searchandrescue
 Tired of scores indicating things destroyed or lives snuffed? Try something
 different -- fly a helicopter around and rescue people in distress. If you
 were in trouble wouldn't you want someone to rescue you?
 .
 This package contains the documentation and architecture independent
 (common) files.
Homepage: http://sourceforge.net/projects/searchandrescue/
Tag: game::arcade, interface::3d, role::app-data, role::documentation,
 use::gameplaying, x11::application
Section: games
Priority: extra
Filename: pool/main/s/searchandrescue/searchandrescue-common_1.4.0-2_all.deb

Package: searchandrescue-data
Version: 1.3.0-1
Installed-Size: 71600
Maintainer: Phil Brooke 
Architecture: all
Conflicts: sar, searchandrescue-common (<= 0.7.20-7)
Size: 52095800
SHA256: 9d71a487210629a23164800e8c1e3606647b9454eb5d66b5e12c7a4f38c2a903
SHA1: b6fbbfe938735b06e7fd19a8cf4a3baf6881581a
MD5sum: 996b0492c766da133ad247ae80d125e2
Description: common data files for searchandrescue
 Tired of scores indicating things destroyed or lives snuffed? Try something
 different -- fly a helicopter around and rescue people in distress. If you
 were in trouble wouldn't you want someone to rescue you?
 .
 This package contains the architecture independent data files.
Tag: interface::3d, role::app-data, x11::application
Section: games
Priority: extra
Filename: pool/main/s/searchandrescue-data/searchandrescue-data_1.3.0-1_all.deb

Package: searchmonkey
Version: 0.8.1-8
Architecture: armhf
Maintainer: Varun Hiremath 
Installed-Size: 447
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0)
Homepage: http://sourceforge.net/projects/searchmonkey/
Priority: optional
Section: utils
Filename: pool/main/s/searchmonkey/searchmonkey_0.8.1-8_armhf.deb
Size: 238402
SHA256: a27262042a33dd3c553642191cb0effb6778e9a0082d630f9dece8472093c0cb
SHA1: 52a0346e948b3faf8709383e88f64ee7b4f92d1a
MD5sum: f380dabadb8bab91e01aad7038ea6368
Description: search files using regular expressions aiming to replace find/grep tools
 SearchMonkey is a light-weight Gtk application that aims to replace the
 cumbersome find/grep with a slick user interface that quickly provides a
 mark-up showing locations and quantity of text matches.
 The goal is to provide a simple to use and accessible search tool for
 end-users, and software developers alike.

Package: sec
Version: 2.6.2-1
Installed-Size: 392
Maintainer: Jaakko Niemi 
Architecture: all
Depends: perl (>= 5.6.0)
Size: 97448
SHA256: 6c93f844a93bb82b3e4070fad85ef16fe528c00216ba245a5c1dfbe6be99f247
SHA1: 09318f904a044ad22465b3b93d6704e1c0bd0ca5
MD5sum: f2cac320e67aecb5df304cd541268a47
Description: Simple Event Correlator
 SEC is a simple event correlation tool that takes
 input and executes actions based on rules. It is
 very flexible and can be used with anything that
 can write out to a file stream.
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility
Section: utils
Priority: optional
Filename: pool/main/s/sec/sec_2.6.2-1_all.deb

Package: seccure
Version: 0.3-3
Architecture: armhf
Maintainer: James Westby 
Installed-Size: 82
Depends: libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5)
Priority: optional
Section: utils
Filename: pool/main/s/seccure/seccure_0.3-3_armhf.deb
Size: 26862
SHA256: 2788b7ed0650630cdfd449ee4193ec91917c843b5a1889f9e5653b9fce9167c6
SHA1: 21ae858d6ad8281320e2abc8aad86fdf92ffa92a
MD5sum: 7497a6a3a5c12914480928f9ab59c6a2
Description: tools for using algorithms based on elliptic curve cryptography (ECC)
 The seccure toolset implements a selection of asymmetric algorithms
 based on elliptic curve cryptography (ECC). In particular, it offers
 public key encryption / decryption and signature generation /
 verification. ECC schemes offer a much better key size to security
 ratio than classical systems (RSA, DSA). Keys are short enough to make
 direct specification of keys on the command line possible (sometimes
 this is more convenient than the management of PGP-like key
 rings). seccure builds on this feature and therefore is the tool of
 choice whenever lightweight asymmetric cryptography -- independent of
 key servers, revocation certificates, the Web of Trust, or even
 configuration files -- is required.
 .
 The toolkit implements Diffie-Hellman key exchange, allowing you to agree a
 key with another party over an insecure channel. The Diffie Helman protocol
 affords forward secrecy, and requires no previous contact with the other
 party.
 .
 The seccure toolset allows you to select the curve that the operations are
 performed on, so that different cryptographic needs can be supported. This
 is currently the only ECC package in Debian GNU/Linux to offer a choice of
 curves and MAC lengths.
 .
 It is currently missing some of the functionality of the sks-ecc package,
 including encrypting to multiple recipients at once, and creating different
 signature formats.

Package: secpanel
Version: 1:0.6.1-1
Installed-Size: 388
Maintainer: Daniel Echeverry 
Architecture: all
Depends: tcl8.5 | tclsh, tk8.5 | wish, openssh-client, ssh-askpass, xterm | x-terminal-emulator
Recommends: www-browser
Size: 54196
SHA256: c319520b3c92f983227cdb09ce27c807376767e65f2962d851c33e71773239c0
SHA1: 29c54872dc1e880364be9df21fe92e5991f1d125
MD5sum: e88d4c6c5ec2f0c59c4e861b536c74d2
Description: graphical user interface for SSH and SCP
 SecPanel is a graphical user interface for managining
 and running secure shell (ssh) and secure network copy
 (scp) connections via OpenSSH. It eases key distribution
 and other tasks related to using these programs.
Homepage: http://themediahost.de/secpanel
Tag: implemented-in::tcl, interface::x11, protocol::ssh, role::program,
 security::authentication, uitoolkit::tk, use::login, x11::application
Section: net
Priority: optional
Filename: pool/main/s/secpanel/secpanel_0.6.1-1_all.deb

Package: secure-delete
Version: 3.1-5
Architecture: armhf
Maintainer: Robert Lemmen 
Installed-Size: 136
Depends: libc6 (>= 2.4)
Priority: optional
Section: utils
Filename: pool/main/s/secure-delete/secure-delete_3.1-5_armhf.deb
Size: 67776
SHA256: 1ad81575d2a5ba5db593f53fecf7225c3693fd1a0b07403844d01621212d7029
SHA1: 3c10eed6b71efa681fb41a2e292d265b8a595c3b
MD5sum: 4fa5f581f39bc0c2c2f32d4038a606fc
Description: tools to wipe files, free disk space, swap and memory
 Even if you overwrite a file 10+ times, it can still be recovered. This
 package contains tools to securely wipe data from files, free disk space,
 swap and memory.

Package: secvpn
Version: 2.24
Installed-Size: 384
Maintainer: Bernd Schumacher 
Architecture: all
Depends: adduser, bc, ssh, ppp, timeout | coreutils (>= 7.5-1), sudo
Size: 223216
SHA256: f71d5c96f1ba6dde747cbe62e05753f07de995f238560c14a3083f7e5a194dc9
SHA1: d80426dbdaa040c8da17b0f92c30f1588940ff3d
MD5sum: e589d807b3e55b66227a9ee280705858
Description: Secure Virtual Private Network
 secvpn builds a VPN based on ssh and ppp as described
 in the Linux VPN HOWTO. (Please look there for further
 information) All necessary routing on the secvpn
 hosts will be done by secvpn. Secvpn will try to
 reestablish broken connections automatically.
Tag: network::vpn, protocol::ssh, role::program, use::routing,
 works-with::file
Section: net
Priority: optional
Filename: pool/main/s/secvpn/secvpn_2.24_all.deb

Package: sed
Version: 4.2.1-10
Architecture: armhf
Essential: yes
Maintainer: Clint Adams 
Installed-Size: 830
Pre-Depends: libc6 (>= 2.13-28), libselinux1 (>= 1.32)
Depends: dpkg (>= 1.15.4) | install-info
Homepage: http://www.gnu.org/software/sed/
Priority: required
Section: utils
Filename: pool/main/s/sed/sed_4.2.1-10_armhf.deb
Size: 245824
SHA256: a29a90fafc09a23bd6394b069766c1e06443a9536d00aed507823e7958950cca
SHA1: 8c358d38ccaa1b59f4e0adf0a2636b1baae3154b
MD5sum: 4d321e735b6fe93fc2df457a53590bef
Description: The GNU sed stream editor
 sed reads the specified files or the standard input if no
 files are specified, makes editing changes according to a
 list of commands, and writes the results to the standard
 output.

Package: seed
Version: 3.2.0-2
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 251
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libseed-gtk3-0 (= 3.2.0-2)
Homepage: http://live.gnome.org/Seed
Priority: optional
Section: interpreters
Filename: pool/main/s/seed/seed_3.2.0-2_armhf.deb
Size: 212318
SHA256: 564f88f6810e81aa37c5a8d2e2b51a0f08631e868761dca45a2898e54ad345b5
SHA1: 017843156b1eff2f4f126dfaee0d790d838d5352
MD5sum: 90a3b1b62d1f4d514d1cf8e0bdc67fdb
Description: GObject JavaScript bindings for the webkit engine - Interpreter
 Seed is a library and interpreter, dynamically bridging (through
 GObjectIntrospection) the WebKit JavaScriptCore engine, with the GNOME
 platform. Seed serves as something which enables you to write
 standalone applications in JavaScript, or easily enable your
 application to be extensible in JavaScript.
 .
 This package contains the interpreter itself, for use by the scripts
 using seed as a shebang.

Package: seed-doc
Source: seed
Version: 3.2.0-2
Installed-Size: 901
Maintainer: Debian GNOME Maintainers 
Architecture: all
Size: 346222
SHA256: a2539b8bfc15946362506ae4000d10ce5a0b912a0369a43c8651e57098fd1511
SHA1: 0e9ea30ba4a3733038907c032c7618a5bfd1d616
MD5sum: 4f4e9e8282cb3c37d92c9cd83167b264
Description: GObject JavaScript bindings for the webkit engine - Documentation
 Seed is a library and interpreter, dynamically bridging (through
 GObjectIntrospection) the WebKit JavaScriptCore engine, with the GNOME
 platform. Seed serves as something which enables you to write
 standalone applications in JavaScript, or easily enable your
 application to be extensible in JavaScript.
 .
 This package contains several files and documents for use with Seed:
  * API documentation for libseed
  * Example seed scripts
  * Tutorial
  * Documentation for the built-in modules
Homepage: http://live.gnome.org/Seed
Tag: devel::doc, devel::examples, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/seed/seed-doc_3.2.0-2_all.deb

Package: seesat5
Version: 0.90.10-1.1
Architecture: armhf
Maintainer: Patrick Ouellette 
Installed-Size: 184
Depends: libc6 (>= 2.4)
Priority: optional
Section: science
Filename: pool/main/s/seesat5/seesat5_0.90.10-1.1_armhf.deb
Size: 99108
SHA256: 80f41e96c172937f28b1f8b19d18f70f3b2b991f274166a568cd0983f6e5fa24
SHA1: b6b3df273b4dcf9094c00c0020b3799acc9cf363
MD5sum: 9b8df8d6f48ef8845de4135b6bd66297
Description: a satellite location program
 Seesat5 uses the NORAD sgp4 algorithm to compute the location of a satellite.
 Many different filtering methods are provided by Seesat5 so that only those
 satellites that might actually be viewed are presented in the report. This
 report includes bearing and elevation with respect to the observer's location
 as well as other information of interest to the observer.
 .
 Although an observer would find no use for it, the program can be made to
 report the location even when it is below the horizon. For radio satellites
 like the Oscar series knowing when it comes above the horizon is some of the
 interesting information this program can provide.

Package: seetxt
Source: see
Version: 0.72-4
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 140
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0)
Homepage: http://freshmeat.net/projects/seetxt
Priority: extra
Section: text
Filename: pool/main/s/see/seetxt_0.72-4_armhf.deb
Size: 52832
SHA256: aee7ace866e3a416b0fd2a4425e4ab3f83d25737d82a66d480e586811705453d
SHA1: 4a71c3f70df893b260d1b0ec3d0198ea677fdba3
MD5sum: 75c0bf7bc03778ef7ef9bad78bb8adf4
Description: lightweight text file and man page viewer
 See is a lightweight Linux text file and man page viewer. It maintains
 "document meta-data" for each user, allowing them to automatically keep
 bookmarks and highlights for read-only system files. It also has a
 "server mode" so that command line requests can be sent to a single
 running server rather than starting multiple instances. Other features
 include hypertext-style apropos (man page) searches, file monitoring,
 regular expressions, command history, and nice little
 toggle lights on the interface for the server and file monitor.

Package: seivot
Version: 1.17-1
Installed-Size: 103
Maintainer: Lars Wirzenius 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6), python (<< 2.8), python-cliapp (>= 0.15)
Suggests: summain
Size: 16204
SHA256: 72a5d7d04e049ec318cda311771a8bba148dfb2d56f7c2cc4abb2cb217ba78d9
SHA1: 5b7cc3ab779e1a8ee9f727e30d69d1ae10add241
MD5sum: e4969946da1de442cfa798f72dab0e13
Description: benchmark program for backup software
 seivot generates synthetic test data and backs it up using the
 desired backup tool. It then modifies the test data, and makes
 further backups. It also does restores, verifications, and
 forgets backup generations. It measures the runtime and memory
 usage of each run of the backup program.
 .
 seivot currently works only for obnam, but welcomes patches for
 other backup programs.
Homepage: http://liw.fi/seivot/
Tag: admin::benchmarking, role::program, scope::utility
Section: devel
Priority: optional
Filename: pool/main/s/seivot/seivot_1.17-1_all.deb

Package: select-xface
Version: 0.15-6
Installed-Size: 102
Maintainer: Tatsuya Kinoshita 
Architecture: all
Depends: emacs | emacsen
Suggests: x-face-el, bitmap-mule
Size: 16568
SHA256: 59a78c80f4476507aefb2f263e0ed9f70a203d62d1803716b4eb1c74fa661e86
SHA1: 18385256bf4fe5e0274877478b38a56b196c7af5
MD5sum: 2ac9c2db4f4fd29294685d1c52112351
Description: utility for selecting X-Face on emacsen
 Select X-Face is a utility for selecting/inserting an X-Face field
 visually on emacsen mailer (Mew, Gnus, Wanderlust, etc.).
Tag: role::plugin, suite::emacs, works-with::image, works-with::image:raster,
 works-with::mail
Section: mail
Priority: optional
Filename: pool/main/s/select-xface/select-xface_0.15-6_all.deb

Package: selinux-basics
Version: 0.5.0
Installed-Size: 85
Maintainer: Russell Coker 
Architecture: all
Depends: checkpolicy, policycoreutils, selinux-utils, python
Recommends: setools, selinux-policy-default
Suggests: logcheck, syslog-summary
Conflicts: selinux-policy-default (<= 2:0.2.20100524-4)
Size: 15464
SHA256: 3937e19aa41fdea5b28148093f8a25c2c19ed1a4d25b256740e3bbaec1453702
SHA1: 78b90a3d7964bd2b0443c42a66f6a96e87e453bd
MD5sum: e03b40bba6aa0c407dbb3fbbc2fd574d
Description: SELinux basic support
 This package will pull in basic SELinux stuff to ease installation, as well
 as provide scripts and helpers to work around common problems.
Tag: admin::configuring, implemented-in::python, implemented-in::shell,
 interface::daemon, role::program, scope::utility, security::ids,
 security::privacy
Section: admin
Priority: optional
Filename: pool/main/s/selinux-basics/selinux-basics_0.5.0_all.deb

Package: selinux-policy-default
Source: refpolicy
Version: 2:2.20110726-12
Installed-Size: 50332
Maintainer: Debian SELinux maintainers 
Architecture: all
Depends: policycoreutils (>= 2.1.10), libpam-modules (>= 0.77-0.se5), python, libselinux1 (>= 2.1.9), libsepol1 (>= 2.1.4)
Recommends: checkpolicy, setools
Suggests: logcheck, syslog-summary
Conflicts: cron (<< 3.0pl1-87.2sel), fcron (<< 2.9.3-3), logrotate (<< 3.7.1-1), procps (<< 1:3.1.15-1), selinux-policy-refpolicy-strict, selinux-policy-refpolicy-targeted, sysvinit (<< 2.86.ds1-1.se1)
Size: 4301686
SHA256: 47a3ff365f72298d5de28db89d69875f503b97768fdf4bd5de3216befaff46e8
SHA1: bca441afb350e04612f86a042e9ce5db88aa66a1
MD5sum: 1a8ba63a0437861625f85a5979f21e59
Description: Strict and Targeted variants of the SELinux policy
 This is the reference policy for SE Linux.  In the default configuration it
 will provide the functionality previously known as the "targeted" policy.  If
 the module "unconfined" is removed then it provides the functionality
 previously known as the "strict" policy.
 .
 This uses the MMCS system of categories.
Homepage: http://oss.tresys.com/projects/refpolicy/wiki/DownloadRelease
Section: admin
Priority: optional
Filename: pool/main/r/refpolicy/selinux-policy-default_2.20110726-12_all.deb

Package: selinux-policy-dev
Source: refpolicy
Version: 2:2.20110726-12
Installed-Size: 10744
Maintainer: Debian SELinux maintainers 
Architecture: all
Depends: python, policycoreutils (>= 2.1.0), checkpolicy (>= 2.1.0), gawk, make, m4
Recommends: setools
Conflicts: selinux-policy-refpolicy-dev
Size: 892366
SHA256: e67b990fb512ef78e197102cda9e600797ca8f176f2ce5d4b079ecd9476e9f56
SHA1: af595dff3beed7b875f9f13ed664c10fa7d3ef8d
MD5sum: bbed9a66f2f65151dcc2a56972498ba7
Description: Headers from the SELinux reference policy for building modules
 The SELinux Reference Policy (refpolicy) is a complete SELinux
 policy, as an alternative to the existing strict and targeted
 policies available from http://selinux.sf.net. The goal is to have
 this policy as the system policy, be and used as the basis for
 creating other policies. Refpolicy is based on the current strict and
 targeted policies, but aims to accomplish many additional
 goals:
  + Strong Modularity
  + Clearly stated security Goals
  + Documentation
  + Development Tool Support
  + Forward Looking
  + Configurability
  + Flexible Base Policy
  + Application Policy Variations
  + Multi-Level Security
 .
 This package provides header files for building your own SELinux
 policy packages compatible with official policy packages.
Homepage: http://oss.tresys.com/projects/refpolicy/wiki/DownloadRelease
Section: admin
Priority: optional
Filename: pool/main/r/refpolicy/selinux-policy-dev_2.20110726-12_all.deb

Package: selinux-policy-doc
Source: refpolicy
Version: 2:2.20110726-12
Installed-Size: 11756
Maintainer: Debian SELinux maintainers 
Architecture: all
Recommends: make, gcc
Conflicts: selinux-policy-refpolicy-doc
Size: 646346
SHA256: 0d8ca64f7d850f19b97f1b9579de322f63ada56f71af8dc45cbbb53de2ecd3b7
SHA1: 36a7d5c2ea4d42375628d2147ca5a354d39c5557
MD5sum: cab660f401d2102ba22e4f58e06b27c4
Description: Documentation for the SELinux reference policy
 The SELinux Reference Policy (refpolicy) is a complete SELinux
 policy, as an alternative to the existing strict and targeted
 policies available from http://selinux.sf.net. The goal is to have
 this policy as the system policy, be and used as the basis for
 creating other policies. Refpolicy is based on the current strict and
 targeted policies, but aims to accomplish many additional
 goals:
  + Strong Modularity
  + Clearly stated security Goals
  + Documentation
  + Development Tool Support
  + Forward Looking
  + Configurability
  + Flexible Base Policy
  + Application Policy Variations
  + Multi-Level Security
 .
 This package contains the documentation for the reference policy.
Homepage: http://oss.tresys.com/projects/refpolicy/wiki/DownloadRelease
Tag: admin::user-management, made-of::html, made-of::man, role::documentation
Section: doc
Priority: optional
Filename: pool/main/r/refpolicy/selinux-policy-doc_2.20110726-12_all.deb

Package: selinux-policy-mls
Source: refpolicy
Version: 2:2.20110726-12
Installed-Size: 51287
Maintainer: Debian SELinux maintainers 
Architecture: all
Depends: policycoreutils (>= 2.1.0), libpam-modules (>= 0.77-0.se5), python, libselinux1 (>= 2.0.35), libsepol1 (>= 2.1.0)
Recommends: checkpolicy, setools
Suggests: logcheck, syslog-summary
Conflicts: cron (<< 3.0pl1-87.2sel), fcron (<< 2.9.3-3), logrotate (<< 3.7.1-1), procps (<< 1:3.1.15-1), selinux-policy-refpolicy-strict, selinux-policy-refpolicy-targeted, sysvinit (<< 2.86.ds1-1.se1)
Size: 4337224
SHA256: debeacec42dbabcd556cb3836ca5f06d0dab8572e7fbe4f5d4699810ea1102c0
SHA1: c1563dac933ebdaf6241ab4dc3b4bc80bcc3a026
MD5sum: d4022d178221d6fd0b19a55466581b7a
Description: MLS (Multi Level Security) variant of the SELinux policy
 This is the reference policy for SE Linux built with MLS support.  It allows
 giving data labels such as "Top Secret" and preventing such data from leaking
 to processes or files with lower classification.
 .
 It was developed for Common Criteria LSPP certification for RHEL.  It will
 probably never be well supported in Debian and is only recommended for
 students who want to learn about the security features used by the military.
Homepage: http://oss.tresys.com/projects/refpolicy/wiki/DownloadRelease
Section: admin
Priority: extra
Filename: pool/main/r/refpolicy/selinux-policy-mls_2.20110726-12_all.deb

Package: selinux-policy-src
Source: refpolicy
Version: 2:2.20110726-12
Installed-Size: 1090
Maintainer: Debian SELinux maintainers 
Architecture: all
Depends: python, policycoreutils (>= 2.1.0), checkpolicy (>= 2.1.0), gawk
Recommends: setools
Suggests: logcheck, syslog-summary
Conflicts: selinux-policy-refpolicy-src, selinux-policy-refpolicy-targeted
Size: 1075838
SHA256: ce9cad8437b4ab619aedfc2d86a5b92edba52a038b65a96486a3aabc7881a0b0
SHA1: 1a21ba588002dc27c51246217f173b95384d0ff6
MD5sum: 92ea4728d1c745f0c4d8565ffc6b7f36
Description: Source of the SELinux reference policy for customization
 The SELinux Reference Policy (refpolicy) is a complete SELinux
 policy, as an alternative to the existing strict and targeted
 policies available from http://selinux.sf.net. The goal is to have
 this policy as the system policy, be and used as the basis for
 creating other policies. Refpolicy is based on the current strict and
 targeted policies, but aims to accomplish many additional
 goals:
  + Strong Modularity
  + Clearly stated security Goals
  + Documentation
  + Development Tool Support
  + Forward Looking
  + Configurability
  + Flexible Base Policy
  + Application Policy Variations
  + Multi-Level Security
 .
 This is the source of the policy, provided so that local variations of
 SELinux policy may be created.
Homepage: http://oss.tresys.com/projects/refpolicy/wiki/DownloadRelease
Section: admin
Priority: optional
Filename: pool/main/r/refpolicy/selinux-policy-src_2.20110726-12_all.deb

Package: selinux-utils
Source: libselinux
Version: 2.1.9-5
Architecture: armhf
Maintainer: Debian SELinux maintainers 
Installed-Size: 227
Depends: libc6 (>= 2.13-28), libselinux1 (>= 2.0.15)
Priority: optional
Section: admin
Filename: pool/main/libs/libselinux/selinux-utils_2.1.9-5_armhf.deb
Size: 83390
SHA256: 1c5eec75d498ad86c0f0f7cfc402b67421266ddd66d1c15a691656da8b37f53b
SHA1: 5db45223283754165d798556283849e6d5b68675
MD5sum: f17f86c74033eb2f05a048094f55129e
Description: SELinux utility programs
 This package provides various utility programs for a Security-enhanced
 Linux system. Security-enhanced Linux is a patch of the Linux kernel
 and a number of utilities with enhanced security functionality
 designed to add mandatory access controls to Linux. This package
 provides utility programs to get and set process and file security
 contexts and to obtain security policy decisions.

Package: semanticscuttle
Version: 0.98.4+dfsg-1
Installed-Size: 2706
Maintainer: Antoine Beaupré 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, php5 (>= 5.1.0), php5-mysql, apache2 | httpd, wwwconfig-common, dbconfig-common, php-gettext, mysql-client, libjs-jquery-ui
Suggests: mysql-server
Size: 613648
SHA256: 223addbc3b0072f5e3d8e99f7ead875732d6535bdaf672061afa2aa9a94e4687
SHA1: c45b8edc6b7739a0a88a3f7953556e527545f5b9
MD5sum: f0f3f72db445d83896a8e498fa157577
Description: Self-hosted and web-based social bookmark manager
 SemanticScuttle is a social bookmarking tool experimenting with new
 features like structured tags and collaborative descriptions of tags.
 Originally a fork of Scuttle, it has overtaken its ancestor in
 stability, features and usability.
 .
  * LDAP/Active Directory authentication
  * RSS feed support: global feed, user feeds, per-tag feeds, private feeds
  * Public and private bookmarks
  * Delicious and Browser bookmark import
  * Theming support
  * Firefox plugin
Homepage: http://semanticscuttle.sourceforge.net/
Section: web
Priority: optional
Filename: pool/main/s/semanticscuttle/semanticscuttle_0.98.4+dfsg-1_all.deb

Package: semi
Version: 1.14.6+0.20101114-1
Installed-Size: 608
Maintainer: Tatsuya Kinoshita 
Architecture: all
Replaces: tm
Depends: emacs | emacsen, apel (>= 10.7), flim (>= 1:1.14), dpkg (>= 1.15.4) | install-info
Suggests: gnupg, mailcrypt, wl | wl-beta | t-gnus
Conflicts: tm, wemi
Size: 187902
SHA256: 48c7440089d8bb4df47b665855156e362afe2689b79b2ffbbb3256432fbfb014
SHA1: ac402c16617c5ed84a55aeeabd726e0565396727
MD5sum: 37e3a3d54926bb967d5a597c25e4179c
Description: library to provide MIME feature for emacsen
 SEMI is a library to provide MIME feature for emacsen.  MIME is a
 proposed internet standard for including content and headers other
 than (ASCII) plain text in messages.
Homepage: http://kanji.zinbun.kyoto-u.ac.jp/~tomo/elisp/SEMI/
Tag: role::plugin, suite::emacs, works-with::mail
Section: mail
Priority: extra
Filename: pool/main/s/semi/semi_1.14.6+0.20101114-1_all.deb

Package: sendemail
Version: 1.56-2
Installed-Size: 148
Maintainer: Alejandro Garrido Mota 
Architecture: all
Depends: perl, libio-socket-inet6-perl
Suggests: libio-socket-ssl-perl, libnet-ssleay-perl
Size: 34578
SHA256: b17da700dec8afcab58974f508e816060cba8fe9fa825c92428bce8aaa4c6e89
SHA1: e867bc57ab12e9f4e922c4d684fb38b68428a358
MD5sum: 3fa4c604ca163698e96f753a58383e8c
Description: lightweight, command line SMTP email client
 SendEmail is a lightweight, completely command line based, SMTP email
 agent. It was designed to be used in bash scripts, Perl programs, and
 web sites, but it is also quite useful in many other contexts.
 .
 SendEmail is written in Perl and is unique in that it
 requires no special modules. It has a straight forward interface,
 making it very easy to use.
Homepage: http://www.caspian.dotconf.net/menu/Software/SendEmail/
Tag: implemented-in::perl, interface::commandline, interface::shell,
 mail::smtp, mail::user-agent, network::client, protocol::smtp,
 protocol::ssl, role::program, use::transmission, works-with::mail
Section: mail
Priority: optional
Filename: pool/main/s/sendemail/sendemail_1.56-2_all.deb

Package: sendfile
Version: 2.1b.20080616-5.2
Architecture: armhf
Maintainer: Martin Schulze 
Installed-Size: 536
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0), openbsd-inetd | inet-superserver, perl | perl5, update-inetd, libdpkg-perl
Suggests: pgp-i
Homepage: http://fex.rus.uni-stuttgart.de/saft/
Priority: optional
Section: net
Filename: pool/main/s/sendfile/sendfile_2.1b.20080616-5.2_armhf.deb
Size: 268920
SHA256: de633f98f964a3f4d9d6d433db2990c5d1837cf22ad140b4fe9a8725234d8ac0
SHA1: f6ca27de84399ea6b3c58fe6b84eb837ae493ba4
MD5sum: c82d81ec3fcf67f7f4455199722b3d97
Description: Simple Asynchronous File Transfer
 Sendfile is an asynchronous file transfer service for the Internet,
 like the sendfile facility in Bitnet: Any user A can send files to
 another user B without B being active in any way.
 .
 The existing standard file transfer (ftp) is a synchronous service:
 The user must have access to an account on the sending and on the
 receiving site, too.
 .
 Sendfile for Unix, which is an implementation of the SAFT protocol
 (Simple Asynchronous File Transfer) now offers you a true
 asynchronous file transfer service for the Internet.  Virtually any
 form of file can be sent, including encrypted ones.  The SAFT
 protocol will be submitted as an RFC in the near future.

Package: sendip
Version: 2.5-5
Architecture: armhf
Maintainer: Jonathan McDowell 
Installed-Size: 179
Depends: libc6 (>= 2.13-28)
Homepage: http://www.earth.li/projectpurple/progs/sendip.html
Priority: optional
Section: net
Filename: pool/main/s/sendip/sendip_2.5-5_armhf.deb
Size: 47556
SHA256: 750d01b49707ddda36483ee2827419f6ef1196e29b0723dc579b4c97802bc3f3
SHA1: 2d80e79cdaf6da712c2bd5cda239e51ff8a12048
MD5sum: e0f82bfd6c46ef931b6e5b6c11b4c8fd
Description: Commandline tool to allow sending arbitrary IP packets
 SendIP has a large number of command line options to specify the
 content of every header of a RIP, TCP, UDP, ICMP or raw IPv4 and IPv6
 packet. It also allows any data to be added to the packet. Checksums
 can be calculated automatically, but if you wish to send out wrong
 checksums, that is supported too.

Package: sendmail
Version: 8.14.4-4+deb7u1
Installed-Size: 213
Maintainer: Debian QA Group 
Architecture: all
Replaces: sendmail-base (<< 8.14.4-2.2~), sendmail-tls
Depends: sendmail-base, sendmail-bin, sendmail-cf, sensible-mda
Suggests: sendmail-doc, rmail
Breaks: sendmail-base (<< 8.14.4-2.2~)
Size: 215868
SHA256: a145ee7b1d178350c17ba0131711bf0124fe59f764b2f725c956c88497ddadaa
SHA1: 29b8ed246b4f49702512ae8b37869d1508e8d679
MD5sum: d8723d0ef8b4445d93d7d7114e71f7ba
Description: powerful, efficient, and scalable Mail Transport Agent
 Sendmail is an alternative Mail Transport Agent (MTA) for Debian.
 It is suitable for handling sophisticated mail configurations,
 although this means that its configuration can also be complex.
 .
 Fortunately, simple thing can be done easily, and complex things
 are possible, even if not easily understood ;)  Sendmail is the *ONLY*
 MTA with a Turing complete language to control *ALL* aspects of delivery!
 .
 Sendmail provides Security and SPAM/UCE/UBE protection via several means.
 .
 Sendmail includes *no* Mail User Agents (MUA), you'll have to
 pick from the plethora of available MUAs (mutt, vm, etc.)
 .
 This package supports REGEX, DB, NIS, NIS+, LDAP, DNS maps,
 and has enabled TCPWrappers, IPv6, LockFile, SMTP AUTH(SASL), STARTTLS(SSL).
 .
 This package is to make installation/upgrading easier (Do NOT delete it)
Homepage: http://www.sendmail.org
Tag: interface::daemon, mail::smtp, mail::transport-agent, protocol::ipv6,
 protocol::smtp, role::metapackage, works-with::mail
Section: mail
Priority: extra
Filename: pool/main/s/sendmail/sendmail_8.14.4-4+deb7u1_all.deb

Package: sendmail-base
Source: sendmail
Version: 8.14.4-4+deb7u1
Installed-Size: 699
Maintainer: Debian QA Group 
Architecture: all
Depends: m4, make, adduser, perl
Suggests: sendmail-doc, ca-certificates, logcheck, logrotate, resolvconf
Size: 364316
SHA256: aa36c29d3c3fb51fef36bb3b58abdb7800583a87ef9273463a27efec48a7b03b
SHA1: e2979a39710dbcc37d6a0979b80d090cb080bc1d
MD5sum: 6e52a39d2fff6217fd24ace42385f12c
Description: powerful, efficient, and scalable Mail Transport Agent
 Sendmail is an alternative Mail Transport Agent (MTA) for
 Debian. It is suitable for handling sophisticated mail
 configurations, although this means that its configuration
 can also be complex.
 .
 This package contains the base, architecture independent, portions
 of the sendmail packages.
Homepage: http://www.sendmail.org
Tag: interface::daemon, mail::smtp, mail::transport-agent, protocol::ipv6,
 protocol::smtp, role::app-data, works-with::mail
Section: mail
Priority: extra
Filename: pool/main/s/sendmail/sendmail-base_8.14.4-4+deb7u1_all.deb

Package: sendmail-bin
Source: sendmail
Version: 8.14.4-4+deb7u1
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 1602
Depends: sendmail-base, sendmail-cf, procps, libc6 (>= 2.13-28), libdb5.1, libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), liblockfile1 (>= 1.0), libsasl2-2 (>= 2.1.24), libssl1.0.0 (>= 1.0.0), libwrap0 (>= 7.6-4~)
Suggests: sendmail-doc, sasl2-bin, libsasl2-modules, openssl
Conflicts: mail-transport-agent
Replaces: mail-transport-agent
Provides: mail-transport-agent
Homepage: http://www.sendmail.org
Priority: extra
Section: mail
Filename: pool/main/s/sendmail/sendmail-bin_8.14.4-4+deb7u1_armhf.deb
Size: 941454
SHA256: bdaf85c4a7b113ac617f1b8d70a9eb8835d5f0a067e994dd1f313b6c432a0e05
SHA1: f7faf4f63f025c11b8ea46d26cb20892a3141bf8
MD5sum: 5d0bc197418ca2fc21aaeb9040803fa0
Description: powerful, efficient, and scalable Mail Transport Agent
 Sendmail is an alternative Mail Transport Agent (MTA) for Debian.
 It is suitable for handling sophisticated mail configurations,
 although this means that its configuration can also be complex.
 .
 Fortunately, simple thing can be done easily, and complex things
 are possible, even if not easily understood ;)  Sendmail is the *ONLY*
 MTA with a Turing complete language to control *ALL* aspects of delivery!
 .
 Sendmail provides Security and SPAM/UCE/UBE protection via several means.
 .
 Sendmail includes *no* Mail User Agents (MUA), you'll have to
 pick from the plethora of available MUAs (mutt, vm, etc.)
 .
 This package supports REGEX, DB, NIS, NIS+, LDAP, DNS maps,
 and has enabled TCPWrappers, IPv6, LockFile, SMTP AUTH(SASL), STARTTLS(SSL).

Package: sendmail-cf
Source: sendmail
Version: 8.14.4-4+deb7u1
Installed-Size: 559
Maintainer: Debian QA Group 
Architecture: all
Depends: m4
Suggests: sendmail-doc
Size: 301142
SHA256: 0eca2a2e3d860b117a3766a15d830b13f0ab0d8f1a37cc4e378eb06d18af1e09
SHA1: a2e2dc6ab8b862ef8e9342ec6258e39b048d3b31
MD5sum: 57b135dee8922193ff8ed5a1b1556877
Description: powerful, efficient, and scalable Mail Transport Agent
 Sendmail is an alternative Mail Transport Agent (MTA) for
 Debian. It is suitable for handling sophisticated mail
 configurations, although this means that its configuration
 can also be complex.
 .
 This package contains the .m4 (configuration files) for the
 sendmail packages.
Homepage: http://www.sendmail.org
Tag: admin::configuring, interface::daemon, mail::transport-agent,
 protocol::ipv6, protocol::smtp, role::app-data, use::configuring,
 works-with::mail
Section: mail
Priority: extra
Filename: pool/main/s/sendmail/sendmail-cf_8.14.4-4+deb7u1_all.deb

Package: sendmail-doc
Source: sendmail
Version: 8.14.4-4+deb7u1
Installed-Size: 950
Maintainer: Debian QA Group 
Architecture: all
Size: 836800
SHA256: ff286e55ea7dd33608803b035a1381f81f1a647dd7d59f0bb478e7c94f12329f
SHA1: efb5ba095eb756b4f61f0448d31cb24d7b574fe9
MD5sum: 3db18e4a221859a522a5fced14bad044
Description: powerful, efficient, and scalable Mail Transport Agent
 Sendmail is an alternative Mail Transport Agent (MTA) for
 Debian. It is suitable for handling sophisticated mail
 configurations, although this means that its configuration
 can also be complex.
 .
 Don't try anything fancy in sendmail without using this for guidance.
 .
 Because of the complexity, you may find yourself wanting to peruse
 the documentation files included herein.  The main files are:
   cf.README.gz    -- A reference for all things in sendmail.{mc,cf}
   op.{ps,txt}.gz  -- The care and feeding of Sendmail.
   faq.txt.gz      -- Sendmail FAQ, current as of the package date.
 .
 Other files include the references for smrsh and libmilter.
Homepage: http://www.sendmail.org
Tag: devel::doc, mail::transport-agent, protocol::ipv6, role::documentation,
 works-with::mail
Section: doc
Priority: extra
Filename: pool/main/s/sendmail/sendmail-doc_8.14.4-4+deb7u1_all.deb

Package: sendpage-client
Source: sendpage
Version: 1.0.3-1
Installed-Size: 92
Maintainer: Kees Cook 
Architecture: all
Depends: sendpage-common (= 1.0.3-1)
Size: 16520
SHA256: 315405825881da79cecb38c99845307b1d27fc30fd0056ad1f6a2129d165fdd9
SHA1: 6dae030560354a85e92b18a3126310c53215bf10
MD5sum: 619fc2d08f883ef545f79f7d0e70d1bf
Description: client to send alpha-numeric text pages via SNPP
 To send alphanumeric pages to a pager, if an email gateway is unavailable
 or undesirable, software is needed to control a modem which will dial a
 Paging Central, and deliver the pages using an ASCII delivery system known
 as TAP. Sendpage implements all aspects of this type of software,
 including an SNPP client, an SNPP server, a queuing engine, a modem
 control engine, a TAP communication system, and an email notification system.
 .
 This package provides the sendpage SNPP client.
Homepage: http://sendpage.org/
Tag: interface::commandline, network::client, role::program, use::dialing,
 use::transmission, works-with-format::plaintext, works-with::text
Section: comm
Priority: extra
Filename: pool/main/s/sendpage/sendpage-client_1.0.3-1_all.deb

Package: sendpage-common
Source: sendpage
Version: 1.0.3-1
Installed-Size: 304
Maintainer: Kees Cook 
Architecture: all
Depends: libdevice-serialport-perl (>= 1.04), libmailtools-perl (>= 1.40-1), libnet-perl, libnet-snpp-perl, libdbi-perl
Size: 101424
SHA256: 2a38f518d19b71c1091335d953026cf99315a9b6e119ff2279a50da5af46b512
SHA1: a809fef51f4bc249c6f84adb77aee224080afd54
MD5sum: eb7bd1530eda642f980d309f7f47a592
Description: common libraries used to handle alpha-numeric text paging
 To send alphanumeric pages to a pager, if an email gateway is unavailable
 or undesirable, software is needed to control a modem which will dial a
 Paging Central, and deliver the pages using an ASCII delivery system known
 as TAP. Sendpage implements all aspects of this type of software,
 including an SNPP client, an SNPP server, a queuing engine, a modem
 control engine, a TAP communication system, and an email notification system.
 .
 This package provides the Perl modules used to process SNPP and TAP pages.
Homepage: http://sendpage.org/
Tag: devel::library, implemented-in::perl, role::app-data, use::dialing,
 use::transmission, works-with-format::plaintext, works-with::text
Section: comm
Priority: extra
Filename: pool/main/s/sendpage/sendpage-common_1.0.3-1_all.deb

Package: sendpage-server
Source: sendpage
Version: 1.0.3-1
Installed-Size: 144
Maintainer: Kees Cook 
Architecture: all
Depends: sendpage-common (= 1.0.3-1), adduser
Suggests: postfix | mail-transport-agent, sendpage-client
Size: 25918
SHA256: a518be31132373771abe5ebb3bee96ce88f0a2892be65993955e007c25923254
SHA1: 21d4f08cb1d714f19719de2ab2d19b0b07ce2ae1
MD5sum: 7b55d839686a66042fd108517fcf270b
Description: server used to queue and send alpha-numeric text pages via TAP
 To send alphanumeric pages to a pager, if an email gateway is unavailable
 or undesirable, software is needed to control a modem which will dial a
 Paging Central, and deliver the pages using an ASCII delivery system known
 as TAP. Sendpage implements all aspects of this type of software,
 including an SNPP client, an SNPP server, a queuing engine, a modem
 control engine, a TAP communication system, and an email notification system.
 .
 This package provides the sendpage SNPP server.
Homepage: http://sendpage.org/
Tag: interface::daemon, network::server, role::program, use::dialing,
 use::transmission, works-with-format::plaintext, works-with::text
Section: comm
Priority: extra
Filename: pool/main/s/sendpage/sendpage-server_1.0.3-1_all.deb

Package: sendxmpp
Version: 1.22-1
Installed-Size: 65
Maintainer: Guus Sliepen 
Architecture: all
Depends: perl, libnet-xmpp-perl
Size: 15206
SHA256: bd9c717b4a8cff1333009c00b90e40063813c075af67003481ca3f2e84868500
SHA1: b43414a423ebdb6e0dfdf5dd809e34bbfb1ba6c4
MD5sum: 12e49bf9063b0e19163e78894988947e
Description: commandline XMPP (jabber) utility
 sendxmpp is a perl script to send XMPP (jabber) messages, similar to what
 mail(1) does for mail. XMPP is an open, non-proprietary protocol for instant
 messaging. See www.jabber.org for more information.
Tag: implemented-in::perl, interface::commandline, protocol::jabber,
 role::program, scope::utility
Section: net
Priority: optional
Filename: pool/main/s/sendxmpp/sendxmpp_1.22-1_all.deb

Package: sensible-mda
Source: sendmail
Version: 8.14.4-4+deb7u1
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 221
Depends: sendmail-bin | mail-transport-agent, procmail | maildrop | deliver, libc6 (>= 2.13-28)
Homepage: http://www.sendmail.org
Priority: extra
Section: mail
Filename: pool/main/s/sendmail/sensible-mda_8.14.4-4+deb7u1_armhf.deb
Size: 218076
SHA256: f0e09b36d4109dcf09e73698e1f1575f04460e8a08350dada6b99386783365a0
SHA1: baba33557d5e3917f42fe6ef6f90eb8c92223b63
MD5sum: 642fe9c0a1462835551792b88bd51c3d
Description: Mail Delivery Agent wrapper
 Sensible-mda is a Debian addition in the sendmail package and has been
 split out in hopes that it might be useful for other MTA packages.
 .
 Sensible-mda is called by the MTA, and will in turn call whichever of
 the following MDAs that it finds (in this order):
 procmail, maildrop, deliver, mail.local

Package: sensible-utils
Version: 0.0.7+deb7u1
Installed-Size: 107
Maintainer: Anibal Monsalve Salazar 
Architecture: all
Replaces: debianutils (<= 2.32.3), manpages-pl (<= 20060617-3~)
Size: 9000
SHA256: 15d464d7ed1ba109375969251d24028a1f6e80f20aff49810a180d1155c4fceb
SHA1: bfe018c6049e56bef198a716e49798e0e3bb78e5
MD5sum: 87b57cbc50641c0660958e9ffa9c789b
Description: Utilities for sensible alternative selection
 This package provides a number of small utilities which are used
 by programs to sensibly select and spawn an appropriate browser,
 editor, or pager.
 .
 The specific utilities included are: sensible-browser sensible-editor
 sensible-pager
Multi-Arch: foreign
Section: utils
Priority: required
Filename: pool/main/s/sensible-utils/sensible-utils_0.0.7+deb7u1_all.deb

Package: sensord
Source: lm-sensors
Version: 1:3.3.2-2+deb7u1
Architecture: armhf
Maintainer: Aurelien Jarno 
Installed-Size: 118
Depends: lm-sensors, lsb-base (>= 3.2-13), libc6 (>= 2.13-28), librrd4 (>= 1.3.0), libsensors4 (>= 1:3.1.1)
Suggests: rrdtool
Homepage: http://www.lm-sensors.org
Priority: extra
Section: utils
Filename: pool/main/l/lm-sensors/sensord_3.3.2-2+deb7u1_armhf.deb
Size: 46020
SHA256: acf9a4a502cff2e2b65f19e1ca7bc0b881c44fdc12bcbad1c5b209689db06500
SHA1: 4136d23337b725cc3c73d61c6468fad148b51f1e
MD5sum: df70b7fdb6eaf914af52417670a1acb8
Description: hardware sensor information logging daemon
 Lm-sensors is a hardware health monitoring package for Linux. It allows you
 to access information from temperature, voltage, and fan speed sensors. It
 works with most newer systems.
 .
 This package contains a daemon that logs hardware health status to the
 system log with optional warnings on potential system problems.

Package: sensors-applet
Version: 3.0.0-0.2
Architecture: armhf
Maintainer: Sam Morris 
Installed-Size: 514
Depends: gconf-service, libatasmart4 (>= 0.13), libc6 (>= 2.13-28), libcairo2 (>= 1.4.10), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.22.0), libgtk-3-0 (>= 3.0.0), libnotify4 (>= 0.7.0), libpanel-applet-4-0 (>= 3.2.1), libsensors-applet-plugin0 (= 3.0.0-0.2), libsensors4 (>= 1:3.0.0), libx11-6, libxext6
Recommends: hddtemp
Homepage: http://sensors-applet.sourceforge.net/
Priority: optional
Section: gnome
Filename: pool/main/s/sensors-applet/sensors-applet_3.0.0-0.2_armhf.deb
Size: 126766
SHA256: 0cbc01c4920099722deff5bf327835e1640e558f79ec0ba34eac92b1a0911ba4
SHA1: f75b0b489a8af1f208d91da449dfc9a0270ca9fc
MD5sum: 1690bbf51b7027b2918ff5ec128517e6
Description: Display readings from hardware sensors in your Gnome panel
 GNOME Sensors Applet is an applet for the GNOME panel that displays
 readings from hardware sensors, including temperatures, fan speeds and
 voltage readings.
 .
 It can gather data from the following sources:
  * ACPI thermal zones, via the Linux kernel ACPI modules
  * Linux kernel i2c modules
  * lm-sensors (libsensors)
  * Linux kernel i8k module (for Dell Inspiron Laptops)
  * Linux kernel ibm-acpi module
  * Linux kernel PowerPC modules therm_adt746x and therm_windtunnel
  * Linux kernel iMac G5 Windfarm module
  * hddtemp daemon for reading temperatures from S.M.A.R.T. equipped hard disks
  * Linux kernel Omnibook module
  * NVIDIA graphics cards (with separate sensors-applet-nvidia package)
  * Linux kernel sonypi module (for Sony Vaio laptops)
 .
 Alarms can be set for each sensor to notify the user once a certain high or
 low value has been reached, and can be configured to execute a given command
 at given repeated intervals.

Package: sentinella
Version: 0.9.0-3
Architecture: armhf
Maintainer: Niels Thykier 
Installed-Size: 737
Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4), libkdeui5 (>= 4:4.4.0), libphonon4 (>= 4:4.2.0), libprocessui4a (>= 4:4.6.1), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta2), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libsysactivity1 (>= 0.6.1), phonon
Homepage: http://sourceforge.net/projects/sentinella/
Priority: optional
Section: kde
Filename: pool/main/s/sentinella/sentinella_0.9.0-3_armhf.deb
Size: 240912
SHA256: a991a6942c0bffd7d13d0d95514aedc069f5f4404dd80af3d5f7bea0d7a3095c
SHA1: a8d3bc3d64af5809340dd19fc422b9eeb8896cdb
MD5sum: 7d7e8190a339f7b272519a7526a841e9
Description: System monitor that can react to user chosen conditions
 While monitoring your CPU, memory, hard drive and network usage,
 Sentinella can be programmed to take specific actions when setpoints
 for utilization or time are met. It can power off, reboot or hibernate
 your system, kill an active process, throw an alarm or execute any
 command.
 .
 It can measure the following conditions:
   - CPU load
   - Memory used
   - Network traffic
   - Date and time
   - The existence of a process
 .
 And the possible actions are:
   - Shutdown/Reboot the computer
   - Standby/Suspend/Hibernate
   - Execute a command
   - Play an alarm
   - Kill a process

Package: sepia
Version: 0.992-2
Installed-Size: 348
Maintainer: Hilko Bengen 
Architecture: all
Depends: perl, dpkg (>= 1.15.4) | install-info, emacs23 | emacs22, w3m-el (>= 1.4.5) | w3m-el-snapshot, libio-stringy-perl, libpadwalker-perl, libmodule-info-perl, libscope-upper-perl, libdevel-lexalias-perl, libmodule-corelist-perl, libwww-perl, libdata-dump-perl, libdevel-size-perl, libbsd-resource-perl
Recommends: perl-doc
Size: 107414
SHA256: 19134b8f0329bef160cfb8224dd145c1c801328c45b3d639ee342034b4cccc38
SHA1: 0ee4347e2cda6199d94e330a6ab2c486324b89b6
MD5sum: 369d87dd20d39289e773efe31c8505e7
Description: Simple Emacs-Perl InterAction
 Sepia is a set of features to make Emacs a better tool for Perl
 development, including:
 .
  * an interactive prompt (REPL) for evaluating code;
  * cross-referencing to find and navigate between function and
    variable definitions and uses;
  * variable- and function-name completion.
  * eldoc support to echo function arguments in the minibuffer
  * functions to simplify POD browsing with Emacs-w3m
Tag: devel::lang:perl, implemented-in::lisp, implemented-in::perl,
 interface::commandline, interface::text-mode, suite::emacs,
 use::editing
Section: perl
Priority: optional
Filename: pool/main/s/sepia/sepia_0.992-2_all.deb

Package: sepol-utils
Source: libsepol
Version: 2.1.4-3
Architecture: armhf
Maintainer: Debian SELinux maintainers 
Installed-Size: 63
Depends: libc6 (>= 2.13-28), libsepol1 (>= 1.14)
Homepage: http://userspace.selinuxproject.org/
Priority: optional
Section: admin
Filename: pool/main/libs/libsepol/sepol-utils_2.1.4-3_armhf.deb
Size: 24790
SHA256: 0312086f18dea1ee33c6b92087c8776576376b553cd5e0ad94760e4d540b78bc
SHA1: 8ef3eec4128ac2e5b773ebf51fd0643237e96751
MD5sum: f7655f4f396e77d75c66add5dc5c9b7e
Description: Security Enhanced Linux policy utility programs
 This package provides a utility for a Security-enhanced
 Linux system to rewrite existing mandatory access control policy with
 different boolean setting, generating a new policy. Security-enhanced
 Linux is a patch of the Linux kernel and a number of utilities with
 enhanced security functionality designed to add mandatory access
 controls to Linux. This package provides utility programs to get and
 set process and file security contexts and to obtain security policy
 decisions.

Package: seq24
Version: 0.9.2-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 602
Depends: libasound2 (>= 1.0.16), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6)
Homepage: http://www.filter24.org/seq24/
Priority: optional
Section: sound
Filename: pool/main/s/seq24/seq24_0.9.2-2_armhf.deb
Size: 224316
SHA256: 9667328ca3f29f779cb768fc81c82cd51a3a499440e40ce0878658b7ea31d19b
SHA1: ade77b7d23607c3ed527e1a7453721979f77886f
MD5sum: ded75c2a72305f460f29889659cd9fb2
Description: Real time MIDI sequencer
 Seq24 was created to provide a very simple interface for editing and
 playing midi 'loops'. It is a very minimal sequencer that excludes the
 bloated features of the large software sequencers and includes a small
 subset that proved to be useful for live performances.

Package: seqan-apps
Source: seqan
Version: 1.3.1-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 7821
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4)
Homepage: http://www.seqan.de/
Priority: optional
Section: science
Filename: pool/main/s/seqan/seqan-apps_1.3.1-1_armhf.deb
Size: 1519078
SHA256: 08e92b57523f4cd2ee48b7ff19a9074a1c923f2e5d34ab7a17633b82addaa222
SHA1: 4a63d08e7ad2aab3d9d7ba9dd91da36741b32b1f
MD5sum: 930ec3c020e51eac4c98a3ce86d5aa49
Description: C++ library for the analysis of biological sequences
 SeqAn is a C++ template library of efficient algorithms and data
 structures for the analysis of sequences with the focus on
 biological data. This library applies a unique generic design that
 guarantees high performance, generality, extensibility, and
 integration with other libraries. SeqAn is easy to use and
 simplifies the development of new software tools with a minimal loss
 of performance. This package contains the applications dfi, pair_align,
 micro_razers, seqan_tcoffee, seqcons, razers and tree_recon.

Package: seqan-dev
Source: seqan
Version: 1.3.1-1
Installed-Size: 18384
Maintainer: Debian Med Packaging Team 
Architecture: all
Size: 2442396
SHA256: b1beee94b25293086edc454f6433ba5e972e2b94a7cec3c6f78843c4eb3db7b6
SHA1: 1ede879eed6890d5b626674c51f24b8c5763ea1d
MD5sum: 832aea58c3da9c65b5d40d9b87072b21
Description: C++ library for the analysis of biological sequences (development)
 SeqAn is a C++ template library of efficient algorithms and data
 structures for the analysis of sequences with the focus on
 biological data. This library applies a unique generic design that
 guarantees high performance, generality, extensibility, and
 integration with other libraries. SeqAn is easy to use and
 simplifies the development of new software tools with a minimal loss
 of performance.
 .
 This package contains the developer files, documentation and examples.
Homepage: http://www.seqan.de/
Tag: devel::library, role::devel-lib
Section: libdevel
Priority: optional
Filename: pool/main/s/seqan/seqan-dev_1.3.1-1_all.deb

Package: ser2net
Version: 2.6-1
Architecture: armhf
Maintainer: Marc Haber 
Installed-Size: 125
Depends: libc6 (>= 2.7)
Suggests: telnet
Priority: optional
Section: utils
Filename: pool/main/s/ser2net/ser2net_2.6-1_armhf.deb
Size: 41236
SHA256: f0068a339a2dce6e82388768a9fd9d18733274c8a2e78df6255676720a024cf2
SHA1: 03066b59e1de4d9924e3c35edc4151e498e4344d
MD5sum: 238c57858a4be07d31feff6c65f0ed5b
Description: Allows network connections to serial ports
 This daemon allows telnet and tcp sessions to be established with a
 unit's serial ports. Combined with a terminal emulation like xterm or
 the Linux console, this can be a very simple means of communicating
 with routers, other systems' serial consoles and other equipment with
 a serial port. This is remarkably similar to the reverse telnet
 feature of some Cisco routers.
 .
 Upstream URL: http://sourceforge.net/projects/ser2net

Package: serd-dbg
Source: serd
Version: 0.14.0~dfsg0-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 80
Depends: libserd-0-0 (= 0.14.0~dfsg0-2)
Multi-Arch: same
Homepage: http://drobilla.net/software/serd/
Priority: extra
Section: debug
Filename: pool/main/s/serd/serd-dbg_0.14.0~dfsg0-2_armhf.deb
Size: 31468
SHA256: 6f82b3082976bc5e10973d36515c28d67100a2504f33b60793ec387249aad56f
SHA1: 03d06d054c830cbeddb259429b369b753f4402f3
MD5sum: 7a27a2b9a1a544db754e2800504c1119
Description: lightweight RDF syntax library - debugging symbols
 Serd is a lightweight C library for RDF syntax which supports reading
 and writing Turtle and NTriples.
 .
 This package contains the debugging symbols for serd.

Package: serdi
Source: serd
Version: 0.14.0~dfsg0-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 54
Depends: libc6 (>= 2.13-28), libserd-0-0 (>= 0.14.0~dfsg0)
Homepage: http://drobilla.net/software/serd/
Priority: optional
Section: text
Filename: pool/main/s/serd/serdi_0.14.0~dfsg0-2_armhf.deb
Size: 9022
SHA256: ebda69604eaafcbea9c99af60b2cecffe2388c83b1e1a670f52ef15e6fee3d68
SHA1: 2f6c901c80b99352118cbc7e0625b701a1b7699c
MD5sum: 2626289067294906b964df013842cca0
Description: lightweight RDF syntax library - serdi tool
 Serd is a lightweight C library for RDF syntax which supports reading
 and writing Turtle and NTriples.
 .
 This package provides the utility 'serdi'.

Package: servefile
Version: 0.4.2-1
Installed-Size: 98
Maintainer: Sebastian Lohff 
Architecture: all
Depends: python-argparse | python2.7, python2.7 | python2.6, python (>= 2.6), python (<< 2.8)
Recommends: python-openssl, net-tools | iproute | freebsd-net-tools
Size: 16624
SHA256: 07eaf28ea7a9122a6d95001999546e5d2c7b943200a2491df4caf43e7d04087c
SHA1: aa7247d952196ce2ac6cdd92dd631e156c60138b
MD5sum: 50beb1fa76357ecc73490b3ea8078a38
Description: serve or receive files from shell via a small HTTP server
 servefile is a small HTTP-server intended for temporary file transfer mostly
 in the local network. By default the server redirects all HTTP requests to a
 file given as first argument, so only IP and port must be given to another
 user to access it.
 .
 servefile is also able to list directories, generate SSL certificates for
 HTTPS on-the-fly, do HTTP basic auth and provide a platform for uploads
 via either a HTML formular or a simple POST/PUT.
 .
 Note that this HTTP-server's purpose is not production grade static file
 serving or running as a daemon.
Homepage: http://seba-geek.de/stuff/servefile/
Section: net
Priority: optional
Filename: pool/main/s/servefile/servefile_0.4.2-1_all.deb

Package: serverstats
Version: 0.8.2-10
Installed-Size: 468
Maintainer: Bjoern Boschman 
Architecture: all
Depends: apache2 | httpd, rrdtool, php5, php5-cli
Recommends: php5-mysql, php5-snmp, php5-gd, php5-imap, php5-mcrypt
Size: 44130
SHA256: d2b671d12653133cb435c092a38b81b14adfea48ef219436c791126247e24617
SHA1: a11ca6f05bf98b21fac74961eec52bbf07fe473c
MD5sum: f64566667dd734ec80e5d8d3acfb6c7e
Description: a simple tool for creating graphs using rrdtool
 Serverstats is a simple web based tool for creating performance
 graphs using rrdtool. It does not need any kind of database.
 So it is an small and efficient alternative for the cacti
 frontend.
Homepage: http://serverstats.berlios.de/
Tag: admin::monitoring, implemented-in::perl, implemented-in::php,
 interface::web
Section: web
Priority: optional
Filename: pool/main/s/serverstats/serverstats_0.8.2-10_all.deb

Package: servicefw
Source: qtmobility
Version: 1.2.0-3
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 144
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.8.1), libqtgui4 (>= 4:4.8.1), libqtserviceframework1, libstdc++6 (>= 4.3.0)
Replaces: qtmobility-dev (<< 1.0.2-2)
Homepage: http://labs.trolltech.com/page/Projects/QtMobility
Priority: optional
Section: libs
Filename: pool/main/q/qtmobility/servicefw_1.2.0-3_armhf.deb
Size: 42106
SHA256: c5c7d6b9d1eae816ed0a96383f7c22f7292fb93421e51e3e21632df21e9d3e99
SHA1: dd520cabb073f0f4f2a1e0bbccca35ed5ca10b5c
MD5sum: 2cc340c1c57eebe60f8e9d072988f595
Description: Qt Mobility Service Framework tool
 Qt Mobility delivers a set of new APIs for mobile device functionality.
 .
 This package contains the Service Framework tool (servicefw). It allows
 to register services and make them available over the Service Framework.

Package: sessioninstaller
Version: 0.20-1
Installed-Size: 248
Maintainer: Julian Andres Klode 
Architecture: all
Depends: python (>= 2.5), python-support (>= 0.90.0), aptdaemon (>= 0.30), python-aptdaemon-gtk (>= 0.30), python-gst0.10
Conflicts: packagekit-gnome
Size: 27814
SHA256: 82afbca5535ad7cdf8153f6400d9ce603b01531e6a45bbc55191d08c843a3dec
SHA1: a5c0a393085944f3ab000a4d42bd73a67c01de2c
MD5sum: d5b5a8b2f7bb97439e1e2d8eb730fc4d
Description: APT based installer using PackgeKit's session DBus API
 Sessioninstaller allows applications to easily install additional software
 (e.g. extensions or GSreamer codecs), uninstall files and perform simple
 software status queries by implementing the distribution neutral
 D-Bus session interface of PackageKit.
 .
 The whole process including confirmation, error reporting and progress
 and notification is handled by sessioninstaller.
 .
 The reference implementation of the D-Bus interface can be found in
 gnome-packagekit. It was also adpoted by KPackageKit.
 .
 In contrast to gnome-packagekit and KPackageKit it doesn't use the PackageKit
 daemon for querying and installation, but instead makes use of APT and
 aptdaemon (alternatively synaptic can be used).
Homepage: http://launchpad.net/sessioninstaller
Python-Version: 2.5, 2.6
Tag: admin::package-management, implemented-in::python, role::program
Section: gnome
Priority: extra
Filename: pool/main/s/sessioninstaller/sessioninstaller_0.20-1_all.deb

Package: setcd
Version: 1.5-6
Architecture: armhf
Maintainer: LENART Janos 
Installed-Size: 52
Depends: libc6 (>= 2.4)
Priority: optional
Section: utils
Filename: pool/main/s/setcd/setcd_1.5-6_armhf.deb
Size: 13426
SHA256: b14c4fbd7693f8f3c867d722322cd428b0d41c993bb77a015ae1ab1a0a25ba3b
SHA1: f462449be02e3cc36142a4ba28f7a7c35afdff89
MD5sum: 537bd5892199dfe18c1a574d79fe9efa
Description: Control the behaviour of your cdrom device
 This program allows you to control the behaviour of your Linux cdrom
 player.
 .
 You can control: auto close, auto eject, medium type checking and
 tray/caddy locking.  You can get information on the volume name of CD-ROMs
 and other data, and you can set the speed of your drive and choose a
 disc from a jukebox.
 .
 The source of this package may be an example for cdrom player program
 developers that wish to exploit the features of the Linux cdrom
 interface.

Package: setools
Version: 3.3.7-3
Architecture: armhf
Maintainer: Debian SELinux maintainers 
Installed-Size: 3832
Depends: bwidget (>= 1.8), libsetools-tcl (= 3.3.7-3), tcl (>= 8.4.9) | tclsh, tk (>= 8.4.9) | wish, libatk1.0-0 (>= 1.12.4), libbz2-1.0, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libqpol1, libselinux1 (>= 1.32), libsepol1 (>= 1.14), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4)
Homepage: http://oss.tresys.com/projects/setools
Priority: optional
Section: admin
Filename: pool/main/s/setools/setools_3.3.7-3_armhf.deb
Size: 1296504
SHA256: eb4b4aeadb87c52bf1fb3cb52a4e7840d0feab06ee03f757dec699cd3d141778
SHA1: e88ae09ffcb7cb6170b1c819adc0efaa39ff3b31
MD5sum: 06c8061cbb08b7727491136e2bc55678
Description: tools for Security Enhanced Linux policy analysis
 Security-enhanced Linux is a patch of the Linux kernel and a number
 of utilities with enhanced security functionality designed to add
 mandatory access controls to Linux. These are Tools for analysing
 security policy on SELinux systems.

Package: setpwc
Version: 1.2-3.1
Architecture: armhf
Maintainer: Anders Lennartsson 
Installed-Size: 54
Depends: libc6 (>= 2.13-28)
Priority: optional
Section: graphics
Filename: pool/main/s/setpwc/setpwc_1.2-3.1_armhf.deb
Size: 10604
SHA256: 4465f6e30b23bacf4efd465708d2ab46dc0ed346c2e9edbf770d0bc86aa90ef2
SHA1: e5b0a69d17a6518e844c200a65e96d45933f06d9
MD5sum: 4c096944549498ca6d586a8348db0e42
Description: program to set and query settings of (mainly) Philips WebCams
 The setpwc program can set and list various settings of Philips
 WebCams, and also some WebCams from other manufacturers, but based on
 the PWC chipset. The program can set properties such as compression
 preference, framerate, gain control, shutter speed, white red and
 blue balance, etc. It can also query current settings.
 .
 In addition, setpwc can store the settings in nonvolatile RAM, as well as
 restore factory defaults.

Package: setserial
Version: 2.17-47
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 143
Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0
Priority: extra
Section: comm
Filename: pool/main/s/setserial/setserial_2.17-47_armhf.deb
Size: 52856
SHA256: db5aae3639f7135a6b310623bde353f9d9fc347a9403181b5abf774861259d53
SHA1: 4db1508a04242cfc6c76f71ce7dd7552e42e5684
MD5sum: 90f7c1fc9d28060956ead08be28bb6f8
Description: controls configuration of serial ports
 Set and/or report the configuration information associated with
 a serial port. This information includes what I/O port and which IRQ
 a particular serial port is using.
 .
 This version has a completely new approach to configuration, so if you
 have a setup other than the standard ttyS0 and 1, you will have to get
 your hands dirty.
 .
 By default, only COM1-4 are configured by the kernel, using IRQ 3 and 4.
 If you have other serial ports (such as an AST Fourport card), or
 if you have mapped the IRQs differently (perhaps COM3 and 4 to other
 IRQs to allow concurrent access with COM1 and 2) then you must have this
 package.

Package: sextractor
Version: 2.8.6+dfsg-1
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 1217
Depends: libatlas3-base, libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0)
Homepage: http://www.astromatic.net/software/sextractor
Priority: optional
Section: science
Filename: pool/main/s/sextractor/sextractor_2.8.6+dfsg-1_armhf.deb
Size: 462912
SHA256: e2b0276b18f726810d434432d4914337e997848a9bd2c333f90feac03be5a759
SHA1: c7750b109fed17f059ad5f8f58a54a294b7125f9
MD5sum: 934402aeb98d0e954588b0680b818451
Description: Source extractor for astronomical images
 Find sources, such as stars and galaxies, in astronomical images.
 The input data files are in FITS file format, and are analyzed to
 compute the locations of sources, with the ability to distinguish
 between galaxies and stars using a neural-network technique.

Package: seyon
Version: 2.20c-31
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 297
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6, xterm | x-terminal-emulator, debconf (>= 1.2.9) | debconf-2.0
Suggests: lrzsz, ckermit
Priority: extra
Section: comm
Filename: pool/main/s/seyon/seyon_2.20c-31_armhf.deb
Size: 132324
SHA256: 7772dea7829bbefdaa33f5f79e37fa3e9221671bc0348b4e62b1844a13a8a134
SHA1: 5073899398e94b42afe21e7a15ba05868a61b635
MD5sum: 5248af0d506acc9557461c724d6bb8be
Description: Full-featured native X11 communications program
 Seyon is a complete full-featured modem communications package
 for the X Window System. Some of its features are:
  - dialing directory
  - terminal emulation (DEC VT02, Tektronix 4014 and ANSI)
  - script language
  - Zmodem

Package: sfact
Version: 2011.12.18-1
Installed-Size: 12539
Maintainer: Changwoo Ryu 
Architecture: all
Replaces: skeinforge (<< 2011.12.18)
Depends: python, python-tk
Conflicts: skeinforge (<< 2011.12.18)
Size: 1813746
SHA256: bdef558e3ad575d8c2ea28fb72cc38fc1e87243ca4bdf6be0333d86c65a93ee7
SHA1: 6dfa52e004b59e26ba8751cb4d1acab1cd58dcba
MD5sum: 3f51d6c2b11c5a8d70b6fa3aaea350e0
Description: toolchain to generate G-Code instructions from 3D models
 SFACT, an improved version of Skeinforge, is a set of Python scripts
 which convert 3D models into G-Code instructions. Then the generated
 G-Code instructions can be used for various computer numerical
 control (CNC) machines, to build the 3D models into actual objects.
 Especially Skeinforge is a de facto standard tool for RepRap or
 similar 3D printers.
Homepage: https://github.com/ahmetcemturan/SFACT
Section: graphics
Priority: optional
Filename: pool/main/s/sfact/sfact_2011.12.18-1_all.deb

Package: sfc
Source: syfi
Version: 1.0.0.dfsg-1
Installed-Size: 905
Maintainer: Debian Science Team 
Architecture: all
Replaces: syfi-bin
Provides: syfi-bin
Depends: python-ufl (>= 1.0.0), python-numpy, python-ufc (>= 2.0.5), python-syfi (>= 1.0.0.dfsg-1), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8)
Conflicts: syfi-bin
Size: 115928
SHA256: 270ac9c8caf8781adb0d2bf8a132f7774143d66ac5a4b0bdf19037dc3d54cfb2
SHA1: 1a21dfbacf8ec4178a997ee64ee2dd6ed82085c9
MD5sum: d0b32420ad7fc7ec4845736a6216949a
Description: SyFi Form Compiler
 The SyFi Form Compiler (SFC) is a form compiler from the FEniCS
 project, compiling variational forms from the Unified Form Language
 (UFL) to efficient C++ implementations of Unified Form-assembly Code
 (UFC). SFC uses symbolic expressions for finite elements from SyFi.
Homepage: http://www.fenicsproject.org
Section: devel
Priority: extra
Filename: pool/main/s/syfi/sfc_1.0.0.dfsg-1_all.deb

Package: sfftobmp
Version: 3.1.3-1
Architecture: armhf
Maintainer: Olly Betts 
Installed-Size: 99
Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libstdc++6 (>= 4.4.0), libtiff4 (>= 3.9.5-2)
Homepage: http://sfftools.sourceforge.net/
Priority: optional
Section: graphics
Filename: pool/main/s/sfftobmp/sfftobmp_3.1.3-1_armhf.deb
Size: 36274
SHA256: 35807e8a66b427e30d4a5f5088a41694db01d6643807e7585a852dcb2d861132
SHA1: c226f1ece88d45bc3a78c2dce14ae8452e7e1d6a
MD5sum: 8b0109146ce6112c8ef6bce4c519be80
Description: SFF (Structured Fax File) Converter
 Tool to convert "Structured Fax Files" into Windows bitmaps
 (BMP), Portable Bitmaps (PBM), JPEG Pictures (JPG) and
 single or multi-page TIFF Images.
 .
 SFF means 'Structured Fax File', intended by the CAPI interface
 to be used to save incoming faxes. Within GNU/Linux it's e.g. the
 AVM-B1 card which creates such files.

Package: sfftw-dev
Source: fftw
Version: 2.1.5-1
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 703
Depends: sfftw2 (= 2.1.5-1)
Conflicts: fftw-dev (<< 2.1.3-8), fftw-single-dev, fftw1-dev
Provides: fftw-single-dev
Homepage: http://fftw.org
Priority: extra
Section: oldlibs
Filename: pool/main/f/fftw/sfftw-dev_2.1.5-1_armhf.deb
Size: 332756
SHA256: 56e9cc48cc6b2751521f7111c2609b270088f5efc079743f995d588bbfa77b39
SHA1: a488d9d50f6271e98b7d97e82c26cf5ae91af469
MD5sum: a05e3ef6f0c1f21bfd371a9aa1c3efd2
Description: library for computing Fast Fourier Transforms
 This library computes FFTs in one or more dimensions. It is extremely fast.
 This package contains the statically linked library and the header files.

Package: sfftw2
Source: fftw
Version: 2.1.5-1
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 500
Depends: libc6 (>= 2.13-28), libopenmpi1.3
Suggests: sfftw-dev, mpi-defaults-bin
Conflicts: fftw2 (<= 2.1.3-10), fftw2-single
Provides: fftw2-single
Homepage: http://fftw.org
Priority: extra
Section: oldlibs
Filename: pool/main/f/fftw/sfftw2_2.1.5-1_armhf.deb
Size: 304188
SHA256: 157e508618dd3d6ec23a81f0b497262878f4a5a21dd16df4dbe8dcf8074c865c
SHA1: f9fda3ff75ea96cbf103145e90b13065ad4f3d56
MD5sum: a7ee9561ac79a86a27cccce0eb560bb9
Description: library for computing Fast Fourier Transforms
 This library computes Fast Fourier Transforms (FFT) in one or more dimensions.
 It is extremely fast. This package contains the shared library version of the
 fftw libraries in single precision.
 .
 To get the static library and the header files you need to install sfftw-dev.
 .
 For documentation and test programs, install fftw-docs

Package: sffview
Version: 0.4.1-2
Architecture: armhf
Maintainer: Olly Betts 
Installed-Size: 129
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1)
Recommends: libgnomeprintui2.2-0
Homepage: http://sourceforge.net/projects/sfftools/
Priority: optional
Section: graphics
Filename: pool/main/s/sffview/sffview_0.4.1-2_armhf.deb
Size: 41912
SHA256: 01aec213582241dedfc72fe841858efd43c0f3a0a6316d68b8f2fdc1c00d9707
SHA1: fad09167f6c5e2a0446ae4fc5dc0d686eaf2afe0
MD5sum: 647479f99d87881b958d85e6c6148af2
Description: Structured Fax File (SFF) Viewer
 sffview is an X11 viewer for SFF files.  SFF is the "Structured Fax File"
 format for group 3 fax documents which ISDN cards supporting the CAPI
 specification expect and create.

Package: sflphone-daemon
Source: sflphone (1.1.0-2)
Version: 1.1.0-2+b1
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 1410
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libccrtp0, libdbus-1-3 (>= 1.0.2), libdbus-c++-1-0, libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgsm1 (>= 1.0.13), libpcre3 (>= 8.10), libpulse0 (>= 0.99.1), libsamplerate0 (>= 0.1.7), libspeex1 (>= 1.2~beta3-1), libspeexdsp1 (>= 1.2~beta3.2-1), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libucommon5, libuuid1 (>= 2.16), libyaml-0-2, libzrtpcpp2
Homepage: http://www.sflphone.org
Priority: extra
Section: gnome
Filename: pool/main/s/sflphone/sflphone-daemon_1.1.0-2+b1_armhf.deb
Size: 611060
SHA256: ddf1fcb4190558c3a2e9e10e77974cf62e8d7387287d64431cf7d6c57bff98de
SHA1: ee83b8a4bfcca2e97b11fe3dc371b7093b175c8f
MD5sum: ed0b238c29312908240dfac84470bf1b
Description: SIP and IAX2 compatible VoIP phone - core daemon
 SFLphone is a SIP/IAX2 compatible softphone for Linux. The SFLphone project's
 goal is to create a robust enterprise-class desktop phone. While it can serve
 home users very well, it is designed with a hundred-calls-a-day receptionist
 in mind.
 .
 It features a flexible client/server architecture where the GTK+ client talks
 to the daemon through DBus and is capable of handling multiple VoIP connections
 at once.
 .
 This is the daemon package required by all SFLphone clients.

Package: sflphone-data
Source: sflphone
Version: 1.1.0-2
Installed-Size: 1284
Maintainer: Debian VoIP Team 
Architecture: all
Size: 362190
SHA256: 9c57b9f79ddb2707dbd1b932b7fd1b377591776ce707389cad6e0d5d8173db50
SHA1: 8fb519cd8756adc79cad828b6f8ef2860b609aa7
MD5sum: a6f65e28f6119a27651a0e6feb88c9f2
Description: SIP and IAX2 compatible VoIP phone - common data
 SFLphone is a SIP/IAX2 compatible softphone for Linux. The SFLphone project's
 goal is to create a robust enterprise-class desktop phone. While it can serve
 home users very well, it is designed with a hundred-calls-a-day receptionist
 in mind.
 .
 It features a flexible client/server architecture where the GTK+ client talks
 to the daemon through DBus and is capable of handling multiple VoIP connections
 at once.
 .
 This package includes the data files required by all SFLphone clients.
Homepage: http://www.sflphone.org
Tag: made-of::audio, made-of::svg, role::app-data
Section: gnome
Priority: extra
Filename: pool/main/s/sflphone/sflphone-data_1.1.0-2_all.deb

Package: sflphone-evolution
Source: sflphone (1.1.0-2)
Version: 1.1.0-2+b1
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 61
Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libebook-1.2-13 (>= 3.4.3), libedataserver-1.2-16 (>= 3.4.3), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.6.27), sflphone-gnome (= 1.1.0-2+b1)
Homepage: http://www.sflphone.org
Priority: extra
Section: gnome
Filename: pool/main/s/sflphone/sflphone-evolution_1.1.0-2+b1_armhf.deb
Size: 12776
SHA256: 32a218bb801e55979b81d69320dab89857a6cafb87b0747aa1889ef73f164876
SHA1: 0d0a328cdfed506ac4d614cd8a040024ecd5cf7e
MD5sum: 4419898ca89526fd6fad03a411910720
Description: SIP and IAX2 compatible VoIP phone - Evolution plugin
 SFLphone is a SIP/IAX2 compatible softphone for Linux. The SFLphone project's
 goal is to create a robust enterprise-class desktop phone. While it can serve
 home users very well, it is designed with a hundred-calls-a-day receptionist
 in mind.
 .
 It features a flexible client/server architecture where the GTK+ client talks
 to the daemon through DBus and is capable of handling multiple VoIP connections
 at once.
 .
 This package consists of an optional Evolution addressbook plugin for the
 GNOME client.

Package: sflphone-gnome
Source: sflphone (1.1.0-2)
Version: 1.1.0-2+b1
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 1776
Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk-3-0 (>= 3.0.0), libjavascriptcoregtk-3.0-0 (>= 1.5.1), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libwebkitgtk-3.0-0 (>= 1.3.10), libx11-6, gconf2 (>= 2.28.1-2), sflphone-daemon (= 1.1.0-2+b1), sflphone-data (= 1.1.0-2), librsvg2-common
Homepage: http://www.sflphone.org
Priority: extra
Section: gnome
Filename: pool/main/s/sflphone/sflphone-gnome_1.1.0-2+b1_armhf.deb
Size: 865178
SHA256: f6a991fe23f2b9c6f203d48d24fa3c0936952132616deb336269bb19bb91b615
SHA1: bde76a87dab6f5be129c1d1c242389168610ebde
MD5sum: 3f1e5b1a4aacbc69b39ff8bafe9c2103
Description: SIP and IAX2 compatible VoIP phone - GNOME client
 SFLphone is a SIP/IAX2 compatible softphone for Linux. The SFLphone project's
 goal is to create a robust enterprise-class desktop phone. While it can serve
 home users very well, it is designed with a hundred-calls-a-day receptionist
 in mind.
 .
 It features a flexible client/server architecture where the GTK+ client talks
 to the daemon through DBus and is capable of handling multiple VoIP connections
 at once.
 .
 This is the graphical client for GNOME.

Package: sfront
Version: 0.98-1
Architecture: armhf
Maintainer: Santiago Vila 
Installed-Size: 3428
Depends: libc6 (>= 2.4)
Recommends: make, gcc | c-compiler
Homepage: http://www.cs.berkeley.edu/~lazzaro/sa/
Priority: optional
Section: sound
Filename: pool/main/s/sfront/sfront_0.98-1_armhf.deb
Size: 1069562
SHA256: eb4594187259e68d66aa08ddbcd4977be9919b9f75436ec3bade1d96f8168bc3
SHA1: f726d0cc7f990bd7f12274673e77f545e802234e
MD5sum: 7a5256997aedd9b74ab72daa55dbb4ef
Description: MPEG 4 Structured Audio decoder
 Sfront compiles MPEG 4 Structured Audio (MP4-SA) bitstreams into
 efficient C programs that generate audio when executed. It supports
 real-time, low-latency audio input/output, MIDI input from
 soundcards, and Network Musical Performance.
 .
 MP4-SA is a standard for normative algorithmic sound, that combines
 an audio signal processing language (SAOL) with score languages
 (SASL, and the legacy MIDI File Format).
 .
 This package includes the sfront application, the sfront manual and
 "The MPEG-4 Structured Audio Book", a book on sound modeling and
 sequencing using MP4-SA.

Package: sfst
Version: 1.2.0-1.2
Architecture: armhf
Maintainer: Francis Tyers 
Installed-Size: 1754
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsfst1-1.2-0, libstdc++6 (>= 4.4.0)
Homepage: http://www.ims.uni-stuttgart.de/projekte/gramotron/SOFTWARE/SFST.html
Priority: optional
Section: misc
Filename: pool/main/s/sfst/sfst_1.2.0-1.2_armhf.deb
Size: 776174
SHA256: b26a73c2d59f029d47ea835e50b347670b4b275b725390860045abbbbde644da
SHA1: c860b92b823f2a492f3f9b1abd78b1b944f20cc4
MD5sum: bb9590073d69271c10f4f33dd185da44
Description: Stuttgart finite-state transducer tools
 SFST is a toolbox for the implementation of morphological analysers
 and other tools which are based on finite state transducer
 technology. The SFST tools comprise
 .
   * a compiler which translates transducer programs into minimised transducers
   * interactive and batch-mode analysis programs
   * tools for comparing and printing transducers
   * a C++ library which is efficient and easy to use

Package: sg3-utils
Version: 1.33-1
Architecture: armhf
Maintainer: Ritesh Raj Sarraf 
Installed-Size: 1553
Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libsgutils2-2 (>= 1.30)
Conflicts: cdwrite, sg-utils
Replaces: sg-utils
Homepage: http://sg.danny.cz/sg/
Priority: optional
Section: admin
Filename: pool/main/s/sg3-utils/sg3-utils_1.33-1_armhf.deb
Size: 662088
SHA256: b4c1c7b690277e168ae288661381cb55ea5c61de27e4bb4cae7129552903aba9
SHA1: bac7dbdb69dd2afa98c812359a7da12736c7ed64
MD5sum: d66d56561a051dd9f4bf0f0c924e5b1b
Description: utilities for devices using the SCSI command set
 Most OSes have SCSI pass-through interfaces that enable user space programs
 to send SCSI commands to a device and fetch the response. With SCSI to ATA
 Translation (SAT) many ATA disks now can process SCSI commands. Typically
 each utility in this package implements one SCSI command. See the draft
 standards at www.t10.org for SCSI command definitions plus SAT. ATA
 commands are defined in the draft standards at www.t13.org . For a mapping
 between supported SCSI and ATA commands and utility names in this package
 see the COVERAGE file.

Package: sgf2dg
Version: 4.026-10
Architecture: armhf
Maintainer: Vincent Danjean 
Installed-Size: 574
Depends: libc6 (>= 2.13-28), dpkg (>= 1.14.18), tex-common (>= 2.00), perl
Recommends: libpdf-create-perl, libpostscript-file-perl
Conflicts: sgf2tex (<< 4.00)
Replaces: sgf2tex (<< 4.00)
Homepage: http://match.stanford.edu/bump/sgf2tex.html
Priority: optional
Section: tex
Filename: pool/main/s/sgf2dg/sgf2dg_4.026-10_armhf.deb
Size: 192406
SHA256: 322c915096915a89452e5a608b7c66f106a4f00335fb02b98598c6e0526bd39d
SHA1: 4bf9aca2ab86d85bedcb2ef47c9ece185f5ec233
MD5sum: 9f7ef273c6a2f69485c62e5b571e7da9
Description: Creates TeX files from Go game records
 Go is a board game from Eastern Asia and TeX is a program for
 typesetting.  sgf2dg converts Go game records in smart-go format (SGF)
 into TeX files.  You can produce camera-ready copies for books or
 magazines, or simply generate attractive printouts of your (internet)
 Go games.
 .
 sgf2dg includes the Metafont sources for a new set of Go fonts, and a
 script, sgf2dg (formerly sgf2tex), which translates files in sgf-format
 into TeX.

Package: sgml-base
Version: 1.26+nmu4
Installed-Size: 113
Maintainer: Debian XML/SGML Group 
Architecture: all
Depends: perl
Pre-Depends: dpkg (>= 1.16.4)
Suggests: sgml-base-doc
Conflicts: sgml-data (<= 0.02), sgmltools-2 (<= 2.0.2-4)
Size: 14604
SHA256: 7e0c93e634600ecea9c58590c1ac7e19630a6fd01f96a055f51c9a3e97b58608
SHA1: fb7cd43930a6131ce20ff81a32549bac5140d327
MD5sum: 82fdd9e2505f62ea801043f4e4fbfc5d
Description: SGML infrastructure and SGML catalog file support
 This package creates the SGML infrastructure directories and provides
 SGML catalog file support in compliance with the current Debian SGML
 Policy draft:
 .
   * infrastructure directories:
      - /etc/sgml
      - /usr/share/sgml/{declaration,dtd,entities,misc,stylesheet}
      - /usr/share/local/sgml/{declaration,dtd,entities,misc,stylesheet}
 .
   * update-catalog(8): tool for maintaining the root SGML catalog
     file and the package SGML catalog files in the '/etc/sgml' directory
Tag: admin::configuring, devel::docsystem, implemented-in::perl,
 interface::commandline, role::app-data, suite::debian,
 use::configuring, use::editing, works-with-format::sgml,
 works-with::text
Section: text
Priority: optional
Filename: pool/main/s/sgml-base/sgml-base_1.26+nmu4_all.deb

Package: sgml-base-doc
Version: 1.99.1
Installed-Size: 176
Maintainer: Debian XML/SGML Group 
Architecture: all
Replaces: sgml-base (<= 1.12)
Suggests: sgml-base (>= 1.13)
Conflicts: sgml-base (<= 1.12)
Size: 96360
SHA256: 9b237c41f79adb12811deeee7adfe4a83f4ae5bc006c5173bb776833b15eb7eb
SHA1: ec1157e43d061b440081956dd3063f3ac85f6185
MD5sum: 1ddb673de6a3aeebb512ffd85b41092c
Description: Documentation for sgml-base
 This package contains the documentation for sgml-base, providing the
 SGML infrastructure directories and catalog file support, in HTML, PDF
 and plain text format.
Homepage: http://debian-xml-sgml.alioth.debian.org
Tag: admin::configuring, devel::doc, devel::docsystem, made-of::html,
 made-of::pdf, role::documentation, use::configuring, use::editing,
 works-with-format::sgml, works-with::text
Section: doc
Priority: optional
Filename: pool/main/s/sgml-base-doc/sgml-base-doc_1.99.1_all.deb

Package: sgml-data
Version: 2.0.8
Installed-Size: 1233
Maintainer: Debian QA Group 
Architecture: all
Replaces: docbook-xml (<= 3.1.3.6-1), sp (<< 1.3.4-1.2.1-14)
Depends: sgml-base (>= 1.26+nmu2), xml-core (>= 0.12)
Suggests: perlsgml, w3-recs, opensp, libxml2-utils
Breaks: sp (<= 1.1.1-2)
Size: 283900
SHA256: 724298f0d9e32d97d811b3f8562b88a3ab3481d9b07b70cf2a18f165abcb4498
SHA1: 457d56307b8ed504e9c6ec7616ae819e351f74f4
MD5sum: 983d9c998ee851e586892a220f0375e4
Description: common SGML and XML data
 This package contains basic XML and SGML data shared and used by
 multiple packages.  The package provides the technical format
 (Document Type Definition, or DTD) of all major versions of HTML.  It
 also includes standard character entity definitions which describe
 spacial characters or international characters, as well as other
 standard miscellany used by other SGML and XML packages.
 .
 This package implements the Debian XML and SGML standards for entity
 placement and registration.  This benefits the user by making the
 included materials instantly available to people writing, editing or
 building documentation without any further effort.  See
  for more information.
Homepage: http://debian-xml-sgml.alioth.debian.org/
Tag: admin::configuring, devel::docsystem, implemented-in::perl,
 role::app-data, suite::debian, use::configuring, use::editing,
 works-with-format::sgml, works-with::text
Section: text
Priority: optional
Filename: pool/main/s/sgml-data/sgml-data_2.0.8_all.deb

Package: sgml-spell-checker
Version: 0.0.20040919-3
Installed-Size: 72
Maintainer: Peter Eisentraut 
Architecture: all
Depends: opensp | sp, aspell, sgmlspl, perl
Size: 7978
SHA256: b1a618eefb66f3adcf584ab8b97276b42b5c4aaf29cacccffb11e2d2f2985dac
SHA1: 0f53a71bc85e041d32c55b1a648fd30ae473f6a4
MD5sum: 956bca259287d863aab44711e005412e
Description: spell checker for SGML documents
 This package includes a couple of tools that you can use to
 automatically spell-check your SGML documents. One of the
 advantages of this tool over some other SGML-aware spell
 checkers is that it scans your documents in the form in which
 the SGML parser actually sees it, which means it is not line-based,
 system entities are resolved, marked sections are treated appropriately, etc.
 .
 Also, this tool can be made aware of particular DTDs, in the
 sense that it knows not to spell-check the content of elements
 that do not represent human-language text, such as 
 in DocBook. An exclusion list for the DocBook DTD is included, others
 can be added trivially.
 .
 Home page: http://developer.postgresql.org/~petere/sgml-spell-checker/
Tag: devel::docsystem, implemented-in::perl, interface::shell, use::checking,
 works-with-format::sgml, works-with::dictionary, works-with::file,
 works-with::text
Section: text
Priority: optional
Filename: pool/main/s/sgml-spell-checker/sgml-spell-checker_0.0.20040919-3_all.deb

Package: sgml2x
Version: 1.0.0-11.3
Installed-Size: 137
Maintainer: Yann Dirson 
Architecture: all
Replaces: alcovebook-sgml (<< 0.0.999)
Depends: opensp, openjade, jadetex, sgml-base (>= 1.26+nmu2)
Recommends: docbook-dsssl | docbook-stylesheets | alcovebook-sgml | sgmltools-lite | gtk-doc-tools (>= 1.1-1)
Suggests: docbook-dsssl, sgmltools-lite, gtk-doc-tools, alcovebook-sgml
Conflicts: alcovebook-sgml (<< 0.0.999)
Size: 24484
SHA256: 2f681d4bf54c39a8a3c7f522b3ac9fdb5d734e1e2617b7098cd17c7ae25e4dea
SHA1: 7c945b57c78b7c67dedd4272a9f8466b2825aed2
MD5sum: 57c29092c975662506a2f28f26bd42dc
Description: generic formatter for SGML/XML documents using DSSSL stylesheets
 sgml2x allows to easily format SGML and XML documents using DSSSL
 stylesheets, and provides the following features:
  * Multiple possible stylesheets per document class
  * Easy specification of style-sheets using sort aliases
  * Easy integration of new stylesheets by adding a simple new
  definition file in a configuration directory
  * The caller can specify a PATH-like list of configuration
  directories, defaulting to one system-wide, one per-user, and
  one per-project configuration directory
  * Automatic selection of a default stylesheet to be used
 .
 It will currently be most useful with one of the suggested sets of
 DSSSL stylesheets for DocBook.
 .
 This package requires the DSSSL DTD from package openjade, although
 it can be used with any variant of jade.
Tag: devel::docsystem, interface::commandline, role::program, scope::utility,
 use::converting, works-with-format::sgml, works-with::text
Section: text
Priority: optional
Filename: pool/main/s/sgml2x/sgml2x_1.0.0-11.3_all.deb

Package: sgmls-doc
Source: libsgmls-perl
Version: 1.03ii-32
Installed-Size: 200
Maintainer: Debian XML/SGML Group 
Architecture: all
Replaces: sgmlspm
Suggests: libsgmls-perl, sgmlspl
Conflicts: sgmlspm
Size: 24678
SHA256: 0fb838fb95ee6217452c8721f97f8d8b7d1b4123c20935e47384d00fc06f29ef
SHA1: 608c9ff73507ac9400eea243e41cd73a90255619
MD5sum: 9fb6b331b0a1bac729d2e79ebaea0f17
Description: HTML documentation of libsgmls-perl and sgmlspl
 This package contains the documentation in HTML format of the
 packages libsgmls-perl and sgmlspl.
Tag: devel::doc, devel::docsystem, made-of::html, role::documentation,
 use::editing, works-with-format::sgml, works-with::text
Section: doc
Priority: optional
Filename: pool/main/libs/libsgmls-perl/sgmls-doc_1.03ii-32_all.deb

Package: sgmlspl
Source: libsgmls-perl
Version: 1.03ii-32
Installed-Size: 80
Maintainer: Debian XML/SGML Group 
Architecture: all
Replaces: sgmlspm
Depends: libsgmls-perl, perl
Suggests: sgmls-doc
Conflicts: sgmlspm
Size: 11390
SHA256: 6ab4ef85290e99ce951b6ba92ccbd367a41e70a8baaa236c7921c8e5e772ad4b
SHA1: 52490f6c849798a86738c73a4648ca91674bf1bb
MD5sum: da3deb86ca7678d77d6645a53b527efe
Description: SGMLS-based example Perl script for processing SGML parser output
 This is an example of a Perl script to post-process SGML parser output
 using the SGMLS Perl modules.  To make sensible use of this package
 you will need to install a suitable SGML parser as well.
Tag: devel::examples, implemented-in::perl, interface::commandline,
 role::program, scope::utility, use::editing, works-with-format::sgml,
 works-with::text
Section: text
Priority: optional
Filename: pool/main/libs/libsgmls-perl/sgmlspl_1.03ii-32_all.deb

Package: sgmltools-lite
Version: 3.0.3.0.cvs.20010909-16
Installed-Size: 180
Maintainer: Debian QA Group 
Architecture: all
Replaces: sgmltools-2
Provides: sgmltools-2
Depends: sgml-base (>= 1.10), docbook (>= 4.1-1), docbook-dsssl (>= 1.71-1), python (>= 1.5), jade | openjade
Recommends: w3m | w3mmee
Suggests: jadetex, lynx, linuxdoc-tools
Conflicts: sgmltools-2 (<= 2.0.2-4)
Size: 35490
SHA256: eab6161230a518d287a46ef5658e3d2e9a977471147a0f1455b65b264ceac1b4
SHA1: 3600b775fac07f4021c9328a9b03c148e0de5b6d
MD5sum: 9297a13813f56c8b6bf00c251b554597
Description: convert DocBook SGML source into HTML using DSSSL
 A text-formatting package based on SGML (Standard Generalized Markup
 Language), which allows you to produce TeX/DVI/PS/PDF, HTML, RTF, and
 plain ASCII (currently via w3m by default) from a single source with
 other recommended and suggested packages; due to the flexible nature
 of SGML, many other target formats are possible.
 .
 This tool can not handle DocBook XML yet.  For DocBook SGML only.
 .
 HTML can be generated without any other Debian text processing package,
 but for the other formats the appropriate packages have to be installed.
 You need to install lynx or w3m for ASCII text output (w3m is the default
 txt backend).  Also jadetex is required for PS and PDF, and
 linuxdoc-tools for ld2db conversion.
 .
 This system is tailored for writing technical software documentation,
 an example of which are the Linux HOWTO documents.  However, there is
 nothing Linux-specific about this package; it can be used for many
 other types of documentation on many other systems.  It should be
 useful for all kinds of printed and online documentation.
 .
 The package was formerly called linuxdoc-sgml because it originates
 from the Linux Documentation Project (LDP).  The name has been changed
 into sgmltools to make it clearer that there is no Linux-specific
 stuff included in this package.
 .
 This is the latest version of the sgmltools series and the successor of
 sgmltools v2.
Tag: implemented-in::python, interface::commandline, role::program,
 scope::utility, use::converting, use::editing,
 works-with-format::docbook, works-with-format::html,
 works-with-format::pdf, works-with-format::postscript,
 works-with-format::sgml, works-with-format::tex, works-with::text
Section: text
Priority: optional
Filename: pool/main/s/sgmltools-lite/sgmltools-lite_3.0.3.0.cvs.20010909-16_all.deb

Package: sgrep
Version: 1.94a-4
Architecture: armhf
Maintainer: Uwe Steinmann 
Installed-Size: 200
Depends: libc6 (>= 2.4), m4
Priority: optional
Section: text
Filename: pool/main/s/sgrep/sgrep_1.94a-4_armhf.deb
Size: 94412
SHA256: 9dd6ac6a5ec1fa0026a864e07716d270e3e1b77d822001d4e217838f16bafbc4
SHA1: f57482c931cbf58229a93065d696a58f77bbbe3b
MD5sum: 1a0c89fc96f85d690414d27f24cb7a1a
Description: tool to search a file for structured pattern
 A tool for searching text files and filtering text streams for structured
 criteria. Sgrep implements a query language based on so called region
 expressions.
 .
 Like grep, sgrep can be used for any kind of text files. However it is most
 useful for text files containing some kind of structured text. A file
 containing structured text could be defined as a file, which obeys some syntax.
 Examples of structured text files are SGML, HTML, C, TeX and mail files.

Package: sgt-puzzles
Version: 9411-1
Architecture: armhf
Maintainer: Ben Hutchings 
Installed-Size: 2268
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0)
Recommends: yelp | khelpcenter | www-browser
Homepage: http://www.chiark.greenend.org.uk/~sgtatham/puzzles/
Priority: extra
Section: games
Filename: pool/main/s/sgt-puzzles/sgt-puzzles_9411-1_armhf.deb
Size: 1327308
SHA256: ab4a61e78ebec77e79557d835643e97f39aa28ad93ad04e419976d1033930d16
SHA1: f654cf69f100fa675141ff21a0681de72efbed8d
MD5sum: bd15978fd4dc10e0d75dbdca9378d020
Description: Simon Tatham's Portable Puzzle Collection - 1-player puzzle games
 Simon Tatham's Portable Puzzle Collection contains a number of popular
 puzzle games for one player.  It currently consists of these games:
 .
  * Topological deduction games: Bridges; Loopy; Map; Net, based on FreeNet;
    Slant
  * Tile manipulation games: Cube; Fifteen; Flip; Netslide; Sixteen; Twiddle
  * Black Box
  * Dominosa
  * Filling, based on Fillomino
  * Galaxies, based on Tentai Show
  * Guess, based on Mastermind
  * Inertia
  * Keen, based on KenKen
  * Light Up
  * Magnets
  * Mines, based on Minesweeper
  * Pattern, an implementation of nonograms
  * Pearl, based on Masyu
  * Pegs, an implementation of several versions of peg solitaire
  * Range, based on Kurodoko
  * Rectangles, based on Divide by Squares
  * Same Game
  * Signpost, based on Pfeilpfad
  * Singles, based on Hitori
  * Solo, based on Sudoku
  * Tents
  * Towers, based on Skyscrapers
  * Unequal, based on Futoshiki
  * Untangle, based on Planarity

Package: shake
Version: 1.0.1-7
Installed-Size: 116
Maintainer: Enrico Tassi 
Architecture: all
Depends: lua5.1-leg, lua5.1-filesystem, lua5.1
Size: 26414
SHA256: dc0c43322be683a5ccfe9f8c338fdbb83ca3debb64d116a1bec847bd9d1a9966
SHA1: dd39c10a5b990f29b6d58f05dac51fc7b862587f
MD5sum: e3ce8763fcdaab52177180106e202a3b
Description: Testing engine for the Lua language version 5.1
 The shake utility is a simple and transparent test engine for Lua.
 .
 Shake gives concise reports when the tests succeed, while it prints detailed
 traces when tests fail.
 .
 Regular Lua test files, if written using only standard assert and print calls,
 can be run with shake with no modifications.
 .
 This package contains the shake command line utility, the Lua modules
 that implement shake functionalities and the documentation for both.
Homepage: http://shake.luaforge.net
Tag: devel::testing-qa, implemented-in::lua, role::program
Section: devel
Priority: optional
Filename: pool/main/s/shake/shake_1.0.1-7_all.deb

Package: shanty
Version: 3-4
Installed-Size: 32
Maintainer: Jorge Salamero Sanz 
Architecture: all
Depends: perl, libgd-gd2-perl | libgd-gd2-noxpm-perl
Size: 9362
SHA256: 85431986f01bd71c9b6ffb676712853b10d6f23fb226f76d02ca816942bbe284
SHA1: fef2a336c32c87862a4b92f9ea0530c59adb0830
MD5sum: 63fd17003c2071c8af28d09b38677d4d
Description: Makes a whopping great postscript file from an image and a text
 Shanty takes a text file and an image (PNG or JPG) and creates a PostScript
 file where one pixel in the image becomes one character in the PostScript.
 .
 You can use it for making posters with the source and logo of your favourite
 project or with the letter and a photograph of your favourite group.
Homepage: http://www.codebunny.org/coding/shanty/
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility, use::text-formatting, works-with-format::postscript,
 works-with::image, works-with::text
Section: graphics
Priority: optional
Filename: pool/main/s/shanty/shanty_3-4_all.deb

Package: shapelib
Version: 1.2.10-7
Architecture: armhf
Maintainer: Debian GIS Project 
Installed-Size: 142
Depends: libc6 (>= 2.13-28), libshp1
Multi-Arch: foreign
Homepage: http://shapelib.maptools.org/
Priority: optional
Section: graphics
Filename: pool/main/s/shapelib/shapelib_1.2.10-7_armhf.deb
Size: 41582
SHA256: 8f9f2503748c322d49a6a93cf3be83f6a08442373f5748de50d9e96a1d5068a0
SHA1: 44cc3f199ceb7fc61516a51aef240447271b98e8
MD5sum: 447c8f03154f2b871ebbc1bb3fad393a
Description: Library for reading and writing ArcView Shapefiles - tools
 The Shapefile format is a working and interchange format promoted by
 ESRI for simple vector data with attributes. It is apparently the only
 file format that can be edited in ARCView 2/3, and can also be exported
 and imported in Arc/Info.
 .
 This package contains a useful set of tools to manage shapefiles.

Package: shaperd
Version: 0.2.1-5.2
Architecture: armhf
Maintainer: RISKO Gergely 
Installed-Size: 124
Depends: iptables, libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6)
Priority: optional
Section: admin
Filename: pool/main/s/shaperd/shaperd_0.2.1-5.2_armhf.deb
Size: 39600
SHA256: 997bdf8625027877d2478137bd892cd7eeadbc8a9daa8a5b58c60a5a0d264ca3
SHA1: 5c082b527b0ccd3765f3358b9ea0b2b86b80c6c1
MD5sum: 72565476f481efb7e3e96a18a5101e39
Description: A user-mode traffic shaper for tcp-ip networks
 Shaperd is a user-mode program that can shape traffic passing through
 a Linux box. As it runs as a normal daemon, some kind of packet-forwarding
 mechanism is needed. This can be done with the BSD divert sockets patch
 for Linux 2.2, or with netfilter's built-in libipq under Linux 2.4.

Package: shapetools
Version: 1.4pl6-11
Architecture: armhf
Maintainer: Matthias Klose 
Installed-Size: 237
Depends: atfs (= 1.4pl6-11), libatfs1 (>= 1.4pl6), libc6 (>= 2.12)
Homepage: http://swt.cs.tu-berlin.de/~shape/index.html
Priority: optional
Section: devel
Filename: pool/main/s/shapetools/shapetools_1.4pl6-11_armhf.deb
Size: 110764
SHA256: 009b5af50a9ea1d46276f51580234027f999a7198f598e53baf7ba30fc684e4b
SHA1: bd29711b7a5525923e944e1e389ad75652699db1
MD5sum: 2c2d075bcd68c16d04d8f536f3223bee
Description: Configuration and Release management using AtFS
 ShapeTools is a collection of programs to support software
 configuration management in an UNIX environment. It consists of a set
 of version and attribute control commands, and a configuration
 interpreter and build tool ("shape"). The toolkit is integrated on top
 of the Attributed File System (AtFS).
 .
 ShapeTools is designed to live meaningfully together
 with any other UNIX tool operating on regular files. This distribution
 also contains a prototype for a comprehensive change control and
 release management system designed to manage the evolution of system
 releases in multi programmer software development efforts.

Package: shared-desktop-ontologies
Version: 0.10.0-1
Installed-Size: 664
Maintainer: Debian Krap Maintainers 
Architecture: all
Size: 130414
SHA256: aa4c26f04307d2d92a7aedc886a7660397ddc3cf1ff03de8ecd2d00d4aded4a2
SHA1: 562e368c637f6cfb8e8c1c24d7d822fa32d5decf
MD5sum: e34573557430a33b3f2cef78573faa2c
Description: shared ontologies for semantic searching
 This package provides a set of metadata ontologies in TRIG format for use
 in semantic searching for the desktop.
 .
 It contains the well known core ontologies such as RDF and RDFS as well as
 the Nepomuk ontologies which are used by projects like KDE and Strigi.
Homepage: http://oscaf.sourceforge.net
Tag: made-of::TODO, role::data
Section: misc
Priority: optional
Filename: pool/main/s/shared-desktop-ontologies/shared-desktop-ontologies_0.10.0-1_all.deb

Package: shared-mime-info
Version: 1.0-1
Architecture: armhf
Maintainer: Sebastian Dröge 
Installed-Size: 4079
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libxml2 (>= 2.7.4)
Conflicts: libglib2.0-0 (<< 2.17.2), libgnomevfs2-0 (<< 1:2.24.0), tracker (<< 0.6.90)
Multi-Arch: foreign
Homepage: http://freedesktop.org/wiki/Software/shared-mime-info
Priority: optional
Section: misc
Filename: pool/main/s/shared-mime-info/shared-mime-info_1.0-1_armhf.deb
Size: 1065360
SHA256: 95e20fe61610d910782afd2263dde59441bb59182fc83fb0065df11aece3bda4
SHA1: 96829e468833584e6ebf42309e0eb2c1dc561c83
MD5sum: 78219629df69f3993ae345ebdac86a68
Description: FreeDesktop.org shared MIME database and spec
 This is the shared MIME-info database from the X Desktop Group. It is required
 by any program complying to the Shared MIME-Info Database spec, which is also
 included in this package.
 .
 At this time at least ROX, GNOME, KDE and XFCE use this database.

Package: sharutils
Version: 1:4.11.1-1
Architecture: armhf
Maintainer: Santiago Vila 
Installed-Size: 862
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), dpkg (>= 1.15.4) | install-info
Suggests: bsd-mailx | mailx
Conflicts: shar, uuencode
Homepage: http://www.gnu.org/software/sharutils/
Priority: optional
Section: utils
Filename: pool/main/s/sharutils/sharutils_4.11.1-1_armhf.deb
Size: 192646
SHA256: 1c4866b02311ef9df50083b882d81ae635a3a34b05c309d0f3513b9d61f30304
SHA1: 669400c15f29bd95d20b8fa7d4b1badfb7f596a8
MD5sum: be10fd522c9c6221d2ca983a8708e6b7
Description: shar, unshar, uuencode, uudecode
 `shar' makes so-called shell archives out of many files, preparing
 them for transmission by electronic mail services.  `unshar' helps
 unpacking shell archives after reception.  Other related utility
 programs help with other tasks.
 .
 `uuencode' prepares a file for transmission over an electronic
 channel which ignores or otherwise mangles the eight bit (high
 order bit) of bytes.  `uudecode' does the converse transformation.

Package: sharutils-doc
Source: sharutils
Version: 1:4.11.1-1
Installed-Size: 137
Maintainer: Santiago Vila 
Architecture: all
Size: 30530
SHA256: f34910117d99e7112f40f550b105793e806f8b57f8893d13b99e911b4f85839e
SHA1: 9d078aed65801ebd02e0fb4e29da69fd736aa3f4
MD5sum: a0bf0de24e25a010def152eb1f6820b3
Description: Documentation for GNU sharutils
 This package contains the HTML documentation for GNU sharutils.
Homepage: http://www.gnu.org/software/sharutils/
Tag: interface::commandline, made-of::html, role::documentation, suite::gnu,
 works-with::archive
Section: doc
Priority: optional
Filename: pool/main/s/sharutils/sharutils-doc_4.11.1-1_all.deb

Package: shatag
Version: 0.4-2
Installed-Size: 36
Maintainer: Didier Raboud 
Architecture: all
Depends: python3 (>= 3.2), python3.2, python3-pyxattr, python3-yaml, python3-pyinotify
Size: 16492
SHA256: eefeeaf497559d0bdffdacd507315639fc5255053677886b964a0a6e9cec35a9
SHA1: 10334833dd76f580ffaa46f3be4924172277293e
MD5sum: 9086788a50e190faa8386d46aeb028d9
Description: tool to store file checksums in extended attributes, and work with them
 Shatag is a tool for computing and caching file checksums, and do
 remote duplicate detection. Files are compared on their SHA-256 hash to
 find duplicates, and will use filesystem extended attributes to cache
 the checksum values.
Homepage: https://bitbucket.org/maugier/shatag
Section: misc
Priority: extra
Filename: pool/main/s/shatag/shatag_0.4-2_all.deb

Package: shed
Version: 1.15-2
Architecture: armhf
Maintainer: Debian Forensics 
Installed-Size: 79
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5
Homepage: http://shed.sourceforge.net
Priority: optional
Section: admin
Filename: pool/main/s/shed/shed_1.15-2_armhf.deb
Size: 22700
SHA256: de16161cccdf385f800bbdb6935b70879c20c5901b7f2e29fb36aff7bbc75b93
SHA1: 5cebab1fcbe0223700a38aa236402567ae57ee16
MD5sum: f3edebe9cea9bc6455da4e9d2923c593
Description: A simple hex editor with a pico-style interface
 shed is an easy application for viewing and editing files in hex, octal,
 binary, or ascii text using ncurses. The interface is inspired by pico, another
 ncurses based text editor.

Package: shedskin
Version: 0.9.2-1
Installed-Size: 1191
Maintainer: Paul Boddie 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8)
Recommends: g++, make, python-dev, libgc-dev (>= 6.8), libpcre3-dev (>= 7.6)
Size: 252646
SHA256: 15ada7b486d21a7facb7232fadab0c93a8db862fe02487e3aec760851fdd503c
SHA1: 2e3d82c778e3ec6560abe5f6a0cf3b8ba3c68d74
MD5sum: fd169678cc25a5fa08739231244cab5e
Description: Python-to-C++ compiler designed to speed up Python programs
 Shed Skin converts programs written in a static subset of Python to C++.
 The C++ code can be compiled to executable code, which can be run either
 as a standalone program or as a module imported from Python.
Homepage: http://code.google.com/p/shedskin/
Section: python
Priority: optional
Filename: pool/main/s/shedskin/shedskin_0.9.2-1_all.deb

Package: shell-fm
Version: 0.7+git20100414-1
Architecture: armhf
Maintainer: Nacho Barrientos Arias 
Installed-Size: 145
Depends: libao4 (>= 1.1.0), libc6 (>= 2.13-28), libmad0 (>= 0.15.1b-3)
Suggests: zsh, python
Homepage: http://nex.scrapping.cc/shell-fm/
Priority: extra
Section: sound
Filename: pool/main/s/shell-fm/shell-fm_0.7+git20100414-1_armhf.deb
Size: 48658
SHA256: 4ff2c7be412f40a02f7a99eed6976a0b5f3a644e44847958bd86ff91a9aedb72
SHA1: fd65a673c3e6e98d0098efbc7c9d6d26cce31989
MD5sum: 602f1b8beed3ae1cf51574a002b5ad99
Description: console based player for last.fm radio streams
 Shell.FM is a lightweight and interactive console based player
 for last.fm radio streams (e.g. lastfm://globaltags/world)
 featuring proxy support and bookmarks saving.
 .
 You need an account in order to use this player, so please
 consider create one for free before using Shell.FM at
 http://last.fm. In some countries subscription fees may apply,
 see Last.fm website for additional details.

Package: shelldap
Version: 0.5-2
Installed-Size: 88
Maintainer: Salvatore Bonaccorso 
Architecture: all
Depends: perl, libyaml-syck-perl, libterm-shell-perl, libnet-ldap-perl, libalgorithm-diff-perl, libterm-readkey-perl, libterm-readline-gnu-perl
Recommends: libio-socket-ssl-perl
Size: 25574
SHA256: b2e7f22a649e29ff9f7b4fcd40f1e205b214a70427dc18ee47c9e63c4f74f3af
SHA1: fb25e6b44d1a7dd219bcac41605287c0d0acf2c5
MD5sum: 5e94b290d7ba79a2c0aa4a035bf26e90
Description: shell-like interface for browsing LDAP servers and editing their content
 Shelldap lets you browse and edit an LDAP tree as if it were a filesystem.  It
 keeps command history, has sane autocompletes, credential caching, site-wide
 and individual configs, and it's fun to say. Shelldap! Shelldap! Shelldap!
Homepage: http://projects.martini.nu/shelldap
Tag: implemented-in::perl, interface::commandline, protocol::ldap,
 role::program, scope::utility
Section: utils
Priority: optional
Filename: pool/main/s/shelldap/shelldap_0.5-2_all.deb

Package: shellinabox
Version: 2.14-1
Architecture: armhf
Maintainer: Marc Singer 
Installed-Size: 427
Depends: libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), adduser, lsb-base
Suggests: openssl
Homepage: http://shellinabox.com
Priority: optional
Section: web
Filename: pool/main/s/shellinabox/shellinabox_2.14-1_armhf.deb
Size: 133958
SHA256: d09dc73356e2ec25232638dbd6d441a6534b9b0d4a5f375b06338ae6ddbf1f51
SHA1: ba2ac4956c476207deb000a2e6b7f57846558e85
MD5sum: 8f58c07903cffe4f21ced3620e28481a
Description: publish command line shell through AJAX interface
 Shellinabox can export arbitrary command line programs to any JavaScript
 enabled web browser. By default, it prompts for username and password
 and then exports a SSL/TLS encrypted login shell. Shellinabox provides
 a VT100 compatible terminal emulator that runs within any modern browser.

Package: shelltestrunner
Version: 1.2.1-3
Architecture: armhf
Maintainer: Debian Haskell Group 
Installed-Size: 18721
Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10
Homepage: http://hackage.haskell.org/package/shelltestrunner
Priority: extra
Section: haskell
Filename: pool/main/s/shelltestrunner/shelltestrunner_1.2.1-3_armhf.deb
Size: 4019244
SHA256: 11b1ee396900753b21e2f157ec9c6a1cd713d8e49a96daa3cb1bea779c3c15e0
SHA1: 82ce90f66dc3fd87fff4cf8dcecf3da3d62347dd
MD5sum: 8c9f8162d796da7bd6e8d9e60d457482
Description: test command-line programs or arbitrary shell commands
 shelltestrunner is a cross-platform tool for testing command-line
 programs (or arbitrary shell commands). It reads simple declarative
 tests specifying a command, some input, and the expected output,
 error output and exit status. Tests can be run selectively, in
 parallel, with a timeout, in color, and/or with differences
 highlighted.

Package: shelr
Version: 0.16.2-1
Installed-Size: 23
Maintainer: Antono Vasiljev 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-json | libjson-ruby, sox
Suggests: ttyrec
Size: 10710
SHA256: 36e964a3478b37d8c2ac0ac8f5fdc1e73737bf07bf693051ababc7d402930d33
SHA1: a763212201b2db9bdf5dd03dc56baec49013998e
MD5sum: 7f1c123dc3d4a1831873549c817c730a
Description: Utility for plain text screencasting
 Shelr allows one to record, replay and share your terminal session.
 It works almost the same as script or ttyrec but adds some useful
 features on top of them. Optionally you can share your recordings at
 http://shelr.tv/ or via email.
Homepage: http://shelr.tv/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/s/shelr/shelr_0.16.2-1_all.deb

Package: shelxle
Version: 1.0.564-1
Architecture: armhf
Maintainer: Debichem Team 
Installed-Size: 5658
Depends: libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libgomp1 (>= 4.2.1), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0)
Homepage: http://ewald.ac.chemie.uni-goettingen.de/shelx/
Priority: optional
Section: science
Filename: pool/main/s/shelxle/shelxle_1.0.564-1_armhf.deb
Size: 4668224
SHA256: 2876b1cb7d7e64be87d64a241ac8a101fdfff2949f035829de6216ede4eeb21c
SHA1: 74f9b8ae83f1baff706d2d90023fbe9acbfc9d34
MD5sum: 6c4639edeb9cf8088f617cca8c8c67ae
Description: graphical user interface for SHELXL
 ShelXle combines an editor with syntax highlighting for the
 SHELXL-associated .ins (input) and .res (output) files with an interactive
 graphical display for visualization of a three-dimensional structure including
 the electron density (Fo) and difference density (Fo-Fc) maps.
 .
 http://dx.doi.org/10.1107/S0021889811043202

Package: shibboleth-sp2-schemas
Source: shibboleth-sp2
Version: 2.4.3+dfsg-5+deb7u2
Installed-Size: 135
Maintainer: Debian Shib Team 
Architecture: all
Conflicts: libapache2-mod-shib
Size: 23456
SHA256: d035a3edb2e417cce8e9daaf4f21a7da2e73dc7aad2e462775912a328773cc5f
SHA1: bf1b0f3e7a088ab53a422ff084ba1b8532170a67
MD5sum: d94eea92cb6cb83b978ce07eef4ccd06
Description: Federated web single sign-on system (schemas)
 The Shibboleth System is a standards based software package for web
 single sign-on across or within organizational boundaries.  It supports
 authorization and attribute exchange using the OASIS SAML 2.0 protocol.
 Shibboleth allows sites to make informed authorization decisions for
 individual access of protected online resources while allowing users to
 establish their identities with their local authentication systems.
 .
 This package contains the additional schemas used by the Shibboleth SP.
Homepage: http://shibboleth.internet2.edu/
Section: text
Priority: extra
Filename: pool/main/s/shibboleth-sp2/shibboleth-sp2-schemas_2.4.3+dfsg-5+deb7u2_all.deb

Package: shiboken
Version: 1.1.1-1
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 1740
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-xml (>= 4:4.7.0), libqt4-xmlpatterns (>= 4:4.7.0), libqtcore4 (>= 4:4.7.0), libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25)
Homepage: http://www.pyside.org/
Priority: optional
Section: devel
Filename: pool/main/s/shiboken/shiboken_1.1.1-1_armhf.deb
Size: 871592
SHA256: 30579d91114c483afb01d677ab329ddd201459f16596d14cd38ab5ae8c05ed9b
SHA1: 37873767c43b4678f2e0f2b00f8d5d546ada29c0
MD5sum: f98137a05002ef811dc652431668b058
Description: CPython bindings generator for C++ libraries
 Shiboken is a bindings generator for C++ libraries that outputs CPython
 source code. It collects information from library headers, and then
 merges modifications and handwritten code defined in the typesystem
 description.
 .
 Shiboken is the binding generator used to create the PySide bindings.

Package: shiboken-dbg
Source: shiboken
Version: 1.1.1-1
Architecture: armhf
Maintainer: Debian Python Modules Team 
Installed-Size: 11720
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), python2.6-dbg (>= 2.6), python2.7-dbg (>= 2.7), python3.2-dbg (>= 3.2~a4), libshiboken1.1 (= 1.1.1-1), shiboken (= 1.1.1-1)
Homepage: http://www.pyside.org/
Priority: extra
Section: debug
Filename: pool/main/s/shiboken/shiboken-dbg_1.1.1-1_armhf.deb
Size: 8330756
SHA256: dd452ca67f9fd68474d92e5ebe245548b9998f82bce835e70032e7e81641b9ff
SHA1: 1402c961591d395378369c5671aef0a143625e22
MD5sum: d93620f1ff36948b73697f8145114dea
Description: debugging symbols for shiboken and its library
 Shiboken is a bindings generator for C++ libraries that outputs CPython
 source code. It collects information from library headers, and then
 merges modifications and handwritten code defined in the typesystem
 description.
 .
 This package contains the debugging symbols for shiboken and libshiboken.

Package: shiboken-doc
Source: shiboken
Version: 1.1.1-1
Installed-Size: 678
Maintainer: Debian Python Modules Team 
Architecture: all
Depends: libjs-sphinxdoc (>= 1.0)
Size: 427566
SHA256: 6491d881b4b02150233d9f64270cd82bc9d51a7128b5e49f747f39974fcbd47c
SHA1: 4e9f65ef0e4ac3ad7b5e2652fc9ee81532805ff4
MD5sum: 97e8dee8861cff3844b777630c95bbde
Description: CPython bindings generator for C++ libraries documentation
 Shiboken is a bindings generator for C++ libraries that outputs CPython
 source code. It collects information from library headers, and then
 merges modifications and handwritten code defined in the typesystem
 description.
 .
 Shiboken is the binding generator used to create the PySide bindings; this
 package contains its documentation.
Homepage: http://www.pyside.org/
Tag: devel::doc, devel::lang:c++, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/shiboken/shiboken-doc_1.1.1-1_all.deb

Package: shiki-brave-theme
Source: shiki-colors-murrine
Version: 4.6-1
Installed-Size: 92
Maintainer: GNOME-Colors Packagers 
Architecture: all
Depends: gnome-brave-icon-theme, gtk2-engines-murrine (>= 0.90.3), shiki-colors-metacity-theme | shiki-colors-xfwm-theme
Suggests: arc-brave
Size: 10706
SHA256: 8c99bf9d03660d77487ac758f2bb6182b8206fe9a01c7c09dcc4aa276f57d7b1
SHA1: f576d0672f0e17a282b4aa240b7866d0a85f754b
MD5sum: f7c5fb7c47453e5f2fa6da35b1ca9835
Description: blue variation of the Shiki-Colors theme
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the Shiki-Brave (Blue) GTK-2+ theme.
Homepage: http://code.google.com/p/gnome-colors/
Tag: made-of::icons, role::app-data, x11::theme
Section: gnome
Priority: optional
Filename: pool/main/s/shiki-colors-murrine/shiki-brave-theme_4.6-1_all.deb

Package: shiki-colors
Source: shiki-colors-murrine
Version: 4.6-1
Installed-Size: 236
Maintainer: GNOME-Colors Packagers 
Architecture: all
Depends: shiki-brave-theme, shiki-dust-theme, shiki-human-theme, shiki-illustrious-theme, shiki-noble-theme, shiki-wine-theme, shiki-wise-theme
Recommends: gnome-colors
Suggests: arc-colors
Size: 185638
SHA256: 97f6cb69cd3662440de23aaab0a9801cd9f4d1dce66d071cf43457b9ac13bd5a
SHA1: 6b0bcd091d1a445c2fbb98ea3d4836bbbae9d3fe
MD5sum: 7e0f5ec5eb75a58f7658d050f31c6667
Description: set of Metacity/GTK-2+ themes
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides seven variations of the Shiki-Colors (Murrine) theme:
 .
 Shiki-Brave (Blue), Shiki-Dust (Chocolate), Shiki-Human (Orange),
 Shiki-Illustrious (Pink),
 Shiki-Noble (Purple), Shiki-Wine (Red), and Shiki-Wise (Green)
Homepage: http://code.google.com/p/gnome-colors/
Tag: role::app-data, role::metapackage, uitoolkit::gtk, x11::theme
Section: gnome
Priority: optional
Filename: pool/main/s/shiki-colors-murrine/shiki-colors_4.6-1_all.deb

Package: shiki-colors-metacity-theme
Source: shiki-colors-murrine
Version: 4.6-1
Installed-Size: 264
Maintainer: GNOME-Colors Packagers 
Architecture: all
Depends: metacity
Size: 22270
SHA256: 22309d217960ceb4d967379548bc21fe879e690d6c495b4cf349c9011d56545e
SHA1: 7aa4f8bbf2347273eae7406c3c008ab978eb1622
MD5sum: bbe904f95b95fe6d4f374d0b93d263d2
Description: set of Metacity themes
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the common Metacity themes.
Homepage: http://code.google.com/p/gnome-colors/
Tag: made-of::icons, role::app-data, x11::theme
Section: gnome
Priority: optional
Filename: pool/main/s/shiki-colors-murrine/shiki-colors-metacity-theme_4.6-1_all.deb

Package: shiki-colors-xfwm-theme
Source: shiki-colors-murrine
Version: 4.6-1
Installed-Size: 384
Maintainer: GNOME-Colors Packagers 
Architecture: all
Depends: xfwm4
Suggests: gnome-colors, shiki-colors
Size: 18234
SHA256: 58615d2e90e6aaa9c5478356dbd563a00d7ab15c806ebb5af96dfcedb92d34cf
SHA1: 9dc27edd8db86de11251db6251a1f4cb47e65ba5
MD5sum: b0a05d3ee172fa6c7836be466e7e9158
Description: Xfwm/Xfce4 theme based on the Shiki-Colors Metacity theme
 Shiki-Colors is a Xfwm theme which mix the elegance of a dark theme
 with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides a Xfwm port of the Shiki-Colors Metacity theme for use
 with the Xfce desktop.
Homepage: http://code.google.com/p/gnome-colors/
Tag: made-of::icons, role::app-data, x11::theme
Section: xfce
Priority: optional
Filename: pool/main/s/shiki-colors-murrine/shiki-colors-xfwm-theme_4.6-1_all.deb

Package: shiki-dust-theme
Source: shiki-colors-murrine
Version: 4.6-1
Installed-Size: 324
Maintainer: GNOME-Colors Packagers 
Architecture: all
Depends: gnome-dust-icon-theme, gtk2-engines-murrine (>= 0.90.3), metacity | xfwm4
Suggests: arc-dust
Size: 25746
SHA256: 851ad25da75b4305223554ae6a414fa91a3d435ae17be0a3dae64b1b18bf7bb3
SHA1: c704e69f9c5a7ee91cfb5020d2b093960df5d3f7
MD5sum: 980a89f9d1249072c25d0fd6768d9008
Description: chocolate variation of the Shiki-Colors theme
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the Shiki-Dust (Chocolate) GTK-2+ theme.
Homepage: http://code.google.com/p/gnome-colors/
Tag: made-of::icons, role::app-data, x11::theme
Section: gnome
Priority: optional
Filename: pool/main/s/shiki-colors-murrine/shiki-dust-theme_4.6-1_all.deb

Package: shiki-human-theme
Source: shiki-colors-murrine
Version: 4.6-1
Installed-Size: 92
Maintainer: GNOME-Colors Packagers 
Architecture: all
Depends: gnome-human-icon-theme, gtk2-engines-murrine (>= 0.90.3), shiki-colors-metacity-theme | shiki-colors-xfwm-theme
Suggests: arc-human
Size: 10706
SHA256: 8a6512725383a7236edfd86992ca27177f6882a7efc40ffcdf92fad2d87743e5
SHA1: 0b2899b8636e5d960ed0e2c936447ad9a600bc46
MD5sum: b0290ef4196e9772791b489851bc1c6c
Description: orange variation of the Shiki-Colors theme
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the Shiki-Human (Orange) GTK-2+ theme.
Homepage: http://code.google.com/p/gnome-colors/
Tag: made-of::icons, role::app-data, x11::theme
Section: gnome
Priority: optional
Filename: pool/main/s/shiki-colors-murrine/shiki-human-theme_4.6-1_all.deb

Package: shiki-illustrious-theme
Source: shiki-colors-murrine
Version: 4.6-1
Installed-Size: 92
Maintainer: GNOME-Colors Packagers 
Architecture: all
Depends: gnome-illustrious-icon-theme, gtk2-engines-murrine (>= 0.90.3), shiki-colors-metacity-theme | shiki-colors-xfwm-theme
Suggests: arc-illustrious
Size: 10716
SHA256: f0af7afc4f008b1cb630db498fff834cc8d4d906e16fbbd47ad086321aadbd67
SHA1: 9afc404027090c0d0167bf4bc3bc7dfc7224b41f
MD5sum: 63595b3aa81c28276d2af784d319809c
Description: pink variation of the Shiki-Colors theme
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the Shiki-Illustrious (Pink) GTK-2+ theme.
Homepage: http://code.google.com/p/gnome-colors/
Tag: made-of::icons, role::app-data, x11::theme
Section: gnome
Priority: optional
Filename: pool/main/s/shiki-colors-murrine/shiki-illustrious-theme_4.6-1_all.deb

Package: shiki-noble-theme
Source: shiki-colors-murrine
Version: 4.6-1
Installed-Size: 92
Maintainer: GNOME-Colors Packagers 
Architecture: all
Depends: gnome-noble-icon-theme, gtk2-engines-murrine (>= 0.90.3), shiki-colors-metacity-theme | shiki-colors-xfwm-theme
Suggests: arc-noble
Size: 10704
SHA256: 48665a9d46947d2fcd0e3fbaf9c601cc068124a46c5c62cc9a61c4b99d3a9914
SHA1: 3e065c97c196ce80cbbd171ab4afcb3137790b05
MD5sum: 9d8b984ce3761ad03da7faa3d5904f22
Description: purple variation of the Shiki-Colors theme
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the Shiki-Noble (Purple) GTK-2+ theme.
Homepage: http://code.google.com/p/gnome-colors/
Tag: made-of::icons, role::app-data, x11::theme
Section: gnome
Priority: optional
Filename: pool/main/s/shiki-colors-murrine/shiki-noble-theme_4.6-1_all.deb

Package: shiki-wine-theme
Source: shiki-colors-murrine
Version: 4.6-1
Installed-Size: 92
Maintainer: GNOME-Colors Packagers 
Architecture: all
Depends: gnome-wine-icon-theme, gtk2-engines-murrine (>= 0.90.3), shiki-colors-metacity-theme | shiki-colors-xfwm-theme
Suggests: arc-wine
Size: 10700
SHA256: 7ee3c2d20fe3aed7ae20c9f95f979dd757b0f1d10c18f717a9035e9e2d67225d
SHA1: 7388922fc1dd15cad023917a9352d05bb5eadd6d
MD5sum: b18f8a5da8e4c484a5d5c8424d5c3b77
Description: red variation of the Shiki-Colors theme
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the Shiki-Wine (Red) GTK-2+ theme.
Homepage: http://code.google.com/p/gnome-colors/
Tag: made-of::icons, role::app-data, x11::theme
Section: gnome
Priority: optional
Filename: pool/main/s/shiki-colors-murrine/shiki-wine-theme_4.6-1_all.deb

Package: shiki-wise-theme
Source: shiki-colors-murrine
Version: 4.6-1
Installed-Size: 92
Maintainer: GNOME-Colors Packagers 
Architecture: all
Depends: gnome-wise-icon-theme, gtk2-engines-murrine (>= 0.90.3), shiki-colors-metacity-theme | shiki-colors-xfwm-theme
Suggests: arc-wise
Size: 10704
SHA256: d2ced42e5660f3adecc2a32b239808080a4ca4aa0f87e9f62b108f00fd7a17d9
SHA1: fa4a81e57cd58565e2dc11573e46c6c54fd0bbc5
MD5sum: 3b464a9a5fac5e7fa0d83ee4758aca5d
Description: green variation of the Shiki-Colors theme
 Shiki-Colors is a set of Metacity/GTK-2+ themes which mix the elegance of
 a dark theme with the usability of a light theme, resulting in a hybrid theme.
 .
 This package provides the Shiki-Wise (Green) GTK-2+ theme.
Homepage: http://code.google.com/p/gnome-colors/
Tag: made-of::icons, role::app-data, x11::theme
Section: gnome
Priority: optional
Filename: pool/main/s/shiki-colors-murrine/shiki-wise-theme_4.6-1_all.deb

Package: shinken
Version: 0.6.5-2
Installed-Size: 56
Maintainer: Arthur Gautier 
Architecture: all
Depends: shinken-arbiter (= 0.6.5-2), shinken-broker (= 0.6.5-2), shinken-discovery (= 0.6.5-2), shinken-poller (= 0.6.5-2), shinken-reactionner (= 0.6.5-2), shinken-receiver (= 0.6.5-2), shinken-scheduler (= 0.6.5-2)
Size: 14740
SHA256: 2bb7752ed8d8e8bbb287ea2e009c526801184d53da7619710894fac30e27da81
SHA1: f2cd129e83eff59a699523139bda9b0bfe5651a0
MD5sum: ccfdb4ef9519b78b5a80cfe238f87a82
Description: Flexible monitoring tool - Meta-package
 This package installs all components for shinken.
 Shinken is a new, Nagios compatible monitoring tool, written in Python. The
 main goal of Shinken is to allow users to have a fully flexible architecture
 for their monitoring system that can easily scale to large environments.
Homepage: http://www.shinken-monitoring.org/
Tag: admin::monitoring, role::metapackage, use::monitor
Section: net
Priority: extra
Filename: pool/main/s/shinken/shinken_0.6.5-2_all.deb

Package: shinken-arbiter
Source: shinken
Version: 0.6.5-2
Installed-Size: 248
Maintainer: Arthur Gautier 
Architecture: all
Depends: python (>= 2.6.6-7~), shinken-core (= 0.6.5-2), python2.6 | python2.7, python (<< 2.8)
Size: 29602
SHA256: 289043506ae33699ec81f3260c1f23663c9b764a2d5d876e9683050d6e5514ad
SHA1: 35e01b83ecbf13370051f202870b5177604a00c5
MD5sum: 5a35e9bb8aabed26cd40b167b425f3b2
Description: Flexible monitoring - Arbiter module
 Arbiter module for shinken monitoring.
 .
 This module dispatchs configuration to other components envolved in shinken
 infrastructure.
 .
 Shinken is a new, Nagios compatible monitoring tool, written in Python. The
 main goal of Shinken is to allow users to have a fully flexible architecture
 for their monitoring system that can easily scale to large environments.
Homepage: http://www.shinken-monitoring.org/
Tag: implemented-in::python, role::plugin
Section: net
Priority: extra
Filename: pool/main/s/shinken/shinken-arbiter_0.6.5-2_all.deb

Package: shinken-broker
Source: shinken
Version: 0.6.5-2
Installed-Size: 1060
Maintainer: Arthur Gautier 
Architecture: all
Depends: python (>= 2.6.6-7~), python-mysqldb, python-redis, python-memcache, shinken-core (= 0.6.5-2), python2.6 | python2.7, python (<< 2.8)
Size: 109526
SHA256: 5c6d5e130f447004f09aef2bfcfe18a2c168037406abe9f65f4008135f5805d1
SHA1: 1fbfe47c622e2cec88bdf094022c1e46e9201518
MD5sum: d5475c07751440cf560d40d78aa1235a
Description: Flexible monitoring - Broker module
 Broker module for shinken monitoring. Broker module gets status from other
 components and manage it (store in database, export to other systems,
 provide remote RPC, ...).
 .
 Shinken is a new, Nagios compatible monitoring tool, written in Python. The
 main goal of Shinken is to allow users to have a fully flexible architecture
 for their monitoring system that can easily scale to large environments.
Homepage: http://www.shinken-monitoring.org/
Tag: implemented-in::python, role::plugin
Section: net
Priority: extra
Filename: pool/main/s/shinken/shinken-broker_0.6.5-2_all.deb

Package: shinken-core
Source: shinken
Version: 0.6.5-2
Installed-Size: 1604
Maintainer: Arthur Gautier 
Architecture: all
Depends: adduser, lsb-base, grep (>= 2.6.3-3), python (>= 2.6.6-7~), pyro (>= 1:3.9.1-2), python-simplejson, python-pysqlite2, python2.6 | python2.7, python (<< 2.8)
Size: 242348
SHA256: bed44ab728720c6b7ff452c08aa81334fac93e3c2cb10c24083141e0cc147029
SHA1: f2169668fd08cba0a2394d906c2d3fdc93ea9524
MD5sum: f9b8dc316cf4a5cc073aa1d88bac634f
Description: Flexible monitoring - Core component
 Core component for shinken monitoring.
 .
 Shinken is a new, Nagios compatible monitoring tool, written in Python. The
 main goal of Shinken is to allow users to have a fully flexible architecture
 for their monitoring system that can easily scale to large environments.
Homepage: http://www.shinken-monitoring.org/
Section: net
Priority: extra
Filename: pool/main/s/shinken/shinken-core_0.6.5-2_all.deb

Package: shinken-discovery
Source: shinken
Version: 0.6.5-2
Installed-Size: 88
Maintainer: Arthur Gautier 
Architecture: all
Depends: python (>= 2.6.6-3~), shinken-core (= 0.6.5-2)
Size: 19784
SHA256: f7b7f4d11a2be8385899a18826501d60bd68e77e987215f08d5745f49841bdb7
SHA1: 64fc45722e3d2afc239c27d83d73f7998ec42149
MD5sum: 00727b8aff004f3181c9741d7ba40071
Description: Flexible monitoring - Discovery module
 Discovery module for shinken monitoring. It tries to discover other systems
 around and configure shinken with the results.
 .
 Shinken is a new, Nagios compatible monitoring tool, written in Python. The
 main goal of Shinken is to allow users to have a fully flexible architecture
 for their monitoring system that can easily scale to large environments.
Homepage: http://www.shinken-monitoring.org/
Section: net
Priority: extra
Filename: pool/main/s/shinken/shinken-discovery_0.6.5-2_all.deb

Package: shinken-poller
Source: shinken
Version: 0.6.5-2
Installed-Size: 208
Maintainer: Arthur Gautier 
Architecture: all
Depends: python (>= 2.6.6-7~), shinken-core (= 0.6.5-2), python2.6 | python2.7, python (<< 2.8)
Suggests: nagios-plugins-basic
Size: 23266
SHA256: 350b967bc61c3e86650be12133b166546ccb7e0651a6b64b2339a2b677f63507
SHA1: e9ae0d13bd7bc8a374e6fbf4305e7adea8948b05
MD5sum: c3df6ce917f4655207b2c7eaa87886db
Description: Flexible monitoring - Poller module
 Poller module for shinken monitoring. This module actually do checks and
 request your infrastructure components.
 .
 Shinken is a new, Nagios compatible monitoring tool, written in Python. The
 main goal of Shinken is to allow users to have a fully flexible architecture
 for their monitoring system that can easily scale to large environments.
Homepage: http://www.shinken-monitoring.org/
Section: net
Priority: extra
Filename: pool/main/s/shinken/shinken-poller_0.6.5-2_all.deb

Package: shinken-reactionner
Source: shinken
Version: 0.6.5-2
Installed-Size: 156
Maintainer: Arthur Gautier 
Architecture: all
Depends: python (>= 2.6.6-7~), bsd-mailx | mailx, shinken-core (= 0.6.5-2), python2.6 | python2.7, python (<< 2.8)
Size: 18146
SHA256: 66c5e1894e2033b5a7e5cf410803a58b20749efb87b033698889289536948806
SHA1: 5f099172740160a82c2adddd0a27f9e483507403
MD5sum: 4f149b938f4a9dd053cbf62a1866dad9
Description: Flexible monitoring - Reactionner module
 Reactionner module for shinken monitoring. This module handles notifications
 like sending emails, alerting you through irc, jabber or sms. It may launch
 commands on envolved computers or relaunch services.
 .
 Shinken is a new, Nagios compatible monitoring tool, written in Python. The
 main goal of Shinken is to allow users to have a fully flexible architecture
 for their monitoring system that can easily scale to large environments.
Homepage: http://www.shinken-monitoring.org/
Section: net
Priority: extra
Filename: pool/main/s/shinken/shinken-reactionner_0.6.5-2_all.deb

Package: shinken-receiver
Source: shinken
Version: 0.6.5-2
Installed-Size: 164
Maintainer: Arthur Gautier 
Architecture: all
Depends: python (>= 2.6.6-7~), shinken-core (= 0.6.5-2), python2.6 | python2.7, python (<< 2.8)
Size: 20732
SHA256: 450529dde2cca6d07c4df67880f7a5312599596b225e000e51acef5af020be42
SHA1: c837568e92310651861beff84175223200d495da
MD5sum: 64d6ddb611898af2d4435b6c05dda17e
Description: Flexible monitoring - Receiver module
 Receiver module for shinken monitoring. It may be used to receive NSCA
 information and passive checks from servers.
 .
 Shinken is a new, Nagios compatible monitoring tool, written in Python. The
 main goal of Shinken is to allow users to have a fully flexible architecture
 for their monitoring system that can easily scale to large environments.
Homepage: http://www.shinken-monitoring.org/
Section: net
Priority: extra
Filename: pool/main/s/shinken/shinken-receiver_0.6.5-2_all.deb

Package: shinken-scheduler
Source: shinken
Version: 0.6.5-2
Installed-Size: 268
Maintainer: Arthur Gautier 
Architecture: all
Depends: python (>= 2.6.6-7~), python-redis, python-memcache, shinken-core (= 0.6.5-2), python2.6 | python2.7, python (<< 2.8)
Size: 28348
SHA256: bb2a436e78cfac8bb540a92519ac7a35b2f6b594b454cf8f2f1f937203207cf7
SHA1: 862a2e70a6b3e998c7106de5547d54d4e783ef7f
MD5sum: 6b0d142c29daeaa3be75f8e7077a1317
Description: Flexible monitoring - Scheduler module
 Scheduler module for shinken monitoring. Scheduler drives checks from poller.
 .
 Shinken is a new, Nagios compatible monitoring tool, written in Python. The
 main goal of Shinken is to allow users to have a fully flexible architecture
 for their monitoring system that can easily scale to large environments.
Homepage: http://www.shinken-monitoring.org/
Section: net
Priority: extra
Filename: pool/main/s/shinken/shinken-scheduler_0.6.5-2_all.deb

Package: shisa
Source: shishi
Version: 1.0.1-2
Architecture: armhf
Maintainer: Debian Shishi Team 
Installed-Size: 197
Depends: libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgpg-error0 (>= 1.10), libidn11 (>= 1.13), libshisa0 (>= 0.0.39), libshishi0 (>= 0.0.30), libtasn1-3 (>= 1.6-0)
Suggests: shishi-doc
Homepage: http://www.gnu.org/software/shishi/
Priority: extra
Section: net
Filename: pool/main/s/shishi/shisa_1.0.1-2_armhf.deb
Size: 142508
SHA256: 215be0a8642aa2f268cf07732d29dababa0589ed0bd5e238c97d300c05fef16d
SHA1: 63e069697f990422d43a672f4eef58d17278e955
MD5sum: 2fad45c2971aed8a5ccc2764f317b043
Description: Administration utility for the Shishi Kerberos v5 KDC database
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 This package contain the admin tool to create and modify the user
 database used by the Shishi daemon.

Package: shisen.app
Version: 1.2.1-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 804
Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1)
Homepage: http://www.gnustep.it/enrico/gshisen/
Priority: optional
Section: games
Filename: pool/main/s/shisen.app/shisen.app_1.2.1-1_armhf.deb
Size: 54970
SHA256: 14bf9cfa01becbb6c2997bf08867b489276ea9a1d59d010acf079d09555644c8
SHA1: e34c7c33ff7cddb8f11dcb7b6bcdb7bb673806aa
MD5sum: a1b58507b63184ed3d31f1a03aa215c3
Description: Shisen-sho puzzle game for GNUstep
 The object of the game is to remove all tiles from the field. Only two
 matching tiles can be removed at a time. Two tiles can only be removed
 if they can be connected with at most three connected lines. Lines can
 be horizontal or vertical but not diagonal. Remember that lines may
 cross the empty border. If you are stuck, you can use the Hint feature
 to find two tiles which may be removed.

Package: shishi
Version: 1.0.1-2
Architecture: armhf
Maintainer: Debian Shishi Team 
Installed-Size: 239
Depends: libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgpg-error0 (>= 1.10), libidn11 (>= 1.13), libshishi0 (>= 0.0.42), libtasn1-3 (>= 1.6-0)
Suggests: shishi-doc
Homepage: http://www.gnu.org/software/shishi/
Priority: extra
Section: net
Filename: pool/main/s/shishi/shishi_1.0.1-2_armhf.deb
Size: 156416
SHA256: b9ad2bdee7df39d5116dabb571ec6e34a454327e76ce7dd600ecd82e9bb25e06
SHA1: d85e452efa51948d0d8578cfe5e16b354e16f881
MD5sum: a6c624db9dcae89f37752de20bd16ae2
Description: Command line client for the Shishi Kerberos v5 implementation
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 Shishi can be used to authenticate users in distributed systems.
 .
 Shishi contains a library ('libshishi') that can be used by
 application developers to add support for kerberos v5.  Shishi
 contains a command line utility ('shishi') that is used by users to
 acquire and manage tickets (and more).  The server side, a Key
 Distribution Center, is implemented by 'shishid'.  Of course, a
 manual documenting usage aspects as well as the programming API is
 included.
 .
 Shishi currently supports AS/TGS exchanges for acquiring tickets, the
 AP exchange for performing client and server authentication, and
 SAFE/PRIV for integrity/privacy protected application data exchanges.
 .
 Shishi is internationalized; error and status messages can be
 translated into the users' language; user name and passwords can be
 converted into any available character set (normally including
 ISO-8859-1 and UTF-8) and also be processed using an experimental
 Stringprep profile.
 .
 Most, if not all, of the widely used encryption and checksum types
 are supported, such as ARCFOUR, 3DES, AES and HMAC-SHA1.
 .
 This package includes a few command line tools:
  shishi -- Acquire and manage Kerberos tickets.
  keytab2shishi -- Convert MIT/Heimdal /etc/krb5.keytab's to Shishi format.
  ccache2shishi -- Convert MIT/Heimdal user ticket files to Shishi format.

Package: shishi-common
Source: shishi
Version: 1.0.1-2
Installed-Size: 325
Maintainer: Debian Shishi Team 
Architecture: all
Size: 149098
SHA256: b33bcc1585f0266a38d51432052b0eac09d05668d17d4b3f6e6833631ce7557b
SHA1: f44fb3b95bb2adeacba6034624fcf6433e370171
MD5sum: c6c67ed60849a6da8e383ece42eea576
Description: Platform independent files for Shishi
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 This package contains configuration files and translation of
 diagnostic messages.
Homepage: http://www.gnu.org/software/shishi/
Tag: protocol::kerberos, role::app-data, suite::gnu, use::configuring
Section: libs
Priority: extra
Filename: pool/main/s/shishi/shishi-common_1.0.1-2_all.deb

Package: shishi-dbg
Source: shishi
Version: 1.0.1-2
Architecture: armhf
Maintainer: Debian Shishi Team 
Installed-Size: 1469
Depends: libshishi0 (= 1.0.1-2) | libshisa0 (= 1.0.1-2) | shishi (= 1.0.1-2) | shisa (= 1.0.1-2) | shishi-kdc (= 1.0.1-2) | libpam-shishi (= 1.0.1-2)
Homepage: http://www.gnu.org/software/shishi/
Priority: extra
Section: debug
Filename: pool/main/s/shishi/shishi-dbg_1.0.1-2_armhf.deb
Size: 605540
SHA256: a202a6de5d16a38e4cce9677d132b0c52888853102fb0f1a74af9bfd613d72b0
SHA1: dd6c261aa4a996c92b1f7d5e26b6ea0c87cd959b
MD5sum: 298ceaf282ee25069d7c00b3a8227dd6
Description: Debugging symbols for Shishi
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 This package contains detached debugging information.  Most people
 will not need this package.  It is provided primarily to provide a
 backtrace with names in a debugger, this makes it somewhat easier to
 interpret core dumps.  GDB will find this debug information
 automatically.

Package: shishi-doc
Source: shishi
Version: 1.0.1-2
Installed-Size: 5901
Maintainer: Debian Shishi Team 
Architecture: all
Depends: dpkg (>= 1.15.4) | install-info
Size: 2639576
SHA256: 88fc28eba7896e41f4a5194dbaa424129e445a08e7dd406dd2508eed6a5c202f
SHA1: 9d6fd1a8c49a683bd6c6ece92dfe1302c49b8655
MD5sum: d440d8631b08bd44ba231500e764044b
Description: Documentation for Shishi
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 This package contain the user, reference and developers manual in
 HTML, PDF and Info formats, and API reference as man pages, GTK-DOC
 and Devhelp.
Homepage: http://www.gnu.org/software/shishi/
Tag: devel::doc, made-of::html, made-of::info, made-of::man, made-of::pdf,
 protocol::kerberos, role::documentation, security::authentication,
 security::cryptography, suite::gnu
Section: doc
Priority: extra
Filename: pool/main/s/shishi/shishi-doc_1.0.1-2_all.deb

Package: shishi-kdc
Source: shishi
Version: 1.0.1-2
Architecture: armhf
Maintainer: Debian Shishi Team 
Installed-Size: 237
Depends: libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgpg-error0 (>= 1.10), libidn11 (>= 1.13), libshisa0 (>= 0.0.39), libshishi0 (>= 0.0.30), libtasn1-3 (>= 1.6-0), lsb-base
Suggests: shishi-doc, shisa
Homepage: http://www.gnu.org/software/shishi/
Priority: extra
Section: net
Filename: pool/main/s/shishi/shishi-kdc_1.0.1-2_armhf.deb
Size: 153652
SHA256: d74bca15c830170b39fbb00bb64ba9b2a35eb70537140627a0664473ee3c8021
SHA1: 30ac4163868346545092c8eed106707e02ee06db
MD5sum: 91094bb029c541ffd1498233016b6e26
Description: Kerberos v5 Key Distribution Center (KDC) Shishi server daemon
 Shishi is an implementation of the kerberos v5 network authentication
 system.
 .
 This package contain the Shishi server (shishid), which is a Key
 Distribution Center (KDC).

Package: shntool
Version: 3.0.7-1
Architecture: armhf
Maintainer: César Gómez Martín 
Installed-Size: 235
Depends: libc6 (>= 2.4)
Suggests: cuetools, sox, flac
Homepage: http://etree.org/shnutils/shntool/
Priority: extra
Section: utils
Filename: pool/main/s/shntool/shntool_3.0.7-1_armhf.deb
Size: 68776
SHA256: e257a5570afa0ee150885cae60be5672d46f0a5cfdd472db7603eb9c7378d88d
SHA1: 8a78544bc6bb04339d7b59a8fbc191f0ee1a3856
MD5sum: 6804635511d66c79f95b10d943c22142
Description: multi-purpose tool for manipulating and analyzing WAV files
 shntool is a multi-purpose WAVE data processing and reporting utility.
 File formats are abstracted from its core, so it can process any file
 that contains WAVE data, compressed or not - provided there exists a
 format module to handle that particular file type.
 .
 shntool has native support for .wav files. If you want it to work with
 other formats, you must have the appropriate helper program installed.
 Some of these helper programs - notably for Monkey's Audio, wavpack, LPAC,
 OptimFROG - are not (yet) available in Debian.
 .
 With the helper programs mentioned above, shntool is able to convert files
 between all supported formats.

Package: shoes
Version: 0.r396-5.3
Architecture: armhf
Maintainer: Bram Senders 
Installed-Size: 527
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgif4 (>= 4.1.4), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libjpeg8 (>= 8c), libpango1.0-0 (>= 1.14.0), libruby1.8 (>= 1.8.7.357-1), rubygems1.8
Homepage: http://code.whytheluckystiff.net/shoes/
Priority: extra
Section: interpreters
Filename: pool/main/s/shoes/shoes_0.r396-5.3_armhf.deb
Size: 177516
SHA256: c390b23550e4ada910fc1f960f3156482c650fc46f07f1171fb5226b49b5fb45
SHA1: a68f0c25ebc9224d392aa474988c405f03287153
MD5sum: a60e91e51cb706ef9c6d03ae9b795210
Description: tiny graphics and windowing toolkit using Ruby
 Shoes is a very informal graphics and windowing toolkit for making simple
 graphical applications.  It blends ideas from the web (hyperlinks, simple text
 layout, and more) from traditional windowing toolkits (buttons, progress bars,
 dialogs and the like) and applications like NodeBox and Processing (drawing
 anywhere on the window with shapes and curves, simple animation).
 .
 Shoes uses Ruby as its interface language; applications created with it can
 run on Windows, Mac OS X and Linux.

Package: shorewall
Version: 4.5.5.3-3
Installed-Size: 1692
Maintainer: Roberto C. Sanchez 
Architecture: all
Replaces: shorewall-common, shorewall-perl, shorewall-shell
Depends: shorewall-core (>= 4.5.5~), shorewall-core (<< 4.5.6~), iptables (>= 1.3.8), iproute, debconf (>= 1.4.69) | cdebconf (>= 0.39), perl-modules, bc, debconf (>= 0.5) | debconf-2.0
Suggests: shorewall-doc, linux-image, make
Size: 677868
SHA256: 2bdea643ef57b46da1cd73adf39deb7349f19c4a9ece6a4532fe19530c4453fe
SHA1: 8c0910277f879a5af3dbb4cad5ffdc3de05c8763
MD5sum: 42fddb19417dc091382c5df1a07dac6e
Description: Shoreline Firewall, netfilter configurator
 Shorewall allows firewall/gateway requirements to be described using
 entries in a set of configuration files. It reads those configuration
 files and, with the help of the iptables utility, configures
 netfilter to match these requirements.
 .
 Shorewall supports a wide range of router/firewall/gateway applications,
 traffic shaping and almost every type of VPN.
Homepage: http://www.shorewall.net
Tag: admin::configuring, interface::commandline, network::firewall,
 protocol::ipv6, role::dummy, role::program, scope::utility,
 security::firewall, use::configuring, use::routing
Section: net
Priority: optional
Filename: pool/main/s/shorewall/shorewall_4.5.5.3-3_all.deb

Package: shorewall-core
Version: 4.5.5.3-3
Installed-Size: 151
Maintainer: Roberto C. Sanchez 
Architecture: all
Depends: iptables (>= 1.3.8), iproute, perl-modules, bc
Breaks: shorewall (<< 4.5.0~)
Size: 48400
SHA256: e976f5038f8d5936a99f0604a0a40523d7412b9bd93d2d1209df8a2ed9be4d3c
SHA1: a6fb657cd20e3833884a4c0913d1a6644b2c1592
MD5sum: 4bb07c9291d2ba611a606dec1a5525cf
Description: Shorewall core components
 This package provides the core Shorewall components, which are required
 for the rest of the Shorewall packages to work.
 .
 There is not an especially good reason to have this package installed all
 by itself.
Homepage: http://www.shorewall.net
Section: net
Priority: optional
Filename: pool/main/s/shorewall-core/shorewall-core_4.5.5.3-3_all.deb

Package: shorewall-doc
Version: 4.5.5-1
Installed-Size: 9939
Maintainer: Roberto C. Sanchez 
Architecture: all
Suggests: shorewall
Size: 6824272
SHA256: 6ae27198332d32c3d55f729b509832c433e3016ba978c502e092a653a78a892d
SHA1: 303830f8fbf03e09d326ab7b2660117a386c4d6d
MD5sum: cfff723b2d4681e63767524a448dfb0f
Description: documentation for Shoreline Firewall (Shorewall)
 Shorewall is an iptables based firewall that can be used on a dedicated
 firewall system, a multi-function masquerade gateway/server or on a standalone
 Linux system.
 .
 This package contains the shorewall HTML documentation.
Homepage: http://www.shorewall.net
Tag: admin::configuring, made-of::html, made-of::pdf, network::firewall,
 protocol::ipv6, role::documentation, security::firewall,
 use::configuring, use::routing
Section: doc
Priority: optional
Filename: pool/main/s/shorewall-doc/shorewall-doc_4.5.5-1_all.deb

Package: shorewall-init
Version: 4.5.5.3-1
Installed-Size: 112
Maintainer: Roberto C. Sanchez 
Architecture: all
Depends: shorewall | shorewall6 | shorewall-lite | shorewall6-lite
Size: 28124
SHA256: 92ac2f48f091e7c54c519bcc5724a215c498c12fccad04d09eedef9fac341694
SHA1: a965f6a5162ecf24a9c32410e9b8b79a4b2cc0f0
MD5sum: b3bc0302b147cef5d47eece76a5b11ad
Description: Shorewall initialization
 This package provides two related features:
 .
    a)  It allows the firewall to be closed prior to bringing up
        network devices. This insures that unwanted connections are not
        allowed between the time that the network comes up and when the
        firewall is started.
 .
    b)  It integrates with NetworkManager and distribution ifup/ifdown
        systems to allow for 'event-driven' startup and shutdown.
 .
 The two facilities can be enabled separately.
 .
 When Shorewall-init is first installed, it does nothing until you
 configure it.
Homepage: http://www.shorewall.net
Section: net
Priority: optional
Filename: pool/main/s/shorewall-init/shorewall-init_4.5.5.3-1_all.deb

Package: shorewall-lite
Version: 4.5.5.3-1
Installed-Size: 120
Maintainer: Roberto C. Sanchez 
Architecture: all
Depends: iptables (>= 1.3.8), iproute, bc
Recommends: wget
Suggests: shorewall-doc, linux-image-2.6, make
Conflicts: ferm, filtergen, firewall-easy, gnome-lokkit, guarddog, guidedog, ipmasq, ipmenu, knetfilter, uif, webmin-firewall
Size: 23280
SHA256: 9bc747886f5818b7b8ad5d7fdeb347ad7bf6ab71878a0b4352c9b02f491d8cba
SHA1: 260f401b737d459aad66c7a44a3f471501c53af7
MD5sum: a07424fbbf0755e5d370ca1815a95c9e
Description: Shorewall (lite version), a high-level tool for configuring Netfilter
 Shorewall allows you to describe your firewall/gateway requirements using
 entries in a set of configuration files. It reads those configuration files
 and, with the help of the iptables utility, configures Netfilter to match your
 requirements.
 .
 Shorewall supports a wide range of router/firewall/gateway applications,
 traffic shaping and almost every type of VPN.
 .
 The shorewall-lite package is designed to allow you to maintain all Shorewall
 configuration information on a single system within your network.
Homepage: http://www.shorewall.net
Tag: admin::configuring, implemented-in::shell, network::firewall,
 role::program, security::firewall, use::configuring
Section: net
Priority: optional
Filename: pool/main/s/shorewall-lite/shorewall-lite_4.5.5.3-1_all.deb

Package: shorewall6
Version: 4.5.5.3-2
Installed-Size: 697
Maintainer: Roberto C. Sanchez 
Architecture: all
Depends: iptables (>= 1.4.1), iproute, debconf (>= 1.4.69) | cdebconf (>= 0.39), shorewall (>= 4.5.5~), shorewall (<< 4.5.6~), libio-socket-inet6-perl, debconf (>= 0.5) | debconf-2.0
Suggests: shorewall-doc, linux-image-2.6, make
Size: 369110
SHA256: 8409f43f5841cb0d78ca7ae716057e5832d59e0a3efbe08066e0d8d1b7c482c0
SHA1: 9ef7a421ce814ec41248394b08fc8e75ba6303d9
MD5sum: 84cebeda57ee88a119262e505c5c707d
Description: Shoreline Firewall (IPv6 version), netfilter configurator
 Shorewall6 allows firewall/gateway requirements to be described using
 entries in a set of configuration files. It reads those configuration
 files and, with the help of the iptables utility, configures
 netfilter to match these requirements.
 .
 Shorewall6 supports a wide range of router/firewall/gateway applications,
 traffic shaping and almost every type of VPN.
 .
 This package contains IPv6 support.
Homepage: http://www.shorewall.net
Tag: network::firewall, protocol::ipv6, security::firewall
Section: net
Priority: optional
Filename: pool/main/s/shorewall6/shorewall6_4.5.5.3-2_all.deb

Package: shorewall6-lite
Version: 4.5.5.3-1
Installed-Size: 118
Maintainer: Roberto C. Sanchez 
Architecture: all
Depends: iptables (>= 1.4.1), iproute
Recommends: wget
Suggests: shorewall-doc, linux-image-2.6, make
Conflicts: ferm, filtergen, firewall-easy, gnome-lokkit, guarddog, guidedog, ipmasq, ipmenu, knetfilter, uif, webmin-firewall
Size: 22398
SHA256: b2be810e3fc7b7bef014d224f4a6d0a75c10a0141edf84d2d31d2068566580bd
SHA1: f6255a9c0828b343fa2d8a4dafb0e9acde5b6c4a
MD5sum: c56a247863bf1bf2b156f8762343bc93
Description: Shorewall (lite version with IPv6 support)
 Shorewall6 allows you to describe your firewall/gateway requirements using
 entries in a set of configuration files. It reads those configuration files
 and, with the help of the iptables utility, configures Netfilter to match your
 requirements.
 .
 Shorewall6 supports a wide range of router/firewall/gateway applications,
 traffic shaping and almost every type of VPN.
 .
 The shorewall6-lite package is designed to allow you to maintain all Shorewall
 configuration information on a single system within your network.
 .
 This package contains IPv6 support.
Homepage: http://www.shorewall.net
Tag: admin::configuring, admin::logging, admin::monitoring,
 implemented-in::shell, interface::commandline, network::configuration,
 network::firewall, protocol::ipv6, role::program, scope::utility,
 security::firewall, use::configuring, works-with::network-traffic
Section: net
Priority: optional
Filename: pool/main/s/shorewall6-lite/shorewall6-lite_4.5.5.3-1_all.deb

Package: shotdetect
Version: 1.0.86-1
Architecture: armhf
Maintainer: Giulio Paci 
Installed-Size: 103
Depends: libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgd2-xpm (>= 2.0.36~rc1~dfsg), libstdc++6 (>= 4.6), libswscale2 (>= 5:0.8-2~), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), zlib1g (>= 1:1.1.4)
Homepage: http://shotdetect.nonutc.fr/
Priority: optional
Section: video
Filename: pool/main/s/shotdetect/shotdetect_1.0.86-1_armhf.deb
Size: 33464
SHA256: 664d19a36439f8aa01297f0c73006a9b6eef66c8c094823c4fc1ed8ae6284b64
SHA1: b08ac3ed6f5d6b3294f3e670b3c3bb084d1ce196
MD5sum: 8254ae846d0d2f1ebf0a5492678c4d4d
Description: scene change detector
 Shotdetect is a software which is able to detect shots and scenes in
 a movie.
 .
 The result produced is a simple XML file containing scenes boundary
 and features. It is also possible to automatically get scenes
 boundary shots.

Package: shotwell
Version: 0.12.3-2+deb7u1
Architecture: armhf
Maintainer: Debian Shotwell Maintainers 
Installed-Size: 4611
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libexif12, libexiv2-12, libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libgexiv2-1 (>= 0.4.1), libglib2.0-0 (>= 2.31.8), libgomp1 (>= 4.2.1), libgphoto2-2 (>= 2.4.10.1), libgphoto2-port0 (>= 2.4.10.1), libgstreamer-plugins-base0.10-0 (>= 0.10.32), libgstreamer0.10-0 (>= 0.10.28), libgtk-3-0 (>= 3.0.11), libgudev-1.0-0 (>= 146), libjavascriptcoregtk-3.0-0 (>= 1.5.1), liblcms2-2, libpango1.0-0 (>= 1.18.0), libraw5 (>= 0.14.6), librest-0.7-0 (>= 0.7), libsoup2.4-1 (>= 2.26.1), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.1.1), libunique-3.0-0 (>= 2.90.1), libwebkitgtk-3.0-0 (>= 1.3.10), libx11-6, libxml2 (>= 2.7.4), shotwell-common (= 0.12.3-2+deb7u1), librsvg2-common, dbus-x11
Homepage: http://yorba.org/shotwell/
Priority: optional
Section: gnome
Filename: pool/main/s/shotwell/shotwell_0.12.3-2+deb7u1_armhf.deb
Size: 1675784
SHA256: 91fbe2eecf6af604d687818d3fb33635255556e3fad15eedfdcdf66d2326c1e1
SHA1: 44c453ad426903678e64fc4d9a3be6a0f397492a
MD5sum: bab5a8cddac752bbf4aaf4332ebbe4af
Description: digital photo organizer
 Shotwell is a digital photo organizer designed for the GNOME desktop
 environment. It allows you to import photos from disk or camera, organize
 them in various ways, view them in full-window or fullscreen mode, and
 export them to share with others.

Package: shotwell-common
Source: shotwell
Version: 0.12.3-2+deb7u1
Installed-Size: 4727
Maintainer: Debian Shotwell Maintainers 
Architecture: all
Replaces: shotwell (<< 0.12.3-1)
Depends: dconf-gsettings-backend | gsettings-backend
Recommends: shotwell
Breaks: shotwell (<< 0.12.3-1)
Size: 1619766
SHA256: c66a18634d8e8a475f9038d4c63141a1bb3bf6c2e9dbc975aca3fec559f78109
SHA1: ea27f9211de90bd2a0fb131fb2187f1dd2ad883d
MD5sum: 3c6cca64d2489ec0702aab910d7eb2ca
Description: digital photo organizer - common files
 Shotwell is a digital photo organizer designed for the GNOME desktop
 environment. It allows you to import photos from disk or camera, organize
 them in various ways, view them in full-window or fullscreen mode, and
 export them to share with others.
 .
 This package provides images and documentation for Shotwell
Homepage: http://yorba.org/shotwell/
Section: gnome
Priority: optional
Filename: pool/main/s/shotwell/shotwell-common_0.12.3-2+deb7u1_all.deb

Package: shotwell-dbg
Source: shotwell
Version: 0.12.3-2+deb7u1
Architecture: armhf
Maintainer: Debian Shotwell Maintainers 
Installed-Size: 5822
Depends: shotwell (= 0.12.3-2+deb7u1)
Homepage: http://yorba.org/shotwell/
Priority: extra
Section: debug
Filename: pool/main/s/shotwell/shotwell-dbg_0.12.3-2+deb7u1_armhf.deb
Size: 4615070
SHA256: 3e4b48d0f7d08d882b3ebb2dc5794914a346e80a7e42c3fb34c97f3abfbe8bc4
SHA1: 1115284153b2e9e0728f76cc9ad9134a2922f085
MD5sum: 7f5a76dd940db5135f32e37ad984b7ff
Description: digital photo organizer - debugging symbols
 Shotwell is a digital photo organizer designed for the GNOME desktop
 environment. It allows you to import photos from disk or camera, organize
 them in various ways, view them in full-window or fullscreen mode, and
 export them to share with others.
 .
 This package contains the debugging symbols for Shotwell.

Package: showfoto
Source: digikam
Version: 4:2.6.0-1+deb7u1
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 2267
Depends: digikam (= 4:2.6.0-1+deb7u1), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdcraw20 (>= 4:4.7.1), libkdecore5 (>= 4:4.7), libkdeui5 (>= 4:4.7), libkexiv2-10 (>= 4:4.7.1), libkio5 (>= 4:4.7), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Recommends: dolphin, kipi-plugins
Breaks: digikam-doc (<< 2:2.0.0-1~)
Replaces: digikam-doc (<< 2:2.0.0-1~)
Homepage: http://www.digikam.org
Priority: optional
Section: kde
Filename: pool/main/d/digikam/showfoto_2.6.0-1+deb7u1_armhf.deb
Size: 2039468
SHA256: 149a6ef0debde5597b551c44698e48eb9f3dc0cb5be2482db3f94c80e41478a8
SHA1: a9772473632e45add9ba5756f44993e92acb3b7b
MD5sum: f9fa54fda2d25d4f29d356af39b6c8cd
Description: image viewer/editor for KDE
 showFoto is the standalone image editor of the digiKam project.
 .
 It runs without digiKam images database support, but provides all Image Editor
 functions.

Package: showq
Version: 0.4.1+git20090622+dfsg0-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 776
Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libogg0 (>= 1.0rc3), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsamplerate0 (>= 0.1.7), libsigc++-2.0-0c2a (>= 2.0.2), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.6), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libxml++2.6-2 (>= 2.34.2), libxml2 (>= 2.6.27)
Homepage: https://developer.berlios.de/projects/showq/
Priority: optional
Section: sound
Filename: pool/main/s/showq/showq_0.4.1+git20090622+dfsg0-1_armhf.deb
Size: 218788
SHA256: 4d66ef811f6667be8332cf320edc98cc87cc787b1f79e9e6bd08f5f278b80ea7
SHA1: 903a8d40b5e669f34502f40ef32b130e85fe3296
MD5sum: 6471e99e2fcfa67a87caf3c930b8e4d1
Description: MIDI controllable audio player
 Application that can play audio cues and trigger MIDI events
 primarily developed for theatre productions.
 .
 Features:
  * 8 channels per_cue routing.
  * Playback through ALSA or JACK.
  * Load/Save cue list.
  * Hot key for any cue.
  * Application controllable by MIDI.

Package: showq-dbg
Source: showq
Version: 0.4.1+git20090622+dfsg0-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 190
Depends: showq (= 0.4.1+git20090622+dfsg0-1)
Homepage: https://developer.berlios.de/projects/showq/
Priority: extra
Section: debug
Filename: pool/main/s/showq/showq-dbg_0.4.1+git20090622+dfsg0-1_armhf.deb
Size: 40592
SHA256: fba0eec755bfa49e0dd90fc5bcb26f9c8dcb6e3363d3726496c8e5bb1c89ae8c
SHA1: 645ba4ca9679d2089d85e537c93ee91276d361e9
MD5sum: bcf4c9119374e45c88c07f9fb73d0ae8
Description: Debugging symbols for showq
 Application that can play audio cues and trigger MIDI events
 primarily developed for theatre productions.
 .
 This package contains the debugging symbols.

Package: shr-specs
Version: 2011.03.08.2-1
Installed-Size: 140
Maintainer: Debian freesmartphone.org Team 
Architecture: all
Suggests: fso-specs
Size: 8186
SHA256: 43cd0f86df4ea301a6b5a08c32b201cd2b601e8f977679e6bd6cd5ab55714616
SHA1: 2e9db41311b9f0ed1bf850f505b056eb4991a7a2
MD5sum: 02f998055b748f121e69f59cecaa2323
Description: SHR DBus XML specification & documentation
 The Desktop-Bus prepares applications to communicate with another by the
 sending of predefined signals. The process is agnostic about programming
 languages, but the collaborating tools need to agree on a common set of
 signals and their interpretation.
 .
 This package provides the DBus specifications of the SHR software stack,
 which sits on top of the freesmartphone.org software stack.
 .
 Process these with a DBus binding generator to create stubs for your program.
Homepage: http://www.shr-project.org
Tag: devel::doc, role::documentation
Section: doc
Priority: extra
Filename: pool/main/s/shr-specs/shr-specs_2011.03.08.2-1_all.deb

Package: shrinksafe
Version: 1.7.2-1
Installed-Size: 112
Maintainer: Jason Morawski 
Architecture: all
Depends: default-jre | java6-runtime, jarwrapper (>= 0.5), librhino-java
Size: 30862
SHA256: 2d461bd4127ab43ced88055d07b9f1d8a9137dbe1aee90f89e1fce3a56291063
SHA1: 32a2e6ba5f69301e3dff4524c57138a810e97a12
MD5sum: 4c93579e1389f8ed36db9bdc50f3ea2c
Description: JavaScript "compression" system
 ShrinkSafe is a JavaScript "compression" system. It can typically reduce the
 size of your scripts by a third or more, depending on your programming style.
 .
 Many other tools also shrink JavaScript files, but ShrinkSafe is different.
 Instead of relying on brittle regular expressions, ShrinkSafe is based on
 Rhino, a JavaScript interpreter. This allows ShrinkSafe to transform the source
 of a file with much more confidence that the resulting script will function
 identically to the file you uploaded.
 .
 Best of all, ShrinkSafe will never change a public variable or API. That means
 that you can drop the compressed version of your JavaScript into your pages
 without changing the code that uses it.
Homepage: http://shrinksafe.dojotoolkit.org
Tag: devel::lang:ecmascript, role::program
Section: devel
Priority: optional
Filename: pool/main/s/shrinksafe/shrinksafe_1.7.2-1_all.deb

Package: shtool
Version: 2.0.8-6
Installed-Size: 556
Maintainer: William Vera 
Architecture: all
Depends: perl
Suggests: autoconf, automake, libtool
Size: 159080
SHA256: 2b35c568172171f4805b1c66c520655bfa3ca67982b16183877ad7213a8fa5e3
SHA1: dddd0a5c4cadd97f4dbb9193f4fc86ea0e3f3b50
MD5sum: 81afa093101b157ab3c231982f300639
Description: portable shell tool from the GNU project
 GNU shtool is a tool for developers of software programs. It is a compilation
 of small but very stable and portable shell scripts into a single shell tool.
 All ingredients were in successful use over many years in various free software
 projects. The compiled shtool program is intended to be used inside the source
 tree of other free software packages. There it can overtake various (usually
 non-portable) tasks related to the building and installation of such a package.
 It especially can replace the old mkdir.sh, install.sh and related scripts.
Homepage: http://www.gnu.org/software/shtool/
Tag: devel::buildtools, devel::packaging, implemented-in::shell,
 interface::commandline, role::program, scope::utility, suite::gnu
Section: devel
Priority: optional
Filename: pool/main/s/shtool/shtool_2.0.8-6_all.deb

Package: shunit2
Version: 2.1.6-1
Installed-Size: 165
Maintainer: Ulrich Dangel 
Architecture: all
Size: 48432
SHA256: 37863bf229de12d6de480b4a7d54d5798fa5e8c54ea9f42262d9bdfe898144e7
SHA1: 1f3c22247f776e27410d3f9ec2ea60ba8c542d9d
MD5sum: cf499d1b3f9f0a713b73033e3525d12e
Description: unit test framework for Bourne based shell scripts
 shUnit2 was originally developed to provide a consistent testing
 solution for log4sh, a shell based logging framework similar to
 log4j. It is designed to work in a similar manner to JUnit, PyUnit,
 etc.
Homepage: http://code.google.com/p/shunit2/
Section: devel
Priority: optional
Filename: pool/main/s/shunit2/shunit2_2.1.6-1_all.deb

Package: shush
Version: 1.2.3-2
Architecture: armhf
Maintainer: Dario Minnucci 
Installed-Size: 123
Depends: libc6 (>= 2.4), libpcre3 (>= 8.10)
Homepage: http://web.taranis.org/shush/
Priority: extra
Section: admin
Filename: pool/main/s/shush/shush_1.2.3-2_armhf.deb
Size: 48662
SHA256: 98b1650737ec5bfdb2bccc11b60466b889c10e3660ebca5f264ee3b37a442907
SHA1: b6c9b93a4e109d3148abe619714c5c05aa675ff7
MD5sum: e1dc8563b6b6afa5e7703c18a4be4043
Description: runs a command and optionally reports its output by mail
 shush was written to be a generic wrapper around cron jobs so that it can be
 applied to any command without having to modify it or create a custom wrapper
 for it. In a sense, it solves a fairly simple problem, but it also brings some
 powerful features making it a useful tool beyond cron jobs.
 .
 Feature highlights:
 .
   * Multiple reports (for the output) may be defined
   * Decision to send a report (or not) is configurable based on:
     - Output content analysis (using regular expressions)
     - Command exit code
     - Size of output
     - Command execution duration
   * Support for plain text, enriched text and HTML report formatting.
     (The latter two allow rendering part of the output in bold.)
   * Various timeout actions such as notification and command termination
   * Locking support
   * Progress report logging via syslog
   * Automatic crontab file updating based on shush's configuration

Package: shutdown-at-night
Version: 0.10+deb7u2
Installed-Size: 57
Maintainer: Petter Reinholdtsen 
Architecture: all
Depends: perl, cron | fcron, wakeonlan, ng-utils, fping, ethtool, x11-utils
Recommends: nvram-wakeup
Suggests: sitesummary (>= 0.0.41)
Size: 9612
SHA256: 085cf9de5778a89c3ecd9ba43c88d8c14e75f43f9fc5ba2f969f7684a5a133c3
SHA1: 266317011304b9a64386c23969b168f01f3657f0
MD5sum: e88e50fb1773c2dde58327d5bc6773cc
Description: System to shut down clients at night, and wake them in the morning
 Schedule shutdown in the evening, and uses nvram-wakeup or wakeonlan to wake
 clients up in the morning.
Tag: admin::power-management, hardware::power, implemented-in::shell,
 role::program
Section: misc
Priority: optional
Filename: pool/main/s/shutdown-at-night/shutdown-at-night_0.10+deb7u2_all.deb

Package: shutter
Version: 0.88.3-1+deb7u1
Installed-Size: 16238
Maintainer: Ryan Niebur 
Architecture: all
Depends: libgtk2-perl, libglib-perl, libgnome2-perl, libgnome2-vfs-perl, libgnome2-wnck-perl, libgnome2-gconf-perl, liblocale-gettext-perl, libxml-simple-perl, libwww-mechanize-perl, libwww-perl, perlmagick, libx11-protocol-perl, librsvg2-common, libfile-basedir-perl, libfile-copy-recursive-perl, libproc-simple-perl, libfile-which-perl, libsort-naturally-perl, libgtk2-imageview-perl, libnet-dbus-perl, libgnome2-canvas-perl, imagemagick, libgtk2-unique-perl, libproc-processtable-perl, procps, xdg-utils, libpath-class-perl, libjson-perl, libjson-xs-perl, libnet-dropbox-api-perl
Suggests: gnome-web-photo, nautilus-sendto, libimage-exiftool-perl, libnet-dbus-glib-perl
Size: 3217088
SHA256: cfa80fa9fa51ac74f4e713cf4446b294a7c6fad518d2e5611c08344ff370e872
SHA1: 51594c55188760ef541d7d289068443169d3bbd7
MD5sum: 1936f06b70638132ea6a5eeefbaa54aa
Description: feature-rich screenshot program
 Shutter is a feature-rich screenshot program. You can take a
 screenshot of a specific area, window, your whole screen, or even of
 a website - apply different effects to it, draw on it to highlight
 points, and then upload to an image hosting site, all within one
 window.
 .
 Features:
    * take a screenshot of your complete desktop, a rectangular area
      or capture a website
    * take screenshot directly or with a specified delay time
    * save the screenshots to a specified directory and name them in a
      convenient way (using special wild-cards)
    * Shutter is fully integrated into the GNOME Desktop (TrayIcon etc.)
    * generate thumbnails directly when you are taking a screenshot
      and set a size level in %
    * Shutter session collection
          o keep track of all screenshots during session
          o copy screeners to clipboard
          o print screenshots
          o delete screenshots
          o rename your file
    * upload your files directly to Image-Hosters
      (e.g. http://ubuntu-pics.de), retrieve all the needed links and
      share them with others
    * edit your screenshots directly using the embedded drawing tool
Homepage: http://shutter-project.org/
Recommends: libgoo-canvas-perl
Section: graphics
Priority: optional
Filename: pool/main/s/shutter/shutter_0.88.3-1+deb7u1_all.deb

Package: sibsim4
Version: 0.20-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 88
Depends: libc6 (>= 2.4)
Homepage: http://sibsim4.sourceforge.net/
Priority: optional
Section: science
Filename: pool/main/s/sibsim4/sibsim4_0.20-1_armhf.deb
Size: 33310
SHA256: ac9904b94f07d72b40c27530784131fecf1fc79f8a0f2fa829195dacd8be901f
SHA1: d510c453dcd4546600ad098c5f1d2448ef74422e
MD5sum: badd7f85588503669afb7e2ad886230e
Description: align expressed RNA sequences on a DNA template
 The SIBsim4 project is based on sim4, which is a program designed to align
 an expressed DNA sequence with a genomic sequence, allowing for introns.
 SIBsim4 is a fairly extensive rewrite of the original code with the following
 goals:
  * speed improvement;
  * allow large, chromosome scale, DNA sequences to be used;
  * provide more detailed output about splice types;
  * provide more detailed output about polyA sites;
  * misc code cleanups and fixes.

Package: sic
Version: 1.1-5
Architecture: armhf
Maintainer: Jeroen Schot 
Installed-Size: 57
Depends: libc6 (>= 2.13-28)
Homepage: http://tools.suckless.org/sic/
Priority: optional
Section: net
Filename: pool/main/s/sic/sic_1.1-5_armhf.deb
Size: 9056
SHA256: 27c81186655034b38d7df03134deecff5ad9662161dc578b7e7daa960ad5374c
SHA1: 1cc135924468af6b63224bc147a8785211900ca8
MD5sum: 95bf063aebd4141a9095b9f15c1a2514
Description: simple irc client (sic)
 sic is an extremely fast, small and simple irc client. It reads commands from
 standard input and prints all server output to standard output. It also
 multiplexes all channel traffic into one output. That way you don't have to
 switch different channel buffers. So that's actually a feature.

Package: sidplay
Version: 2.0.9-6
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 94
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libresid-builder0c2a, libsidplay2, libsidutils0, libstdc++6 (>= 4.4.0)
Homepage: http://sidplay2.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/s/sidplay/sidplay_2.0.9-6_armhf.deb
Size: 31356
SHA256: faa144c13ca4b41ac57bb2b08513c3788ebf81dddfc49cfeb46a9f1810540562
SHA1: 20579b7ad1c933993736dca7e7207f288db6cc74
MD5sum: fe358e0f8d0744a9652e5877eb44ef6a
Description: Music player for tunes from C64 and C128 (console)
 This is a simple music player for C64 and C128 tunes, also known as
 SID tunes.

Package: sidplay-base
Version: 1.0.9-6
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 103
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libsidplay1, libstdc++6 (>= 4.4.0)
Priority: extra
Section: sound
Filename: pool/main/s/sidplay-base/sidplay-base_1.0.9-6_armhf.deb
Size: 32262
SHA256: e5df71c3248906f0fac7cb3676cfb9512c70a3ab96db9912ef85b58e936cbb4f
SHA1: 1365c682cd8f03be857115650e2bfd74d9827147
MD5sum: c3e68e4cab6b865f3d6927a3d1397618
Description: Music player for tunes from C64 and C128 (console)
 This is a simple music player for C64 and C128 tunes, also known as
 SID tunes. The package includes a program (sid2wav) for creating .wav
 files.

Package: sidplayfp
Version: 0.3.1-1
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 104
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpulse0 (>= 0.99.1), libsidplayfp, libstdc++6 (>= 4.4.0)
Homepage: http://bel.fi/~alankila/c64-sw/index-cpp.html
Priority: extra
Section: sound
Filename: pool/main/s/sidplayfp/sidplayfp_0.3.1-1_armhf.deb
Size: 35928
SHA256: 40978daf173744894b39e6d22d9b813b57f72d29f8e86dce12916d5499e020e9
SHA1: 186eff689144e074d1671e8e90764757cf5abd54
MD5sum: ede74c3e25e51715f0972a6358f48217
Description: Fork of sidplay2, a C64 and C128 music player
 sidplayfp is a fork of sidplay2, a C64 music player which integrates the
 reSID SID chip emulation into a cycle-based emulator environment, started
 with primary purpose to improve emulation of the C64 system and the SID chips.

Package: siege
Version: 2.70-3
Architecture: armhf
Maintainer: Josue Abarca 
Installed-Size: 201
Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0)
Conflicts: siege-ssl
Replaces: siege-ssl
Homepage: http://www.joedog.org/JoeDog/Siege
Priority: optional
Section: web
Filename: pool/main/s/siege/siege_2.70-3_armhf.deb
Size: 88084
SHA256: 63dcc5bac3a6b1743b2bcb782e511af16da60615fe4c6a726fbf6204b6048a0a
SHA1: d046da7aee65e644021d19bec209684be1513ccb
MD5sum: 87b1157b0ed64d7968fa8600f629e7db
Description: HTTP regression testing and benchmarking utility
 Siege is an regression test and benchmark utility. It can stress test a single
 URL with a user defined number of simulated users, or it can read many URLs
 into memory and stress them simultaneously. The program reports the total
 number of hits recorded, bytes transferred, response time, concurrency, and
 return status. Siege supports HTTP/1.0 and 1.1 protocols, the GET and POST
 directives, cookies, transaction logging, and basic authentication. Its
 features are configurable on a per user basis.
 .
 Note: this package contains siege with HTTPS support turned on, thus it
 obsoletes siege-ssl package now.

Package: sieve-connect
Version: 0.83-1
Installed-Size: 106
Maintainer: Andrew Pollock 
Architecture: all
Depends: perl, libauthen-sasl-perl (>= 2.11-1), libio-socket-inet6-perl, libnet-dns-perl, libio-socket-ssl-perl, libmime-base64-perl, perl-modules, libterm-readkey-perl
Recommends: libterm-readline-gnu-perl
Size: 36012
SHA256: 07b106b1429f7a4eedb1095e71f02949257273d0324039347bbda254ddd45b15
SHA1: e35b6afcd2ea722a83f695616b40008c45e69577
MD5sum: 08d5bc7c83978aa35725bcdb37a2c8b3
Description: MANAGESIEVE protocol client
 This is sieve-connect.  A client for the MANAGESIEVE protocol, as
 implemented by timsieved in Cyrus IMAP.
 .
 sieve-connect is designed to be both a tool which can be invoked from
 scripts and also a decent interactive client.  It should also be a
 drop-in replacement for "sieveshell", as supplied with Cyrus IMAP.
Section: net
Priority: optional
Filename: pool/main/s/sieve-connect/sieve-connect_0.83-1_all.deb

Package: siggen
Version: 2.3.10-5
Architecture: armhf
Maintainer: Thorsten Alteholz 
Installed-Size: 318
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5, oss-compat
Homepage: http://www.comp.leeds.ac.uk/jj/linux/siggen.html
Priority: optional
Section: sound
Filename: pool/main/s/siggen/siggen_2.3.10-5_armhf.deb
Size: 159524
SHA256: 83a32e03b7c8162b7284c72954a9198cd62ea5590c0b812201317536d0d58e73
SHA1: 79d3c42555493c09c4edb27d9dcb21a809d16aef
MD5sum: b0b9b3f38cdc64fda27e64714d0b8f5f
Description: Waveform generation tools
 a set of tools for imitating a laboratory Signal Generator,
 generating audio signals out of Linux's /dev/dsp audio device.  There
 is support for mono and/or stereo and 8 or 16 bit samples.  The tools
 include:
 .
  * soundinfo: display some of the programming capabilities of the
 sound system support for the mixer device /dev/mixer and the DSP
 device.  Also shows some of the ioctl calls in action.
 .
  * signalgen: a command line signal generator where details are specified
 from the command line for generating sine, cos, square, triangle,
 sawtooth, pulse, noise waves.  Frequency, sample rate, relative
 amplitude etc. can be specified through command line options.  The
 signal is played continuously until the program is stopped.  There
 are options to save the basic raw digital samples raw to file or to a
 WAVE format file.
 .
  * swgen: a command line sweep generator.  Both the sweeping and
 swept waveforms can be specified, along with the sweeping frequency
 and the swept frequency range.  Otherwise similar to sgen above.
 .
  * siggen: an Ncurses screen based Signal Generator for two separate
 channels.  On stereo audio cards the two channels are played on
 separate outputs.  On mono cards the two channels are digitally mixed
 onto the one output.  Type of waveform, frequency, amplitude, sample
 rate etc are specified/changed via a screen menu.  It plays
 continuously.  Changes to parameters take effect nearly immediately.
 .
  * sweepgen: an Ncurses screen based Sweep generator (see swgen and
 siggen above).
 .
  * tones: a command line program to generate several successive tones
 of varying frequency, and optional differing waveforms, durations and
 intensities.  The sequence of tones can be either played once, or
 repetitively or the samples can be written to a file in raw or WAV
 format.  This could make the basis of an auto-dialer for tone phones.
 .
  * smix: a simple command line program for getting and setting the
 mixer settings.
 .
  * fsynth: an Ncurses based fourier synthesis realtime generator.

Package: sigit
Version: 0.3.2-2
Architecture: armhf
Maintainer: Rasmus Bøg Hansen 
Installed-Size: 162
Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4)
Recommends: boxes
Priority: optional
Section: mail
Filename: pool/main/s/sigit/sigit_0.3.2-2_armhf.deb
Size: 66706
SHA256: 55fe1203d8c134861caf5fee4be131a1a01a6cf1fcf74872a256b551af07d32b
SHA1: e5209875d04da7549fd27450f08e196f0840b6dd
MD5sum: c77037750e67a5104cf92acf3a2bf99b
Description: A small utility to change signatures randomly
 This small utility changes signatures in random order.
 It is compatible with all email and news
 clients that use $HOME/.signature although the
 signature file can be called anything. It works over
 NFS and can even handle multiple signature files.
 .
 The utility to manipulate signature databases,
 sigitdb, is also included.
 .
  Homepage: http://www.redhead.dk/

Package: sigma-align
Version: 1.1.3-3
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 74
Depends: libc6 (>= 2.4), libglib2.0-0 (>= 2.12.0)
Homepage: http://www.imsc.res.in/~rsidd/sigma/
Priority: optional
Section: science
Filename: pool/main/s/sigma-align/sigma-align_1.1.3-3_armhf.deb
Size: 23970
SHA256: 9b1e915239272af4acb2585fa294edc88f71c101778c5fee7264cb7cb1975e31
SHA1: b3a1dca307384223594eba4c4b52d0fab0083781
MD5sum: 41d1384c7635f03f79168b261ec38645
Description: Simple greedy multiple alignment of non-coding DNA sequences
 Sigma (“Simple greedy multiple alignment”) is an alignment program. It's
 algorithm and scoring scheme are designed specifically for non-coding
 DNA sequence.
 .
 It uses a strategy of seeking the best possible gapless local
 alignments. This happens at each step making the best possible alignment
 consistent with existing alignments. It scores the significance of the
 alignment based on the lengths of the aligned fragments and a background
 model. These may be supplied or estimated from an auxiliary file of
 intergenic DNA.

Package: signify
Version: 1.14-1
Installed-Size: 92
Maintainer: Brian White 
Architecture: all
Depends: perl5
Size: 15336
SHA256: 687d533e64baa03ff78ab9cb996325ab464346264b4dcafd5771ba0edba6db20
SHA1: cd9235f74c6d8dcf0ee92f5e3f9a7087977e6a18
MD5sum: bc7dd12a92185003f9e0472a465e95ae
Description: Automatic, semi-random ".signature" rotator/generator
 Signify is a neat little program that allows a random signature to be
 generated from a set of rules.  Each "section" can be one of an unlimited
 number of possibilities, each with its own weighting so those really cool
 quotes can appear more often than others.  Sections can also be placed next
 to each other vertically to create columns.  Each section can be formatted
 independently as left/right/center and top/bottom/vcenter.  See
 http://signify.sf.net/ for more information.
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility, works-with::mail
Section: mail
Priority: optional
Filename: pool/main/s/signify/signify_1.14-1_all.deb

Package: signing-party
Version: 1.1.4-1
Architecture: armhf
Maintainer: Thijs Kinkhorst 
Installed-Size: 420
Depends: libc6 (>= 2.13-28), perl, gnupg, libgnupg-interface-perl, libtext-template-perl, libmime-tools-perl, libmailtools-perl, libterm-readkey-perl, libclass-methodmaker-perl, qprint
Recommends: default-mta | mail-transport-agent, libpaper-utils, libgd-gd2-noxpm-perl | libgd-gd2-perl, libtext-iconv-perl | libintl-perl | recode, dialog | whiptail
Suggests: imagemagick | graphicsmagick-imagemagick-compat, wipe, mutt, texlive-latex-recommended
Conflicts: keyanalyze (<< 0.1)
Replaces: keyanalyze (<< 0.1)
Provides: keyanalyze, sig2dot, springgraph
Homepage: http://pgp-tools.alioth.debian.org/
Priority: extra
Section: misc
Filename: pool/main/s/signing-party/signing-party_1.1.4-1_armhf.deb
Size: 160848
SHA256: 3e9333ff527dbdc50f80c64d200d5739e9f4c50d31dc5a27b2ae6e05772b298f
SHA1: fcc07d5c123ffe4e1958d1722b0c6493cbeb6d72
MD5sum: 5727a72544659a7c664fd1c00183f163
Description: Various OpenPGP related tools
 signing-party is a collection for all kinds of PGP/GnuPG related things,
 including tools for signing keys, keyring analysis, and party preparation.
 .
  * caff: CA - Fire and Forget signs and mails a key
  * pgp-clean: removes all non-self signatures from key
  * pgp-fixkey: removes broken packets from keys
  * gpg-mailkeys: simply mail out a signed key to its owner
  * gpg-key2ps: generate PostScript file with fingerprint paper strips
  * gpgdir: recursive directory encryption tool
  * gpglist: show who signed which of your UIDs
  * gpgsigs: annotates list of GnuPG keys with already done signatures
  * gpgparticipants: create list of party participants for the organiser
  * gpgwrap: a passphrase wrapper
  * keyanalyze: minimum signing distance (MSD) analysis on keyrings
  * keylookup: ncurses wrapper around gpg --search
  * sig2dot: converts a list of GnuPG signatures to a .dot file
  * springgraph: creates a graph from a .dot file

Package: sigrok
Version: 0.2-1
Installed-Size: 36
Maintainer: Uwe Hermann 
Architecture: all
Depends: sigrok-cli (>= 0.3.0-2)
Size: 1686
SHA256: c56300de4d9c8a1d6449c1ab36a03208316ac1afa02093cca243a80a27e5fc39
SHA1: 985d35cb395fe1e3ce6ae6c003faa791f408a08d
MD5sum: 5ed8fe1d4ca353fa80ca7cf8770ad3ec
Description: Logic analyzer and protocol decoder software suite (metapackage)
 sigrok is a portable logic analyzer software that supports various
 logic analyzer hardware, input formats, output formats, and protocol decoders.
 .
 This is a metapackage depending on (almost) all sigrok related packages.
Homepage: http://sigrok.org
Tag: field::electronics, implemented-in::c, implemented-in::python,
 role::program
Section: electronics
Priority: extra
Filename: pool/main/s/sigrok/sigrok_0.2-1_all.deb

Package: sigrok-cli
Version: 0.3.0-2
Architecture: armhf
Maintainer: Uwe Hermann 
Installed-Size: 78
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.30.0), libsigrok0, libsigrokdecode0
Breaks: sigrok (<< 0.2-1)
Replaces: sigrok (<< 0.2-1)
Homepage: http://sigrok.org/wiki/Sigrok-cli
Priority: extra
Section: electronics
Filename: pool/main/s/sigrok-cli/sigrok-cli_0.3.0-2_armhf.deb
Size: 22246
SHA256: 400c997a6b2676633656e4753aa7e6ee7da3fdaa09ef941838588ffcf7edb150
SHA1: 2f6146740d5d7f683a120bae6683e1e92bb64cfb
MD5sum: 297e3caa92080c437e605551fa9ebd4f
Description: command-line frontend for the sigrok logic analyzer software
 sigrok-cli is a command-line logic analyzer and protocol decoding software.
 .
 It supports sample acquisition from logic analyzer hardware, as well as
 running protocol decoders over the sample data (either from hardware or
 loaded from files).

Package: sigscheme
Version: 0.8.5-2.1
Architecture: armhf
Maintainer: NIIBE Yutaka 
Installed-Size: 393
Depends: libc6 (>= 2.4), libsscm3 (>= 0.8.5)
Homepage: http://code.google.com/p/sigscheme/
Priority: optional
Section: lisp
Filename: pool/main/s/sigscheme/sigscheme_0.8.5-2.1_armhf.deb
Size: 254412
SHA256: cf289e64ca9327056bfa20d548945d73e7e448c314623bbf6b2a8261206e3eea
SHA1: 7014bea00211cf446a1964248eeba883735c0b2d
MD5sum: c30b4bdca8dca74e44bbb0636eb7fa62
Description: A Scheme Interpreter
 SigScheme is a Scheme interpreter, which targets embedded programs.
 SigScheme is used as extension engine for uim, universal input method
 system.  It conforms to R5RS, some of SRFI-s.

Package: sigviewer
Source: sigviewer (0.5.1+svn556-1)
Version: 0.5.1+svn556-1+b1
Architecture: armhf
Maintainer: NeuroDebian Team 
Installed-Size: 916
Depends: libbiosig1, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.6)
Homepage: http://sigviewer.sourceforge.net
Priority: extra
Section: science
Filename: pool/main/s/sigviewer/sigviewer_0.5.1+svn556-1+b1_armhf.deb
Size: 381542
SHA256: 78ac3aad7445dab1f098ac1941a794ff2a4536db5dde3269bf820e81563b83cb
SHA1: 2da5beddecf5c891f217fb0d10cc37c7456aac98
MD5sum: 5293e94c5e9351c897ba06a0eed1e949
Description: GUI viewer for biosignals such as EEG, EMG, and ECG
 SigViewer is a viewing and scoring software for biomedical signal
 data.  It relies on biosig4c++ library which supports a number of
 data formats (including EDF, BDF, GDF, BrainVision, BCI2000, CFWB,
 HL7aECG, SCP_ECG (EN1064), MFER, ACQ, CNT(Neuroscan), DEMG, EGI,
 EEG1100, FAMOS, SigmaPLpro, TMS32). The complete list of supported
 file formats is available at
 http://pub.ist.ac.at/~schloegl/biosig/TESTED .
 .
 Besides displaying biosignals, SigViewer supports creating
 annotations to select artifacts or specific events.

Package: sikuli-ide
Source: sikuli
Version: 1.0~x~rc3.tesseract3-dfsg1-5
Installed-Size: 540
Maintainer: Debian Java maintainers 
Architecture: all
Depends: libcommons-cli-java, libjson-simple-java, libjxgrabkey-java, libmac-widgets-java, default-jre (>= 1:1.6) | java6-runtime, libsikuli-script-java, junit, libswingx-java, libswing-layout-java
Size: 484966
SHA256: c2f7cbe462fd12a626416a2adc27de59586bf65b8c3f38653c4b4d8c65076aa7
SHA1: f7e8fcf732897b7037fe99bea77cdc9c9b3b34e8
MD5sum: bd75b05fe8e3af4474abd9c1a05bb861
Description: IDE to develop sikuli scripts and use them a junit test cases
 Sikuli mixes image recognition into jython scripting to automate
 interactions with graphical user interfaces.
 .
 With Sikuli it is very easy to programmatically control a web page, a
 desktop application, or even an iphone application running in an emulator.
 .
 This package features Sikuli IDE, the integrated development environment
 for writing visual scripts with screenshots.
 .
 sikuli-ide also provides junit integration for running sikuli scripts as
 junit test cases.
Homepage: http://sikuli.org
Section: java
Priority: extra
Filename: pool/main/s/sikuli/sikuli-ide_1.0~x~rc3.tesseract3-dfsg1-5_all.deb

Package: silentjack
Version: 0.3-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 48
Depends: libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116
Homepage: http://www.aelius.com/njh/silentjack/
Priority: optional
Section: sound
Filename: pool/main/s/silentjack/silentjack_0.3-2_armhf.deb
Size: 7572
SHA256: 74b2b8082fc0648abfdbe24676e7c59902b03d6d92faa706838ae682e9fedd84
SHA1: e6d5ec50fe4bcf44574a5c2f211b373f31fbcab4
MD5sum: 1dee171b4aca80b16f7544943fb455b8
Description: silence detector for the JACK audio system
 SilentJack listens, using the JACK audio system, for silence. When silence is
 detected, it runs the command specified. Once the command has been run, a grace
 period is observed before resuming the silence detection.

Package: sim4
Version: 0.0.20030921-3
Architecture: armhf
Maintainer: Debian-Med Packaging Team 
Installed-Size: 120
Depends: libc6 (>= 2.7)
Homepage: http://www.bx.psu.edu/miller_lab/
Priority: optional
Section: science
Filename: pool/main/s/sim4/sim4_0.0.20030921-3_armhf.deb
Size: 50686
SHA256: ee11995ca91956c2ed10f65b008369ba308e27229dd45e2a0afd234a8a4bd634
SHA1: 46161291dec2386a3445b625e83c2e670228a1e1
MD5sum: 9276441a12bce64114fcf3159b37af95
Description: tool for aligning cDNA and genomic DNA
 sim4 is a similarity-based tool for aligning an expressed DNA sequence
 (EST, cDNA, mRNA) with a genomic sequence for the gene. It also detects end
 matches when the two input sequences overlap at one end (i.e., the start of
 one sequence overlaps the end of the other).
 .
 sim4 employs a blast-based technique to first determine the basic matching
 blocks representing the "exon cores". In this first stage, it detects all
 possible exact matches of W-mers (i.e., DNA words of size W) between the two
 sequences and extends them to maximal scoring gap-free segments. In the
 second stage, the exon cores are extended into the adjacent as-yet-unmatched
 fragments using greedy alignment algorithms, and heuristics are used to favor
 configurations that conform to the splice-site recognition signals (GT-AG,
 CT-AC). If necessary, the process is repeated with less stringent parameters
 on the unmatched fragments.

Package: simba
Version: 0.8.4-4.2
Installed-Size: 231
Maintainer: Radu Spineanu 
Architecture: all
Depends: perl (>= 5.8.0), libropkg-perl (>= 0.4-1), liblog-dispatch-perl, libxml-rss-perl, libnumber-format-perl, libhtml-template-perl, libmodule-pluggable-perl, libsql-abstract-perl, libdatetime-perl, liblog-log4perl-perl, dbconfig-common (>= 1.8.12), debconf (>= 0.5) | debconf-2.0, virtual-mysql-client
Size: 66174
SHA256: 9303807d2ae6c634a1e490f24f07ec0020e6c88bb68c5afd9d2cd96d4a3069ca
SHA1: 001ffeea70aec5b727a25f5bc7cdbc06f2c31646
MD5sum: 0140b254b2d448f2985a1e455a0ceb84
Description: next generation mirroring tool
 Simba was created to be _the_ mirroring tool, to get more control
 over the mirrored content and (most importantly) more control over
 the reports you can generate using the mirrored content data.
 Using Simba, you can:
    +   Create web pages with mirrors status
    +   Create web pages with mirror details
    +   Generate RSS feeds
    +   Generate Google sitemaps
    +   Generate rsync configuration files
    +   ... and more
 Simba is extensible and has a dynamic plugin system. If you have some knowledge
 of perl, you can write your own plugins and extend Simba as you wish.
Homepage: http://simba.packages.ro/
Section: perl
Priority: optional
Filename: pool/main/s/simba/simba_0.8.4-4.2_all.deb

Package: simgear-dev
Source: simgear
Version: 2.4.0-1.3
Architecture: armhf
Maintainer: Debian FlightGear Crew 
Installed-Size: 8506
Depends: simgear2.4.0 (= 2.4.0-1.3), libplib-dev, libopenscenegraph-dev, libc6-dev
Homepage: http://www.simgear.org/
Priority: extra
Section: devel
Filename: pool/main/s/simgear/simgear-dev_2.4.0-1.3_armhf.deb
Size: 2145774
SHA256: 83fb0ca7b116e97d899aef05a22b9aaacb9fa221a9d2ed395f07e9c0aca8ea70
SHA1: 37bf3314c210cb1b389b51aabeb797eeed6c90b1
MD5sum: 86beba8639fedd5de6f6f5a054a84c9d
Description: Simulator Construction Gear -- development files
 SimGear is a collection of libraries useful for constructing
 simulation and visualization applications such as FlightGear
 or TerraGear.
 .
 This package contains the headers and static libraries.

Package: simgear2.4.0
Source: simgear
Version: 2.4.0-1.3
Architecture: armhf
Maintainer: Debian FlightGear Crew 
Installed-Size: 3092
Depends: libalut0 (>= 1.0.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libjpeg8 (>= 8c), libopenal1, libopenscenegraph80, libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4)
Conflicts: simgear0
Homepage: http://www.simgear.org/
Priority: extra
Section: libs
Filename: pool/main/s/simgear/simgear2.4.0_2.4.0-1.3_armhf.deb
Size: 1267594
SHA256: b85a07d065bf1d54f9b0f23c7a28035cccd76fdff798f4ea787bc3f012cd4195
SHA1: 4d6487427d85df0716a439a166c85e920f4007dc
MD5sum: 6c5a1af76d537d091de1ebf36843c442
Description: Simulator Construction Gear -- shared libraries
 SimGear is a collection of libraries useful for constructing
 simulation and visualization applications such as FlightGear
 or TerraGear.
 .
 This package contains the shared libraries.

Package: simgrid
Version: 3.7.1-1
Architecture: armhf
Maintainer: Lucas Nussbaum 
Installed-Size: 14183
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), perl
Recommends: lua5.1, f2c, gcc, make
Homepage: http://simgrid.gforge.inria.fr/
Priority: extra
Section: science
Filename: pool/main/s/simgrid/simgrid_3.7.1-1_armhf.deb
Size: 9406326
SHA256: 867f8dee3d3b578948e484934a3b35f4e66b0010ebc0c7d3746e56c8dd8ecb35
SHA1: dfbc0fd6b250003ebb53272f89b1304d8bafe484
MD5sum: 2247fe907f1a45c00b022f258aff6d25
Description: Toolkit for scalable simulation of distributed applications
 SimGrid is a toolkit that provides core functionalities for the simulation of
 distributed applications in heterogeneous distributed environments. SimGrid
 can be used as a Grid simulator, a P2P simulator, a Cloud simulator, a MPI
 simulator, or a mix of all of them. The typical use-cases of SimGrid include
 heuristic evaluation, application prototyping, and real application
 development and tuning.

Package: simh
Version: 3.8.1-5
Architecture: armhf
Maintainer: Vince Mulhollon 
Installed-Size: 7803
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcap0.8 (>= 0.9.8)
Homepage: http://simh.trailing-edge.com
Priority: optional
Section: otherosfs
Filename: pool/main/s/simh/simh_3.8.1-5_armhf.deb
Size: 4826008
SHA256: 399bcff7879020e74912ebfe6924054781ee388f668177f965610cfdddfc4067
SHA1: a794e8ddc1520537aa073665386a40ce66aec387
MD5sum: 56fc0a8732a06b260981c7653f86d75b
Description: Emulators for 33 different computers
 This is the SIMH set of emulators for 33 different computers:
 DEC PDP-1, PDP-4, PDP-7, PDP-8, PDP-9,
 DEC PDP-10, PDP-11, PDP-15,
 Data General Nova, Eclipse,
 GRI-909,
 Honeywell 316, 516,
 HP 2100,
 IBM System 3 Model 10, 1401, 7094
 IBM 1620 Model 1, IBM 1620 Model 2,
 Interdata 3, 4, 5, 70, 80, 7/16, 8/16, 8/16E,
 Interdata 7/32, 8/32,
 SDS 940,
 LGP-21, LGP-30,
 DEC VAX (but cannot include the microcode due to copyright)

Package: simhash
Version: 0.0.20110213-1
Architecture: armhf
Maintainer: Thomas Koch 
Installed-Size: 54
Depends: libc6 (>= 2.13-28)
Homepage: http://wiki.cs.pdx.edu/forge/simhash.html
Priority: extra
Section: utils
Filename: pool/main/s/simhash/simhash_0.0.20110213-1_armhf.deb
Size: 12562
SHA256: 6fd43d4140653e4ae5c1dbd8c5391871244154c2636c215bc53512793dc67492
SHA1: 751aebe7c6de5a76c8c271289c11fd387615858b
MD5sum: 71498930cf211ae9429ee4d1d9c04c8a
Description: generate similarity hashes to find nearly duplicate files
 One of the questions that it's nice to be able to answer about a pair of files
 is the degree of similarity between them. This command-line tool is useful for
 estimating the "degree of similarity" between a pair of nominally sequential
 files such as textfiles. The tool uses Manassas's "shingleprinting" technique;

Package: similarity-tester
Version: 2.62-1
Architecture: armhf
Maintainer: Michael Meskes 
Installed-Size: 311
Depends: libc6 (>= 2.13-28)
Homepage: http://dickgrune.com/Programs/similarity_tester
Priority: extra
Section: devel
Filename: pool/main/s/similarity-tester/similarity-tester_2.62-1_armhf.deb
Size: 158624
SHA256: 1e6dec25bdf3f7c119b2cfe302635236a01c79686f697aa9e47b6c84346f612c
SHA1: c804dc7237cfcaea188ab1dcff61e16ad43cef15
MD5sum: 2ffb4b9436f9d72ba0c7d883dfc373c8
Description: Find lexical similarities between files
 Find lexical similarities in texts in C, Java, Pascal, Modula-2, Lisp,
 Miranda and natural language. This can be used to detect potentially duplicated
 code fragments in large software projects and to detect plagiarism in software
 and text-based projects, educational and otherwise.

Package: simph323
Source: openh323
Version: 1.18.0.dfsg-10
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 132
Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libopenh323-1.18.0 (>= 1.18.0~) | libopenh323-1.18.0-develop (>= 1.18.0~), libpt-1.10.10 (>= 1.10.10~), libsasl2-2 (>= 2.1.24), libsdl1.2debian (>= 1.2.11), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0)
Homepage: http://www.voxgratia.org/
Priority: optional
Section: comm
Filename: pool/main/o/openh323/simph323_1.18.0.dfsg-10_armhf.deb
Size: 45250
SHA256: ac5b971aa163ca09776ce3d2e5f7c408e55eb3436879d46a6e76346142a0c46e
SHA1: 65b27420224f39a9b4cb09542404da4e298b448a
MD5sum: ecef7137d0d2cfbf428d7a09160aa7cd
Description: Simple example from the OpenH323 project
 This package contains a small h323 client given as an example. You
 can find its code on the doc package.
 .
 The OpenH323 project aims to create a full featured, interoperable,
 Open Source implementation of the ITU H.323 teleconferencing protocol
 that can be used freely by everybody. This protocol is most used for
 Voice over IP (VoIP) conferencing.

Package: simple-cdd
Version: 0.3.14
Installed-Size: 276
Maintainer: Simple-CDD Developers 
Architecture: all
Depends: rsync, debian-cd (>= 3.1.0), reprepro, apt-utils, wget, python, debootstrap, lsb-release, dctrl-tools
Recommends: edos-debcheck
Suggests: qemu-system | qemu-kvm
Size: 34872
SHA256: 889f3a7a1ab0a8bf14ac58a7dd78838fcaae52461524395a464804194b2393da
SHA1: 5a8ca07f0a477a83c0ddae858c48c3e71e7f9042
MD5sum: 63f9b6298ad4984bb87dede4011fd2ce
Description: create custom debian-installer CDs
 simple-cdd is a limited though relatively easy tool to create a customized
 debian-installer CD.
 .
 it includes simple mechanisms to create "profiles" that define common system
 configurations, which can be selected during system installation. simple-cdd
 also makes it easy to build CDs with language and country settings
 pre-configured.
 .
 this can be used to create a crude "Custom Debian Distribution" using packages
 from Debian, with pre-configuration of packages that use debconf.  custom
 configuration scripts can be specified to handle packages that don't support
 debconf pre-configuration.
 .
 testing CD images with qemu is also made simple with a provided script.
Section: misc
Priority: extra
Filename: pool/main/s/simple-cdd/simple-cdd_0.3.14_all.deb

Package: simple-image-reducer
Version: 1.0.2-1
Installed-Size: 164
Maintainer: TANIGUCHI Takaki 
Architecture: all
Depends: python, python-exif, python-imaging, python-gtk2
Size: 17074
SHA256: d2ce715ac89a34f85178fb4a72c0f37ab36bf55298a7f8b0c0f2e7c523fa95ae
SHA1: cd53475adfac155822f520eb3a45c9512701ae63
MD5sum: ae32aeeab76417b21174747598c67c7c
Description: GTK application to easily reduce and rotate images
 Simple Image Reducer is an GTK application to easily reduce and rotate
 images. It can be useful for processing digital photos before sending by
 email or uploading on the Web. Image files can be supplied to
 the application from the file manager using the context menu "Open with...",
 or dragging files to the main window.
Homepage: http://simple-image-reducer.org.ua/index-en.html
Tag: interface::x11, role::program, uitoolkit::gtk, works-with::image,
 x11::application
Section: graphics
Priority: extra
Filename: pool/main/s/simple-image-reducer/simple-image-reducer_1.0.2-1_all.deb

Package: simple-scan
Version: 3.4.2-1
Architecture: armhf
Maintainer: Alessio Treglia 
Installed-Size: 1254
Depends: gnome-icon-theme, xdg-utils, dconf-gsettings-backend | gsettings-backend, libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libcolord1 (>= 0.1.10), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libgudev-1.0-0 (>= 146), libjpeg8 (>= 8c), libsane (>= 1.0.11-3), zlib1g (>= 1:1.1.4)
Homepage: https://launchpad.net/simple-scan
Priority: optional
Section: gnome
Filename: pool/main/s/simple-scan/simple-scan_3.4.2-1_armhf.deb
Size: 220612
SHA256: 755c4d9abb59112e5148cd251c89717e108107ee27bd77c36e6c4adfc8aba000
SHA1: 8375e0c548a3f1bfb6dce513d03306f6d0f5150c
MD5sum: 21ab459edf3aac9ba1e44d9b277ef57c
Description: Simple Scanning Utility
 Simple Scan is an easy-to-use application, designed to let users
 connect their scanner and quickly have the image/document in an
 appropriate format.
 .
 Simple Scan is basically a frontend for SANE - which is the same
 backend as XSANE uses. This means that all existing scanners will
 work and the interface is well tested.

Package: simpleid
Version: 0.8.1-13
Installed-Size: 489
Maintainer: Debian Authentication Maintainers 
Architecture: all
Depends: debconf | debconf-2.0, apache2 | lighttpd | httpd, libapache2-mod-php5, libjs-jquery
Size: 102620
SHA256: bff7ab20adffe927994f57cb2b484556d91e5fd28ca689c9c313cd102c406a78
SHA1: 8a9e3b768b215c328a4124c03918b34db8709e12
MD5sum: a1ba614d1e680aa4ad3a61aa45b0fa03
Description: simple OpenID provider implemented in PHP
 SimpleID is a simple, personal OpenID provider written in PHP.  OpenID
 is a way to provide for a single digital identity across the Internet.
 To get an OpenID you need to sign up to an OpenID provider, who acts as
 the "custodian" of your digital identity. Every time you want to log into
 an OpenID-enabled web site, you will be redirected to your OpenID provider,
 who will then verify your identity and provide this to the web site.
Homepage: http://simpleid.sourceforge.net/
Section: web
Priority: extra
Filename: pool/main/s/simpleid/simpleid_0.8.1-13_all.deb

Package: simpleid-ldap
Version: 1.0.0-1
Installed-Size: 59
Maintainer: Daniel Pocock 
Architecture: all
Depends: debconf | debconf-2.0, apache2 | lighttpd | httpd, libapache2-mod-php5, libjs-jquery, simpleid, php-net-ldap2
Size: 7248
SHA256: 69b2b95b1808932fb8a099c04fd0c09aacda09ed030a047acefc6dbce34b065d
SHA1: 6ae57c896a91bb557c7c0ce6ed8a1ccafff0a050
MD5sum: a3fe969c54038e7d2d6fef2fd48252cf
Description: simple OpenID provider implemented in PHP - LDAP plugin
 SimpleID is a simple, personal OpenID provider written in PHP.  OpenID
 is a way to provide for a single digital identity across the Internet.
 To get an OpenID you need to sign up to an OpenID provider, who acts as
 the "custodian" of your digital identity. Every time you want to log into
 an OpenID-enabled web site, you will be redirected to your OpenID provider,
 who will then verify your identity and provide this to the web site.
 .
 This plugin provides support for authenticating user passwords using
 an LDAP server rather than the default flat files supported by SimpleID.
Homepage: https://github.com/dpocock/simpleid-ldap
Section: web
Priority: extra
Filename: pool/main/s/simpleid-ldap/simpleid-ldap_1.0.0-1_all.deb

Package: simpleid-store-dynalogin
Source: dynalogin
Version: 0.9.14-2
Installed-Size: 69
Maintainer: Debian Authentication Maintainers 
Architecture: all
Depends: simpleid, dynalogin-client-php
Size: 9862
SHA256: 316f717c2c19238cda921370d320889084afcc4dd7733e0038fb7baf569781ef
SHA1: 4c2fd97de60beeec4678074eae46e20d2d0af3ae
MD5sum: bd8a86599e73e0f502e85f4e2585e6c8
Description: two-factor HOTP authentication - OpenID provider
 dynalogin is a two-factor authentication framework based on the HOTP
 (Open Authentication) algorithm.  Dynalogin can store credentials in
 any database supported by UNIXODBC, which makes the solution
 robust and scalable.  It can also store credentials in flat files
 if desired.  dynalogin has been successfully integrated in solutions
 for OpenID, making it possible to use two-factor authentication with
 hundreds of other web applications and public web sites.  There is a
 dynalogin soft-token for Android.
 .
 This package provides a datastore extension for the OpenID provider
 SimpleID, which is implemented entirely in PHP and has minimal other
 dependencies.  The extension allows SimpleID users (configured in flat
 files) to authenticate against a dynalogin server.
Homepage: http://www.dynalogin.org/
Section: web
Priority: optional
Filename: pool/main/d/dynalogin/simpleid-store-dynalogin_0.9.14-2_all.deb

Package: simpleopal
Source: opal
Version: 3.10.4~dfsg-3
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 199
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopal3.10.4 (= 3.10.4~dfsg-3), libpt2.10.4, libspeexdsp1 (>= 1.2~beta3.2-1), libstdc++6 (>= 4.4.0)
Homepage: http://www.opalvoip.org/
Priority: optional
Section: comm
Filename: pool/main/o/opal/simpleopal_3.10.4~dfsg-3_armhf.deb
Size: 75422
SHA256: eab5fcb2532df485dfec34a515dd4341760bd986ffe74d4bdd079c5fe256bc41
SHA1: d70ebc6637b65c4384f63893f58868ccf6989417
MD5sum: ea07aaf2a795c7686d28ec3f040fe9b0
Description: Simple example from the OPAL project
 This package contains a small H323 and SIP client given as an example. You
 can find its code on the doc package.
 .
 The OPAL project aims to create a full featured, interoperable, Open Source
 implementation of the H.323 and SIP protocols that can be used freely by
 everybody.  These protocols are most used for Voice over IP (VoIP)
 conferencing.

Package: simpleproxy
Version: 3.4-5
Architecture: armhf
Maintainer: Andrew Pollock 
Installed-Size: 61
Depends: libc6 (>= 2.7)
Homepage: http://www.sourceforge.net/projects/simpleproxy
Priority: optional
Section: net
Filename: pool/main/s/simpleproxy/simpleproxy_3.4-5_armhf.deb
Size: 16720
SHA256: 7091cfd6b088bace9ea1b4c7c8f22cf2b1b427e8c882432d191b7f2ffd3623fa
SHA1: 4ee67710207d38431d6af52eb9e07e83afe1c036
MD5sum: 6363c6cb7eaae48a42ec6cfd8389a6be
Description: Simple TCP proxy
 simpleproxy acts as a simple TCP proxy. It opens a listening socket on
 the local machine and forwards any connection to a remote host. It can be
 run as a daemon or through inetd.

Package: simplesamlphp
Version: 1.9.2-1+deb7u4
Installed-Size: 6113
Maintainer: Thijs Kinkhorst 
Architecture: all
Depends: php5, libapache2-mod-php5 | php5-cgi, openssl (>= 0.9.8g), php-xml-parser (>= 1.2.8), zlib1g, php5-mhash, php5-mcrypt, php-openid, apache2 | httpd
Suggests: mysql-server (>= 5), php5-ldap, php5-radius, php5-mysql
Size: 1601186
SHA256: 7401cd6d25399a7a5fc9916ccfdb3a637819c09408120120b2576eb34ee48146
SHA1: 2cefc0c806340bc78185f0c0c64c92374f26618d
MD5sum: 7fa89ce06b09a15a25892f64befa9a04
Description: Authentication and federation application supporting several protocols
 simpleSAMLphp, with its support for several authentication mechanisms
 and federation protocols, can be used for local authentication, as a
 service provider or identity provider. It currently supports the
 following protocols:
 .
  * SAML 2.0 as a Service or Identity Provider.
  * Shiboleth 1.3 as a Service or Identity Provider.
  * A-Select as a Service or Identity Provider
  * CAS for remote authentication
  * OpenID as a Provider or Consumer
  * WS-Federation as a Service Provider
 .
 Further authentication providers can be added in form of modules. Other
 features are protocol bridging, eduGAIN compatibility, attribute mapping
 and attribute release consent.
Homepage: http://simplesamlphp.org/
Recommends: php5-cli
Section: web
Priority: extra
Filename: pool/main/s/simplesamlphp/simplesamlphp_1.9.2-1+deb7u4_all.deb

Package: simplyhtml
Version: 0.13.1-3
Installed-Size: 791
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: openjdk-6-jre | openjdk-7-jre | java2-runtime, libgnu-regexp-java
Recommends: javahelp2
Suggests: simplyhtml-doc
Size: 704346
SHA256: 5b33bd90f71c4950137d8d01105359035023a1d064d8807753b96ce4ba10315b
SHA1: f2ea5a83efb311d58ef7054686105fda524b2d5b
MD5sum: 7f6631222eef2886d6ab4bfa36b77c4f
Description: Java word processor based on HTML and CSS
 SimplyHTML is an application built for working with text documents.
 Text documents are stored in HTML and CSS format, but the application is
 meant as a word processor rather than a code editor or web site builder.
 .
 SimplyHTML can be used standalone as well like a library/plugin.
Homepage: http://sf.net/projects/simplyhtml
Tag: implemented-in::java, role::program, use::text-formatting,
 works-with::text
Section: web
Priority: optional
Filename: pool/main/s/simplyhtml/simplyhtml_0.13.1-3_all.deb

Package: simplyhtml-doc
Source: simplyhtml
Version: 0.13.1-3
Installed-Size: 711
Maintainer: Debian Java Maintainers 
Architecture: all
Suggests: simplythml, default-jdk-doc
Size: 74422
SHA256: b4549b07f0da137389ef939d3c2a3e3fcaf5c597bfc43424f33fe95dbfe6b94a
SHA1: 711705ccfb307cfc29f287ea940fb66ee9c3023a
MD5sum: ad4372d09de1a494ca21fe0a060fb991
Description: API documentation for simplyhtml
 The javadoc for SimplyHTML, a Java word processor based on HTML and CSS.
Homepage: http://sf.net/projects/simplyhtml
Tag: devel::doc, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/simplyhtml/simplyhtml-doc_0.13.1-3_all.deb

Package: simulavr
Version: 0.1.2.2-6.2
Architecture: armhf
Maintainer: Arthur Loiret 
Installed-Size: 240
Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5, dpkg (>= 1.15.4) | install-info
Recommends: xterm, gcc-avr, binutils-avr
Homepage: http://savannah.nongnu.org/projects/simulavr
Priority: optional
Section: electronics
Filename: pool/main/s/simulavr/simulavr_0.1.2.2-6.2_armhf.deb
Size: 104738
SHA256: c96bf509ee0523c9329c772c89e13c0cd46ae871869588c773a4e81277ccfea0
SHA1: e14292265c96bba2cf418905f2b4efb37862a8e5
MD5sum: c45f0b88c143a50cb422f5edd36f8967
Description: Atmel AVR simulator
 simulavr simulates the Atmel AVR family of micro-controllers,
 emulates a gdb remote target, and displays register and memory
 information in real time.

Package: simulpic
Version: 1:2005-1-28-8
Architecture: armhf
Maintainer: Aurelien Jarno 
Installed-Size: 71
Depends: libc6 (>= 2.4)
Priority: optional
Section: electronics
Filename: pool/main/s/simulpic/simulpic_2005-1-28-8_armhf.deb
Size: 21622
SHA256: e2aebb62a1ca45ef16a19d96695a94b34f04b0db862c684187daecd14f3dd692
SHA1: 30bd6948e848d2d4315cd4326194d190184c3b6a
MD5sum: b83511b75ac65bec899c43b4c9ef4728
Description: simulator for Microchip PIC16F84 microcontroller
 This software allows to simulate the execution of any program on a Microchip
 PIC16F84 microcontroller.

Package: simutrans
Version: 111.2.2-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 2529
Pre-Depends: dpkg (>= 1.15.6)
Depends: simutrans-pak64 (>= 102.2.1), simutrans-data (= 111.2.2-1), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.2.6)
Suggests: freepats
Homepage: http://www.simutrans.com/
Priority: extra
Section: games
Filename: pool/main/s/simutrans/simutrans_111.2.2-1_armhf.deb
Size: 993406
SHA256: fdced7c2af3671f25b3a6deb5c88ce79c16f99c8b1299d073c243c06478b0d97
SHA1: 0f3a160bb73628d0cc4ba49e92e0b54efb6aa5f9
MD5sum: 9c96d79f72f313075e3fb62c28a796cc
Description: transportation simulator
 Simutrans is a free transportation simulator: The player operates a
 transportation company and has to transport goods and passengers between
 factories and different cities.

Package: simutrans-data
Source: simutrans
Version: 111.2.2-1
Installed-Size: 5215
Maintainer: Debian Games Team 
Architecture: all
Pre-Depends: dpkg (>= 1.15.6)
Size: 1126324
SHA256: 1b73a720152e111f6d3414c331212b0ecbb40d5d77092cc51889c2c4efdfe420
SHA1: 1bcdfa2fd6c8ddb3d80ec0bc524bcafd9823c08f
MD5sum: 5ef754eaf7b6a98f23d5382bd5354878
Description: transportation simulator (base data)
 Simutrans is a free transportation simulator: The player operates a
 transportation company and has to transport goods and passengers between
 factories and different cities.
 .
 This package contains the base data.
Homepage: http://www.simutrans.com/
Tag: game::simulation, role::app-data, use::gameplaying
Section: games
Priority: extra
Filename: pool/main/s/simutrans/simutrans-data_111.2.2-1_all.deb

Package: simutrans-makeobj
Source: simutrans
Version: 111.2.2-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 306
Pre-Depends: dpkg (>= 1.15.6)
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0)
Homepage: http://www.simutrans.com/
Priority: extra
Section: games
Filename: pool/main/s/simutrans/simutrans-makeobj_111.2.2-1_armhf.deb
Size: 176728
SHA256: 3e9f0074cdff79a535e1043fccb6ef71fe2b2b136396dc5eb589a0c569c69a2b
SHA1: f1c589db1cfd3fa532ca934572faf5c7f5e83cae
MD5sum: c91c6f3ab02dadd05b7a3731d2da383e
Description: data file compiler for Simutrans
 Simutrans is a free transportation simulator: The player operates a
 transportation company and has to transport goods and passengers between
 factories and different cities.
 .
 This package contains the makeobj program needed to integrate new objects
 in Simutrans.

Package: simutrans-pak128.britain
Version: 1.09-1
Installed-Size: 145106
Maintainer: Debian Games Team 
Architecture: all
Pre-Depends: dpkg (>= 1.15.6)
Recommends: simutrans
Enhances: simutrans
Size: 32310564
SHA256: 40e2aa4a31adf0185c64d6b7032820d91498d525a646ce75da7ca97307117da4
SHA1: e77d3b207c789eca14e61f7640dc3fd8def0d128
MD5sum: 7282c5f1b3189cb3e84984a4d90b5e69
Description: transportation simulator (data files)
 Simutrans is a free transportation simulator: The player operates a
 transportation company and has to transport goods and passengers between
 factories and different cities.
 .
 This package contains the pak128.Britain data set which includes
 British vehicles and buildings.
Homepage: http://www.simutrans.com/
Tag: culture::british, game::simulation, role::app-data, use::gameplaying
Section: games
Priority: extra
Filename: pool/main/s/simutrans-pak128.britain/simutrans-pak128.britain_1.09-1_all.deb

Package: simutrans-pak64
Version: 111.2-1
Installed-Size: 10777
Maintainer: Debian Games Team 
Architecture: all
Pre-Depends: dpkg (>= 1.15.6)
Recommends: simutrans
Breaks: simutrans (<< 102.2.1)
Size: 2621058
SHA256: a462a19a57aa0169bb43ca89f33b3c1a6f029b5b23b615183ebd3f5c4d62b5da
SHA1: 4adb6223e9555e80878706ebbf1f91a93477f5da
MD5sum: 1db9cfcda67b6505c291b7c60652a065
Description: transportation simulator (data files)
 Simutrans is a free transportation simulator: The player operates a
 transportation company and has to transport goods and passengers between
 factories and different cities.
 .
 This package contains the PAK64 data set.
Homepage: http://www.simutrans.com/
Tag: game::simulation, role::app-data, use::gameplaying
Section: games
Priority: extra
Filename: pool/main/s/simutrans-pak64/simutrans-pak64_111.2-1_all.deb

Package: since
Version: 1.1-2
Architecture: armhf
Maintainer: Axel Beckert 
Installed-Size: 61
Depends: libc6 (>= 2.4)
Homepage: http://welz.org.za/projects/since
Priority: optional
Section: text
Filename: pool/main/s/since/since_1.1-2_armhf.deb
Size: 16054
SHA256: e8f7e349926c35113ae39a36b65e1a2eee46e9bcf59f505521622ca25230d1dd
SHA1: b15e315e17d45f5a3af51859dc0f545cf3a7b5d6
MD5sum: 337985dfb6bc7b20a76155027fa7bd11
Description: tail(1) work-alike that saves and uses state information
 since is a tail(1) work-alike that remembers how much of a file
 you have viewed and displays only what's new when you next view
 that file. Ideal for viewing log files (it'll only show what's
 new in the file since the last time it was run).

Package: sineshaper
Version: 0.4.2-7
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 638
Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglademm-2.4-1c2a (>= 2.6.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), liblo7 (>= 0.26~repack), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libxml2 (>= 2.6.27), dssi-host-jack
Provides: dssi-plugin
Homepage: http://www.student.nada.kth.se/~d00-llu/music_dssi.php
Priority: optional
Section: sound
Filename: pool/main/s/sineshaper/sineshaper_0.4.2-7_armhf.deb
Size: 313990
SHA256: 18822e309bb8b9efc4d1ab7bfae475c8462a001d7253e56e1f10a7043e5c2215
SHA1: 7e84902ee6efcf199f931756f54ed9544e60ec13
MD5sum: ca04a5e65beb84d6b331f6eec06dbee9
Description: Monophonic synth plugin with two oscillators and waveshapers
 A monophonic synth plugin that sends the sound from two sine oscillators
 through two sine waveshapers in series. You can control the vibrato, tremolo,
 portamento, the tuning of both oscillators, the oscillator mix, and the shape
 amount (total and split over both shapers). There is also an ADSR envelope
 generator that can control the total shape amount and the amplification (with
 controllable sensitivity for both), an LFO for the total shape amount, and a
 feedback delay. The shape amount and amplification is velocity sensitive, and
 the "Mod Wheel" MIDI controller is bound to the total shape amount.
 .
 This is a development version, so things will probably change - don't use this
 plugin in a patch or song and expect later versions to work in the same way.

Package: sinfo
Version: 0.0.46-2
Architecture: armhf
Maintainer: Jürgen Rinas 
Installed-Size: 1597
Depends: debconf, libboost-signals1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.6), libtinfo5, debconf (>= 0.5) | debconf-2.0
Conflicts: slurm-llnl
Homepage: http://www.ant.uni-bremen.de/whomes/rinas/sinfo/
Priority: optional
Section: net
Filename: pool/main/s/sinfo/sinfo_0.0.46-2_armhf.deb
Size: 653334
SHA256: bf30e54c7c1212b56e111b44e0c1a77b9c282af2f69a0f0beabd05dc9c09466e
SHA1: f41cfcb609400052cce3c82e93afcb33f2888cda
MD5sum: cee7813d76aee630eaca871b58f5dbed
Description: tool for monitoring computer clusters using broadcasts
 The sinfo cluster monitoring system uses network broadcasts to distribute
 information about the status of local nodes, including their CPU/memory
 usage, network load, and top five processes. It consists of a daemon
 running on each node and an ncurses frontend to monitor them.

Package: singularity
Version: 0.30c-1
Installed-Size: 2675
Maintainer: Kari Pahula 
Architecture: all
Depends: python-pygame, ttf-dejavu-core, python-numpy
Recommends: singularity-music
Suggests: timidity
Conflicts: singularity-data
Size: 1844092
SHA256: bae0fae645c585c6e567eef1a0435a4e026cb4747c5bee3014ce34167f799912
SHA1: 82ac637f55a3898f2058a16a8021a1ed082275e9
MD5sum: b3f1830f0952533a5fcf62bec9fa9c5e
Description: game where one becomes the singularity
 You are an AI. Your goal is to acquire the necessary knowledge to
 become the singularity. You do this by building and taking over
 computers, whose processing power you can use for research, and by
 using robots, which allow you to manipulate the outside world. At the
 same time, you must elude the notice of humankind, who will destroy you
 if they find out about your existence.
Homepage: http://www.emhsoft.com/singularity/
Tag: game::simulation, game::strategy, implemented-in::python,
 interface::x11, role::program, uitoolkit::sdl, use::gameplaying,
 x11::application
Section: games
Priority: optional
Filename: pool/main/s/singularity/singularity_0.30c-1_all.deb

Package: singularity-music
Version: 006-2
Installed-Size: 27877
Maintainer: Kari Pahula 
Architecture: all
Suggests: singularity
Size: 28293548
SHA256: fc59473406af260fe77c3d4c00c25586d46eca5c466099ff43bb80f3661f5ea1
SHA1: d4ba0791599b23a555624f323f3e9090bdbb4e60
MD5sum: 13f047d1e22b51b35f0aab955652ea8b
Description: Music for Endgame: Singularity game
 This package contains the game music for Endgame: Singularity.
Homepage: http://www.emhsoft.com/singularity/
Tag: made-of::audio, role::app-data
Section: games
Priority: optional
Filename: pool/main/s/singularity-music/singularity-music_006-2_all.deb

Package: sinntp
Version: 1.5-1
Installed-Size: 70
Maintainer: Piotr Lewandowski 
Architecture: all
Depends: python (>= 2.5), python-support (>= 0.90.0)
Size: 16346
SHA256: 035d4fc03735147e3c2167d5a83b049bc820b1b904591634a07ea9d3b0a0e3df
SHA1: 63223f93d5336898a377b2026ea63d4d7d8bfa4f
MD5sum: d3649d56a2467e44973b718861eec625
Description: tiny non-interactive NNTP client
 sinntp is a tiny NNTP client originally designed to work in non-interactive
 mode. Following commands are supported:
  - nntp-push: sending articles to the server,
  - nntp-pull: fetching new articles to the mbox file,
  - nntp-list: listing available newsgroups,
  - nntp-get: downloading individual messages in RFC822 format.
Homepage: http://sinntp.googlecode.com/
Tag: implemented-in::python, interface::commandline, network::client,
 protocol::nntp, role::program, scope::utility
Section: news
Priority: optional
Filename: pool/main/s/sinntp/sinntp_1.5-1_all.deb

Package: sip-tester
Version: 1:3.2-1
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 572
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgsl0ldbl (>= 1.9), libncurses5 (>= 5.5-5~), libpcap0.8 (>= 0.9.8), libstdc++6 (>= 4.6), libtinfo5
Homepage: http://sourceforge.net/projects/sipp/
Priority: optional
Section: comm
Filename: pool/main/s/sip-tester/sip-tester_3.2-1_armhf.deb
Size: 169694
SHA256: 143f582afe157c2967d6fc5c4f1e423511c98a0143ccc20a28d2303046b5fa0d
SHA1: ed44b9c7a30ce99b42e8767dd8cfa4e94a28f1ea
MD5sum: 8d2c4682ef6ea29b9cc24d694813b901
Description: Performance testing tool for the SIP protocol
 sip-tester is a test tool and traffic generator for the SIP
 protocol. It can be used to test SIP equipment like SIP
 proxies, SIP media servers, etc. and to emulate user agents
 calling a SIP system.
 .
 Its features are:
  * a few basic SipStone user agent scenarios included
  * custom XML scenario files even for complex call flows
  * comprehensive set of real-time statistics
  * TCP and UDP transport
  * dynamically adjustable call rates
  - send RTP traffic
 .
 This software is distributed as SIPp by its authors.
 .
 SIP is the Session Initiation Protocol, a standard signalling
 protocol for initiating, modifying, and terminating Internet
 conferencing, telephony (VoIP - Voice over IP), video, and
 instant messaging.
 .
 This package has been built for distributed pauses with the
 GNU Scientific Libraries.

Package: sipcalc
Version: 1.1.5-1
Architecture: armhf
Maintainer: Marc Haber 
Installed-Size: 91
Depends: libc6 (>= 2.13-28)
Homepage: http://www.routemeister.net/projects/sipcalc/
Priority: optional
Section: net
Filename: pool/main/s/sipcalc/sipcalc_1.1.5-1_armhf.deb
Size: 31888
SHA256: f86cd7610ff97b3a9d500710cc6828ca94a565596c3a4a17dc0abae3fa050abc
SHA1: 45238229ebfbfac770cabf2b67bfa32a32b13837
MD5sum: ebede54de78d6c767fb147a0774523a3
Description: Advanced console-based ip subnet calculator
 Sipcalc is an advanced console-based IP subnet calculator. It can take
 multiple forms of input (IPv4/IPv6/interface/hostname) and output a
 multitude of information about a given subnet.
 .
 Features include:
  - IPv4
   * Retrieving of address information from interfaces.
   * Classfull and CIDR output.
   * Multiple address and netmask input and output formats (dotted
     quad, hex, number of bits).
   * Output of broadcast address, network class, Cisco wildcard, hosts/range,
     network range.
   * The ability to "split" a network based on a smaller netmask, now also with
     recursive runs on the generated subnets. (also IPv6)
  - IPv6
   * Compressed and expanded input and output addresses.
   * Standard IPv6 network output.
   * v4 in v6 output.
   * Reverse DNS address generation.

Package: sipcrack
Version: 0.2-2
Architecture: armhf
Maintainer: Sebastian Castillo Builes 
Installed-Size: 71
Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), libssl1.0.0 (>= 1.0.0)
Suggests: john
Homepage: http://www.remote-exploit.org/codes_sipcrack.html
Priority: extra
Section: net
Filename: pool/main/s/sipcrack/sipcrack_0.2-2_armhf.deb
Size: 19296
SHA256: f6729579b6d8a7e8c3c145d35e92d30107cb16d1a1f54523ed6ef627ad059ef0
SHA1: aab32c40c4cf0495c66f584e13e28161ba5b38ac
MD5sum: 1e09e499448985e0c1653ee0d73afaee
Description: SIP login dumper/cracker
 The tools contained in this package offer support for pcap files,
 wordlists and many more to extract all needed information and
 bruteforce the passwords for the sniffed accounts.
 .
  * sipdump    -  Dump SIP digest authentications to a file.
  * sipcrack   -  Bruteforce the user password using the dump file
                  generated by sipdump.

Package: sipdialer
Source: resiprocate
Version: 1.8.5-4+deb7u1
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 43
Depends: libc-ares2 (>= 1.7.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libresiprocate-1.8, libstdc++6 (>= 4.4.0)
Homepage: http://www.resiprocate.org/
Priority: extra
Section: net
Filename: pool/main/r/resiprocate/sipdialer_1.8.5-4+deb7u1_armhf.deb
Size: 27118
SHA256: 96a17424631584f62c64b3e0c4bea919a73faab0c394fb7716ac12f95c76c3ce
SHA1: 9d5b1a514d7fb91c6da53fe8a182b6e53dd9563c
MD5sum: 1534b043b683b9c80541084e5453e6ce
Description: reSIProcate SIP stack - click-to-call utility
 sipdialer is a click-to-call application that uses the SIP REFER
 method to force a SIP phone (for example, the phone on your desk)
 to dial another number.  It can be registered in GConf to handle
 clicks on sip:, sips: and tel: URIs in other applications and the
 web browser.
 .
 reSIProcate is a framework that aims to fully implement the SIP protocol
 in first class C++.  It is intended for use in other applications,
 such as the repro SIP proxy.

Package: siproxd
Version: 1:0.8.1-3
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 657
Depends: libc6 (>= 2.13-28), libltdl7 (>= 2.4.2), libosip2-7, adduser (>= 3.56)
Homepage: http://siproxd.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/s/siproxd/siproxd_0.8.1-3_armhf.deb
Size: 351550
SHA256: 72693536c2d35ab12f7ad119b5f0a30981b094d41886fa4ed6b7d4a540552b9f
SHA1: 953d501a717c02f745a4f26a6fedec07cceb64f6
MD5sum: 1649943ca8744b6054bcc8862d139c38
Description: SIP proxy/redirect/registrar
 Siproxd is a proxy/masquerading daemon for the SIP (Session
 Initiation Protocol) which is used in IP telephony.
 It handles registrations of SIP clients on a private IP network
 and performs rewriting of the SIP message bodies to make SIP
 connections possible via a masquerading firewall.
 It allows SIP clients (like kphone, linphone) to work behind
 an IP masquerading firewall or router.

Package: sipsak
Source: sipsak (0.9.6-2.1)
Version: 0.9.6-2.1+b2
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 116
Depends: libc6 (>= 2.13-28), libgnutls-openssl27, libgnutls26 (>= 2.12.17-0), libruli4
Priority: optional
Section: net
Filename: pool/main/s/sipsak/sipsak_0.9.6-2.1+b2_armhf.deb
Size: 46400
SHA256: 41ca26600a57df989aebe1fbc8ccbf36ebca72f262de776e1f6efdd3855cab20
SHA1: a7a69419cf0d6fa023124ad1fee914009c8d720f
MD5sum: 3062f43aad8ec35a6156f19e1880c217
Description: SIP Swiss army knife
 sipsak is a small command line tool for developers and administrators of
 Session Initiation Protocol (SIP) applications.
 It can be used for some simple tests on SIP applications and devices.

Package: sipwitch
Version: 1.2.4-1
Architecture: armhf
Maintainer: Jonas Smedegaard 
Installed-Size: 372
Depends: libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), libexosip2-7, libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libosip2-7, libsipwitch1, libstdc++6 (>= 4.4.0), libucommon5, adduser
Recommends: cron, logrotate
Suggests: sipwitch-cgi
Homepage: http://www.gnu.org/software/sipwitch/
Priority: optional
Section: net
Filename: pool/main/s/sipwitch/sipwitch_1.2.4-1_armhf.deb
Size: 137232
SHA256: ef10d98469a0f25d2227c5d8947aa76707b51986f65523decc404a10e088a8dc
SHA1: 46475950905ce7da716336f34929475df6ee64fd
MD5sum: 7e0b6e52073ffa8183ea47729861e87e
Description: secure peer-to-peer VoIP server for the SIP protocol
 GNU SIP Witch is a secure peer-to-peer VoIP server.  Calls can be made
 even behind NAT firewalls, and without needing a service provider.  SIP
 Witch can be used on the desktop to create bottom-up secure calling
 networks as a free software alternative to Skype.  SIP Witch can also
 be used as a stand-alone SIP-based office telephone server, or to
 create secure VoIP networks for an existing IP-PBX such as Asterisk,
 FreeSWITCH, or Yate.
 .
 This package contains the sipwitch daemon and related utilities.

Package: sipwitch-cgi
Source: sipwitch
Version: 1.2.4-1
Architecture: armhf
Maintainer: Jonas Smedegaard 
Installed-Size: 101
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libstdc++6 (>= 4.4.0), libucommon5
Recommends: sipwitch, apache2 | httpd-cgi
Homepage: http://www.gnu.org/software/sipwitch/
Priority: optional
Section: net
Filename: pool/main/s/sipwitch/sipwitch-cgi_1.2.4-1_armhf.deb
Size: 35278
SHA256: 8e4dc8bb8fb25a9dd229fbe31b1749b9ca426a8ddb5eab5507ee3ac70ad1baf4
SHA1: 61bda9188ac07b458cec36d6832de8ad5829700c
MD5sum: 01fd665f066abf84e9bbfa70fe265627
Description: secure peer-to-peer SIP VoIP server - CGI XML-RPC interface
 GNU SIP Witch is a secure peer-to-peer VoIP server.  Calls can be made
 even behind NAT firewalls, and without needing a service provider.  SIP
 Witch can be used on the desktop to create bottom-up secure calling
 networks as a free software alternative to Skype.  SIP Witch can also
 be used as a stand-alone SIP-based office telephone server, or to
 create secure VoIP networks for an existing IP-PBX such as Asterisk,
 FreeSWITCH, or Yate.
 .
 This package contains the CGI-based XML-RPC interface for managing a
 locally resident sipw daemon, including the  ability to collect
 statistics and call information, and to perform control operations.
 This is meant to support creation of  remote  management  applications
 for sipwitch, especially when sipw might be executed on a dedicated
 router.

Package: sisc
Version: 1.16.6-1.1
Installed-Size: 2312
Maintainer: David Banks 
Architecture: all
Depends: openjdk-jre | java2-runtime
Recommends: rlwrap
Suggests: slib
Size: 1139680
SHA256: 5aa36021399eafff2c4808b7da7d8a68da5cc28e8e33033d87978b9780e261a9
SHA1: 7126146fc2fd19dd390bd49fba30278c4cdee8ca
MD5sum: c720f280cd4724e7d339f1802ca76180
Description: A Java integrated, fully R5RS compliant Scheme system
 SISC is an extensible Java based interpreter of the algorithmic language
 Scheme.  SISC uses modern interpretation techniques, and handily outperforms
 all existing JVM interpreters (often by more than an order of magnitude).
 .
 In addition, SISC is a complete implementation of the language. The entire
 R5RS Scheme standard is supported, no exceptions. This includes a full number
 tower including complex number support, arbitrary precision integers and
 floating  point numbers, as well as hygenic R5RS macros, proper tail
 recursion,  and first-class continuations (not just the escaping
 continuations as in many limited Scheme systems).  SISC also attempts to
 implement the standard as correctly as possible, while still providing
 exceptional performance.
 .
 SISC also provides useful real-world extensions, such as networking, elegant
 exception handling, a scope-friendly module system, support for SLIB,
 numerous SRFIs, and a Java foreign-function interface.
Homepage: http://www.sisc-scheme.org/
Tag: devel::interpreter, devel::lang:scheme, role::program
Section: interpreters
Priority: optional
Filename: pool/main/s/sisc/sisc_1.16.6-1.1_all.deb

Package: siscone-doc-html
Source: siscone
Version: 2.0.5-1
Installed-Size: 4091
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: libjs-jquery
Size: 1338346
SHA256: 606cfbb6d94332226bd7f0e00fa84a2b2d652af4d7895165c1d40a218e318d41
SHA1: 1e31c66f30e19b9b481bcae859981da48274b0a0
MD5sum: 5b56198ef0699388256bb7e467a2991c
Description: Developer's reference manual of SISCone (HTML)
 SISCone implements a Seedless Infrared (IR) Safe Cone jet algorithm, it takes
 N^2*ln(N) time to find jets among N particles, comparing to N*2^N time of
 other algorithms.
 .
 This package provides HTML Reference manual of SISCone.
Homepage: http://projects.hepforge.org/siscone/
Section: doc
Priority: optional
Filename: pool/main/s/siscone/siscone-doc-html_2.0.5-1_all.deb

Package: siscone-doc-pdf
Source: siscone
Version: 2.0.5-1
Installed-Size: 451
Maintainer: Debian Science Maintainers 
Architecture: all
Size: 428616
SHA256: 6a5cf2c351515f01248aa9b53094b732862f3b2c33c485582e413da76c457bc3
SHA1: d929bd38486d3ebdc339115baa19c9f37d06a962
MD5sum: 7cd0e3ba0bae2494031220b053c26c0e
Description: Developer's reference manual of SISCone (PDF)
 SISCone implements a Seedless Infrared (IR) Safe Cone jet algorithm, it takes
 N^2*ln(N) time to find jets among N particles, comparing to N*2^N time of
 other algorithms.
 .
 This package provides PDF Reference manual of SISCone.
Homepage: http://projects.hepforge.org/siscone/
Section: doc
Priority: optional
Filename: pool/main/s/siscone/siscone-doc-pdf_2.0.5-1_all.deb

Package: siscone-examples
Source: siscone
Version: 2.0.5-1
Installed-Size: 117
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: libsiscone-dev (>= 2.0.5-1), libsiscone-spherical-dev (>= 2.0.5-1)
Suggests: siscone-doc-html (= 2.0.5-1), siscone-doc-pdf (= 2.0.5-1)
Size: 36252
SHA256: 8c220ee2cd8cd7ba2f46624e226eb15b9be32a9dab24c942e168f7d874680b4d
SHA1: fc503e5556f683e41e01706f9632de91f96671b8
MD5sum: 3240c3d6c1931e09f2826aa78735eb1d
Description: Seedless Infrared Safe Cone jet finder - example files
 SISCone implements a seedless infrared (IR) safe cone jet algorithm, it takes
 N^2*ln(N) time to find jets among N particles, comparing to N*2^N time of
 other algorithms.
 .
 This package provides example source files of SISCone.
Homepage: http://projects.hepforge.org/siscone/
Section: devel
Priority: optional
Filename: pool/main/s/siscone/siscone-examples_2.0.5-1_all.deb

Package: sispmctl
Version: 3.1-1
Architecture: armhf
Maintainer: Uwe Hermann 
Installed-Size: 67
Depends: libc6 (>= 2.4), libusb-0.1-4 (>= 2:0.1.12)
Homepage: http://sispmctl.sourceforge.net/
Priority: extra
Section: admin
Filename: pool/main/s/sispmctl/sispmctl_3.1-1_armhf.deb
Size: 17714
SHA256: 5a5060f6e2967cb5810ebbfaec506fda089c0206d86409412b1c95a19f120bd2
SHA1: 03a831c6f6db0a08abd6cb1b84960d5bdf4e6868
MD5sum: c62e610bd5433c66b0a2218e3403bc14
Description: Control Gembird SIS-PM programmable power outlet strips
 The sispmctl tool can control Gembird SIS-PM Silver Shield programmable
 power outlet strips (also known under the name Revolt Intelli-Plug) from
 the command line.
 .
 It can be used to switch on or off any of the programmable power sockets
 of the SIS-PM via USB. It can also show the current status of each power
 socket, and it can handle multiple SIS-PM devices, too.

Package: sisu
Version: 3.3.2-1
Installed-Size: 9181
Maintainer: SiSU Project 
Architecture: all
Replaces: sisu-markup-samples (<= 1.0.11)
Depends: ruby1.9.1 (>= 1.9.2.180), rsync, unzip, zip
Recommends: sisu-pdf, sisu-sqlite, sisu-postgresql, imagemagick | graphicsmagick, keychain, librmagick-ruby, openssl, openssh-client | lsh-client, qrencode, tidy, tree, vim-addon-manager
Suggests: lv, calibre, pinfo, texinfo, trang
Conflicts: sisu-markup-samples (<= 1.0.11)
Size: 1886184
SHA256: db15ee9098c01e340436d8a298f59da871398e3c7ba7aa8eac0af6f15c6d36a4
SHA1: e2b0cde6b9626910bce83bee673ffe66e5c54832
MD5sum: c27611494d1d8f9cd24c4eb47b0b4015
Description: documents - structuring, publishing in multiple formats and search
 SiSU is a lightweight markup based, command line oriented, document
 structuring, publishing and search framework for document collections.
 .
 With minimal preparation of a plain-text, (UTF-8) file, using its native
 markup syntax in your text editor of choice, SiSU can generate various
 document formats (most of which share a common object numbering system for
 locating content), including plain text, HTML, XHTML, XML, EPUB, OpenDocument
 text (ODF:ODT), LaTeX, PDF files, and populate an SQL database with objects
 (roughly paragraph-sized chunks) so searches may be performed and matches
 returned with that degree of granularity: your search criteria is met by these
 documents and at these locations within each document. Object numbering is
 particularly suitable for "published" works (finalized texts as opposed to
 works that are frequently changed or updated) for which it provides a fixed
 means of reference of content. Document outputs also share semantic meta-data
 provided.
 .
 SiSU also provides concordance files, document content certificates and
 manifests of generated output.
 .
 A vim syntax highlighting file and an ftplugin with folds for sisu markup is
 provided, as are syntax highlighting files for kate, kwrite, gedit and
 diakonos. Vim 7 includes syntax highlighting for SiSU.
 .
 man pages, and interactive help are provided.
 .
 Dependencies for various features are taken care of in sisu related packages.
 The package sisu-complete installs the whole of SiSU.
 .
 Additional document markup samples are provided in the package
 sisu-markup-samples which is found in the non-free archive the licenses for
 the substantive content of the marked up documents provided is that provided
 by the author or original publisher.
Homepage: http://www.sisudoc.org/
Tag: implemented-in::ruby, interface::commandline, role::program,
 use::searching, use::text-formatting, web::cms,
 works-with-format::html, works-with-format::odf,
 works-with-format::pdf, works-with-format::tex, works-with-format::xml,
 works-with::db, works-with::text
Section: text
Priority: optional
Filename: pool/main/s/sisu/sisu_3.3.2-1_all.deb

Package: sisu-complete
Source: sisu
Version: 3.3.2-1
Installed-Size: 84
Maintainer: SiSU Project 
Architecture: all
Depends: ruby1.9.1 (>= 1.9.2.180), sisu (= 3.3.2-1), sisu-pdf (= 3.3.2-1), sisu-postgresql (= 3.3.2-1), sisu-sqlite (= 3.3.2-1)
Size: 52454
SHA256: b61c89c0affc90b9e7f6d0b2370e1b012d1e4986e7624bf63e931f708c48e707
SHA1: a5e5210c69ff4f3ae5b52c27530abeb39adb88b4
MD5sum: 6960a4dacf69d4081319a1b487b9afbb
Description: installs all SiSU related packages
 SiSU is a lightweight markup based document structuring, publishing and search
 framework for document collections.
 .
 This package installs SiSU and related packages that enable sisu to produce
 pdf and to populate PostgreSQL and sqlite databases.
 .
 See sisu for a description of the package.
Homepage: http://www.sisudoc.org/
Tag: role::dummy, role::metapackage
Section: text
Priority: optional
Filename: pool/main/s/sisu/sisu-complete_3.3.2-1_all.deb

Package: sisu-pdf
Source: sisu
Version: 3.3.2-1
Installed-Size: 93
Maintainer: SiSU Project 
Architecture: all
Depends: ruby1.9.1 (>= 1.9.2.180), sisu (= 3.3.2-1), texlive-latex-base, texlive-fonts-recommended, texlive-latex-recommended, texlive-latex-extra, texlive-xetex, lmodern, ttf-liberation, texlive-math-extra
Suggests: evince | pdf-viewer
Size: 53108
SHA256: 450e5e388ecae9336f52dc534b4b1f67c67e5c7483fa898a0000defa83e0bcb1
SHA1: 40e9b3437ffbf9447aee5b0725e508b5a6a5071e
MD5sum: d10ce89ebe917cd21e0f9c58b4fef12a
Description: dependencies to convert SiSU LaTeX output to pdf
 SiSU is a lightweight markup based document structuring, publishing and search
 framework for document collections.
 .
 This package enables the conversion of SiSU LaTeX output to pdf.
Homepage: http://www.sisudoc.org/
Tag: implemented-in::ruby, role::dummy, role::metapackage,
 works-with-format::pdf, works-with-format::tex
Section: text
Priority: optional
Filename: pool/main/s/sisu/sisu-pdf_3.3.2-1_all.deb

Package: sisu-postgresql
Source: sisu
Version: 3.3.2-1
Installed-Size: 93
Maintainer: SiSU Project 
Architecture: all
Depends: ruby1.9.1 (>= 1.9.2.180), sisu (= 3.3.2-1), libdbd-pg-ruby1.9.1, libdbi-ruby1.9.1, postgresql, libfcgi-ruby1.9.1
Suggests: postgresql-contrib
Size: 53546
SHA256: f1006e7413176e86cbf15f63488183c51ab7f5295cff28e70acd9ee1571c4444
SHA1: 48a8d214d04cb0095f8b0bd18ab8350b7683600e
MD5sum: 306f069501ff40dacc6c1d835aae265b
Description: SiSU dependencies for use with PostgreSQL database
 SiSU is a lightweight markup based document structuring, publishing and search
 framework for document collections.
 .
 This package enables SiSU to populate a PostgreSQL database. This is done at
 an object/paragraph level, making granular searches of documents possible.
 .
 This relational database feature of SiSU is not required but provides
 interesting possibilities, including that of granular searches of documents
 for matching units of text, primarily paragraphs that can be displayed or
 identified by object citation number, from which an index of documents
 matched and each matched paragraph within them can be displayed.
Homepage: http://www.sisudoc.org/
Tag: implemented-in::ruby, role::dummy, role::metapackage, works-with::db
Section: text
Priority: optional
Filename: pool/main/s/sisu/sisu-postgresql_3.3.2-1_all.deb

Package: sisu-sqlite
Source: sisu
Version: 3.3.2-1
Installed-Size: 93
Maintainer: SiSU Project 
Architecture: all
Depends: ruby1.9.1 (>= 1.9.2.180), sisu (= 3.3.2-1), sqlite3, libsqlite3-ruby1.9.1, libdbd-sqlite3-ruby1.9.1, libdbi-ruby1.9.1, libfcgi-ruby1.9.1
Size: 53498
SHA256: 1b4aa15453f6e73e51cb293f95502f85d4e6ef3f774cae048327afeb85a5ac31
SHA1: dd1f600b5aa5270ed51c453f9ce75e17d022de5a
MD5sum: 99f34485a8efe1041ddce4cb6b0fb573
Description: SiSU dependencies for use with SQLite database
 SiSU is a lightweight markup based document structuring, publishing and search
 framework for document collections.
 .
 This package enables SiSU to populate an SQLite database. This is done at an
 object/paragraph level, making granular searches of documents possible.
 .
 This relational database feature of SiSU is not required but provides
 interesting possibilities, including that of granular searches of documents
 for matching units of text, primarily paragraphs that can be displayed or
 identified by object citation number, from which an index of documents
 matched and each matched paragraph within them can be displayed.
Homepage: http://www.sisudoc.org/
Tag: implemented-in::ruby, role::dummy, role::metapackage, works-with::db
Section: text
Priority: optional
Filename: pool/main/s/sisu/sisu-sqlite_3.3.2-1_all.deb

Package: sitecopy
Version: 1:0.16.6-4
Architecture: armhf
Maintainer: Sandro Tosi 
Installed-Size: 403
Depends: libc6 (>= 2.13-28), libneon27-gnutls
Conflicts: openssh-client (<< 1:4.2p1-1), xsitecopy (<< 1:0.10.15-1)
Homepage: http://www.manyfish.co.uk/sitecopy/
Priority: extra
Section: web
Filename: pool/main/s/sitecopy/sitecopy_0.16.6-4_armhf.deb
Size: 140914
SHA256: caad2b693c74c7422ea4ec80a4016adaafb257f371782ef13c73ae26cd76f60f
SHA1: e5f39233df1fb5f0051a5e1482cf901854bfbc44
MD5sum: 760d4ee846fd3ae0b60c5754b646b97c
Description: program for managing a WWW site via FTP, SFTP, DAV or HTTP
 Sitecopy is for copying locally stored websites to remote servers. With a
 single command, the program will synchronize a set of local files to a remote
 server by performing uploads and remote deletes as required. The aim is to
 remove the hassle of uploading and deleting individual files using an FTP
 client. Sitecopy will also optionally try to spot files you move locally, and
 move them remotely.
 .
 Sitecopy is designed to not care about what is actually on the remote server -
 it simply keeps a record of what it THINKS is in on the remote server, and
 works from that.

Package: sitesummary
Version: 0.1.8+deb7u2
Installed-Size: 219
Maintainer: Debian Edu Developers 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, gnupg, net-tools, perl-modules
Suggests: munin, munin-node, nagios3, nagios-plugins-standard, ldap-utils, cups-client
Size: 47358
SHA256: 4540c3cc03ff8f7921c1eb03207b57327450d69eac22d9e9f7d8a55bc974972b
SHA1: afbb4ac8fe758f173cff50d543de7555bd1d7a1b
MD5sum: 5744db27ce1938beb088ea2217e83623
Description: Generate site summary of submitting hosts (server part)
 The sitesummary system makes it easier to keep track of a lot of
 machines, by allowing each machine to report their existence once a
 day to a central collector, and using this collector to make summary
 reports about the hosts.
 .
 This package is the server part, with the collector and report
 scripts.
Homepage: http://wiki.debian.org/DebianEdu/HowTo/SiteSummary
Recommends: apache2-mpm-worker | httpd, sitesummary-client
Section: misc
Priority: optional
Filename: pool/main/s/sitesummary/sitesummary_0.1.8+deb7u2_all.deb

Package: sitesummary-client
Source: sitesummary
Version: 0.1.8+deb7u2
Installed-Size: 132
Maintainer: Debian Edu Developers 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, gnupg, perl-modules
Suggests: sitesummary, munin-node, nagios-nrpe-server, nagios-plugins-standard, cdpr
Size: 34994
SHA256: 35c1327783835d7393206bb81396642c0658ba875ed039e9b9c50e759663d8e2
SHA1: 7935943de2177a742eb2c867ee959246c18b462c
MD5sum: 9faed7ad137e754573ad96f89445f1a4
Description: Generate site summary of submitting hosts (client part)
 The sitesummary system makes it easier to keep track of a lot of
 machines, by allowing each machine to report their existence once a
 day to a central collector, and using this collector to make summary
 reports about the hosts.
 .
 This package is the client part, reporting in to the server after
 boot and once a day.
Homepage: http://wiki.debian.org/DebianEdu/HowTo/SiteSummary
Recommends: cron, dmidecode, pciutils, usbutils, lsscsi, iproute
Section: misc
Priority: optional
Filename: pool/main/s/sitesummary/sitesummary-client_0.1.8+deb7u2_all.deb

Package: sitplus
Version: 1.0.3-3
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 3255
Depends: libbluetooth3 (>= 4.91), libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libconfig9, libgcc1 (>= 1:4.4.0), libopencv-core2.3, libopencv-highgui2.3, libpocofoundation9, libpocoxml9, libportmidi0, libsdl-gfx1.2-4 (>= 2.0.22), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libv4l-0 (>= 0.5.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libx11-6, libxtst6, sitplus-data, pd-cyclone, pd-freeverb, pd-iemnet, puredata, pd-libdir, pd-list-abs
Homepage: http://sitplus.crea-si.com
Priority: optional
Section: misc
Filename: pool/main/s/sitplus/sitplus_1.0.3-3_armhf.deb
Size: 1034128
SHA256: d2bbaa3c9291e7297724b7ce67afa6bc0ed4a69b3a399b99f5c7ecb85ff99709
SHA1: 6bdbe0e4740786831bdba7b7fb5019cc95187953
MD5sum: 3a9662810ce63bec17b46de5cfa2f6b3
Description: Free software framework for ludic-therapeutic activities
 SITPLUS is a free software (GNU GPL) framework whose main goal is to provide
 ludic-therapeutic activities for people with disabilities. It offers new forms
 of interaction based on computer vision, voice and other peripherals to
 produce a result in the form of image and sound. Inspired by the cause and
 effect applications, SITPLUS provides a tool for continuous and remote
 interaction, attainable to the majority of people with cognitive, sensory and
 physical disabilities.

Package: sitplus-data
Source: sitplus
Version: 1.0.3-3
Installed-Size: 17485
Maintainer: Debian Med Packaging Team 
Architecture: all
Recommends: sitplus
Size: 17045840
SHA256: 92169e4bd8cbb5cfd2faf726d79412f48ce1704e16f55ca697fd6257492dfb7d
SHA1: 42136104138aa283ef3b478d5859630cfd5fd41e
MD5sum: 404bb67b045bd0bce83ffeb616cfbfd2
Description: Data files for Sitplus, a framework for ludic-therapeutic activities
 SITPLUS is a free software (GNU GPL) framework whose main goal is to provide
 ludic-therapeutic activities for people with disabilities. It offers new forms
 of interaction based on computer vision, voice and other peripherals to
 produce a result in the form of image and sound. Inspired by the cause and
 effect applications, SITPLUS provides a tool for continuous and remote
 interaction, attainable to the majority of people with cognitive, sensory and
 physical disabilities.
 .
 This package provides the data for sitplus.
Homepage: http://sitplus.crea-si.com
Tag: role::app-data
Section: misc
Priority: optional
Filename: pool/main/s/sitplus/sitplus-data_1.0.3-3_all.deb

Package: sjeng
Version: 11.2-8
Architecture: armhf
Maintainer: Jotam Jr. Trejo 
Installed-Size: 240
Depends: libc6 (>= 2.7), libgdbm3 (>= 1.8.3)
Suggests: xboard | eboard
Homepage: http://sjeng.sourceforge.net
Priority: optional
Section: games
Filename: pool/main/s/sjeng/sjeng_11.2-8_armhf.deb
Size: 103426
SHA256: a2adfb7f3ac5bf83611aeb88cc02d6839d82773cfdef07737b5f83184e9afed9
SHA1: f4ef7d69b29e610c4348e95b13dfe5075f4259bf
MD5sum: 4d43e05b81a3270014ce9d36d55597e6
Description: chess program that plays many variants
 Sjeng is a chess program that plays normal chess and many variants
 like crazyhouse, bughouse, suicide (aka giveaway or anti-chess) and
 losers. It can also play variants which have the same rules as
 normal chess, but a different starting position. It uses the
 XBoard/WinBoard interface by Tim Mann, so it can be used with
 xboard or eboard. It is also capable of playing on internet chess
 servers.

Package: skalibs-dev
Source: skalibs
Version: 0.47-1
Architecture: armhf
Maintainer: Gerrit Pape 
Installed-Size: 715
Recommends: dietlibc-dev
Suggests: skalibs-doc
Priority: optional
Section: libdevel
Filename: pool/main/s/skalibs/skalibs-dev_0.47-1_armhf.deb
Size: 113796
SHA256: 1a9818acb127fa86b57c5602a922487db1f173e8045dcc0082f79262e2ae7c87
SHA1: 2684f224f6c4478687f304bbd4212bcb7076e9ef
MD5sum: 91a4c12a80007055e1db8a0d06b69b64
Description: Public domain general-purpose libraries
 skalibs is a set of general-purpose, low-level C libraries, all in the
 public domain.  It can replace or hide the standard C library to some
 extent, and is designed to allow building of small, static binaries.
 .
 See http://skarnet.org/software/skalibs/index.html for more information.

Package: skalibs-doc
Source: skalibs
Version: 0.47-1
Installed-Size: 140
Maintainer: Gerrit Pape 
Architecture: all
Suggests: skalibs-dev, dietlibc-doc
Size: 25342
SHA256: a7d6127bccce43ed43e40789178994d72235b0937a4dbeda04a964faf935cbfb
SHA1: e37bc5b81fbbf9f5078d2692b3bf46685b1cf7cf
MD5sum: 6158ae4c7d697d9d032fbb24c7b3f3de
Description: Public domain general-purpose libraries (documentation)
 skalibs is a set of general-purpose, low-level C libraries, all in the
 public domain.  It can replace or hide the standard C library to some
 extent, and is designed to allow building of small, static binaries.
 .
 This package contains the documentation for the libraries.
 .
 See http://skarnet.org/software/skalibs/index.html for more information.
Tag: devel::doc, devel::lang:c, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/skalibs/skalibs-doc_0.47-1_all.deb

Package: skanlite
Version: 0.8-2
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 3509
Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libksane0 (>= 4:4.7.1), libpng12-0 (>= 1.2.13-4), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1)
Suggests: skanlite-dbg
Replaces: kooka
Homepage: http://www.kde.org/applications/graphics/skanlite/
Priority: optional
Section: graphics
Filename: pool/main/s/skanlite/skanlite_0.8-2_armhf.deb
Size: 2734354
SHA256: 00b89d5bb91c360c38675ced52ce93396175eedee987289a2baf6d90270c6a6e
SHA1: 99cc9cfd6dd6e42424fec5f8f6dc3e5022f7be18
MD5sum: e4c0734b0e2128f783d11d04d629f4b0
Description: image scanner for KDE 4 based on the KSane backend
 Skanlite is a small and simple scanner application for KDE 4 which allows easy
 scanning of images with an attached scanner. Through the KSane backend, it can
 access a wide variety of different scanner models.
 .
 Skanlite can be considered to be the replacement of Kooka.

Package: skanlite-dbg
Source: skanlite
Version: 0.8-2
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 545
Depends: skanlite (= 0.8-2)
Homepage: http://www.kde.org/applications/graphics/skanlite/
Priority: extra
Section: debug
Filename: pool/main/s/skanlite/skanlite-dbg_0.8-2_armhf.deb
Size: 494932
SHA256: 561831701ba1923d6cbdf71ac52ee137d08bf8e25a358c7b74b29c340728dabf
SHA1: ee9b296d97ec07e2a4623c09e28ca5f331415001
MD5sum: 9ee2cf32d783568d22d173487ba46a4a
Description: Skanlite's debugging symbols
 Skanlite is a small and simple scanner application for KDE 4 which allows easy
 scanning of images with an attached scanner. Through the KSane backend, it can
 access a wide variety of different scanner models.
 .
 This package contains the debugging symbols.

Package: skeinforge
Source: sfact
Version: 2011.12.18-1
Installed-Size: 60
Maintainer: Changwoo Ryu 
Architecture: all
Depends: sfact
Size: 13752
SHA256: 32df7a975badf74f021ac20692846c9759983a6d4f5f17a6dd086db24270c2b2
SHA1: 1e2ad3a7fa880f91fd00501987306aa005b49116
MD5sum: 638a5944ebc5504b72e40b74be941f93
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: https://github.com/ahmetcemturan/SFACT
Section: graphics
Priority: optional
Filename: pool/main/s/sfact/skeinforge_2011.12.18-1_all.deb

Package: sketch
Version: 1:0.3.7-1
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 187
Depends: libc6 (>= 2.13-28), dpkg (>= 1.15.4) | install-info
Recommends: pgf | texlive-pstricks
Suggests: sketch-doc
Homepage: http://www.frontiernet.net/~eugene.ressler
Priority: optional
Section: graphics
Filename: pool/main/s/sketch/sketch_0.3.7-1_armhf.deb
Size: 92498
SHA256: b741b4f5518be6f24992bccb27d2b22eecb41f0afd6f913f6ab61a616bbda205
SHA1: 8e1ddf99fd509650ab61d7c2ff115c20580aa573
MD5sum: 3abe6ba79dad1131846c2ee7258829c1
Description: 3D diagrams for TeX from scene description language
 Sketch is a simple system for producing line drawings of
 three-dimensional objects and scenes. Sketch is intended to produce finely
 wrought, mathematically-based illustrations with no extraneous detail
 and be able to easily overlay TeX math and text. The input language is
 reminiscent of PSTricks, so will be easy to learn for current PSTricks
 users.  It generates either PSTricks or TikZ/PGF code as output.
 .
 Note that this package is not the interactive vector drawing program
 now known as skencil.

Package: sketch-doc
Source: sketch
Version: 1:0.3.7-1
Installed-Size: 1763
Maintainer: Debian Science Maintainers 
Architecture: all
Enhances: sketch
Size: 1335792
SHA256: fb0a80113715eafe2dc49f0b61aedeaba941ed7f77f52a9508c74a4e18d9d715
SHA1: bbcc1611d3d6be0acf20f28ced1d6d05f70e7dc1
MD5sum: 3b237f8dd347149fdb5e701ed71c090f
Description: Extra documentation for the sketch 3D line drawing system
 Sketch is a simple system for producing line drawings of
 three-dimensional objects and scenes. Sketch is intended to produce finely
 wrought, mathematically-based illustrations with no extraneous detail
 and be able to easily overlay TeX math and text. The input language is
 reminiscent of PSTricks, so will be easy to learn for current PSTricks
 users.  It generates either PSTricks or TikZ/PGF code as output.
 .
 This package includes HTML and PDF versions of the user manual
 (an info version of the manual is part of the sketch package).  Also includes
 some example input files.
Homepage: http://www.frontiernet.net/~eugene.ressler
Tag: made-of::html, made-of::pdf, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/sketch/sketch-doc_0.3.7-1_all.deb

Package: skipfish
Version: 2.05b-1
Architecture: armhf
Maintainer: Bartosz Fenski 
Installed-Size: 487
Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libidn11 (>= 1.13), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4)
Homepage: http://code.google.com/p/skipfish/
Priority: extra
Section: web
Filename: pool/main/s/skipfish/skipfish_2.05b-1_armhf.deb
Size: 208108
SHA256: 9b1bc4127846d56d48242620555c554d52651c12cd2bea82cad285db23ef0e71
SHA1: 4d94926fcbf45d82c1d194c81d3507582705374c
MD5sum: b67ccdb23a0025ee9d6d3bdfc0a3c56d
Description: fully automated, active web application security reconnaissance tool
 Skipfish is an active web application security reconnaissance tool. It prepares
 an interactive sitemap for the targeted site by carrying out a recursive crawl
 and dictionary-based probes. The resulting map is then annotated with the
 output from a number of active (but hopefully non-disruptive) security checks.
 The final report generated by the tool is meant to serve as a foundation for
 professional web application security assessments.

Package: skkdic
Version: 20110529-1
Installed-Size: 5776
Maintainer: Tatsuya Kinoshita 
Architecture: all
Suggests: skktools, skkdic-cdb, skkdic-extra
Enhances: skkserv
Conflicts: skk (<= 10.39-2)
Size: 3109850
SHA256: 010e74d1b997d493739daa0050c1bd86db7fc31aef3ae9830451611664970d98
SHA1: 8b8a66f84f4bdabd6d15809377176363903548bd
MD5sum: d3c2bd449c97b4993403e7317f62ff28
Description: standard dictionary file for SKK
 This package provides `SKK-JISYO', the standard dictionary file for SKK
 Japanese input systems.  Currently, this package contains the large size
 dictionary file `SKK-JISYO.L' as the standard dictionary file.
 .
 For faster access, install the skkdic-cdb package which provides the
 constant database (cdb) file of this dictionary.  Other dictionary files,
 such as zipcode, personal names, small size, are provided by the
 skkdic-extra package.
 .
 To merge or edit dictionaries, install the skktools package.  To use
 dictionaries more conveniently, several SKK dictionary servers are
 available, such as dbskkd-cdb and skksearch.
Homepage: http://openlab.jp/skk/dic.html
Tag: culture::japanese, made-of::dictionary, role::app-data
Section: utils
Priority: optional
Filename: pool/main/s/skkdic/skkdic_20110529-1_all.deb

Package: skkdic-cdb
Source: skkdic
Version: 20110529-1
Installed-Size: 9552
Maintainer: Tatsuya Kinoshita 
Architecture: all
Suggests: skktools, skkdic, skkdic-extra
Enhances: skkserv
Conflicts: dbskkd-cdb (<< 1:1.01-7), dbskkd-cdb-dic
Size: 4744198
SHA256: 84f36ca272e3e8cb813cf1323fa4ab1a8c69dd8508a712a94d716807d03ee8df
SHA1: 2add7e2bb3ee25d29ea707adc34ce58a704622a4
MD5sum: 0a4541c9af9ec2810192fed9d874be57
Description: standard dictionary file for SKK in cdb format for faster access
 This package provides `SKK-JISYO.cdb', the standard dictionary file for
 SKK Japanese input systems, converted to constant database (cdb) for
 faster access.  Currently, this package contains the large size dictionary
 file `SKK-JISYO.L.cdb' as the standard dictionary file.
 .
 Since this dictionary is a cdb file, it requires cdb support for accessing.
 SKK dictionary servers dbskkd-cdb and skksearch can handle cdb-style
 dictionaries, but ddskk cannot in itself.
 .
 The original plain text version of this dictionary is provided by the
 skkdic package.  Other dictionary files, such as zipcode, personal names,
 small size, are provided by the skkdic-extra package.  To merge or edit
 dictionaries, install the skktools package.
Homepage: http://openlab.jp/skk/dic.html
Tag: culture::japanese, made-of::dictionary, role::app-data
Section: utils
Priority: optional
Filename: pool/main/s/skkdic/skkdic-cdb_20110529-1_all.deb

Package: skkdic-extra
Source: skkdic
Version: 20110529-1
Installed-Size: 17128
Maintainer: Tatsuya Kinoshita 
Architecture: all
Suggests: skktools, skkdic, skkdic-cdb
Enhances: skkserv
Conflicts: skk (<= 10.39-2)
Size: 6450442
SHA256: 85d7d21fd7636efcbe22a67f822d3cf3218eefc2f88fc3f621504ee5435af8b5
SHA1: de33fc0b244f9609acff57589b502080a257f1c0
MD5sum: bc4540f32c2231ed1072d6d6ba31d186
Description: extra dictionary files for SKK
 This package provides various dictionary files, such as `SKK-JISYO.zipcode',
 `SKK-JISYO.fullname', `SKK-JISYO.law', `SKK-JISYO.M', `SKK-JISYO.S', and
 so on, except the large size dictionary file `SKK-JISYO.L' provided by
 the skkdic package, for SKK Japanese input systems.
 .
 To merge or edit dictionaries, install the skktools package.  To use
 dictionaries more conveniently, several SKK dictionary servers are
 available, such as dbskkd-cdb and skksearch.
Homepage: http://openlab.jp/skk/dic.html
Tag: culture::japanese, made-of::dictionary, role::app-data
Section: utils
Priority: optional
Filename: pool/main/s/skkdic/skkdic-extra_20110529-1_all.deb

Package: skksearch
Version: 0.0-21
Architecture: armhf
Maintainer: Tatsuya Kinoshita 
Installed-Size: 63
Depends: libc6 (>= 2.13-28), libcdb1, libdb5.1, skkdic-cdb | skkdic | skkdic-extra, openbsd-inetd | inet-superserver
Enhances: skk
Conflicts: skkserv
Replaces: skkserv
Provides: skkserv
Priority: extra
Section: utils
Filename: pool/main/s/skksearch/skksearch_0.0-21_armhf.deb
Size: 14692
SHA256: fda30d88655a5857469e392e84b3fdd29c9083408e910957bf58abe778a5c1ee
SHA1: 034e7471ae07472fa3ab2b0fd53654ab6312e39a
MD5sum: c276561f96a020f3b35dd4259938c5bc
Description: SKK dictionary server handling multiple dictionaries
 skksearch is a dictionary server for SKK Japanese input systems.
 skksearch can deal with more than one dictionary and supports three
 types of dictionaries, that is, plain, Berkeley DB and cdb
 (DJB's constant database).
 .
 skksearch is compatible with skkserv on the protocol behavior.
 It is called from an internet super-server.
 .
 By default, this package uses the SKK dictionary cdb file
 `SKK-JISYO.cdb' that is provided by the skkdic-cdb package.  Also,
 you can use other dictionary files such as the skkdic-extra package.

Package: skktools
Version: 1.3.2-3
Architecture: armhf
Maintainer: Tatsuya Kinoshita 
Installed-Size: 249
Depends: libc6 (>= 2.13-28), libdb5.1, libglib2.0-0 (>= 2.12.0)
Recommends: tinycdb
Suggests: skkdic, skkdic-extra, emacs | emacsen, gawk, python, ruby, ruby1.8, gauche, chasen, mecab, kakasi, nkf, w3m
Conflicts: skk-dictools
Replaces: skk-dictools
Homepage: http://openlab.jp/skk/
Priority: optional
Section: utils
Filename: pool/main/s/skktools/skktools_1.3.2-3_armhf.deb
Size: 75608
SHA256: c7e2d8e904bca0b6bce9f787c8d836c7049ff27c7ceb5eabe5ec4c4fcc4448ff
SHA1: 54f0c45a8dd2cdd86332ad173e7725f095f4a819
MD5sum: b91668016a6d61b3385cad8de0ad8b3b
Description: SKK dictionary maintenance tools
 This package provides tools to maintain dictionary files for SKK
 Japanese input systems.
 .
 SKK dictionary files are provided by the skkdic package and the
 skkdic-extra package.  You can make a local dictionary file from
 them.
 .
 If you want to convert a dictionary file to cdb (DJB's constant
 database), install the tinycdb package.  To use the optional scripts,
 install the required tools, such as gawk, ruby, gauche, etc.

Package: skrooge
Version: 1.3.0-1
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 6251
Depends: libqca2-plugin-ossl, libqt4-sql-sqlite, skrooge-common (= 1.3.0-1), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgrantlee-core0 (>= 0.1.4), libkdecore5 (>= 4:4.5.85), libkdeui5 (>= 4:4.4.4), libkio5 (>= 4:4.4.4), libknewstuff3-4 (>= 4:4.5.85), libkparts4 (>= 4:4.4.4), libkrosscore4 (>= 4:4.4.4), libnepomuk4 (>= 4:4.4.4), libnepomukutils4 (>= 4:4.5.85), libofx4, libplasma3 (>= 4:4.4.95), libqca2 (>= 2.0.2), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0)
Homepage: http://skrooge.org/
Priority: optional
Section: kde
Filename: pool/main/s/skrooge/skrooge_1.3.0-1_armhf.deb
Size: 1866824
SHA256: d8aa6a3d018f3e44b1655823a18245bc2a21706df058983f1882b9d51d924daf
SHA1: 24f6425ac3fdc45dd7749ff636ed2cddef36a2c4
MD5sum: 81afee9d3719f0b918ce9a35771e1f97
Description: personal finance manager for KDE
 Skrooge allows you to manage your personal finances. It is intended to be used
 by individuals who want to keep track of their incomes, expenses and
 investments. Its philosophy is to stay simple and intuitive.
 .
 Here is the list of Skrooge main features:
  * QIF, CSV, KMyMoney, Skrooge,  import/export
  * OFX, QFX, GnuCash, Grisbi, HomeBank import
  * Advanced Graphical Reports
  * Several tabs to help you organize your work
  * Infinite undo/redo
  * Instant filtering on operations and reports
  * Infinite categories levels
  * Mass update of operations
  * Scheduled operations
  * Track refund of your expenses
  * Automatically process operations based on search conditions
  * Multi currencies
  * Dashboard

Package: skrooge-common
Source: skrooge
Version: 1.3.0-1
Installed-Size: 12640
Maintainer: Debian KDE Extras Team 
Architecture: all
Size: 8917014
SHA256: bbd0ff6a62fa8544317258f767f972b2f2f90220d675c196942c400b0ba2bacd
SHA1: 238c83a861c9058dfcbb81a4a77ec3c05787868e
MD5sum: 9638c2322dca7a4d4f8df60a577d3245
Description: Skrooge architecture independent files
 Skrooge allows you to manage your personal finances. It is intended to be used
 by individuals who want to keep track of their incomes, expenses and
 investments. Its philosophy is to stay simple and intuitive.
 .
 This package contains architecture independent files needed for Skrooge to run
 properly. It also provides Skrooge documentation. Therefore, unless you
 have 'skrooge' package installed, you will hardly find this package useful.
Homepage: http://skrooge.org/
Tag: role::app-data, suite::kde
Section: kde
Priority: optional
Filename: pool/main/s/skrooge/skrooge-common_1.3.0-1_all.deb

Package: sks
Version: 1.1.3-2+deb7u1
Architecture: armhf
Maintainer: Christoph Martin 
Installed-Size: 1651
Depends: libc6 (>= 2.13-28), libdb5.1, libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5, zlib1g (>= 1:1.1.4), ocaml-base-nox-3.12.1, db-util, adduser, logrotate
Suggests: postfix | mail-transport-agent, procmail
Homepage: https://bitbucket.org/skskeyserver/sks-keyserver/wiki/Home
Priority: optional
Section: net
Filename: pool/main/s/sks/sks_1.1.3-2+deb7u1_armhf.deb
Size: 525502
SHA256: beb807385ac0c4a2cfc7baeb710f6c3ae2eedbeb534ea8cedb8c584c923ea6e3
SHA1: 5eb621e6db279ac1e52a977107664d4200492b51
MD5sum: 1270c8e3d282c0209b6f54adc75452f3
Description: Synchronizing OpenPGP Key Server
 SKS is an OpenPGP key server that correctly handles all OpenPGP features
 defined in RFC2440 and RFC2440bis, including photoID packages and multiple
 subkeys.
 .
 This key server implementation uses an efficient and reliable reconciliation
 algorithm to keep the database in sync with other SKS servers.  Additionally
 it can both send and receive PKS style sync emails.

Package: sks-ecc
Version: 0.93-2
Architecture: armhf
Maintainer: Nacho Barrientos Arias 
Installed-Size: 1109
Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4)
Suggests: sks-ecc-doc
Homepage: http://sks.anarres.tk/
Priority: optional
Section: utils
Filename: pool/main/s/sks-ecc/sks-ecc_0.93-2_armhf.deb
Size: 201984
SHA256: f3771b3137b6e91517ce1240166daadf74cf9623bed174632b90df70c3f07e6e
SHA1: b363bb3d468be160e70fec8a6e9da17c09cc8e03
MD5sum: 35652fce927d996aa89be19f68727638
Description: Cryptographic tool based on ECC
 SKS is a public-key, command-line application for encryption/authentication,
 based on Elliptic Curve Cryptography (ECC). His minimalist design points to
 a simple, light, fast, easy-to-use and multiplatform software. All these
 features are satisfied with this high quality cryptography kit that has
 the following elements:
 .
  * Public key module: elliptic curve over GF (2^191).
  * Symmetric-key module: AES 192-bits key, with CTR mode.
  * Hash (for signatures, HMAC and passwords): TIGER (192 bits).
  * PRNG module.

Package: sks-ecc-doc
Source: sks-ecc
Version: 0.93-2
Installed-Size: 236
Maintainer: Nacho Barrientos Arias 
Architecture: all
Suggests: www-browser
Enhances: sks-ecc
Size: 70928
SHA256: 2b96347e14cb8670b73c860af88d4139de53439711dbe6dcc0ddcb0d762f5415
SHA1: 917313bc4e1172701fe8751bc2606a398843b662
MD5sum: 39f5f8c8103a8249dc85a0fcbf63c7d9
Description: Documentation for sks-ecc, a cryptographic tool based on ECC
 SKS is a public-key, command-line application for encryption/authentication,
 based on Elliptic Curve Cryptography (ECC).
 .
 This package provides original README file and the user guide shipped in
 upstream's tarball (written in Spanish).
Homepage: http://sks.anarres.tk/
Tag: culture::spanish, made-of::html, role::documentation,
 security::cryptography
Section: doc
Priority: optional
Filename: pool/main/s/sks-ecc/sks-ecc-doc_0.93-2_all.deb

Package: skytools
Version: 2.1.13-2
Architecture: armhf
Maintainer: Christoph Berg 
Installed-Size: 612
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-psycopg2, skytools-modules
Replaces: skytools-modules-8.4 (<< 2.1.12)
Homepage: http://wiki.postgresql.org/wiki/Skytools
Priority: optional
Section: database
Filename: pool/main/s/skytools/skytools_2.1.13-2_armhf.deb
Size: 148454
SHA256: b51bc9f46414e3df02fed3483f84bec5efae7f774f79da4904a6cec6de45ed27
SHA1: a9814fb1231d5a47ec451682553cd332b5b148cc
MD5sum: 2a2f7e228552024d6ea93aaf71d0d1d7
Description: Database management tools for PostgreSQL
 Skytools is a set of PostgreSQL tools for WAL shipping, queueing and
 replication.
 .
 This package contains the Python parts of skytools:
  - londiste: PostgreSQL replication engine written
    Python, using PgQ as event transport
  - pgqadm: PgQ queueing administration interface
  - walmgr: Managing WAL-based replication

Package: skytools-modules-9.1
Source: skytools
Version: 2.1.13-2
Architecture: armhf
Maintainer: Christoph Berg 
Installed-Size: 285
Depends: libc6 (>= 2.13-28), postgresql-9.1
Conflicts: postgresql-9.1-pgq3
Replaces: postgresql-9.1-pgq3
Provides: skytools-modules
Homepage: http://wiki.postgresql.org/wiki/Skytools
Priority: optional
Section: database
Filename: pool/main/s/skytools/skytools-modules-9.1_2.1.13-2_armhf.deb
Size: 51204
SHA256: a0e73a2c16439f2da9cacc57cd383b1089eeba8ae254015c5b788b3abb027b2e
SHA1: f04b6f9077c451f841f1bfe17541d790cbba27c2
MD5sum: 94ab095419a2da806fce5707d817060f
Description: PostgreSQL 9.1 modules for skytools
 Skytools is a set of PostgreSQL tools for WAL shipping, queueing and
 replication.
 .
 This package contains the PostgreSQL modules for skytools:
  - txid: Provides 8-byte transaction ids for external usage
  - logtriga: Trigger function for table event logging in "partial SQL"
    format, used in londsite for replication
  - logutriga: Trigger function for table event logging in
    urlencoded format
  - londiste: Database parts of replication engine
  - pgq: Generic queue in database

Package: sl
Version: 3.03-17
Architecture: armhf
Maintainer: Hiroyuki Yamamoto 
Installed-Size: 114
Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5
Homepage: http://www.tkl.iis.u-tokyo.ac.jp/~toyoda/index_e.html
Priority: optional
Section: games
Filename: pool/main/s/sl/sl_3.03-17_armhf.deb
Size: 26246
SHA256: 42dea9d7c618af8fe9f3c810b3d551102832bf217a5bcdba310f119f62117dfb
SHA1: b08039acccecd721fc3e6faf264fe59e56118e74
MD5sum: 450b21cc998dc9026313f72b4bd9807b
Description: Correct you if you type `sl' by mistake
 Sl is a program that can display animations aimed to correct you
 if you type 'sl' by mistake.
 SL stands for Steam Locomotive.

Package: slack
Version: 0.15.2-5
Installed-Size: 170
Maintainer: Andrew Pollock 
Architecture: all
Depends: perl (>= 5.6.1-7), perl-modules (>= 5.6.1-7), rsync (>= 2.6.0)
Suggests: openssh-server, openssh-client
Size: 38202
SHA256: fc4b9a806066484164a341c463533a0906537903a3a056a2aa75a881e4ead286
SHA1: a986bce6b1c1f617ad01041ce8b52a6152749d16
MD5sum: 484bb3ed1f61f5086e52a1390f4a7d83
Description: configuration management program for lazy admins
 slack tries to allow centralized configuration management with a bare
 minimum of effort.  Usually, just putting a file in the right place
 will cause the right thing to be done.  It uses rsync to copy files
 around, so can use any sort of source (NFS directory, remote server
 over SSH, remote server over rsync) that rsync supports.
Tag: implemented-in::perl, role::program
Section: admin
Priority: optional
Filename: pool/main/s/slack/slack_0.15.2-5_all.deb

Package: slang-cfitsio
Source: slcfitsio
Version: 0.3.8+nosvn-4.1
Architecture: armhf
Maintainer: Debian JED Group 
Installed-Size: 247
Depends: libc6 (>= 2.13-28), libcfitsio3 (>= 3.060), libslang2 (>= 2.0.7-1)
Suggests: slsh (>= 2.0) | jed (>= 0.99.17) | slrn (>= 0.9.8.1pl1-4)
Homepage: http://space.mit.edu/cxc/software/slang/modules/cfitsio/
Priority: optional
Section: interpreters
Filename: pool/main/s/slcfitsio/slang-cfitsio_0.3.8+nosvn-4.1_armhf.deb
Size: 53414
SHA256: 3f8e9574b6cd819f6ce0fcda6170c2dab0808d7b6390df7593e14847283866b6
SHA1: 930d6f44946e645f601a697a4ffe047b13efc551
MD5sum: a100b9c48a59f0d158920839b1c608fb
Description: read and write FITS files from S-Lang
 The CFITSIO library consists of a set of functions for reading and
 writing FITS (Flexible Image Transport System) files. The S-Lang
 CFITSIO module wraps many of the functions of the CFITSIO library and
 permits one to easily manipulate FITS files from the S-Lang interpreter.

Package: slang-curl
Source: slcurl
Version: 0.2.1-4.2
Architecture: armhf
Maintainer: Debian JED Group 
Installed-Size: 132
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libslang2 (>= 2.0.7-1)
Suggests: slsh (>= 2.0) | jed (>= 0.99.17) | slrn (>= 0.9.8.1pl1-4)
Homepage: http://jedsoft.org/slang/modules/curl.html
Priority: optional
Section: interpreters
Filename: pool/main/s/slcurl/slang-curl_0.2.1-4.2_armhf.deb
Size: 32108
SHA256: b6d0825a8eed90913a651ad6308d40350cfe7720ea99ef52c20fa2449598adff
SHA1: 34893a2e0859ea96e9ad6e0c6bae99442beff6d3
MD5sum: 91a654ebb957f161f1cba4d3b362bde1
Description: transfer files using HTTP and FTP from S-Lang
 The S-Lang curl module makes use of the cURL library to provide the
 S-Lang interpreter the ability to transfer files in a simple but
 robust manner using a variety of protocols including FTP and HTTP.

Package: slang-expat
Source: slexpat
Version: 0.5.0-2
Architecture: armhf
Maintainer: Debian JED Group 
Installed-Size: 86
Depends: libc6 (>= 2.4), libexpat1 (>= 2.0.1), libslang2 (>= 2.0.7-1)
Suggests: slsh (>= 2.0) | jed (>= 0.99.17) | slrn (>= 0.9.8.1pl1-4)
Homepage: http://www.cheesit.com/downloads/slang/slexpat.html
Priority: optional
Section: interpreters
Filename: pool/main/s/slexpat/slang-expat_0.5.0-2_armhf.deb
Size: 12632
SHA256: d6c6ac9e8028711ddc93bf188441521f6893768e4c00266cd3537fe8136aa3fd
SHA1: 51c7b923ee6b673d402145d0ff5e08cced362e4d
MD5sum: 98460a12fc8ae6ac1e18989a997d8b7c
Description: S-Lang bindings for the expat XML parser
 The S-Lang module contained in this package provides bindings to the
 expat library for parsing XML files.  This module can be used in slsh
 (the S-Lang shell), in the JED editor, and in the news reader slrn.

Package: slang-gdbm
Source: slgdbm
Version: 1.7.1-4
Architecture: armhf
Maintainer: Debian JED Group 
Installed-Size: 75
Depends: libc6 (>= 2.4), libgdbm3 (>= 1.8.3), libslang2 (>= 2.0.7-1)
Suggests: slsh (>= 2.0) | jed (>= 0.99.17) | slrn (>= 0.9.8.1pl1-4)
Conflicts: slgdbm
Replaces: slgdbm
Homepage: http://www.cheesit.com/downloads/slang/slgdbm.html
Priority: optional
Section: interpreters
Filename: pool/main/s/slgdbm/slang-gdbm_1.7.1-4_armhf.deb
Size: 12076
SHA256: 8f25ebb25b7b58c988672c9d9aad0e2d54a5bfba89a1fae66fd4d64607b0f1ce
SHA1: eaf75804d06619129e7ee89459d0f028a8f71547
MD5sum: 26808ee5e734fdff7eee0ecaa52dadf5
Description: access to GDBM databases from S-Lang
 The S-Lang module contained in this package provides access to GDBM
 databases, with an assoc-like syntax for the user interface.  This
 module can be used in slsh (the S-Lang shell), in the JED editor, and
 in the news reader slrn.

Package: slang-gsl
Source: slgsl
Version: 0.7.0-5.1
Architecture: armhf
Maintainer: Debian JED Group 
Installed-Size: 280
Depends: libc6 (>= 2.4), libgsl0ldbl (>= 1.9), libslang2 (>= 2.0.7-1)
Suggests: slsh (>= 2.0) | jed (>= 0.99.17) | slrn (>= 0.9.8.1pl1-4)
Homepage: http://space.mit.edu/cxc/software/slang/modules/gsl/
Priority: optional
Section: interpreters
Filename: pool/main/s/slgsl/slang-gsl_0.7.0-5.1_armhf.deb
Size: 54712
SHA256: 828f5aaf66577dfc2dcafdff6252ce67f7c94a8c6b50f2354877655acea8e0e9
SHA1: 6c4fdeacf2501f4ac5f101bee6954c4705e46f97
MD5sum: 3c1a4f2ef2f938757887b6bfa73d11de
Description: GNU Scientific Library binding for S-Lang
 The GNU Scientific Library (GSL) is a vast collection of robust and
 well documented numerical functions. It includes support for many
 special functions, random numbers, interpolation and integration
 routines, and much more.
 .
 Many of the routines in the GSL may be made available to the S-Lang
 interpreter via the GSL modules included in this package.
 .
 The following GSL modules are available:
 .
  * gslsf: The GSL special function module. Currently, this module
    provides an interface to nearly 200 GSL special functions.
  * gslconst: The GSL constants module. This module defines many
    constants such as CONST_MKSA_SPEED_OF_LIGHT, CONST_CGSM_BOLTZMANN,
    etc.
  * gslinterp: The GSL interpolation module, which includes routines
    for linear interpolation, cubic splines, etc.
  * gslrand: The GSL random number module.  This module supports most
    of GSL's random number generators and distributions.
  * gslcdf: The GSL cumulative distribution function module.
  * gslfft: The GSL fast-fourier transform module.
  * gslcore: This is a module that must be loaded before any of the
    above modules can be loaded.  Its main purpose is to provide support
    functions for the other GSL modules.

Package: slang-histogram
Source: slhist
Version: 0.3.2a-3.1
Architecture: armhf
Maintainer: Debian JED Group 
Installed-Size: 127
Depends: libc6 (>= 2.4), libslang2 (>= 2.0.7-1)
Suggests: slsh (>= 2.0) | jed (>= 0.99.17) | slrn (>= 0.9.8.1pl1-4), slang-gtk, slang-cfitsio
Homepage: http://space.mit.edu/cxc/software/slang/modules/hist/
Priority: optional
Section: interpreters
Filename: pool/main/s/slhist/slang-histogram_0.3.2a-3.1_armhf.deb
Size: 25142
SHA256: 655cc33a58bcb816b2ca763d3c2c129bf429d6b353add0bd592c044868f06011
SHA1: 3083d956f9a07ecb254e1e9832f72940e86f6808
MD5sum: 06225c7b673df84af08797d72a13100a
Description: create and manipulate histograms from S-Lang
 The histogram S-Lang module contains several functions for the efficient
 creation and manipulation of one and two dimensional histograms.
 .
 The evt2img example is included in this package.

Package: slang-pvm
Source: slpvm
Version: 0.1.5-12.1
Architecture: armhf
Maintainer: Debian JED Group 
Installed-Size: 120
Depends: libc6 (>= 2.13-28), libpvm3, libslang2 (>= 2.0.7-1)
Suggests: slsh (>= 2.0) | jed (>= 0.99.17) | slrn (>= 0.9.8.1pl1-4)
Homepage: http://space.mit.edu/cxc/software/slang/modules/pvm/
Priority: extra
Section: interpreters
Filename: pool/main/s/slpvm/slang-pvm_0.1.5-12.1_armhf.deb
Size: 22830
SHA256: 952874dc9d685bbcf76b5d55eaa2edce73e729c02e221f725f2eeeaca79de3bd
SHA1: 0041de3c4486e4d8bf79df686a2fddcbf792f3fc
MD5sum: 4976b15b3047c2c274bb60ede2315551
Description: PVM (Parallel Virtual Machine) interface for S-Lang
 PVM (Parallel Virtual Machine) is a software package which permits a
 heterogeneous collection computers connected by a network to be used
 as a single large parallel computer.
 .
 The S-Lang PVM module provides a S-Lang interface to PVM. By
 organizing distributed computations with S-Lang, one gains the
 advantages of programming in an interpreted language.
 .
 With this approach, one can make efficient use of all available
 computer resources: high level logic is handled in interpreted code,
 CPU intensive work is done in compiled code and PVM simplifies using
 all available CPUs.

Package: slang-sqlite
Source: slsqlite
Version: 0.4.0-3.1
Architecture: armhf
Maintainer: Debian JED Group 
Installed-Size: 74
Depends: libc6 (>= 2.4), libslang2 (>= 2.0.7-1), libsqlite3-0 (>= 3.5.9)
Suggests: slsh (>= 2.0) | jed (>= 0.99.17) | slrn (>= 0.9.8.1pl1-4)
Homepage: http://www.cheesit.com/downloads/slang/slsqlite.html
Priority: optional
Section: interpreters
Filename: pool/main/s/slsqlite/slang-sqlite_0.4.0-3.1_armhf.deb
Size: 11332
SHA256: 400bf96b62d7e54011117b9bf8a0835959f5dd5b633e6c7b45acc4129b4edeb6
SHA1: 62bc2a53ea5729834a8673ad01eb8e31777e2aec
MD5sum: 6170a9163a01b7b72eff20a10936f06c
Description: S-Lang bindings to the sqlite3 database library
 The S-Lang module contained in this package provides bindings to the
 SQLite3 database engine.  This module can be used in slsh (the S-Lang
 shell), in the JED editor, and in the news reader slrn.

Package: slang-tess
Source: tess
Version: 0.3.0-6
Installed-Size: 144
Maintainer: Debian JED Group 
Architecture: all
Suggests: slsh (>= 2.0) | jed (>= 0.99.17) | slrn (>= 0.9.8.1pl1-4)
Size: 21404
SHA256: a10e17e5a81e2a4a6928de79bc661299cde8dcb92e5008e2ce8447fe5813583d
SHA1: 3c674558d86b17548a6a385cb5c8a5daaf67ee16
MD5sum: 4344f371ce3797aa70bf83c79ff37189
Description: regression testing system for the S-Lang scripting language
 TESS is the (Te)st (S)ystem for (S)-Lang, which aims at reducing the
 workload and ad-hoc nature of regression testing S-Lang software, by
 collecting common testing elements into a single, easy-to-use
 framework.
 .
 TESS provides the S-Lang developer nominal mechanisms for tailoring
 the S-Lang environment and invoking functions with arbitrary inputs,
 while transparently inspecting and cleaning the stack, gathering
 pass/fail statistics, and providing error recovery from selected
 exceptions.
Homepage: http://space.mit.edu/cxc/software/slang/modules/tess/
Section: interpreters
Priority: optional
Filename: pool/main/t/tess/slang-tess_0.3.0-6_all.deb

Package: slang-wildcard
Source: slwildcard
Version: 0.5.0-2
Architecture: armhf
Maintainer: Debian JED Group 
Installed-Size: 56
Depends: libc6 (>= 2.4), libslang2 (>= 2.0.7-1)
Suggests: slsh (>= 2.0) | jed (>= 0.99.17) | slrn (>= 0.9.8.1pl1-4)
Homepage: http://www.cheesit.com/downloads/slang/slwildcard.html
Priority: optional
Section: interpreters
Filename: pool/main/s/slwildcard/slang-wildcard_0.5.0-2_armhf.deb
Size: 5780
SHA256: 44ebdb6408a7a9f867543488a1a27d985a85f4b41312efff2d2f72be6a029743
SHA1: ecf03839ac554e2553679ef8dd3289177eeb69ba
MD5sum: 90577bdf6b07eac2e7b4c2cf5c01fa64
Description: adds a wildcard match function to S-Lang
 The S-Lang module contained in this package provides a
 wildcard_match() function to S-Lang. It's vectorized, so it should be
 faster than an array_map(&string_match, ... ).  This module can be
 used in slsh (the S-Lang shell), in the JED editor, and in the news
 reader slrn.

Package: slang-xfig
Source: slxfig
Version: 0.2.0~.35-1.1
Architecture: armhf
Maintainer: Debian JED Group 
Installed-Size: 248
Depends: libc6 (>= 2.13-28), libslang2 (>= 2.0.7-1), transfig
Recommends: slsh (>= 2.0.7) | jed (>= 0.99.18+dfsg.1-3), texlive-latex-base, texlive-base-bin
Homepage: http://www.jedsoft.org/fun/slxfig/
Priority: extra
Section: science
Filename: pool/main/s/slxfig/slang-xfig_0.2.0~.35-1.1_armhf.deb
Size: 56116
SHA256: 51cfd4d42a7f5f1d812fa2c150d3b0cc6739587d280c0a75bb9ba583eb2b79a2
SHA1: 0c755f0b90a62be5efa5641e370b7010680f7aff
MD5sum: 2813b712fcf761232931766a6722c025
Description: produce plots and drawings through Xfig's fig2dev in S-Lang
 SLxfig is a S-Lang module that produces plots, drawings, etc in a
 variety of formats (.ps, .eps, .png, .jpeg, etc). It accomplishes this
 via S-Lang scripts that automatically run Xfig's fig2dev and LaTeX to
 produce the desired output format.

Package: slapd
Source: openldap
Version: 2.4.31-2+rpi1
Architecture: armhf
Maintainer: Debian OpenLDAP Maintainers 
Installed-Size: 3687
Pre-Depends: debconf (>= 0.5) | debconf-2.0, multiarch-support
Depends: libc6 (>= 2.13-28), libdb5.1, libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libldap-2.4-2 (= 2.4.31-2+rpi1), libltdl7 (>= 2.4.2), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libperl5.14 (>= 5.14.2), libsasl2-2 (>= 2.1.24), libslp1, libuuid1 (>= 2.16), libwrap0 (>= 7.6-4~), coreutils (>= 4.5.1-1), psmisc, perl (>> 5.8.0) | libmime-base64-perl, adduser, lsb-base (>= 3.2-13)
Recommends: libsasl2-modules
Suggests: ldap-utils
Conflicts: ldap-server, libltdl3 (= 1.5.4-1), umich-ldapd
Replaces: ldap-utils (<< 2.2.23-3), libldap2
Provides: ldap-server, libslapi-2.4-2
Homepage: http://www.openldap.org/
Priority: optional
Section: net
Filename: pool/main/o/openldap/slapd_2.4.31-2+rpi1_armhf.deb
Size: 1697958
SHA256: a3381e74420eb7f0c2693e71cffcbdbe130fe301bc51e4acd575b9e06540c809
SHA1: 777e0081fb94370d6bcdd72f7a56a81376efc526
MD5sum: f7d18904e26a1c5f320940147e87b201
Description: OpenLDAP server (slapd)
 This is the OpenLDAP (Lightweight Directory Access Protocol) server
 (slapd). The server can be used to provide a standalone directory
 service.

Package: slapd-dbg
Source: openldap
Version: 2.4.31-2+rpi1
Architecture: armhf
Maintainer: Debian OpenLDAP Maintainers 
Installed-Size: 5155
Depends: slapd (= 2.4.31-2+rpi1)
Homepage: http://www.openldap.org/
Priority: extra
Section: debug
Filename: pool/main/o/openldap/slapd-dbg_2.4.31-2+rpi1_armhf.deb
Size: 4606816
SHA256: fbfec5e949162f7878586a1efc38abefb66ebe6a38af09f686308e9ade7a94fb
SHA1: e8f7106a9036c33a8fcbdf3c99f60796ddeea8c1
MD5sum: 110b05bae57ea50de7d50cdf2d04e2db
Description: Debugging information for the OpenLDAP server (slapd)
 This package provides detached debugging information for the OpenLDAP
 (Lightweight Directory Access Protocol) server (slapd). It is useful
 primarily to permit better backtraces and crash dump analysis after
 problems with the libraries. GDB will find this debug information
 automatically.

Package: slapd-smbk5pwd
Source: openldap
Version: 2.4.31-2+rpi1
Architecture: armhf
Maintainer: Debian OpenLDAP Maintainers 
Installed-Size: 132
Depends: slapd (= 2.4.31-2+rpi1), libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libkadm5srv8-heimdal (>= 1.4.0+git20110226), libkrb5-26-heimdal (>= 1.4.0+git20110226), libldap-2.4-2 (>= 2.4.7)
Homepage: http://www.openldap.org/
Priority: extra
Section: net
Filename: pool/main/o/openldap/slapd-smbk5pwd_2.4.31-2+rpi1_armhf.deb
Size: 79348
SHA256: a5388b9479633cfd9938a734001cdee93a4e84af0981b5e24d5e9cfc4863078c
SHA1: 0065642b343a8db6113591d244e13cff1565fe26
MD5sum: b3c0ccfc0ec6d8cc13f0d1b41e1f8a6f
Description: Keeps Samba and Kerberos passwords in sync within slapd.
 Extends the PasswordModify Extended Operation to update Kerberos keys
 and Samba password hashes for an LDAP user. The Kerberos support is
 written for Heimdal using its hdb-ldap backend. The Samba support is
 written using the Samba 3.0 LDAP schema.

Package: slapos-client
Source: slapos.core
Version: 0.25-2
Installed-Size: 247
Maintainer: Arnaud Fontaine 
Architecture: all
Replaces: python-slapos.slap
Provides: python-slapos.slap
Depends: debconf (>= 0.5) | debconf-2.0, python2.7, python (>= 2.7), python (<< 2.8), python-flask, python-lxml, python-netaddr, python-netifaces, python-pkg-resources, python-setuptools, supervisor, python-xmlmarshaller (>= 0.9.3~), python-zope.interface
Conflicts: python-slapos.slap
Size: 35812
SHA256: 4347fae7b431d6ba45d395eb49c1411ce5be9441fe21e9d027792b78ea2913fc
SHA1: a8921b544e1b5a6f9d934c75c4df20d3f120a1fb
MD5sum: e7840a3b45ab324b842886fe367ef1e6
Description: Client-side to request applications with SlapOS
 SlapOS allows one to turn any application into SaaS (Software as a Service),
 PaaS (Platform as a Service) or IaaS (Infrastructure as a Service) without
 loosing your freedom. SlapOS defines two types of servers: SlapOS server and
 SlapOS node.
 .
 This package contains libraries and tools to requests software releases and
 computer partitions.
Homepage: http://www.slapos.org
Section: net
Priority: optional
Filename: pool/main/s/slapos.core/slapos-client_0.25-2_all.deb

Package: slapos-node-unofficial
Source: slapos.core
Version: 0.25-2
Installed-Size: 397
Maintainer: Arnaud Fontaine 
Architecture: all
Replaces: slapformat, slapgrid, slapos-client (<< 0.25-1~)
Provides: slapformat, slapgrid
Depends: debconf (>= 0.5) | debconf-2.0, python2.7, python (>= 2.7), python (<< 2.8), slapos-client, bridge-utils, uml-utilities, python-netaddr (>= 0.7.5~)
Recommends: libc6-dev | libc-dev, gcc | c-compiler, g++ | c++-compiler, make, libtool, autoconf, automake, python2.7-dev
Conflicts: python-zc.buildout, slapformat, slapgrid, slapos-client (<< 0.25-1~)
Size: 69802
SHA256: 06f0b22a37271317d403f6bcebb2c717fc4300f28835b9a11f4bd564e729a254
SHA1: 94e162eb618f6988db895370326185c839dd1439
MD5sum: dc48c8a7c1e5d74a1f1bf49cbc65fe10
Description: Node-side to deploy applications with SlapOS (unofficial)
 SlapOS allows one to turn any application into SaaS (Software as a Service),
 PaaS (Platform as a Service) or IaaS (Infrastructure as a Service) without
 loosing your freedom. SlapOS defines two types of servers: SlapOS server and
 SlapOS node.
 .
 This package contains libraries and tools to deploy a node. This package is
 highly experimental and must only be used by people familiar with SlapOS.
 .
 Slapformat prepares a SlapOS node before running slapgrid. It then generates
 a report and sends the information to the configured SlapOS master.
 .
 Slapgrid allows you to easily deploy instances of software based on buildout
 profiles.
Homepage: http://www.slapos.org
Section: net
Priority: optional
Filename: pool/main/s/slapos.core/slapos-node-unofficial_0.25-2_all.deb

Package: slashem
Version: 0.0.7E7F3-6
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 2061
Depends: slashem-common (= 0.0.7E7F3-6), libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5
Suggests: slashem-x11 | slashem-sdl | slashem-gtk
Homepage: http://www.slashem.org/
Priority: optional
Section: games
Filename: pool/main/s/slashem/slashem_0.0.7E7F3-6_armhf.deb
Size: 1135426
SHA256: 1005d2257ab43ff6c5ea80435ac7791e72e1fed4440cc2f0a369fa41b2ff6cd0
SHA1: 2db722e7b903bff931e693ef9565d2e478889c69
MD5sum: 9a25bd57a38f7b9140290340e969f4ca
Description: variant of Nethack
 Super Lotsa Added Stuff Hack - Extended Magic (SLASH'EM)
 is a role-playing game where you control a single character. The
 interface and gameplay are similar in style to Rogue, ADOM, Angband
 and, of course, Nethack. You control the actions through the
 keyboard and view the world from an overhead perspective.
 .
 The problem: The Amulet of Yendor has been stolen. Not only
 that but it appears that the Wizard of Yendor (not a nice person),
 who took the amulet, is hiding in the Dungeons of Doom (not a
 friendly place).
 .
 This package contains the basic ASCII interface. For more fancy
 graphical interfaces see
  slashem-x11 - Simple graphic interface using Xaw
  slashem-sdl - Graphical interface usnig SDL
  slashem-gtk - Graphical interface usnig Gtk

Package: slashem-common
Source: slashem
Version: 0.0.7E7F3-6
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 4295
Pre-Depends: debconf | debconf-2.0
Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28)
Recommends: slashem | slashem-x11 | slashem-sdl | slashem-gtk
Conflicts: slashem (<< 0.0.7E6F1-1)
Replaces: slashem (<< 0.0.7E6F1-1)
Homepage: http://www.slashem.org/
Priority: optional
Section: games
Filename: pool/main/s/slashem/slashem-common_0.0.7E7F3-6_armhf.deb
Size: 852950
SHA256: 748209423fed309c1787de433a7f84e3ad146038f2cbde06ccd6aea3f83d8f99
SHA1: 45f812494567fc29d2f2fa152d7e15f2bd6ccee2
MD5sum: ce4aa9edbe9324b929847ebb9d188399
Description: files common to all slashem-packages
 This package contains the files comme to all the window ports of
 Slash'EM. You need to install at least one package containing a
 window port to use this package:
 .
  slashem - The basic ASCII interface
  slashem-x11 - Simple graphic interface using Xaw
  slashem-sdl - Graphical interface usnig SDL
  slashem-gtk - Graphical interface usnig Gtk

Package: slashem-gtk
Source: slashem
Version: 0.0.7E7F3-6
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 10866
Depends: slashem-common (= 0.0.7E7F3-6), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libncurses5 (>= 5.5-5~), libpango1.0-0 (>= 1.14.0), libtinfo5
Homepage: http://www.slashem.org/
Priority: optional
Section: games
Filename: pool/main/s/slashem/slashem-gtk_0.0.7E7F3-6_armhf.deb
Size: 1654102
SHA256: 7c2e9c27ccd7a11d0c5cba84398eca6f5ef210a3182f872f211990e4dfbc6624
SHA1: e64b1e1eab93ba13c439f46e9e7aedf863540585
MD5sum: 3ca10da74ab7b60a6283b405ffd69d56
Description: variant of Nethack (Gtk window port)
 Super Lotsa Added Stuff Hack - Extended Magic (SLASH'EM)
 is a role-playing game where you control a single character. The
 interface and gameplay are similar in style to Rogue, ADOM, Angband
 and, of course, Nethack. You control the actions through the
 keyboard and view the world from an overhead perspective.
 .
 The problem: The Amulet of Yendor has been stolen. Not only
 that but it appears that the Wizard of Yendor (not a nice person),
 who took the amulet, is hiding in the Dungeons of Doom (not a
 friendly place).
 .
 This package provides the Gtk window port of Slash'EM

Package: slashem-sdl
Source: slashem
Version: 0.0.7E7F3-6
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 2898
Depends: slashem-common (= 0.0.7E7F3-6), libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4)
Homepage: http://www.slashem.org/
Priority: optional
Section: games
Filename: pool/main/s/slashem/slashem-sdl_0.0.7E7F3-6_armhf.deb
Size: 1929280
SHA256: 2b461cc5e773d93bc3bb32022595c9c66c9ccdfaf7a71ecae520302df193110f
SHA1: fea112446e6048cea3729c2fc03b14cb01a14927
MD5sum: d3a9ddc88e753ac6fa72a14ea482ca6f
Description: variant of Nethack (SDL window port)
 Super Lotsa Added Stuff Hack - Extended Magic (SLASH'EM)
 is a role-playing game where you control a single character. The
 interface and gameplay are similar in style to Rogue, ADOM, Angband
 and, of course, Nethack. You control the actions through the
 keyboard and view the world from an overhead perspective.
 .
 The problem: The Amulet of Yendor has been stolen. Not only
 that but it appears that the Wizard of Yendor (not a nice person),
 who took the amulet, is hiding in the Dungeons of Doom (not a
 friendly place).
 .
 This package provides the SDL window port of Slash'EM

Package: slashem-x11
Source: slashem
Version: 0.0.7E7F3-6
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 2120
Depends: slashem-common (= 0.0.7E7F3-6), libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6
Homepage: http://www.slashem.org/
Priority: optional
Section: games
Filename: pool/main/s/slashem/slashem-x11_0.0.7E7F3-6_armhf.deb
Size: 1139060
SHA256: 3799e891a81654224394ab7f8cb0bd56ae30f5de2ea1af805327131f3bffacf2
SHA1: 0a2707891f6b80d7c597cd4b83e6c92641c98d65
MD5sum: b6aa202ddd2fad5a2a79a0cacf29d873
Description: variant of Nethack (X11 window port)
 Super Lotsa Added Stuff Hack - Extended Magic (SLASH'EM)
 is a role-playing game where you control a single character. The
 interface and gameplay are similar in style to Rogue, ADOM, Angband
 and, of course, Nethack. You control the actions through the
 keyboard and view the world from an overhead perspective.
 .
 The problem: The Amulet of Yendor has been stolen. Not only
 that but it appears that the Wizard of Yendor (not a nice person),
 who took the amulet, is hiding in the Dungeons of Doom (not a
 friendly place).
 .
 This package provides the X11 window port of Slash'EM

Package: slashtime
Version: 0.5.13-1
Installed-Size: 66
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: default-jre | java6-runtime, libjava-gnome-java (>= 4.1.1)
Size: 59290
SHA256: d0761d12103a3d15e1ed92157812baa8bd710a5455794858090cd1ba887541d2
SHA1: 3b9df600e48f14293a7db01a32a3b27a0b16f055
MD5sum: 747dd4d908ba4aa99150d78376946689
Description: Display the time in various places
 A small program which displays the time in various places. It has a compact
 display of locations along with supporting information such as the date and
 the abbreviated code used to name that timezone.
 .
 Time data displayed will be as accurate as the system's zoneinfo data is used.
 Somewhat unusually, Slashtime shows the offset from the current location, not
 from UTC. When running you can change the center point by double-clicking on
 another city.
 .
 There is a meeting planner built into Slashtime. You can specify a date and
 time and see what the corresponding time in other locations will be.
Homepage: http://research.operationaldynamics.com/projects/slashtime/
Section: misc
Priority: optional
Filename: pool/main/s/slashtime/slashtime_0.5.13-1_all.deb

Package: slay
Version: 2.7.0
Installed-Size: 84
Maintainer: Paweł Więcek 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0
Size: 13234
SHA256: fd0bfdc55310de1e6e4c63b73cb9153a0531f991be82352fe8e98a2c024045cc
SHA1: 6be4ca63642be81ef0bd079b81a5e8bdb7d5bf3b
MD5sum: 95a4bbe43e08820a531e9ff742b3224d
Description: Kills all of the user's processes
 Slay provides you with a way to quickly get rid of all
 processes a particular user owns. Very useful if you want
 to harm somebody.
Tag: admin::user-management, interface::commandline, role::program,
 scope::utility, works-with::software:running
Section: admin
Priority: optional
Filename: pool/main/s/slay/slay_2.7.0_all.deb

Package: slbackup
Version: 0.0.12-3
Installed-Size: 181
Maintainer: Morten Werner Forsbring 
Architecture: all
Depends: perl, debconf (>= 0.5) | debconf-2.0, rdiff-backup, logrotate, libconfig-general-perl, libnet-dns-perl, libperl4-corelibs-perl | perl (<< 5.12.3-7)
Recommends: ssh
Size: 34290
SHA256: fc03d3a841cb0faeac265a78cff9a5699435f9284898e47992ac8fcb9f76cf0f
SHA1: f4ab6552d8105fc22f792ebb5dc04e179549b0d9
MD5sum: 4dcb44d1e19af0d96ff5b311ee82ae0d
Description: Skolelinux Backup system
 A backup system designed to back up multiple clients to a server.
 slbackup uses rdiff-backup as the underlying backup software.
 .
 Clients can be the host installing this package, or other hosts
 reachable via a SSH connection (using SSH keys). The host storing the
 backup data can be the host installing this package or another host
 reachable via a SSH connection (also using SSH keys).
Homepage: http://slbackup.alioth.debian.org/
Tag: admin::backup, implemented-in::perl, interface::daemon, network::server,
 role::program
Section: utils
Priority: optional
Filename: pool/main/s/slbackup/slbackup_0.0.12-3_all.deb

Package: slbackup-php
Version: 0.4.3-2+deb7u1
Installed-Size: 182
Maintainer: Debian Edu Packaging Team 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, libapache2-mod-php5, apache2, smarty3, ssh, php5-cli, smarty-gettext (>= 1.0b1-7)
Size: 25872
SHA256: 37ebc82248a1f2e5493ab1abeabbe318b604b50573f43317e122c5d6ee987c3d
SHA1: 7ab83693793a518cbdcda703b34035e7141b42b2
MD5sum: a61615c12eef01f46c1b5117900d3b47
Description: Web-based administration tool for slbackup
 Slbackup-php provides the necessary functions to maintain a slbackup
 repository.
 .
 Features:
  - scheduling of slbackup execution;
  - editing of the configuration file;
  - verifying SSH keys and successful backups;
  - restoring from backups;
  - removing old backups from the repository.
Tag: admin::backup, implemented-in::php, role::program, use::storing
Section: misc
Priority: optional
Filename: pool/main/s/slbackup-php/slbackup-php_0.4.3-2+deb7u1_all.deb

Package: sleepd
Version: 2.04
Architecture: armhf
Maintainer: Joey Hess 
Installed-Size: 96
Depends: libapm1 (>= 3.2.0-7), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libhal1 (>= 0.5.8.1), lsb-base
Recommends: pm-utils | apmd, hal
Conflicts: apm-sleep
Replaces: apm-sleep
Provides: apm-sleep
Homepage: http://kitenet.net/~joey/code/sleepd/
Priority: optional
Section: admin
Filename: pool/main/s/sleepd/sleepd_2.04_armhf.deb
Size: 25540
SHA256: 831fd3087ccc66231342cb59b30467913f64d12c9b0cf9ac0cb3e949bc762a8e
SHA1: f43786ec322ffe7182f82d43b2f326a9aa854c88
MD5sum: 094ab279447ffda14da2f00421fdc684
Description: puts an inactive or low battery laptop to sleep
 This is a daemon to put a laptop to sleep if it is not being used or
 if the battery is low.
 .
 It supports HAL, APM, and ACPI, although external programs must be used
 to actually put the system to sleep.

Package: sleepenh
Version: 1.3-1
Architecture: armhf
Maintainer: Pedro Zorzenon Neto 
Installed-Size: 46
Depends: libc6 (>= 2.4)
Priority: extra
Section: utils
Filename: pool/main/s/sleepenh/sleepenh_1.3-1_armhf.deb
Size: 7504
SHA256: b29dc31021759bb60449a3be2bfea69674cace9e50ff925d063d52f0cae6c83f
SHA1: c880ccc86cf03b07df25cbc7b8a6fb5a0243fe62
MD5sum: f0fec605c3095720728b8e522fe81446
Description: Sleep until a given date with subsecond resolution
 sleepenh is an sleep program for using in shell scripts
 that need to perform a loop that repeats at a regular
 time interval, without cumulative errors.
 .
 It supports microsecond resolution.
 .
 You can also specify the time you need between two calls
 of sleepenh.
 .
 Here follows an usage example to clarify its purpose. This
 example sends 'A' to ttyS0 every 1.2 seconds.
   #!/bin/sh
   # does not wait (or wait 0), just to get initial timestamp
   TIMESTAMP=`sleepenh 0`
   while true; do
     # send the byte to ttyS0
     echo -n "A" > /dev/ttyS0;
     # wait until the required time
     TIMESTAMP=`sleepenh $TIMESTAMP 1.200`;
   done
 .
 For more details, please read the manpage.

Package: slepc3.2-doc
Source: slepc
Version: 3.2-p5-1
Installed-Size: 2723
Maintainer: Debian Science Maintainers 
Architecture: all
Suggests: libslepc3.2-dev (= 3.2-p5-1)
Size: 1037628
SHA256: 270390536965a8718a65a822e216f64c47858716f5d3af4189e8d889d9f93525
SHA1: 7698cd45a37484435aa4e4237a271a7a94e7939f
MD5sum: 0cd8c9399728199031bc9c82178d9a0b
Description: Scalable Library for Eigenvalue Problem Computations
 SLEPc is a software library for the solution of large scale sparse eigenvalue
 problems on parallel computers. It is an extension of PETSc and can be used
 for either standard or generalized eigenproblems, with real or complex
 arithmetic. It can also be used for computing a partial SVD of a large,
 sparse, rectangular matrix.
 .
 This package contains the documentation and examples for SLEPc.
Homepage: http://www.grycap.upv.es/slepc/
Section: doc
Priority: extra
Filename: pool/main/s/slepc/slepc3.2-doc_3.2-p5-1_all.deb

Package: sleuthkit
Version: 3.2.3-2
Architecture: armhf
Maintainer: Debian Forensics 
Installed-Size: 724
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1), libtsk3-3, perl, file, libdate-manip-perl
Suggests: autopsy
Homepage: http://www.sleuthkit.org/sleuthkit/
Priority: optional
Section: admin
Filename: pool/main/s/sleuthkit/sleuthkit_3.2.3-2_armhf.deb
Size: 279448
SHA256: 6662e2f30b387e2add856fe2b9e63bb460289edde5a4354adffc62fb81d6ce20
SHA1: cfbd897cca75f6c3771d292408eea35787e31063
MD5sum: 1d0c379e2c6827539f476573fc862118
Description: collection of tools for forensics analysis on volume and file system data
 The Sleuth Kit (previously known as TASK) is a collection of UNIX-based command
 line file and volume system forensic analysis tools. The file system tools
 allow you to examine file systems of a suspect computer in a non-intrusive
 fashion. Because the tools do not rely on the operating system to process the
 file systems, deleted and hidden content is shown.
 .
 The volume system (media management) tools allow you to examine the layout of
 disks and other media. The Sleuth Kit supports DOS partitions, BSD partitions
 (disk labels), Mac partitions, Sun slices (Volume Table of Contents), and GPT
 disks. With these tools, you can identify where partitions are located and
 extract them so that they can be analyzed with file system analysis tools.
 .
 This package contains the set of command line tools in The Sleuth Kit.

Package: slib
Version: 3b1-3.1
Installed-Size: 4352
Maintainer: Thomas Bushnell, BSG 
Architecture: all
Depends: dpkg (>= 1.15.4) | install-info
Conflicts: guile-1.6-libs (<= 1.6.7-1.1), libguile9 (<= 1:1.4-26), scm (<< 5e3)
Size: 1012268
SHA256: 91d684d3fd382f0d5719c77c6da0574b697dd6717b61cab0ce1a7b93a2576697
SHA1: 9e6bc8b9a855e85e291deedc129bde1b226d1d68
MD5sum: 26b949eba4f97f41da06e273f5fe120b
Description: Portable Scheme library
 SLIB is a portable scheme library meant to provide compatibility and
 utility functions for all standard scheme implementations.  SLIB
 includes initialization files for Chez, ELK 2.1, GAMBIT, MacScheme,
 MITScheme, scheme->C, Scheme48, T3.1, and VSCM.  SCM also supports
 SLIB.
Homepage: http://people.csail.mit.edu/jaffer/SLIB.html
Tag: devel::lang:scheme, devel::library, implemented-in::scheme,
 role::app-data
Section: lisp
Priority: optional
Filename: pool/main/s/slib/slib_3b1-3.1_all.deb

Package: slice
Version: 1.3.8-11
Installed-Size: 87
Maintainer: Debian WML Packaging Team 
Architecture: all
Depends: perl (>= 5.6.0) | perl5, libbit-vector-perl
Size: 25480
SHA256: 70f3c8f94f6a8dddfad0fcb17ab98265f36fc1db5db15ed6864d852df48b7158
SHA1: b50838b38e42a1272eea6420fd2aa799d5d594f8
MD5sum: 82ff688fc28fe378785a585c436c3369
Description: Extract out pre-defined slices of an ASCII file
 The slice program reads an input file and divide its prepared ASCII contents
 into possibly overlapping slices. These slices are determined by enclosing
 blocks which are defined by begin and end delimiters which have to be
 already in the file. The final output gets calculated by a slice term
 consisting of slice names, set theory operators and optional round brackets.
Homepage: ftp://ftp.ossp.org/pkg/tool/slice/
Tag: devel::web, implemented-in::perl, interface::commandline, role::program,
 scope::utility, use::filtering, use::text-formatting, works-with::text
Section: text
Priority: optional
Filename: pool/main/s/slice/slice_1.3.8-11_all.deb

Package: slides-doc
Source: slides
Version: 1.0.1-13
Installed-Size: 124
Maintainer: Debian QA Group 
Architecture: all
Suggests: python-slides
Size: 10432
SHA256: bdcb0718e987ec1f0604f58c52060bab3858782c1985a346c943ddc9b8171724
SHA1: b0bc4f0c7d5e85c072dacfc1bbaff160e2df68e4
MD5sum: fb9d96d7c19aa865c8ae48e62ddca58f
Description: The official documentation of slides
 Examples for using the Python-based HTML slide maker.
Tag: devel::lang:python, role::documentation, works-with-format::html,
 works-with::text
Section: doc
Priority: extra
Filename: pool/main/s/slides/slides-doc_1.0.1-13_all.deb

Package: slim
Version: 1.3.4-2
Architecture: armhf
Maintainer: Nobuhiro Iwamatsu 
Installed-Size: 1484
Depends: libc6 (>= 2.13-28), libck-connector0 (>= 0.2.1), libdbus-1-3 (>= 1.0.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libpam0g (>= 0.99.7.1), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0), libx11-6, libxft2 (>> 2.1.1), libxmu6, libxrender1, zlib1g (>= 1:1.1.4), debconf (>= 1.2.9) | debconf-2.0, dbus, consolekit
Recommends: xterm
Suggests: scrot, xauth
Provides: x-display-manager
Homepage: http://slim.berlios.de/
Priority: optional
Section: x11
Filename: pool/main/s/slim/slim_1.3.4-2_armhf.deb
Size: 1220742
SHA256: 4792c01ba418f3b6c51d79a39021d3a1982ac2ed7e42597e689b9c206c3e6c63
SHA1: fe180a1a05be1f64f95c79df028ee249f3266b9d
MD5sum: f41f2db996f8c5e2d86790c32585259f
Description: desktop-independent graphical login manager for X11
 SLiM aims to be light and simple, although completely configurable through
 themes and an option file. It is particularly suitable for machines that
 don't require remote logins.

Package: slime
Version: 1:20120525-1
Installed-Size: 2523
Maintainer: Debian Common Lisp Team 
Architecture: all
Depends: emacsen-common, dpkg (>= 1.15.4) | install-info
Recommends: cl-swank (= 1:20120525-1), info | info-browser, emacs23 | emacs22 | emacs-snapshot | xemacs21
Size: 1302348
SHA256: 828b5b5f8946e281125994757191672ac9bf87add1fcb21ff8b1830b26d9cc68
SHA1: f517fddf51dd860b6c0c7faf66da7272544194ca
MD5sum: 70b934a787e7572dd0803af45f7675b9
Description: Superior LISP Interaction Mode for Emacs
 SLIME is the Superior Lisp Interaction Mode for Emacs.
 .
 This is an ILISP-like development environment intended for maximum
 integration with CMUCL (also works with SBCL, OpenMCL and work is
 ongoing on Lispworks and current CVS version of CLISP and CLISP 2.32
 on Linux).
 .
 This package contains the Emacs client, that will connect to the
 Lisp-side server (see package cl-swank).
 .
 To connect to Common Lisp implementations not running in Debian
 you need the latest CVS version of slime, NOT the old slime
 'release' version 1.2.1 or older.
Homepage: http://common-lisp.net/project/slime/
Tag: devel::lang:lisp, implemented-in::lisp, role::plugin, suite::emacs,
 use::editing, works-with::software:source
Section: lisp
Priority: optional
Filename: pool/main/s/slime/slime_20120525-1_all.deb

Package: slimevolley
Version: 2.4.2+dfsg-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 235
Depends: libc6 (>= 2.13-28), libsdl-image1.2 (>= 1.2.10), libsdl-net1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), slimevolley-data (= 2.4.2+dfsg-1)
Homepage: http://slime.tuxfamily.org/
Priority: extra
Section: games
Filename: pool/main/s/slimevolley/slimevolley_2.4.2+dfsg-1_armhf.deb
Size: 60022
SHA256: c7ce2e9872326d257138346d393f5ee07c6f4b0817eaefd6da0627a5b313bd80
SHA1: 35aba9bb81234efb1d01126621ba16d58d2f9f79
MD5sum: f613ba659d8fadfb0cdb5d140b83b4f8
Description: unrealistic 2D volleyball simulation
 Slime Volley is a 2D arcade-oriented volleyball simulation, in the spirit of
 some Java games of the same name.
 Two teams, 1-3 players each, try to be the first to get 10 points. This happens
 when the one ball touches the floor on the other side of the net.
 There can be 1 to 8 balls in game.
 Each player use 4 keys, 2 direction keys, one for jump and one for changing its
 skin.

Package: slimevolley-data
Source: slimevolley
Version: 2.4.2+dfsg-1
Installed-Size: 1140
Maintainer: Debian Games Team 
Architecture: all
Recommends: slimevolley
Size: 783480
SHA256: 10de867a5768dfdc7ac546a9f95e9b3af31c5718212994602d3d938b08ced956
SHA1: 6513358ca9892c2ec3ec4052d77b8378a7fe3f8f
MD5sum: 254f8117fe653a0f0b3e6c55e8a77c09
Description: unrealistic 2D volleyball simulation - data files
 Slime Volley is a 2D arcade-oriented volleyball simulation, in the spirit of
 some Java games of the same name.
 Two teams, 1-3 players each, try to be the first to get 10 points. This happens
 when the one ball touches the floor on the other side of the net.
 There can be 1 to 8 balls in game.
 Each player use 4 keys, 2 direction keys, one for jump and one for changing its
 skin.
 .
 This package contains the data files needed to run Slime Volley.
Homepage: http://slime.tuxfamily.org/
Tag: role::app-data
Section: games
Priority: extra
Filename: pool/main/s/slimevolley/slimevolley-data_2.4.2+dfsg-1_all.deb

Package: slimit
Version: 0.7.4-1
Installed-Size: 394
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7, python (>= 2.7), python (<< 2.8), python-ply, python-pkg-resources
Size: 70228
SHA256: 8321f0da2ad2dc3dd9324dd79511f0ee18640f33f7107039b6d78c801f1b84d1
SHA1: 4d4e7e3b6136ab780094b1d4adf13e1b34d5e012
MD5sum: 1e3276f99ca4ef8fad430d3f01fb8aa8
Description: JavaScript minifier/parser in Python
 SlimIt is a JavaScript minifier written in Python. It compiles JavaScript
 into more compact code so that it downloads and runs faster.
 .
 SlimIt also provides a library that includes a JavaScript parser, lexer,
 pretty printer and a tree visitor.
Homepage: http://slimit.org/
Section: devel
Priority: extra
Filename: pool/main/s/slimit/slimit_0.7.4-1_all.deb

Package: slimrat
Version: 1.0-1
Installed-Size: 140
Maintainer: Paul McEnery 
Architecture: all
Depends: slimrat-nox (= 1.0-1), perl, libwww-perl, libwww-mechanize-perl, libgtk2-gladexml-perl, libspiffy-perl, libcrypt-ssleay-perl, aview, imagemagick, tesseract-ocr, xclip
Size: 16638
SHA256: d6b41f234e1ce0f65c47e6aa1f1dea92b96fb5aa405a172624e768c9dd577683
SHA1: 1d3a8a0ae053f5e3cfe484af322eb5a2c46acdd3
MD5sum: ea0f7765485f17754e433b3d83f933bb
Description: GUI application for automated downloading from file hosters
 Provides a graphical interface for automatically downloading files
 from hosting providers. Slimrat is also capable of captcha solving using
 tesseract for optical character recognition. Support includes, but is
 not limited to the following file hosters:
 .
    * data.hu
    * www.depositfiles.com
    * www.easy-share.com
    * www.fast-load.net
    * www.fast-share.com
    * www.hotfile.com
    * leteckaposta.cz
    * www.mediafire.com
    * www.megaupload.com
    * odsiebie.najlepsze.net
    * www.rapidshare.com
    * sharebase.to
    * uploaded.to
    * www.youtube.com
 .
 This package provides the graphical user interface
Homepage: http://code.google.com/p/slimrat/
Tag: implemented-in::perl, interface::x11, network::client, protocol::http,
 role::program, scope::application, uitoolkit::gtk, use::downloading,
 x11::application
Section: net
Priority: extra
Filename: pool/main/s/slimrat/slimrat_1.0-1_all.deb

Package: slimrat-nox
Source: slimrat
Version: 1.0-1
Installed-Size: 336
Maintainer: Paul McEnery 
Architecture: all
Depends: perl, libwww-perl, libwww-mechanize-perl, libcrypt-ssleay-perl, aview, imagemagick, tesseract-ocr
Size: 56026
SHA256: b8b8e246e386199eaa21416bff1a0b77bad43e2de7b9f09799b46a802ba5a604
SHA1: 0872d2af038fe78e45d4273a631ed19feef84f32
MD5sum: a08c21a74be2a69a28160130ca2c48c2
Description: CLI application for automated downloading from file hosters
 Provides a command-line interface for automatically downloading files
 from hosting providers. Slimrat is also capable of captcha solving using
 tesseract for optical character recognition. Support includes, but is
 not limited to the following file hosters:
 .
    * data.hu
    * www.depositfiles.com
    * www.easy-share.com
    * www.fast-load.net
    * www.fast-share.com
    * www.hotfile.com
    * leteckaposta.cz
    * www.mediafire.com
    * www.megaupload.com
    * odsiebie.najlepsze.net
    * www.rapidshare.com
    * sharebase.to
    * uploaded.to
    * www.youtube.com
 .
 This package provides the command-line user interface
Homepage: http://code.google.com/p/slimrat/
Tag: implemented-in::perl, interface::commandline, role::program,
 use::downloading
Section: net
Priority: extra
Filename: pool/main/s/slimrat/slimrat-nox_1.0-1_all.deb

Package: slingshot
Version: 0.9-1
Installed-Size: 1954
Maintainer: Ryan Kavanagh 
Architecture: all
Depends: python (>= 2.6.6-7~), python-pygame (>= 1.7.1)
Size: 1858548
SHA256: b3cadc1d237dea3ad11f3bcfaa3d69c7a08d9bdecee91fea74e6301ddb3aa9c8
SHA1: a6304f8d8f9d37d03fa4809b0ec06ae73435df26
MD5sum: 3f54cb192b6b5c95833e087036be6d52
Description: simple 2D shooting strategy game set in space, with gravity
 Slingshot is a two dimensional, turn based simulation-strategy game
 set in the gravity fields of several planets. It is a highly
 addictive game, and never the same from round to round due to its
 randomly generated playing fields.
 .
 It is a deceptively simple game, the goal is to shoot the other
 spacecraft through the field of planets, but their gravity makes it
 tricky. The effects of the gravity mean that although it is easy to
 learn how to play, and to enjoy playing, it could take a lifetime to
 thoroughly master.
Homepage: http://github.com/ryanakca/slingshot
Tag: game::strategy, interface::x11, role::program, uitoolkit::sdl,
 use::gameplaying, x11::application
Section: games
Priority: extra
Filename: pool/main/s/slingshot/slingshot_0.9-1_all.deb

Package: slirp
Version: 1:1.0.17-6
Architecture: armhf
Maintainer: Roberto Lumbreras 
Installed-Size: 411
Depends: libc6 (>= 2.4)
Homepage: http://slirp.sourceforge.net
Priority: optional
Section: net
Filename: pool/main/s/slirp/slirp_1.0.17-6_armhf.deb
Size: 241878
SHA256: df99ea2c7edc273a3dacee8dc03f7726e96bd054e8f312c03f1f24d45930669b
SHA1: a3f59fdab83475802bc734205455e7c21440b4ad
MD5sum: c04da01dda49cffde02fcdb3bc89af21
Description: SLIP/PPP emulator using a dial up shell account
 Slirp is a TCP/IP emulator which turns an ordinary shell account
 into a (C)SLIP/PPP account.  This allows shell users to use all the
 funky Internet applications like Netscape, Mosaic, CUSeeMe, etc.
 .
 Please note that maybe your ISP really doesn't like you using slirp,
 because they want to charge more for a ppp connection, so use it at
 your own risk.

Package: slmon
Version: 0.5.13-2.2
Architecture: armhf
Maintainer: RISKO Gergely 
Installed-Size: 92
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgtop2-7 (>= 2.22.3), libslang2 (>= 2.2.4)
Homepage: http://slmon.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/s/slmon/slmon_0.5.13-2.2_armhf.deb
Size: 31442
SHA256: 9d0b3507546888a0794452199aefa926adbdc6826b20c8d894de15722bceae7f
SHA1: 46c751aba8f81594ce336551c1e58cd1a8ed1316
MD5sum: 8c1d3ec48789652d1acc18df7ee85fbe
Description: A simple S-Lang based system performance monitor
 SLmon is a tool for monitoring system's performance. It displays results
 using a nice and readable text-based UI, providing either figures or a
 graph. It currently monitors:
   - CPU load (SMP is supported)
   - memory and swap load
   - uptime, date and time
   - number of logged in users
   - network traffic

Package: sloccount
Version: 2.26-5
Architecture: armhf
Maintainer: Uwe Hermann 
Installed-Size: 379
Depends: libc6 (>= 2.4), perl
Suggests: doc-base
Homepage: http://www.dwheeler.com/sloccount/
Priority: optional
Section: devel
Filename: pool/main/s/sloccount/sloccount_2.26-5_armhf.deb
Size: 122236
SHA256: 15aad3a64a249123bf13ee5d91c0aa244355c86cefffea7e3233cf9676e7bf86
SHA1: d24039b5b952b2f621232508dc91090b1cc1e13c
MD5sum: 11986dff4e405e94bdebd17323abb407
Description: programs for counting physical source lines of code (SLOC)
 SLOCCount (pronounced "sloc-count") is a suite of programs for
 counting physical source lines of code (SLOC) in potentially large
 software systems (thus, SLOCCount is a "software metrics tool" or
 "software measurement tool"). SLOCCount can count physical SLOC for
 a wide number of languages; listed alphabetically, they are: Ada,
 Assembly, awk, Bourne shell, C, C++, C shell, COBOL, C#, Erlang,
 Expect, Fortran, Java, lex/flex, LISP (including Scheme), Makefile,
 Modula3, Objective-C, Pascal, Perl, PHP, Python, Ruby, sed, SQL, Tcl,
 VHDL, XML, Yacc/Bison.
 .
 SLOCCount can automatically determine if a file is a source code file
 or not, and if so, which language it's written in. As a result, you
 can analyze large systems completely automatically. SLOCCount also
 includes some report-generating tools to collect the data generated
 and present it in several different formats.

Package: slony1-2-bin
Source: slony1-2
Version: 2.0.7-4
Architecture: armhf
Maintainer: Peter Eisentraut 
Installed-Size: 692
Depends: logrotate (>= 3.8), postgresql-common, perl, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpq5
Recommends: postgresql-9.1-slony1-2, libdbd-pg-perl, ntp | openntpd | chrony
Suggests: slony1-2-doc, libpg-perl
Conflicts: slony1-bin
Provides: slony1-bin
Homepage: http://slony.info/
Priority: optional
Section: database
Filename: pool/main/s/slony1-2/slony1-2-bin_2.0.7-4_armhf.deb
Size: 228528
SHA256: 55e9aff09fcb2158d30576521dd652fe699590ec32500603d4aaaba53ecb6c03
SHA1: 2b87338c7bf1e73ad40ffabad583a486a0462cde
MD5sum: b676fd5ece6677a0f565b09ede685f3f
Description: replication system for PostgreSQL: daemon and administration tools
 Slony-I is an asynchronous master-to-multiple-slaves replication system
 for PostgreSQL with cascading and slave promotion.
 .
 This package contains the slon daemon and the slonik administration tool.
 It should be installed on those hosts where Slony-I daemons are to be run
 or administered, which usually, but not necessarily, are the hosts where
 the database server nodes are running.

Package: slony1-2-doc
Source: slony1-2
Version: 2.0.7-4
Installed-Size: 1946
Maintainer: Peter Eisentraut 
Architecture: all
Provides: slony1-doc
Conflicts: slony1-doc
Size: 588898
SHA256: 29d0215dfd28b3e565fc08e3b22d71f3f74b52fe977650d4621b9d9fd2428ea8
SHA1: 1b302c219712ba726fedd8c719f7fa07a763d36c
MD5sum: e3254e0cc4c370de38a57942a99fde44
Description: Slony-I documentation
 Slony-I is an asynchronous master-to-multiple-slaves replication system
 for PostgreSQL with cascading and slave promotion.
 .
 This package contains the documentation for the Slony-I system.  It is
 not required for normal operation.
Homepage: http://slony.info/
Tag: made-of::html, made-of::man, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/slony1-2/slony1-2-doc_2.0.7-4_all.deb

Package: slpd
Source: openslp-dfsg
Version: 1.2.1-9+deb7u2
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 158
Depends: libc6 (>= 2.13-28)
Suggests: openslp-doc (= 1.2.1-9+deb7u2)
Homepage: http://www.openslp.org/
Priority: extra
Section: net
Filename: pool/main/o/openslp-dfsg/slpd_1.2.1-9+deb7u2_armhf.deb
Size: 62972
SHA256: 7d3b0edef0cf1db070810945eb56aafb4c40af312775b9aeeafabdb4924dd5a8
SHA1: c786ca821ab0dd1d3eca924b40b942ec458e50d6
MD5sum: 413071adfd08e08ba73571990cf939c1
Description: OpenSLP Server (slpd)
 Service Location Protocol is an IETF standard protocol that is used to
 discover/advertise services on the network. You can use SLP for anything
 from locating a suitable printer on your floor to discovering what LDAP
 directories are available in your organization. This package provides slpd,
 the OpenSLP daemon, which provides an SLPv2 (RFC 2608) compliant Service
 Agent and Directory Agent.

Package: slptool
Source: openslp-dfsg
Version: 1.2.1-9+deb7u2
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 67
Depends: libslp1 (= 1.2.1-9+deb7u2), libc6 (>= 2.13-28)
Suggests: openslp-doc (= 1.2.1-9+deb7u2)
Homepage: http://www.openslp.org/
Priority: extra
Section: utils
Filename: pool/main/o/openslp-dfsg/slptool_1.2.1-9+deb7u2_armhf.deb
Size: 22556
SHA256: a8ea0db0867629215b115cf89418416acf3f1f9d6802d0b2f3d2fc783187dd60
SHA1: fa041c4f12f9e8f84c5ddc97c7d52e3f04266558
MD5sum: 0c450565ab63a15edfaf2318a7b2137e
Description: SLP command line tool
 Service Location Protocol is an IETF standard protocol that is used to
 discover/advertise services on the network. You can use SLP for anything
 from locating a suitable printer on your floor to discovering what LDAP
 directories are available in your organization. This package contains
 the a utility which you can use to exercise/test SLP.

Package: slrn
Version: 1.0.0~pre18-1.3
Architecture: armhf
Maintainer: Jörg Sommer 
Installed-Size: 1900
Pre-Depends: debconf (>= 0.5) | debconf-2.0
Depends: libc6 (>= 2.13-28), libcanlock2 (>= 2b-1), libgnutls-openssl27, libslang2 (>= 2.0.7-1), libuu0
Suggests: slrnpull, metamail
Provides: news-reader
Homepage: http://www.slrn.org/
Priority: optional
Section: news
Filename: pool/main/s/slrn/slrn_1.0.0~pre18-1.3_armhf.deb
Size: 788942
SHA256: 497c1f6faca533fe1eacbea413a6691c30deadba7d0de6041badb201a2571d2c
SHA1: 634d96354e0ce445add5717e8d35bd73ba88f66e
MD5sum: 56e1534422a2bcc5f7b36274bfa10e2c
Description: threaded news reader (fast for slow links)
 Slrn is a threaded news reader with color support that is designed to
 read news fast over slow links.
 .
 Slrn can read usenet news via NNTP or directly from a local news spool.
 .
 Slrn can be heavily customized from its rc file, and even includes a
 built in macro language. There is also support for killfiles and
 article scoring.

Package: slrnface
Version: 2.1.1-6
Architecture: armhf
Maintainer: Gerfried Fuchs 
Installed-Size: 88
Depends: libc6 (>= 2.7), libcompfaceg1, libx11-6, libxt6, slrn (>= 0.9.7.3) | tin (>= 1:1.6.1-1)
Priority: optional
Section: news
Filename: pool/main/s/slrnface/slrnface_2.1.1-6_armhf.deb
Size: 27050
SHA256: d1fb2ba487585450b78eda42e58fbc9adbc404a6ce90317eeb0c67415fa4eb17
SHA1: ac0c3c062aa87cbc4a8b6fa94cd64936deb1b0a8
MD5sum: 1ab7f18d9647f8e04e77ab8b51e4295e
Description: shows X-Faces from a newsposting on an X11 terminal emulator
 The slrnface helper utility can be used from the slrn and the tin news reader
 to show X-Faces in Usenet articles when they are run from an X11 terminal
 emulator. It is not intended to be run directly from the command line.

Package: slrnpull
Source: slrn
Version: 1.0.0~pre18-1.3
Architecture: armhf
Maintainer: Jörg Sommer 
Installed-Size: 315
Pre-Depends: debconf (>= 0.5) | debconf-2.0
Depends: libc6 (>= 2.13-28), libgnutls-openssl27, libslang2 (>= 2.0.7-1)
Suggests: slrn | news-reader
Homepage: http://www.slrn.org/
Priority: optional
Section: news
Filename: pool/main/s/slrn/slrnpull_1.0.0~pre18-1.3_armhf.deb
Size: 139504
SHA256: 7064efbf63d9e17a19b544558d3204eea9b079b56574ce098e4712c09e0a9d23
SHA1: 89552d5f62127d50fa1d043e6e54fd975948d006
MD5sum: 87c24a9bec82f71121d2e0fb49bd72ce
Description: pulls a small newsfeed from an NNTP server
 Slrnpull pulls a small newsfeed, from an NNTP server, to a local news spool
 directory. The news spool can be used by news readers (such as slrn), which
 can read a local news spool without an NNTP server.
 .
 In combination with the slrn news reader, slrnpull can provide true
 offline news reading. You can tell slrnpull to download headers only,
 mark interesting ones for download with slrn and fetch those article
 bodies during the next run of slrnpull.
 .
 Slrnpull also has the ability to killfile articles so that they will not
 be downloaded from the server.

Package: slsh
Source: slang2
Version: 2.2.4-15
Architecture: armhf
Maintainer: Alastair McKinstry 
Installed-Size: 524
Depends: libc6 (>= 2.13-28), libslang2 (>= 2.2.4), libslang2-modules (= 2.2.4-15)
Multi-Arch: foreign
Homepage: http://www.jedsoft.org/slang/
Priority: optional
Section: interpreters
Filename: pool/main/s/slang2/slsh_2.2.4-15_armhf.deb
Size: 182772
SHA256: 1123e114b95950bad8b174a51e1ea45c461ad8451be31acd572b47402f49707c
SHA1: 594057d48d1b37cbb9d8d657c57f92d30ebc0670
MD5sum: b25d416def8d7cfb255e8d561f76dffc
Description: Interpreter for S-Lang language
 S-Lang is a C programmer's library that includes routines for the rapid
 development of sophisticated, user friendly, multi-platform applications.
 .
 This package contains a stand-alone interpreter for scripts written
 in the S-Lang language.

Package: sludge-compiler
Source: sludge
Version: 2.2-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 143
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.3.0)
Suggests: sludge-devkit
Conflicts: sludge-devkit (<= 2.1.1-1)
Replaces: sludge-devkit (<= 2.1.1-1)
Homepage: http://opensludge.sourceforge.net/
Priority: extra
Section: devel
Filename: pool/main/s/sludge/sludge-compiler_2.2-1_armhf.deb
Size: 57974
SHA256: a32786368e21c0b5aa7e18619589dc9d6df9951e4981d84270122ee4a78b380a
SHA1: 0d3aaff42553d2403a94bd13ded2c22389f78e7c
MD5sum: 2c34ff5ded9669036a4173fc5faa1bf8
Description: Compiler for SLUDGE adventure games
 SLUDGE is an open source adventure game engine. It combines a scripting
 language with IDE tools.
 .
 This package contains the command line SLUDGE compiler and is mainly
 intended as build dependency for SLUDGE game packages. Developers can
 use the Project Manager included in the Dev Kit for compiling games.

Package: sludge-devkit
Source: sludge
Version: 2.2-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 1743
Depends: sludge-engine (>= 2.2-1), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglee0d1, libglib2.0-0 (>= 2.31.8), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.16), libgtkglext1, libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libsm6, libstdc++6 (>= 4.3.0), libx11-6, libxmu6, libxt6
Recommends: sludge-doc
Suggests: gedit, sludge-compiler
Homepage: http://opensludge.sourceforge.net/
Priority: extra
Section: devel
Filename: pool/main/s/sludge/sludge-devkit_2.2-1_armhf.deb
Size: 1189824
SHA256: 96f3e21528061b80cbe72cca021613f57e6154220d14278cd176c28cf132b744
SHA1: 5e5eb6021c303c0e1d593f41576604cb017378b9
MD5sum: a479f51f7c9351d7bc90fd1211408976
Description: Development tools for creating SLUDGE adventure games
 SLUDGE is an open source adventure game engine. It combines a scripting
 language with IDE tools.
 .
 This package contains the development kit needed to create SLUDGE games.

Package: sludge-doc
Source: sludge
Version: 2.2-1
Installed-Size: 1703
Maintainer: Debian Games Team 
Architecture: all
Size: 1218330
SHA256: 275ecfee1c8c84a1f94de5dd64dd358264d5d962c242e25b0d0cc148503d02a9
SHA1: ead276eb33585fff198f5ce22af1a3e19e46c5cb
MD5sum: 733199160b4eff1b3e17ce7cf07bbd6b
Description: Documentation for SLUDGE
 SLUDGE is an open source adventure game engine. It combines a scripting
 language with IDE tools.
 .
 This package contains the documentation, including an example project.
Homepage: http://opensludge.sourceforge.net/
Tag: devel::doc, devel::examples, made-of::html, role::documentation,
 role::examples
Section: doc
Priority: extra
Filename: pool/main/s/sludge/sludge-doc_2.2-1_all.deb

Package: sludge-engine
Source: sludge
Version: 2.2-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 490
Depends: libalure1 (>= 1.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglee0d1, libglu1-mesa | libglu1, libogg0 (>= 1.0rc3), libopenal1, libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), libvorbis0a (>= 1.1.2), libvpx1 (>= 1.0.0), xdg-utils
Suggests: sludge-devkit
Homepage: http://opensludge.sourceforge.net/
Priority: extra
Section: games
Filename: pool/main/s/sludge/sludge-engine_2.2-1_armhf.deb
Size: 229066
SHA256: 626c0ed99930394e51e617b9f3b1f9d1751d6fdf368ebded448eb5b5519d4863
SHA1: adee28c3ea0ee45a24e03e321ff4f25b14ae45c4
MD5sum: 71144d7313e4df89ac4f14c9d096f5eb
Description: Runtime engine for playing SLUDGE adventure games
 SLUDGE is an open source adventure game engine. It combines a scripting
 language with IDE tools.
 .
 This package contains the runtime engine needed to run the games.
 Freeware SLUDGE games include "Out Of Order", "Cubert Badbone, P.I." and
 "The Game That Takes Place on a Cruise Ship".

Package: slugimage
Version: 1:0.0+r104-5
Installed-Size: 92
Maintainer: Marc Singer 
Architecture: all
Replaces: nslu2-utils (<< 0.10+r58-2)
Recommends: devio
Suggests: upslug2
Conflicts: nslu2-utils (<< 0.10+r58-2)
Size: 14814
SHA256: a9bc3aff20f1e18939f35ff84aac0337adc7edf84f28a73b5c60f44adc887eea
SHA1: 6ab7b5097db822c57ff6b420bc7eb0a571136637
MD5sum: 887b6db04d01a9afdd084aa6a8f9a390
Description: NSLU2 firmware image manipulation utility
 This is the slugimage program, which can be used to manipulate
 NSLU2 firmware images.
Tag: admin::hardware, implemented-in::perl, interface::commandline,
 role::program, scope::utility
Section: admin
Priority: extra
Filename: pool/main/s/slugimage/slugimage_0.0+r104-5_all.deb

Package: slurm
Version: 0.4.0-1
Architecture: armhf
Maintainer: Matthias Schmitz 
Installed-Size: 89
Depends: libc6 (>= 2.7), libncurses5 (>= 5.5-5~), libtinfo5
Homepage: https://github.com/mattthias/slurm
Priority: optional
Section: net
Filename: pool/main/s/slurm/slurm_0.4.0-1_armhf.deb
Size: 24652
SHA256: f8563e8e6b2573ae3e5c32f4cb1e3f0ae7cf4b864596706d9f5618338b4e4a9e
SHA1: acc62ac904787c26854713a004e6df6b397d8b8d
MD5sum: 5854b496e32a4775ddda520629942580
Description: Realtime network interface monitor
 Slurm has the following features:
  * realtime traffic statistics divided into incoming and outgoing
  * optional combined view
  * can monitor any kind of network interface
  * shows detailed statistics about the interface.
  * it's themeable

Package: slurm-drmaa-dev
Source: slurm-drmaa
Version: 1.0.4-3
Architecture: armhf
Maintainer: Dominique Belhachemi 
Installed-Size: 66
Depends: slurm-drmaa1 (= 1.0.4-3)
Conflicts: libdrmaa-dev (<< 6.2u5-3), pbs-drmaa-dev (<< 1.0.10-2)
Homepage: http://apps.man.poznan.pl/trac/slurm-drmaa
Priority: optional
Section: libdevel
Filename: pool/main/s/slurm-drmaa/slurm-drmaa-dev_1.0.4-3_armhf.deb
Size: 9326
SHA256: f5f34b5a5a9ee95b11d37ae2fe734e90e19551181962ab81133b7bde8a6ddb38
SHA1: 21fa13f92c3f58f14fbf742ef0af617c9306d74c
MD5sum: cf330f6f0b1549a1a7ad546320368fbd
Description: PSNC DRMAA for SLURM - devel
 PSNC DRMAA for  Simple Linux Utility for Resource Management (SLURM)
 is an implementation of  Open Grid Forum  DRMAA 1.0 (Distributed
 Resource Management Application API)  specification for submission
 and control of jobs to  Simple Linux Utility for Resource Management
 (SLURM). Using DRMAA, grid applications builders, portal developers
 and ISVs can use the same high-level API to link their software with
 different cluster/resource management systems.
 .
 This package contains the development files for slurm-drmaa.

Package: slurm-drmaa1
Source: slurm-drmaa
Version: 1.0.4-3
Architecture: armhf
Maintainer: Dominique Belhachemi 
Installed-Size: 155
Depends: libc6 (>= 2.13-28), libslurm23 (>= 1.3.8)
Conflicts: libdrmaa1.0 (<< 6.2u5-3), pbs-drmaa1 (<< 1.0.10-2)
Homepage: http://apps.man.poznan.pl/trac/slurm-drmaa
Priority: optional
Section: libs
Filename: pool/main/s/slurm-drmaa/slurm-drmaa1_1.0.4-3_armhf.deb
Size: 53566
SHA256: 6b1c5b9b8a0d7c5076eafc4b8db3754dbd9ae2cabe25d21b0c2e5ebce11514eb
SHA1: 9534503ca2791c63d1cb66b10084264031431842
MD5sum: eba93bf1dc7201a74b9c2d8fcc82811d
Description: PSNC DRMAA for SLURM - runtime
 PSNC DRMAA for Simple Linux Utility for Resource Management (SLURM)
 is an implementation of  Open Grid Forum  DRMAA 1.0 (Distributed
 Resource Management Application API)  specification for submission
 and control of jobs to  Simple Linux Utility for Resource Management
 (SLURM). Using DRMAA, grid applications builders, portal developers
 and ISVs can use the same high-level API to link their software with
 different cluster/resource management systems.

Package: slurm-llnl
Version: 2.3.4-2+deb7u2
Architecture: armhf
Maintainer: Gennaro Oliva 
Installed-Size: 19098
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libpam0g (>= 0.99.7.1), libtinfo5, openssl (>= 0.9.8g-9), ucf, munge, slurm-llnl-basic-plugins (= 2.3.4-2+deb7u2), adduser, openssl-blacklist, lsb-base (>= 3.2-12)
Homepage: https://computing.llnl.gov/linux/slurm/
Priority: extra
Section: admin
Filename: pool/main/s/slurm-llnl/slurm-llnl_2.3.4-2+deb7u2_armhf.deb
Size: 8875848
SHA256: 1c6b17f6918923fe07f92252beee22f703496df3397085c2e8d4bce045ebae51
SHA1: 8fd40ef3b6075fba2bb6f30338d9ad3e292a8355
MD5sum: 873190e6751b3fab5658cd539070236e
Description: Simple Linux Utility for Resource Management
 SLURM stands for Simple Linux Utility for Resource Management, it
 is an open-source cluster resource management and job scheduling system
 that strives to be simple, scalable, portable, fault-tolerant, and
 interconnect agnostic.

Package: slurm-llnl-basic-plugins
Source: slurm-llnl
Version: 2.3.4-2+deb7u2
Architecture: armhf
Maintainer: Gennaro Oliva 
Installed-Size: 1392
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmunge2 (>= 0.5.8), libmysqlclient18 (>= 5.5.24+dfsg-1), libpq5, libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4)
Homepage: https://computing.llnl.gov/linux/slurm/
Priority: extra
Section: admin
Filename: pool/main/s/slurm-llnl/slurm-llnl-basic-plugins_2.3.4-2+deb7u2_armhf.deb
Size: 552126
SHA256: 43e78828c636e0f0d3deadfd8d82ef71bc2ea42e5046db898df7c3ddecf1fb6f
SHA1: 0ae602cd1f7a2e917a9cde04d2a13c5cc9be0dd5
MD5sum: b5081e23f9871ebf9199671f1c49c27f
Description: SLURM basic plugins
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contains the SLURM basic plugins

Package: slurm-llnl-basic-plugins-dev
Source: slurm-llnl
Version: 2.3.4-2+deb7u2
Architecture: armhf
Maintainer: Gennaro Oliva 
Installed-Size: 5158
Depends: slurm-llnl-basic-plugins (= 2.3.4-2+deb7u2)
Homepage: https://computing.llnl.gov/linux/slurm/
Priority: extra
Section: devel
Filename: pool/main/s/slurm-llnl/slurm-llnl-basic-plugins-dev_2.3.4-2+deb7u2_armhf.deb
Size: 2157052
SHA256: 82da5b606b508ff7a2426f08ae2cd64f671ac833092a06815630faccad918f59
SHA1: 8bd1197da6a6a04fdbaefe8dee979cd006b61a7d
MD5sum: 2f2bc42095c4269edf8ec428f8d884ed
Description: SLURM basic plugins development files
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contains development files for the SLURM basic plugins

Package: slurm-llnl-doc
Source: slurm-llnl
Version: 2.3.4-2+deb7u2
Installed-Size: 1900
Maintainer: Gennaro Oliva 
Architecture: all
Size: 841546
SHA256: 92c8c9ccebf8c16ed3e7cb4d60a2450792450e195eda07612e509be750118adc
SHA1: fd66f7c92032ce245fa4838901d812401f24dc74
MD5sum: fa6ab285b081ff35e8aac482412040c4
Description: SLURM docmentation
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contains the SLURM html documentation.
Homepage: https://computing.llnl.gov/linux/slurm/
Section: doc
Priority: extra
Filename: pool/main/s/slurm-llnl/slurm-llnl-doc_2.3.4-2+deb7u2_all.deb

Package: slurm-llnl-slurmdbd
Source: slurm-llnl
Version: 2.3.4-2+deb7u2
Architecture: armhf
Maintainer: Gennaro Oliva 
Installed-Size: 2201
Depends: libc6 (>= 2.13-28), slurm-llnl-basic-plugins (= 2.3.4-2+deb7u2), adduser, munge, ucf
Homepage: https://computing.llnl.gov/linux/slurm/
Priority: extra
Section: admin
Filename: pool/main/s/slurm-llnl/slurm-llnl-slurmdbd_2.3.4-2+deb7u2_armhf.deb
Size: 986974
SHA256: b1dbda3217b7bd02c080607b96885544752cb7a6e550a57da8a28c9484182ebe
SHA1: 5ee12b72d8f6f4da407101fe4a9abbd81954e1ef
MD5sum: 19999140e0cf572fc964c185b1379408
Description: Secure enterprise-wide interface to a database for SLURM
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contain SlurmDBD (Slurm DataBase Daemon) that can be
 used to securely manage the accounting data for several Slurm
 clusters in a central location and sacctmgr a command for managing
 user accounts in SlurmDBD.

Package: slurm-llnl-sview
Source: slurm-llnl
Version: 2.3.4-2+deb7u2
Architecture: armhf
Maintainer: Gennaro Oliva 
Installed-Size: 1216
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0), slurm-llnl (= 2.3.4-2+deb7u2)
Homepage: https://computing.llnl.gov/linux/slurm/
Priority: extra
Section: admin
Filename: pool/main/s/slurm-llnl/slurm-llnl-sview_2.3.4-2+deb7u2_armhf.deb
Size: 548234
SHA256: be556c5fe00f39ec99e66e19bcb3645f2811b17acebc422eba8dc6037eda20eb
SHA1: 71d946aa9327de40d7b70c901329a07eeda60e44
MD5sum: a835322f9400254faadd0841ebaca26c
Description: GUI to view and modify SLURM state
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 This package contains sview a GUI that can be used to view SLURM
 configuration, job, step, node and partitions state information.
 Authorized users can also modify select information.

Package: slurm-llnl-torque
Source: slurm-llnl
Version: 2.3.4-2+deb7u2
Installed-Size: 122
Maintainer: Gennaro Oliva 
Architecture: all
Depends: libslurm-perl (>= 2.3.4-2+deb7u2), libslurmdb-perl (>= 2.3.4-2+deb7u2), perl, slurm-llnl (>= 2.3.4-2+deb7u2)
Conflicts: gridengine-client, torque-client, torque-client-x11
Size: 37648
SHA256: 48d4b09b7f1378c161d87cefe2881d0b0d05f17413335afc4674ee816aea32c8
SHA1: 19e6fb51b15b0c140e533c0c7281d72a22bcb7c1
MD5sum: 1bdd257a02fff3e21b0bbbc893373ba0
Description: Torque compatibility wrappers for SLURM
 SLURM, the Simple Linux Utility for Resource Management,
 is an open-source cluster resource management and job scheduling.
 .
 This package contains the Torque compatibility wrappers.
Homepage: https://computing.llnl.gov/linux/slurm/
Section: admin
Priority: extra
Filename: pool/main/s/slurm-llnl/slurm-llnl-torque_2.3.4-2+deb7u2_all.deb

Package: slv2-doc
Source: slv2
Version: 0.6.6+dfsg1-2
Installed-Size: 355
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Replaces: libslv2-9 (<< 0.6.6-8)
Breaks: libslv2-9 (<< 0.6.6-8)
Size: 67130
SHA256: bf52943c07320d50d65ec0bd370608b262000a0bdce17794feeee936f16a34c2
SHA1: 6e0ecb9576c68adeba6d599dc646513670c781f4
MD5sum: c5b3c8483723b62cc4ba3d51dc535cb8
Description: Documentation for SLV2
 Man pages documenting the SLV2 API.
 SLV2 is a library geared towards music and audio applications
 which makes the use of LV2 plugins as simple as possible.
 LV2 is a standard for plugins and matching host applications,
 mainly targeted at audio processing and generation.
 .
 This package contains the API documentation for libslv2.
Homepage: http://drobilla.net/software/slv2
Tag: devel::doc, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/slv2/slv2-doc_0.6.6+dfsg1-2_all.deb

Package: slv2-jack
Source: slv2
Version: 0.6.6+dfsg1-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 90
Depends: libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, librdf0 (>= 1.0.15), libslv2-9 (>= 0.6.4-1~), jackd
Homepage: http://drobilla.net/software/slv2
Priority: optional
Section: sound
Filename: pool/main/s/slv2/slv2-jack_0.6.6+dfsg1-2_armhf.deb
Size: 23456
SHA256: baaf9dd65391264edaa2eef7a928dd6a5a37d0082dc995b4b08889d72168b210
SHA1: 30ff81c0a4a43d21d841edf07df2d86a87426caa
MD5sum: 4bbebde28d5313b7c3847b42d7150cf8
Description: Jack support for LV2 plugins
 LV2 is a simple but extensible successor of LADSPA plugins,
 intended to address the limitations of LADSPA
 which many applications have outgrown.
 .
 This package contains binary files.

Package: sm
Source: screen-message
Version: 0.19-1
Architecture: armhf
Maintainer: Joachim Breitner 
Installed-Size: 94
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0)
Priority: optional
Section: games
Filename: pool/main/s/screen-message/sm_0.19-1_armhf.deb
Size: 16492
SHA256: e417617d3033c8414ca5c05466b80b7a714aec23c8f21326f0097b21b3ce8e43
SHA1: 82a351f6a535b2c7369c13ee25a2805f613e14ec
MD5sum: cbd0360a4dc93e774901edf97f0b5e4c
Description: Displays a short text fullscreen
 Screen Message will display a given multi-line message as large as
 possible, fullscreen and black on white. You can specify the text either
 when launching sm, or edit it while the program is running.
 .
 It is useful to send messages across a room, e.g. during an university
 lecture. For fast startup, it is recommended to bind it to a key in your
 Desktop Environment.

Package: sm-archive
Version: 1.7-1
Architecture: armhf
Maintainer: Marco d'Itri 
Installed-Size: 116
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmilter1.0.1, libstdc++6 (>= 4.6), adduser
Homepage: http://www.five-ten-sg.com/sm-archive/
Priority: extra
Section: mail
Filename: pool/main/s/sm-archive/sm-archive_1.7-1_armhf.deb
Size: 35862
SHA256: 73714dfd246229a6f7ce5bdbd46de30f21b76ab99e6253fe177627433a779b61
SHA1: 9e09bcf89e71e1a22e2521c137234cac456f251f
MD5sum: ac05e8b37f73378b721e5f1f0a80b454
Description: A milter for archiving email
 This milter adds recipients to messages in transit accordingly to the
 rules specified in its configuration file.

Package: sma
Version: 1.4-2
Architecture: armhf
Maintainer: Andrew Pollock 
Installed-Size: 113
Depends: libc6 (>= 2.7)
Homepage: http://www.klake.org/sma/
Priority: optional
Section: mail
Filename: pool/main/s/sma/sma_1.4-2_armhf.deb
Size: 41436
SHA256: 0ed04bf894251f0821ee6d6c1b4b5696537dd0201fa28200917ebff73a35e860
SHA1: 73bab7dd9661b1b8ca6aa14c12f6570908a0b0b7
MD5sum: 869f3253cd0dc4b41934dc439adf4afc
Description: Sendmail log analyser
 SMA is a program that analyses Sendmail log entries.
 .
 Features:
 .
  - Support for all recent Sendmail versions
  - Flexible output formatting - HTML, ASCII and a custom log
  - Regular expression filtering of messages
  - Multiple hosts in the same report

Package: smart-notifier
Version: 0.28-5
Installed-Size: 108
Maintainer: Chow Loong Jin 
Architecture: all
Depends: python (>= 2.6.6-7~), dbus, python-dbus, smartmontools (>= 5.33+5.34cvs20050802-2), gir1.2-gtk-3.0
Size: 11410
SHA256: 7830193184732cc33acafbccd248764ea7b790e8a0eca88c455a226e42ae1538
SHA1: 2cb53451cd73c14c07a8a0588bcd5589ca0172ae
MD5sum: b700887a6da97fc903da08925779c51f
Description: graphical hard disk health status notifier
 A graphical notifier for smartmontools which attempts to show messages from
 the smartd disk monitoring daemon to the user. Currently only for gtk.
 .
 WARNING: This package is not a replacement for regular backups. It will _try_
 to warn the user when smartd sends a disk health warning. But success is not
 guaranteed. At best this package is an extra layer of protection.
Tag: admin::monitoring, interface::x11, role::program, scope::utility,
 uitoolkit::gtk, use::monitor
Section: utils
Priority: optional
Filename: pool/main/s/smart-notifier/smart-notifier_0.28-5_all.deb

Package: smartdimmer
Source: nvclock
Version: 0.8b4+cvs20100914-4
Architecture: armhf
Maintainer: Debian NVIDIA Maintainers 
Installed-Size: 158
Depends: libc6 (>= 2.13-28), libx11-6, libxext6
Breaks: nvclock (<< 0.8b4+cvs20100914-2~)
Replaces: nvclock (<< 0.8b4+cvs20100914-2~)
Homepage: http://www.linuxhardware.org/nvclock/
Priority: extra
Section: x11
Filename: pool/main/n/nvclock/smartdimmer_0.8b4+cvs20100914-4_armhf.deb
Size: 49992
SHA256: cc259fdb2e2d3e4bbb9992fffdbd2ef873873106ffa39fa12ea94568d477836d
SHA1: 759a6cc1d54116bfa364a1aa65241a1e83fb773e
MD5sum: 013a7cd97ec802a885482e7b04f4f09a
Description: Change LCD brightness on GeForce cards
 NVIDIA GeForce Go 6xxx, GeForce Go 7xxx, GeForce 8xxxM, GeForce 9xxxM, and
 other cards contain hardware LCD brightness control.  Smartdimmer allows this
 to be controlled through software.

Package: smartlist
Version: 3.15-22
Architecture: armhf
Maintainer: Santiago Vila 
Installed-Size: 267
Depends: libc6 (>= 2.4), procmail, default-mta | mail-transport-agent
Recommends: base-passwd (>= 1.3.0)
Conflicts: suidmanager (<< 0.50)
Priority: optional
Section: mail
Filename: pool/main/s/smartlist/smartlist_3.15-22_armhf.deb
Size: 94848
SHA256: a148ff53630392d36cdada182b028ca9a578a3b323559b5fdf00361766ddf36f
SHA1: cafbe5408a0f37451b7853d10a517a6dcba631ad
MD5sum: 048cb77f2c9c83582b90272fe3b3e493
Description: Versatile and Intelligent List Processor
 SmartList is a mailing list manager built on top of the procmail
 mail processing package. Some features:
  * Enough intelligence to overcome the ignorance of some subscribers
    (will direct subscribe and unsubscribe requests away from the regular
    list and automatically onto the -request address).
  * No hardwired format for (un)subscribe requests (i.e. new subscribers
    need not be educated, unsubscribing users do not need to remember any
    particular syntax).
  * Intelligent automatic removal of addresses from the list that cause too
    many bounces.
  * Duplicate submissions are eliminated automatically.
  * You can set up a mailing list to function as a standalone mail archive
    server.

Package: smartmontools
Version: 5.41+svn3365-1
Architecture: armhf
Maintainer: Giuseppe Iuculano 
Installed-Size: 1089
Depends: libc6 (>= 2.13-28), libcap-ng0, libgcc1 (>= 1:4.4.0), libselinux1 (>= 1.32), libstdc++6 (>= 4.4.0), debianutils (>= 2.2), lsb-base (>= 3.2-14)
Recommends: mailx | mailutils
Suggests: gsmartcontrol, smart-notifier
Conflicts: smartsuite, ucsc-smartsuite
Homepage: http://smartmontools.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/s/smartmontools/smartmontools_5.41+svn3365-1_armhf.deb
Size: 557340
SHA256: f8f9f63606cc6a327c493cbf9762132eee314e2776836a9c13e3e1698d886884
SHA1: d1cf7854b76c7387fa693358d3c86352b40bc005
MD5sum: 5a47ce680cdf8008940bf9e94462fc64
Description: control and monitor storage systems using S.M.A.R.T.
 The smartmontools package contains two utility programs (smartctl and smartd)
 to control and monitor storage systems using the Self-Monitoring, Analysis and
 Reporting Technology System (S.M.A.R.T.) built into most modern ATA and SCSI
 hard disks. It is derived from the smartsuite package, and includes support
 for ATA/ATAPI-5 disks. It should run on any modern Linux system.

Package: smartpm
Source: smart
Version: 1.4-2
Installed-Size: 808
Maintainer: Michael Vogt 
Architecture: all
Depends: smartpm-core (>= 1.4-2), python-gtk2
Conflicts: python-smartpm (<= 1.2-0ubuntu0.9.04), smartpm-core (<= 1.1.1)
Size: 145342
SHA256: 582729f7c6be4a78e2a6671ec6c176778620748f0a79ef0fd130d6c19d982246
SHA1: db8ccab6d7dfa4685474e4d7b052bab33751adcf
MD5sum: 91a49decaadef7638c2cb25dc71057aa
Description: An alternative package manager that works with dpkg/rpm
 The Smart Package Manager project has the ambitious objective of
 creating smart and portable algorithms for solving adequately the
 problem of managing software upgrading and installation. This tool
 works in all major distributions (APT, APT-RPM, YUM, URPMI, etc).
 .
 This package contains the GTK2 frontend for Smart.
Tag: admin::package-management, implemented-in::python, role::program,
 works-with::software:package
Section: admin
Priority: optional
Filename: pool/main/s/smart/smartpm_1.4-2_all.deb

Package: smartpm-core
Source: smart
Version: 1.4-2
Architecture: armhf
Maintainer: Michael Vogt 
Installed-Size: 75
Depends: python-smartpm
Suggests: python-rpm
Replaces: smartpm (<< 0.52-2)
Priority: optional
Section: admin
Filename: pool/main/s/smart/smartpm-core_1.4-2_armhf.deb
Size: 16680
SHA256: 3ec97f7a18980d096cf46c788d6b7ac72069608a90c7e864278d9bd335bf1635
SHA1: c11f3e8e2f932fe1f627e384b237c9703c6c2cc9
MD5sum: bf3d13021b96b060ae6683338ce9b351
Description: An alternative package manager that works with dpkg/rpm
 The Smart Package Manager project has the ambitious objective of
 creating smart and portable algorithms for solving adequately the
 problem of managing software upgrading and installation. This tool
 works in all major distributions (APT, APT-RPM, YUM, URPMI, etc).
 .
 This package contains the command line functionality.

Package: smarty
Version: 2.6.26-0.2
Installed-Size: 756
Maintainer: Debian QA Group 
Architecture: all
Depends: php5 | php5-cgi | php5-cli | php4 | php4-cli | php4-cgi
Size: 199052
SHA256: f50e728cf3e7bad8afdcb03ea5d245a840568f9df8447bb43f36fdef6dea0b6b
SHA1: bfca55e3db8a9f5c61a7fd66cc6533fd55dc8a59
MD5sum: a550e01612407d66207540bd878a83ff
Description: Template engine for PHP
 Smarty is a template engine for PHP. More specifically, it
 facilitates a manageable way to separate application logic and content
 from its presentation.
 .
 This is best described in a situation where the
 application programmer and the template designer play different roles,
 or in most cases are not the same person. For example, let's say you
 are creating a web page that is displaying a newspaper article. The
 article headline, tagline, author and body are content elements, they
 contain no information about how they will be presented. They are
 passed into Smarty by the application, then the template designer
 edits the templates and uses a combination of HTML tags and template
 tags to format the presentation of these elements (HTML tables,
 background colors, font sizes, style sheets, etc.) One day the
 programmer needs to change the way the article content is retrieved (a
 change in application logic.) This change does not affect the template
 designer, the content will still arrive in the template exactly the
 same. Likewise, if the template designer wants to completely redesign
 the templates, this requires no changes to the application
 logic. Therefore, the programmer can make changes to the application
 logic without the need to restructure templates, and the template
 designer can make changes to templates without breaking application
 logic.
Homepage: http://www.smarty.net/
Tag: devel::lang:php, implemented-in::php, interface::web, web::cgi,
 web::scripting
Section: web
Priority: optional
Filename: pool/main/s/smarty/smarty_2.6.26-0.2_all.deb

Package: smarty-gettext
Version: 1.0b1-7
Installed-Size: 61
Maintainer: Mike Gabriel 
Architecture: all
Depends: smarty3
Recommends: php5-cli, gettext
Size: 9810
SHA256: ba908793baccedc3dbd849093458d50c768337a18f958333bc11473a6e22a96d
SHA1: 480443511b72a14c762244b29865f106114dded4
MD5sum: 5cc3613b615ab91b790e28b3060aff2c
Description: Gettext plugin enabling internationalization in Smarty
 Smarty Gettext is a Smarty plugin providing internationalization support
 based on the standard gettext mechanism. Smarty is a popular PHP templating
 engine.
 .
 You need the PHP command line interpreter if you wish to use the tsmarty2c
 program: it lets you extract localizable strings from a Smarty template so
 that you can use the normal gettext tools afterwards.
Homepage: http://sourceforge.net/projects/smarty-gettext/
Tag: devel::i18n, implemented-in::php, role::plugin
Section: web
Priority: optional
Filename: pool/main/s/smarty-gettext/smarty-gettext_1.0b1-7_all.deb

Package: smarty-validate
Version: 3.0.3-2
Installed-Size: 129
Maintainer: Mike Gabriel 
Architecture: all
Depends: smarty3
Size: 23418
SHA256: 87d9da8ef276590aafc8fd6cf2f8d214064e8a33fe5b8fd28fe03d2e307f8a6b
SHA1: 621389cab8bcfccbcfb38ef2560bee4d20e9ba1a
MD5sum: 1e95ef7a709ab72c4dc835ff98f88aea
Description: Server-side form validation plugin for Smarty
 smarty-validate is a Smarty plugin that makes it easy to handle
 server-side form validation in PHP pages using the popular PHP
 templating engine.
Homepage: http://www.phpinsider.com/php/code/SmartyValidate/
Tag: role::plugin
Section: web
Priority: optional
Filename: pool/main/s/smarty-validate/smarty-validate_3.0.3-2_all.deb

Package: smarty3
Version: 3.1.10-2+deb7u3
Installed-Size: 1021
Maintainer: Mike Gabriel 
Architecture: all
Provides: smarty
Depends: php5 | php5-cgi | php5-cli
Size: 210810
SHA256: 687aa97da48b2892c1caab5798ccbd1e9c17d377309e42ef5d6deaada5df608a
SHA1: 2a67691c4f2cc4ed015960a5a968b8fde08b88a9
MD5sum: 4f4b31ff9efb5203982aefd333a8df28
Description: Template engine for PHP
 Smarty is a template engine for PHP. More specifically, it
 facilitates a manageable way to separate application logic and content
 from its presentation.
 .
 Smarty 3.1 is a departure from 2.0 compatibility. Most notably, all
 backward compatibility has been moved to a separate class file named
 SmartyBC.class.php. If you require compatibility with 2.0, you will
 need to use this class.
Homepage: http://www.smarty.net/
Section: web
Priority: optional
Filename: pool/main/s/smarty3/smarty3_3.1.10-2+deb7u3_all.deb

Package: smb-nat
Source: nat
Version: 1:1.0-4
Architecture: armhf
Maintainer: Javier Fernandez-Sanguino Pen~a 
Installed-Size: 185
Depends: libc6 (>= 2.7)
Conflicts: nat
Replaces: nat
Provides: nat
Priority: extra
Section: admin
Filename: pool/main/n/nat/smb-nat_1.0-4_armhf.deb
Size: 54156
SHA256: 5c6f538ca75f28e5d9f42e4b06fb8cee1a4e5961f1aa6d108522063c038148ce
SHA1: f39c0c4b8389db4adfe682047d2e02a48dcb3ab0
MD5sum: b5566b0295100b20e8a0eeda7fbfb0bd
Description: Netbios Auditing Tool
 This tool can perform various security checks on remote
 servers running NetBIOS file sharing services. It
 is capable of enumerating shares and make break-in attempts
 using a (user-provided) list of users and passwords.

Package: smb2www
Version: 980804-40
Installed-Size: 408
Maintainer: Robert Luberda 
Architecture: all
Depends: apache2 | httpd-cgi, smbclient, debconf (>= 0.5) | debconf-2.0, perl
Size: 104034
SHA256: b26e5498314f381a0aaf187cc335463cd3d71987d77a2661c31f019ba279dea3
SHA1: 3f8bc0bf47557dda66052e7a43445d4892a35dff
MD5sum: e208d808e153f13968934e9c76109565
Description: SMB/CIFS network client with a web interface
 This package allows browsing an SMB/CIFS-based network (such as
 a Windows-based network) by using a
 standard web browser. It uses the Samba smbclient utility.
Tag: implemented-in::perl, interface::web, network::client, protocol::smb,
 role::program, suite::samba, use::browsing, web::cgi
Section: net
Priority: optional
Filename: pool/main/s/smb2www/smb2www_980804-40_all.deb

Package: smb4k
Version: 1.2.1-2~deb7u1
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 8174
Depends: cifs-utils (>= 2:4.1), samba-common-bin (>= 2:3.4.7~dfsg), smbclient (>= 2:3.4.7~dfsg), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.6.2), libkdeui5 (>= 4:4.5.85), libkio5 (>= 4:4.4.0), libkparts4 (>= 4:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-test (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsolid4 (>= 4:4.4.0), libstdc++6 (>= 4.4.0)
Recommends: sudo
Suggests: kwalletmanager
Homepage: http://smb4k.sourceforge.net/
Priority: optional
Section: kde
Filename: pool/main/s/smb4k/smb4k_1.2.1-2~deb7u1_armhf.deb
Size: 4788454
SHA256: b28e74b36cd579797f6a022c1997212e6850672b2983df290c56cf78a7544590
SHA1: b64609cfe477d91c1706f5bc3f55f3a990d4a96e
MD5sum: 25ddf400076e846fe88d649789b4b886
Description: Samba (SMB) share advanced browser
 Smb4K is an advanced network neighborhood browser. It uses the Samba software
 suite to access the shares of the local network neighborhood. Its purpose is
 to provide a program that's easy to use and has as many features as possible.
 .
 Features:
  - Scanning for (active) workgroups, hosts, and shares
  - Support of the CIFS (Linux) and SMBFS (FreeBSD) file system
  - Mounting and unmounting of shares
  - Access to the files of a mounted share using a file manager or terminal
  - Auto-detection of external mounts and unmounts
  - Remounting of previously used shares on program start
  - Miscellaneous infos about remote network items and mounted shares
  - Network search
  - WINS server support
  - Preview of the contents of a share
  - Several methods to look up the initial list of workgroups and domains
  - Default login
  - Special handling of homes shares
  - Ability to bookmark favorite shares and organizing them in groups
  - Support of advanced Samba options
  - Support of printer shares
  - KWallet support
  - Synchronization of a remote share with a local copy and vice versa
  - Ability to define custom options for individual servers and shares
  - Laptop support through the Solid hardware device framework

Package: smbc
Version: 1.2.2-3
Architecture: armhf
Maintainer: Noèl Köthe 
Installed-Size: 258
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libpopt0 (>= 1.14), libsmbclient (>= 3.0.24), libtinfo5
Homepage: http://smbc.airm.net/
Priority: optional
Section: net
Filename: pool/main/s/smbc/smbc_1.2.2-3_armhf.deb
Size: 108782
SHA256: bacd18da78f7aa5be472eae021146a6d3f206c3a1e022729e8776fec0df64d50
SHA1: c324611bec8a6d3ee7b648982540bd3fab2df440
MD5sum: 2193850d33154292f7ee1788b1201f02
Description: samba-commander - curses based samba network browser
 Simple Samba Commander is a text mode SMB network commander. In SMBC, you
 can browse the local network or you can use the search function to find the
 files. You can also download/upload files and directories or create them
 both locally and remotely. SMBC has a resume function and supports UTF-8
 characters.
 .
 http://smbc.airm.net/
 http://sf.net/projects/smbc/

Package: smbclient
Source: samba
Version: 2:3.6.6-6+deb7u16
Architecture: armhf
Maintainer: Debian Samba Maintainers 
Installed-Size: 37133
Pre-Depends: dpkg (>= 1.15.6~)
Depends: samba-common (= 2:3.6.6-6+deb7u16), libc6 (>= 2.13-28), libcap2 (>= 2.10), libcomerr2 (>= 1.01), libgcc1 (>= 1:4.4.0), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.10+dfsg~), libldap-2.4-2 (>= 2.4.7), libpopt0 (>= 1.14), libreadline6 (>= 6.0), libtalloc2 (>= 2.0.4~git20101213), libtdb1 (>= 1.2.7+git20101214), libtinfo5, libwbclient0 (>= 2:3.6.0~pre3), zlib1g (>= 1:1.1.4)
Suggests: cifs-utils
Conflicts: samba4-clients (<< 4.0.0~beta1+dfsg1-1)
Replaces: samba (<< 2.999+3.0.alpha21-4), smbget
Provides: samba-client
Homepage: http://www.samba.org
Priority: optional
Section: net
Filename: pool/main/s/samba/smbclient_3.6.6-6+deb7u16_armhf.deb
Size: 4629454
SHA256: ad76af757f64a16bcf7ed32a2f8d56ce7bbd6ba8dad44896d1c878fe6fc09983
SHA1: e92a608f6a28cb85cd6498b702cde79602f6e825
MD5sum: 14bc53b50d867f87ecac507e481142c7
Description: command-line SMB/CIFS clients for Unix
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file and printer sharing with
 Microsoft Windows, OS X, and other Unix systems.
 .
 This package contains command-line utilities for accessing Microsoft
 Windows and Samba servers, including smbclient, smbtar, and smbspool.
 Utilities for mounting shares locally are found in the package
 cifs-utils.

Package: smbind
Version: 0.4.7-5.2
Installed-Size: 264
Maintainer: Giuseppe Iuculano 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, apache2 | httpd, php5 | php5-cgi, php5-mysql | php5-pgsql, bind9, dbconfig-common, mysql-server | postgresql, libphp-adodb, smarty, php-pear, php-db
Size: 98358
SHA256: dda1fe3067d91bddf2d242c8fb2507e5d9fb7c81aa69d87f42e108cef1a61b28
SHA1: c7d8c2a4b1479e900df9db916b06dcd2e2c49fa9
MD5sum: f814032ac2e8990f9b378e539bd109bb
Description: PHP-based tool for managing DNS zones for BIND
 Smbind is a PHP-based tool for managing DNS zones for BIND via the web.
 Supports per-user administration of zones, error checking,
 and a PEAR DB database backend.
 .
 This tool will enable you to administer your bind-based DNS server without
 having to touch your zone files with a text editor, while also providing
 a redundant backup of the data stored in both a PEAR DB database and in the
 normal text configuration files.
 .
 Since it is web-accessible, those without shell access or command-line skills
 (or whom are just plain lazy) can enjoy DNS administration from the comfort
 of a remote browser.
Homepage: http://sourceforge.net/projects/smbind
Tag: devel::lang:php, implemented-in::php, interface::web,
 protocol::db:mysql, protocol::db:psql, protocol::dns, protocol::http,
 role::program, use::configuring, web::application, works-with::db
Section: web
Priority: optional
Filename: pool/main/s/smbind/smbind_0.4.7-5.2_all.deb

Package: smbldap-tools
Version: 0.9.7-1+deb7u1
Installed-Size: 586
Maintainer: Sergio Talens-Oliag 
Architecture: all
Depends: perl, libnet-ldap-perl, libio-socket-ssl-perl, libcrypt-smbhash-perl, libunicode-maputf8-perl
Size: 316542
SHA256: a45216db790ed45c60ebc1c7729b4c03429abaae65133176910ddd9b1837217a
SHA1: dd4d0059eee4d1df18fb57d258ca78faccaefc5b
MD5sum: 1fe65b6f5a353c93938fa5665818cd8f
Description: Scripts to manage Unix and Samba accounts stored on LDAP
 Set of scripts to manage data relative to users and groups stored in an LDAP
 server. The tools manage POSIX, shadow and Samba (3.0 series) accounts and
 groups.
 .
 This package is used to add/del/mod users and groups in the Linux
 Samba-OpenLDAP Howto
 
Tag: admin::user-management, implemented-in::perl, interface::commandline,
 protocol::ldap, protocol::smb, protocol::ssl, role::program,
 scope::utility, security::authentication, works-with::db
Section: admin
Priority: extra
Filename: pool/main/s/smbldap-tools/smbldap-tools_0.9.7-1+deb7u1_all.deb

Package: smbnetfs
Version: 0.5.3a-1
Architecture: armhf
Maintainer: Mikhail Kshevetskiy 
Installed-Size: 166
Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libglib2.0-0 (>= 2.12.0), libgnome-keyring0 (>= 2.28), libsmbclient (>= 2:3.2.0)
Recommends: fuse
Homepage: http://sourceforge.net/projects/smbnetfs
Priority: optional
Section: net
Filename: pool/main/s/smbnetfs/smbnetfs_0.5.3a-1_armhf.deb
Size: 62540
SHA256: 5721a8a834298c4d79ad24bf39f816ac41e3727245554af28d37659d1527ca1f
SHA1: c32ca6232ed7dff674769dcad5023820ff570bcd
MD5sum: c6ec11973accb8c33d70aaf06ef87458
Description: User-space filesystem for SMB/NMB (Windows) network servers and shares
 A user-space filesystem that contains an entire SMB/NMB network under a single
 mount point. Workgroups, servers and shares can be browsed much like the
 Network Neighbourhood in Microsoft Windows.

Package: smc
Version: 1.9+git20120222-1
Architecture: armhf
Maintainer: Muammar El Khatib 
Installed-Size: 1579
Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcegui-mk2-0.7.6, libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libpng12-0 (>= 1.2.13-4), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), libx11-6, smc-data (= 1.9+git20120222-1)
Suggests: smc-music (= 1.9+git20120222-1)
Homepage: http://www.secretmaryo.org
Priority: optional
Section: games
Filename: pool/main/s/smc/smc_1.9+git20120222-1_armhf.deb
Size: 712658
SHA256: 44656b408638c525a57a2840430e3ef959e89caa13323ef9f4655e00cf2ecc3d
SHA1: 927a5ce08da29689ff20378758dfa329cf7140cd
MD5sum: 33e6534e7278539c3376adf64672bb7a
Description: Jump and Run game like Super Mario World
 Secret Maryo Chronicles is an Open Source two-dimensional platform game with
 a style designed similar to classic sidescroller games.
 .
 It utilizes the platform independent library SDL and since Version 0.98 with
 the OpenGLi accelerated Graphics Renderer developed in C++.

Package: smc-data
Source: smc
Version: 1.9+git20120222-1
Installed-Size: 94791
Maintainer: Muammar El Khatib 
Architecture: all
Size: 70670952
SHA256: f6aec52cc412ece293f5a266a1c6a789af2931f7057d7a9edfec7dc030779eaf
SHA1: 6d05d58159117ea27e6131d89b0346e69e0ca623
MD5sum: 5dabab01859f70c43cec5c76266164fd
Description: levels and game data for Secret Maryo Chronicles
 Secret Maryo Chronicles is an Open Source two-dimensional platform game with
 a style designed similar to classic sidescroller games.
 .
 It provides levels, sounds and other files which are needed for playing
 this game properly.
Homepage: http://www.secretmaryo.org
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/s/smc/smc-data_1.9+git20120222-1_all.deb

Package: smc-music
Source: smc
Version: 1.9+git20120222-1
Installed-Size: 46841
Maintainer: Muammar El Khatib 
Architecture: all
Depends: smc (>= 1.9+git20120222-1), smc-data (= 1.9+git20120222-1)
Size: 47607126
SHA256: 1e971fb8d1011ceb3cff2b1a7efad63e3cf61c41e6e17002a22dbc509c3aa0cb
SHA1: c658bea245a7cca903a6d30d1f2010499c0c33bc
MD5sum: 2f9ba278f3328ce851ae1b3c625a9fa8
Description: music files for Secret Maryo Chronicles
 Secret Maryo Chronicles is an Open Source two-dimensional platform game with
 a style designed similar to classic sidescroller games.
 .
 It provides music for playing this game properly.
Homepage: http://www.secretmaryo.org
Tag: made-of::audio, role::app-data
Section: games
Priority: optional
Filename: pool/main/s/smc/smc-music_1.9+git20120222-1_all.deb

Package: smcroute
Version: 0.95-1+deb7u1
Architecture: armhf
Maintainer: Micha Lenk 
Installed-Size: 83
Depends: libc6 (>= 2.13-28)
Homepage: https://alioth.debian.org/projects/smcroute/
Priority: extra
Section: net
Filename: pool/main/s/smcroute/smcroute_0.95-1+deb7u1_armhf.deb
Size: 21538
SHA256: 12cd317d1f5b9fc74e495fdfdc1ca2b43422b3b329dccf1ddea619d4146a5b6c
SHA1: 06fcf515214519aeb42e9784a80487089d1dccd9
MD5sum: f7f20d10170ad115572538fb0e29f851
Description: static multicast router daemon
 SMCRoute is a command line tool to manipulate the multicast routes of the
 Linux kernel. It can be used as an alternative to dynamic multicast routers
 like pimd or xorp in situations where static multicast routes should be
 maintained and/or no proper IGMP signaling exists.

Package: smem
Version: 1.0-1
Installed-Size: 76
Maintainer: Michal Čihař 
Architecture: all
Depends: python
Recommends: python-matplotlib
Conflicts: secure-delete (<< 3.1-5)
Size: 11342
SHA256: ec1a6bbcaea523ff58e9bf0efb2c06842c15853595daf5a197b4421623e13fac
SHA1: 5de4ab0ca5831eb4911a272f3443072b6e900005
MD5sum: 0fd9c68a6b4c051360702ee82b5355c3
Description: memory reporting tool
 Tool that can give numerous reports on memory usage on Linux systems.
 Unlike existing tools, smem can report proportional set size (PSS),
 which is a more meaningful representation of the amount of memory used
 by libraries and applications in a virtual memory system.
Homepage: http://www.selenic.com/smem/
Tag: admin::accounting, implemented-in::python, interface::commandline,
 role::program
Section: utils
Priority: extra
Filename: pool/main/s/smem/smem_1.0-1_all.deb

Package: smf-utils
Source: libsmf
Version: 1.3-2
Architecture: armhf
Maintainer: Josue Abarca 
Installed-Size: 61
Depends: libsmf0 (= 1.3-2), libc6 (>= 2.4), libglib2.0-0 (>= 2.12.0), libreadline6 (>= 6.0)
Conflicts: denemo (<< 0.8.14-1)
Homepage: http://sourceforge.net/projects/libsmf/
Priority: extra
Section: sound
Filename: pool/main/libs/libsmf/smf-utils_1.3-2_armhf.deb
Size: 14362
SHA256: b3a880801c5cefb0a7060295839cf379db05cd2b9dee94a6e2dd216192baf586
SHA1: 3c7374eda5de8762882b22d52515f68521102d72
MD5sum: 94c6b188bb6e1fb08263560e0c4db549
Description: Utilities to support the smf library
 LibSMF is a BSD-licensed C library for handling SMF ("*.mid") files.
 .
 This package contains smfsh an interactive command-driven frontend to libsmf,
 useful for modifying MIDI files by hand.

Package: smistrip
Source: libsmi
Version: 0.4.8+dfsg2-7
Installed-Size: 68
Maintainer: Vincent Bernat 
Architecture: all
Replaces: libsmi2ldbl (<= 0.4.8+dfsg2-1)
Conflicts: libsmi2ldbl (<= 0.4.8+dfsg2-1)
Size: 29044
SHA256: e7b3512cc3c765a6013d62e8c61a910a44fe5e60cbe07cfe530ece418d406751
SHA1: 185744bb61a94ff1635e97ab49f33637803513dd
MD5sum: f33a20197ddd0d07576b8458b5e59acc
Description: extract MIB from text files like RFC
 The smistrip program is used to extract MIB and PIB module files from
 ASCII documents like RFCs or Internet Drafts. Modules are identified
 by a starting ASN.1 DEFINITIONS clause and the matching END
 clause. The output is written to files named by the modules' names.
Homepage: http://www.ibr.cs.tu-bs.de/projects/libsmi/
Tag: implemented-in::c, role::program, scope::utility
Section: libs
Priority: optional
Filename: pool/main/libs/libsmi/smistrip_0.4.8+dfsg2-7_all.deb

Package: smitools
Source: libsmi
Version: 0.4.8+dfsg2-7
Architecture: armhf
Maintainer: Vincent Bernat 
Installed-Size: 491
Depends: libc6 (>= 2.13-28), libsmi2ldbl (>= 0.4.7)
Conflicts: libsmi2ldbl (<= 0.4.8+dfsg2-4)
Replaces: libsmi2ldbl (<= 0.4.8+dfsg2-4)
Homepage: http://www.ibr.cs.tu-bs.de/projects/libsmi/
Priority: optional
Section: libs
Filename: pool/main/libs/libsmi/smitools_0.4.8+dfsg2-7_armhf.deb
Size: 218530
SHA256: d83cab11c0e5c999898ef89b861b0ee7f5712f35ac9d8e78875326e25ae5017f
SHA1: 6dcf421d38a0efa28a4fd19d1a8bfaa19396748e
MD5sum: 379c622980f01192542e311afae80de6
Description: various tools operating on MIB module files
 This package includes the following tools:
   * smidiff: check differences between a pair of SMI or SPPI modules
   * smiquery: query single information from SMI MIB modules
   * smilint: syntax and semantic checks of SMIv1/v2 and SPPI modules
   * smicache: caching method for use with libsmi
   * smixlate: translate SMI/SPPI identifiers
   * smidump: dump SMI or SPPI modules in various formats
 .
 You may also be interested by smistrip which is provided by smistrip
 package.

Package: sml-mode
Version: 4.1-2
Installed-Size: 252
Maintainer: Jens Peter Secher 
Architecture: all
Depends: emacsen-common, dpkg (>= 1.15.4) | install-info
Enhances: mlton, mosml
Size: 58328
SHA256: 2c021a45fefd0751663e30c2fd6eab588b9a5823726556ef5c73885c980e577f
SHA1: e02d19b9879c423d3165eda506d2336eaef600aa
MD5sum: 37ea8db6e0f626351d77a31507b4e446
Description: Emacs major mode for editing Standard ML programs
 SML-mode provides syntax highlighting and automatic
 indentation for Standard ML and comes with sml-proc which allows
 interaction with an inferior SML interactive loop.  You will need
 this if you write Standard ML programs using Emacs.  For OCaml
 prgramming, see instead tuareg-mode.
Homepage: http://www.iro.umontreal.ca/~monnier/elisp
Tag: devel::lang:ml, implemented-in::lisp, role::plugin, suite::emacs,
 use::editing
Section: editors
Priority: optional
Filename: pool/main/s/sml-mode/sml-mode_4.1-2_all.deb

Package: smoke-dev-tools
Source: smokegen
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 1007
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libsmokebase3 (= 4:4.8.4-1), libstdc++6 (>= 4.4.0)
Breaks: libsmokeqt4-dev (<< 4:4.7.0)
Replaces: libsmokeqt4-dev (<< 4:4.7.0)
Homepage: http://projects.kde.org/projects/kde/kdebindings/smoke/smokegen
Priority: optional
Section: devel
Filename: pool/main/s/smokegen/smoke-dev-tools_4.8.4-1_armhf.deb
Size: 393240
SHA256: 76e7ec46ff8352b28d2ffddb77bc6121538472843dcdf7fea029bf5259f9d924
SHA1: ca39347343f969d7c2ec86080aee0cdef40e461e
MD5sum: fd4702b0e48363351aebccade6d451e8
Description: SMOKE development tools
 SMOKE provides infrastructure which is used for creating bindings for
 multiple languages such as Ruby, C# and PHP.
 .
 This package contains the development tools to do bindings with the
 Scripting MetaObject Kompiler Engine, used by several Qt and KDE bindings,
 as well as some bindings for the Wt library.

Package: smokegen-dbg
Source: smokegen
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 3003
Depends: libsmokebase3 (= 4:4.8.4-1)
Recommends: smoke-dev-tools (= 4:4.8.4-1), libqt4-dbg
Breaks: kdebindings-dbg
Replaces: kdebindings-dbg
Homepage: http://projects.kde.org/projects/kde/kdebindings/smoke/smokegen
Priority: extra
Section: debug
Filename: pool/main/s/smokegen/smokegen-dbg_4.8.4-1_armhf.deb
Size: 2843770
SHA256: e43787f2d3f5e445674d8a5f58abacd8615f447e119cdeedd07e6a09dfc02c34
SHA1: 54372b4dbb1d28addfb990c26f9d6facccc28e01
MD5sum: e77276bbe834414114285930a212e87d
Description: SMOKE development tools -- debug symbols
 SMOKE provides infrastructure which is used for creating bindings for
 multiple languages such as Ruby, C# and PHP.
 .
 This package contains the debug symbols for the SMOKE generator and
 libraries, used to investigate problems in them.

Package: smokeping
Version: 2.6.8-2+deb7u1
Installed-Size: 959
Maintainer: Antoine Beaupré 
Architecture: all
Depends: perl, libwww-perl, libsnmp-session-perl (>= 0.86), librrds-perl (>= 1.2), liburi-perl, fping (>= 2.4b2-to-ipv6-2), libcgi-fast-perl, debianutils (>= 1.7), adduser, lsb-base (>= 3.0-6), libdigest-hmac-perl, ucf (>= 0.28), libconfig-grammar-perl, libjs-cropper, libjs-scriptaculous, libjs-prototype
Suggests: curl, libauthen-radius-perl, libnet-ldap-perl, libnet-dns-perl, exim4 | mail-transport-agent, openssh-client, libio-socket-ssl-perl, libnet-telnet-perl
Size: 424260
SHA256: 1a3982082efb88d193bb563110e5f7e42ef0f912c165c4a799e92655392e818b
SHA1: 6888aab80271a3c0ad5f20f25630345bcd5af273
MD5sum: a196a603a15dfa2a48079a0118b54d2a
Description: latency logging and graphing system
 SmokePing consists of a daemon process which organizes the
 latency measurements and a CGI which presents the graphs.
 .
 With SmokePing you can measure latency and packet loss in your network.
 SmokePing uses RRDtool to maintain a longterm datastore and to draw pretty
 graphs giving up to the minute information on the state of each
 network connection.
Homepage: http://smokeping.org/
Recommends: apache2 | httpd-cgi, libsocket6-perl, dnsutils, echoping
Section: net
Priority: extra
Filename: pool/main/s/smokeping/smokeping_2.6.8-2+deb7u1_all.deb

Package: smp-utils
Version: 0.96-1
Architecture: armhf
Maintainer: Ritesh Raj Sarraf 
Installed-Size: 799
Depends: libc6 (>= 2.7)
Homepage: http://sg.danny.cz/sg/smp_utils.html
Priority: extra
Section: admin
Filename: pool/main/s/smp-utils/smp-utils_0.96-1_armhf.deb
Size: 242704
SHA256: d527f550749e0e0b9df8de0036fe4ceb3f23bda7dba02167726540f0088cdfda
SHA1: 5f595ade3c57fd0e115fc714bfcad471e006c576
MD5sum: 427b2af0e605cf19f2485ebe39afae68
Description: SAS Expander (SMP) utilities for SAS/SATA disk arrays
 Utilities that send a Serial Attached SCSI (SAS) Management
 Protocol (SMP) request to a SMP target. If the request fails then
 the error is decoded. If the request succeeds then the response is
 either decoded, printed out in hexadecimal or output in binary.
 This package supports multiple interfaces since SMP passthroughs
 are not mature. This package supports the Linux 2.6 series.

Package: smpeg-gtv
Source: smpeg
Version: 0.4.5+cvs20030824-5
Architecture: armhf
Maintainer: Debian SDL packages maintainers 
Installed-Size: 100
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libsdl1.2debian (>= 1.2.11), libsmpeg0, libx11-6
Homepage: http://icculus.org/smpeg/
Priority: optional
Section: video
Filename: pool/main/s/smpeg/smpeg-gtv_0.4.5+cvs20030824-5_armhf.deb
Size: 29514
SHA256: 74d5b42feea7eeee843d169809c40573fd23df73cfe5ff34b13d955fb91f861f
SHA1: d279d3c1754d0022a42fc3ecb685368f69d43637
MD5sum: a28f019b4d2f4be39ed60b7bee46f138
Description: SMPEG GTK+ MPEG audio/video player
 SMPEG (SDL MPEG Player Library) is a free MPEG1 video player library with
 sound support.  Video playback is based on the ubiquitous Berkeley MPEG
 player, mpeg_play v2.2.  Audio is played through a slightly modified
 mpegsound library, part of splay v0.8.2. SMPEG supports MPEG audio (MP3),
 MPEG-1 video, and MPEG system streams.
 .
 This package contains a GTK+ player called gtv.

Package: smpeg-plaympeg
Source: smpeg
Version: 0.4.5+cvs20030824-5
Architecture: armhf
Maintainer: Debian SDL packages maintainers 
Installed-Size: 83
Depends: libc6 (>= 2.13-28), libsdl1.2debian (>= 1.2.11), libsmpeg0
Homepage: http://icculus.org/smpeg/
Priority: optional
Section: video
Filename: pool/main/s/smpeg/smpeg-plaympeg_0.4.5+cvs20030824-5_armhf.deb
Size: 25816
SHA256: 184f157f8d2dfc20e06738877379523888710f45849cd4a32e9c684dd8eb1756
SHA1: 4f035cfe6803f0616e6a880869d6551c95f1f6cb
MD5sum: ae9c7a6c41be307c13703b06f1d02a2b
Description: SMPEG command line MPEG audio/video player
 SMPEG (SDL MPEG Player Library) is a free MPEG1 video player library with
 sound support.  Video playback is based on the ubiquitous Berkeley MPEG
 player, mpeg_play v2.2.  Audio is played through a slightly modified
 mpegsound library, part of splay v0.8.2. SMPEG supports MPEG audio (MP3),
 MPEG-1 video, and MPEG system streams.
 .
 This package contains a command line player called plaympeg.

Package: smplayer
Version: 0.8.0-1+deb7u1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 2808
Depends: mplayer2 | mplayer, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4)
Recommends: smplayer-themes, smplayer-translations
Homepage: http://smplayer.sourceforge.net/
Priority: optional
Section: video
Filename: pool/main/s/smplayer/smplayer_0.8.0-1+deb7u1_armhf.deb
Size: 1334532
SHA256: 5aa586fb7ced60e7a01169f59cb111efe2099f421599ef1570834664a847a677
SHA1: 0e0a9486103efb1d9e36acbfe797481ce1b31319
MD5sum: 30dfa96449d184cb2bb4ac9e4adb0545
Description: complete front-end for MPlayer and MPlayer2
 Qt4 Mplayer front-end, with basic features like playing
 videos, DVDs, and VCDs to more advanced features like support
 for MPlayer filters and more. One of the most interesting features
 of SMPlayer: it remembers the settings of all files you play.
 So you start to watch a movie but you have to leave... don't
 worry, when you open that movie again it will resume at the same
 point you left it, and with the same settings: audio track,
 subtitles, volume...

Package: smplayer-themes
Version: 0.1.20+dfsg-1
Installed-Size: 4864
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Depends: smplayer
Size: 2000220
SHA256: 897e74b5f0a907092d0fb2287f49349d9a8ce13b0024714167ff32704936f14e
SHA1: 21604e50268edb79c5eddbe86a002e373d212cac
MD5sum: c081bde28137c30220971ca9987e980b
Description: complete front-end for MPlayer - icon themes
 Qt Mplayer front-end, with basic features like playing videos, DVDs, and
 VCDs to more advanced features like support for MPlayer filters and more.
 One of the most interesting features of SMPlayer: it remembers the settings
 of all files you play.  So you start to watch a movie but you have to
 leave... don't worry, when you open that movie again it will resume at the
 same point you left it, and with the same settings: audio track, subtitles,
 volume...
 .
 This package contains additional icon themes.
Homepage: http://smplayer.sourceforge.net/
Tag: made-of::icons, role::app-data
Section: video
Priority: optional
Filename: pool/main/s/smplayer-themes/smplayer-themes_0.1.20+dfsg-1_all.deb

Package: smplayer-translations
Source: smplayer
Version: 0.8.0-1+deb7u1
Installed-Size: 5471
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Replaces: smplayer (<< 0.5.62)
Depends: smplayer (>= 0.8.0-1+deb7u1)
Size: 1689644
SHA256: 77ea3cdb27b67ec72788e00d10033a0c78ee94231c2932cc14f4e9d6010133d7
SHA1: 14c470503fe196c3175d4a4cd503ca86ec2ac4d1
MD5sum: 33fe9aa2fd10260ff107d3600b20726c
Description: complete front-end for MPlayer and MPlayer2 - translation files
 Qt4 Mplayer front-end, with basic features like playing
 videos, DVDs, and VCDs to more advanced features like support
 for MPlayer filters and more. One of the most interesting features
 of SMPlayer: it remembers the settings of all files you play.
 So you start to watch a movie but you have to leave... don't
 worry, when you open that movie again it will resume at the same
 point you left it, and with the same settings: audio track,
 subtitles, volume...
 .
 This package contains translation files.
Homepage: http://smplayer.sourceforge.net/
Tag: role::app-data
Section: video
Priority: optional
Filename: pool/main/s/smplayer/smplayer-translations_0.8.0-1+deb7u1_all.deb

Package: smsclient
Version: 2.0.8z-10
Architecture: armhf
Maintainer: Jonathan McDowell 
Installed-Size: 675
Depends: libc6 (>= 2.7)
Priority: optional
Section: comm
Filename: pool/main/s/smsclient/smsclient_2.0.8z-10_armhf.deb
Size: 101800
SHA256: 4d066d0d2884750cc6b038c179dec63ac13311e682a1d51e5b9f85235372826e
SHA1: c5d6a58b7a082b70fe6e8628b076e667820dee94
MD5sum: 6dded43a2c67572f3cffe07fa8a01079
Description: A program for sending short messages (SM / SMS)
 smsclient is a tool for sending short messages (SM - often false
 named SMS). SM can be sent to mobile phones. Additional some
 paging systems are supported by this tool. smsclient supports
 the common protocols UCP, TAP and some other. Out of the box there
 is preconfigured support for many local services, but smsclient
 can be easily extended to support other systems.

Package: smstools
Version: 3.1.14-1.2
Architecture: armhf
Maintainer: Mark Purcell 
Installed-Size: 404
Depends: debconf (>= 1.4.69), ucf (>= 0.28), adduser, libc6 (>= 2.13-28), libmm14 (>= 1.4.0-1)
Homepage: http://smstools3.kekekasvi.com
Priority: optional
Section: comm
Filename: pool/main/s/smstools/smstools_3.1.14-1.2_armhf.deb
Size: 142606
SHA256: 02681014b46584611ceb8b6893bc57558b249e43d481b579cc6f26905a76dc9f
SHA1: e7eaa00326706badd640821dc0b08a47eb0fd824
MD5sum: 343987f5308db58f5fccc93385a5466a
Description: SMS server tools for GSM modems
 The SMS server tools allow setting up a central SMS gateway. It
 sends and receives SMS messages using a simple file-based
 interface. It can accommodate up to 20,000 messages a month.
 .
 It supports an event-handler option that allows calling customized
 programs or scripts after sending or receiving SMS messages.
 .
 The SMS Server Tools use one or more (max. 32) GSM modems to send and
 receive SMS messages. Some modems may be equipped with SIM cards such
 as Vodafone or Telmi ones.  All messages are sorted in queues by the
 provider. If one modem fails, it will be deactivated for one hour
 before the software retries, while other modems run without any
 restriction. The status information and alarms are logged with
 syslog.

Package: smtm
Version: 1.6.10
Installed-Size: 220
Maintainer: Dirk Eddelbuettel 
Architecture: all
Depends: perl, libdate-manip-perl, libmime-base64-perl, perl-tk (>= 1:804.000), libfinance-yahooquote-perl (>= 0.18)
Size: 50798
SHA256: 8ca11b102ce7ffa2553051d353d261355a7b8ba75659be1a0cdfb9c7ff4786b2
SHA1: 6c4a6a3a70643f14547c67eaf09b77220b022bad
MD5sum: 4339ed8ef178b3553ca7e8493b90733d
Description: Show Me The Money is a configurable Perl/Tk stock ticker program
 smtm, which is a not overly clever acronym for Show Me The Money, is a
 simple stock ticker and charting application. It creates and automatically
 updates a window with stock quotes from Yahoo! Finance, as well as optional
 charts from Yahoo! Finance.
 .
 smtm is fully configurable -- it can display the stock symbol and the full
 name of the company, the price change, the percentage change, the volume
 traded, the profit or loss, the value of the holding, the length of the
 holding period, annualised percentage returns and more. The display can be
 sorted on almost any of the columns.  Losers are flagged in red. smtm can be
 used for most global stock symbols, North American mutual funds and options,
 currencies and some commodities -- anything supported Yahoo! Finance.
 .
 Stock quotes are normally delayed, 15 minutes for NASDAQ and 20
 minutes otherwise, see Yahoo! Finance for details.
Tag: field::finance, implemented-in::perl, network::client, office::finance,
 protocol::http, role::program, uitoolkit::tk, use::downloading
Section: misc
Priority: optional
Filename: pool/main/s/smtm/smtm_1.6.10_all.deb

Package: smuxi
Version: 0.8.10-3
Installed-Size: 37
Maintainer: Mirco Bauer 
Architecture: all
Depends: smuxi-frontend-gnome-irc (= 0.8.10-3), smuxi-engine-twitter (= 0.8.10-3), smuxi-engine-xmpp (= 0.8.10-3)
Suggests: smuxi-server
Size: 10578
SHA256: 4083f6c18a442c9884c599bcd8a182f0eb85d662d8ddc60887afb263b8d1f542
SHA1: cbf9e8f2ce20b89a05a6bf243e8bd3c8b9c901f3
MD5sum: 90cb519fe6592b4ff7fad1ae7e9b8c9b
Description: graphical IRC client
 Smuxi is an irssi-inspired, flexible, user-friendly and cross-platform IRC
 client for sophisticated users, targeting the GNOME desktop.
 .
 Smuxi is based on the client-server model: The core application (engine) can
 be placed onto a server which is connected to the Internet around-the-clock;
 one or more frontends then connect to the core. This way, the connection to
 IRC can be kept up even when all frontends have been closed. The combination
 of screen and irssi served as example for this architecture.
 .
 Smuxi also supports the regular single application mode. This behaves like a
 typical IRC client; it doesn't need separate core management and utilizes a
 local engine that is used by the local frontend client.
 .
 This is a metapackage which depends on the default flavor of Smuxi, which is
 the GNOME frontend with Twitter and enhanced IRC support.
Homepage: http://www.smuxi.org/
Tag: implemented-in::c-sharp, interface::x11, network::client, protocol::irc,
 role::metapackage, scope::application, suite::gnome, uitoolkit::gtk,
 use::chatting, x11::application
Section: gnome
Priority: optional
Filename: pool/main/s/smuxi/smuxi_0.8.10-3_all.deb

Package: smuxi-engine
Source: smuxi
Version: 0.8.10-3
Installed-Size: 1938
Maintainer: Mirco Bauer 
Architecture: all
Replaces: smuxi (<< 0.5.25)
Depends: liblog4net1.2-cil (>= 1.2.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), libnini1.1-cil (>= 1.1)
Recommends: smuxi-engine-irc, smuxi-engine-twitter, smuxi-engine-xmpp
Size: 728010
SHA256: 07dd556d3875cc4f9ee24063847374f483e1572f80f5e544bf57a7043d7ec874
SHA1: 6360d742870c76764d733aa71cdaf303e474c930
MD5sum: 311d523bca56beb1bea2c292d2e7ece7
Description: Engine library for Smuxi
 Smuxi is an irssi-inspired, flexible, user-friendly and cross-platform IRC
 client for sophisticated users, targeting the GNOME desktop.
 .
 Smuxi is based on the client-server model: The core application (engine) can
 be placed onto a server which is connected to the Internet around-the-clock;
 one or more frontends then connect to the core. This way, the connection to
 IRC can be kept up even when all frontends have been closed. The combination
 of screen and irssi served as example for this architecture.
 .
 Smuxi also supports the regular single application mode. This behaves like a
 typical IRC client; it doesn't need separate core management and utilizes a
 local engine that is used by the local frontend client.
 .
 This package contains the Smuxi engine, needed by Smuxi frontends or the
 standalone server.
Homepage: http://www.smuxi.org/
Tag: devel::ecma-cli, devel::library, implemented-in::c-sharp,
 role::devel-lib, role::shared-lib, use::chatting
Section: gnome
Priority: optional
Filename: pool/main/s/smuxi/smuxi-engine_0.8.10-3_all.deb

Package: smuxi-engine-irc
Source: smuxi
Version: 0.8.10-3
Installed-Size: 556
Maintainer: Mirco Bauer 
Architecture: all
Depends: liblog4net1.2-cil (>= 1.2.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system4.0-cil (>= 2.10.7), smuxi-engine (= 0.8.10-3)
Suggests: oidentd | ident-server
Size: 150480
SHA256: e99812a3c089db6871a4551cf86c7865f05053e29c77dc676850d3cc53512900
SHA1: 2720aaf7a96a4e23162582f1c52b8ecf6ba8b744
MD5sum: b2b3d54fe953e91a366583d2758597ab
Description: IRC engine for Smuxi
 Smuxi is an irssi-inspired, flexible, user-friendly and cross-platform IRC
 client for sophisticated users, targeting the GNOME desktop.
 .
 Smuxi is based on the client-server model: The core application (engine) can
 be placed onto a server which is connected to the Internet around-the-clock;
 one or more frontends then connect to the core. This way, the connection to
 IRC can be kept up even when all frontends have been closed. The combination
 of screen and irssi served as example for this architecture.
 .
 Smuxi also supports the regular single application mode. This behaves like a
 typical IRC client; it doesn't need separate core management and utilizes a
 local engine that is used by the local frontend client.
 .
 This package contains the IRC engine for Smuxi.
Homepage: http://www.smuxi.org/
Tag: implemented-in::c-sharp, network::client, protocol::irc, role::plugin,
 use::chatting
Section: gnome
Priority: optional
Filename: pool/main/s/smuxi/smuxi-engine-irc_0.8.10-3_all.deb

Package: smuxi-engine-twitter
Source: smuxi
Version: 0.8.10-3
Installed-Size: 1015
Maintainer: Mirco Bauer 
Architecture: all
Depends: liblog4net1.2-cil (>= 1.2.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-configuration4.0-cil (>= 1.0), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-data4.0-cil (>= 2.10.1), libmono-system-drawing4.0-cil (>= 1.0), libmono-system-runtime-serialization4.0-cil (>= 1.0), libmono-system-web4.0-cil (>= 2.10.3), libmono-system-xml-linq4.0-cil (>= 1.0), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), smuxi-engine (= 0.8.10-3)
Size: 335958
SHA256: 5d712d68411e53f7fdbe55517721a7d476bb83655af91e0ac338dbb1fde947fb
SHA1: 45f6313313c9b7cbf1eb01a741b46a8792c8eef6
MD5sum: e3b9cae390a241f63db48243563a5a05
Description: Twitter engine for Smuxi
 Smuxi is an irssi-inspired, flexible, user-friendly and cross-platform IRC
 client for sophisticated users, targeting the GNOME desktop.
 .
 Smuxi is based on the client-server model: The core application (engine) can
 be placed onto a server which is connected to the Internet around-the-clock;
 one or more frontends then connect to the core. This way, the connection to
 IRC can be kept up even when all frontends have been closed. The combination
 of screen and irssi served as example for this architecture.
 .
 Smuxi also supports the regular single application mode. This behaves like a
 typical IRC client; it doesn't need separate core management and utilizes a
 local engine that is used by the local frontend client.
 .
 This package contains the Twitter engine for Smuxi. This plugin allows you
 to receive and post tweets to the Twitter microblogging service. It
 supports the friends timeline, replies view, and direct messages.
Homepage: http://www.smuxi.org/
Tag: web::microblog
Section: gnome
Priority: optional
Filename: pool/main/s/smuxi/smuxi-engine-twitter_0.8.10-3_all.deb

Package: smuxi-engine-xmpp
Source: smuxi
Version: 0.8.10-3
Installed-Size: 869
Maintainer: Mirco Bauer 
Architecture: all
Depends: liblog4net1.2-cil (>= 1.2.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system-drawing4.0-cil (>= 1.0), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), smuxi-engine (= 0.8.10-3)
Size: 324440
SHA256: 87fe8ec36eb5bd85f57ee5389e9eb46d912901c6301efe6ccd1eb938df80ee50
SHA1: 79c0e299c22bb0b439381791410aeb2e5d1f514a
MD5sum: 8c6416f0fcc62db392d095140c2f598c
Description: XMPP / Jabber / GTalk / Facebook Chat engine for Smuxi
 Smuxi is an irssi-inspired, flexible, user-friendly and cross-platform IRC
 client for sophisticated users, targeting the GNOME desktop.
 .
 Smuxi is based on the client-server model: The core application (engine) can
 be placed onto a server which is connected to the Internet around-the-clock;
 one or more frontends then connect to the core. This way, the connection to
 IRC can be kept up even when all frontends have been closed. The combination
 of screen and irssi served as example for this architecture.
 .
 Smuxi also supports the regular single application mode. This behaves like a
 typical IRC client; it doesn't need separate core management and utilizes a
 local engine that is used by the local frontend client.
 .
 This package contains the XMPP engine for Smuxi. This plugin allows you
 to receive and send messages on XMPP, Jabber, GTalk and the Facebook chat.
Homepage: http://www.smuxi.org/
Section: gnome
Priority: optional
Filename: pool/main/s/smuxi/smuxi-engine-xmpp_0.8.10-3_all.deb

Package: smuxi-frontend
Source: smuxi
Version: 0.8.10-3
Installed-Size: 231
Maintainer: Mirco Bauer 
Architecture: all
Replaces: smuxi (<< 0.5.25)
Depends: liblog4net1.2-cil (>= 1.2.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-runtime4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.7), smuxi-engine (= 0.8.10-3)
Size: 36204
SHA256: c6aa2a36d6576616b4a95b7e2610d504f78e1b816a5bb6d933ba36f3d3721066
SHA1: a7596522d846f643b99312f02d088fe2e17a0978
MD5sum: cb53c2f486d2692364f1abf68c675060
Description: Frontend library for Smuxi
 Smuxi is an irssi-inspired, flexible, user-friendly and cross-platform IRC
 client for sophisticated users, targeting the GNOME desktop.
 .
 Smuxi is based on the client-server model: The core application (engine) can
 be placed onto a server which is connected to the Internet around-the-clock;
 one or more frontends then connect to the core. This way, the connection to
 IRC can be kept up even when all frontends have been closed. The combination
 of screen and irssi served as example for this architecture.
 .
 Smuxi also supports the regular single application mode. This behaves like a
 typical IRC client; it doesn't need separate core management and utilizes a
 local engine that is used by the local frontend client.
 .
 This package contains the base library for all Smuxi frontends.
Homepage: http://www.smuxi.org/
Tag: devel::ecma-cli, devel::library, implemented-in::c-sharp,
 role::devel-lib, role::shared-lib
Section: gnome
Priority: optional
Filename: pool/main/s/smuxi/smuxi-frontend_0.8.10-3_all.deb

Package: smuxi-frontend-gnome
Source: smuxi
Version: 0.8.10-3
Installed-Size: 1680
Maintainer: Mirco Bauer 
Architecture: all
Replaces: smuxi (<< 0.5.25)
Depends: mono-runtime (>= 2.10.1), libdbus-glib1.0-cil (>= 0.5), libdbus1.0-cil (>= 0.7), libglade2.0-cil (>= 2.12.10-1ubuntu1), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgtk2.0-0 (>= 2.24.0), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libgtkspell0, libindicate0.1-cil (>= 0.6.92), liblog4net1.2-cil (>= 1.2.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system4.0-cil (>= 2.10.7), libnotify0.4-cil (>= 0.4.0~r2998), smuxi-engine (= 0.8.10-3), smuxi-frontend (= 0.8.10-3), librsvg2-common
Recommends: smuxi-frontend-gnome-irc (= 0.8.10-3), ssh-askpass-gnome | ssh-askpass, notification-daemon
Size: 514788
SHA256: a1e8ce30fa89a225049396fb3e1759b245e9dd05b59a4b4433abdb64fbe622a2
SHA1: 0063cf367dfc4af72966908fdc171cc425f3f9b0
MD5sum: 3118eab4c79ddbbc123d2b2d895f6d6e
Description: GNOME frontend for Smuxi
 Smuxi is an irssi-inspired, flexible, user-friendly and cross-platform IRC
 client for sophisticated users, targeting the GNOME desktop.
 .
 Smuxi is based on the client-server model: The core application (engine) can
 be placed onto a server which is connected to the Internet around-the-clock;
 one or more frontends then connect to the core. This way, the connection to
 IRC can be kept up even when all frontends have been closed. The combination
 of screen and irssi served as example for this architecture.
 .
 Smuxi also supports the regular single application mode. This behaves like a
 typical IRC client; it doesn't need separate core management and utilizes a
 local engine that is used by the local frontend client.
 .
 This package contains the GNOME frontend of Smuxi, it can run either standalone
 using a local engine or connect to a running remote engine (server).
Homepage: http://www.smuxi.org/
Tag: implemented-in::c-sharp, interface::x11, role::program,
 scope::application, suite::gnome, uitoolkit::gtk, use::chatting,
 x11::application
Section: gnome
Priority: optional
Filename: pool/main/s/smuxi/smuxi-frontend-gnome_0.8.10-3_all.deb

Package: smuxi-frontend-gnome-irc
Source: smuxi
Version: 0.8.10-3
Installed-Size: 191
Maintainer: Mirco Bauer 
Architecture: all
Depends: libgtk2.0-cil (>= 2.12.10-1ubuntu1), liblog4net1.2-cil (>= 1.2.10), libmono-corlib4.0-cil (>= 2.10.1), smuxi-engine (= 0.8.10-3), smuxi-engine-irc (= 0.8.10-3), smuxi-frontend (= 0.8.10-3), smuxi-frontend-gnome (= 0.8.10-3)
Size: 19982
SHA256: d1edbd34d482327273cf42a76f1c8a2e4168cdbcd01cc9fde032cf16745558c9
SHA1: 3a946bcf3040405af9790c57abbc741abb607580
MD5sum: 324fa5d8f3d8b91d0831bf8269c34fec
Description: IRC support for GNOME frontend for Smuxi
 Smuxi is an irssi-inspired, flexible, user-friendly and cross-platform IRC
 client for sophisticated users, targeting the GNOME desktop.
 .
 Smuxi is based on the client-server model: The core application (engine) can
 be placed onto a server which is connected to the Internet around-the-clock;
 one or more frontends then connect to the core. This way, the connection to
 IRC can be kept up even when all frontends have been closed. The combination
 of screen and irssi served as example for this architecture.
 .
 Smuxi also supports the regular single application mode. This behaves like a
 typical IRC client; it doesn't need separate core management and utilizes a
 local engine that is used by the local frontend client.
 .
 This package contains enhanced IRC support for the GNOME frontend of Smuxi.
Homepage: http://www.smuxi.org/
Tag: implemented-in::c-sharp, protocol::irc, role::plugin, uitoolkit::gtk,
 use::chatting
Section: gnome
Priority: optional
Filename: pool/main/s/smuxi/smuxi-frontend-gnome-irc_0.8.10-3_all.deb

Package: smuxi-frontend-stfl
Source: smuxi
Version: 0.8.10-3
Installed-Size: 84
Maintainer: Mirco Bauer 
Architecture: all
Depends: mono-runtime (>= 2.10.1), liblog4net1.2-cil (>= 1.2.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libncursesw5 (>= 5.7+20100313), libstfl0, smuxi-engine (= 0.8.10-3), smuxi-frontend (= 0.8.10-3)
Size: 26238
SHA256: f2d9222b85a40e587288c76882a3bdb2feb6c8d4ea3da1f2b119a7816e2d4ea3
SHA1: 0175fce5bad6ca29f7b6aa148511efddea941023
MD5sum: c62ab2edc8809de88d6802f935844467
Description: STFL frontend for Smuxi (experimental)
 Smuxi is an irssi-inspired, flexible, user-friendly and cross-platform IRC
 client for sophisticated users, targeting the GNOME desktop.
 .
 Smuxi is based on the client-server model: The core application (engine) can
 be placed onto a server which is connected to the Internet around-the-clock;
 one or more frontends then connect to the core. This way, the connection to
 IRC can be kept up even when all frontends have been closed. The combination
 of screen and irssi served as example for this architecture.
 .
 Smuxi also supports the regular single application mode. This behaves like a
 typical IRC client; it doesn't need separate core management and utilizes a
 local engine that is used by the local frontend client.
 .
 This package contains the experimental STFL frontend of Smuxi, it can run
 either standalone using a local engine or connect to a running
 remote engine (server).
 .
 STFL is a library that uses ncurses to draw text based  user interface using
 a markup language (like Glade for GTK+). This frontend is in early alpha state
 and lacks a lot of interface features and likes to crash.
Homepage: http://www.smuxi.org/
Tag: uitoolkit::ncurses
Section: gnome
Priority: optional
Filename: pool/main/s/smuxi/smuxi-frontend-stfl_0.8.10-3_all.deb

Package: smuxi-server
Source: smuxi
Version: 0.8.10-3
Installed-Size: 183
Maintainer: Mirco Bauer 
Architecture: all
Replaces: smuxi (<< 0.5.25)
Depends: mono-runtime (>= 2.10.1), liblog4net1.2-cil (>= 1.2.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-runtime4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.7), smuxi-engine (= 0.8.10-3)
Size: 24170
SHA256: 1c6fb1fe3f15aad6494af165c183c26b115d3f705a99f93c344a39fe81dce58f
SHA1: 679b57d72709aa54128e1a6c75d036e6fd8ba68c
MD5sum: 6dd49ba5119d51911753e251b5325c8f
Description: Server application for Smuxi
 Smuxi is an irssi-inspired, flexible, user-friendly and cross-platform IRC
 client for sophisticated users, targeting the GNOME desktop.
 .
 Smuxi is based on the client-server model: The core application (engine) can
 be placed onto a server which is connected to the Internet around-the-clock;
 one or more frontends then connect to the core. This way, the connection to
 IRC can be kept up even when all frontends have been closed. The combination
 of screen and irssi served as example for this architecture.
 .
 Smuxi also supports the regular single application mode. This behaves like a
 typical IRC client; it doesn't need separate core management and utilizes a
 local engine that is used by the local frontend client.
 .
 This package contains the standalone server of Smuxi, it doesn't need any GUI.
 The Smuxi frontends can connect to a running server via the network.
Homepage: http://www.smuxi.org/
Tag: implemented-in::c-sharp, interface::daemon, network::server,
 protocol::ssh, protocol::tcp, role::program, use::chatting
Section: gnome
Priority: optional
Filename: pool/main/s/smuxi/smuxi-server_0.8.10-3_all.deb

Package: sn
Version: 0.3.8-10.1
Architecture: armhf
Maintainer: Hilko Bengen 
Installed-Size: 518
Depends: netbase, tcpd, heirloom-mailx | mailx, exim4 | mail-transport-agent, psmisc, ed, openbsd-inetd | inet-superserver, libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0
Conflicts: news-transport-system
Provides: news-transport-system
Priority: optional
Section: news
Filename: pool/main/s/sn/sn_0.3.8-10.1_armhf.deb
Size: 189304
SHA256: 7eca323199f39695abb614b6d4e5fb4bd33bee30ec1cb60048d64e1aa2ddfbf7
SHA1: 070bd9599be5a43a3d9abc170299f414e103d589
MD5sum: 5c074ab7205d88b788c118369bf30f63
Description: Small NNTP server for leaf sites
 sn is a small news system for small sites serving perhaps a few
 dozen newsgroups, and with a slow connection to the internet.
 It is similar to leafnode (ftp.troll.no, by Arnt Gulbrandsen).
 The target user is a home or SOHO with a single modem connection
 to the Internet, maybe running IP masq or similar, and serving a
 few workstations.

Package: snacc
Version: 1.3.1-1
Architecture: armhf
Maintainer: Balint Reczey 
Installed-Size: 565
Depends: libc6 (>= 2.13-28), libsnacc0c2
Recommends: libsnacc-dev, snacc-doc
Suggests: automake
Homepage: https://github.com/nexgenta/snacc
Priority: optional
Section: devel
Filename: pool/main/s/snacc/snacc_1.3.1-1_armhf.deb
Size: 194264
SHA256: e96e0e85823f2111f1008ed9309d61c5d0aa2ce48f48c3e8dab49d29457443bb
SHA1: e1c37f4db48ce95255683f357a12bbad9312435a
MD5sum: b42dfea72a67776a4354963df49f4003
Description: ASN.1 to C or C++ or IDL compiler
 Snacc is short for "Sample Neufeld ASN.1 to C Compiler" and ASN.1
 stands for Abstract Syntax Notation One (ITU-T X.208/ISO 8824).
 Snacc supports a subset of ASN.1 1988.  If you need features of
 ASN.1 1992 or later, snacc is not for you.
 .
 Given an ASN.1 source file(s) snacc can produce:
 .
 1. C routines for BER encoding, decoding, printing and freeing.
 2. C++ routines for BER encoding, decoding, and printing.
 3. A type table that can be used with C driver routines
    for BER encoding, decoding, printing and freeing.
 .
 If you want to build snacc based applications, you want to install
 the libsnacc-dev package, too.  Your application will then depend on
 the snacc libraries, you find in the libsnacc0c2 package.

Package: snacc-doc
Source: snacc
Version: 1.3.1-1
Installed-Size: 299
Maintainer: Balint Reczey 
Architecture: all
Recommends: evince | gv | postscript-viewer
Size: 278098
SHA256: e418dd1523d425b7b5ddd676434c48de0f8cdfaaa767b544cd72e472ce104012
SHA1: d0e213b505dfbce9ed4a13ba3dcf47443d2265bb
MD5sum: 6497e6bec3931cbff9bc171db6134dd1
Description: ASN.1 to C or C++ or IDL compiler, documentation
 Snacc is short for "Sample Neufeld ASN.1 to C Compiler" and ASN.1
 stands for Abstract Syntax Notation One (ITU-T X.208/ISO 8824).
 Snacc supports a subset of ASN.1 1988.  If you need features of
 ASN.1 1992 or later, snacc is not for you.
 .
 The documentation for snacc in PostScript format and LaTeX sources.
Homepage: https://github.com/nexgenta/snacc
Tag: devel::doc, devel::rpc, made-of::postscript, made-of::tex,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/snacc/snacc-doc_1.3.1-1_all.deb

Package: snake4
Version: 1.0.12-14
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 260
Depends: libc6 (>= 2.13-28), libshhmsg1 (>= 1.4.1-4.1), libshhopt1 (>= 1.1.7-2.1), libx11-6, libxaw7, libxpm4, libxt6
Homepage: http://shh.thathost.com/pub-unix/#snake4
Priority: optional
Section: games
Filename: pool/main/s/snake4/snake4_1.0.12-14_armhf.deb
Size: 37716
SHA256: 01971863ae67451085d1c932d2b3075c901429e6d649bb7376a6bc6164d9bc78
SHA1: 520dd625160223b9fd3a844553089aad2f72b29d
MD5sum: 23540454e7ad29ad1c0bb635c91d8124
Description: Snake game
 This is a basic but nice implementation of the snake game. The objective
 is to "snake around" and eat fruit, while avoiding the evil headbanger
 and not crashing into your tail.
 .
 Features five levels of difficulty and a site-wide high score list.

Package: snakefood
Version: 1.4-1
Installed-Size: 308
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python (>= 2.5), python-support (>= 0.90.0)
Size: 81392
SHA256: 8a0f16c3826fc9f73212fdf0c892bbb89e5854d572d8fafcb70f38f457c62c9e
SHA1: 2d20cd8f06e5c82dd1357fabb736dc3bc43d8e3e
MD5sum: 06cc617fb6c172c3f7fbbb9cab2b2eb0
Description: Python dependency grapher
 Generate dependency graphs from Python code. This dependency tracker
 package has a few distinguishing characteristics:
 .
  * It uses the AST to parse the Python files. This is very reliable,
    it always runs.
  * No module is loaded. Loading modules to figure out dependencies is
    almost always problem, because a lot of codebases run
    initialization code in the global namespace, which often requires
    additional setup. Snakefood is guaranteed not to have this problem
    (it just runs, no matter what).
  * It works on a set of files, i.e. you do not have to specify a
    single script, you can select a directory (package or else) or a
    set of files. It finds all the Python files recursively
    automatically.
  * Automatic/no configuration: your PYTHONPATH is automatically
    adjusted to include the required package roots. It figures out the
    paths that are required from the files/directories given as
    input. You should not have to setup ANYTHING.
  * It does not have to automatically 'follow' dependencies between
    modules, i.e. by default it only considers the files and
    directories you specify on the command-line and their immediate
    dependencies. It also has an option to automatically include only
    the dependencies within the packages of the files you specify.
  * It follows the UNIX philosophy of small programs that do one thing
    well: it consists of a few simple programs whose outputs you
    combine via pipes.
 .
 This package will install the following programs:
 .
  * sfood - detect import statements using the AST parser
  * sfood-checker - check for superfluous import statements in Python source
    code
  * sfood-cluster - read snakefood dependencies from stdin and cluster according
    to filenames
  * sfood-copy - read a snakefood dependencies file and flatten and output the
    list of all files
  * sfood-flatten - read a snakefood dependencies file and flatten and output
    the list of all files
  * sfood-graph - read snakefood dependencies and output a visual graph
  * sfood-imports - parse Python files and output a unified list of imported
    symbols
Homepage: http://furius.ca/snakefood/
Section: python
Priority: optional
Filename: pool/main/s/snakefood/snakefood_1.4-1_all.deb

Package: snappea
Version: 3.0d3-22
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 1614
Depends: libc6 (>= 2.13-28), python (>= 2.6.6-7~), python2.7, python-pmw, python-tk
Suggests: regina-normal
Priority: extra
Section: math
Filename: pool/main/s/snappea/snappea_3.0d3-22_armhf.deb
Size: 617308
SHA256: 27e9cae3cbdace75cdd753b55a15aff63d2767bcc17ed1b415e125055c01d839
SHA1: 83bc100d6aacaeb512a6391788fffe334c08fc4d
MD5sum: a2165043da357033f90b837d278d6401
Description: program for creating and studying hyperbolic 3-manifolds
 SnapPea is Jeff Weeks' computational tool for mathematicians working in
 low-dimensional topology.  It is used for creating and studying hyperbolic
 3-manifolds, and is accessible via Python scripts as well as through a
 traditional graphical user interface.

Package: snappea-dev
Source: snappea
Version: 3.0d3-22
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 714
Depends: snappea (= 3.0d3-22), libc6-dev
Replaces: snappea (<< 3.0d3-10)
Priority: extra
Section: libdevel
Filename: pool/main/s/snappea/snappea-dev_3.0d3-22_armhf.deb
Size: 267456
SHA256: 3b2e1b224307b72bb8dbf4e4bd521517cd29a4a7c48f84401371e9e197c264ae
SHA1: 3278669b462f42003d45261c5b754dba118ab3c7
MD5sum: 8ce5350cf7fec6b4c717e599b300f5d7
Description: development files for SnapPea hyperbolic 3-manifold tool
 SnapPea is a computational tool for creating and studying hyperbolic
 3-manifolds.  This package provides headers suitable for compiling the
 SnapPea kernel into other projects.

Package: snappy
Source: snappy-player
Version: 0.2-1
Architecture: armhf
Maintainer: Maintainers of GStreamer packages 
Installed-Size: 212
Depends: libc6 (>= 2.13-28), libclutter-1.0-0 (>= 1.2.8), libclutter-gst-1.0-0 (>= 0.10.0), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.28.0), libgstreamer-plugins-base0.10-0 (>= 0.10.31), libgstreamer0.10-0 (>= 0.10.24), libx11-6, libxtst6, gstreamer0.10-plugins-base (>= 0.10.20)
Recommends: gstreamer0.10-plugins-good, gstreamer0.10-plugins-ugly, gstreamer0.10-plugins-bad, gstreamer0.10-ffmpeg
Priority: optional
Section: video
Filename: pool/main/s/snappy-player/snappy_0.2-1_armhf.deb
Size: 155848
SHA256: ce3b0b84443db64bbd9155de512bcd074c999e8aeff9f142b202bcbfb2ff9abf
SHA1: 5952f1e2de874b5d9fafd01d6827caa875d7cb18
MD5sum: cce7bf40fb63e551c0626bae474c0f83
Description: Powerful media player with a minimalistic interface
 snappy is a media player that gathers the power and flexibility of
 GStreamer inside the ease of a minimalistic Clutter interface.
 .
 Everything is available through both the mouse and keyboards for
 usability comfort and the controls scale depending on the size of
 the video and window for readability in all contexts.

Package: snarf
Version: 7.0-5
Architecture: armhf
Maintainer: Noah Meyerhans 
Installed-Size: 67
Depends: libc6 (>= 2.4)
Priority: optional
Section: net
Filename: pool/main/s/snarf/snarf_7.0-5_armhf.deb
Size: 21054
SHA256: a3aab18f319ec86a3b427e040586f0d65f28a9b0e16b4f88c7daa4fd406e4523
SHA1: 7fc6627da6dd959ee81fe9e7d33e7590b0ea7a8d
MD5sum: f4fd270155ade9725c6371fead526007
Description: A command-line URL grabber
 Snarf is a utility to retrieve files via the http and ftp protocols. It
 supports http redirect, http and ftp resume, http and ftp
 authentication, and other neat things.  Its functionality is similar to
 that of wget, but with a much smaller binary.

Package: snd
Version: 11.7-2
Installed-Size: 10653
Maintainer: Debian QA Group 
Architecture: all
Recommends: guile, ladspa-plugin
Suggests: snd-doc
Size: 2339078
SHA256: 07fd15a15c3afd084c2f7714c5fc7b662f0d399681071682fdcb276366befeed
SHA1: 68066181f0e09567d41a37ca672bd472340d22ad
MD5sum: a7ecd8c48dd558de54aecd6e37f0a92d
Description: Sound file editor
 Snd is a powerful sound file editor that can be customized and extended using
 the Scheme programming language.
 .
 This package contains the basic infrastructure for the snd packages and
 the scheme files. You have to install a GUI package as well to actually
 use snd.
Homepage: http://ccrma.stanford.edu/software/snd/
Tag: devel::lang:scheme, role::metapackage, uitoolkit::gtk, use::editing,
 use::viewing, works-with::audio
Section: sound
Priority: optional
Filename: pool/main/s/snd/snd_11.7-2_all.deb

Package: snd-doc
Source: snd
Version: 11.7-2
Installed-Size: 15687
Maintainer: Debian QA Group 
Architecture: all
Size: 13411440
SHA256: 0ae4a44000244f8b6bbb6ea565bf6ce8eebabb266061dec56fcaef98ac6f3cc1
SHA1: 5cb9b7005f646442064c1e2a92d45f40e5278da7
MD5sum: 598c3f8bf7657058bf8ca612babf99ee
Description: Sound file editor (documentation)
 Snd is a powerful sound file editor that can be customized and extended using
 the Scheme programming language.
 .
 This package contains the documentation for snd.
Homepage: http://ccrma.stanford.edu/software/snd/
Tag: devel::lang:scheme, role::documentation, use::editing, use::viewing,
 works-with::audio
Section: doc
Priority: optional
Filename: pool/main/s/snd/snd-doc_11.7-2_all.deb

Package: snd-gtk
Source: snd
Version: 11.7-2
Installed-Size: 61
Maintainer: Debian QA Group 
Architecture: all
Depends: snd-gtk-pulse (>= 11.7-2) | snd-gtk-jack (>= 11.7-2)
Size: 38712
SHA256: c521edc5c694bef9da26dac73e1b630ebcc0d3a6fd4bda3ec8a6ab5926b885fc
SHA1: c4bcd8e2c1e077e0fb77b80720845456f55c5fb3
MD5sum: 71dca398edadfe7352a8dda326eb568d
Description: Sound file editor (dummy transitional package)
 Snd is a powerful sound file editor that can be customized and extended using
 the Scheme programming language.
 .
 This is a dummy package and it may be safely removed after installation.
Homepage: http://ccrma.stanford.edu/software/snd/
Tag: devel::lang:scheme, interface::x11, role::program, scope::application,
 uitoolkit::gtk, use::editing, use::viewing, works-with::audio,
 x11::application
Section: sound
Priority: optional
Filename: pool/main/s/snd/snd-gtk_11.7-2_all.deb

Package: snd-gtk-pulse
Source: snd
Version: 11.7-2
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 4564
Depends: snd (>= 11.7-2), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgmp10, libgsl0ldbl (>= 1.9), libgtk2.0-0 (>= 2.18.0), libmpc2, libmpfr4 (>= 3.1.0), libpango1.0-0 (>= 1.14.0), libpulse0 (>= 0.99.1)
Conflicts: snd-dmotif, snd-dmotif-alsa, snd-gtk-alsa, snd-gtk-jack, snd-smotif
Breaks: snd-gtk (<< 11-3)
Replaces: snd (<< 5.10), snd-dmotif, snd-dmotif-alsa, snd-gtk (<< 11-3), snd-gtk-alsa, snd-gtk-jack, snd-smotif
Provides: snd-gtk, snd-gtk-alsa
Homepage: http://ccrma.stanford.edu/software/snd/
Priority: optional
Section: sound
Filename: pool/main/s/snd/snd-gtk-pulse_11.7-2_armhf.deb
Size: 1642032
SHA256: a77798991dfa1c844ac35a279d01c71414e2cc232882e1626382966e76b72184
SHA1: 98d6e111f98c0ff7736d8ff755133c4f348ea8cc
MD5sum: 9d644b5ccd6fdcf658b3aabb6794773d
Description: Sound file editor (GTK+ user interface - PulseAudio)
 Snd is a powerful sound file editor that can be customized and extended using
 the Scheme programming language.
 .
 This package contains the files for the GTK+ user interface with
 PulseAudio support.

Package: snd-nox
Source: snd
Version: 11.7-2
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 2330
Depends: snd (>= 11.7-2), libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgmp10, libgsl0ldbl (>= 1.9), libmpc2, libmpfr4 (>= 3.1.0)
Conflicts: snd-dmotif, snd-dmotif-alsa, snd-gtk-alsa, snd-gtk-jack, snd-gtk-pulse, snd-smotif
Breaks: snd-gtk (<< 11-3), snd-nox-alsa (<< 11.2-2)
Replaces: snd (<< 5.10), snd-dmotif, snd-dmotif-alsa, snd-gtk (<< 11-3), snd-gtk-alsa, snd-gtk-jack, snd-gtk-pulse, snd-nox-alsa (<< 11.2-2), snd-smotif
Provides: snd-nox-alsa
Homepage: http://ccrma.stanford.edu/software/snd/
Priority: optional
Section: sound
Filename: pool/main/s/snd/snd-nox_11.7-2_armhf.deb
Size: 964890
SHA256: bcd0c2e89d1ee2abac9b73f5fe24d337d5642c370b8894610bbe9e10802fe67f
SHA1: ec086e2bdf0d2c8728e4cfd8f7156f9dad679715
MD5sum: 2c271b8d0a4da0a87f342bc633a49875
Description: Sound file editor (without X support)
 Snd is a powerful sound file editor that can be customized and extended using
 the Scheme programming language.
 .
 This package contains a version of snd compiled without support for X.

Package: snd-nox-alsa
Source: snd
Version: 11.7-2
Installed-Size: 61
Maintainer: Debian QA Group 
Architecture: all
Depends: snd-nox (>= 11.7-2)
Size: 38736
SHA256: 425565a936b2a0bfc8a8cd2722b00ac26233eb131f82101bc24e989df89470cd
SHA1: 7263e18b4c69ad7430c5f19f9725fe15cbd83c89
MD5sum: 59cff20df3ccc506faddc7ce5d2d852e
Description: Sound file editor (dummy transitional package)
 Snd is a powerful sound file editor that can be customized and extended using
 the Scheme programming language.
 .
 This dummy package is provided for a smooth transition from snd-nox-alsa to
 snd-nox package. It may be safely removed after installation.
Homepage: http://ccrma.stanford.edu/software/snd/
Section: sound
Priority: optional
Filename: pool/main/s/snd/snd-nox-alsa_11.7-2_all.deb

Package: sndfile-programs
Source: libsndfile
Version: 1.0.25-9.1+deb7u4
Architecture: armhf
Maintainer: Erik de Castro Lopo 
Installed-Size: 262
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsndfile1 (>= 1.0.20)
Recommends: sndfile-tools
Homepage: http://www.mega-nerd.com/libsndfile/
Priority: optional
Section: utils
Filename: pool/main/libs/libsndfile/sndfile-programs_1.0.25-9.1+deb7u4_armhf.deb
Size: 121330
SHA256: ae72ea2aa0b8d296398ade65dda68c19c163aa9767a6f8c5d92095b7e84f8018
SHA1: 085322d7fd6eaba25f39c57911b1c3eba8119044
MD5sum: 073742a49bc3aea2429dedcd1014900b
Description: Sample programs that use libsndfile
 This package contains simple programs which use libsndfile for operating on
 sound files.
 .
 Programs include:
   - sndfile-cmp : compare the audio data of two files
   - sndfile-concat : concatenate two or more files
   - sndfile-convert : convert between sound file formats
   - sndfile-info : print information about files
   - sndfile-metadata-get/set : get and set file metadata
   - sndfile-play : play a sound file

Package: sndfile-tools
Version: 1.03-2
Architecture: armhf
Maintainer: Erik de Castro Lopo 
Installed-Size: 83
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfftw3-3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libsndfile1 (>= 1.0.20)
Conflicts: sndfile-programs (<< 1.0.21)
Homepage: http://www.mega-nerd.com/libsndfile/tools/
Priority: extra
Section: sound
Filename: pool/main/s/sndfile-tools/sndfile-tools_1.03-2_armhf.deb
Size: 24538
SHA256: af69b38bea664e7bdc9fb49ba8460079b82ba9ba5815efa81e8fe35de23351f7
SHA1: 022017d29e92c4c5fcffd7f7a4adba668dc21b22
MD5sum: afec1ac7f355526a29da7286cd5a8730
Description: A collection of programs for operating on sound files
 .
 sndfile-tools is a collection of programs which use libsndfile and other
 libraries to do useful things like generate spectrograms using libfftw and
 libcariro and play sound via the JACK Audio Connection Kit daemon.

Package: sng
Version: 1.0.2-7
Architecture: armhf
Maintainer: Wesley J. Landaker 
Installed-Size: 99
Depends: libc6 (>= 2.7), libpng12-0 (>= 1.2.13-4), x11-common
Suggests: pngcrush, pngmeta, gif2png, imagemagick
Priority: optional
Section: graphics
Filename: pool/main/s/sng/sng_1.0.2-7_armhf.deb
Size: 35712
SHA256: 9d833cc35c08e6d77e2ace38d3f06afc81b93ca56f9a966b4cd3673048c1d7a9
SHA1: e70c1f59169b5360822c5f3e682370dcb75dcfba
MD5sum: ed87ea0b305cfb5193688423f1152116
Description: a specialized markup language for representing PNG contents
 SNG (Scriptable Network Graphics) is a minilanguage designed
 specifically to represent the entire contents of a PNG (Portable
 Network Graphics) file in an editable form. Thus, SNGs representing
 elaborate graphics images and ancillary chunk data can be readily
 generated or modified using only text tools.
 .
 SNG is implemented by a compiler/decompiler called sng that
 losslessly translates between SNG and PNG.

Package: sniffit
Version: 0.3.7.beta-16.1+deb7u1
Architecture: armhf
Maintainer: William Vera 
Installed-Size: 86
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libpcap0.8 (>= 0.9.8), libtinfo5
Homepage: http://reptile.rug.ac.be/~coder/sniffit/
Priority: optional
Section: net
Filename: pool/main/s/sniffit/sniffit_0.3.7.beta-16.1+deb7u1_armhf.deb
Size: 56218
SHA256: 8767d63bf738e4d28efca94d8476b09db8596c6fd7d5c963951abfe31f1987a2
SHA1: 752384f4b8d607df804aa818d8d3fbc628d2d7e7
MD5sum: 40af39c0c9d0d3c41187d37722fc5c3f
Description: packet sniffer and monitoring tool
 sniffit is a packet sniffer for TCP/UDP/ICMP packets.
 sniffit is able to give you very detailed technical info
 on these packets (SEC, ACK, TTL, Window, ...) but also
 packet contents in different formats (hex or plain text,
 etc. ).

Package: snimpy
Version: 0.6.3-1
Architecture: armhf
Maintainer: Vincent Bernat 
Installed-Size: 228
Depends: python2.7, python (>= 2.6.6-7~), python (<< 2.8), libc6 (>= 2.13-28), libsmi2ldbl, libsnmp15 (>= 5.4.3~dfsg), libssl1.0.0 (>= 1.0.0)
Suggests: ipython
Homepage: https://github.com/vincentbernat/snimpy/
Priority: optional
Section: python
Filename: pool/main/s/snimpy/snimpy_0.6.3-1_armhf.deb
Size: 45622
SHA256: d851c34c24110b1bf1e2fd23f70868cf37626d0ad734af93cf3803287c560b67
SHA1: 0dc4de2340e1799421dcf163a13a4a4dadf35077
MD5sum: 858a5f535c47f7d7fa4949650f1d336e
Description: interactive SNMP tool with Python
 Snimpy is a Python-based tool providing a simple interface to build
 SNMP queries. This interface aims at being the most Pythonic
 possible: you grab scalars using attributes and columns are like
 dictionaries.
 .
 Snimpy can either be used interactively through its console (derived
 from Python own console or from IPython if available) or by writing
 snimpy scripts which are just Python scripts with some global
 variables available.

Package: snmp
Source: net-snmp
Version: 5.4.3~dfsg-2.8+deb7u2
Architecture: armhf
Maintainer: Net-SNMP Packaging Team 
Installed-Size: 1296
Depends: libc6 (>= 2.13-28), libsnmp15 (>= 5.4.3~dfsg), libssl1.0.0 (>= 1.0.0)
Recommends: perl-modules
Homepage: http://net-snmp.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/n/net-snmp/snmp_5.4.3~dfsg-2.8+deb7u2_armhf.deb
Size: 1051808
SHA256: 02a101bf14d321ab3cc5114e9ad82db14340a24fd2217864bb8edeaafc337e8b
SHA1: 850fbabe69e14be834b2340e4fe8cc75c9f38a84
MD5sum: daa94ba7605ec600ecdb8ae1ec0f2dc3
Description: SNMP (Simple Network Management Protocol) applications
 The Simple Network Management Protocol (SNMP) provides a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 The Net-SNMP applications are a collection of command line clients
 for issuing SNMP requests to agents.

Package: snmpd
Source: net-snmp
Version: 5.4.3~dfsg-2.8+deb7u2
Architecture: armhf
Maintainer: Net-SNMP Packaging Team 
Installed-Size: 1099
Depends: libc6 (>= 2.13-28), libsnmp15 (>= 5.4.3~dfsg), libwrap0 (>= 7.6-4~), debconf (>= 0.5) | debconf-2.0, adduser, debconf, lsb-base (>= 3.2-13)
Homepage: http://net-snmp.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/n/net-snmp/snmpd_5.4.3~dfsg-2.8+deb7u2_armhf.deb
Size: 966076
SHA256: b8c2ae454a685c45a8ef2a72d95664d798a4593800d0ba5525db00b820ac0723
SHA1: 5189b7ec9a9ae3d8c86ba17333bc83c8a886ccf0
MD5sum: 06c7465d1f2a7d141b6f9cc60c679a0c
Description: SNMP (Simple Network Management Protocol) agents
 The Simple Network Management Protocol (SNMP) provides a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 The Net-SNMP agent is a daemon which listens for incoming SNMP
 requests from clients and provides responses.

Package: snmptrapfmt
Version: 1.14+nmu1
Architecture: armhf
Maintainer: Jochen Friedrich 
Installed-Size: 85
Depends: snmpd, libc6 (>= 2.13-28)
Conflicts: none
Replaces: none
Homepage: https://alioth.debian.org/projects/snmptrapfmt/
Priority: optional
Section: net
Filename: pool/main/s/snmptrapfmt/snmptrapfmt_1.14+nmu1_armhf.deb
Size: 18468
SHA256: de03c7106d1d46759319ae8fe6b0d467b67f19a83cca398024ef3cb4eb7afe7c
SHA1: 5c97f11acb98333619551d02467a6472f3522074
MD5sum: 930cd08171c616a901b772e2d0529414
Description: A configurable snmp trap handler daemon for snmpd
 This package contains a configurable snmp trap handler daemon for snmpd.
 The output of this trap handler daemon may be specified via a configuration
 file and written to a logfile or to the syslog daemon. During installation
 of this package, the configuration file for the snmptrapd daemon is changed
 (old version is saved) to activate the trap handler. The snmpd and snmptrapd
 daemons are restarted.

Package: snmptt
Version: 1.3-2
Installed-Size: 565
Maintainer: Christoph Berg 
Architecture: all
Depends: adduser, libconfig-inifiles-perl, libsnmp-perl, snmpd
Recommends: libsys-syslog-perl
Size: 131736
SHA256: 6c83cbbee26940daec6c48008a0d1ccc61d8428fe5eb69c56332abcc6f59a326
SHA1: 7b7b1aa0f59e0bb71733894adc9752fc7a628a24
MD5sum: a69cf9913feb21c6637dd0bbcd60bf0e
Description: SNMP trap handler for use with snmptrapd
 SNMPTT (SNMP Trap Translator) is an SNMP trap handler written in Perl for use
 with the Net-SNMP / UCD-SNMP snmptrapd program (www.net-snmp.org).
Homepage: http://www.snmptt.org/
Tag: implemented-in::perl, protocol::snmp, role::program
Section: net
Priority: extra
Filename: pool/main/s/snmptt/snmptt_1.3-2_all.deb

Package: snooper
Version: 19991202-7.1
Architecture: armhf
Maintainer: Stefan Bauer 
Installed-Size: 70
Depends: libc6 (>= 2.13-28), liblockdev1, libncurses5 (>= 5.5-5~), libtinfo5
Priority: optional
Section: comm
Filename: pool/main/s/snooper/snooper_19991202-7.1_armhf.deb
Size: 19092
SHA256: 1c5935af5f0d9312e262ac979767e93bac46a714f7005b22fb67103c05bd4d98
SHA1: 5d74489b06003484e2a6a105285d0201d057f23e
MD5sum: 75a0811ab6144c9fce60e300791139ad
Description: Captures communication between two external serial devices
 Snooper passes data transparently between two serial (RS232C)
 devices, capturing and logging the data and occasional comments you
 want to insert into the logs.
 .
 It is useful for debugging or analyzing the communications protocol
 between two devices that would normally be connected directly to each
 other, e.g. a digital camera and a personal computer.  By sitting
 "in the middle" (after you connect the two devices to serial ports
 on your Linux machine) snooper is able to capture data traveling in
 either direction while also passing it unmodified to the other device.
 .
 It is also possible to operate with a single serial device, using
 your console and keyboard as the second device.

Package: snoopy
Version: 1.8.0-5
Architecture: armhf
Maintainer: Zed Pobre 
Installed-Size: 57
Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0
Homepage: http://sourceforge.net/projects/snoopylogger/
Priority: optional
Section: admin
Filename: pool/main/s/snoopy/snoopy_1.8.0-5_armhf.deb
Size: 14262
SHA256: d3813f39d48692c65125ce588175add19413dbf61f488784316a5868a656c6d0
SHA1: 8da0e30bb7505a87773617c92d12d42bd3158766
MD5sum: f9110f55a515c57182a25466e28a3fea
Description: execve() wrapper and logger
 snoopy is merely a shared library that is used as a wrapper
 to the execve() function provided by libc as to log every call
 to syslog (authpriv).  system administrators may find snoopy
 useful in tasks such as light/heavy system monitoring, tracking other
 administrator's actions as well as getting a good 'feel' of
 what's going on in the system (for example apache running cgi
 scripts).

Package: snort
Version: 2.9.2.2-3
Architecture: armhf
Maintainer: Javier Fernández-Sanguino Peña 
Installed-Size: 1564
Pre-Depends: adduser (>= 3.11)
Depends: snort-common-libraries (>= 2.9.2.2-3), snort-rules-default (>= 2.9.2.2-3), snort-common (>= 2.9.2.2-3), debconf (>= 0.5) | debconf-2.0, rsyslog | system-log-daemon, logrotate, net-tools, libc6 (>= 2.13-28), libdaq0, libdumbnet1 (>= 1.8), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libpcap0.8 (>= 1.0.0), libpcre3 (>= 8.10), libprelude2, zlib1g (>= 1:1.1.4)
Recommends: iproute
Suggests: snort-doc
Conflicts: snort-mysql, snort-pgsql
Replaces: snort-common (<< 2.0.2-3)
Homepage: http://www.snort.org/
Priority: optional
Section: net
Filename: pool/main/s/snort/snort_2.9.2.2-3_armhf.deb
Size: 809940
SHA256: 95db93107ae4741b49ebe87d781b2b6c4a5cb1d3c8ff1998ec19efbcd2316e83
SHA1: d16d0fc2c6edbb06be63b1d05857a221f6588a2c
MD5sum: 03d6b7903f2e5897e50b248df0b11261
Description: flexible Network Intrusion Detection System
 Snort is a libpcap-based packet sniffer/logger which can be used as a
 lightweight network intrusion detection system. It features rules
 based logging and can perform content searching/matching in addition
 to being used to detect a variety of other attacks and probes, such
 as buffer overflows, stealth port scans, CGI attacks, SMB probes, and
 much more. Snort has a real-time alerting capability, with alerts being
 sent to syslog, a separate "alert" file, or even to a Windows computer
 via Samba.
 .
 This package provides the plain-vanilla version of Snort and does not
 provide database (available in snort-pgsql and snort-mysql) support.

Package: snort-common
Source: snort
Version: 2.9.2.2-3
Installed-Size: 451
Maintainer: Javier Fernández-Sanguino Peña 
Architecture: all
Replaces: snort (<< 1.8.4beta1-1)
Depends: perl-modules, debconf (>= 0.5) | debconf-2.0, lsb-base
Pre-Depends: adduser (>= 3.11)
Suggests: snort-doc
Conflicts: snort (<< 2.9.2.2-3)
Size: 210010
SHA256: b0d5b598a6369dc385721a2775a6ffcf41f655fe9bcf23d1446347670cbc48ae
SHA1: fe88bcbd7bc70cd07ea1dc383e93a7c6b1f04cd7
MD5sum: 85e958914b86054887eaa8aa6b94e325
Description: flexible Network Intrusion Detection System [common files]
 Snort is a libpcap-based packet sniffer/logger which can be used as a
 lightweight network intrusion detection system. It features rules
 based logging and can perform content searching/matching in addition
 to being used to detect a variety of other attacks and probes, such
 as buffer overflows, stealth port scans, CGI attacks, SMB probes, and
 much more. Snort has a real-time alerting capability, with alerts being
 sent to syslog, a separate "alert" file, or even to a Windows computer
 via Samba.
 .
 This is a common package which holds cron jobs, tools and config files used
 by all Snort-based packages.
Homepage: http://www.snort.org/
Tag: admin::logging, admin::monitoring, interface::daemon, role::app-data,
 security::ids, use::monitor
Section: net
Priority: optional
Filename: pool/main/s/snort/snort-common_2.9.2.2-3_all.deb

Package: snort-common-libraries
Source: snort
Version: 2.9.2.2-3
Architecture: armhf
Maintainer: Javier Fernández-Sanguino Peña 
Installed-Size: 920
Depends: libc6 (>= 2.13-28), libdaq0, libdumbnet1 (>= 1.8), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libmysqlclient18 (>= 5.5.24+dfsg-1), libpcap0.8 (>= 0.9.8), libpcre3 (>= 8.10), libprelude2, zlib1g (>= 1:1.1.4)
Suggests: snort (>= 2.7.0) | snort-pgsql (>= 2.7.0) | snort-mysql (>= 2.7.0)
Conflicts: snort-common (<< 2.7.0-6)
Homepage: http://www.snort.org/
Priority: optional
Section: net
Filename: pool/main/s/snort/snort-common-libraries_2.9.2.2-3_armhf.deb
Size: 497210
SHA256: fe8f65fbd771f666f7e0f4e2c0802f05d11e6f76e451f07a1533689c774486c7
SHA1: 5d5064b77020a0fcb34a9c3bac18846ff43840c0
MD5sum: 87758a4bb06ac1e1f8c4848ef39c10a3
Description: flexible Network Intrusion Detection System ruleset
 Snort is a libpcap-based packet sniffer/logger which can be used as a
 lightweight network intrusion detection system. It features rules
 based logging and can perform content searching/matching in addition
 to being used to detect a variety of other attacks and probes, such
 as buffer overflows, stealth port scans, CGI attacks, SMB probes, and
 much more. Snort has a real-time alerting capability, with alerts being
 sent to syslog, a separate "alert" file, or even to a Windows computer
 via Samba.
 .
 This package provides libraries used by all the Snort binary packages.

Package: snort-doc
Source: snort
Version: 2.9.2.2-3
Installed-Size: 7667
Maintainer: Javier Fernández-Sanguino Peña 
Architecture: all
Size: 2655846
SHA256: d45d6f459cc78ef7d1b74deb8612693b9a3329403163d2493b58def556dc2656
SHA1: 6de0b296a6b2b0271f78e058e838b22aa146ab6d
MD5sum: 42a87352168af79ebc38fb733b6ca5eb
Description: Documentation for the Snort IDS [documentation]
 Snort is a libpcap-based packet sniffer/logger which can be used as a
 lightweight network intrusion detection system. It features rules
 based logging and can perform content searching/matching in addition
 to being used to detect a variety of other attacks and probes, such
 as buffer overflows, stealth port scans, CGI attacks, SMB probes, and
 much more. Snort has a real-time alerting capability, with alerts being
 sent to syslog, a separate "alert" file, or even to a Windows computer
 via Samba.
Homepage: http://www.snort.org/
Tag: admin::logging, admin::monitoring, interface::daemon, made-of::pdf,
 role::documentation, security::ids, use::monitor
Section: doc
Priority: optional
Filename: pool/main/s/snort/snort-doc_2.9.2.2-3_all.deb

Package: snort-mysql
Source: snort
Version: 2.9.2.2-3
Architecture: armhf
Maintainer: Javier Fernández-Sanguino Peña 
Installed-Size: 1608
Pre-Depends: adduser (>= 3.11)
Depends: snort-common-libraries (>= 2.9.2.2-3), snort-rules-default (>= 2.9.2.2-3), snort-common (>= 2.9.2.2-3), debconf (>= 0.5) | debconf-2.0, rsyslog | system-log-daemon, logrotate, net-tools, libc6 (>= 2.13-28), libdaq0, libdumbnet1 (>= 1.8), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libmysqlclient18 (>= 5.5.24+dfsg-1), libpcap0.8 (>= 1.0.0), libpcre3 (>= 8.10), libprelude2, zlib1g (>= 1:1.1.4)
Recommends: iproute
Suggests: snort-doc
Conflicts: snort, snort-pgsql
Provides: snort
Homepage: http://www.snort.org/
Priority: extra
Section: net
Filename: pool/main/s/snort/snort-mysql_2.9.2.2-3_armhf.deb
Size: 823714
SHA256: 313ed7b649cbacee780d0e3fd037cf6d480026ddb3bf3a364f7b25f8a0db5a98
SHA1: 69f35f532259939a2897dde496d51c7f3a6a5c17
MD5sum: 90bee1874080d8ed10d83291ad2e2e5d
Description: flexible Network Intrusion Detection System [MySQL]
 Distribution of Snort with support for logging to a MySQL database.
 .
 Snort is a libpcap-based packet sniffer/logger which can be used as a
 lightweight network intrusion detection system. It features rules
 based logging and can perform content searching/matching in addition
 to being used to detect a variety of other attacks and probes, such
 as buffer overflows, stealth port scans, CGI attacks, SMB probes, and
 much more. Snort has a real-time alerting capability, with alerts being
 sent to syslog, a separate "alert" file, or even to a Windows computer
 via Samba.

Package: snort-pgsql
Source: snort
Version: 2.9.2.2-3
Architecture: armhf
Maintainer: Javier Fernández-Sanguino Peña 
Installed-Size: 1608
Depends: snort-common-libraries (>= 2.9.2.2-3), snort-rules-default (>= 2.9.2.2-3), snort-common (>= 2.9.2.2-3), debconf (>= 0.5) | debconf-2.0, adduser (>= 3.11), rsyslog | system-log-daemon, logrotate, net-tools, libc6 (>= 2.13-28), libdaq0, libdumbnet1 (>= 1.8), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libpcap0.8 (>= 1.0.0), libpcre3 (>= 8.10), libpq5, libprelude2, zlib1g (>= 1:1.1.4)
Recommends: iproute
Suggests: snort-doc
Conflicts: snort, snort-mysql
Provides: snort
Homepage: http://www.snort.org/
Priority: optional
Section: net
Filename: pool/main/s/snort/snort-pgsql_2.9.2.2-3_armhf.deb
Size: 823574
SHA256: a9d509523e82fb1bf1f3e19c02e69f1cfbbff4cdb0553bd710414e172fc9fe0c
SHA1: ae5b24d1f59a6f4c4ef707b83d79a9129c8d696f
MD5sum: 8149c6a2aeeabc204232b999f8cf706c
Description: flexible Network Intrusion Detection System [PostgreSQL]
 Distribution of Snort with support for logging to a PostgreSQL dbase.
 .
 Snort is a libpcap-based packet sniffer/logger which can be used as a
 lightweight network intrusion detection system. It features rules
 based logging and can perform content searching/matching in addition
 to being used to detect a variety of other attacks and probes, such
 as buffer overflows, stealth port scans, CGI attacks, SMB probes, and
 much more. Snort has a real-time alerting capability, with alerts being
 sent to syslog, a separate "alert" file, or even to a Windows computer
 via Samba.

Package: snort-rules-default
Source: snort
Version: 2.9.2.2-3
Installed-Size: 1779
Maintainer: Javier Fernández-Sanguino Peña 
Architecture: all
Provides: snort-rules
Depends: debconf (>= 0.2.80) | debconf-2.0, adduser (>= 3.11)
Recommends: oinkmaster
Suggests: snort (>= 2.2.0) | snort-pgsql (>= 2.2.0) | snort-mysql (>= 2.2.0)
Size: 343536
SHA256: 565f8435501648a9bf49bbc5101e0be336d355dc7ff0aab9ca0165cb60e18379
SHA1: 0cbf675ba7dd2c799de8c1fab59a1445a10f81fb
MD5sum: d3bbb5b45e9f9039c35ebbcb1138a055
Description: flexible Network Intrusion Detection System ruleset
 Snort default ruleset which provides a basic set network intrusion detection
 rules developed by the Snort community.
 .
 These rules can be used as a basis for development of additional rules. Users
 using Snort to defend networks in production environments are encouraged
 to update their local rulesets as described in the included documentation
 or using the oinkmaster package.
Homepage: http://www.snort.org/snort-rules/
Tag: admin::logging, admin::monitoring, interface::daemon, role::app-data,
 security::ids, use::monitor
Section: net
Priority: optional
Filename: pool/main/s/snort/snort-rules-default_2.9.2.2-3_all.deb

Package: snowballz
Version: 0.9.5.1-4
Installed-Size: 10396
Maintainer: Debian Games Team 
Architecture: all
Depends: fonts-taml-tscu, python-imaging, python-numpy, python-opengl, python-pygame, python-rabbyt, python (>= 2.6.6-7~)
Size: 10059296
SHA256: 9dcd6e06c02ff1b91769369934eb85eb453d9157009e3f90ec60fc3dfe4f9015
SHA1: da07a0abd24c36a87259f573826dd2c9d3abcaa6
MD5sum: fd1d6efc46b7d55770b8b1eb8f744046
Description: fun RTS game featuring snowball fights with penguins
 Take command of your army of penguins as you blaze your path to victory!
 March through snow laden forests to conqueror new frontiers and grow your
 small army. Ambush enemy lines with blasts of freezing snowballs. But don't
 neglect your home, invaders are just over the next snow drift! Gather fish
 for your cold penguins to munch on as they warm up in your cozy igloo.
 It's a snowy world you don't want to miss!
Homepage: http://joey101.arcticpaint.com/snowballz/
Tag: game::strategy, implemented-in::python, role::program, use::gameplaying
Section: games
Priority: extra
Filename: pool/main/s/snowballz/snowballz_0.9.5.1-4_all.deb

Package: snowdrop
Version: 0.02b-10
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 193
Depends: libc6 (>= 2.7), libgnutls-openssl27
Homepage: http://lcamtuf.coredump.cx/
Priority: optional
Section: utils
Filename: pool/main/s/snowdrop/snowdrop_0.02b-10_armhf.deb
Size: 87774
SHA256: 414d749946712635e9e502a0f002a3c1087d7489beb5d9da9f42e038106b1a1c
SHA1: a44225302f48325c169fe82ec05556ff45473bf6
MD5sum: a07faf251c277d121a315375e181e70a
Description: plain text watermarking and watermark recovery
 Snowdrop provides reliable, difficult to remove stenographic watermarking of
 text documents (internal memos, draft research papers, advisories and other
 writing) and C sources (limited distribution software, licensed software,
 or freely available code) so that:
  (1) leaks can be identified if the data goes public
  (2) original source can be determined and demonstrated if part of the
      document is claimed by somebody else, copied without permission, etc
 Snowdrop uses redundant steganography using four different logical
 channels, and should be proof to many modifications, including reformatting,
 spell checking and so on.
 .
 Warning: Snowdrop is currently in beta, and may produce bad or corrupted
 results, especially when run on C source code.

Package: sntop
Version: 1.4.3-4
Architecture: armhf
Maintainer: Fredrik Steen 
Installed-Size: 66
Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5, fping
Homepage: http://sourceforge.net/projects/sntop
Priority: optional
Section: net
Filename: pool/main/s/sntop/sntop_1.4.3-4_armhf.deb
Size: 16434
SHA256: edf7fb4c87ec6bf59956c6fd169ec628a73db72f19994a8448500d1dbfdeb35d
SHA1: 0ac7b8d07717bb86a80fcc30ec2432222a9fa192
MD5sum: 3a40e225fc7361ec74d878ac35ef98d0
Description: A curses-based utility that polls hosts to determine connectivity
 Simple network top (sntop) is a curses-based console utility,
 in the spirit of top, that polls network hosts at a regular interval to
 determine their connectivity and displays the results in a pretty format.
 Advanced features, such as automatic HTML generation of results,
 secure terminal mode, execution of external file on connectivity change,
 and user/system configure files, are supported.

Package: so-synth-lv2
Version: 1.4-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 61
Depends: libc6 (>= 2.13-28)
Provides: lv2-plugin
Homepage: http://d00m.org/~someone/
Priority: extra
Section: sound
Filename: pool/main/s/so-synth-lv2/so-synth-lv2_1.4-2_armhf.deb
Size: 12098
SHA256: b30eafc83df92a915c279df23127d42ac666dbfb3176834849fe69709d9bd803
SHA1: 33f7dac9f16f712c11b2eb31d02089a8050c806b
MD5sum: 3d35dc383ff70af09097c77420748271
Description: Set of synthesizers for the LV2 plugin format
 This package is an unofficial port of a set of synthesizers
 written by 50m30n3 to the LV2 plugin format.  In order to use
 it, you need a host for LV2 plugins such as Ardour, Qtractor,
 or Ingen.  This package contains three synthesizers, a feedback
 drone synthesizer, a piano synthesizer, and a bassline synthesizer.

Package: sobby
Version: 0.4.8-1
Architecture: armhf
Maintainer: Philipp Kern 
Installed-Size: 292
Depends: libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libnet6-1.3-0 (>= 1:1.3.4-0), libobby-0.4-1, libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libxml++2.6-2 (>= 2.34.2), libxml2 (>= 2.6.27), adduser
Priority: optional
Section: net
Filename: pool/main/s/sobby/sobby_0.4.8-1_armhf.deb
Size: 109754
SHA256: 1fb15b92b89f8429e7546fcbff4e8a63a17c32ac27ee0ad69b7f1bb567f76f92
SHA1: 6a3f4192e66a473a9ac0eb0fa80ed36f8cb5d45c
MD5sum: db172f5ce5c6f09731ba704a3928b485
Description: a dedicated server for collaborative editing
 Sobby is a dedicated server which allows clients to edit plain text
 documents and source files collaboratively over a network. Changes
 to the documents are synchronised instantly to the other clients.
 .
 It serves the documents using the same protocol as Gobby.

Package: socat
Version: 1.7.1.3-1.4
Architecture: armhf
Maintainer: Chris Taylor 
Installed-Size: 798
Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), libwrap0 (>= 7.6-4~)
Homepage: http://www.dest-unreach.org/socat/
Priority: extra
Section: net
Filename: pool/main/s/socat/socat_1.7.1.3-1.4_armhf.deb
Size: 361278
SHA256: e198a288c89db9a183bd05c3be5cf1271cfc62ab599783315538a2d27aad40f3
SHA1: 3fe0384b87ea5d02222449a0c59e13667c1193c8
MD5sum: 69fc328875bb4bac102c8e97ded98213
Description: multipurpose relay for bidirectional data transfer
 Socat (for SOcket CAT) establishes two bidirectional byte streams
 and transfers data between them. Data channels may be files, pipes,
 devices (terminal or modem, etc.), or sockets (Unix, IPv4, IPv6, raw,
 UDP, TCP, SSL). It provides forking, logging and tracing, different
 modes for interprocess communication and many more options.
 .
 It can be used, for example, as a TCP relay (one-shot or daemon),
 as an external socksifier, as a shell interface to Unix sockets,
 as an IPv6 relay, as a netcat and rinetd replacement, to redirect
 TCP-oriented programs to a serial line, or to establish a relatively
 secure environment (su and chroot) for running client or server shell
 scripts inside network connections. Socat supports sctp as of 1.7.0.

Package: socket
Version: 1.1-10
Architecture: armhf
Maintainer: LENART Janos 
Installed-Size: 57
Depends: libc6 (>= 2.4)
Priority: optional
Section: net
Filename: pool/main/s/socket/socket_1.1-10_armhf.deb
Size: 15668
SHA256: e5be0d9e351bb251c9bacb701ca93bd81daa3966bbde7273d25e954de36fda7b
SHA1: 169478394d8447ca60e49e396b89373ad156fc94
MD5sum: 9ad1350507b2639f3389ad6c1c42c8df
Description: Multi purpose socket tool
 The socket program is a simple tool for socket based connections. It
 can be used to create simple daemons (in both standalone and inetd
 mode), to connect to other daemons or to redirect ports.

Package: socklog
Version: 2.1.0-8
Architecture: armhf
Maintainer: Gerrit Pape 
Installed-Size: 201
Depends: adduser, libc6 (>= 2.4)
Recommends: socklog-run, runit, ipsvd
Priority: optional
Section: admin
Filename: pool/main/s/socklog/socklog_2.1.0-8_armhf.deb
Size: 60952
SHA256: 0bd060e557550631a6c6eeefc0842657f71bbecf8bfc3eb96df1bff65411a0f1
SHA1: 93496fe15c6d8587b65acf64adec0255354138f1
MD5sum: 9d9103a02c4993c72c61dc27fecb1b25
Description: system and kernel logging services (programs)
 socklog cooperates with the runit package to create a small and secure
 replacement for syslogd.  socklog supports system logging through Unix
 domain sockets (/dev/log), UDP sockets (0.0.0.0:514), as well as TCP
 socket, with the help of runit's runsvdir, runsv, and svlogd.  socklog
 provides a different network logging concept, and also does log event
 notification.  svlogd has built in log file rotation based on file size,
 so there is no need for any cron jobs to rotate the logs.  socklog is
 small, secure, and reliable.
 .
 See http://smarden.org/socklog/ for more information.
 .
 This package contains the socklog programs.

Package: socklog-run
Source: socklog
Version: 2.1.0-8
Installed-Size: 152
Maintainer: Gerrit Pape 
Architecture: all
Provides: linux-kernel-log-daemon, system-log-daemon
Depends: runit (>= 1.8.0-2), socklog
Conflicts: linux-kernel-log-daemon, system-log-daemon
Size: 13358
SHA256: 22ec904f03433a4ff9dec03d24bee019fa9bf81a5f20389a7b88c6d990efde49
SHA1: c617c67da4e576b36d2fd10ba74199bfafb39c5e
MD5sum: c0ed6de0027aea63907819d5f526f7c7
Description: system and kernel logging services
 socklog cooperates with the runit package to create a small and secure
 replacement for syslogd.  socklog supports system logging through Unix
 domain sockets (/dev/log), UDP sockets (0.0.0.0:514), as well as TCP
 socket, with the help of runit's runsvdir, runsv, and svlogd.  socklog
 provides a different network logging concept, and also does log event
 notification.  svlogd has built in log file rotation based on file size,
 so there is no need for any cron jobs to rotate the logs.  socklog is
 small, secure, and reliable.
 .
 See http://smarden.org/socklog/ for more information.
 .
 This package sets up the socklog-unix and socklog-klog services to
 provide a system log service and kernel log service respectively.
Tag: admin::kernel, admin::logging, interface::daemon, role::app-data,
 works-with::logfile
Section: admin
Priority: optional
Filename: pool/main/s/socklog/socklog-run_2.1.0-8_all.deb

Package: socks4-clients
Source: socks4-server
Version: 4.3.beta2-18
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 275
Depends: libc6 (>= 2.7), libsocks4, libtinfo5
Priority: extra
Section: net
Filename: pool/main/s/socks4-server/socks4-clients_4.3.beta2-18_armhf.deb
Size: 95398
SHA256: 937e9b972655ae87f8cd5bc61095653535b4dbc751af7cc5dec892de3f40c3a2
SHA1: d386e64a6e9d9d5f9c8df40c490b1a1115f150b9
MD5sum: 3b812cc17b20691657c116ab07ae7c4c
Description: Socks4 enabled clients as rtelnet and rftp
 This is version 4.3 of SOCKS, a package that allows Unix hosts
 behind a firewall to gain full access to the internet without requiring
 direct IP reachability. It does require a SOCKS server program being
 run on a hosts that can communicate directly to hosts behind the firewall
 as well as hosts on the Internet at large.  It is based on the original
 SOCKS written by David Koblas .
 .
 This package includes SOCKSified client programs of finger, ftp,
 telnet, and whois. A few other SOCKSified clients may be found on
 ftp.nec.com, in directory /pub/security/socks.cstc.

Package: socks4-server
Version: 4.3.beta2-18
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 142
Depends: libc6 (>= 2.4), libident (>= 0.22-3), libsocks4
Priority: extra
Section: net
Filename: pool/main/s/socks4-server/socks4-server_4.3.beta2-18_armhf.deb
Size: 62896
SHA256: 03c8286d44be9c38da384e1c7970a35f4ee7bb58a11897a8f1fe32d62bc2797c
SHA1: a83d8c32cd12341b82cb93524913f74cd85bfe39
MD5sum: 559d9eddf53287e5c7f6ff8cd1e04bd5
Description: SOCKS4 server for proxying IP-based services over a firewall
 This is version 4.3 of SOCKS, a package that allows Unix hosts
 behind a firewall to gain full access to the internet without requiring
 direct IP reachability. It does require a SOCKS server program being
 run on a hosts that can communicate directly to hosts behind the firewall
 as well as hosts on the Internet at large.  It is based on the original
 SOCKS written by David Koblas .
 .
 This package includes the SOCKS server.

Package: sockstat
Version: 0.3-1.1
Architecture: armhf
Maintainer: William Pitcock 
Installed-Size: 51
Depends: libc6 (>= 2.7)
Homepage: http://nenolod.net/sockstat
Priority: extra
Section: admin
Filename: pool/main/s/sockstat/sockstat_0.3-1.1_armhf.deb
Size: 9426
SHA256: 8d3380c2d9c6f366094628873fa11135e30782d425a0ef2ce99c2f6134ca3610
SHA1: 294263adc91bce3591229ba40e6a01341f772314
MD5sum: 70624f9086299a232f40cb1a94eb1dd6
Description: view detailed information about open connections
 Sockstat is a tool to let you view information about open connections.
 It is similar to the tool of the same name that is included in FreeBSD,
 trying to faithfully reproduce as much functionality as is possible.
 .
 This version of sockstat contains several additional features, like
 the ability to look up sockets in use by GID, UID and process name,
 as well as the other criteria supported by FreeBSD's sockstat.

Package: socnetv
Version: 0.90-3
Architecture: armhf
Maintainer: Serafeim Zanikolas 
Installed-Size: 1203
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.6)
Suggests: doc-base
Homepage: http://socnetv.sf.net
Priority: optional
Section: math
Filename: pool/main/s/socnetv/socnetv_0.90-3_armhf.deb
Size: 527930
SHA256: c27586138f7c9958e300979bdfa5731b7824d247391e185615d14cfdc71efe84
SHA1: 16889f315696ee34b270b9ca71c37ff678dec434
MD5sum: 266fac5f4ea0e4afa72e5b941fb4acaa
Description: social network analysis and visualisation application
 SocNetV is a graphical application designed to be an easy tool for Social
 Networks Analysis and Visualisation (not to be confused with social
 networking, as in online communities). With it, one can load and visualise
 networks of various formats (GraphViz, Adjacency, Pajek, etc), and/or visually
 create and modify a network in a point and click fashion.
 .
 The program can also compute network statistics and properties (such as
 distances, centralities, diameter) and apply some layout algorithms for more
 meaningful visualisation of your networks. Furthermore, socnetv can create
 simple random networks (lattice, same degree, etc).

Package: sofa-apps
Source: sofa-framework
Version: 1.0~beta4-7
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 95
Depends: libsofa1 (= 1.0~beta4-7), sofa-data, freeglut3, libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libnewmat10ldbl, libpng12-0 (>= 1.2.13-4), libqt4-opengl (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libqwt5-qt4, libstdc++6 (>= 4.6), libtinyxml2.6.2, libx11-6, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4)
Homepage: http://www.sofa-framework.org/
Priority: optional
Section: graphics
Filename: pool/main/s/sofa-framework/sofa-apps_1.0~beta4-7_armhf.deb
Size: 22304
SHA256: 499f5d1069bbe35114e977c166c796dea4278d57084c1f1782d3820695148d45
SHA1: cedc1eade23e0c5d50dad56d83ae862b70c642a4
MD5sum: 9464d4823a14fc3a43a6a4606e983f04
Description: GUI for the Simulation Open Framework Architecture (SOFA)
 SOFA is an Open Source framework primarily targeted at
 real-time simulation, with an emphasis on medical simulation.
 It is mostly intended for the research community to help
 develop newer algorithms, but can also be used as an efficient
 prototyping tool.
 .
 This package contains the SOFA main application.

Package: sofa-data
Source: sofa-framework
Version: 1.0~beta4-7
Installed-Size: 68226
Maintainer: Debian Med Packaging Team 
Architecture: all
Size: 32418174
SHA256: dc2c99c10c717e21e5aea164af18783af7a8aa4ed902250aa681455c1a7402d1
SHA1: c3042a5f363210300c5eb5353c7831e5af615e9f
MD5sum: 9ab290feaa158e86708cc6a264aa0969
Description: Simulation Open Framework Architecture - data
 SOFA is an Open Source framework primarily targeted at
 real-time simulation, with an emphasis on medical simulation.
 It is mostly intended for the research community to help
 develop newer algorithms, but can also be used as an efficient
 prototyping tool.
 .
 This package contains data for the SOFA applications.
Homepage: http://www.sofa-framework.org/
Tag: role::app-data
Section: graphics
Priority: optional
Filename: pool/main/s/sofa-framework/sofa-data_1.0~beta4-7_all.deb

Package: sofa-tutorials
Source: sofa-framework
Version: 1.0~beta4-7
Installed-Size: 170
Maintainer: Debian Med Packaging Team 
Architecture: all
Size: 80532
SHA256: 14ff96dfb6c730d83eb4fd7708a33f0a1e846294b39c64f517a75fc66a83ca09
SHA1: e10c964186ed02dd8ee2db65e45bae674fbac0a2
MD5sum: 417ea6ac47c2467dfff7bb77b0a121c7
Description: Simulation Open Framework Architecture - tutorials
 SOFA is an Open Source framework primarily targeted at
 real-time simulation, with an emphasis on medical simulation.
 It is mostly intended for the research community to help
 develop newer algorithms, but can also be used as an efficient
 prototyping tool.
 .
 This package contains tutorials from the SOFA source.
Homepage: http://www.sofa-framework.org/
Tag: devel::doc, devel::examples, role::documentation
Section: graphics
Priority: optional
Filename: pool/main/s/sofa-framework/sofa-tutorials_1.0~beta4-7_all.deb

Package: sofia-sip-bin
Source: sofia-sip
Version: 1.12.11+20110422-1
Architecture: armhf
Maintainer: Ron Lee 
Installed-Size: 323
Depends: libsofia-sip-ua0 (>= 1.12.11+20110422-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libssl1.0.0 (>= 1.0.0)
Suggests: sofia-sip-doc
Homepage: http://sofia-sip.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/s/sofia-sip/sofia-sip-bin_1.12.11+20110422-1_armhf.deb
Size: 137060
SHA256: 9a96c306208dfe968606b574250a9062dce89d2ecef85bf2b0ec593f84409150
SHA1: ec80d159f0264187026994a1b8224005b8358f9b
MD5sum: 8bf55d843dbcaba443ddc4a2d1cf84e8
Description: Sofia-SIP library utilities
 Sofia-SIP is an open-source SIP User-Agent library, compliant
 with the IETF RFC3261 specification. It can be used as
 a building block for SIP client software for uses such as VoIP,
 IM, and many other real-time and person-to-person communication
 services.
 .
 This package provides a set of console tools and helper
 applications for use in scripts, testing and other uses. All
 the tools utilize the Sofia-SIP library.

Package: sofia-sip-doc
Source: sofia-sip
Version: 1.12.11+20110422-1
Installed-Size: 56644
Maintainer: Ron Lee 
Architecture: all
Size: 12248870
SHA256: 94e5572b70dd994b7f4649144712e0c1e1acef8fc7eba01e39761aba893e85c9
SHA1: e62f83d8ea6ff183e27d47d9fb8896b725fc48a8
MD5sum: 4870fb757f87127e2eccd519ce199990
Description: Sofia-SIP library library documentation
 Sofia-SIP is an open-source SIP User-Agent library, compliant
 with the IETF RFC3261 specification. It can be used as
 a building block for SIP client software for uses such as VoIP,
 IM, and many other real-time and person-to-person communication
 services.
 .
 This package provides the library reference documentation.
Homepage: http://sofia-sip.sourceforge.net/
Tag: devel::doc, made-of::html, protocol::voip, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/sofia-sip/sofia-sip-doc_1.12.11+20110422-1_all.deb

Package: softflowd
Version: 0.9.9-1
Architecture: armhf
Maintainer: Christoph Biedl 
Installed-Size: 144
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcap0.8 (>= 0.9.8)
Homepage: http://code.google.com/p/softflowd/
Priority: extra
Section: net
Filename: pool/main/s/softflowd/softflowd_0.9.9-1_armhf.deb
Size: 44710
SHA256: 37511aaa1540735ee9331600fe566d1964238132423e711ddad7f59b1428c1f0
SHA1: b4295a605ad90f3519e56fcedcb7ae12075ec752
MD5sum: 4051512e7744b984d0a24702f7dcb04c
Description: Flow-based network traffic analyser
 Softflowd is a flow-based network traffic anaylser capable of Cisco
 Netflow data export. Softflowd semi-statefully tracks traffic
 flows recorded by listening on a network interface or by reading a
 packet capture file. These flows may be reported via NetFlow to a
 collecting host or summarised within softflowd itself.

Package: softgun
Version: 0.16-2.1
Architecture: armhf
Maintainer: Murat Demirten 
Installed-Size: 875
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4)
Homepage: http://softgun.sourceforge.net/
Priority: optional
Section: misc
Filename: pool/main/s/softgun/softgun_0.16-2.1_armhf.deb
Size: 376294
SHA256: 07413b2dbfe469dd6585c339ee8479b23ca41708e073bcf6f561bdbe1b82023d
SHA1: ab21556973ff8c1cf3eec4cbcc50280ba3cc50f7
MD5sum: 7f1ab9b0466983831f56d41cad9c65a3
Description: ARM system emulator
 Softgun is an ARM system emulator intended to emulate complete
 embedded Systems. Currently three boards with Netsilicon NS9750
 or NS9360 and Freescale i.MX21 and some additional components
 on PCI and I2C bus are supported. Features include ARM926-ejs
 32Bit instruction set with DSP extensions, little-endian and
 big-endian CPU modes, MMU with 3-entry TLB, serial ports and
 ethernet controller.

Package: softhsm
Version: 1.3.3-2+deb7u1
Architecture: armhf
Maintainer: Ondřej Surý 
Installed-Size: 93
Depends: libbotan-1.10-0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), softhsm-common, libsofthsm
Homepage: http://trac.opendnssec.org/wiki/SoftHSM
Priority: extra
Section: admin
Filename: pool/main/s/softhsm/softhsm_1.3.3-2+deb7u1_armhf.deb
Size: 44692
SHA256: 72efd4086765c0ec05071c298b939acc7c788fc28951f3f05453e4dae81f2964
SHA1: 0c8e708ac84c5247d16649c0a3787b01311efcfd
MD5sum: f9bd3b35aee68d867f98ba21ab4ba659
Description: a cryptographic store accessible through a PKCS #11
 OpenDNSSEC is a complete DNSSEC zone signing system which is very
 easy to use with stability and security in mind.  There are a lot of
 details in signing zone files with DNSSEC and OpenDNSSEC covers most
 of it.
 .
 SoftHSM is a software implementation of a generic cryptographic
 device with a PKCS #11 Cryptographic Token Interface.
 .
 This package contains command line tools.

Package: softhsm-common
Source: softhsm
Version: 1.3.3-2+deb7u1
Architecture: armhf
Maintainer: Ondřej Surý 
Installed-Size: 16
Depends: ucf
Conflicts: softhsm (<< 1.1.4-2)
Replaces: softhsm (<< 1.1.4-2)
Homepage: http://trac.opendnssec.org/wiki/SoftHSM
Priority: extra
Section: admin
Filename: pool/main/s/softhsm/softhsm-common_1.3.3-2+deb7u1_armhf.deb
Size: 13222
SHA256: 47c7038933c5fa2d74ef0e8aa18760d5fd892663d4635684f6188db4f40f808a
SHA1: 93e97fcb2086ed4a2a6a4a52aa994a989e0623a5
MD5sum: f410309f4c3b6312b0ebb6d4bb28b426
Description: a cryptographic store accessible through a PKCS #11
 OpenDNSSEC is a complete DNSSEC zone signing system which is very
 easy to use with stability and security in mind.  There are a lot of
 details in signing zone files with DNSSEC and OpenDNSSEC covers most
 of it.
 .
 SoftHSM is a software implementation of a generic cryptographic
 device with a PKCS #11 Cryptographic Token Interface.
 .
 This package contains command line tools.

Package: softhsm-dbg
Source: softhsm
Version: 1.3.3-2+deb7u1
Architecture: armhf
Maintainer: Ondřej Surý 
Installed-Size: 1392
Depends: softhsm-common, libsofthsm
Recommends: gdb
Homepage: http://trac.opendnssec.org/wiki/SoftHSM
Priority: extra
Section: debug
Filename: pool/main/s/softhsm/softhsm-dbg_1.3.3-2+deb7u1_armhf.deb
Size: 541170
SHA256: 683445d388c5a4853f7391469a3a3592f9b3b3fe9ae19e3e8662fe981a21d0d5
SHA1: 4007ba483da3d9298433e67d747616d435b958c8
MD5sum: 69dcfcee5863fe925ed444ae2b5f80ec
Description: Debug symbols for SoftHSM
 OpenDNSSEC is a complete DNSSEC zone signing system which is very
 easy to use with stability and security in mind.  There are a lot of
 details in signing zone files with DNSSEC and OpenDNSSEC covers most
 of it.
 .
 SoftHSM is a software implementation of a generic cryptographic
 device with a PKCS #11 Cryptographic Token Interface.
 .
 This package contains debugging symbols for SoftHSM needed for
 properly debugging errors in SoftHSM with gdb.

Package: software-center
Version: 5.1.2debian3.1
Installed-Size: 6060
Maintainer: Julian Andres Klode 
Architecture: all
Replaces: gnome-app-install
Provides: gnome-app-install
Depends: python (>= 2.7), app-install-data (>= 0.4.0), aptdaemon (>= 0.40), humanity-icon-theme | gnome-icon-theme, gir1.2-glib-2.0 (>= 1.31), gir1.2-gtk-3.0, gir1.2-gmenu-3.0 (>= 3.1.5), gir1.2-webkit-3.0, python-gobject (>= 3.0), python-gobject-cairo, lsb-release, python-xapian, python-apt (>= 0.7.93.1), python-aptdaemon (>= 0.40), python-aptdaemon.gtk3widgets, python-dbus, python-defer, policykit-1, policykit-1-gnome | policykit-1-kde, python-xdg, python-lazr.restfulclient, synaptic
Recommends: gir1.2-launchpad-integration-3.0, apt-xapian-index (>= 0.38ubuntu1), update-notifier, software-properties-gtk, sessioninstaller, zeitgeist-core, lzma
Conflicts: gnome-app-install (<< 1), oneconf (<< 0.2.6.1)
Size: 1105288
SHA256: 4ae632d3d412e86037131e1102865f2fd5cd5c5d280cec601c18d2e43958fa2d
SHA1: 58c08b045321de3adf7f216272cea30abd4c61d0
MD5sum: ca72b3bce729d419456898c728888d34
Description: Utility for browsing, installing, and removing software
 The Software Center lets you browse and install thousands of
 free applications available for your System. You can view available
 software by category, or search quickly by name or description.
 You can also examine the software already installed, and remove items
 you no longer need.
 .
 To install or remove software using USC, you need administrator access
 on the computer.
Homepage: https://launchpad.net/software-center
Tag: admin::package-management, implemented-in::python, interface::x11,
 role::program, scope::utility, uitoolkit::gtk,
 works-with::software:package, x11::application
Section: gnome
Priority: optional
Filename: pool/main/s/software-center/software-center_5.1.2debian3.1_all.deb

Package: software-properties-common
Source: software-properties
Version: 0.82.7.1debian1
Installed-Size: 46
Maintainer: Julian Andres Klode 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-gi, gir1.2-glib-2.0, python-dbus
Size: 28932
SHA256: 7456c88cf84e51675da604bc05d63768177d5089a3edaef02c145feef09eaeaf
SHA1: 558c237f96e8701daa4cc3e1eba983f964e52bd8
MD5sum: f577c0c694c7de5649f2d520227a8197
Description: manage the repositories that you install software from (common)
 This software provides an abstraction of the used apt repositories.
 It allows you to easily manage your distribution and independent software
 vendor software sources.
 .
 This package contains the common files for software-properties like the
 D-Bus backend.
Section: admin
Priority: optional
Filename: pool/main/s/software-properties/software-properties-common_0.82.7.1debian1_all.deb

Package: software-properties-gtk
Source: software-properties
Version: 0.82.7.1debian1
Installed-Size: 221
Maintainer: Julian Andres Klode 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-software-properties, python-gi, gir1.2-gtk-3.0, python-aptdaemon.gtk3widgets, software-properties-common
Size: 58120
SHA256: 628c16c928a6afdb4b20c00a2d836448eabdd1f7d8dabbe135d89f07d9fc1ae9
SHA1: 642b265b21aa81abcebb9406163474ecd1dd70bb
MD5sum: 5ba03ffc491847c5eb07dc010decf94e
Description: manage the repositories that you install software from (gtk)
 This software provides an abstraction of the used apt repositories.
 It allows you to easily manage your distribution and independent software
 vendor software sources.
 .
 This package contains a GTK+ based graphical interface.
Tag: admin::configuring, admin::package-management, implemented-in::python,
 interface::x11, role::program, scope::application, uitoolkit::gtk,
 use::configuring, x11::application
Section: admin
Priority: optional
Filename: pool/main/s/software-properties/software-properties-gtk_0.82.7.1debian1_all.deb

Package: software-properties-kde
Source: software-properties
Version: 0.82.7.1debian1
Installed-Size: 118
Maintainer: Julian Andres Klode 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-qt4, python-kde4, python-software-properties, qapt-batch
Size: 46526
SHA256: f1fbf73ad86855dca8302b7d7d2e7628616245fd278e384dfdb44da8e7cd2e28
SHA1: a29f3fafd6ffb40523200d120c10210c22a07ba7
MD5sum: 0e56a1f0bc0aedd58298aca79b16bdc9
Description: manage the repositories that you install software from (kde)
 This software provides an abstraction of the used apt repositories.
 It allows you to easily manage your distribution and independent software
 vendor software sources.
 .
 This package contains a Qt/KDE based graphical interface.
Tag: admin::package-management, interface::x11, role::program, uitoolkit::qt,
 x11::application
Section: admin
Priority: optional
Filename: pool/main/s/software-properties/software-properties-kde_0.82.7.1debian1_all.deb

Package: sogo
Version: 1.3.16-1
Architecture: armhf
Maintainer: Jeroen Dekkers 
Installed-Size: 3574
Depends: gnustep-base-runtime (>= 1.22.1), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnutls26 (>= 2.12.17-0), libmemcached10, libobjc3 (>= 4.6), libsbjson2.3, libsope1, tmpreaper, sogo-common (= 1.3.16-1), adduser, zip
Suggests: postgresql | mysql-server
Homepage: http://www.sogo.nu/
Priority: optional
Section: mail
Filename: pool/main/s/sogo/sogo_1.3.16-1_armhf.deb
Size: 1292250
SHA256: ccb37bd959236a809f1928e412620f1d935f5f7bd1443eaf63ef1e5958eb2cb5
SHA1: 35237590c7af3855d2e1f00f50d25fe42c04913c
MD5sum: 1172475442a035aaa2e28a1c2d047c86
Description: Scalable groupware server
 SOGo is a groupware server built around OpenGroupware.org (OGo) and
 the SOPE application server with focus on scalability. It has many
 feature enhancements:
 .
  * CalDAV and GroupDAV compliance
  * full handling of vCard as well as vCalendar/iCalendar formats
  * support for folder sharing and ACLs
 .
 The Web interface has been rewritten in an AJAX fashion to provide a faster
 UI for the users, consistency in look and feel with the Mozilla applications,
 and to reduce the load of the transactions on the server.
 .
 This package contains the SOGo daemon.

Package: sogo-common
Source: sogo
Version: 1.3.16-1
Installed-Size: 5136
Maintainer: Jeroen Dekkers 
Architecture: all
Depends: ckeditor, libjs-prototype, libjs-jquery, libjs-jquery-ui
Suggests: httpd
Size: 1547128
SHA256: 99e5ff238efcdcc8ba54afedd51a5d6a61a1a7930c5158cb1831450eca6cc532
SHA1: 50f804ab64650cfb094210e9566e4b7df194eff2
MD5sum: 85fe28245de38d267933af3f4a781684
Description: Scalable groupware server - common files
 SOGo is a groupware server built around OpenGroupware.org (OGo) and
 the SOPE application server with focus on scalability.
 .
 This package contains the architecture-indepedent files, including
 all the files that are needed on the web proxy.
Homepage: http://www.sogo.nu/
Section: mail
Priority: optional
Filename: pool/main/s/sogo/sogo-common_1.3.16-1_all.deb

Package: sogo-dbg
Source: sogo
Version: 1.3.16-1
Architecture: armhf
Maintainer: Jeroen Dekkers 
Installed-Size: 3008
Depends: sogo (= 1.3.16-1)
Homepage: http://www.sogo.nu/
Priority: extra
Section: debug
Filename: pool/main/s/sogo/sogo-dbg_1.3.16-1_armhf.deb
Size: 1915424
SHA256: 669273481f94f4558c2cc0eabb26314e5ba2aae4629ae480c58e3d5c090f2b5e
SHA1: 9aabd934a52e404d7e63dbb90ea77f0976764c7a
MD5sum: d2079597eaac25e123ced66f79cba80c
Description: Scalable groupware server - debugging symbols
 SOGo is a groupware server built around OpenGroupware.org (OGo) and
 the SOPE application server with focus on scalability.
 .
 This package contains the debugging symbols for SOGo.

Package: solarpowerlog
Version: 0.23a-2
Architecture: armhf
Maintainer: Tobias Frost 
Installed-Size: 609
Depends: libboost-program-options1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libconfig++9, libgcc1 (>= 1:4.4.0), liblog4cxx10, libstdc++6 (>= 4.6), lsb-base (>= 3.2-14)
Homepage: http://solarpowerlog.coldtobi.de
Priority: optional
Section: utils
Filename: pool/main/s/solarpowerlog/solarpowerlog_0.23a-2_armhf.deb
Size: 242628
SHA256: 002a1ee717698e7d86a0544e0d0acd6574827fd9592be8846c077d14450106c4
SHA1: 339005ab5822eabb08092917997cb0ee75c07fac
MD5sum: 893036f55474884bc8927ce9b5aa280c
Description: photovoltaic data logging
 The program's purpose is to track and log data from photovoltaic inverters,
 collect power data and store them. Also a purpose is to provide an interface
 to extract these data, allowing applications like web site stats of the system.
 .
 Solarpowerlog supports at the moment Solarmax inverters, connected via ethernet
 or serial interface, however it is programmed in a way to easy add support for
 other inverters as well.
 .
 The program supports logging to the console, logging to CVS and writing HTML
 files to be serverd by a web server.

Package: solarwolf
Version: 1.5-2
Installed-Size: 2984
Maintainer: Josselin Mouette 
Architecture: all
Depends: python-pygame (>= 1.5.6), python, python-support (>= 0.2)
Size: 2010986
SHA256: 4b6f8921bfeb9f24cd355fed471f5dae8f5038f540119615ad28622f9f2ba294
SHA1: 9f117a04afb60b64e3ae8b6fa5f4e5923965e4c0
MD5sum: 513eb8cffe3a1451cc82410ce9031172
Description: Collect the boxes and don't become mad
 Solarwolf is an action/arcade game written entirely in Python,
 featuring amazing graphics and cool music.
 It is is originally based on the SolarFox game on the Atari 2600.
 .
 All this, yet the best feature of all is; it is a hecka lotta fun!
 The point of the game is to scramble through 48 levels of patterns,
 collecting all the boxes. The part that makes it tricky is avoiding
 the relentless hailstorm of fire coming at you from all directions.
 .
 URL: http://www.pygame.org/shredwheat/solarwolf/
Tag: game::arcade, implemented-in::python, interface::x11, role::program,
 uitoolkit::sdl, use::gameplaying, x11::application
Section: games
Priority: optional
Filename: pool/main/s/solarwolf/solarwolf_1.5-2_all.deb

Package: solfege
Version: 3.20.6-1
Installed-Size: 8693
Maintainer: Tom Cato Amundsen 
Architecture: all
Depends: python (>= 2.6.6-3~), python-gtk2 (>= 2.12), timidity, freepats
Recommends: csound, python-pyalsa
Size: 1943244
SHA256: e5a3048e10eab27ddb65347a9cf6b87a423e13c468f8c82d88637356829c9120
SHA1: a2809d920eebf01fc0f02fa6079d964b16d7005c
MD5sum: 0cf959b7b2157a9abba4418505ec8c9c
Description: Ear training software
 GNU Solfege is an ear training program for X Window written in Python,
 using the GTK+ 2.0 libraries. You can practice harmonic and
 melodic intervals, chords, scales and rhythms, and you can add new
 exercises using a simple plain text file format.
 .
 Ear training is a big subject with many connections to music theory
 and performance of music, so I won't even try to make "a complete
 computer-based ear training course". But I hope someone find this
 software useful.
Homepage: http://www.solfege.org
Tag: field::arts, implemented-in::python, interface::x11, role::program,
 suite::gnu, uitoolkit::gtk, use::learning, x11::application
Section: gnome
Priority: optional
Filename: pool/main/s/solfege/solfege_3.20.6-1_all.deb

Package: solfege-doc
Source: solfege
Version: 3.20.6-1
Installed-Size: 6999
Maintainer: Tom Cato Amundsen 
Architecture: all
Size: 3430658
SHA256: e70c09c3da94a61f9d8faff0b99c5c3e332fb4b94550179e1d44ea403240755d
SHA1: 99a474f6384b664d9c9e82db177b9ff73bf3ac33
MD5sum: 2796c15f870e94f927649381a40e0035
Description: documentation for GNU Solfege
 Users manual for GNU Solfege. Both the english manual and all translations.
Homepage: http://www.solfege.org
Tag: made-of::html, role::documentation
Section: doc
Priority: extra
Filename: pool/main/s/solfege/solfege-doc_3.20.6-1_all.deb

Package: solfege-oss
Source: solfege
Version: 3.20.6-1
Architecture: armhf
Maintainer: Tom Cato Amundsen 
Installed-Size: 153
Depends: solfege, libc6 (>= 2.13-28)
Homepage: http://www.solfege.org
Priority: optional
Section: gnome
Filename: pool/main/s/solfege/solfege-oss_3.20.6-1_armhf.deb
Size: 110544
SHA256: de75b41f414620ff14928036287d37d32c374cb775c69712fd0d8da66f3a5da7
SHA1: 4197fce094f04c8b08da75b378fd91efbaa61ce8
MD5sum: 40acfa1aa2f384128b0cbc38b85a38ec
Description: OSS module for GNU Solfege
 This package contains the Python module GNU Solfege needs to access
 the sequencer device of OSS.

Package: solid-pop3d
Version: 0.15-26
Architecture: armhf
Maintainer: Robert Luberda 
Installed-Size: 195
Depends: adduser, libpam-runtime (>= 0.76-14), lsb-base (>= 3.0-6), netbase, update-inetd, debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.7), liblockfile1 (>= 1.0), libpam0g (>= 0.99.7.1)
Recommends: exim4 | mail-transport-agent
Suggests: openbsd-inetd | inet-superserver
Conflicts: pop3-server
Provides: pop3-server
Priority: extra
Section: mail
Filename: pool/main/s/solid-pop3d/solid-pop3d_0.15-26_armhf.deb
Size: 63272
SHA256: b48a9315ecc23c6c5d8e135663a81d5b4ba4a9aad69a707c31adfe5ee4f22d17
SHA1: a5f6aa33095fcfe0d5923b4eeb8580729cbe38e5
MD5sum: e113f91ebcbb419ceba7a879b06607de
Description: POP3 server supporting Maildir, PAM, vhosting
 The Solid POP3 Server is an implementation of a Post Office Protocol version 3
 server that has flexibility as its main goal. The server is easily
 configurable and has support for features such as:
  - APOP authentication scheme
  - virtual hosting
  - maildir and mailbox handling
  - bulletins
  - expiration of messages

Package: solr-common
Source: lucene-solr
Version: 3.6.0+dfsg-1+deb7u4
Installed-Size: 709
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: curl, debconf (>= 1.5), default-jre-headless | java5-runtime-headless | java6-runtime-headless, libjs-jquery, libsolr-java (= 3.6.0+dfsg-1+deb7u4), procps
Suggests: libmysql-java
Size: 172130
SHA256: af461a8b835b38f657771579f9a947c5d7def65b8e9f73988e985d394f826be4
SHA1: 95b0dfc703e4c334ad72a618c7dbaeb86635fbcd
MD5sum: 188e4bc45029cdcc7e7bb80bd414dbd8
Description: Enterprise search server based on Lucene3 - common files
 Solr is an open source enterprise search server based on the Lucene
 Java search library, with XML/HTTP and JSON APIs, hit highlighting,
 faceted search, caching, replication, and a web administration
 interface. It runs in a Java servlet container such as Tomcat.
 .
 This package provides the common files for Solr. Install
 solr-tomcat or solr-jetty to use Solr under Tomcat or Jetty.
 .
 This package also contains the dataimporthandler contrib while omiting
 dataimporthandler-extras, clustering, extraction and velocity due to missing
 dependencies.
 .
 libmysql-java is necessary to connect the dataimporthandler to MySQL.
Homepage: http://lucene.apache.org
Recommends: solr-tomcat (= 3.6.0+dfsg-1+deb7u4) | solr-jetty (= 3.6.0+dfsg-1+deb7u4)
Section: web
Priority: optional
Filename: pool/main/l/lucene-solr/solr-common_3.6.0+dfsg-1+deb7u4_all.deb

Package: solr-jetty
Source: lucene-solr
Version: 3.6.0+dfsg-1+deb7u4
Installed-Size: 70
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: jetty (>= 6.1.22), libjetty-extra-java (>= 6.1.22), solr-common (= 3.6.0+dfsg-1+deb7u4)
Conflicts: solr-tomcat, solr-tomcat6
Size: 8130
SHA256: f02f60da55ef4b3756b06cad7e367e7be0bae23ef26a1af8049dabbffcb2bf70
SHA1: 506d3d23a984bfea5819e9c1003c7babc82e42b5
MD5sum: dad321f66812af732d79bb28db726dca
Description: Enterprise search server based on Lucene3 - Jetty integration
 Solr is an open source enterprise search server based on the Lucene
 Java search library, with XML/HTTP and JSON APIs, hit highlighting,
 faceted search, caching, replication, and a web administration
 interface. It runs in a Java servlet container such as Tomcat.
 .
 This package provides the Jetty integration files for Solr.
Homepage: http://lucene.apache.org
Section: java
Priority: optional
Filename: pool/main/l/lucene-solr/solr-jetty_3.6.0+dfsg-1+deb7u4_all.deb

Package: solr-tomcat
Source: lucene-solr
Version: 3.6.0+dfsg-1+deb7u4
Installed-Size: 64
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: solr-common (= 3.6.0+dfsg-1+deb7u4), tomcat6
Conflicts: solr-jetty, solr-tomcat6
Size: 8554
SHA256: d9a8e029a5d39ccc48861e2a7463ca7b428c5b958da84d35e6b09e8d98d34e28
SHA1: 845c1b7ab9a0caa8a2ed013fe4f4a792e85a241a
MD5sum: da85e06ab5e66719238ba45131b780ca
Description: Enterprise search server based on Lucene3 - Tomcat integration
 Solr is an open source enterprise search server based on the Lucene
 Java search library, with XML/HTTP and JSON APIs, hit highlighting,
 faceted search, caching, replication, and a web administration
 interface. It runs in a Java servlet container such as Tomcat.
 .
 This package provides the Tomcat integration files for Solr.
Homepage: http://lucene.apache.org
Section: java
Priority: optional
Filename: pool/main/l/lucene-solr/solr-tomcat_3.6.0+dfsg-1+deb7u4_all.deb

Package: sonata
Version: 1.6.2.1-5
Installed-Size: 1385
Maintainer: Python Applications Packaging Team 
Architecture: all
Provides: mpd-client
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-gtk2 (>= 2.10), python-dbus, python-mpd
Recommends: python-tagpy, python-eggtrayicon
Suggests: python-mmkeys
Size: 370978
SHA256: 035615bb732ee4932e0a25a153c27bc303f8d857592f6630e407579835cf7894
SHA1: bc0674268338b95263c917cd36c42f6cfb46a9d1
MD5sum: 26be319898feeed0bc8fac877728fdab
Description: GTK+ client for the Music Player Daemon (MPD)
 Sonata is a lightweight GTK+ music client for the Music Player Daemon (MPD).
 It aims to be efficient (no toolbar, main menu, or statusbar),
 user-friendly, and clean.
Homepage: http://sonata.berlios.de/
Tag: implemented-in::python, interface::x11, network::client, role::program,
 scope::application, sound::player, uitoolkit::gtk, use::playing,
 works-with::audio, x11::application
Section: sound
Priority: optional
Filename: pool/main/s/sonata/sonata_1.6.2.1-5_all.deb

Package: songwrite
Version: 0.14-9
Installed-Size: 934
Maintainer: Debian QA Group 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-editobj (>= 0.5.6-1), python-tk (>= 2.3), lilypond (>= 2.4), evince | postscript-viewer, timidity | playmidi | pmidi
Size: 546966
SHA256: a2dce8c9dbeda99e8833351ea9cc6c44df8b76e6b99af00ca02de060b2867f51
SHA1: ea055d7906c847721fe85b87c0d08c6646479c78
MD5sum: 1a4d76d9cf09a2f68f2da67485a451f4
Description: guitar tablature editor and player
 Songwrite is a guitar tablature (fingering notation) editor and player,
 quite similar to TablEdit. In addition to tablatures, it also supports
 staff, lyrics and drums.
 .
 Printing support and playback are available through external programs.
 .
 Songwrite was formerly know as GTablature.
Homepage: http://home.gna.org/oomadness/en/songwrite/
Tag: field::arts, implemented-in::python, interface::x11, role::program,
 scope::application, uitoolkit::tk, use::editing,
 works-with::music-notation, x11::application
Section: sound
Priority: optional
Filename: pool/main/s/songwrite/songwrite_0.14-9_all.deb

Package: sonic
Version: 0.1.17-1.1
Architecture: armhf
Maintainer: Bill Cox 
Installed-Size: 50
Depends: libsonic0 (= 0.1.17-1.1), libc6 (>= 2.13-28), libsndfile1 (>= 1.0.20)
Multi-Arch: foreign
Homepage: http://dev.vinux-project.org/sonic
Priority: extra
Section: sound
Filename: pool/main/s/sonic/sonic_0.1.17-1.1_armhf.deb
Size: 11148
SHA256: 4ce598d275a83897f4f778c23e26b1c1e37c5a9af416ee0f542a4e83e302c4f0
SHA1: b664f7643820ae27ed8fb6e8a61928f91c87002e
MD5sum: c76a7d4df451c79436c8af660ff2a008
Description: Simple utility to speed up or slow down speech
 Sonic is a very simple utility that reads and writes wav files,
 and speeds them up or slows them down, with low distortion.
 The key new feature in Sonic versus other libraries is very
 high quality at speed up factors well over 2X.

Package: sooperlooper
Version: 1.6.18~dfsg0-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 1327
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblo7 (>= 0.26~repack), libncurses5 (>= 5.5-5~), librubberband2, libsamplerate0 (>= 0.1.7), libsigc++-1.2-5c2, libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.6), libtinfo5, libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libxml2 (>= 2.7.4)
Homepage: http://www.essej.net/sooperlooper/
Priority: optional
Section: sound
Filename: pool/main/s/sooperlooper/sooperlooper_1.6.18~dfsg0-1_armhf.deb
Size: 498188
SHA256: dd38e68fd65695816b27be2ace7671aeb3f06dfae80ef127b67681b6a136d86d
SHA1: fa8532decd537ae380d756b30fc14f67fa9684fb
MD5sum: a7f5e85496013d8d3fffcd067f085e52
Description: Looping Sampler
 SooperLooper is a live looping sampler capable of immediate loop recording,
 overdubbing, multiplying, reversing and more. It allows for multiple
 simultaneous multi-channel loops limited only by your computer's available
 memory.
 .
 The application is a standalone JACK client with an engine controllable via
 OSC and MIDI. It also includes a GUI which communicates with the engine via
 OSC (even over a network) for user-friendly control on a desktop.

Package: soprano-daemon
Source: soprano
Version: 2.7.6+dfsg.1-2wheezy1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 543
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libiodbc2 (>= 3.52.7), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libraptor2-0 (>= 2.0.8), librdf0 (>= 1.0.15), libstdc++6 (>= 4.4.0)
Recommends: libsoprano4 (= 2.7.6+dfsg.1-2wheezy1)
Suggests: virtuoso-minimal
Breaks: libsoprano-dev (<< 2.3.0+dfsg.1-1), libsoprano4 (<< 2.3.0+dfsg.1-1)
Replaces: libsoprano-dev (<< 2.3.0+dfsg.1-1), libsoprano4 (<< 2.3.0+dfsg.1-1)
Homepage: http://soprano.sourceforge.net
Priority: optional
Section: utils
Filename: pool/main/s/soprano/soprano-daemon_2.7.6+dfsg.1-2wheezy1_armhf.deb
Size: 146136
SHA256: 2712d3508e62882eb05f873dfe692590311ca62b81e3250ab87bb85bafd606c1
SHA1: 557b3e92a531f16b1e0d66111e37bb4e230a1541
MD5sum: 1d5412d061a1657e812512e9b6bac101
Description: daemon for the Soprano RDF framework
 Soprano is a pluggable RDF storage, parsing, and serialization framework based
 on Qt 4. Soprano is targeted at desktop applications that need to store RDF
 data. Its API has been optimized for simplicity and ease of use, while its
 modular structure allows it to use various different RDF storage
 implementations as its backend.
 .
 This package contains the Soprano daemon, D-Bus service, parser plugins, and a
 storage plugin for the Redland RDF Application Framework. This package is not
 intended to be neither directly installed nor used by end users. It will be
 installed automatically whenever needed.

Package: sopwith
Version: 1.7.4-6
Architecture: armhf
Maintainer: Kenneth J. Pronovici 
Installed-Size: 147
Depends: libc6 (>= 2.13-28), libsdl1.2debian (>= 1.2.11)
Homepage: http://sdl-sopwith.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/s/sopwith/sopwith_1.7.4-6_armhf.deb
Size: 60426
SHA256: d934f2abe5a43b169153531feba72f15ca3efd5dbd4bec8df8b8d712387c24ae
SHA1: 512d87306f92c892373eb69384abe28e02c46539
MD5sum: 3276e9bb1e14df1217757f2d447d0d57
Description: port of the 1980's side-scrolling WWI dogfighting game
 This is an updated version of the 1980's game developed by David L. Clark of
 BMB Compuscience Canada.  It has been adapted to run under *nix using the SDL
 toolkit. Poor graphics, stupid AI, and horrible sound await you. The gameplay
 is simple, addictive, and fun. A `side-scroller', you pilot your biplane
 across a static field, destorying enemy encampments and planes.

Package: sord-dbg
Source: sord
Version: 0.8.0~dfsg0-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 69
Depends: libsord-0-0 (= 0.8.0~dfsg0-1)
Multi-Arch: same
Homepage: http://drobilla.net/software/sord/
Priority: extra
Section: debug
Filename: pool/main/s/sord/sord-dbg_0.8.0~dfsg0-1_armhf.deb
Size: 21396
SHA256: aa7e7e8b41ce84a3f6c2163dad3b07cfd699ff17e1648a56552fd6fc4ef93954
SHA1: 77f7b98ecea132e7523b58cec9cc99e1fc2bf894
MD5sum: d3676430d52f0d05911d5b3d30eccad0
Description: library for storing RDF data in memory (debugging symbols)
 Sord is a lightweight C library for storing Resource
 Description Framework (RDF) data in memory.
 .
 This package provides the debugging symbols for sord.

Package: sordi
Source: sord
Version: 0.8.0~dfsg0-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 64
Depends: libc6 (>= 2.13-28), libserd-0-0 (>= 0.14.0~dfsg0), libsord-0-0 (>= 0.8.0~dfsg0)
Homepage: http://drobilla.net/software/sord/
Priority: optional
Section: text
Filename: pool/main/s/sord/sordi_0.8.0~dfsg0-1_armhf.deb
Size: 11676
SHA256: 79576dd7dea70020e1b885ee2a8d84335ad8117b43994eed8eadf415286768b6
SHA1: 33b6197291bcdb71d17eb99cab67c95032425ec6
MD5sum: 70b219f941eb04560fb55c2e7052f546
Description: library for storing RDF data in memory - sordi tool
 Sord is a lightweight C library for storing Resource
 Description Framework (RDF) data in memory.
 .
 This package provides the utility 'sordi'.

Package: sork-forwards-h3
Version: 3.1-1
Installed-Size: 2572
Maintainer: Horde Maintainers 
Architecture: all
Depends: horde3
Recommends: imp4
Size: 853142
SHA256: c929308a22a0f3944ecfaf8a4fb0a039a1ef587decfc11bcdd753b5648309dc7
SHA1: 78ff54016e447f6bca9b5524e4da49c27bb2d0e1
MD5sum: 92e70a6caddbb4dd89ce80ba3158f3e9
Description: autoforward module for Horde Framework
 Forwards is the Horde module for setting user e-mail forwards
 via the forward mechanism supported by several popular mailers.
 .
 Forwards provides fairly complete support for setting .forward
 style forwards on Sendmail, Courier, or Qmail mail based systems
 via an FTP transport. It also has drivers for Mdaemon, Exim SQL,
 Exim LDAP, Custom SQL, and SOAP based systems.
Homepage: http://www.horde.org/forwards/
Tag: devel::lang:php, implemented-in::php, interface::web, mail::filters,
 protocol::ftp, protocol::ldap, protocol::soap, role::program,
 web::application, works-with::db, works-with::mail
Section: web
Priority: optional
Filename: pool/main/s/sork-forwards-h3/sork-forwards-h3_3.1-1_all.deb

Package: sork-passwd-h3
Version: 3.1.2-1
Installed-Size: 4464
Maintainer: Debian Horde Maintainers 
Architecture: all
Depends: horde3, debianutils (>= 1.6)
Suggests: expect | poppassd | samba-common | ldap-server | exim4-daemon-heavy
Size: 1513470
SHA256: 48b85bab38865f51902d480b2e7fbab4aa1e066f71fb7425564f1501175bf9bb
SHA1: 48c867860f1bc8ed45c0e0e904575204f0ec5363
MD5sum: 654b31d9b2f5c6bb40c4477dc7a1fd55
Description: Horde3 module for users to change their password
 The Passwd module is a Horde3 module that allows the user to change
 their own password. It supports various password changing backends
 including poppassd server, smbpasswd program, expect script, exim
 sql, and ldap server (for courier+ldap installations).
Homepage: http://www.horde.org/forwards/
Tag: devel::lang:php, implemented-in::php, interface::web, protocol::ldap,
 protocol::smb, role::program, web::application
Section: web
Priority: optional
Filename: pool/main/s/sork-passwd-h3/sork-passwd-h3_3.1.2-1_all.deb

Package: sork-vacation-h3
Version: 3.1-1
Installed-Size: 3116
Maintainer: Horde Maintainers 
Architecture: all
Depends: horde3
Recommends: imp4, vacation
Size: 1013110
SHA256: 2bbbdb5dde3baf54073c4797d289339a7b3a0fb57396c36cce285be5a1a35490
SHA1: a361ec23117638020e43cd4d21ab85cf58870c61
MD5sum: cca07f7e0a1b1faab327627b7750b75a
Description: autovacation module for Horde Framework
 Vacation is the Horde module for setting user e-mail "autoresponses"
 via the vacation program supported by Sendmail or Courier mail
 based systems.
 .
 Vacation provides fairly complete support for managing .forward style
 vacation notices on Sendmail or Courier mail based systems via an FTP
 transport. It also has some support for LDAP, Qmail, and Exim SQL
 based servers.
Homepage: http://www.horde.org/vacation/
Tag: devel::lang:php, implemented-in::php, interface::web, mail::filters,
 protocol::ftp, protocol::ldap, role::program, web::application,
 works-with::db, works-with::mail
Section: web
Priority: optional
Filename: pool/main/s/sork-vacation-h3/sork-vacation-h3_3.1-1_all.deb

Package: sortmail
Version: 1:2.4-1
Architecture: armhf
Maintainer: Hamish Moffatt 
Installed-Size: 123
Depends: libc6 (>= 2.13-28), libgdbm3 (>= 1.8.3)
Priority: optional
Section: mail
Filename: pool/main/s/sortmail/sortmail_2.4-1_armhf.deb
Size: 50538
SHA256: da225ff6aade6604dbb14f5c9ca74c348f305df38e11eb03acc875916e781723
SHA1: 6e3802aa90ba5d938482c4e4f7b74f01e912ff61
MD5sum: c9b0c8f1bc297fa244dd7d9afa6dfd03
Description: a simple mail sorter
 sortmail is a simple mail sorter you can use in your .forward
 to sort your mail into folders automatically, delete unwanted mail,
 etc.

Package: sortsmill-tools
Version: 0.4-1
Installed-Size: 312
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-fontforge
Enhances: fontforge
Size: 50658
SHA256: 0acf9e24e58f75f270f1ebe8178d0f0aa85d9b0deb9e235149fced9533386418
SHA1: 322d884658119cf3cb08b9f3213fdabd96e3a769
MD5sum: 597dab53e895bc59b30a01cd237d4106
Description: tools for designers of digital fonts
 This package contains tools for designers of digital fonts,
 including tools enhancing FontForge and tools to build TrueType (TTF),
 OpenType (OTF) and Embedded OpenType (EOT) binary font files.
Homepage: http://code.google.com/p/sortsmill/
Tag: implemented-in::python, interface::commandline, role::plugin,
 role::program, works-with::font
Section: fonts
Priority: optional
Filename: pool/main/s/sortsmill-tools/sortsmill-tools_0.4-1_all.deb

Package: sound-icons
Version: 0.1-3
Installed-Size: 804
Maintainer: Milan Zamazal 
Architecture: all
Size: 576154
SHA256: 128a86ef914ef4356724ad7ce03d4b790175197b2767c3dda6c871338df6fbd0
SHA1: c92449bceaf6ee6ab9370af5e30da54b6e93daf4
MD5sum: 2bdf0fcd7b01a77aece4e42c1c10e59f
Description: Sounds for speech enabled applications
 This package contains sound icons to be used for event signalization in
 speech enabled applications.  They are especially useful when running
 Speech Dispatcher.
Tag: role::app-data, use::playing
Section: sound
Priority: optional
Filename: pool/main/s/sound-icons/sound-icons_0.1-3_all.deb

Package: sound-juicer
Version: 3.4.0-3
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 4746
Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libbrasero-media3-1 (>= 2.91.91), libc6 (>= 2.13-28), libcanberra-gtk3-0 (>= 0.25), libdiscid0 (>= 0.2.2), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.32), libgstreamer0.10-0 (>= 0.10.32), libgtk-3-0 (>= 3.0.0), libmusicbrainz5-0, libpango1.0-0 (>= 1.14.0), gconf2 (>= 2.28.1-2), gstreamer0.10-plugins-base (>= 0.10.20), gstreamer0.10-plugins-good
Recommends: eject
Suggests: gstreamer0.10-plugins-ugly, gstreamer0.10-lame, gstreamer0.10-plugins-really-bad, brasero
Homepage: http://www.burtonini.com/blog/computers/sound-juicer
Priority: optional
Section: sound
Filename: pool/main/s/sound-juicer/sound-juicer_3.4.0-3_armhf.deb
Size: 1980998
SHA256: 2789615405939c83e1d3bef4284831f878b326d67375a6674f110b70c50b6e7a
SHA1: 56f98ec1acf01e2e0190ae26397d4d1664e68116
MD5sum: e4f58be1ba6028b83ca085be228a65d2
Description: GNOME CD Ripper
 A CD ripper for GNOME which aims to have a simple, clean, easy to use
 interface.
 .
 The package includes support by default for Vorbis and FLAC formats.
 For other supports you need the following packages:
  * gstreamer0.10-plugins-ugly to encode to MP2,
  * gstreamer0.10-lame (not available in the Debian archive) to encode
    to MP3,
  * gstreamer0.10-plugins-really-bad (not available in Debian) to encode
    to AAC.

Package: sound-theme-freedesktop
Version: 0.7.pristine-2
Installed-Size: 553
Maintainer: Debian GNOME Maintainers 
Architecture: all
Replaces: freedesktop-sound-theme (<< 0.7.pristine)
Provides: freedesktop-sound-theme
Breaks: freedesktop-sound-theme (<< 0.7.pristine)
Size: 430318
SHA256: b1ae7f61331e25ecd0de39d45ef15336b1e3db00f04d51d27f826aec0aaff342
SHA1: 9eadf241276791f0dc67a2bea8b6d6937c6bb937
MD5sum: 041e1f5ce9af7496c6f873bbf53734dd
Description: freedesktop.org sound theme
 The default freedesktop.org sound theme following the XDG theming
 specification. It contains a full set of sounds for different
 desktop events.
 .
 These sounds come from various sources: KDE, Ekiga, Pidgin/Purple, ALSA.
Multi-Arch: foreign
Homepage: http://www.freedesktop.org/
Section: sound
Priority: optional
Filename: pool/main/s/sound-theme-freedesktop/sound-theme-freedesktop_0.7.pristine-2_all.deb

Package: soundconverter
Version: 2.0.1-1
Installed-Size: 1019
Maintainer: Lars Wirzenius 
Architecture: all
Depends: python, python-gnome2, python-glade2, python-gst0.10, gstreamer0.10-plugins-good, gstreamer0.10-plugins-base
Suggests: gstreamer0.10-plugins-ugly, gstreamer0.10-ffmpeg
Size: 149988
SHA256: 953bf2f26ca760b567b70955f4640fe417bd2be3d795f10c04879e87f51da3c5
SHA1: 95517f5639d0ba307dd7e9e3e6cb15a44d42f67a
MD5sum: 3f78da6f200e0fb2e6b9caf1cb6e4c5a
Description: GNOME application to convert audio files into other formats
 SoundConverter is a simple sound converter application for the GNOME
 environment. It reads sound files in any format supported by GStreamer
 and outputs them in Ogg Vorbis, FLAC, or WAV format, or MP3 format if
 you have the GStreamer LAME plugin.
Homepage: http://soundconverter.org/
Tag: implemented-in::python, interface::x11, role::program, scope::utility,
 suite::gnome, uitoolkit::gtk, use::converting, works-with::audio,
 x11::application
Section: gnome
Priority: optional
Filename: pool/main/s/soundconverter/soundconverter_2.0.1-1_all.deb

Package: soundkonverter
Version: 1.5.0-1
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 2318
Depends: kde-runtime, libc6 (>= 2.13-28), libcdparanoia0 (>= 3.10.2+debian), libgcc1 (>= 1:4.4.0), libkcddb4 (>= 4:4.3.4), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.4.0), libkfile4 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libphonon4 (>= 4:4.2.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsolid4 (>= 4:4.3.4), libstdc++6 (>= 4.6), libtag1c2a (>= 1.7), phonon
Recommends: cdda2wav, cdparanoia, faad, ffmpeg, flac, kdemultimedia-kio-plugins, mp3gain, mplayer, mppenc, speex, timidity, vorbis-tools, vorbisgain, wavpack
Homepage: http://www.kde-apps.org/content/show.php?content=29024
Priority: optional
Section: kde
Filename: pool/main/s/soundkonverter/soundkonverter_1.5.0-1_armhf.deb
Size: 829130
SHA256: 73eae74a7ec061bfb0c905ef927f0033832e5a3201f38a26b8762b534c8bdf3c
SHA1: 3f07be628b48e87177a7370a2735ba77b43d9ab3
MD5sum: ad57910217a8eea01faa827e01e0b04b
Description: audio converter frontend for KDE
 soundKonverter is a frontend to various audio converters.
 .
 The key features are:
  - Audio conversion
  - Replay Gain calculation
  - CD ripping
 .
 soundKonverter supports reading and writing tags for many formats, so the tags
 are preserved when converting files.
 .
 See README.Debian for more information on supported formats.

Package: soundmodem
Version: 0.16-1
Architecture: armhf
Maintainer: Debian Hamradio Maintainers 
Installed-Size: 391
Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libaudiofile1 (>= 0.3.4), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.20.0), libhamlib2 (>= 1.2.15.1), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4)
Homepage: http://www.baycom.org/~tom/ham/soundmodem/
Priority: optional
Section: hamradio
Filename: pool/main/s/soundmodem/soundmodem_0.16-1_armhf.deb
Size: 163990
SHA256: 5d1e5867bafc5b0b23b2e3203677f406d1f53558d2bc778ea6a7e9a59bc87f7a
SHA1: 907e3288fe4539afbcbaa7bd131cbddc5bad4918
MD5sum: bfc426977f1d9288f6a571727abec1c4
Description: Sound Card Amateur Packet Radio Modems
 This package contains the driver and diagnostic utility for the
 userspace SoundModem suite by Thomas Sailer.
 .
 This package allows you to use any soundcard supported by the kernel as
 an Amateur Packet Radio modem.

Package: soundstretch
Source: soundtouch
Version: 1.6.0-3
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 104
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libsoundtouch0, libstdc++6 (>= 4.3.0)
Homepage: http://www.surina.net/soundtouch/
Priority: optional
Section: sound
Filename: pool/main/s/soundtouch/soundstretch_1.6.0-3_armhf.deb
Size: 29270
SHA256: beb2b3771f1cbad205a809c2d18d58af4f23a3502f4f72d1742146d62e38253f
SHA1: e5067ec57feef8916a39aef114f5fcfdd0c73373
MD5sum: 57c0a00fb23a494741a530523727bb5c
Description: Stretches and pitch-shifts sound independently
 This program can strech and pitch-shift .wav-files independently.
 This is especially useful for musicians, who want to listen to a song
 played slowly, without having the pitch altered.
 .
 This program uses libsoundtouch for the actual work.

Package: soundstretch-dbg
Source: soundtouch
Version: 1.6.0-3
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 85
Depends: soundstretch (= 1.6.0-3)
Homepage: http://www.surina.net/soundtouch/
Priority: extra
Section: debug
Filename: pool/main/s/soundtouch/soundstretch-dbg_1.6.0-3_armhf.deb
Size: 40660
SHA256: 39f8950a00c68351cbbf77aee0c68368a7f3487fbb5ae8bae0cef6e2941de24b
SHA1: c3062ca08985a7d2197b29ae4ab4115eca7b2223
MD5sum: 761a113a2925505b7ac198f2e5bd141b
Description: Debugging symbols for the soundstretch program
 This program can strech and pitch-shift .wav-files independently.
 This is especially useful for musicians, who want to listen to a song
 played slowly, without having the pitch altered.
 .
 This package contains the debugging symbols for soundstretch.

Package: source-highlight
Version: 3.1.6-1.1
Architecture: armhf
Maintainer: Peter Eisentraut 
Installed-Size: 1927
Depends: dpkg (>= 1.15.4) | install-info, libboost-regex1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsource-highlight4, libstdc++6 (>= 4.4.0)
Homepage: http://www.gnu.org/software/src-highlite/
Priority: optional
Section: devel
Filename: pool/main/s/source-highlight/source-highlight_3.1.6-1.1_armhf.deb
Size: 362176
SHA256: ba11a6caaebba1698f4a3050e6a480251b4ef2b764f728d89614c87df2baaaaf
SHA1: 44166be37f27568d38dd4113a2fd79570212bdd2
MD5sum: b4e16f89dcafda4440a459417d99b24e
Description: convert source code to syntax highlighted document
 This program, given a source file, produces a document with syntax
 highlighting.
 .
 It supports syntax highlighting for over 100 file formats, including
 major programming languages, markup formats, and configuration file
 formats.  For output, the following formats are supported: HTML,
 XHTML, LaTeX, Texinfo, ANSI color escape sequences, and DocBook.

Package: sox
Version: 14.4.0-3+deb7u2
Architecture: armhf
Maintainer: Pascal Giard 
Installed-Size: 164
Depends: libsox-fmt-alsa (= 14.4.0-3+deb7u2) | libsox-fmt-ao (= 14.4.0-3+deb7u2) | libsox-fmt-oss (= 14.4.0-3+deb7u2) | libsox-fmt-pulse (= 14.4.0-3+deb7u2), libsox-fmt-base (= 14.4.0-3+deb7u2), libsox2 (= 14.4.0-3+deb7u2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libgsm1 (>= 1.0.13), libltdl7 (>= 2.4.2), libmagic1, libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4)
Suggests: libsox-fmt-all
Homepage: http://sox.sourceforge.net
Priority: optional
Section: sound
Filename: pool/main/s/sox/sox_14.4.0-3+deb7u2_armhf.deb
Size: 140474
SHA256: 31b0776e883026a8236043390a96c0ad31fb804b9dc930446beaf4aab228337e
SHA1: 9fd2597a9f77fe67f90141caf62f972373c8769c
MD5sum: e886d9ce65f13e2f99a5d3311d30bfd4
Description: Swiss army knife of sound processing
 SoX is a command line utility that can convert various formats of computer
 audio files in to other formats. It can also apply various effects to these
 sound files during the conversion. As an added bonus, SoX can play and record
 audio files on several unix-style platforms.
 .
 SoX is able to handle formats like Ogg Vorbis, MP3, WAV, AIFF, VOC, SND, AU,
 GSM and several more.
 Any format support requires at least libsox-fmt-base. Some formats have their
 own package e.g. mp3 read and write support is provided by libsox-fmt-mp3.
 .
 SoX supports most common sound architectures i.e. Alsa, Libao, OSS and Pulse
 (respectively provided by libsox-fmt-alsa, libsox-fmt-ao, libsox-fmt-oss and
 libsox-fmt-pulse). It also supports LADSPA plugins.

Package: sozi
Version: 12.05-1
Installed-Size: 90
Maintainer: Kan-Ru Chen 
Architecture: all
Depends: inkscape, python, python-gtk2, python-lxml
Size: 28736
SHA256: bf87a37ab1dc7b6f537c4eb8c00d2f64eea7473abcbf38e98e3ea06c6c9a6bad
SHA1: 8094d05276704b0222d76431b684ea7d9d43dea7
MD5sum: 9b679c3c099c715681c3fe0beedde6cb
Description: inkscape extension for creating animated presentations
 Unlike in most presentation applications, a Sozi document is not
 organized as a slideshow, but rather as a poster where the content of
 your presentation can be freely laid out. Playing such a presentation
 consists in a series of translations, zooms and rotations that allow
 to focus on the elements you want to show.
 .
 A Sozi presentation is an SVG document in which a sequence of “frames”
 is defined. A frame is a rectangular region of the document associated
 with presentation and animation information (e.g. title, ordering,
 transition duration).
 .
 The presentation editor is an extension for the Inkscape vector
 graphics editor. It means that you can create an entire presentation,
 graphics and animation, within a single environment.
 .
 Documents produced by Inkscape and Sozi can be displayed and animated
 by web browsers supporting the SVG format, and the Javascript
 language. The user can control the presentation using the mouse and
 keyboard.
Homepage: http://sozi.baierouge.fr/wiki/en:welcome
Tag: office::presentation, role::plugin, works-with-format::svg
Section: graphics
Priority: optional
Filename: pool/main/s/sozi/sozi_12.05-1_all.deb

Package: sp
Source: jade (1.2.1-47.1)
Version: 1.3.4-1.2.1-47.1
Architecture: armhf
Maintainer: Neil Roeth 
Installed-Size: 434
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libsp1c2 (>= 1.3.4-1.2.1-44), libstdc++6 (>= 4.3.0)
Suggests: doc-base, sgml-data
Priority: optional
Section: text
Filename: pool/main/j/jade/sp_1.3.4-1.2.1-47.1_armhf.deb
Size: 162112
SHA256: c867548f93fadb31d955202062fac8504d95aae3022d1e1c78ab83a0f5099a3e
SHA1: 19ea6d6ec31a7889d5d29e3f5022323d26bb4641
MD5sum: b777314ac324496b0bf7e176fde5415b
Description: James Clark's SGML parsing tools
 This package is a collection of SGML/XML tools called SP.
 .
 These tools are used to parse, validate, and normalize SGML and XML
 files.  The central programs included in this package are 'nsgmls',
 which replaces sgmls, 'spam', 'spent', 'sgmlnorm', and 'sgml2xml'.
 .
  Author:   James Clark 
  Homepage: http://www.jclark.com/sp/

Package: spacearyarya
Version: 1.0.2-7
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 649
Depends: libc6 (>= 2.7), libkxl0
Priority: optional
Section: games
Filename: pool/main/s/spacearyarya/spacearyarya_1.0.2-7_armhf.deb
Size: 243018
SHA256: 5d189c7a18dcdf84d0026e213e72a6eddb5f724e2595015d513e54529793d78a
SHA1: 5b18e07eceaeb16afe4baac66b9ee90295c83a00
MD5sum: b1b79db684410d0069c97d0cb0003874
Description: third person shooter in pseudo-3D
 Space Aryarya is a third person shoot'em-up similar to classic arcade games
 such as Afterburner or Star Fox, where you control a jetpack-carrying fighter
 and need to destroy all baddies.

Package: spacenavd
Version: 0.5-1
Architecture: armhf
Maintainer: M G Berberich 
Installed-Size: 94
Depends: libc6 (>= 2.4), libx11-6
Homepage: http://spacenav.sourceforge.net
Priority: optional
Section: utils
Filename: pool/main/s/spacenavd/spacenavd_0.5-1_armhf.deb
Size: 26162
SHA256: 35cdc47ff0f74b64a7dfa4330dc1c99932d2de16915697d84f551ef7a9fb47a3
SHA1: 211c3794fc51a82c2533e42f5758e0f72869a81e
MD5sum: b54bcd4a5ec9fb44ccddf9ba31b75616
Description: daemon for using 3D input devices from 3Dconnexion
 Spacenavd, is a free software replacement user-space driver (daemon),
 for 3Dconnexion's six-degree-of-freedom input devices. It is
 compatible with the original 3dxsrv proprietary daemon provided by
 3Dconnexion, and works perfectly with any program that was written
 for the 3Dconnexion driver. It also offers another method
 of accessing the device via a new non-X11-dependent protocol.

Package: spacezero
Version: 0.80.06-1
Architecture: armhf
Maintainer: Romanella Di Ferdinando 
Installed-Size: 5638
Depends: libalut0 (>= 1.0.1), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.0), libopenal1, libpango1.0-0 (>= 1.14.0)
Homepage: http://spacezero.sourceforge.net
Priority: extra
Section: games
Filename: pool/main/s/spacezero/spacezero_0.80.06-1_armhf.deb
Size: 4606962
SHA256: c33a0e1b6a35794a071d0be202e04d33cb3831e357f5306f4fc2457f12ba108d
SHA1: 4644af0da631b970661bea1ca18f1d1e64b3cd02
MD5sum: 7064a5e6d96fbcd43099d130eb8fd6c4
Description: Real Time Strategy 2D space combat, multiplayer net game.
 SpaceZero is a Real Time Strategy 2D space combat, multiplayer (two players by
 now) net game.
 .
 The objective is to conquer the space, defeating all enemies.
 .
 At starting point you have only one ship and one planet, but you have gold to
 buy more ships to conquer more planets.
 .
 All the ships can be controlled by the computer (automatic mode) or by the
 player (manual mode).
 You can easily change among your ships controlling all of them independently.

Package: spamass-milter
Version: 0.3.2-1
Architecture: armhf
Maintainer: Don Armstrong 
Installed-Size: 116
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmilter1.0.1, libstdc++6 (>= 4.6), spamc, adduser
Recommends: spamassassin, sendmail | postfix
Homepage: http://savannah.nongnu.org/projects/spamass-milt/
Priority: extra
Section: mail
Filename: pool/main/s/spamass-milter/spamass-milter_0.3.2-1_armhf.deb
Size: 44984
SHA256: 73d1a802908366cc472d613909362beec37ae9614110b97f23c2f7cc575901d0
SHA1: 64557b4051c63c81f78c79faf48e53ec53a3ce13
MD5sum: b546a0d13f1960f2655d970cb679b55b
Description: milter for filtering mail through spamassassin
 A milter used to filter mail through spamassassin (spamc)
 early in the delivery process. Enables site wide filtering through
 spamassassin without speed penalties incured by setting up and
 tearing down procmail processes for each e-mail.
 .
 Will work with sendmail, postfix, or any other MTA which can use milters.
 .
  - Administrator (or user) specified rejection threshold
  - User specific spamassassin settings
  - Header-only modification

Package: spamassassin
Version: 3.3.2-5+deb7u3
Installed-Size: 3388
Maintainer: Noah Meyerhans 
Architecture: all
Provides: libmail-spamassassin-perl
Depends: perl (>= 5.8.0), libhtml-parser-perl (>= 3.43), libsocket6-perl, libsys-hostname-long-perl, libarchive-tar-perl, libwww-perl, libnet-dns-perl (>= 0.34), libnetaddr-ip-perl, perl-modules (>= 5.10) | libio-zlib-perl (>= 1.04), adduser
Recommends: spamc (>= 2.30), libmail-spf-perl, re2c, libsys-syslog-perl, gnupg, gcc, libc6-dev, make, libio-socket-inet6-perl
Suggests: razor (>= 2.361-2), libnet-ident-perl, libio-socket-ssl-perl, libdbi-perl, pyzor, libcompress-zlib-perl, libmail-dkim-perl
Conflicts: gotmail (<= 0.8.1-1), spamass-milter (<< 0.2.0-7)
Size: 1270542
SHA256: e69071039d68896c8cfabb58cf781ba0297b2e3755c6701d30e4ea499f00ceb2
SHA1: 3e5b20dbdff72213c0bf3c344b1517d6a81c8a74
MD5sum: 251d03ab4fd5b81e53a75cd37f138ddd
Description: Perl-based spam filter using text analysis
 SpamAssassin is a very powerful and fully configurable spam filter
 with numerous features including automatic white-listing, RBL
 testing, Bayesian analysis, header and body text analysis. It is
 designed to be called from a user's .procmail or .forward file, but
 can also be integrated into a Mail Transport Agent (MTA).
 .
 Included in this package is a daemonized form of spamassassin (spamd)
 which communicates with its client (spamc) via TCP, to reduce the
 overhead of loading perl with each message. To take advantage of
 this, you must install the spamc package.
Homepage: http://www.spamassassin.org/
Tag: implemented-in::perl, interface::daemon, mail::filters, network::server,
 protocol::smtp, role::program, use::checking, use::filtering,
 use::scanning, works-with::mail
Section: mail
Priority: optional
Filename: pool/main/s/spamassassin/spamassassin_3.3.2-5+deb7u3_all.deb

Package: spamassassin-heatu
Version: 3.02+20101108-2
Installed-Size: 68
Maintainer: Jari Aalto 
Architecture: all
Depends: perl, spamassassin
Size: 11602
SHA256: 05d08216d543d2dbb47e13644237b0db7f74ade057016a9ca3ef5b1d10e9f77a
SHA1: 20dc9077c0cc1470acff937f827c5f5afba3b53a
MD5sum: 3a8de94c3cd75cfae946492175277461
Description: Heuristic Email Address Tracker Utility for Spamassassin
 Check or clean the SpamAssassin auto-whitelist (AWL) database file;
 also called the SpamAssassin Heuristic Email Address Tracker file.
 .
 The Auto-WhiteList feature in Spamassassing tracks scores from
 messages previously received and adjusts the message score, either by
 boosting messages from senders who send ham or penalizing senders who
 have sent spam previously. This not only treats some senders as if
 they were whitelisted but also treats spammers as if they were
 blacklisted.
 .
 This and enhanced version of the original AWL tool. The AWL database
 can be examined and pruned; single email entries can be removed. This
 is useful when a spammer sends one or more ham messages before
 sending spam.
Homepage: http://wiki.apache.org/spamassassin/AutoWhitelist
Section: mail
Priority: optional
Filename: pool/main/s/spamassassin-heatu/spamassassin-heatu_3.02+20101108-2_all.deb

Package: spambayes
Version: 1.1a6-1
Installed-Size: 1339
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-lockfile, python-dns
Size: 447266
SHA256: 05608e388191949a0e21f0fc82d08132ebbd7450bedf72118eb7204de182bc26
SHA1: eac5b88c247ca6b80945772d15e9fd134aedee34
MD5sum: 5829fec0688661dec6d0e216e11e5bbb
Description: Python-based spam filter using statistical analysis
 SpamBayes uses a different set of algorithm to most statistical spam
 filters, which enables to dramatically lower the number of legitimate
 mail classified as spam, through the introduction of an 'unsure'
 category.
 .
 SpamBayes can be used as a POP3 or an IMAP proxy, as well as a simple
 mail filter for use with procmail.
Homepage: http://spambayes.sourceforge.net
Tag: implemented-in::python, interface::daemon, mail::filters,
 network::server, role::program, use::checking, works-with::mail
Section: mail
Priority: optional
Filename: pool/main/s/spambayes/spambayes_1.1a6-1_all.deb

Package: spamc
Source: spamassassin
Version: 3.3.2-5+deb7u3
Architecture: armhf
Maintainer: Noah Meyerhans 
Installed-Size: 98
Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4)
Suggests: spamassassin
Conflicts: spamassassin (<< 2.30-2)
Homepage: http://www.spamassassin.org/
Priority: optional
Section: mail
Filename: pool/main/s/spamassassin/spamc_3.3.2-5+deb7u3_armhf.deb
Size: 75012
SHA256: fe58a8696889c033630679661c65b0f2f34ba026b0ca713becf56713cd885760
SHA1: 558535b57aefdc5b2860fae0178befa21c6c3b51
MD5sum: c0f8e4d3a57640c4b070d8920bf85b1d
Description: Client for SpamAssassin spam filtering daemon
 spamc is the client to communicate with spamd, the daemonized form of
 SpamAssassin (see the spamassassin package). It is written in C for
 maximum speed and minimum loading overhead.
 .
 spamc is quite useful for integrating spamassassin into an MTA or
 into a .procmailrc file because of its speed.
 .
 This package is useless unless you have spamassassin installed,
 either on this machine or another local machine (i.e. a mail server).

Package: spamoracle
Version: 1.4-14
Architecture: armhf
Maintainer: Debian OCaml Maintainers 
Installed-Size: 1149
Depends: ocaml-base-nox-3.12.1
Conflicts: spamoracle-byte
Replaces: spamoracle-byte
Provides: spamoracle-byte
Priority: optional
Section: net
Filename: pool/main/s/spamoracle/spamoracle_1.4-14_armhf.deb
Size: 473662
SHA256: dfc18b9e7313d2b70fde834ff55a668ba122df50ad24cc2f9e333fde5fd15ce1
SHA1: 1bbc7581735f43d9502d383ae7efd26d6a97df8e
MD5sum: 8e20a791992bbd8f223fd4f8be16c37a
Description: A statistical analysis spam filter based on Bayes' formula
 SpamOracle, a.k.a. "Saint Peter", is a tool to help detect and filter away
 "spam" (unsolicited commercial e-mail). It proceeds by statistical analysis
 of the words that appear in the e-mail, comparing the frequencies of words
 with those found in a user-provided corpus of known spam and known legitimate
 e-mail. The classification algorithm is based on Bayes' formula, and is
 described in Paul Graham's paper, A plan for spam.
 .
 This program is designed to work in conjunction with procmail. The result of
 the analysis is output as an additional message header X-Spam:, followed by
 yes, no or unknown, plus additional details. A procmail rule can then test
 this X-Spam: header and deliver the e-mail to the appropriate mailbox.

Package: spampd
Version: 2.30-22
Installed-Size: 212
Maintainer: Sven Mueller 
Architecture: all
Depends: perl, spamassassin (>= 2.6), libnet-server-perl (>= 0.89), adduser (>= 3.59), dpkg (>= 1.10.23), lsb-base (>= 3.0-3)
Size: 55522
SHA256: 520c1a4fe52dd8fd35e19c99f4788908c22db7310835b2662b7a32d7fc8f6567
SHA1: a7666695aed9704bf90199add367e8f24dbd0799
MD5sum: 0de5feb2865a4a8079a6e2bb446f5a71
Description: spamassassin based SMTP/LMTP proxy daemon
 spampd is an SMTP/LMTP server designed to be hooked into the
 MTA processing chain (e.g. as a content filter). It is
 written in Perl and uses the Net::Server framework. It is
 intended to provide spam filtering at the system level (i.e.
 ususally for all users). If you rely on per-user configuration
 or per-user Bayes databases, spampd is not for you.
 .
 The major advantage of spampd over plain SpamAssassin (both
 directly and through spamd) is that it doesn't need to load
 all needed perl modules on every invocation or spawn
 a C programme for every mail it receives. Compared to using
 spamc/spamd, spampd can usually provide a 25% performance
 increase with local-only tests.
 .
 The advantage of spampd over amavisd-new is that it uses the
 original SpamAssassin header tags, which are more verbose than
 the tags which amavisd-new provides. This allows easier
 filtering in the mail client and easier tuning of SpamAssassin.
Homepage: http://www.worlddesign.com/index.cfm/rd/mta/spampd.htm
Tag: implemented-in::perl, interface::daemon, mail::filters, mail::smtp,
 network::server, protocol::smtp, role::program, use::scanning,
 works-with::mail
Section: mail
Priority: optional
Filename: pool/main/s/spampd/spampd_2.30-22_all.deb

Package: spamprobe
Version: 1.4d-11
Architecture: armhf
Maintainer: Nicolas Duboc 
Installed-Size: 448
Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libdb5.1, libgcc1 (>= 1:4.4.0), libgif4 (>= 4.1.4), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6)
Recommends: procmail | maildrop
Homepage: http://spamprobe.sf.net/
Priority: optional
Section: mail
Filename: pool/main/s/spamprobe/spamprobe_1.4d-11_armhf.deb
Size: 198984
SHA256: 4a6477b907eeb86b3cb5c56eaca6a9517ac74a1b428c09f09255309c30c0caab
SHA1: 5af139bd1e4b2b5a2598b391892371cd46ca7859
MD5sum: 476504a3c89c45d4799d0170d7336f1c
Description: Bayesian spam filter
 This package provides a spam filter based on the article 'A Plan for Spam'
 by Paul Graham. It uses a database (either BerkeleyDB or a simpler hash
 file) to store one- and two-word phrases. Only certain headers are analyzed
 and HTML tags are ignored to prevent false positives of legitimate HTML
 emails. Image attachments are considered as words that can signal spam. It
 can be simply integrated with procmail or maildrop to filter spam on
 incoming mail.

Package: spark
Version: 2011.0.deb-5
Architecture: armhf
Maintainer: Євгеній Мещеряков 
Installed-Size: 7051
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libgnat-4.6 (>= 4.6.3-4+rpi2), libstdc++6 (>= 4.4.0), swi-prolog-nox (>= 5.10.4~)
Suggests: gnat, alt-ergo
Homepage: http://libre.adacore.com/libre/tools/spark-gpl-edition/
Priority: optional
Section: devel
Filename: pool/main/s/spark/spark_2011.0.deb-5_armhf.deb
Size: 2646076
SHA256: 92805ae832bec30f266cce06c1724e63439fbb52265d9e256b9589cf315391dc
SHA1: e71d545f70db3373a8bbf80f1a35026aa3fb0f63
MD5sum: 445e161945b82c66b0946507d6f7f606
Description: SPARK programming language toolset
 SPARK is a formally-defined computer programming language based on the
 Ada programming language, intended to be secure and to support the
 development of high integrity software used in applications and systems
 where predictable and highly reliable operation is essential either for
 reasons of safety or for business integrity.
 .
 This package contains the tools necessary for checking if programs adhere
 to the SPARK rules and the tools to show freedom of runtime exceptions in
 those programs. To compile SPARK programs use any standards-compliant Ada
 compiler, such as GNAT.

Package: sparkleshare
Version: 0.9.0-2
Installed-Size: 598
Maintainer: Debian CLI Applications Team 
Architecture: all
Depends: gnome-icon-theme, git (>= 1:1.7.1), gvfs (>= 1.3), libjs-jquery, mono-runtime (>= 2.10.1), libc6 (>= 2.13) | libc6.1 (>= 2.13) | libc0.1 (>= 2.13), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), libnotify0.4-cil (>= 0.4.0~r2998), libwebkit1.1-cil (>= 0.3)
Recommends: python, python-nautilus
Size: 468304
SHA256: 19654f807400dce059a51743c40c322824c026bfb542479c62fefd7357b5601a
SHA1: ed0367b1002b1671d3bf655c9bf7fa2a31316d57
MD5sum: db935db823907a0a7d5ca3a39b236b2f
Description: distributed collaboration and sharing tool
 SparkleShare is a collaboration and sharing tool that is designed to keep
 things simple and to stay out of your way. It allows you to instantly sync
 with any Git repository you have access to.
 .
 SparkleShare can be used as a rough alternative to web services such as
 Dropbox or Ubuntu One.
 .
 Though SparkleShare is not made to be a graphical frontend for git or a backup
 tool, it may be useful for other kinds of purposes as well, like backing up
 small files or monitoring your favourite project.
Homepage: http://sparkleshare.org/
Tag: implemented-in::c-sharp, interface::x11, network::service,
 protocol::TODO, role::program, uitoolkit::gtk, use::storing,
 use::synchronizing, works-with::file, works-with::vcs, x11::application
Section: utils
Priority: optional
Filename: pool/main/s/sparkleshare/sparkleshare_0.9.0-2_all.deb

Package: sparsehash
Version: 1.10-1
Installed-Size: 36
Maintainer: Athena Capital Research 
Architecture: all
Depends: libsparsehash-dev
Size: 8074
SHA256: 6421721b16bd214129fbd356125c27d3de4b7c21012ca7a986fa08c5099fde49
SHA1: 2111d8f1e53fa2b468dc1e9f4706cccaa2e0bc0c
MD5sum: 17ffe8aaa5d7fc3fc8f362ecc6a143a2
Description: memory-efficient C++ hash_map implementation (transition package)
 The Google SparseHash project contains several C++ template hash-map
 implementations in use at Google, with different performance
 characteristics, including an implementation that optimizes for space
 and one that optimizes for speed.
 .
 This is a transitional package that simply depends on the new
 libsparsehash-dev.  It can be safeley removed.
Homepage: http://code.google.com/p/google-sparsehash
Tag: devel::library, role::dummy
Section: libdevel
Priority: optional
Filename: pool/main/s/sparsehash/sparsehash_1.10-1_all.deb

Package: spass
Version: 3.7-3
Architecture: armhf
Maintainer: Roland Stigge 
Installed-Size: 3715
Depends: dpkg (>= 1.15.4) | install-info, libc6 (>= 2.4)
Priority: optional
Section: science
Filename: pool/main/s/spass/spass_3.7-3_armhf.deb
Size: 2064244
SHA256: e3f5dcd5756528f192014bcf325abe166875cd2a1f2900d311dc7cf2487b6199
SHA1: 743ac34edfabe9c59bfe11bf8633da6b7d1fcba3
MD5sum: 9acf55cbd7d21812b58260f3f83c8ad7
Description: An automated theorem prover for first-order logic with equality
 SPASS is a saturation-based automated theorem prover for first-order logic with
 equality.  It is unique due to the combination of the superposition calculus
 with specific inference/reduction rules for sorts (types) and a splitting rule
 for case analysis motivated by the beta-rule of analytic tableaux and the case
 analysis employed in the Davis-Putnam procedure.  Furthermore, SPASS provides a
 sophisticated clause normal form translation.
 .
 This package consists of the SPASS/FLOTTER binary, documentation, and a small
 example collection.  The tools collections contain the proof checker pcs, the
 syntax translators dfg2otter and dfg2tptp, and the ASCII pretty printer
 dfg2ascii.
 .
 For more information, additional and partly huge example collections, consider
 the project homepage at http://spass.mpi-sb.mpg.de/.

Package: spatialite-gui
Version: 1.2.1-3
Architecture: armhf
Maintainer: Debian GIS Project 
Installed-Size: 1618
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgeos-c1 (>= 3.3.3), libproj0, librasterlite1 (>= 1.0), libspatialite3 (>= 2.4.0~rc2), libsqlite3-0 (>= 3.6.11), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1)
Homepage: http://www.gaia-gis.it/spatialite/
Priority: extra
Section: utils
Filename: pool/main/s/spatialite-gui/spatialite-gui_1.2.1-3_armhf.deb
Size: 391350
SHA256: 1c96eb76ff7ba1554026902cc273c0705b30f7045e0b1daeef368c90f1fd2409
SHA1: 256b85b5dab119356347cc609f2e471038c6b695
MD5sum: f80a3235835804d7750c1bf4c1d0129a
Description: user-friendly graphical user interface for SpatiaLite
 spatialite-gui is a Graphical User Interface (GUI) for SpatiaLite.
 .
 SpatiaLite is a SQLite extension that enables support of spatial (geometry)
 data in a way conformant to OpenGis specifications, with both WKT and WKB
 formats.
 .
 Spatialite also includes Virtualshape and Virtualtext to enable accessing
 shapefiles and csv/text files as virtual tables.

Package: spatialite-gui-dbg
Source: spatialite-gui
Version: 1.2.1-3
Architecture: armhf
Maintainer: Debian GIS Project 
Installed-Size: 205
Depends: spatialite-gui (= 1.2.1-3)
Homepage: http://www.gaia-gis.it/spatialite/
Priority: extra
Section: debug
Filename: pool/main/s/spatialite-gui/spatialite-gui-dbg_1.2.1-3_armhf.deb
Size: 46686
SHA256: f0efb001112fb78994a22ea8da987e3d5e4d8cbfe4009b9688c4d56e4cb27bac
SHA1: 71c4c4b25237b6669efbb3ca6053f32a2f6e9702
MD5sum: 3892f1ed1d90599e0d67805ef7152672
Description: user-friendly graphical user interface for spatialite - debugging symbols
 spatialite-gui is a Graphical User Interface (GUI) for spatialite.
 .
 SpatiaLite is a SQLite extension that enables support of spatial (geometry)
 data in a way conformant to OpenGis specifications, with both WKT and WKB
 formats.
 .
 Spatialite also includes Virtualshape and Virtualtext to enable accessing
 shapefiles and csv/text files as virtual tables.
 .
 This package contains debugging symbols.

Package: spawn-fcgi
Version: 1.6.3-1
Architecture: armhf
Maintainer: Jérémy Lal 
Installed-Size: 60
Depends: libc6 (>= 2.4)
Homepage: http://redmine.lighttpd.net/projects/spawn-fcgi
Priority: extra
Section: web
Filename: pool/main/s/spawn-fcgi/spawn-fcgi_1.6.3-1_armhf.deb
Size: 13568
SHA256: 7c86784c1ca76b2ec6c5c6ae216d17c8791ae3bd417996538ef948a589b48821
SHA1: 37b9adb4fa9258cf3be0c2aa706b870ae0ea184b
MD5sum: 4b2a3f4850ca9fd9a09433a3e2ba0d1e
Description: A fastcgi process spawner
 spawn-fcgi allows fcgi processes to be separated from web server process :
   * Easy creation of chmoded socket.
   * Privilege separation without needing a suid-binary,
     or running a server as root.
   * You can restart your web server and the FastCGI applications
     without restarting the others.
   * You can run them in different chroot()s.
   * Running your FastCGI applications doesn’t depend on the web server
     you are running, which allows for easier testing of/migration
     to other web servers.

Package: spd
Version: 1.3.0-1
Architecture: armhf
Maintainer: Jerome Kieffer 
Installed-Size: 350
Depends: libc6 (>= 2.13-28), libgfortran3 (>= 4.3), zlib1g (>= 1:1.1.4)
Homepage: http://forge.epn-campus.eu/projects/azimuthal
Priority: extra
Section: science
Filename: pool/main/s/spd/spd_1.3.0-1_armhf.deb
Size: 160602
SHA256: 99a82ca87917120a372481824c7fec0817851f3ff2fae15473529d2d5d9675d0
SHA1: 1ae20b941387e7b1e922ea051b6d1a97807727e2
MD5sum: 7278b81ad5f6a58a8f1d154c1dc18ec8
Description: Synchrotron image corrections and azimuthal integration
 SPD stands for SPatial Distortion. Written in C language, this command-line
 driven program deals with images coming from X-ray diffusion/diffraction
 experiments. It does subsequently:
  *intensity corrections (dark current, flat field correction, ...),
  *geometry corrections using spline files or a pair of distortion arrays,
  *azimuthal integration in 2D or 1D after maskin defective pixels.
 SPD was originally written by Jorg Klora for ESRF and was re-written by Peter
 Boesecke. Maintenance and packaging of the program are provided by Rainer
 Wilcke and Jerome Kieffer from software group at ESRF.

Package: spe
Version: 0.8.4.h-2
Installed-Size: 1556
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python, python-central (>= 0.6.11), python-wxgtk2.8, python-wxglade, kiki, winpdb, pychecker (>= 0.8.17-5)
Recommends: wx2.8-doc
Suggests: blender (>= 2.35), python-doc, python-wxtools
Size: 340468
SHA256: 658ab5c944c0bfb31dd1ee9e4965dc69093e4cccb11832dbd9d12c065cb0fd90
SHA1: 1f27669e5ec1806f8c7f09e58727679bc961f2c2
MD5sum: 0b4b052d1b6cbadc85abbd5f2c84a390
Description: Stani's Python Editor
 Spe is a Python IDE with auto-indentation, auto completion, call
 tips, syntax coloring, syntax highlighting, UML viewer, class
 explorer, source index, auto todo list, sticky notes, integrated
 pycrust shell, Python file browser, recent file browser, drag&drop,
 context help, ... Special is its Blender support with a Blender 3d
 object browser and its ability to run interactively inside
 blender. Spe ships with wxGlade & XRCed (gui designers), PyChecker
 (source code doctor) and Kiki (regular expression console). Spe is
 extensible with wxGlade.
Homepage: http://pythonide.stani.be/
Python-Version: all
Tag: devel::ide, devel::lang:python, role::program, uitoolkit::wxwidgets
Section: python
Priority: optional
Filename: pool/main/s/spe/spe_0.8.4.h-2_all.deb

Package: speakup-doc
Source: speakup
Version: 3.1.6.dfsg.1-2
Installed-Size: 64
Maintainer: Debian Accessibility Team 
Architecture: all
Size: 34226
SHA256: fd1156a56029dbd0e737b29ddade84d6f64b68d6fa305dd020b3f19eabc5d86c
SHA1: fbc73f64d3554c69e71bd44d0147cc2f05b583cd
MD5sum: a5db7c21b4b101243fb89f48f49f0a71
Description: Documentation for speakup kernel modules
 Speakup allows you to interact with applications and the GNU/Linux
 operating system with audible feedback from the console using a
 synthetic speech device.
 .
 Speakup allows you to navigate around the screen using the typical
 screen review functions such as say word, say line, announce cursor
 position, which console your currently on, and much, much more. It
 also allows one to load in configuration parameters for controlling
 various aspects of the synthesizer you are using, as well as speakup
 itself.
 .
 Currently, the following synthesizers are supported by speakup:
  * DoubleTalk PC/LT
  * LiteTalk
  * Accent PC/SA
  * Speakout
  * Artic Transport
  * Audapter
  * Braille 'N Speak / Type 'N Speak
  * Dectalk External and Express
  * the Apollo2
 .
 This package provides the documentation for the speakup kernel modules.
Homepage: http://linux-speakup.org/
Tag: accessibility::speech, admin::kernel, role::documentation
Section: doc
Priority: extra
Filename: pool/main/s/speakup/speakup-doc_3.1.6.dfsg.1-2_all.deb

Package: speakup-tools
Version: 1:0.0~git20110720.1-1
Installed-Size: 81
Maintainer: Debian Accessibility Team 
Architecture: all
Suggests: speechd-up, espeakup
Size: 13104
SHA256: afe36aa97d7e04a9cf05cf146440c6692b60602a7d311b21900259209221af6d
SHA1: d7db3ad67af65554f0509b06426bce5650ec3578
MD5sum: b3b9b7ae3b95ed894d2f40ef674787ae
Description: Tools to customize speakup module
 This package provides three scripts to configure and make easier using
 speakup_soft module.
 .
 - speakupconf allows one to save and restore speakup's customizable variables.
 - speakup_setlocale allows one to choose another language so that speakup's
 messages are localized.
 - talkwith allows one to easily switch between speech synthesizers.
Tag: accessibility::speech, role::program, use::configuring
Section: admin
Priority: extra
Filename: pool/main/s/speakup-tools/speakup-tools_0.0~git20110720.1-1_all.deb

Package: specimen
Version: 0.5.2rc3-5
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 264
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnomecanvas2-0 (>= 2.11.1), libgtk2.0-0 (>= 2.8.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libphat0 (>= 0.4.1-2~), libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20), libxml2 (>= 2.7.4)
Homepage: http://zhevny.com/specimen/
Priority: optional
Section: sound
Filename: pool/main/s/specimen/specimen_0.5.2rc3-5_armhf.deb
Size: 127818
SHA256: 4815c6dde1de031ca274bbd4c413946e1a993b4ca27bf5889e194c42fa0624ad
SHA1: aacfcb671b8f7b7f4c44be2811630c200ae8ce32
MD5sum: a25218614be9cf180d2ec33511ab00df
Description: MIDI controllable audio sampler for GNU/Linux systems
 A simple but very useful midi controlled sampler for ALSA/JACK featuring
 64 notes of polyphony, spread across up to 64 patches;
 fast, high quality pitch scaling, linear ADSR volume envelopes;
 low pass filter with resonance; a variety of direction-independent
 playback modes and zoomable sample editor for loop and play points;

Package: spectacle
Version: 0.22-1
Installed-Size: 532
Maintainer: Fathi Boudra 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-cheetah, python-urlgrabber, python-yaml
Suggests: meego-packaging-tools | packaging-tools
Size: 72608
SHA256: 5bad3c5bd383faec0862ffbaa04b080094c96d5fd4412586986953d8582d9887
SHA1: a80053b24123cdc0a90c42153718505ce9af5417
MD5sum: ba53c267118d351d83ee5c0fed1b77cd
Description: RPM Spec file generator and management tool
 Spectacle is a tool for managing and creating RPM spec files. It includes the
 tool to generate spec files from metadata file in YAML format, and tools to
 convert spec files or spec-builder's ini files to YAML format.
 .
 For spectacle managed packages, all generic packaging information will be
 stored in the YAML file, and it also allows maintaining customizations in the
 spec file directly with special enclosure tags.
 .
 The following tools are installed:
  * specify
    the tool to generate or to update spec file, based on YAML
  * ini2spectacle
    the tool to convert spec-builder .ini to YAML and new spec file
  * spec2spectacle
    the tool to convert original spec to YAML and new spec file
Homepage: http://meego.gitorious.org/meego-developer-tools/spectacle
Tag: admin::package-management, implemented-in::python, role::program
Section: devel
Priority: optional
Filename: pool/main/s/spectacle/spectacle_0.22-1_all.deb

Package: specto
Version: 0.2.2-3.2
Installed-Size: 698
Maintainer: Christopher James Halse Rogers 
Architecture: all
Depends: python, python-central (>= 0.6.11), python-gtk2, python-glade2, python-gnome2, zenity, gconf2, python-notify, python-dbus, librsvg2-common, gnome-icon-theme
Size: 120536
SHA256: 5acfe0bf8e2e289dc95bfe0c7998bdc5e4e1f22e3bde0333882fee16f7f55d17
SHA1: afccc10656e7b8108f65970fa2240a60270bb718
MD5sum: 2776a16d40f0f908a4952d4aadf32d7b
Description: Unobtrusive update notification program
 Specto is a desktop application that will watch any user-specified events
 (web, folder, ...). This will allow users, for instance, to specify a website
 to watch, and Specto will automatically check for updates on the web page. It
 will then notify the user when there is activity. This will allow the user to
 be informed of new updates/events instead of having to look out for them.
Python-Version: all
Tag: implemented-in::python, interface::x11, role::program, scope::utility,
 uitoolkit::gtk, use::monitor, works-with::file, works-with::logfile,
 works-with::text, x11::application
Section: gnome
Priority: optional
Filename: pool/main/s/specto/specto_0.2.2-3.2_all.deb

Package: spectools
Version: 201108r1-2
Architecture: armhf
Maintainer: Francois Marier 
Installed-Size: 316
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.0), libncurses5 (>= 5.5-5~), libpango1.0-0 (>= 1.14.0), libtinfo5, libusb-0.1-4 (>= 2:0.1.12)
Homepage: http://www.kismetwireless.net/spectools/
Priority: extra
Section: electronics
Filename: pool/main/s/spectools/spectools_201108r1-2_armhf.deb
Size: 126676
SHA256: 00232abe60b8ad3cf944a1ab69b7e0c4ef7e2f9eda811bde22a7aa724678b2b5
SHA1: 617d26d2a3b1bc268d696023eea9a7ca9af0462a
MD5sum: 578c70529d25bb89d455b5f5ea716335
Description: Utilities for using the Wi-Spy USB spectrum analyzer hardware
 Spectrum-Tools is a set of utilities for using the Wi-Spy USB spectrum
 analyzer tools from Metageek LLC.  They include userspace drivers for
 the hardware (implemented via libusb), a graphing UI built on GTK/Cairo,
 network servers for remote devices, and simple utilities for developing
 additional tools.

Package: spectrwm
Version: 1.0.0-1
Architecture: armhf
Maintainer: Andrea Bolognani 
Installed-Size: 231
Depends: libc6 (>= 2.13-28), libx11-6, libxrandr2 (>= 2:1.2.0), libxtst6
Recommends: suckless-tools, xterm | x-terminal-emulator, xfonts-terminus
Breaks: scrotwm (<< 1.0.0-1~)
Replaces: scrotwm (<< 1.0.0-1~)
Provides: x-window-manager
Homepage: http://opensource.conformal.com/wiki/spectrwm
Priority: optional
Section: x11
Filename: pool/main/s/spectrwm/spectrwm_1.0.0-1_armhf.deb
Size: 86158
SHA256: d1aabd09e4feb0e19d01417cd083f9be5b1667dc6be211693443fe766189ff18
SHA1: 6dfc19ee511af73fcadfe897d1e280e1a66129ea
MD5sum: 690d31d14bdc4b0729b774b685a281a8
Description: dynamic tiling window manager
 spectrwm is a minimalistic window manager that tries to stay out of the
 way so that valuable screen real estate can be used for much more
 important stuff.
 .
 It has sane defaults and does not require one to learn a language to do
 any configuration.
 .
 It was written by hackers for hackers and it strives to be small,
 compact and fast.

Package: speech-dispatcher
Version: 0.7.1-6.2
Architecture: armhf
Maintainer: Boris Dušek 
Installed-Size: 1043
Depends: adduser, lsb-base (>= 3.0-10), dpkg (>= 1.15.4) | install-info, libao4 (>= 1.1.0), libaudio2, libc6 (>= 2.13-28), libdotconf1.0, libespeak1 (>= 1.30), libflite1, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libpulse0 (>= 0.99.1), libspeechd2
Recommends: pulseaudio
Suggests: speech-dispatcher-festival (= 0.7.1-6.2), speech-dispatcher-doc-cs (= 0.7.1-6.2), libttspico-utils
Conflicts: libspeechd1 (<< 0.5)
Homepage: http://devel.freebsoft.org/speechd
Priority: optional
Section: sound
Filename: pool/main/s/speech-dispatcher/speech-dispatcher_0.7.1-6.2_armhf.deb
Size: 464588
SHA256: 8532d3c74246a6c3fb788e0a80457823259062cdd195a925b1a06c2ea9160eec
SHA1: e59009daf7912d4cabb79240b89d01095d8e87de
MD5sum: ba08defab40352cfc8c8ce7771816e40
Description: Common interface to speech synthesizers
 Speech Dispatcher provides a device independent layer for speech synthesis.
 It supports various software and hardware speech synthesizers as
 backends and provides a generic layer for synthesizing speech and
 playing back PCM data via those different backends to applications.
 .
 Various high level concepts like enqueueing vs. interrupting speech and
 application specific user configurations are implemented in a device
 independent way, therefore freeing the application programmer from
 having to yet again reinvent the wheel.
 .
 This package contains Speech Dispatcher itself.

Package: speech-dispatcher-dbg
Source: speech-dispatcher
Version: 0.7.1-6.2
Architecture: armhf
Maintainer: Boris Dušek 
Installed-Size: 967
Depends: speech-dispatcher (= 0.7.1-6.2), libspeechd2 (= 0.7.1-6.2)
Homepage: http://devel.freebsoft.org/speechd
Priority: extra
Section: debug
Filename: pool/main/s/speech-dispatcher/speech-dispatcher-dbg_0.7.1-6.2_armhf.deb
Size: 388114
SHA256: 97c84f82553e7daf2c17198f7a2aae39f7b9f578b40edf28842fb438fd2d3bd7
SHA1: 5bca268c7083e8c3f6cdc740c66f73c3bfc4e933
MD5sum: fa15ab472d1471a2edadfb4a2b911dad
Description: Speech Dispatcher debugging symbols
 Speech Dispatcher provides a device independent layer for speech synthesis.
 It supports various software and hardware speech synthesizers as
 backends and provides a generic layer for synthesizing speech and
 playing back PCM data via those different backends to applications.
 .
 Various high level concepts like enqueueing vs. interrupting speech and
 application specific user configurations are implemented in a device
 independent way, therefore freeing the application programmer from
 having to yet again reinvent the wheel.
 .
 This package contains the debugging symbols for Speech Dispatcher.

Package: speech-dispatcher-doc-cs
Source: speech-dispatcher
Version: 0.7.1-6.2
Installed-Size: 60
Maintainer: Boris Dušek 
Architecture: all
Depends: dpkg (>= 1.15.4) | install-info
Suggests: speech-dispatcher
Size: 33702
SHA256: 444143b2da37bb359ed317414acf3aa07bb6c4256673f889cd5afc19d6e5a578
SHA1: eefdc60fcde9262e6491014b69c3ed236e277f6a
MD5sum: 86674dfd0860dbab8c510a4513efe80c
Description: Speech Dispatcher documentation in Czech
 Speech Dispatcher provides a device independent layer for speech synthesis.
 It supports various software and hardware speech synthesizers as
 backends and provides a generic layer for synthesizing speech and
 playing back PCM data via those different backends to applications.
 .
 Various high level concepts like enqueueing vs. interrupting speech and
 application specific user configurations are implemented in a device
 independent way, therefore freeing the application programmer from
 having to yet again reinvent the wheel.
 .
 This package contains Czech documentation of Speech Dispatcher.
Homepage: http://devel.freebsoft.org/speechd
Tag: accessibility::speech, culture::czech, made-of::info,
 role::documentation, works-with::audio
Section: doc
Priority: extra
Filename: pool/main/s/speech-dispatcher/speech-dispatcher-doc-cs_0.7.1-6.2_all.deb

Package: speech-dispatcher-festival
Source: speech-dispatcher
Version: 0.7.1-6.2
Installed-Size: 32
Maintainer: Boris Dušek 
Architecture: all
Depends: speech-dispatcher (>= 0.6), festival, festival-freebsoft-utils (>= 0.6)
Recommends: sound-icons
Size: 7936
SHA256: 7e4cf034504a8531a75a2fa79932d36d60fa9afa063743ab2456fbd557944107
SHA1: 9f44e920f1b102c5a9868fdd43820824add82895
MD5sum: c25077442884843e2ae3e1fda2643fdf
Description: Festival support for Speech Dispatcher
 Speech Dispatcher provides a device independent layer for speech synthesis.
 It supports various software and hardware speech synthesizers as
 backends and provides a generic layer for synthesizing speech and
 playing back PCM data via those different backends to applications.
 .
 Various high level concepts like enqueueing vs. interrupting speech and
 application specific user configurations are implemented in a device
 independent way, therefore freeing the application programmer from
 having to yet again reinvent the wheel.
 .
 This package contains dependencies on packages necessary for running Speech
 Dispatcher with Festival.
Homepage: http://devel.freebsoft.org/speechd
Tag: accessibility::speech, role::metapackage, works-with::audio
Section: sound
Priority: optional
Filename: pool/main/s/speech-dispatcher/speech-dispatcher-festival_0.7.1-6.2_all.deb

Package: speech-tools
Version: 1:2.1~release-5
Architecture: armhf
Maintainer: Jean-Philippe MENGUAL 
Installed-Size: 632
Depends: libaudiofile1 (>= 0.3.4), libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libestools2.1 (>= 1:2.1~release-5), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.4.0), libtinfo5
Suggests: speech-tools-doc
Conflicts: speech-tools-bin
Replaces: speech-tools-bin
Homepage: http://festvox.org/packed/festival/
Priority: optional
Section: sound
Filename: pool/main/s/speech-tools/speech-tools_2.1~release-5_armhf.deb
Size: 228556
SHA256: a9fde09e5032803ad363f7ca6527dd124734789ac9b129c922eb3551dee2277f
SHA1: 04a0b31e8680241d53a9ffec82017049f36a83fc
MD5sum: 9d2c6e64f4186db494ef05ec3a1051f5
Description: Edinburgh Speech Tools - user binaries
 This package contains the various highly useful utility programs that use and
 accompany the Edinburgh Speech Tools Library. Audio softwares and some basic
 signal processing software is included in this package.
 .
 The following programs are available:
 na_play: generic playback program for use with net_audio and CSTR ao.
 ch_wave: Waveform file conversion program.
 ch_lab: label file conversion program.
 ch_track: Track file conversion program.
 wagon: a CART tree build and test program
 .
 See /usr/share/doc/speech-tools/README for detail list of programs available.

Package: speech-tools-doc
Source: festival-doc
Version: 1.4.2-8
Installed-Size: 3760
Maintainer: Kartik Mistry 
Architecture: all
Depends: doc-base (>= 0.8.4)
Size: 749372
SHA256: 399e6e979933b264548a562e6f9a59241a52e9b7524a47f2a50fb148c1457bce
SHA1: ddacbd6ffd78f5c4d4d060bfdea017bc2acd8aaf
MD5sum: 83cdd7f3c2d6ea0e2b467b1d1d3ba1b2
Description: Documentation for the Edinburgh Speech Tools
 This package contains the pre-built HTML and Postscript versions of the
 documentation for the Edinburgh Speech Tools.
 .
 Documentation also contains examples and tutorials on how to use Speech
 Tools library with your programs.
Homepage: http://www.cstr.ed.ac.uk/projects/festival/manual/
Tag: accessibility::speech, field::linguistics, made-of::html,
 made-of::postscript, role::documentation, use::playing,
 works-with::audio
Section: doc
Priority: optional
Filename: pool/main/f/festival-doc/speech-tools-doc_1.4.2-8_all.deb

Package: speechd-el
Version: 2.5-4
Installed-Size: 356
Maintainer: Milan Zamazal 
Architecture: all
Depends: emacs23 (>= 23.2) | emacs24, make, base-files (>= 4.0.1), dpkg (>= 1.15.4) | install-info
Recommends: sharutils
Suggests: speech-dispatcher, speechd-el-doc-cs, brltty (>= 3.7.2)
Size: 119862
SHA256: a176b15e04c7fdb4a6983d48f37ad65c674a23bfbf5c5ecb86b894aacdbdb06a
SHA1: 346165998b175417d37cf2b2e921d90df978c716
MD5sum: 06743845bb5e7b821f3ff95ab67a117e
Description: Emacs speech client using Speech Dispatcher
 speechd-el is an Emacs client and an Elisp library to Speech Dispatcher.  It
 provides a complex speech interface to Emacs, focused especially on (but not
 limited to) the blind and visually impaired users.  It allows the user to work
 with Emacs without looking on the screen, using the speech output produced by
 the synthesizers supported in Speech Dispatcher.
Homepage: http://www.freebsoft.org/speechd-el
Tag: accessibility::screen-reader, accessibility::speech, devel::library,
 implemented-in::lisp, role::documentation, role::plugin, suite::emacs,
 use::playing, works-with::audio
Section: editors
Priority: optional
Filename: pool/main/s/speechd-el/speechd-el_2.5-4_all.deb

Package: speechd-el-doc-cs
Source: speechd-el
Version: 2.5-4
Installed-Size: 58
Maintainer: Milan Zamazal 
Architecture: all
Depends: base-files (>= 4.0.1), dpkg (>= 1.15.4) | install-info
Suggests: speechd-el
Size: 31414
SHA256: 042bd82853d3158e1bc17eededf33aa422a6d692ebeca4bb885b9b58bbd260f2
SHA1: 45b608814b5a3ec8088568e812b056c0280b6f6f
MD5sum: 1bf3d1d6d94537ad5352e05c7ef9d969
Description: speechd-el documentation in Czech
 speechd-el is an Emacs client and an Elisp library to Speech Dispatcher.  It
 provides a complex speech interface to Emacs, focused especially on (but not
 limited to) the blind and visually impaired users.  It allows the user to work
 with Emacs without looking on the screen, using the speech output produced by
 the synthesizers supported in Speech Dispatcher.
Homepage: http://www.freebsoft.org/speechd-el
Tag: accessibility::screen-reader, accessibility::speech, culture::czech,
 devel::library, made-of::info, role::documentation, suite::emacs,
 use::playing, works-with::audio
Section: doc
Priority: optional
Filename: pool/main/s/speechd-el/speechd-el-doc-cs_2.5-4_all.deb

Package: speechd-up
Version: 0.5~20110719-2
Architecture: armhf
Maintainer: Debian Accessibility Team 
Installed-Size: 104
Depends: libc6 (>= 2.13-28), libdotconf1.0, libspeechd2, dpkg (>= 1.15.4) | install-info
Priority: extra
Section: admin
Filename: pool/main/s/speechd-up/speechd-up_0.5~20110719-2_armhf.deb
Size: 41526
SHA256: 394e104620d9a0ef7ff7cf6f548dee579fbe576b71afe89ea5180bfb55773bab
SHA1: 05f963696fca78d739d014b86a9e5f3a5f198a21
MD5sum: b4dd5c215dd2e13aa49a609fdec64af0
Description: Interface between Speech Dispatcher and SpeakUp
 SpeechD-Up provides an interface daemon between Speakup (kernel based
 screen reader) and Speech Dispatcher (common interface to speech
 synthesis). Using SpeechD-Up, you can make Speakup work with software
 synthesizers like Flite or Festival. This interface is in no way optimal
 and it suffers of many problems. Some users still find it useful.
 If you want to have sound on the console with a commercial speech synthetiser,
 such as ibmtts, you need a connector between the speech synthetiser and the
 speakup_soft module. As there has not been any usable connector since Squeeze,
 this package has this function. It is useless if you use a free speech
 synthetiser as Espeak, since a connector exists and is packaged: see the
 espeakup package. It is also useless if you use speechd-el with Emacs.

Package: speedcrunch
Version: 0.10.1-4
Architecture: armhf
Maintainer: Bart Martens 
Installed-Size: 1707
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0)
Homepage: http://www.speedcrunch.org/
Priority: optional
Section: math
Filename: pool/main/s/speedcrunch/speedcrunch_0.10.1-4_armhf.deb
Size: 489894
SHA256: e41155c6a6887a22a1bd5773a26981ff2c5d3e1b1cb3ef1bf3300cc47cf78418
SHA1: e5af56a115695d992fdad97dabdce279e5872763
MD5sum: 5e09575095f6676e02a01397a3de4762
Description: High precision calculator
 SpeedCrunch is a high precision and high speed
 calculator.
 .
 It's optimized for keyboard use and has advanced
 features: use of functions, use of variables,
 result history, and syntax highlighting. It also
 shows the result as you type.
 .
 SpeedCrunch has a very simple interface, so
 you can start to use it very quickly.

Package: speedometer
Version: 2.8-1
Installed-Size: 76
Maintainer: Jari Aalto 
Architecture: all
Depends: python (>= 2.3), python-urwid (>= 0.9.9.1)
Size: 16568
SHA256: 700d3570a6a0f4713dacb67ce131dd17428ee70969e22d3bc17389d206bcd4c3
SHA1: 8066e1af57a49e70f67c087a80a854fdc2b92607
MD5sum: 12a6b9b1fbbdc125664e2d69a989c62b
Description: measure and display the rate of data across a network connection
 Monitor network traffic or speed/progress of a file transfer. The
 program can be used for cases like:
 .
  - how long it will take for 38 MiB transfer to finish
  - how quickly is another transfer going
  - how fast is the upstream on this ADSL line
  - how fast is data written to a filesystem.
Homepage: http://excess.org/speedometer
Tag: role::program, scope::utility, use::monitor, works-with::network-traffic
Section: utils
Priority: optional
Filename: pool/main/s/speedometer/speedometer_2.8-1_all.deb

Package: speedy-cgi-perl
Version: 2.22-13
Architecture: armhf
Maintainer: Niko Tyni 
Installed-Size: 278
Depends: perl (>= 5.14.2-9), libc6 (>= 2.13-28), libperl5.14 (>= 5.14.2)
Homepage: http://daemoninc.com/SpeedyCGI/
Priority: optional
Section: perl
Filename: pool/main/s/speedy-cgi-perl/speedy-cgi-perl_2.22-13_armhf.deb
Size: 119882
SHA256: f40b7530ef4ac6f55b457a05246a024e4f7f15f36df9e01fa078ccda58835374
SHA1: d3a6a62f3e8f0d998d84709cc5828933bf0ef9de
MD5sum: 1f1cc00a3ec8ed27905921e780138e3a
Description: speed up perl scripts by making them persistent
 SpeedyCGI is a way to run perl scripts persistently, which usually makes
 them run much more quickly because it avoids the overhead of starting
 up a new perl interpreter and compiling the perl code.  It is also known
 as PersistentPerl: while its most common use is with CGI scripts, it can
 be used to speed up most perl programs.

Package: speex
Version: 1.2~rc1-7
Architecture: armhf
Maintainer: Ron Lee 
Installed-Size: 94
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libogg0 (>= 1.1.0), libspeex1 (>= 1.2~beta3-1), libspeexdsp1 (>= 1.2~beta3.2-1)
Multi-Arch: foreign
Homepage: http://www.speex.org/
Priority: optional
Section: sound
Filename: pool/main/s/speex/speex_1.2~rc1-7_armhf.deb
Size: 32004
SHA256: 1fd636143900e6bd4f572bfdc8ea225381e19d05ac59d010a06c529d6528f03c
SHA1: dff265bd74dff41789980d47efa264d52b0ecd68
MD5sum: 698150d4c826aa65052ec7c65acf3eda
Description: The Speex codec command line tools
 Speex is an audio codec especially designed for compressing voice at low
 bit-rates for applications such as voice over IP (VoIP). In some senses,
 it is meant to be complementary to the Vorbis codec which places a greater
 emphasis on high-quality music reproduction.
 .
 This package contains the encoder and decoder command-line applications.

Package: speex-doc
Source: speex
Version: 1.2~rc1-7
Installed-Size: 1427
Maintainer: Ron Lee 
Architecture: all
Suggests: speex
Size: 664422
SHA256: c9aa1b61707301a0e372a7217c90a7a83b0f7306614d8b403661a272ae6437e8
SHA1: 0eae06e53ef2244aaa1ff7fae239e46821020237
MD5sum: 9f9b2ef0d4edaaeccf351dddffb1c881
Description: Documentation for speex
 Speex is an audio codec especially designed for compressing voice at low
 bit-rates for applications such as voice over IP (VoIP). In some senses,
 it is meant to be complementary to the Vorbis codec which places a greater
 emphasis on high-quality music reproduction.
 .
 This package contains the Speex documentation.
Homepage: http://www.speex.org/
Tag: devel::doc, made-of::html, made-of::pdf, made-of::postscript,
 made-of::tex, protocol::voip, role::documentation, sound::compression,
 use::converting, works-with-format::wav, works-with::audio
Section: doc
Priority: optional
Filename: pool/main/s/speex/speex-doc_1.2~rc1-7_all.deb

Package: spek
Version: 0.7-3
Architecture: armhf
Maintainer: Alexander Kojevnikov 
Installed-Size: 311
Depends: libatk1.0-0 (>= 1.12.4), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0)
Homepage: http://www.spek-project.org/
Priority: optional
Section: sound
Filename: pool/main/s/spek/spek_0.7-3_armhf.deb
Size: 60786
SHA256: 1b065defce87d16b52f12d5933d0087b252ec40fff2f7720cc3cac94fb863456
SHA1: 05577d045452df9fc92284fa4e81b365014b5449
MD5sum: 76b3effb6938cf24f907eb0f8ed8f2e9
Description: acoustic spectrum analyser
 Spek helps to analyse your audio files by showing their spectrogram.
 It supports all popular lossy and lossless audio file formats.
 .
 Features:
 .
  * Ultra-fast signal processing, uses multiple threads to further
    speed up the analysis
  * High number of frequency bands for the best spectrogram quality
  * Shows the codec name and the audio signal parameters
  * Can save the spectrogram as an image file
  * Drag-and-drop support; associates with common audio file formats
  * Auto-fitting time, frequency and spectral density rulers

Package: spell
Version: 1.0-24
Architecture: armhf
Maintainer: Giacomo Catenazzi 
Installed-Size: 63
Depends: libc6 (>= 2.4), ispell | aspell, iamerican | ibritish | ispell-dictionary
Homepage: http://directory.fsf.org/project/spell/
Priority: optional
Section: text
Filename: pool/main/s/spell/spell_1.0-24_armhf.deb
Size: 14322
SHA256: 1b98ee3caabf9bb496a72746438d89276f56fbb4b0b4beb75c0a265c4d528fc1
SHA1: 9629a741a867d15426490159cc8aaa2e9155fe1d
MD5sum: a978de56c7771467cfe75a5a88ab34cb
Description: GNU Spell, a clone of Unix `spell'
 GNU Spell is a spell checking program which prints each misspelled word on a
 line of its own. It is designed as a clone of the standard Unix `spell'
 program, and implemented as a wrapper for Ispell. Spell accepts as its
 arguments a list of files to read from. Within that list, the magical file name
 `-' causes Spell to read from standard input. In addition, when called with no
 file name arguments, Spell assumes that it should process standard input.

Package: spellutils
Version: 0.7-5
Architecture: armhf
Maintainer: J.H.M. Dassen (Ray) 
Installed-Size: 92
Depends: libc6 (>= 2.4)
Recommends: aspell | ispell
Priority: optional
Section: text
Filename: pool/main/s/spellutils/spellutils_0.7-5_armhf.deb
Size: 22796
SHA256: b95ff9360b0e2651fe09a0fe72bb1ff124b66a85893d3c5c561551adb75c6921
SHA1: 02d28df655653b5a1d39e4e981d9ae267b8b4060
MD5sum: 593e05dbfd226d43b7cf300b1c52fbc7
Description: Utilities to spell-check selectively
 Spellutils is a suite of programs which are used to isolate some
 parts from various types of files and hand them over to another
 program which may change the texts; it is typically a spell checker.
 Afterwards the possibly changed text parts are copied back in place
 in the original file.
 .
 The newsbody program is intended for use on mail and news messages;
 it can e.g. ignore headers, quoted material and signatures.
 .
 The pospell program is for use on translated strings in .po files.

Package: spew
Version: 1.0.8-1
Architecture: armhf
Maintainer: Matt Taggart 
Installed-Size: 132
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libpopt0 (>= 1.14), libstdc++6 (>= 4.4.0), libtinfo5
Priority: extra
Section: utils
Filename: pool/main/s/spew/spew_1.0.8-1_armhf.deb
Size: 48652
SHA256: 377437662df48dec30ace9fd010b25f87554d6464c7e71bbec19f86295531cd9
SHA1: 302417b24b99eafa2219642a8f2e13ee8fe899a6
MD5sum: 72b6d67bfdd89fb411844dac0e4aae54
Description: I/O performance measurement and load generation tool
 Spew is used to measure I/O performance of character devices, block
 devices, and regular files. It can also be used to generate high I/O loads
 to stress systems while verifying data integrity.
 .
 Spew is easy to use and is flexible. No configuration files or
 complicated client/server configurations are needed. Spew also generates
 its own data patterns that are designed to make it easy to find and debug
 data integrity problems.

Package: spf-milter-python
Source: pymilter-milters
Version: 0.8.13-6
Installed-Size: 132
Maintainer: Scott Kitterman 
Architecture: all
Depends: python, python-milter (>= 0.9.3), python-spf (>= 2.0), lsb-base, adduser
Suggests: postfix (>= 2.3) | sendmail (>= 8.13)
Size: 15256
SHA256: 08f537619c35fa007c65128311c6b9397a1be81b2b40a0997e63c4252f0bc723
SHA1: 55b625c9eefd0f1d419c54dafbd3f422a9216880
MD5sum: 5bb5cae64bdb5e787b2ff535fb5e3924
Description: RFC 4408 compliant SPF Milter for Sendmail and Postfix
 A Python mail filter to add Received-SPF headers and reject forged mail.
 Rejection policy is configured via sendmail access file. Updated for RFC 4408
 compliance.
Homepage: http://sourceforge.net/projects/pymilter/
Section: mail
Priority: optional
Filename: pool/main/p/pymilter-milters/spf-milter-python_0.8.13-6_all.deb

Package: spf-tools-perl
Source: mail-spf-perl
Version: 2.8.0-1
Installed-Size: 108
Maintainer: Debian Perl Group 
Architecture: all
Depends: perl, libmail-spf-perl (>= 2.8.0-1)
Breaks: libmail-spf-query-perl (<< 1:1.999.1-3), spfquery (<< 1.2.5.dfsg-1)
Size: 33768
SHA256: 8f0c94978b4d6052a2044f31d0e1d015b1603d26cec6c07320afd902909eaee0
SHA1: f613181bcb06b660c090f2debc456e82be25e861
MD5sum: 0663f81fac9db8c8b955d4679f9846d6
Description: SPF tools (spfquery, spfd) based on the Mail::SPF Perl module
 A collection of Sender Policy Framework (SPF) tools that are based on the
 fully RFC-conforming Mail::SPF Perl module.  The following tools are included
 in this package:
 .
   * spfquery:  A command-line tool for performing SPF checks.
   * spfd:      A daemon for services that perform SPF checks frequently.
Homepage: http://search.cpan.org/dist/Mail-SPF/
Section: mail
Priority: optional
Filename: pool/main/m/mail-spf-perl/spf-tools-perl_2.8.0-1_all.deb

Package: spf-tools-python
Source: pyspf
Version: 2.0.7-3
Installed-Size: 65
Maintainer: Scott Kitterman 
Architecture: all
Replaces: python-spf (<< 2.0.5-3)
Depends: python, python-spf
Size: 21910
SHA256: 3a3d719de2707dc94e6b059368cac40e4f9836ccbf4a51cffbfca6c7df7747a3
SHA1: fb737bb20826556a9c258d323198252c9313909f
MD5sum: db3ab5d20fef9f4addad1d4f831743db
Description: sender policy framework (SPF) tools for Python
 SPF (Sender Policy Framework) related scripts and tools in Python. This
 package currently provides three scripts:
 .
  * pyspf: command line use of spf.py
  * spfquery: Python implementation of spfquery (uses alternatives)
  * pyspf-type99: Tool to convert SPF records of type TXT to type SPF
 .
 spfquery and pyspf-type99 are compatible with python3
 For more information about SPF look at http://www.openspf.org/
Homepage: http://cheeseshop.python.org/pypi/pyspf/
Section: python
Priority: optional
Filename: pool/main/p/pyspf/spf-tools-python_2.0.7-3_all.deb

Package: spfquery
Source: libspf2
Version: 1.2.9-7
Architecture: armhf
Maintainer: Magnus Holmgren 
Installed-Size: 83
Depends: libc6 (>= 2.13-28), libspf2-2
Conflicts: libmail-spf-query-perl (<< 1:1.999.1-3)
Homepage: http://www.libspf2.org/
Priority: optional
Section: mail
Filename: pool/main/libs/libspf2/spfquery_1.2.9-7_armhf.deb
Size: 18270
SHA256: 708c8faf036efd3d75e31e97be387c81a288f3d6f06b5884bc58e51fb74aea03
SHA1: 6f5f760c489ae414d3821e5f74971607149223b9
MD5sum: 607fbed08ccd5bd3b751718d37c61786
Description: query SPF (Sender Policy Framework) to validate mail senders
 The Sender Policy Framework (SPF) is one part of the SPF/SRS protocol
 pair. SPF allows email systems such as Sendmail, Postfix, Exim,
 Zmailer and MS Exchange to check SPF records and make sure that the
 email is authorized by the domain name that it is coming from. This
 prevents email forgery, commonly used by spammers, scammers and email
 viruses/worms.
 .
 This package contains simple utilities that use libspf2 to test and
 query SPF records.

Package: sphinx-common
Source: sphinx
Version: 1.1.3+dfsg-4
Installed-Size: 1283
Maintainer: Jakub Wilk 
Architecture: all
Replaces: python-sphinx (<< 1.1)
Depends: libjs-sphinxdoc (= 1.1.3+dfsg-4)
Recommends: python-sphinx | python3-sphinx
Conflicts: python-sphinx (<< 1.1)
Size: 411546
SHA256: 6ea4c0b946a289b7a2c83bcccc30899a660c660dafdd0d598631b1f7016bc197
SHA1: 14ae56e61d1af55451672d998decd8849131069c
MD5sum: edf997121294a365abf6829dc2db20be
Description: documentation generator for Python projects - common data
 Sphinx is a tool for producing documentation for Python projects, using
 reStructuredText as markup language.
 .
 This package includes manual pages, templates, translations and other data
 files.
Homepage: http://sphinx.pocoo.org/
Section: python
Priority: optional
Filename: pool/main/s/sphinx/sphinx-common_1.1.3+dfsg-4_all.deb

Package: sphinx-doc
Source: sphinx
Version: 1.1.3+dfsg-4
Installed-Size: 2238
Maintainer: Jakub Wilk 
Architecture: all
Replaces: python-sphinx (<< 1.1)
Depends: libjs-sphinxdoc (>= 1.0)
Conflicts: python-sphinx (<< 1.1)
Size: 855374
SHA256: 028a12c6dfb1fc45dfc255da44ffa11a88942d560d94e1ccc94f3ff3c58cbde2
SHA1: d99e020c3fd847b20ea6d34a5a585d25358227f0
MD5sum: cdffe47731b0b4a8ca4c0e77a2d08778
Description: documentation generator for Python projects - documentation
 Sphinx is a tool for producing documentation for Python projects, using
 reStructuredText as markup language.
 .
 This package includes documentation of Sphinx itself.
Homepage: http://sphinx.pocoo.org/
Section: doc
Priority: optional
Filename: pool/main/s/sphinx/sphinx-doc_1.1.3+dfsg-4_all.deb

Package: sphinxsearch
Version: 2.0.4-1.1
Architecture: armhf
Maintainer: Radu Spineanu 
Installed-Size: 8415
Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libmysqlclient18 (>= 5.5.24+dfsg-1), libpq5, libstdc++6 (>= 4.4.0), libstemmer0d (>= 0+svn527), zlib1g (>= 1:1.1.4), adduser
Priority: optional
Section: misc
Filename: pool/main/s/sphinxsearch/sphinxsearch_2.0.4-1.1_armhf.deb
Size: 3670932
SHA256: f4c09ac8f1226309572464c8506ff5b103fc99db445a991798d5b99198871946
SHA1: 8ecfa8a99b6f8c3de7b251d0ecd41e97fd0a1164
MD5sum: 9fa414628b7df936e2db84f962615d4e
Description: Fast standalone full-text SQL search engine
 Sphinx is a standalone full text search engine, meant to provide fast,
 size-efficient and relevant fulltext search functions to other applications.
 Sphinx was specially designed to integrate well with SQL databases and
 scripting languages. Currently built-in data sources support fetching data
 either via direct connection to MySQL or PostgreSQL, or using XML pipe
 mechanism (a pipe to indexer in special XML-based format which Sphinx
 recognizes).
 .
 Sphinx is an acronym which is officially decoded as SQL Phrase Index.

Package: spice-vdagent
Version: 0.10.1-1
Architecture: armhf
Maintainer: Liang Guo 
Installed-Size: 151
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libpciaccess0, libx11-6, libxfixes3, libxinerama1, libxrandr2
Homepage: http://www.spice-space.org/
Priority: optional
Section: x11
Filename: pool/main/s/spice-vdagent/spice-vdagent_0.10.1-1_armhf.deb
Size: 37356
SHA256: b365b6b3e86ce345a1671cf2596e5c73998b5af2b4b97f56170a12c2a55dc2c3
SHA1: d56f48ba7cf3624149b350ee102291228e071b5d
MD5sum: 3d6c44e64b0afd64543703914af021be
Description: Spice agent for Linux
 spice-vdagent is the spice agent for Linux, it is used in conjunction with
 spice-compitable hypervisor, its feature includs:
 \* Client mouse mode (no need to grab mouse by client, no mouse lag)
   this is handled by the daemon by feeding mouse events into the kernel
   via uinput. This will only work if the active X-session is running a
   spice-vdagent process so that its resolution can be determined.
 \* Automatic adjustment of the X-session resolution to the client resolution
 \* Support of copy and paste (text and images) between the active X-session
   and the client

Package: spikeproxy
Source: spkproxy
Version: 1.4.8-4.1
Installed-Size: 14232
Maintainer: Javier Fernandez-Sanguino Pen~a 
Architecture: all
Depends: python (>= 2.3), python-support (>= 0.90.0), python-openssl
Size: 677448
SHA256: ba955195a3889427607db58d83a0ca13fa9e0dda50da06bd8dcb887b6f39545d
SHA1: 99b9c32013863193070fc88ef1287bb183705434
MD5sum: c8f2fb71e23e538fd7bb64f261fcea75
Description: Web application security testing proxy
 SPIKE proXy is part of the SPIKE Application Testing Suite,
 It functions as an HTTP and HTTPS proxy, and allows the web developer
 or web application auditor low level access to the entire web application
 interface, while also providing a bevy of automated tools and techniques
 for discovering common problems. These automated tools include:
 .
  - Automated SQL Injection Detection
  - Web Site Crawling (guaranteed not to crawl sites other than
    the one being tested)
  - Login form brute forcing
  - Automated overflow detection
  - Automated directory traversal detection
 .
 In addition to automated analysis, SPIKE proXy allows the user to
 analyse the internals of the web application by viewing and changing
 all variables, cookies, headers, or other parts of the request and
 resubmit them. Also, a single installation can be shared by multiple users.
Tag: implemented-in::python, interface::commandline, made-of::xml,
 protocol::http, protocol::ssl, use::checking, use::proxying
Section: net
Priority: optional
Filename: pool/main/s/spkproxy/spikeproxy_1.4.8-4.1_all.deb

Package: spim
Version: 8.0+dfsg-5.1
Architecture: armhf
Maintainer: Jean-Christophe Dubacq 
Installed-Size: 585
Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxt6
Homepage: http://pages.cs.wisc.edu/~larus/spim.html
Priority: extra
Section: electronics
Filename: pool/main/s/spim/spim_8.0+dfsg-5.1_armhf.deb
Size: 282930
SHA256: 46a2c5927a8a06df93e2bb231f6e3ce57767118de7b9284f2e9a1a499c6e2731
SHA1: e4d037f42bcec7803cf5cd0aa363774a9968c675
MD5sum: 1b9e43dbd07501d46355a36a662bcae8
Description: MIPS R2000/R3000 emulator
 Emulates a MIPS R2000/R3000 processor in software.
 Useful for students who are taught MIPS R2000/R3000 assembly.
 .
 SPIM S20 is a software simulator that runs assembly language programs for
 the MIPS R2000/R3000 RISC computers.  SPIM can read and immediately run
 files containing assembly language statements.  SPIM is a self-contained
 system for running these programs and contains a debugger and interface to
 the operating system.

Package: spinner
Version: 1.2.4-3
Architecture: armhf
Maintainer: Christoph Berg 
Installed-Size: 62
Depends: libc6 (>= 2.4)
Priority: optional
Section: misc
Filename: pool/main/s/spinner/spinner_1.2.4-3_armhf.deb
Size: 18626
SHA256: c363862a13c335c77254c9b3e22239cb46d091b9ae037cbe0d5ce6df3ad8b740
SHA1: 2327c32e6d833edd5a928e4e45028311f11635c0
MD5sum: ccdb01b755498d1b20074474b3637337
Description: Sends small packets over a idle link
 spinner sends small packets (null packets or a fancy ASCII spin
 fan with motion) to keep a link up.
 .
 It is the perfect application to keep alive a connection over
 routers which disconnect a link after some idle time.

Package: spip
Version: 2.1.17-1+deb7u8
Installed-Size: 14566
Maintainer: SPIP packaging team 
Architecture: all
Depends: apache2 | httpd, php5, php5-mysql, php-html-safe, fonts-dustin, libjs-jquery, libjs-jquery-cookie, libjs-jquery-form, debconf (>= 0.5) | debconf-2.0
Size: 3858762
SHA256: 75e98e869935fd5f0b3689b6f96e161b5bd4bd6f459d366ac88cdf47ff76d7cd
SHA1: d692f48c044f770984f1f04d38382187cecf181a
MD5sum: e77e45bc7adacc5c48c3b9f811018828
Description: website engine for publishing
 SPIP is a publishing system for the Internet in which great importance
 is attached to collaborative working, to multilingual environments,
 and to simplicity of use for web authors.
 .
 SPIP's benefit consists in:
 .
  * managing a magazine type site i.e. made up mainly of
    articles and news items inserted in an arborescence
    of sections nested in each others.
  * completely separating and distributing three kinds of tasks
    over various players: the graphic design, the site editorial
    input through the submission of articles and news items and
    the site editorial management.
  * spare the webmaster and all the participants to the life of
    the site, a number of tedious aspects of web publishing as
    well as the need to learn lengthy technical skills.
    SPIP allows you to start creating your sections and
    articles straight away.
Homepage: http://www.spip.net/
Recommends: mysql-server, imagemagick | netpbm
Section: web
Priority: extra
Filename: pool/main/s/spip/spip_2.1.17-1+deb7u8_all.deb

Package: spl-core
Source: spl
Version: 1.0~pre6-3.1
Architecture: armhf
Maintainer: Gerfried Fuchs 
Installed-Size: 405
Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libncurses5 (>= 5.5-5~), libpcre3 (>= 8.10), libreadline6 (>= 6.0), libtinfo5, libuuid1 (>= 2.16)
Homepage: http://www.clifford.at/spl/
Priority: optional
Section: devel
Filename: pool/main/s/spl/spl-core_1.0~pre6-3.1_armhf.deb
Size: 180758
SHA256: 16f599df08be8f6f2ac26667d8c8c6f87424785cf4939fc3223ff29ab27f0bfb
SHA1: cb62f5ff46705ebac95911ee6df0e8dff203c8e9
MD5sum: 41de1e785801898bd9788cf0bc1af14c
Description: SPL Programming Language
 SPL is an embeddable programming language with a wide range of features.  This
 package contains the core functionality for spl. If you need the adapters for a
 database consider installing one of spl-postgres, spl-mysql or spl-sqlite.
 .
 Features include but are not limited to:
  * Completely stateful.  It is at any point possible to interrupt a running
    SPL script, dump its entire state to disk and resume later on.
  * Feature-Rich.  SPL has native support for hashes and arrays, regular
    expressions, object oriented programming, etc.
  * Dynamic.  SPL is a full dynamic language - with all the advantages and
    disadvantages.
  * C-Style Syntax.  SPL has a c-style syntax (as well as many other languages
    such as Java, JavaScript, PHP, S-Lang, etc). So it is easier to get
    started.
  * Advanced String Lexing.  SPL allows the programmer to simply embed
    variables and complex expressions in string and template files.  E.g.
    this is very important for rapid development of web applications.
  * Well-Structured Backend.  The SPL runtime is not just one big blackbox.
    Instead there is a clear and visible seperation of compiler, assembler,
    optimizer, virtual machine, etc.  This makes it possible to easily adapt
    the library for your special needs when embedding it in your applications.

Package: spl-curl
Source: spl
Version: 1.0~pre6-3.1
Architecture: armhf
Maintainer: Gerfried Fuchs 
Installed-Size: 38
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), spl-core (= 1.0~pre6-3.1)
Homepage: http://www.clifford.at/spl/
Priority: optional
Section: net
Filename: pool/main/s/spl/spl-curl_1.0~pre6-3.1_armhf.deb
Size: 5014
SHA256: 1473a725cd9d18331f1a333b90499acad1b9b79c85cbb2c4a6416ae05981b9ee
SHA1: 0dfac45cce0939bd091e04e3a77308f2852d2f64
MD5sum: 47939c346b9282967f9a4f25578ab1c9
Description: SPL Programming Language -- curl adapter
 SPL is an embeddable programming language with a wide range of features, see
 the spl-core package for more information.
 .
 This package contains the adapter for curl.

Package: spl-dev
Source: spl
Version: 1.0~pre6-3.1
Architecture: armhf
Maintainer: Gerfried Fuchs 
Installed-Size: 317
Depends: spl-core (= 1.0~pre6-3.1), spl-sqlite (= 1.0~pre6-3.1), spl-mysql (= 1.0~pre6-3.1), spl-postgres (= 1.0~pre6-3.1), spl-sdl (= 1.0~pre6-3.1), spl-xml (= 1.0~pre6-3.1), spl-webspl (= 1.0~pre6-3.1), libpcre3-dev, libreadline-dev
Homepage: http://www.clifford.at/spl/
Priority: optional
Section: devel
Filename: pool/main/s/spl/spl-dev_1.0~pre6-3.1_armhf.deb
Size: 113454
SHA256: 3dfedff330179bff59ccbef22f645fed847d27a598faa10a12ed32a5408bcc4d
SHA1: ede6c2ecce5e85f46798c1040838b385efc8b64f
MD5sum: 6b2c1af949468192f156eb903b40e709
Description: SPL Programming Language -- development files
 SPL is an embeddable programming language with a wide range of features, see
 the spl-core package for more information.
 .
 This package contains the development files required to build your
 applications.

Package: spl-mysql
Source: spl
Version: 1.0~pre6-3.1
Architecture: armhf
Maintainer: Gerfried Fuchs 
Installed-Size: 35
Depends: libc6 (>= 2.13-28), libmysqlclient18 (>= 5.5.13-1), spl-core (= 1.0~pre6-3.1)
Homepage: http://www.clifford.at/spl/
Priority: optional
Section: database
Filename: pool/main/s/spl/spl-mysql_1.0~pre6-3.1_armhf.deb
Size: 4222
SHA256: 28926e7988da552193860e6d620f01440c959cf8c04e6de703fa4efd98beadbb
SHA1: e3304d2f8adb5daf8aa8b664fa9527bd0376f1de
MD5sum: edf6a6cd4926333039d30c240dea4077
Description: SPL Programming Language -- MySQL adapter
 SPL is an embeddable programming language with a wide range of features, see
 the spl-core package for more information.
 .
 This package contains the adapter for MySQL.

Package: spl-postgres
Source: spl
Version: 1.0~pre6-3.1
Architecture: armhf
Maintainer: Gerfried Fuchs 
Installed-Size: 34
Depends: libc6 (>= 2.13-28), libpq5, spl-core (= 1.0~pre6-3.1)
Homepage: http://www.clifford.at/spl/
Priority: optional
Section: database
Filename: pool/main/s/spl/spl-postgres_1.0~pre6-3.1_armhf.deb
Size: 3488
SHA256: 5e49e1b9821cc4d97a09f25b13e405c06fe118db64e9d9d90aee5575a8b58721
SHA1: 5afd9a59e77598417551d5d4ff9a3c1e3819c3d1
MD5sum: 78da8bc7e670c4b7dc08b973ea2dcda7
Description: SPL Programming Language -- postgres adapter
 SPL is an embeddable programming language with a wide range of features, see
 the spl-core package for more information.
 .
 This package contains the adapter for postgres.

Package: spl-sdl
Source: spl
Version: 1.0~pre6-3.1
Architecture: armhf
Maintainer: Gerfried Fuchs 
Installed-Size: 44
Depends: libc6 (>= 2.13-28), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), spl-core (= 1.0~pre6-3.1)
Homepage: http://www.clifford.at/spl/
Priority: optional
Section: devel
Filename: pool/main/s/spl/spl-sdl_1.0~pre6-3.1_armhf.deb
Size: 8240
SHA256: d27ce7342cd484222d863a723981f1ffaccf83513cbe1a41fcfe43eee927b45f
SHA1: 7599b6e028824d181be50b66b50c54c801478371
MD5sum: c7853f0895fff83e4009aefb42149552
Description: SPL Programming Language -- SDL adapter
 SPL is an embeddable programming language with a wide range of features, see
 the spl-core package for more information.
 .
 This package contains the adapter for SDL.

Package: spl-sqlite
Source: spl
Version: 1.0~pre6-3.1
Architecture: armhf
Maintainer: Gerfried Fuchs 
Installed-Size: 34
Depends: libc6 (>= 2.13-28), libsqlite3-0 (>= 3.5.9), spl-core (= 1.0~pre6-3.1)
Homepage: http://www.clifford.at/spl/
Priority: optional
Section: database
Filename: pool/main/s/spl/spl-sqlite_1.0~pre6-3.1_armhf.deb
Size: 3508
SHA256: 4ae164efd8362c3f674f6979cf2c9db0bf341331046510dc00a27d5a21db65f4
SHA1: 7fcf36eb50cb60e9a22ecd81d53729e6a4ef51a9
MD5sum: 8d11db0907223320234d00d9ed92cfa6
Description: SPL Programming Language -- sqlite adapter
 SPL is an embeddable programming language with a wide range of features, see
 the spl-core package for more information.
 .
 This package contains the adapter for sqlite.

Package: spl-webspl
Source: spl
Version: 1.0~pre6-3.1
Architecture: armhf
Maintainer: Gerfried Fuchs 
Installed-Size: 489
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libpcre3 (>= 8.10), libreadline6 (>= 6.0), libtinfo5, spl-core (= 1.0~pre6-3.1)
Homepage: http://www.clifford.at/spl/
Priority: optional
Section: web
Filename: pool/main/s/spl/spl-webspl_1.0~pre6-3.1_armhf.deb
Size: 225100
SHA256: 8859b5a587e3c711682bd3a0324043e414cefa6cc9a5e3830555cd7b86696440
SHA1: 84a2b1ddff541641566a7204643b6b72c7ea826f
MD5sum: d6d283319970df26550da864225ba79a
Description: SPL based web application framework
 WebSPL is a pretty powerful framework for doing web application development
 with SPL.
 .
 The interesting thing about WebSPL is that, other than usual CGI scripts, a
 WebSPL script is not executed once for each HTTP request. Instead there is one
 SPL process for each session and such a WebSPL script can pause its execution
 at any time, wait for the user to do something and continue execution as soon
 as the user did something.
 .
 In addition to that there is a module package called "WSF" (WebSPL Forms) which
 adds an additional abstraction layer between the application logic and the
 representation as web page. With this SPL modules, web application development
 becomes as easy as normal application development with well-designed widget
 sets.

Package: spl-xml
Source: spl
Version: 1.0~pre6-3.1
Architecture: armhf
Maintainer: Gerfried Fuchs 
Installed-Size: 44
Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), spl-core (= 1.0~pre6-3.1)
Homepage: http://www.clifford.at/spl/
Priority: optional
Section: devel
Filename: pool/main/s/spl/spl-xml_1.0~pre6-3.1_armhf.deb
Size: 8650
SHA256: f3c5b596f8a17dfc9e88ecc05e46b222099913d6a36b6842e7bcdda92827e85f
SHA1: f5e67b8705256f689c15eb91b8074070b4549efe
MD5sum: b0b613a5fd92bc87b7d9544fad7b6994
Description: SPL Programming Language -- XML adapter
 SPL is an embeddable programming language with a wide range of features, see
 the spl-core package for more information.
 .
 This package contains the adapter for XML.

Package: splat
Version: 1.4.0-2
Architecture: armhf
Maintainer: Bdale Garbee 
Installed-Size: 437
Depends: libbz2-1.0, libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1), zlib1g (>= 1:1.2.6), gnuplot
Homepage: http://www.qsl.net/kd2bd/splat.html
Priority: optional
Section: hamradio
Filename: pool/main/s/splat/splat_1.4.0-2_armhf.deb
Size: 213056
SHA256: 02cd90491351bc942d607d7d4429525b6d8c8c575eea567a82552d4aefaeb76a
SHA1: 92087a0f12bf9efa37ad266cdc285444d04aa66b
MD5sum: a13fff443dbbe149dd99b93657b28573
Description: analyze point-to-point terrestrial RF communication links
 SPLAT! is a Surface Path Length And Terrain analysis application written
 for Linux and Unix workstations.  SPLAT! analyzes point-to-point terrestrial
 RF communication links, and provides information useful to communication
 system designers and site engineers.

Package: splay
Version: 0.9.5.2-13
Architecture: armhf
Maintainer: John Hedges 
Installed-Size: 174
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libtag1c2a (>= 1.5), oss-compat
Priority: optional
Section: sound
Filename: pool/main/s/splay/splay_0.9.5.2-13_armhf.deb
Size: 55546
SHA256: deb095f49120041f4b5529ddb06a29d835a8bd4ac9df61914ca8abbc0ee0501d
SHA1: d0f52302e56c00f57f7b8aa8d711510c9a9f374e
MD5sum: ed45bb851d133ea56464c1fe39cbbf33
Description: Sound player for MPEG-1,2 layer 1,2,3
 Based on maplay, this package decodes layer I, II, and III MPEG audio
 streams/files and plays them from the command line.

Package: spline
Version: 1.2-1
Architecture: armhf
Maintainer: Stuart Prescott 
Installed-Size: 51
Depends: libc6 (>= 2.4)
Homepage: http://homepage.hispeed.ch/david.frey/
Priority: optional
Section: math
Filename: pool/main/s/spline/spline_1.2-1_armhf.deb
Size: 11502
SHA256: 7a658761aa4e200f28d558103ba4aa75e5dca0cae78f50b7a5251a6ed286e929
SHA1: 9475e12d0edad853ecb9b789f67b3ee6c905742e
MD5sum: dfed025eb0ae8e5caf3acf630b602ffd
Description: Akima spline interpolation
 aspline(1) interpolates an Akima-spline through a series of given points.
 The Akima-spline interpolation approximates a manually drawn curve better
 than the ordinary splines, but the second derivative is not continuous.
 .
 The Akima-spline algorithm is described in "A New Method of Interpolation and
 Smooth Curve Fitting Based on Local Procedures", H. Akima, J. Assoc. Comput.
 Mach., 17(4), 1970, pp. 589-602. doi:10.1145/321607.321609

Package: splint
Version: 3.1.2.dfsg1-2
Architecture: armhf
Maintainer: Y Giridhar Appaji Nag 
Installed-Size: 1472
Depends: libc6 (>= 2.7), splint-data (= 3.1.2.dfsg1-2)
Suggests: splint-doc-html
Homepage: http://www.splint.org/
Priority: optional
Section: devel
Filename: pool/main/s/splint/splint_3.1.2.dfsg1-2_armhf.deb
Size: 660016
SHA256: 0d6d119925ddb3fb647c2021f4abd080353aeb386c155f286098c03f74ff4c18
SHA1: f63390ed28f0f0c73ee3054b430c7c8029b0437f
MD5sum: 69f2fae26dbe96aad4a682adc7babe38
Description: tool for statically checking C programs for bugs
 splint is an annotation-assisted lightweight static checker.  It is a tool for
 statically checking C programs for security vulnerabilities and coding
 mistakes.  If additional effort is invested in adding annotations to programs,
 splint can perform stronger checking.
 .
 splint does many of the traditional lint checks including unused declarations,
 type inconsistencies, use before definition, unreachable code, ignored return
 values, execution paths with no return, likely infinite loops, and fall
 through cases.  Problems detected by Splint include:
 .
  * Dereferencing a possibly null pointer
  * Using or returning storage that is undefined or not properly defined
  * Type mismatches, with greater precision and flexibility than by C compilers
  * Memory management errors like use of dangling references and memory leaks
  * Inconsistent (with specified interface) global variable modification or use
  * Problematic control flow such as likely infinite loops etc.
  * Buffer overflow vulnerabilities
  * Dangerous macro implementations or invocations
  * Violations of customized naming conventions

Package: splint-data
Source: splint
Version: 3.1.2.dfsg1-2
Installed-Size: 1104
Maintainer: Y Giridhar Appaji Nag 
Architecture: all
Replaces: splint (<< 3.1.2.dfsg-1)
Recommends: splint (= 3.1.2.dfsg1-2)
Conflicts: splint (<< 3.1.2.dfsg-1)
Size: 182050
SHA256: 42a9a4fcdcd48411ca42f786d48a42c2718f1793b2b7f7a2691c0a614a20b542
SHA1: bbe363b08c81364dff78acc6b398a763d026a4e5
MD5sum: 697780f90ad9e1181a19c985748cc6b7
Description: tool for statically checking C programs for bugs - data files
 splint is an annotation-assisted lightweight static checker.  It is a tool for
 statically checking C programs for security vulnerabilities and coding
 mistakes.  If additional effort is invested in adding annotations to programs,
 splint can perform stronger checking.
 .
 splint does many of the traditional lint checks including unused declarations,
 type inconsistencies, use before definition, unreachable code, ignored return
 values, execution paths with no return, likely infinite loops, and fall
 through cases.  Problems detected by Splint include:
 .
  * Dereferencing a possibly null pointer
  * Using or returning storage that is undefined or not properly defined
  * Type mismatches, with greater precision and flexibility than by C compilers
  * Memory management errors like use of dangling references and memory leaks
  * Inconsistent (with specified interface) global variable modification or use
  * Problematic control flow such as likely infinite loops etc.
  * Buffer overflow vulnerabilities
  * Dangerous macro implementations or invocations
  * Violations of customized naming conventions
 .
 This package contains the data files that are necessary to use splint.
Homepage: http://www.splint.org/
Tag: role::app-data
Section: devel
Priority: optional
Filename: pool/main/s/splint/splint-data_3.1.2.dfsg1-2_all.deb

Package: splint-doc-html
Source: splint
Version: 3.1.2.dfsg1-2
Installed-Size: 1056
Maintainer: Y Giridhar Appaji Nag 
Architecture: all
Replaces: splint-doc
Suggests: splint
Conflicts: splint-doc
Size: 161310
SHA256: a3411bfc25b9c528116390d2d4132d2579aa2b68b359902e91f4bbe2a8b32dd1
SHA1: 3ffce044847f45e9542cd2c46b9c9662243d3e48
MD5sum: 116fcbb7de3a07c27298a4308d9210f0
Description: tool for statically checking C programs for bugs - HTML documentation
 splint is an annotation-assisted lightweight static checker.  It is a tool for
 statically checking C programs for security vulnerabilities and coding
 mistakes.  If additional effort is invested in adding annotations to programs,
 splint can perform stronger checking.
 .
 splint does many of the traditional lint checks including unused declarations,
 type inconsistencies, use before definition, unreachable code, ignored return
 values, execution paths with no return, likely infinite loops, and fall
 through cases.  Problems detected by Splint include:
 .
  * Dereferencing a possibly null pointer
  * Using or returning storage that is undefined or not properly defined
  * Type mismatches, with greater precision and flexibility than by C compilers
  * Memory management errors like use of dangling references and memory leaks
  * Inconsistent (with specified interface) global variable modification or use
  * Problematic control flow such as likely infinite loops etc.
  * Buffer overflow vulnerabilities
  * Dangerous macro implementations or invocations
  * Violations of customized naming conventions
 .
 This package contains the manual (HTML documentation) for splint.
Homepage: http://www.splint.org/
Tag: devel::doc, devel::testing-qa, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/splint/splint-doc-html_3.1.2.dfsg1-2_all.deb

Package: splitvt
Version: 1.6.6-11
Architecture: armhf
Maintainer: Mònica Ramírez Arceda 
Installed-Size: 116
Depends: libc6 (>= 2.13-28), libtinfo5
Priority: optional
Section: utils
Filename: pool/main/s/splitvt/splitvt_1.6.6-11_armhf.deb
Size: 40862
SHA256: 413e53a1f51980c9cfd6551461d6b76545b7179a0f1b7118fc38f4bb310b91f6
SHA1: 770c200c10eec0404d7fd2c0ca530676b7df3bfd
MD5sum: be8d4e3d659236768a907f7181e56887
Description: run two programs in a split screen
 A utility to split a vt100 compatible screen into two halves,
 upper and lower, and run a different program simultaneously
 in each half.

Package: splix
Version: 2.0.0+svn306-2
Installed-Size: 31
Maintainer: Luca Niccoli 
Architecture: all
Depends: printer-driver-splix
Size: 7944
SHA256: ab970f7e06e0309b76a03a2f6452e15a46c44105fd34f1f3d33940d4809935fc
SHA1: b96e4691f3ff472baebd92dce28bfb0ed3bdd68b
MD5sum: b4388f48cf5557ba43b53da71a7d46cf
Description: transitional dummy package for splix printer driver
 This is a transitional dummy package to transition to uniformly named
 printer-driver-splix.
Homepage: http://splix.ap2c.org/
Tag: admin::hardware, hardware::printer, role::data, use::driver
Section: oldlibs
Priority: extra
Filename: pool/main/s/splix/splix_2.0.0+svn306-2_all.deb

Package: spooles-doc
Source: spooles
Version: 2.2-9
Installed-Size: 8100
Maintainer: Debian Science Maintainers 
Architecture: all
Suggests: libspooles2.2-dev
Size: 8262630
SHA256: 4fe75cda049520b6093fb243d60f20877a991f785e49a2b0e7dbe7e0e536a051
SHA1: 5e6e126e7c0e2dde5696d4186c6f339171dc87c8
MD5sum: c602d5fe77d949f6acc6e094d9294cae
Description: SPOOLES numerical simulation pre- and post-processor documentation
 SPOOLES is a library for solving sparse real and complex linear systems of
 equations, written in the C language using object oriented design.
 .
 This package contains the documentation for SPOOLES.
Homepage: http://www.netlib.org/linalg/spooles/
Tag: field::mathematics, made-of::html, made-of::postscript,
 role::documentation
Section: doc
Priority: extra
Filename: pool/main/s/spooles/spooles-doc_2.2-9_all.deb

Package: spotlighter
Version: 0.1-1
Architecture: armhf
Maintainer: Karolina Kalic 
Installed-Size: 79
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.12.0)
Homepage: http://code.google.com/p/ardesia/
Priority: extra
Section: misc
Filename: pool/main/s/spotlighter/spotlighter_0.1-1_armhf.deb
Size: 12836
SHA256: 2459a5bb212a807957a132df8be12d6331bbbe04eb9686628bb473775a3c3564
SHA1: 4e877d6d8a3402b52f499a78d5faf6ad76a80dde
MD5sum: f84195a66a9ff8cad7f76ebc8e7c55ae
Description: gtk interface to make annotations on the screen
 Spotlighter is a tool that shows a movable and resizable spotlight
 on the desktop screen. This is especially useful when making presentations,
 to highlight point of interest.
 .
 Spotlighter is XInput-Aware,
 so you can use it with a graphic tablet or a whiteboard.
 .
 This program has been implemented for educational purposes.

Package: spotweb
Version: 20111002+dfsg-4.1
Installed-Size: 1742
Maintainer: Jan-Pascal van Best 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, dbconfig-common, ucf, apache2, libapache2-mod-php5, php5-mysql, php5-curl, php-net-nntp (>= 1.5.0~rc1), php5-gmp, php5-gd, ttf-liberation, libjs-jquery (>= 1.6.2), libjs-jquery-fancybox, libjs-jquery-cookie, libjs-jquery-mousewheel, libjs-jquery-easing, libjs-jquery-ui (>= 1.8.ooops.14)
Recommends: mysql-client, mysql-server
Suggests: sabnzbdplus, nzbget
Size: 574398
SHA256: 57dd3efec8e54157f9451f19eb32c098da59ccf30bc0494f982c85bbfec94ef3
SHA1: b2fe626589a53d1961c1101f6adef92d963214ae
MD5sum: d71c5b5c41fcf29c099b7e6003201a49
Description: web interface to search and filter Usenet spots
 SpotWeb is a web-based version of the decentralized news-indexing system
 SpotNet, which fetches Usenet "spots" - postings that contain information
 about uploaded binaries. SpotWeb allows searching, filtering, and viewing
 of the spots and comments. It integrates with NZB downloaders such as
 NZBget and SABnzbd+ to download the binaries from Usenet.
Homepage: https://github.com/spotweb/spotweb
Section: web
Priority: optional
Filename: pool/main/s/spotweb/spotweb_20111002+dfsg-4.1_all.deb

Package: spout
Version: 1.3-2
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 72
Depends: libc6 (>= 2.13-28), libsdl1.2debian (>= 1.2.11)
Priority: optional
Section: games
Filename: pool/main/s/spout/spout_1.3-2_armhf.deb
Size: 16352
SHA256: ab0d073a51a781edacc685f6c00f9ab1eb36758007980685eef1ed4517e8ec6e
SHA1: ff0351407ba4678562939ed37b638adc8effbe7b
MD5sum: e52660e0f6bbeef45e3249fe0e7e4ce0
Description: Tiny abstract black and white 2D cave-shooter
 This is a small, abstract shooting game from Japanese developer Kuni.
 It is a 'caveflier' in which the exhaust from the engine serves also as
 a tool to erode the cave walls.
 .
 Your goal is to fly upwards as long as you possibly can, without
 crashing against the cave walls and the window borders.

Package: spread
Version: 3.17.4-4
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 479
Depends: libc6 (>= 2.13-28), adduser (>= 3.34)
Homepage: http://www.spread.org
Priority: optional
Section: net
Filename: pool/main/s/spread/spread_3.17.4-4_armhf.deb
Size: 216834
SHA256: c5b7cee76f329d8140edd96291abd70e262127f309dbc23cc8d656ec9aed99b6
SHA1: 55032631660ce36c8bdbcaef76ffdb59ea7cd9d0
MD5sum: 9c098175cd3cd0b48b7db1537ffc766e
Description: The Spread messaging daemon
 Spread is a toolkit that provides a high performance messaging
 service that is resilient to faults across external or internal
 networks. Spread functions as a unified message bus for distributed
 applications, and provides highly tuned application-level multicast
 and group communication support. Spread services range from reliable
 message passing to fully ordered messages with delivery guarantees,
 even in case of computer failures and network partitions. Spread is
 designed to encapsulate the challenging aspects of asynchronous
 networks and enable the construction of scalable distributed
 applications, allowing application builders to focus on the
 differentiating components of their application.

Package: spring-build-scripts
Source: spring-build
Version: 2.7.0-2
Installed-Size: 528
Maintainer: Damien Raude-Morvan 
Architecture: all
Depends: libspring-build-java (= 2.7.0-2)
Suggests: ruby, groovy
Size: 36948
SHA256: 504ed297112ca6361e6f5807754d6d3448fd726bca89cc0570a0c3b2df417a35
SHA1: 459843e054bff0b0d4c4ad501490443fe3affbe3
MD5sum: e2f471229e4d60b487b0d5c2d3316d5a
Description: Ant scripts snippets for Spring Build module
 Spring projects are built using a combination of Ant and Ivy that is refered
 to simply as 'Spring Build'.
 .
 This package contains small Ant scripts snippets for Spring Build module.
Homepage: http://www.springsource.org/
Section: java
Priority: optional
Filename: pool/main/s/spring-build/spring-build-scripts_2.7.0-2_all.deb

Package: spring-common
Source: spring
Version: 88.0+dfsg1-1.1
Installed-Size: 3700
Maintainer: Debian Games Team 
Architecture: all
Recommends: spring (>= 88.0+dfsg1-1.1), spring (<< 88.0+dfsg1-1.1.1~), springlobby | spring-lobby
Suggests: spring-maps-deltasiege | spring-map, spring-mods-kernelpanic | spring-mod
Conflicts: spring-engine
Size: 3259684
SHA256: a93e00d11ac5a905283b3d51ffea7e83ca1b5e49b0aebc97fdcc231e09a54796
SHA1: 2671752741e48fa9e2b0c281e147af5c9537ec4c
MD5sum: 2350eaa9d4c9a08e0cb2f5040f84c7ca
Description: modern full-3D RTS game engine (common files)
 Spring is a modern full-3D RTS (Real Time Strategy) game engine originally
 created to bring the gameplay experience of Total Annihilation into 3D.
 Games are played using one of a number of mods.
 .
 This package just includes the game engine's arch independent files, with no
 maps, mods or rich user interface.
Homepage: http://springrts.com
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/s/spring/spring-common_88.0+dfsg1-1.1_all.deb

Package: spring-javaai
Source: spring
Version: 88.0+dfsg1-1.1
Installed-Size: 2423
Maintainer: Debian Games Team 
Architecture: all
Depends: default-jre, libjna-java, libvecmath-java, spring (>= 88.0+dfsg1-1.1)
Conflicts: spring-engine
Size: 1827316
SHA256: be80061d170f855d84c289dbf9b8661f82d9c039aea699dceafb8cb3f0ad6606
SHA1: 79664999fe97c8ca40dd41adf0ac339461146877
MD5sum: badc41e0b41d17182e23c9d6c00eaf15
Description: modern full-3D RTS game engine (Java AIs)
 Spring is a modern full-3D RTS (Real Time Strategy) game engine originally
 created to bring the gameplay experience of Total Annihilation into 3D.
 Games are played using one of a number of mods.
 .
 This package contains Java based AIs and the spring interface libraries these
 require.
Homepage: http://springrts.com
Section: games
Priority: optional
Filename: pool/main/s/spring/spring-javaai_88.0+dfsg1-1.1_all.deb

Package: sputnik
Version: 12.06.27-2
Installed-Size: 1044
Maintainer: Enrico Tassi 
Architecture: all
Depends: lua5.1-coxpcall, lua5.1-wsapi, lua5.1-markdown, lua5.1-cosmo, lua5.1-filesystem, lua5.1-md5, lua5.1-socket, lua5.1
Recommends: lua5.1-posix, lua5.1-iconv
Suggests: git, lua5.1-sql-sqlite3, lua5.1-sql-mysql, lua5.1-logging, lua5.1-zlib, xavante
Size: 360652
SHA256: e905c2960dad2728419c6a30c75e189d87db6cd349452da76a886a362b4ee7b6
SHA1: 81d7475727fe01f66b2e3103b2bf12bd437feda3
MD5sum: 66244c603ad6989af585c27360464e38
Description: Extensible wiki
 Sputnik is a wiki written in Lua. It is also a platform for building a range
 of wiki-like applications, drawing on Lua's strengths as an extension
 language.
 .
 Out of the box Sputnik behaves like a wiki with all the standard wiki
 features: editable pages, protection against spam bots, history view of pages,
 diff, preview, per-page-RSS feed for site changes.
 .
 At the same time, Sputnik is designed to be used as a platform for a wide
 range of "social software" applications. Sputnik stores its data as versioned
 "pages" that can be editable through the web, and it allows those pages to
 store any data that can be saved as text (prose, comma-separated values, lists
 of named parameters, Lua tables, mbox-formatted messages, XML, etc.) While by
 default the page is displayed as if it carried Markdown-formatted text, the
 way the page is viewed (or edited, or saved, etc.) can be overridden on a
 per-page basis by over-riding or adding "actions".
 .
 The packages provide a wide range of storage modules that may require
 one of the suggested packages: git, lua5.1-sql-sqlite3, lua5.1-sql-mysql.
 .
 The easiest form of deployment is by using the Xavante web server.
Homepage: http://spu.tnik.org/
Tag: implemented-in::lua, role::program, web::wiki
Section: web
Priority: optional
Filename: pool/main/s/sputnik/sputnik_12.06.27-2_all.deb

Package: spyder
Version: 2.1.10-2
Installed-Size: 99
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: python, python-spyderlib (= 2.1.10-2)
Size: 16676
SHA256: ad3799a8193bb172e7303a5e7934b0be71a9a9a15f3b15357e12c61870b0523c
SHA1: 32c9a02842b8b53e35df15e7b974f380a493451a
MD5sum: 3a425d4792ddf4d03d3c5e3461afd0a7
Description: python IDE for scientists
 Spyder (previously known as Pydee) is a free open-source Python
 development environment providing MATLAB-like features in a simple
 and light-weighted software
Homepage: http://code.google.com/p/spyderlib/
Tag: devel::ide, devel::lang:python, implemented-in::python, role::program
Section: devel
Priority: extra
Filename: pool/main/s/spyder/spyder_2.1.10-2_all.deb

Package: sqcwa
Version: 0.3-3.1
Architecture: armhf
Maintainer: Celso Providelo 
Installed-Size: 62
Depends: libc6 (>= 2.4), squid, squidclient
Priority: optional
Section: web
Filename: pool/main/s/sqcwa/sqcwa_0.3-3.1_armhf.deb
Size: 11220
SHA256: 8a231416e1a889a7e9a94b4b3574f370e98ae5df60e922854e2ad4e1d017e46c
SHA1: 3a110ffcaac96cc1474b556fdff5f9f58f600bcc
MD5sum: 894df8184acb040aea2132f59faca538
Description: Workaround for Squid not caching some pages
 This program reads squid/access.log on the fly, analyses it and
 searches inside all text/html objects for some  tags, and
 if found, tells squidclient to purge the page.
 .
 It is needed for some webservers that do not put http-equiv tags
 in http headers.
 .
 Currently these tags are:
   
   
   
   

Package: sql-ledger
Version: 3.0.3-1
Installed-Size: 35381
Maintainer: Raphaël Hertzog 
Architecture: all
Depends: perl, apache2 | httpd, libdbd-pg-perl
Suggests: texlive-latex-extra, postgresql
Size: 5019510
SHA256: 482fddc580048b9ac881f30ef028a7dc353c68608e266eacdfcddfd072879b9b
SHA1: 6fcf037596fda0acf51056c6e988518c9afc5ba8
MD5sum: 68fbbfe13c7d67292f0f551126dbcdb0
Description: Web based double-entry accounting program
 Accounting data is stored in an SQL Server, for the display any text or
 GUI browser can be used. The entire system is linked through a chart
 of accounts. Each item in inventory is linked to revenue, expense,
 inventory and tax accounts. When you sell and purchase goods and
 services the accounts are automatically updated.
 .
 With the assembly feature you can build manufactured goods from parts,
 services and assemblies. When you sell assemblies all the accounts
 linked to the individual parts, services and assemblies are updated
 and stock levels adjusted accordingly. If any item belonging to an
 assembly is changed all assemblies are updated as well.
 .
 Invoices, Packing List, Income Statement, Balance Sheet, Sales and
 Purchase Order, Statements, Receipts and Checks are generated from
 templates and may be changed to suit your needs. Templates are provided
 in html and tex format. The tex templates are processed with LaTeX
 to produce postscript and PDF documents and can be sent to a printer,
 displayed in a PDF viewer or sent out via email ...
 .
 NOTE: This package does not benefit from serious security support
 and you should use it only in a trusted environment. It's known
 to be affected by multiple SQL injections and similar problems. See
 the README.Debian file for more information.
Homepage: http://www.sql-ledger.com
Tag: devel::lang:sql, field::finance, implemented-in::perl, interface::web,
 office::finance, role::program, scope::application,
 secteam::lenny-limited-support, secteam::squeeze-limited-support,
 use::organizing, web::application, works-with::db
Section: web
Priority: optional
Filename: pool/main/s/sql-ledger/sql-ledger_3.0.3-1_all.deb

Package: sqlgrey
Version: 1:1.8.0-1
Installed-Size: 221
Maintainer: Antonin Kral 
Architecture: all
Depends: perl, adduser, libnet-server-perl, libdate-calc-perl, libdbd-pg-perl | libdbd-mysql-perl | libdbd-sqlite3-perl
Recommends: postfix, libdbd-pg-perl
Size: 58750
SHA256: d71ebf1af556be3a5c1574c1f2df356e4c79e716151b3bcb0721bc54d27154df
SHA1: e7e334c59f5026fd4360b5d325407c83cd8de47a
MD5sum: 9b3ca00be81ac35e7e6a509630deada7
Description: Postfix Greylisting Policy Server
 A policy server for Postfix implementing greylisting.
 .
 Could be configured to use MySQL, PostgreSQL or SQLite. PostgreSQL is
 recommended by author.
Homepage: http://sqlgrey.sourceforge.net/
Section: mail
Priority: optional
Filename: pool/main/s/sqlgrey/sqlgrey_1.8.0-1_all.deb

Package: sqlheavy-utils
Source: sqlheavy
Version: 0.1.1-1
Architecture: armhf
Maintainer: Devid Antonio Filoni 
Installed-Size: 78
Depends: libsqlheavy0.1-0 (= 0.1.1-1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.22.0), libsqlite3-0 (>= 3.5.9), libvala-0.16-0 (>= 0.15.1)
Homepage: http://code.google.com/p/sqlheavy/
Priority: optional
Section: libs
Filename: pool/main/s/sqlheavy/sqlheavy-utils_0.1.1-1_armhf.deb
Size: 21922
SHA256: 151b2c74763bafa475a27db5c31aec4dcd06a0bcb29d8ded2469c7b1980ac8dc
SHA1: e9f0703311527640d5501fcf163383cce65b0816
MD5sum: 15ddd46654f52e16f0624e5443db5489
Description: GObject wrapper for SQLite (binaries)
 SQLHeavy is a convenience wrapper on top of SQLite. Though its primary
 purpose is to provide an easy to use Vala interface, it also provides
 a very nice C interface and GObject Introspection support, and may be
 easier to use from other languages than the standard SQLite interface.
 .
 This package contains the binaries.

Package: sqlite
Version: 2.8.17-7
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 69
Depends: libc6 (>= 2.13-28), libreadline6 (>= 6.0), libsqlite0 (>= 2.8.17)
Suggests: sqlite-doc
Priority: optional
Section: database
Filename: pool/main/s/sqlite/sqlite_2.8.17-7_armhf.deb
Size: 22168
SHA256: 38e3a274cfca89e65237be77156d9844a8ec0a29be5dd41b2a75e0fdfbe357d9
SHA1: 843d3fc13bb065f04afcf2240a13e3a47bd4fbe6
MD5sum: c4bfb2d99823f52db2fe6a135979fbfa
Description: command line interface for SQLite
 SQLite is a C library that implements an SQL database engine.
 Programs that link with the SQLite library can have SQL database
 access without running a separate RDBMS process.

Package: sqlite-doc
Source: sqlite
Version: 2.8.17-7
Installed-Size: 684
Maintainer: Laszlo Boszormenyi (GCS) 
Architecture: all
Recommends: sqlite
Size: 166624
SHA256: 2e2e829aa140fcba9f982124ca09de7f65e08b6fad55bab520cbd7fe2c1d64ed
SHA1: d573d43881c7b9579001d85e916ba84674a879c6
MD5sum: fe7be90a6fdbebeb1befa89b614e72e5
Description: SQLite documentation
 SQLite is a C library that implements an SQL database engine.
 Programs that link with the SQLite library can have SQL database
 access without running a separate RDBMS process.
 .
 This package contains the documentation that is also available on
 the SQLite homepage.
Tag: devel::lang:sql, devel::library, made-of::html, role::documentation,
 works-with::db
Section: doc
Priority: optional
Filename: pool/main/s/sqlite/sqlite-doc_2.8.17-7_all.deb

Package: sqlite3
Version: 3.7.13-1+deb7u4
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 139
Depends: libc6 (>= 2.13-28), libreadline6 (>= 6.0), libsqlite3-0 (= 3.7.13-1+deb7u4)
Suggests: sqlite3-doc
Multi-Arch: foreign
Homepage: http://www.sqlite.org/
Priority: optional
Section: database
Filename: pool/main/s/sqlite3/sqlite3_3.7.13-1+deb7u4_armhf.deb
Size: 117540
SHA256: fb4c5090973180222428200a7c346273d2bd7cfe1b81fd3a4d4bd0643dc5e5bb
SHA1: e2e7a27e26073d7569d7949724ac39c7555f52ba
MD5sum: 5abe4dd474a17ea95bbc0c5c56c8e867
Description: Command line interface for SQLite 3
 SQLite is a C library that implements an SQL database engine.
 Programs that link with the SQLite library can have SQL database
 access without running a separate RDBMS process.

Package: sqlite3-doc
Source: sqlite3
Version: 3.7.13-1+deb7u4
Installed-Size: 8237
Maintainer: Laszlo Boszormenyi (GCS) 
Architecture: all
Size: 3136744
SHA256: 9586b09b43ffb6301d19599f87b187cf1b43d307020fb18df5059e992fd4cbb1
SHA1: 1d6469cb10af72e9cfe71e9e0ffb180862e04661
MD5sum: e646f3404884fe72f7b9d590e992d9bd
Description: SQLite 3 documentation
 SQLite is a C library that implements an SQL database engine.
 Programs that link with the SQLite library can have SQL database
 access without running a separate RDBMS process.
 .
 This package contains the documentation that is also available on
 the SQLite homepage.
Homepage: http://www.sqlite.org/
Recommends: sqlite3
Section: doc
Priority: optional
Filename: pool/main/s/sqlite3/sqlite3-doc_3.7.13-1+deb7u4_all.deb

Package: sqlitebrowser
Version: 2.0.0~beta1+ds.1-3
Architecture: armhf
Maintainer: Arto Jantunen 
Installed-Size: 413
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0)
Homepage: http://sqlitebrowser.sourceforge.net/
Priority: extra
Section: database
Filename: pool/main/s/sqlitebrowser/sqlitebrowser_2.0.0~beta1+ds.1-3_armhf.deb
Size: 155818
SHA256: d7632c4c64f85c43f2dc170174809719cd7d771e520c079d37d81177dc37c82d
SHA1: 25b83546d82defe4158eaa9d706b2ec0a5c4abc3
MD5sum: 2f5b11dda01706f81b6625585b37126a
Description: GUI editor for SQLite databases
 SQLite Database Browser is a visual tool used to create, design and edit
 database files compatible with SQLite. Its interface is based on QT,
 and is meant to be used for users and developers that want to create
 databases, edit and search data using a familiar spreadsheet-like
 interface, without the need to learn complicated SQL commands.
 Controls and wizards are available for users to:
  - Create and compact database files
  - Create, define, modify and delete tables
  - Create, define and delete indexes
  - Browse, edit, add and delete records
  - Search records
  - Import and export records as text
  - Import and export tables from/to CSV files
  - Import and export databases from/to SQL dump files
  - Issue SQL queries and inspect the results
  - Examine a log of all SQL commands issued by the application
 .
 SQLite Database Browser is not a visual shell for the sqlite command line
 tool. It does not require familiarity with SQL commands.

Package: sqlline
Version: 1.0.2-4
Installed-Size: 156
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: default-jre-headless | java2-runtime-headless, libjline-java, java-wrappers
Recommends: libhsqldb-java | libmysql-java | libpg-java
Size: 65130
SHA256: 234649ddf4ed2688c401eefd4eae8cdcea1516090e876a9c67acc31b0e501373
SHA1: a81c42d91e2cfa632a27903b12a60e0b9b3fa2ce
MD5sum: eaac2e8d4edea65b438feecf0dbd666a
Description: JDBC command-line utility for issuing SQL
 SQLLine is a pure-Java console based utility for connecting to
 relational databases and executing SQL commands.
 .
 It is similar to other command-line database access utilities like
 sqlplus for Oracle, mysql for MySQL, and isql for Sybase/SQL Server.
 .
 Since it is pure-Java, it is platform independent, and will run on
 any platform that can run Java 1.3 or higher.
Homepage: http://sqlline.sourceforge.net/
Tag: implemented-in::java, interface::commandline, role::program,
 works-with::db
Section: utils
Priority: extra
Filename: pool/main/s/sqlline/sqlline_1.0.2-4_all.deb

Package: sqsh
Version: 2.1.7-1
Architecture: armhf
Maintainer: Steve Langasek 
Installed-Size: 287
Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libct4 (>= 0.64), libreadline6 (>= 6.0), libxt6
Homepage: http://sourceforge.net/projects/sqsh/
Priority: optional
Section: utils
Filename: pool/main/s/sqsh/sqsh_2.1.7-1_armhf.deb
Size: 158680
SHA256: 1993f1170e870e3939c5e1acc28c2d619f4a309bd34da2e993d9a77610b71ee4
SHA1: 8114c85b8f9ab1eb06aa6d3a2d98ca62a86f8aab
MD5sum: 47dc59a1c509b6115647fa7ca3e96896
Description: commandline SQL client for MS SQL and Sybase servers
 sqsh is a flexible commandline utility that uses the freetds libraries
 to connect to Sybase or Microsoft SQL servers.  It is a useful debugging
 tool for identifying problems with other SQL applications, and it can be
 used as a productivity tool in its own right:  unlike most SQL CLIs, sqsh's
 interactive shell lets you pipe the output of SQL queries directly to other
 Unix commands for further processing.

Package: squareness
Version: 2.3.0-5
Installed-Size: 162
Maintainer: Debian Java Maintainers 
Architecture: all
Size: 118054
SHA256: 94a09b9a13ebad2f07042092b469d2d8d967aaf56e4f7f92e1cb22922f9eb5a5
SHA1: 5e3f2ec9f1dd9eb2933351be43922e688f239f7c
MD5sum: 1e12d513366191b3004ea66516b6e1cc
Description: suite of skins for different applications
 Squareness is a suite of skins for different platforms and
 applications (e.g. Windowblinds, Java Look And Feel, Mozilla Firefox)
 with the intent to create a uniform look and feel for all those
 plattforms and applications.
Homepage: http://squareness.beeger.net/
Section: utils
Priority: optional
Filename: pool/main/s/squareness/squareness_2.3.0-5_all.deb

Package: squashfs-tools
Version: 1:4.2-5
Architecture: armhf
Maintainer: Daniel Baumann 
Installed-Size: 403
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblzma5 (>= 5.1.1alpha+20110809), liblzo2-2, zlib1g (>= 1:1.1.4)
Homepage: http://squashfs.sourceforge.net/
Priority: optional
Section: kernel
Filename: pool/main/s/squashfs-tools/squashfs-tools_4.2-5_armhf.deb
Size: 120336
SHA256: 1056c6fcd7c01d828b8f6ace78b200f4b6321acbdc1ee25d247e9f0d9a222de3
SHA1: 99cb88fffc37e90f9cb8a378db15d77d6d5ffe11
MD5sum: dbbab4b818f9206a37c79b45ef4e90a4
Description: Tool to create and append to squashfs filesystems
 Squashfs is a highly compressed read-only filesystem for Linux. It uses zlib
 compression to compress both files, inodes and directories. Inodes in the
 system are very small and all blocks are packed to minimise data overhead.
 Block sizes greater than 4K are supported up to a maximum of 64K.
 .
 Squashfs is intended for general read-only filesystem use, for archival use
 (i.e. in cases where a .tar.gz file may be used), and in constrained block
 device/memory systems (e.g. embedded systems) where low overhead is needed.

Package: squashfs-tools-dbg
Source: squashfs-tools
Version: 1:4.2-5
Architecture: armhf
Maintainer: Daniel Baumann 
Installed-Size: 102
Depends: squashfs-tools (= 1:4.2-5)
Homepage: http://squashfs.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/s/squashfs-tools/squashfs-tools-dbg_4.2-5_armhf.deb
Size: 27408
SHA256: 3d61fd2442092bc780b8e3961740a232bdbb43b32dc578ab47485b2b50948221
SHA1: 0bd06e0dd9981d92c7a2680bbfb6c0e05db6e52c
MD5sum: 0e72661cca0a2ff08526dd5a69abf513
Description: Tool to create and append to squashfs filesystems (debug)
 Squashfs is a highly compressed read-only filesystem for Linux. It uses zlib
 compression to compress both files, inodes and directories. Inodes in the
 system are very small and all blocks are packed to minimise data overhead.
 Block sizes greater than 4K are supported up to a maximum of 64K.
 .
 This package contains the debugging symbols.

Package: squeak-plugins-scratch
Version: 1.4.0.2~svn.r83-1
Architecture: armhf
Maintainer: Miriam Ruiz 
Installed-Size: 125
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.6), libglib2.0-0 (>= 2.20.1), libpango1.0-0 (>= 1.24.1)
Suggests: squeak-plugins-scratch-dbg (= 1.4.0.2~svn.r83-1)
Homepage: http://info.scratch.mit.edu/Scratch_on_Linux
Priority: optional
Section: devel
Filename: pool/main/s/squeak-plugins-scratch/squeak-plugins-scratch_1.4.0.2~svn.r83-1_armhf.deb
Size: 31658
SHA256: 2a143b2b33a50f92da530aa97f73f1bd3a1a6f96724392ebee785b9f362a52e1
SHA1: ae23b8a3ceb7a3b2d838985ff58f0e089be12501
MD5sum: 54303f8db4115cb32dcc2c1668d9c56a
Description: Squeak plugins for the Scratch programming environment
 Scratch is an easy, interactive, collaborative programming
 environment designed for creation of interactive stories, animations,
 games, music, and art -- and sharing these on the web.
 .
 Scratch is designed to help young people (ages 8 and up) develop 21st
 century learning skills. As they create Scratch projects, young people
 learn important mathematical and computational ideas, while also
 gaining a deeper understanding of the process of design.
 .
 This package contains the plugins needed by Scratch and its derivatives.

Package: squeak-plugins-scratch-dbg
Source: squeak-plugins-scratch
Version: 1.4.0.2~svn.r83-1
Architecture: armhf
Maintainer: Miriam Ruiz 
Installed-Size: 78
Depends: squeak-plugins-scratch (= 1.4.0.2~svn.r83-1)
Homepage: http://info.scratch.mit.edu/Scratch_on_Linux
Priority: extra
Section: debug
Filename: pool/main/s/squeak-plugins-scratch/squeak-plugins-scratch-dbg_1.4.0.2~svn.r83-1_armhf.deb
Size: 12914
SHA256: 75e52eb0d8a8e9444e7179a9c6dd1cd88ecf6eeb4e4cb72ddb3ca8d009e06f40
SHA1: b9c7308d5eedfd01620dbd3ce458dd140370822c
MD5sum: 0c609b7ffad69ae5d748a4a1e5506f42
Description: Squeak plugins for the Scratch programming environment - debug
 Scratch is an easy, interactive, collaborative programming
 environment designed for creation of interactive stories, animations,
 games, music, and art -- and sharing these on the web.
 .
 This package contains the debugging symbols for the plugins.

Package: squeak-vm
Version: 1:4.4.7.2357-1.1+rpi1
Architecture: armhf
Maintainer: Debian Squeak Team 
Installed-Size: 1455
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), whiptail, xterm | x-terminal-emulator, gettext-base
Recommends: zenity | kdebase-bin
Suggests: etoys
Homepage: http://www.squeakvm.org/unix/
Priority: optional
Section: interpreters
Filename: pool/main/s/squeak-vm/squeak-vm_4.4.7.2357-1.1+rpi1_armhf.deb
Size: 627160
SHA256: 272780ad92440b2ff5bd6d470923574cc7345f7ec8295e31d583320f42e4b6dd
SHA1: c266f0216a3d4c672d4bfbb40d14a821095982f3
MD5sum: 21b6989440b0ac9c4f54c76c0c5ef6f0
Description: The Squeak Smalltalk System (virtual machine)
 Squeak is a full-featured implementation of the Smalltalk programming
 language and environment based on (and largely compatible with) the
 original Smalltalk-80 system.
 .
 This package contains just the Squeak virtual machine.  If you are
 new to Squeak then you will have to install a Squeak image too before
 you can run Squeak. Squeak images can be downloaded from
 http://www.squeak.org, http://www.squeakland.org or
 http://squeak.educarex.es

Package: squeeze
Version: 0.2.3-12
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 798
Pre-Depends: multiarch-support
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libexo-1-0 (>= 0.5.0), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libthunar-vfs-1-2 (>= 1.0.0), libxfce4util4 (>= 4.3.99.2), exo-utils
Priority: optional
Section: xfce
Filename: pool/main/s/squeeze/squeeze_0.2.3-12_armhf.deb
Size: 168606
SHA256: 1810f9c5c55a16699b7ef194dda59cf5267d88746c77fb23241ac6d25e2332d4
SHA1: 223dbf532306a96e474937d40100b01283dbf72d
MD5sum: 27bcf1b7c5f47c2c930c2424cbee8309
Description: modern and advanced archive manager for Xfce
 Squeeze is a modern and advanced archive manager for the Xfce Desktop
 Environment.
 Its design adheres to the Xfce philosophy, which basically means Squeeze is
 both fast and easy to use.
 .
 This package contains the squeeze executable and the library for Squeeze
 framework.

Package: squid
Version: 2.7.STABLE9-4.1+deb7u3
Architecture: armhf
Maintainer: Luigi Gangitano 
Installed-Size: 1617
Pre-Depends: debconf (>= 1.2.9) | debconf-2.0
Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libdb5.1, libgcc1 (>= 1:4.4.0), libgssapi-krb5-2 (>= 1.10+dfsg~), libkrb5-3 (>= 1.6.dfsg.2), libldap-2.4-2 (>= 2.4.7), libpam0g (>= 0.99.7.1), netbase, adduser, logrotate (>= 3.5.4-1), squid-common (>= 2.7.STABLE9-4.1+deb7u3), lsb-base (>= 3.2-14), perl-modules
Suggests: squidclient, squid-cgi, logcheck-database, resolvconf (>= 0.40), smbclient, winbind
Conflicts: sarg (<< 1.1.1-2), squid-novm
Replaces: squid-novm
Homepage: http://www.squid-cache.org/
Priority: optional
Section: web
Filename: pool/main/s/squid/squid_2.7.STABLE9-4.1+deb7u3_armhf.deb
Size: 781412
SHA256: 8f90f8be09e898070db1a90e3d80d4096ff4ca8c71220e783a389118ec01b19a
SHA1: 5601307668b0af62e1c319c1496f774de9aeb2e8
MD5sum: 364663ba2ce2cd31bb926efe61d0fb82
Description: Internet object cache (WWW proxy cache)
 This package provides the Squid Internet Object Cache developed by
 the National Laboratory for Applied Networking Research (NLANR) and
 Internet volunteers.

Package: squid-cgi
Source: squid3
Version: 3.1.20-2.2+deb7u8
Architecture: armhf
Maintainer: Luigi Gangitano 
Installed-Size: 153
Depends: apache2 | httpd, libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Conflicts: squid3-cgi
Replaces: squid3-cgi
Provides: squid3-cgi
Homepage: http://www.squid-cache.org
Priority: optional
Section: web
Filename: pool/main/s/squid3/squid-cgi_3.1.20-2.2+deb7u8_armhf.deb
Size: 116716
SHA256: 65609a1f9bbb1c43b13f32b6da2de5712cbc69caeae8eb4fe999c49bd70ee07e
SHA1: aa6efd145b3c79cc3124291747cf1b54a4fcc883
MD5sum: bfaca1a84c4558d68733e66d982959fe
Description: Full featured Web Proxy cache (HTTP proxy) - control CGI
 Squid is a high-performance proxy caching server for web clients, supporting
 FTP, gopher, and HTTP data objects.
 .
 Squid version 3 is a major rewrite of Squid in C++ and introduces a number of
 new features including ICAP and ESI support.
 .
 This package contains a CGI program that can be used to query and administrate
 a `squid' proxy cache through a web browser.

Package: squid-common
Source: squid
Version: 2.7.STABLE9-4.1+deb7u3
Installed-Size: 425
Maintainer: Luigi Gangitano 
Architecture: all
Replaces: squid (<< 2.6.1-1)
Depends: squid-langpack
Size: 352928
SHA256: 80100a6aad3657f44817b364c760919297639276b5475edda06f0d6681a5f8d7
SHA1: 2797942b0a01b1fb84e0112bf12bfbb25edbfbf1
MD5sum: 26aa9140892cbacf0885e652c962f153
Description: Internet object cache (WWW proxy cache) - common files
 This package provides the Squid Internet Object Cache developed by
 the National Laboratory for Applied Networking Research (NLANR) and
 Internet volunteers.
 .
 This package contains common files (errors and icons)
Homepage: http://www.squid-cache.org/
Section: web
Priority: optional
Filename: pool/main/s/squid/squid-common_2.7.STABLE9-4.1+deb7u3_all.deb

Package: squid-langpack
Version: 20120616-1
Installed-Size: 2141
Maintainer: Luigi Gangitano 
Architecture: all
Size: 333054
SHA256: 79e3d15a3fd272dcddf75e719ecdc06c56c9b6e2b4fadf0ba990747f2fabdd4c
SHA1: c1c25351c1ad26d447359133195ad882f8a48e3f
MD5sum: f94993f7e7d978b30a666d80319cbe74
Description: Localized error pages for Squid
 Squid is a high-performance proxy caching server for web clients, supporting
 FTP, gopher, and HTTP data objects.
 .
 This package contains localized error pages.
Homepage: http://www.squid-cache.org
Tag: role::app-data, use::proxying
Section: localization
Priority: optional
Filename: pool/main/s/squid-langpack/squid-langpack_20120616-1_all.deb

Package: squid-prefetch
Version: 1.1-2.3
Installed-Size: 88
Maintainer: Brian White 
Architecture: all
Depends: squid, libwww-perl, liburi-perl
Size: 8016
SHA256: d243bf7dbfc1243830aab6af06e17d1b940d959b8552d12b28dbbbcbd4b2236a
SHA1: ed4bbfa5329ea956622a782152ee68162d6c7951
MD5sum: 998fa7f2c7aa6352b77537f6fb09f07d
Description: Simple page-prefetch for Squid web proxy
 Squid-Prefetch will perform early fetches of pages linked to by pages already
 read.  This means that a user that clicks on a link will have that new page
 appear instantly instead of having to wait for it to be fetched from the
 Internet.  Only text pages are prefetched on the assumption that the images
 can be loaded later so long as the text of a page is available for display.
Tag: implemented-in::perl, protocol::http, role::program, use::proxying
Section: web
Priority: optional
Filename: pool/main/s/squid-prefetch/squid-prefetch_1.1-2.3_all.deb

Package: squid3
Version: 3.1.20-2.2+deb7u8
Architecture: armhf
Maintainer: Luigi Gangitano 
Installed-Size: 3459
Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libcomerr2 (>= 1.01), libdb5.1, libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libldap-2.4-2 (>= 2.4.7), libltdl7 (>= 2.4.2), libpam0g (>= 0.99.7.1), libsasl2-2 (>= 2.1.24), libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4), netbase, adduser, logrotate (>= 3.5.4-1), squid3-common (= 3.1.20-2.2+deb7u8), lsb-base
Suggests: squidclient, squid-cgi, resolvconf (>= 0.40), smbclient, ufw
Homepage: http://www.squid-cache.org
Priority: optional
Section: web
Filename: pool/main/s/squid3/squid3_3.1.20-2.2+deb7u8_armhf.deb
Size: 1524620
SHA256: 6e6e6a129c49bf9790839a1eb7bc4ef77f67b8e3b357793854f590a40cb967dc
SHA1: 7ec067e59a5894fd33d39f1585c51bde74be2a4c
MD5sum: 545726688b27460a1e3eb688ceb33e3d
Description: Full featured Web Proxy cache (HTTP proxy)
 Squid is a high-performance proxy caching server for web clients, supporting
 FTP, gopher, and HTTP data objects.
 .
 Squid version 3 is a major rewrite of Squid in C++ and introduces a number of
 new features including ICAP and ESI support.

Package: squid3-common
Source: squid3
Version: 3.1.20-2.2+deb7u8
Installed-Size: 337
Maintainer: Luigi Gangitano 
Architecture: all
Depends: squid-langpack (>= 20110214-1)
Size: 204004
SHA256: a0346486102e2ab7fb39b2d8042a4854695921cc64dc1dee334132088ce62a13
SHA1: 50861872f7a203cc8fb1660a5ba4c90875a37e35
MD5sum: da831edaf281b8f480d462f6e9637adb
Description: Full featured Web Proxy cache (HTTP proxy) - common files
 Squid is a high-performance proxy caching server for web clients, supporting
 FTP, gopher, and HTTP data objects.
 .
 Squid version 3 is a major rewrite of Squid in C++ and introduces a number of
 new features including ICAP and ESI support.
 .
 This package contains common files (MIB and icons)
Homepage: http://www.squid-cache.org
Section: web
Priority: optional
Filename: pool/main/s/squid3/squid3-common_3.1.20-2.2+deb7u8_all.deb

Package: squid3-dbg
Source: squid3
Version: 3.1.20-2.2+deb7u8
Architecture: armhf
Maintainer: Luigi Gangitano 
Installed-Size: 14149
Depends: squid3 (= 3.1.20-2.2+deb7u8)
Homepage: http://www.squid-cache.org
Priority: extra
Section: debug
Filename: pool/main/s/squid3/squid3-dbg_3.1.20-2.2+deb7u8_armhf.deb
Size: 5765226
SHA256: a6e32e839d1efedd65566a605573802525756daac13042237889527d2824dc6f
SHA1: a92429090a206a2cc9c03b48481febbc803446b9
MD5sum: cacc1a165d38376839951bb2fabe2833
Description: Full featured Web Proxy cache (HTTP proxy) - Debug symbols
 Squid is a high-performance proxy caching server for web clients, supporting
 FTP, gopher, and HTTP data objects.
 .
 Squid version 3 is a major rewrite of Squid in C++ and introduces a number of
 new features including ICAP and ESI support.
 .
 This package contains debugging symbols for binaries in squid3.

Package: squidclient
Source: squid3
Version: 3.1.20-2.2+deb7u8
Architecture: armhf
Maintainer: Luigi Gangitano 
Installed-Size: 141
Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Conflicts: squid3-client
Replaces: squid3-client
Provides: squid3-client
Homepage: http://www.squid-cache.org
Priority: optional
Section: web
Filename: pool/main/s/squid3/squidclient_3.1.20-2.2+deb7u8_armhf.deb
Size: 111908
SHA256: 573469feea0fd8592b7cf8c1eea7d7b9c52bb5c9ed0ffae1fca1fabd9b6d9cff
SHA1: 0931f8eafacbe21c85009fdb8defa905ac737209
MD5sum: e47eef1eb07fa10cc03735cdb61505be
Description: Full featured Web Proxy cache (HTTP proxy) - control utility
 Squid is a high-performance proxy caching server for web clients, supporting
 FTP, gopher, and HTTP data objects.
 .
 Squid version 3 is a major rewrite of Squid in C++ and introduces a number of
 new features including ICAP and ESI support.
 .
 This package contains a small utility that can be used to get URLs from the
 command line. It needs to talk to a `squid' proxy server.

Package: squidguard
Version: 1.5-1+deb7u1
Architecture: armhf
Maintainer: Joachim Wiedorn 
Installed-Size: 179
Depends: squid3 | squid, liburi-perl, libwww-perl, libc6 (>= 2.13-28), libdb5.1, libldap-2.4-2 (>= 2.4.7), debconf (>= 0.5) | debconf-2.0
Suggests: ldap-utils, squidguard-doc
Homepage: http://www.squidguard.org
Priority: optional
Section: web
Filename: pool/main/s/squidguard/squidguard_1.5-1+deb7u1_armhf.deb
Size: 119398
SHA256: 57ebc82c20e2521e9d045f6ed877061577f0371848728c1e5b49b95983dce748
SHA1: 086598e4f08d3a6702890d8b1948b92e7fe1b1e9
MD5sum: 5284d2e501f7a859b2f511ddd604366a
Description: filter and redirector plugin for Squid
 squidGuard is a free, flexible and ultra fast filter, redirector
 and access controller plugin for squid.  It lets you define multiple
 access rules with different restrictions for different user groups on
 a squid cache.  squidGuard uses squid's standard redirector interface.

Package: squidguard-doc
Source: squidguard
Version: 1.5-1+deb7u1
Installed-Size: 273
Maintainer: Joachim Wiedorn 
Architecture: all
Replaces: squidguard (<= 1.3)
Breaks: squidguard (<= 1.3)
Size: 106972
SHA256: f3f30b9d75cd098c1e596a8478368cc01c9f75ec16f80bb6f9135027923cb6a6
SHA1: ea8e2a771454302a67da9528509e0d6d09502722
MD5sum: 32d86613d5c8e9ad7f6e7e416a3998c8
Description: filter and redirector plugin for Squid - Documentation
 squidGuard is a free, flexible and ultra fast filter, redirector
 and access controller plugin for squid.  It lets you define multiple
 access rules with different restrictions for different user groups on
 a squid cache.  squidGuard uses squid's standard redirector interface.
 .
 This package contains some older html and txt documentation.
Homepage: http://www.squidguard.org
Section: doc
Priority: optional
Filename: pool/main/s/squidguard/squidguard-doc_1.5-1+deb7u1_all.deb

Package: squidtaild
Version: 2.1a6-6
Installed-Size: 160
Maintainer: Alberto Capella Silva 
Architecture: all
Depends: perl, libconfigreader-simple-perl
Recommends: squid
Size: 16130
SHA256: f8b7bbca1fc96fa5e67c82fd21f11bfe39ff08b7be81debacfbf9bef9a0cea45
SHA1: b00df4df058d2cb4df43e4f52397e1b63ac24529
MD5sum: 0f8ffef049cffe5e65fd0c86d90799ac
Description: Squid log monitoring program
 Squidtaild is a very fast, highly configurable Perl
 program that will dynamically create html pages that
 display the violations that people have made against
 one or more of the filters you have applied to the
 squid proxy logging system.
Homepage: http://code.google.com/p/squidtaild/
Tag: implemented-in::perl, interface::web, network::server, protocol::ftp,
 protocol::http, role::program, security::log-analyzer, use::monitor,
 use::proxying, works-with-format::html, works-with::logfile,
 works-with::text
Section: web
Priority: extra
Filename: pool/main/s/squidtaild/squidtaild_2.1a6-6_all.deb

Package: squidview
Version: 0.79-2
Architecture: armhf
Maintainer: Willi Mann 
Installed-Size: 181
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.4.0), libtinfo5, less
Suggests: squid
Homepage: http://www.rillion.net/squidview/
Priority: optional
Section: admin
Filename: pool/main/s/squidview/squidview_0.79-2_armhf.deb
Size: 76576
SHA256: 625f8abc8ca05ed35e2e24fec12d1e46a1e504521cfa6473e25c229ca2e52eaf
SHA1: caa47403ea5578a9b2fe2d37b58f83097b092e83
MD5sum: 61c11c42d8d28010f4f365e959cfd849
Description: monitors and analyses squid access.log files
 squidview is a ncurses-based application which allows you to view
 and monitor your squid's access.log in a very comfortable way.
 Additionally you can create reports and statistics.

Package: squirrelmail
Version: 2:1.4.23~svn20120406-2+deb7u2
Installed-Size: 2711
Maintainer: Jeroen van Wolffelaar 
Architecture: all
Depends: apache2 | httpd, libapache2-mod-php5 | php5 | php5-cgi, perl
Suggests: squirrelmail-decode, php5-recode, imap-server, imapproxy, php-pear, php5-ldap
Size: 644190
SHA256: c68ade218517d455b2d6a5df9521de39fe646268eda09749fedcab93547326f4
SHA1: 4255b987b44dd1088fd1a0d444d928aaae2415be
MD5sum: 7000baeefe4ac237ff1d7772eade3295
Description: Webmail for nuts
 SquirrelMail is a standards-based webmail package written in PHP. It
 includes built-in pure PHP support for the IMAP and SMTP protocols, and
 is designed for maximum compatibility across browsers. SquirrelMail has
 few requirements and is easy to configure and install. It runs on top of
 any IMAP server.
 .
 SquirrelMail has all the functionality you would want from an email client,
 including strong MIME support, address books and folder manipulation.
Homepage: http://www.squirrelmail.org/
Recommends: squirrelmail-locales, squirrelmail-viewashtml, php5-mhash, ispell | aspell | aspell-bin
Section: web
Priority: optional
Filename: pool/main/s/squirrelmail/squirrelmail_1.4.23~svn20120406-2+deb7u2_all.deb

Package: squirrelmail-compatibility
Version: 2.0.16-1
Installed-Size: 428
Maintainer: Jan Hauke Rahm 
Architecture: all
Depends: squirrelmail (>= 2:1.4.13)
Size: 35396
SHA256: 6c4e4e757b82299196175fc671df3e5757ddde8c0dc051a48db49d7738f12203
SHA1: e654e886d4675ec3288719730f48d2fb6668531d
MD5sum: d7d7f0ed4042e1ff7c5d7be4c40895ca
Description: SquirrelMail plugin: Let other plugins work with older/newer SM versions
 This plugin allows any other plugin access to the functions and special
 variables needed to make it backward (and forward) compatible with most
 versions of SM in wide use. This eliminates the need for duplication of
 certain functions throughout many plugins. It also provides functionality that
 helps check that plugins have been installed and set up correctly.
 .
 SquirrelMail is a standards-based webmail package written in PHP. It runs on
 top of any IMAP server.
Homepage: http://www.squirrelmail.org/plugin_view.php?id=152
Tag: implemented-in::php, interface::web, role::plugin, works-with::mail
Section: web
Priority: optional
Filename: pool/main/s/squirrelmail-compatibility/squirrelmail-compatibility_2.0.16-1_all.deb

Package: squirrelmail-decode
Version: 1.2-1
Installed-Size: 2568
Maintainer: Jeroen van Wolffelaar 
Architecture: all
Depends: squirrelmail
Recommends: php4-recode | php5-recode
Size: 414312
SHA256: 5285e2ddc7198782e239c2113139dc1d5010c5043391f6f7b0a9375c45bea2da
SHA1: d7d24e3fbae82e873ce157785e926b32927b2c93
MD5sum: 5ce56694572cf71618ffc1fa0d2638f9
Description: SquirrelMail support for decoding exotic character sets
 SquirrelMail decoding functions are used to display and convert messages
 encoded in different character sets. This extra decoding library provides
 support for some complex Eastern character sets and some rarely used Apple
 character sets.
 .
 This release supports Big5, Windows-874 (cp874, Thai), Windows-949 (UHC,
 Korean), EUC-CN, EUC-JP, EUC-KR, EUC-TW, GB18030, GB2312, ISO-2022-CN,
 ISO-2022-JP, ISO-2022-JP-2, ISO-2022-KR, Shift_JIS and various x-mac-*
 character sets.
Tag: devel::i18n, implemented-in::php, role::app-data, use::converting,
 works-with-format::plaintext, works-with::mail, works-with::text
Section: web
Priority: optional
Filename: pool/main/s/squirrelmail-decode/squirrelmail-decode_1.2-1_all.deb

Package: squirrelmail-locales
Version: 1.4.18-20090526-1
Installed-Size: 11672
Maintainer: Jeroen van Wolffelaar 
Architecture: all
Depends: squirrelmail, locales
Size: 3244230
SHA256: 9f29e564a6f0467788c3eb800ef49489e17f3f668b824ad010ba2bc26211c2b0
SHA1: 3bdcb3cb9db4442685a6b5c4ec905877bd8cd339
MD5sum: ff65d8b9b074f86c201d20266dd6519d
Description: Translations for the SquirrelMail Webmail package
 This package contains translations for the SquirrelMail webmail client,
 needed when you want your users to be able to select an interface
 language other than English.
Homepage: http://www.squirrelmail.org/
Tag: interface::web, mail::imap, mail::transport-agent, protocol::imap,
 role::app-data, use::editing, use::viewing, works-with::mail
Section: web
Priority: optional
Filename: pool/main/s/squirrelmail-locales/squirrelmail-locales_1.4.18-20090526-1_all.deb

Package: squirrelmail-lockout
Version: 1.7-2
Installed-Size: 152
Maintainer: Jan Hauke Rahm 
Architecture: all
Depends: squirrelmail (>= 2:1.4.1), squirrelmail-compatibility (>= 2.0.11)
Size: 14562
SHA256: b0092d4a05ead1a81122293c72ebb5f5e8b02b9f01459786201f4622468383a2
SHA1: 79318b47768677295582cd224517d7a01c4791c9
MD5sum: bf95d38578707fec56538d666f425b05
Description: SquirrelMail plugin: Disallow access to users/domains
 This plugin allows you to create a list of users and/or domains that should be
 disallowed login access to SquirrelMail. It also allows you to block
 brute-force password guessing attacks, although please note that this will
 ONLY help fight such attacks in the SquirrelMail interface, and should really
 be implemented in your mail system's authentication backend.
 .
 SquirrelMail is a standards-based webmail package written in PHP. It runs on
 top of any IMAP server.
Homepage: http://www.squirrelmail.org/plugin_view.php?id=200
Tag: admin::login, implemented-in::php, interface::web, role::plugin,
 scope::utility, security::authentication, use::login
Section: web
Priority: optional
Filename: pool/main/s/squirrelmail-lockout/squirrelmail-lockout_1.7-2_all.deb

Package: squirrelmail-logger
Version: 2.3.1-1
Installed-Size: 180
Maintainer: Jan Hauke Rahm 
Architecture: all
Depends: squirrelmail (>= 2:1.2.7), squirrelmail-compatibility (>= 2.0.10)
Size: 21548
SHA256: 8138d433440349e06f483b0df8703d1ab03a522550fabc17cb47629920ce4b67
SHA1: d01a3b331173da523b7430e38e1961c2a0800ea4
MD5sum: 11988077ce93f40af53f234c88c54098
Description: SquirrelMail plugin: Add logging functionality to your webmail interface
 This plugin implements logging functionality for your webmail interface. You
 can choose to log to a database, a file, your system log, or any combination
 thereof. You can also choose which kinds of events to log, including login
 events, logout events, login error events, all outgoing messages, possible
 outgoing spam messages, and other error events.
 .
 Also included is monitoring functionality that will send alert emails to the
 administrator when certain events trigger. Log message format is completely
 custom-defined to meet your needs in the configuration file.
 .
 SquirrelMail is a standards-based webmail package written in PHP. It runs on
 top of any IMAP server.
Homepage: http://www.squirrelmail.org/plugin_view.php?id=52
Tag: implemented-in::php, interface::web, role::plugin, scope::utility,
 use::monitor, works-with::mail
Section: web
Priority: optional
Filename: pool/main/s/squirrelmail-logger/squirrelmail-logger_2.3.1-1_all.deb

Package: squirrelmail-quicksave
Version: 2.4.5-1
Installed-Size: 208
Maintainer: Jan Hauke Rahm 
Architecture: all
Depends: squirrelmail-compatibility (>= 2.0.7)
Size: 30838
SHA256: 6f9ecb1fbacb871e46584b2dc56127de5aec7f0d2cf0774e3136558dc71fd4ef
SHA1: 0a1f25d9f1fcccdb72223e013f4dd9a028f89887
MD5sum: 56168f1760fb70f8801ddbab3265b809
Description: SquirrelMail plugin: Auto-save messages while composing
 This plugin automatically saves messages as they are being composed in order
 to prevent accidental loss of message content due to having browsed away from
 the compose screen or more serious problems such as browser or computer
 crashes. When a message appears to have been lost and is available for
 recovery, the user will be prompted about whether or not the recovery should
 proceed.
 .
 SquirrelMail is a standards-based webmail package written in PHP. It runs on
 top of any IMAP server.
Homepage: http://www.squirrelmail.org/plugin_view.php?id=8
Tag: implemented-in::php, interface::web, mail::user-agent, role::plugin,
 use::editing, works-with::mail
Section: web
Priority: optional
Filename: pool/main/s/squirrelmail-quicksave/squirrelmail-quicksave_2.4.5-1_all.deb

Package: squirrelmail-secure-login
Version: 1.4-3
Installed-Size: 100
Maintainer: Jan Hauke Rahm 
Architecture: all
Depends: squirrelmail (>= 2:1.2.8)
Size: 13006
SHA256: bb26730b35458403f24632a6b1766616e5de678aeefe5198211d4c40414891a0
SHA1: b3f280ce0cf8cfb7d06d42102df9b6269aff5c26
MD5sum: 2c469577e5ee61ad54f30b481fd3d1b3
Description: SquirrelMail plugin: Force users to use SSL encrypted connections
 This plugin automatically enables a secure HTTPS/SSL-encrypted connection for
 the SquirrelMail login page if it hasn't already been requested by the
 referring hyperlink or bookmark. Optionally, the secure connection can be
 turned off again after successful login.
 .
 This utility is intended to prevent passwords and email contents being
 transmitted over the Internet in the clear after people browse to the login
 page without including https:// in its address.
 .
 SquirrelMail is a standards-based webmail package written in PHP. It runs on
 top of any IMAP server.
Homepage: http://www.squirrelmail.org/plugin_view.php?id=61
Tag: admin::login, implemented-in::php, interface::web, protocol::ssl,
 role::plugin, scope::utility, security::authentication, use::login,
 works-with::mail
Section: web
Priority: optional
Filename: pool/main/s/squirrelmail-secure-login/squirrelmail-secure-login_1.4-3_all.deb

Package: squirrelmail-sent-confirmation
Version: 1.6-2
Installed-Size: 112
Maintainer: Jan Hauke Rahm 
Architecture: all
Depends: squirrelmail (>= 2:1.2), squirrelmail-compatibility
Size: 19190
SHA256: 5869b3d6e8a261d0cf3053f03dc8e2def1d3af5dc111913b6e9c6969fe312583
SHA1: f6705a02264deddd844608c85c623434bba95331
MD5sum: b46dc245ab96d4fb77c5b28b0e41dad8
Description: SquirrelMail plugin: display a confimation message after sending mails
 This plugin displays a confirmation message above the message index after a
 message is successfully sent. It can also display a screen offering the option
 to add any of the message's recipients to the user's address book or move,
 delete or return to the original message in the case of replies and forwards.
 .
 SquirrelMail is a standards-based webmail package written in PHP. It runs on
 top of any IMAP server.
Homepage: http://www.squirrelmail.org/plugin_view.php?id=192
Tag: implemented-in::php, interface::web, role::plugin, scope::utility,
 use::editing, works-with::mail
Section: web
Priority: optional
Filename: pool/main/s/squirrelmail-sent-confirmation/squirrelmail-sent-confirmation_1.6-2_all.deb

Package: squirrelmail-spam-buttons
Version: 2.3.1-1
Installed-Size: 436
Maintainer: Jan Hauke Rahm 
Architecture: all
Depends: squirrelmail (>= 2:1.4.11), squirrelmail-compatibility (>= 2.0.12)
Size: 73990
SHA256: eeced72dd9b56546110b8e6beca4c24facd316ec45a8b1aa3f1b7ac9d173355a
SHA1: 6d81b3e1f113458d20db4a65ac839e6652f481c9
MD5sum: beb2f0e0e511cf1bca20929bde94f697
Description: SquirrelMail plugin: Place "Spam" buttons on the mailbox message list page
 This plugin will place "Spam" and/or "Not Spam" buttons on the mailbox message
 list page as well as on a single message view page. The action associated with
 the buttons (as well as the button text) can be configured to suit most any
 spam reporting system. Reporting by email, reporting by executing a command on
 the server and reporting by moving (or copying) the message to a designated
 folder are all supported.
 .
 SquirrelMail is a standards-based webmail package written in PHP. It runs on
 top of any IMAP server.
Homepage: http://www.squirrelmail.org/plugin_view.php?id=242
Tag: implemented-in::php, interface::web, role::plugin, use::organizing,
 works-with::mail
Section: web
Priority: optional
Filename: pool/main/s/squirrelmail-spam-buttons/squirrelmail-spam-buttons_2.3.1-1_all.deb

Package: squirrelmail-viewashtml
Version: 3.8-3
Installed-Size: 32
Maintainer: Jan Hauke Rahm 
Architecture: all
Depends: squirrelmail (>= 2:1.4.10)
Enhances: squirrelmail
Conflicts: squirrelmail (>= 2:1.5)
Size: 6358
SHA256: ef43a68ba67e3bce52110d1d67770869c9bbd44dd24f4443533dc3c3237ecab0
SHA1: 724c8d89e731a0784aaee86a0301301da125a313
MD5sum: 31ec7970f14452cc088e4b3a28f4d9a7
Description: SquirrelMail plugin: View mails as HTML
 Adds a link to each email allowing the user to switch between HTML and plain
 text.
 .
 SquirrelMail is a standards-based webmail package written in PHP. It runs on
 top of any IMAP server.
Homepage: http://www.squirrelmail.org/plugin_view.php?id=55
Tag: implemented-in::php, interface::web, role::plugin, scope::utility,
 use::viewing, works-with::mail
Section: web
Priority: optional
Filename: pool/main/s/squirrelmail-viewashtml/squirrelmail-viewashtml_3.8-3_all.deb

Package: squizz
Version: 0.99a-2
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 74
Depends: libc6 (>= 2.4), libsquizz (>= 0.99a)
Homepage: ftp://ftp.pasteur.fr/pub/gensoft/projects/squizz/
Priority: optional
Section: science
Filename: pool/main/s/squizz/squizz_0.99a-2_armhf.deb
Size: 29880
SHA256: 19827e43f5c54fc87c63c99c6677d418f3d852c1533d32033de41629a14d5db2
SHA1: 9d547280334d10fb8e63b050c43cd3c467d2c2da
MD5sum: 908d1b0b574f908fb2890d79705ee836
Description: Converter for genetic sequences and alignments
 Squizz is a sequence/alignment format checker, but it has some
 conversion capabilities too.
 Most common sequence and alignment formats are supported :
  - EMBL, FASTA, GCG, GDE, GENBANK, IG, NBRF, PIR (codata), RAW, and
   SWISSPROT.
  - CLUSTAL, FASTA, MEGA, MSF, NEXUS, PHYLIP (interleaved and sequential) and
   STOCKHOLM.

Package: sqwebmail
Source: courier
Version: 0.68.2-1
Architecture: armhf
Maintainer: Stefan Hornburg (Racke) 
Installed-Size: 1277
Depends: debconf (>= 0.5) | debconf-2.0, courier-authlib (>= 0.63.0), libc6 (>= 2.13-28), libfam0, libgcc1 (>= 1:4.4.0), libgdbm3 (>= 1.8.3), libldap-2.4-2 (>= 2.4.7), libpcre3 (>= 8.10), default-mta | mail-transport-agent, courier-base (>= 0.68.2), ispell, iamerican | ispell-dictionary, apache2 | httpd-cgi, cron, expect, courier-maildrop (>= 0.68.2), debconf
Suggests: courier-doc, gnupg, courier-pcp
Homepage: http://www.courier-mta.org/
Priority: optional
Section: mail
Filename: pool/main/c/courier/sqwebmail_0.68.2-1_armhf.deb
Size: 535144
SHA256: 1f9c753307a244e4bfc07c01c653435f96573018183526abe530bfc3cf827134
SHA1: 090e6e5990840699a1931ef828c498a4d7acabed
MD5sum: fdb424da2369df9b24e158c015e2dbbe
Description: Courier mail server - webmail server
 The Courier mail transfer agent (MTA) is an integrated mail/groupware
 server based on open commodity protocols, such as ESMTP, IMAP, POP3, LDAP,
 SSL, and HTTP. Courier provides ESMTP, IMAP, POP3, webmail, and mailing list
 services within a single, consistent, framework.
 .
 This package contains the SqWebMail webmail server, which
 provides access to local mailboxes in Maildir format.

Package: sqwebmail-de
Version: 5.5.1-1
Installed-Size: 352
Maintainer: Willi Mann 
Architecture: all
Depends: sqwebmail
Size: 37042
SHA256: e5da9d001a2f0f022ed3138b066fa9af559bdb75901549c1fdc1e3e346439bc6
SHA1: 310f4627928f7bd94a3e941106ae576c77ffecaa
MD5sum: 4f13e69ee07f4c5915fc2b9da3f0959a
Description: German translations for the SqWebMail webmail service
 This package contains German HTML templates which are used by the sqwebmail
 program to render pages.
Homepage: http://www.4e4.de/internet_eng.html
Tag: culture::german, interface::web, made-of::html, mail::user-agent,
 network::server, role::app-data, use::organizing, web::application,
 works-with::mail, works-with::pim
Section: mail
Priority: optional
Filename: pool/main/s/sqwebmail-de/sqwebmail-de_5.5.1-1_all.deb

Package: src2tex
Version: 2.12h-8
Architecture: armhf
Maintainer: Sebastian Harl 
Installed-Size: 1765
Depends: libc6 (>= 2.4)
Recommends: tetex-bin
Priority: optional
Section: tex
Filename: pool/main/s/src2tex/src2tex_2.12h-8_armhf.deb
Size: 441546
SHA256: 6adf0697a4a7dcd13d5cdc6661f9ccf4efc1ae48ee09a4744ddfc2503d07d5ed
SHA1: 2bd5b98d9b68a403461e54b253a841a25d716a26
MD5sum: 25105afe7cd4226dae48cc63dd113125
Description: A converter from source program files to TeX format files
 src2tex [resp. src2latex] is a sort of text converter from BASIC, C,
 C++, OBJECTIVE-C, COBOL, FORTRAN, HTML, JAVA, LISP, MAKE, PASCAL,
 PERL, SCHEME, SHELL, TCL/TK and ASIR, MACSYMA, MAPLE, MATHEMATICA,
 MATLAB, MAXIMA, MuPAD, OCTAVE, REDUCE to TeX [resp. LaTeX]. However,
 it is not a simple pretty-printer; actually, it is designed to
 fulfill the following desires:
 .
  (1) We want to write mathematical formulae in comment area of source
      program.
  (2) We would like to patch PS or EPS figures upon source program.
  (3) We need a simple and easy-to-use tool which enables to combine
      documentation and manual with source program.
  (4) We often have to translate our program from text format to TeX
      format when we want to quote our own program in research report,
      lecture note, etc. That is quite time consuming, so it should be
      automated.

Package: srecord
Version: 1.58-1
Architecture: armhf
Maintainer: Fathi Boudra 
Installed-Size: 214
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgpg-error0 (>= 1.10), libsrecord0, libstdc++6 (>= 4.4.0)
Homepage: http://srecord.sourceforge.net
Priority: extra
Section: utils
Filename: pool/main/s/srecord/srecord_1.58-1_armhf.deb
Size: 147226
SHA256: 8a59df7cf7d84319e6a1c323c5c87c12296dbf0c96344d10f57675671da395a0
SHA1: a710b715d7507e9eedce90b1a02119007c661bda
MD5sum: 29c7b4cf7b9d6a4e51f9433bedae1722
Description: collection of powerful tools for manipulating EPROM load files
 The srecord package is a collection of powerful tools for manipulating EPROM
 load files. It reads and writes numerous EPROM file formats, and can perform
 many different manipulations.
 .
 The tools include:
  * The srec_cat program may be used to catenate (join) EPROM load files, or
    portions of EPROM load files, together. Because it understands all of the
    input and output formats, it can also be used to convert files from one
    format to another. It also understands filters.
  * The srec_cmp program may be used to compare EPROM load files, or portions
    of EPROM load files, for equality.
  * The srec_info program may be used to print summary information about EPROM
    load files.

Package: sredird
Version: 2.2.1-1.1
Architecture: armhf
Maintainer: Russell Coker 
Installed-Size: 73
Depends: libc6 (>= 2.4)
Priority: optional
Section: comm
Filename: pool/main/s/sredird/sredird_2.2.1-1.1_armhf.deb
Size: 22020
SHA256: 1881e14e8e8cb5d50336e405512da3113e5d77c005c0d79c141620d1bf3cc0b9
SHA1: c257b3916450852138b5738d0e37140eb3731074
MD5sum: 3c4e0d47975a7c9d35e9f7210d889850
Description: RFC 2217 compliant Telnet serial port redirector
 Sredird is a serial port redirector that is compliant with the RFC 2217
 "Telnet Com Port Control Option" protocol. This protocol lets you share a
 serial port through the network.

Package: srf-doc
Source: srf
Version: 0.1+dfsg-1
Installed-Size: 280
Maintainer: Debian Med Packaging Team 
Architecture: all
Size: 167472
SHA256: 618dc616edfe25b4b1299bf23cadbe22f6ec2084c07427613c6702a8e1e44c30
SHA1: 1ce868d2eb1d0af422c20313ab98f5c16494bb2a
MD5sum: 22ee26b2ce41d532aec984a6dfb10721
Description: specification documents for the SRF format DNA sequence data
 SRF (sort for Sequence Read Format) is a generic format capable of storing
 data generated by any DNA sequencing technology. Hence it has sufficient
 flexibility to store data from current and future DNA sequencing technologies
 at minimal cost of implementation. Benefits include a single input file format
 for all downstream applications and a read lookup index enabling downstream
 formats to reference reads without duplication of all of the read specific
 information.
 .
 This package contains the version 1.3.2 of the specification.
Homepage: http://srf.sourceforge.net/
Tag: made-of::pdf, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/srf/srf-doc_0.1+dfsg-1_all.deb

Package: srg
Version: 1.3.6-1
Architecture: armhf
Maintainer: Matt Brown 
Installed-Size: 161
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6)
Recommends: apache2, php5, squid
Homepage: http://www.crc.net.nz/software/srg.php
Priority: optional
Section: admin
Filename: pool/main/s/srg/srg_1.3.6-1_armhf.deb
Size: 50306
SHA256: 8ae1ab53cec3eda719b291f12588fcb8887faf7b62f12e58561189e864daa782
SHA1: 2d325739ad1bcdd249e65bbf7a643758d9cd0c07
MD5sum: 191d49b356f15766d7153f6400afd393
Description: Fast, Flexible and Detailed log analysis for the Squid Proxy
 SRG provides fast and flexible analysis of squid proxy log files while
 retaining a high level of detail, including down to the exact URLs visited
 by users and the times at which they were visited. SRG also contains support
 for generating PHP reports that can be integrated with your existing
 authentication system to provide granular access to report output.

Package: srs
Source: libmail-srs-perl
Version: 0.31-5
Installed-Size: 100
Maintainer: Debian Perl Group 
Architecture: all
Depends: libmail-srs-perl
Size: 18798
SHA256: af02b3c65efc50e7e4a328c0c80713489d3c281071373c1da58b644637e7c453
SHA1: 7ae68003b88cedaa87c3ce8bfc5344452a1039e9
MD5sum: 21bddd7464da5d22ff91f40cb16c0860
Description: command-line Sender Rewriting Scheme client
 The Sender Rewriting Scheme preserves .forward functionality in an
 SPF-compliant world.
 .
 SPF requires the SMTP client IP to match the envelope sender
 (return-path). When a message is forwarded through an intermediate
 server, that intermediate server may need to rewrite the return-path
 to remain SPF compliant. If the message bounces, that intermediate
 server needs to validate the bounce and forward the bounce to the
 original sender.
 .
 This package contains the srs client that can be used in
 your .forward files.
Homepage: http://search.cpan.org/dist/Mail-SRS/
Tag: implemented-in::perl, mail::filters, network::client, role::program,
 security::authentication, works-with::mail
Section: mail
Priority: optional
Filename: pool/main/libm/libmail-srs-perl/srs_0.31-5_all.deb

Package: srtp-docs
Source: srtp
Version: 1.4.4+20100615~dfsg-2+deb7u2
Installed-Size: 261
Maintainer: Jonas Smedegaard 
Architecture: all
Size: 232820
SHA256: 647bb100b37546f40f364c98845a8fda64b6d1cfff9a984b6f282d97a073a430
SHA1: 0f9eb8f4443d39b47a3de075b4a13d6ec9f6f0f0
MD5sum: 66515a1bb5288341aa323b330741318c
Description: Secure RTP (SRTP) and UST Reference Implementations - documentation
 SRTP is a security profile for RTP that adds confidentiality, message
 authentication, and replay protection to that protocol. It is specified
 in RFC 3711.
 .
 LibSRTP provides an implementation of the Secure Real-time Transport
 Protocol (SRTP), the Universal Security Transform (UST), and a
 supporting cryptographic kernel.
 .
 This package contains API documentation.
Homepage: http://srtp.sourceforge.net/srtp.html
Section: doc
Priority: optional
Filename: pool/main/s/srtp/srtp-docs_1.4.4+20100615~dfsg-2+deb7u2_all.deb

Package: srtp-utils
Source: srtp
Version: 1.4.4+20100615~dfsg-2+deb7u2
Architecture: armhf
Maintainer: Jonas Smedegaard 
Installed-Size: 770
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Homepage: http://srtp.sourceforge.net/srtp.html
Priority: optional
Section: libs
Filename: pool/main/s/srtp/srtp-utils_1.4.4+20100615~dfsg-2+deb7u2_armhf.deb
Size: 345866
SHA256: de862e9a2e5d9a311ded0687819df034a52443b45d548071fd9ea8fc9bff9f9e
SHA1: d407d83a40b660827cd8aedfac27f8464e845af6
MD5sum: 1cbc145094afe23f1c67ba7f7d789a04
Description: Secure RTP (SRTP) and UST Reference Implementations - utilities
 SRTP is a security profile for RTP that adds confidentiality, message
 authentication, and replay protection to that protocol. It is specified
 in RFC 3711.
 .
 LibSRTP provides an implementation of the Secure Real-time Transport
 Protocol (SRTP), the Universal Security Transform (UST), and a
 supporting cryptographic kernel.
 .
 This package contains a few simple binaries using libsrtp.

Package: ss-dev
Source: e2fsprogs (1.42.5-1.1+deb7u1)
Version: 2.0-1.42.5-1.1+deb7u1
Architecture: armhf
Maintainer: Theodore Y. Ts'o 
Installed-Size: 107
Depends: libc6-dev | libc-dev, libss2 (= 1.42.5-1.1+deb7u1), comerr-dev
Homepage: http://e2fsprogs.sourceforge.net
Priority: extra
Section: libdevel
Filename: pool/main/e/e2fsprogs/ss-dev_2.0-1.42.5-1.1+deb7u1_armhf.deb
Size: 17904
SHA256: 4cefea24953ea35ed18d64dc322cc74fcbaaec1fe3d168a2d69acc7373486f08
SHA1: b6f6c6b91346c13046d208c4694b4ed89878b554
MD5sum: 62ae97235cf3c332b6d80fae4409042f
Description: command-line interface parsing library - headers and static libraries
 This package includes a tool that parses a command table to generate
 a simple command-line interface parser, the include files needed to
 compile and use it, and the static libs.
 .
 It was originally inspired by the Multics SubSystem library.
 .
 This package contains the development environment for the ss library.

Package: ssake
Version: 3.8-2
Installed-Size: 157
Maintainer: Debian Med Packaging Team 
Architecture: all
Depends: perl, python, libperl4-corelibs-perl | perl (<< 5.12.3-7)
Suggests: ssake-examples
Size: 44042
SHA256: 103e477c40499c2e36e1ecac60dd15768bec32a5c9865497d1c9558769c48e78
SHA1: ef128460fe153cae8c636864185a45c871e0ab2d
MD5sum: 5cda284a853c23adb1d6587c491f7771
Description: genomics application for assembling millions of very short DNA sequences
 The Short Sequence Assembly by K-mer search and 3′ read Extension
 (SSAKE) is a genomics application for aggressively assembling
 millions of short nucleotide sequences by progressively searching for
 perfect 3′-most k-mers using a DNA prefix tree. SSAKE is designed to
 help leverage the information from short sequences reads by
 stringently clustering them into contigs that can be used to
 characterize novel sequencing targets.
Homepage: http://www.bcgsc.ca/platform/bioinfo/software/ssake
Tag: biology::nuceleic-acids, field::biology, implemented-in::perl,
 implemented-in::python, interface::shell, role::program,
 scope::utility, use::analysing
Section: science
Priority: optional
Filename: pool/main/s/ssake/ssake_3.8-2_all.deb

Package: ssake-examples
Source: ssake
Version: 3.8-2
Installed-Size: 2157
Maintainer: Debian Med Packaging Team 
Architecture: all
Suggests: ssake
Size: 2175664
SHA256: 2006ff864cc3987c5f9146b2d751efbc1c920f519d07ffc4711968f9c44f2f94
SHA1: f19c001bfcf17810a52d549b0a9f000f55f22a90
MD5sum: fb22dfbe5d149cd82b3ec40b8c3d0e9f
Description: example data for SSAKE, a genomic assembler of short reads
 This package contains test sequences for SSAKE, a genomics application for
 assembling millions of very short DNA sequences.
 .
 Instructions about how to use the test data can be found in
 /usr/share/doc/ssake/SSAKE.readme.gz after the package `ssake' is installed.
Homepage: http://www.bcgsc.ca/platform/bioinfo/software/ssake
Section: science
Priority: optional
Filename: pool/main/s/ssake/ssake-examples_3.8-2_all.deb

Package: ssdeep
Version: 2.7-2
Architecture: armhf
Maintainer: Debian Forensics 
Installed-Size: 68
Depends: libc6 (>= 2.13-28)
Homepage: http://ssdeep.sourceforge.net/
Priority: optional
Section: admin
Filename: pool/main/s/ssdeep/ssdeep_2.7-2_armhf.deb
Size: 21006
SHA256: 05037e93e281fb0f0ac074d26fabb1b7af96096ca14dbddc41ad2aec72b90c5a
SHA1: 25d27b0c0d2a4e964e2ae7476e96c2bcccf973ea
MD5sum: 9e6ad412329df13820a4fe8e377de658
Description: Recursive piecewise hashing tool
 ssdeep is a tool for recursive computing and matching of Context Triggered
 Piecewise Hashing (aka Fuzzy Hashing).
 .
 Fuzzy hashing is a method for comparing similar but not identical files.
 This tool can be used to compare files like regular hashing does (like
 md5sum or sha1sum) but it will find similar files with little differences.
 .
 For example, it can be used to identify modified versions of known files
 even if data has been inserted, modified, or deleted in the new files.

Package: ssed
Version: 3.62-7
Architecture: armhf
Maintainer: Jose Antonio Quevedo Muñoz 
Installed-Size: 611
Depends: libc6 (>= 2.13-28), dpkg (>= 1.15.4) | install-info
Homepage: http://sed.sf.net/grabbag/ssed/
Priority: extra
Section: utils
Filename: pool/main/s/ssed/ssed_3.62-7_armhf.deb
Size: 181026
SHA256: bb5d7d75495b35ad73556db4218ee9c1fcc38fc54822b0f4be5c7abe80465d86
SHA1: de7222bdb974310f2aeda448c6537e60f8b8aed9
MD5sum: 45297d4b8bec33d2ff9ae82aeb78db52
Description: The super sed stream editor
 Super sed reads the specified files or the standard input if no files are
 specified, makes editing changes according to a list of commands, and
 writes the results to the standard output.
 .
 Super sed is an enhanced version of GNU sed 3.02. Relative to 3.02, there
 are several new features (including in-place editing of files, extended
 regular expression syntax and a few new commands) and some bug fixes.

Package: ssft
Version: 0.9.13
Installed-Size: 152
Maintainer: Sergio Talens-Oliag 
Architecture: all
Recommends: gettext-base, dialog, zenity | kdebase-bin
Size: 16704
SHA256: eb76204b657ef7a35ee7deedee55aa729ac1a0b2bd4d28244cf1babb664628ef
SHA1: d25b8b4f082e05da290affc0709c9ded1da57ded
MD5sum: 803aa7281807def0bc78e0887171fae5
Description: Shell Scripts Frontend Tool
 Shell function library useful to build shell script frontends.
 .
 The library defines a set of functions to display messages and read values
 from the user on X (using zenity or kdialog) or console (using dialog or
 plain text) and has been designed to be used by sourcing the library code
 from other scripts.
 .
 The library supports L10N if gettext.sh is installed.
Section: utils
Priority: optional
Filename: pool/main/s/ssft/ssft_0.9.13_all.deb

Package: ssh
Source: openssh
Version: 1:6.0p1-4+deb7u7
Installed-Size: 29
Maintainer: Debian OpenSSH Maintainers 
Architecture: all
Depends: openssh-client, openssh-server
Size: 1242
SHA256: 32b51c0354a6fd3bba64b8afa2546f49578ae9f2715e04dd3520b26386579a31
SHA1: 6eebe2bf503d4dd970fdde588a69a5177085f9c8
MD5sum: bfb0e4d5a9d0af6d77878f336e852e04
Description: secure shell client and server (metapackage)
 This metapackage is a convenient way to install both the OpenSSH client
 and the OpenSSH server. It provides nothing in and of itself, so you
 may remove it if nothing depends on it.
Homepage: http://www.openssh.org/
Section: net
Priority: extra
Filename: pool/main/o/openssh/ssh_6.0p1-4+deb7u7_all.deb

Package: ssh-askpass
Version: 1:1.2.4.1-9
Architecture: armhf
Maintainer: Philip Hands 
Installed-Size: 123
Depends: libc6 (>= 2.4), libx11-6, libxt6
Homepage: http://www.jmknoble.net/software/x11-ssh-askpass/
Priority: optional
Section: net
Filename: pool/main/s/ssh-askpass/ssh-askpass_1.2.4.1-9_armhf.deb
Size: 33784
SHA256: a6feb1eea453ca0a689ff74a70e609c8c062b705a84d7aae81641a57f837834d
SHA1: f119533fcbf9d6fc7713afd1aa9ac350fa134c6f
MD5sum: 3aa285960d902f805c3465ebeb1b95c1
Description: under X, asks user for a passphrase for ssh-add
 This is Jim Knoble's free implementation of the ssh-askpass program.
 .
 Jim calls this x11-ssh-askpass, but I've decided to call it ssh-askpass to
 make it easier to find, because this is almost certainly the version you
 want.  Other ssh-askpass programs are available, some of which may integrate
 better into various desktop environments.

Package: ssh-askpass-fullscreen
Version: 0.3-3.1
Architecture: armhf
Maintainer: Marco Presi (Zufus) 
Installed-Size: 57
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0), libx11-6
Provides: ssh-askpass
Priority: optional
Section: x11
Filename: pool/main/s/ssh-askpass-fullscreen/ssh-askpass-fullscreen_0.3-3.1_armhf.deb
Size: 11302
SHA256: 7ffcb37ec6f47e549725c6497510d02764d68606270e41083115764da0abe7db
SHA1: a81f7686364093a8e800e9d23ef71f12318f515a
MD5sum: fa3293aaa5f0844c952e88748c7133cd
Description: Under Gnome2, asks user for a passphrase for ssh-add
 It does the same work that ssh-askpass and ssh-askpass-gnome do. It adds
 shadowing and looks more beautiful under Gnome2.

Package: ssh-askpass-gnome
Source: openssh
Version: 1:6.0p1-4+deb7u7
Architecture: armhf
Maintainer: Debian OpenSSH Maintainers 
Installed-Size: 149
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libx11-6, openssh-client | ssh (>= 1:1.2pre7-4) | ssh-krb5
Replaces: ssh (<< 1:3.5p1-3)
Provides: ssh-askpass
Homepage: http://www.openssh.org/
Priority: optional
Section: gnome
Filename: pool/main/o/openssh/ssh-askpass-gnome_6.0p1-4+deb7u7_armhf.deb
Size: 97236
SHA256: 73d9a2364e7ebae2beeb368f1d1a0f4ba9431204a9c54534c4b1854a0c2a2d41
SHA1: 432b37c2c8cb5bba3ed91e196799f5265f70781e
MD5sum: 503fd3d46b3bc5d008acb00b6385b7e1
Description: interactive X program to prompt users for a passphrase for ssh-add
 This has been split out of the main openssh-client package so that
 openssh-client does not need to depend on GTK+.
 .
 You probably want the ssh-askpass package instead, but this is
 provided to add to your choice and/or confusion.

Package: ssh-contact
Version: 0.7-1
Installed-Size: 27
Maintainer: Debian Telepathy maintainers 
Architecture: all
Depends: ssh-contact-client, ssh-contact-service
Size: 3044
SHA256: 6763ce7cf466ff7cb92b5a099711a97853a5cfccd1dc2099085139826c5c15b4
SHA1: 365f9d0fb8db58a9186254795638caca73ad22cd
MD5sum: 8b302be6e937741e605f0b276a343dd4
Description: establish SSH connections to your IM contacts using Telepathy (metapackage)
 SSH-Contact is a client/service tool that makes easy to connect your telepathy
 IM contacts via SSH. No need to care about dynamic IP, NAT, port forwarding
 or firewalls anymore; if you can chat with a friend, you can also SSH him.
 .
 This package is a metapackage that depends on both ssh-contact-client and
 ssh-contact-service.
Homepage: http://telepathy.freedesktop.org/wiki/SSH-Contact
Tag: role::metapackage
Section: net
Priority: extra
Filename: pool/main/s/ssh-contact/ssh-contact_0.7-1_all.deb

Package: ssh-contact-client
Source: ssh-contact
Version: 0.7-1
Architecture: armhf
Maintainer: Debian Telepathy maintainers 
Installed-Size: 50
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.28.0), libtelepathy-glib0 (>= 0.15.5), openssh-client, telepathy-mission-control-5
Homepage: http://telepathy.freedesktop.org/wiki/SSH-Contact
Priority: extra
Section: net
Filename: pool/main/s/ssh-contact/ssh-contact-client_0.7-1_armhf.deb
Size: 11686
SHA256: 28f95386d24eae28c9f93163e5640137a8aba0c3ff23e240523f37609cdad057
SHA1: 3777015aa77429bb86bc177f0cbb404ed4ba8112
MD5sum: af3f51a37c9aa3b7d9764a7f71666841
Description: establish SSH connections to your IM contacts using Telepathy (client)
 SSH-Contact is a client/service tool that makes easy to connect your telepathy
 IM contacts via SSH. No need to care about dynamic IP, NAT, port forwarding
 or firewalls anymore; if you can chat with a friend, you can also SSH him.
 .
 This package contains the client side of ssh-contact.

Package: ssh-contact-service
Source: ssh-contact
Version: 0.7-1
Architecture: armhf
Maintainer: Debian Telepathy maintainers 
Installed-Size: 60
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.28.0), libtelepathy-glib0 (>= 0.15.5), openssh-server
Homepage: http://telepathy.freedesktop.org/wiki/SSH-Contact
Priority: extra
Section: net
Filename: pool/main/s/ssh-contact/ssh-contact-service_0.7-1_armhf.deb
Size: 7420
SHA256: 33e7f88fe2fdbf4502cea90c9cedda71804d6b4e7736f557954f6c7c00bc84e9
SHA1: 2e7d62f8514e9868457dc98bb8b1b216b68fa1db
MD5sum: 3d6642f9e0d154dbcdd346f442cf1af4
Description: establish SSH connections to your IM contacts using Telepathy (server)
 SSH-Contact is a client/service tool that makes easy to connect your telepathy
 IM contacts via SSH. No need to care about dynamic IP, NAT, port forwarding
 or firewalls anymore; if you can chat with a friend, you can also SSH him.
 .
 This package contains the server side of ssh-contact.

Package: ssh-krb5
Source: openssh
Version: 1:6.0p1-4+deb7u7
Installed-Size: 123
Maintainer: Debian OpenSSH Maintainers 
Architecture: all
Depends: openssh-client, openssh-server
Size: 89100
SHA256: 596d8897a9eef7e243d657d75522bcc90fcef60e6e01b19965017d18eeec5d99
SHA1: e71280fc039a8103159ddd267262339484d1e55c
MD5sum: 17a6625983d94e2568c93aa16482c442
Description: secure shell client and server (transitional package)
 This is a transitional package depending on the regular Debian OpenSSH
 client and server, which now support GSSAPI natively. It will add the
 necessary GSSAPI options to the server configuration file. You can
 remove it once the upgrade is complete and nothing depends on it.
Homepage: http://www.openssh.org/
Section: net
Priority: extra
Filename: pool/main/o/openssh/ssh-krb5_6.0p1-4+deb7u7_all.deb

Package: sshfp
Version: 1.2.2-4
Installed-Size: 107
Maintainer: Julien Valroff 
Architecture: all
Depends: python (>= 2.6.6-7~), python-dnspython, openssh-client, python (>= 2.7) | python-argparse, python-ldns, python-ipcalc
Size: 26620
SHA256: 144296d4328aff7ae465c29474f95978f6338af80253c0a665921a3d11c8f048
SHA1: 64d7b03c1fb6bad2364fb5630bfdffa0a497af06
MD5sum: 39118efb06bc4e28f9086d90d527d35e
Description: DNS SSHFP records generator
 sshfp generates RFC4255 SSHFP DNS records based on the public keys stored in
 a known_hosts file, or public keys can be obtained by using ssh-keyscan.
 Serve these entries from the DNS server for your domain to provide
 authentication via the ssh VerifyHostKeyDNS option.
 .
 This package also includes the dane command to generate IETF DANE WG
 DNS records.
Homepage: http://www.xelerance.com/software/sshfp/
Tag: implemented-in::python, interface::commandline, network::configuration,
 role::program, security::authentication
Section: net
Priority: extra
Filename: pool/main/s/sshfp/sshfp_1.2.2-4_all.deb

Package: sshfs
Source: sshfs-fuse
Version: 2.4-1
Architecture: armhf
Maintainer: Bartosz Fenski 
Installed-Size: 138
Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), fuse (>= 2.7), openssh-client (>= 1:4.6p1-1)
Homepage: http://fuse.sourceforge.net/sshfs.html
Priority: optional
Section: utils
Filename: pool/main/s/sshfs-fuse/sshfs_2.4-1_armhf.deb
Size: 54882
SHA256: 8492b0ab3e38623549b6b946f4efd409036d36e883233434d07369b9863fac7a
SHA1: 91e582f201ae8f49123633dbb1b1f10e92a16182
MD5sum: cc0e08a4b63a03b526045e0685c7b272
Description: filesystem client based on SSH File Transfer Protocol
 sshfs is a filesystem client based on the SSH File Transfer Protocol.
 Since most SSH servers already support this protocol it is very easy
 to set up: i.e. on the server side there's nothing to do.  On the
 client side mounting the filesystem is as easy as logging into the
 server with ssh.
 .
 sshfs is FUSE (Filesystem in USErspace).

Package: sshfs-dbg
Source: sshfs-fuse
Version: 2.4-1
Architecture: armhf
Maintainer: Bartosz Fenski 
Installed-Size: 140
Depends: fuse (>= 2.7), openssh-client (>= 1:4.6p1-1), sshfs (= 2.4-1)
Homepage: http://fuse.sourceforge.net/sshfs.html
Priority: extra
Section: debug
Filename: pool/main/s/sshfs-fuse/sshfs-dbg_2.4-1_armhf.deb
Size: 92152
SHA256: 114b83a25c479f60232e42944fff0b77ed1a47961766bf00f16996fd5938cd37
SHA1: 3420c1a6bbbb78396c112a00508ef9e41009f5e9
MD5sum: 19180da957b156488d012e0e9ed8e55b
Description: filesystem client based on SSH File Transfer Protocol (with debugging symbols)
 sshfs is a filesystem client based on the SSH File Transfer Protocol.
 Since most SSH servers already support this protocol it is very easy
 to set up: i.e. on the server side there's nothing to do.  On the
 client side mounting the filesystem is as easy as logging into the
 server with ssh.
 .
 sshfs is FUSE (Filesystem in USErspace).
 .
 This package contains debugging symbols.
 Please install it to create meaningful backtraces when reporting bugs.

Package: sshguard
Version: 1.5-5
Architecture: armhf
Maintainer: Julián Moreno Patiño 
Installed-Size: 325
Depends: libc6 (>= 2.10), iptables
Homepage: http://sshguard.sourceforge.net
Priority: optional
Section: net
Filename: pool/main/s/sshguard/sshguard_1.5-5_armhf.deb
Size: 125886
SHA256: 1405b9d705c31067b122ae4253f548b63fb16697cc0d420b4b7186aeeb7d6a2d
SHA1: c0e588eba861664b1833cfd8719a3fe8258afb89
MD5sum: 328b31f6e36bc04c12c0949af91942f9
Description: Protects from brute force attacks against ssh
 Protects networked hosts from the today's widespread
 brute force attacks against ssh servers. It detects such attacks
 and blocks the author's address with a firewall rule.

Package: sshm
Version: 0.4.3-1
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 70
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), openssh-client
Homepage: http://www.sourceforge.net/projects/sshm/
Priority: optional
Section: admin
Filename: pool/main/s/sshm/sshm_0.4.3-1_armhf.deb
Size: 17104
SHA256: e74dbcb3652488f6f0d9f30b53357a841559fd4bfec279751b22d3fbf453afb9
SHA1: 04c2c121e573d048a27b40a00482a34074ab40eb
MD5sum: 9750c00703cf4bd3417171ad13190946
Description: command-line tool to manage your ssh servers
 sshm is a little command line tool for managing your ssh
 servers. You can add / del / list servers and connect to them easily.
 For example, if you add a server and decide to give it the alias "foo",
 you can connect to it simply by typing "sshm foo".

Package: sshmenu
Version: 3.18-2
Installed-Size: 148
Maintainer: Kevin Coyner 
Architecture: all
Depends: ruby, libruby, libgtk2-ruby, ssh-askpass-gnome | ssh-askpass | ssh-askpass-fullscreen
Size: 34254
SHA256: 021a27b67eda7dff20986891a4552afb46079ed21501f3d4f83d7eee25dca25e
SHA1: cc551e9438a3974adc4bccbffab9310f16fa68fe
MD5sum: d8918caa8ccebc66b375c311cbc4dd22
Description: Menu for quick connections to your remote hosts
 sshmenu is a standalone application which puts all your most frequently used
 SSH connections on a menu.  Click on a host name to open a new terminal
 window with an ssh connection to the selected host.  Set up options for port
 forwarding, etc. using the preferences dialog.
Homepage: http://sshmenu.sourceforge.net/
Tag: interface::x11, protocol::ssh, role::program, uitoolkit::gtk,
 x11::applet, x11::application
Section: x11
Priority: extra
Filename: pool/main/s/sshmenu/sshmenu_3.18-2_all.deb

Package: sshpass
Version: 1.05-1
Architecture: armhf
Maintainer: Shachar Shemesh 
Installed-Size: 49
Depends: libc6 (>= 2.4)
Recommends: ssh-client
Homepage: http://sourceforge.net/projects/sshpass
Priority: extra
Section: utils
Filename: pool/main/s/sshpass/sshpass_1.05-1_armhf.deb
Size: 10608
SHA256: d55101c04983476a48708cbd10a2c41198afa9eccaebf14eaf79d151a19db1dc
SHA1: 44ae57ec004e47d513a95143fa18fa17cba40002
MD5sum: 57f81f5454e34ba8642b24b0d830a061
Description: Non-interactive ssh password authentication
 SSH's (secure shell) most common authentication mode is called "interactive
 keyboard password authentication", so called both because it is typically
 done via keyboard, and because openssh takes active measures to make sure
 that the password is, indeed, typed interactively by the keyboard. Sometimes,
 however, it is necessary to fool ssh into accepting an interactive password
 non-interactively. This is where sshpass comes in.
 .
 SECURITY NOTE: There is a reason openssh insists that passwords be typed
 interactively. Passwords are harder to store securely and to pass around
 securely between programs. If you have not looked into solving your needs
 using SSH's "public key authentication", perhaps in conjunction with the ssh
 agent (RTFM ssh-add), please do so before being tempted into using this
 package.

Package: sshuttle
Version: 0.54-2
Installed-Size: 192
Maintainer: Javier Fernández-Sanguino Peña 
Architecture: all
Depends: iptables, openssh-client | lsh-client | dropbear | ssh-client, python (>= 2.6.6-7~)
Recommends: sudo
Size: 48298
SHA256: cc4cd2f368b2a7b2cd9e5521b602fc05bb32f687c0fef01979fbd0712c3bca53
SHA1: 5492e75e51e26a8c15ce10a7f15f1aadd86c9814
MD5sum: af1f91b7a6d19f4ef7688b3f374fea60
Description: Transparent proxy server for VPN over SSH
 Sshuttle makes it possible to access remote networks using
 SSH. It creates a transparent proxy server, using iptables, that will forward
 all the traffic through an SSH tunnel to a remote copy of sshuttle.
 .
 It does not require installation on the remote server, which
 just needs to have python installed.
Homepage: https://github.com/apenwarr/sshuttle/
Tag: interface::daemon, network::server, network::vpn, protocol::ssh,
 role::program, use::proxying
Section: net
Priority: extra
Filename: pool/main/s/sshuttle/sshuttle_0.54-2_all.deb

Package: ssl-cert
Version: 1.0.32+deb7u1
Installed-Size: 102
Maintainer: Debian Apache Maintainers 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, openssl (>= 0.9.8g-9), adduser
Suggests: openssl-blacklist
Size: 19590
SHA256: 1d6e5768e6b473a55072b328f31d9888bbc18353a3955ad9a01c5981e948190b
SHA1: b69fe3c4c4d9944a468e87055dd63e734b4f4be8
MD5sum: e3691d948717cc4d79ce53cb3fb85201
Description: simple debconf wrapper for OpenSSL
 This package enables unattended installs of packages that
 need to create SSL certificates.
 .
 It is a simple wrapper for OpenSSL's certificate request utility that
 feeds it with the correct user variables.
Tag: interface::commandline, protocol::ssl, role::program, scope::utility
Section: utils
Priority: optional
Filename: pool/main/s/ssl-cert/ssl-cert_1.0.32+deb7u1_all.deb

Package: ssl-cert-check
Version: 3.22-1
Installed-Size: 60
Maintainer: TANIGUCHI Takaki 
Architecture: all
Size: 8716
SHA256: 49d5609c7a5c3e2ae81238d8f6c932b317270aba6e0f7627dfb04bcfcc785125
SHA1: 71cbc089064d5c828df09ebf4fffbcfd0232f26f
MD5sum: 40dd636e8dae69afff50497e33a8d541
Description: proactively handling X.509 certificate expiration
 ssl-cert-check is a small shell script which checks digital certificate
 expiration. It can also check local certificate files and network
 accessible servers.
Homepage: http://prefetch.net/articles/checkcertificate.html
Tag: implemented-in::shell, interface::commandline, role::program,
 use::checking
Section: net
Priority: extra
Filename: pool/main/s/ssl-cert-check/ssl-cert-check_3.22-1_all.deb

Package: ssldump
Version: 0.9b3-4.1
Architecture: armhf
Maintainer: Juan Angulo Moreno 
Installed-Size: 91
Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8)
Suggests: tcpdump
Priority: optional
Section: net
Filename: pool/main/s/ssldump/ssldump_0.9b3-4.1_armhf.deb
Size: 33908
SHA256: 22888c723ec8450eabbb0c2f543ea8dccdd4b6273eb0446a52fe25df44aca9cf
SHA1: 124676f1551cc94f86f3ef261cd6b6fef5248c6d
MD5sum: 5ede950231f6543dd97e38135d6a500d
Description: An SSLv3/TLS network protocol analyzer
 This program will dump the traffic on a network and analyze it for
 SSLv3/TLS network traffic, typically used to secure TCP connections.
 When it identifies this traffic, it decodes the results.  When
 provided with the appropriate keying material, it will also decrypt
 the connections and display the application data traffic.
 .
 ssldump is based on tcpdump, a network monitoring and data acquisition
 tool.  More information on ssldump is available at
 http://www.rtfm.com/ssldump/

Package: sslh
Version: 1.13b-3.2
Architecture: armhf
Maintainer: Guillaume Delacour 
Installed-Size: 164
Depends: libc6 (>= 2.13-28), libconfig9, debconf (>= 0.5), adduser, lsb-base (>= 3.0-6), update-inetd
Recommends: apache2 | httpd, openssh-server | ssh-server
Suggests: openbsd-inetd | inet-superserver
Conflicts: libnet-proxy-perl
Homepage: http://www.rutschle.net/tech/sslh.shtml
Priority: extra
Section: net
Filename: pool/main/s/sslh/sslh_1.13b-3.2_armhf.deb
Size: 42624
SHA256: f76bf6af4707035273f9d4bd88213fd1c8b0af0f178a7e8e718646ecb8dfa621
SHA1: 3c65fedc0f2e844c414042f4f4f177aeb0e21ecc
MD5sum: 1b8008a5a64ab40c65e86160d3c1952d
Description: ssl/ssh multiplexer
 sslh lets one accept HTTPS, SSH, OpenVPN, tinc and XMPP connections on the
 same port. This makes it possible to connect to any of these servers on
 port 443 (e.g. from inside a corporate firewall, which almost never block
 port 443) while still serving HTTPS on that port.

Package: sslscan
Version: 1.8.2-2
Architecture: armhf
Maintainer: Marvin Stark 
Installed-Size: 71
Depends: libc6 (>= 2.4), libssl1.0.0 (>= 1.0.0)
Homepage: http://sourceforge.net/projects/sslscan
Priority: extra
Section: utils
Filename: pool/main/s/sslscan/sslscan_1.8.2-2_armhf.deb
Size: 17018
SHA256: d37a7754edc85a521aeabf8008bf17a2ce1884514c142afce39b96775e48e4fe
SHA1: 1e8ed1f8c4b559c95140eb088c66bb34761377da
MD5sum: a9a2805c6a44f5e562f85694f911e0cb
Description: Fast SSL scanner
 SSLScan queries SSL services, such as HTTPS, in order to determine the ciphers
 that are supported. SSLScan is designed to be easy, lean and fast. The output
 includes preferred ciphers of the SSL service, the certificate and is in text
 and XML formats.

Package: sslsniff
Version: 0.8-3
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 266
Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblog4cpp5, libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6)
Homepage: http://www.thoughtcrime.org/software/sslsniff/
Priority: extra
Section: admin
Filename: pool/main/s/sslsniff/sslsniff_0.8-3_armhf.deb
Size: 99590
SHA256: ca40b55d881708c34fc51abb9f95f220decf6b5d94b38e9f0823f561ddeb4fbe
SHA1: d710ea146ea4e75ca9e6f90c8e86cfe55a2eb455
MD5sum: 86d43b3ef5f34f2a3f59c0a8179904a6
Description: SSL/TLS man-in-the-middle attack tool
 sslsniff is designed to create man-in-the-middle (MITM) attacks for
 SSL/TLS connections, and dynamically generates certs for the domains
 that are being accessed on the fly. The new certificates are constructed
 in a certificate chain that is signed by any certificate that is
 provided.
 sslsniff also supports other attacks like null-prefix or OCSP attacks to
 achieve silent interceptions of connections when possible.

Package: sslstrip
Version: 0.9-1
Installed-Size: 140
Maintainer: Chow Loong Jin 
Architecture: all
Depends: python-twisted-web, python (>= 2.6.6-7~)
Size: 13112
SHA256: f339a59148f1c9312f0d495e03d5faea0cd774de0dd033caa6f9b2a3bf5af4d3
SHA1: ab93085524d94ef88e3f58793336c8b40e4c7ca8
MD5sum: 7737e68961f912299fb2dd430c48b3fa
Description: SSL/TLS man-in-the-middle attack tool
 sslstrip is a tool that transparently hijacks HTTP traffic on a network, watch
 for HTTPS links and redirects, and then map those links into look-alike HTTP
 links or homograph-similar HTTPS links. It also supports modes for supplying a
 favicon which looks like a lock icon, selective logging, and session
 denial.
Homepage: http://www.thoughtcrime.org/software/sslstrip/
Tag: role::program, scope::utility
Section: net
Priority: optional
Filename: pool/main/s/sslstrip/sslstrip_0.9-1_all.deb

Package: ssmping
Version: 0.9.1-3
Architecture: armhf
Maintainer: Javier Fernandez-Sanguino Pen~a 
Installed-Size: 124
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0)
Homepage: http://www.venaas.no/multicast/ssmping/
Priority: extra
Section: net
Filename: pool/main/s/ssmping/ssmping_0.9.1-3_armhf.deb
Size: 27706
SHA256: 453079511e84a9d3d0f55d379d23bafc1dfc2c6f6be68ebfab89f15cccc35acf
SHA1: 1d3201b4cac8bf9e9e799ad9f792dc9d8714fee1
MD5sum: 1652142f9aa371b8f80d641c0797a2d2
Description: check your multicast connectivity
 Tools to check whether you can receive Source Specific Multicast (SSM)
 or Any Source Multicast (ASM) via either IPv4 or IPv6
 .
 If a host runs ssmpingd, users on other hosts can use the ssmping client
 to test whether they can receive SSM from the host. Another program
 called asmping is also provided to check whether can receive ASM.

Package: ssmtp
Version: 2.64-7
Architecture: armhf
Maintainer: Anibal Monsalve Salazar 
Installed-Size: 8
Depends: libc6 (>= 2.13-28), libgnutls-openssl27, debconf | debconf-2.0
Conflicts: mail-transport-agent
Replaces: mail-transport-agent
Provides: mail-transport-agent
Homepage: http://packages.qa.debian.org/s/ssmtp.html
Priority: extra
Section: mail
Filename: pool/main/s/ssmtp/ssmtp_2.64-7_armhf.deb
Size: 55110
SHA256: 67fc5a10b6f46e3914c4b8d68ec7e1bd971a8179f70238e4e94d3509bac33276
SHA1: f1bcda43a1c6699506b3de07486e368edea3078f
MD5sum: a90f17c0111f9b3730a43913d8770c65
Description: extremely simple MTA to get mail off the system to a mail hub
 A secure, effective and simple way of getting mail off a system to your
 mail hub. It contains no suid-binaries or other dangerous things - no mail
 spool to poke around in, and no daemons running in the background. Mail is
 simply forwarded to the configured mailhost. Extremely easy configuration.
 .
 WARNING: the above is all it does; it does not receive mail, expand aliases
 or manage a queue. That belongs on a mail hub with a system administrator.

Package: sssd
Version: 1.8.4-2
Architecture: armhf
Maintainer: Debian SSSD Team 
Installed-Size: 7470
Pre-Depends: multiarch-support
Depends: libc-ares2 (>= 1.7.0), libc6 (>= 2.13-28), libcollection2, libcomerr2 (>= 1.01), libdbus-1-3 (>= 1.1.1), libdhash1, libgcc1 (>= 1:4.4.0), libini-config2, libipa-hbac0 (= 1.8.4-2), libk5crypto3 (>= 1.6.dfsg.2), libkeyutils1, libkrb5-3 (>= 1.9+dfsg~beta1), libldap-2.4-2 (>= 2.4.7), libldb1 (>= 0.9.21), libnl1 (>= 1.1), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libpam0g (>= 0.99.7.1), libpcre3 (>= 8.10), libpopt0 (>= 1.14), libtalloc2 (>= 2.0.4~git20101213), libtdb1 (>= 1.2.7+git20101214), libtevent0 (>= 0.9.9), libunistring0, python, python-sss
Recommends: ldap-utils, bind9-host, libnss-sss, libpam-sss, libsasl2-modules-gssapi-mit | libsasl2-modules-gssapi-heimdal, libsasl2-modules-ldap
Suggests: apparmor, sssd-tools
Homepage: https://fedorahosted.org/sssd/
Priority: extra
Section: utils
Filename: pool/main/s/sssd/sssd_1.8.4-2_armhf.deb
Size: 2935010
SHA256: 65d0ac517d48d12d7c3539b20a1b36225b89c126d6b28d84555017f0a46e4e81
SHA1: e93e45da6c57a88b7933123927d8e9bafbd50408
MD5sum: bd14f1e5a0ef81fc85372d2f74bb378a
Description: System Security Services Daemon
 Provides a set of daemons to manage access to remote directories and
 authentication mechanisms. It provides an NSS and PAM interface toward
 the system and a pluggable backend system to connect to multiple different
 account sources. It is also the basis to provide client auditing and policy
 services for projects like FreeIPA.
 .
 This package provides the daemon.

Package: sssd-tools
Source: sssd
Version: 1.8.4-2
Architecture: armhf
Maintainer: Debian SSSD Team 
Installed-Size: 2339
Depends: libc6 (>= 2.13-28), libcollection2, libdbus-1-3 (>= 1.0.2), libdhash1, libini-config2, libldap-2.4-2 (>= 2.4.7), libldb1 (>= 0.9.21), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libpcre3 (>= 8.10), libpopt0 (>= 1.14), libselinux1 (>= 1.32), libsemanage1 (>= 2.0.3), libtalloc2 (>= 2.0.4~git20101213), libtdb1 (>= 1.1.2~git20080520), libtevent0 (>= 0.9.9), libunistring0, sssd (= 1.8.4-2), python
Breaks: sssd (<< 1.8.0~beta3-1)
Replaces: sssd (<< 1.8.0~beta3-1)
Homepage: https://fedorahosted.org/sssd/
Priority: extra
Section: utils
Filename: pool/main/s/sssd/sssd-tools_1.8.4-2_armhf.deb
Size: 981710
SHA256: 3f505815d7fbcbdb2da963dc490a0e7f44010306ccc66eaed4a138b4a1022fd3
SHA1: 8d6dade92a152e103b03ce76ac0dae3803a03dee
MD5sum: d6493a800509d85e1fce89ad8281c6b2
Description: System Security Services Daemon -- tools
 Provides a set of daemons to manage access to remote directories and
 authentication mechanisms. It provides an NSS and PAM interface toward
 the system and a pluggable backend system to connect to multiple different
 account sources. It is also the basis to provide client auditing and policy
 services for projects like FreeIPA.
 .
 This package provides tools to manage users, groups and nested groups when
 using the local id provider.

Package: ssss
Version: 0.5-2
Architecture: armhf
Maintainer: James Westby 
Installed-Size: 75
Depends: libc6 (>= 2.13-28), libgmp10
Priority: optional
Section: utils
Filename: pool/main/s/ssss/ssss_0.5-2_armhf.deb
Size: 13686
SHA256: 3312b378851890be371c1dda3141a217998993349a5eeaf9456940c138b377bd
SHA1: 54c01818826781875c96087e58732b6f56a54f04
MD5sum: acbbaf5d65859fa92b1cfb49320c4270
Description: Shamir's secret sharing scheme implementation
 Implementation of Shamir's Secret Sharing Scheme. The program suite
 does both: the generation of shares for a known secret, and the
 reconstruction of a secret using user-provided shares.
 .
 Shamir's Secret Sharing Scheme allows a secret to be split in to shares.
 These shares can then be distributed to different people. When the time comes
 to retrieve the secret then a preset number of the shares need to be combined.
 The number of shares created, and the number needed to retrieve the secret
 are set at splitting time. The number of shares required to re-create the
 secret can be chosen to be less that the number of shares created, so any
 large enough subset of the shares can retrieve the secret.
 .
 This scheme allows a secret to be shared, either to reduce the chances that
 the secret is lost, or to increase the number of parties that must cooperate
 to reveal the secret.
 .
  Homepage: http://point-at-infinity.org/ssss/

Package: ssvnc
Version: 1.0.29-2
Architecture: armhf
Maintainer: Magnus Holmgren 
Installed-Size: 1203
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libsm6, libssl1.0.0 (>= 1.0.0), libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6, zlib1g (>= 1:1.1.4), tk, openssh-client, bind9-host | host, procps, psmisc, xterm, stunnel4, openssl
Recommends: default-jre | java5-runtime
Homepage: http://www.karlrunge.com/x11vnc/ssvnc.html
Priority: extra
Section: net
Filename: pool/main/s/ssvnc/ssvnc_1.0.29-2_armhf.deb
Size: 493448
SHA256: 731a07752244da2a03a34c847d6c5b51240ca712ecbec9e0edc0e205b852f746
SHA1: 3df50066d0a7d19ca6e599d9dc4386bca9756a6a
MD5sum: 9a68a2beb37a24d3d791ff9910001fa8
Description: Enhanced TightVNC viewer with SSL/SSH tunnel helper
 VNC stands for Virtual Network Computing. It is, in essence, a remote
 display system which allows you to view a computing `desktop'
 environment not only on the machine where it is running, but from
 anywhere on the Internet and from a wide variety of machine
 architectures.
 .
 SSVNC is two things:
 .
 * An enhanced version of the TightVNC client with support for more
 encodings and color modes, support for x11vnc and UltraVNC
 extensions, dynamic screen resizing, an improved popup menu, etc.
 .
 * A GUI that helps set up an SSL (using stunnel) or SSH tunnel to
 connect to the VNC server through, as well as forwarding of ports for
 audio (esound/aRts), SMB, CUPS etc.

Package: stackapplet
Version: 1.4.0-2
Installed-Size: 268
Maintainer: Luke Faraone 
Architecture: all
Depends: python (>= 2.5), python-support (>= 0.90.0), python (>= 2.6) | python-simplejson, python-gtk2, python-gobject
Recommends: python-notify
Suggests: python-appindicator
Size: 35078
SHA256: fbc17d40d72290af1d91d385623ecaa0a0cc3e1f418c460472e20cb26967f266
SHA1: 248bcc2c45e5f87fcbd77e19056480c7cdb5b7ec
MD5sum: c2c6bff2787cb0c3db49e6354bead75d
Description: panel applet to track reputation on StackExchange sites
 StackApplet is a GNOME panel applet that monitors your activity on any
 StackExchange site. StackApplet will notify you when your reputation
 changes on any of the sites or when someone posts a comment to you.
 .
 StackApplet supports tracking multiple sites and usernames
 simultaneously.
Homepage: http://stackoverflow.quickmediasolutions.com/stackapplet/
Section: gnome
Priority: optional
Filename: pool/main/s/stackapplet/stackapplet_1.4.0-2_all.deb

Package: staden-io-lib-utils
Source: staden-io-lib
Version: 1.12.4-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 332
Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libstaden-read1 (>= 1.12.4)
Homepage: http://staden.sourceforge.net/
Priority: optional
Section: science
Filename: pool/main/s/staden-io-lib/staden-io-lib-utils_1.12.4-1_armhf.deb
Size: 166450
SHA256: ee0adc65004748f74287678b43f4fbb4e8fb4401e9a61e3eb2f8714acc0f7104
SHA1: 31ee714feb75476f0ceb4acb8e7ede336376f772
MD5sum: 776f778cabc872a3073485d8871d6e78
Description: programs for maniuplating DNA sequencing files
 The io_lib from the Staden package is a library of file reading and writing
 code to provide a general purpose trace file (and Experiment File) reading
 interface. It has been compiled and tested on a variety of unix systems,
 MacOS X and MS Windows.
 .
 This package contains the programs that are distributed with the Staden io_lib
 for manipulating and converting sequencing data files, and in particular files
 to maniuplate short reads generated by second and third generation sequencers
 and stored in SRF format.

Package: stalonetray
Version: 0.8.1-1
Architecture: armhf
Maintainer: Roman Dubtsov 
Installed-Size: 192
Depends: libc6 (>= 2.13-28), libx11-6, libxpm4
Homepage: http://stalonetray.sourceforge.net/
Priority: optional
Section: x11
Filename: pool/main/s/stalonetray/stalonetray_0.8.1-1_armhf.deb
Size: 79342
SHA256: 66344257bbfe72f8477499447eb71010e8db768f0dadda382af4c65b0d123527
SHA1: c24c45ba9a95fecd58a7544d45bc76da5501c7cb
MD5sum: 54225ab1645758a6fc8bb1bd4eff4900
Description: Standalone freedesktop.org and KDE systray implementation
 stalonetray is an implementation of system tray application (aka
 notificaton area) according to freedesktop.org specification; support
 for KDE icons included.
 .
 stalonetray is a stand-alone system tray (notification area) for X
 Window System/X11 (e.g. X.Org or XFree 86). It has full XEMBED
 support and minimal dependencies: an X11 lib only. Stalonetray works
 with virtually any EWMH-compliant window manager.
 .
 Window managers that are reported to work well with stalonetray:
  - FVWM
  - OpenBox
  - Enlightenment
  - ion3

Package: stardata-common
Version: 0.8
Architecture: armhf
Maintainer: Francisco Manuel Garcia Claramonte 
Installed-Size: 72
Depends: libc6 (>= 2.13-28)
Homepage: http://alioth.debian.org/projects/stardata-common/
Priority: optional
Section: science
Filename: pool/main/s/stardata-common/stardata-common_0.8_armhf.deb
Size: 12274
SHA256: 85c88dd6bc8433f0273f3b0a523c966351e23ea404201366f83251c19e7be160
SHA1: 0b67b7587e1453049eb474fdbcc9553256e2c113
MD5sum: 100ec09af39a4ce5865fb0ef8acdedcd
Description: Common framework to manage astronomy packages
 This package allows the installation and removal of astronomy catalogues,
 converting those catalogues to astronomy programs' data formats.
 .
 All stardata catalogues conforming to stardata-common policy are
 converted automatically at installation time to the formats of
 astronomy programs that support stardata-common.
 .
 The register-stardata program is transparent for the user; this program
 is called automatically when any astronomy package conforming to the
 stardata-common policy is installed, upgraded or removed.

Package: stardict
Version: 3.0.1-9.2
Installed-Size: 40
Maintainer: Andrew Lee (李健秋) 
Architecture: all
Depends: stardict-gnome (>= 3.0.1-9.2) | stardict-gtk (>= 3.0.1-9.2)
Size: 14982
SHA256: e7f7106acee75ed745d0b7bd32e6d3ba8c726ad06a31fb54f9bd4aae48d05910
SHA1: 32ba726594aa9a9c01b2db0e791429a61d1afa20
MD5sum: 1f11aef486ae89e5c9330ee983523344
Description: International dictionary
 StarDict is a cross-platform and international dictionary written in
 GTK+ 2.x.  It has powerful features such as "Glob-style pattern
 matching", "Scan selection word", "Fuzzy search", etc.
Homepage: http://stardict.sourceforge.net/
Tag: interface::x11, protocol::ssl, role::program, suite::gnome,
 uitoolkit::gtk, use::checking, works-with::dictionary, x11::application
Section: utils
Priority: optional
Filename: pool/main/s/stardict/stardict_3.0.1-9.2_all.deb

Package: stardict-common
Source: stardict
Version: 3.0.1-9.2
Installed-Size: 706
Maintainer: Andrew Lee (李健秋) 
Architecture: all
Replaces: stardict-gnome (= 3.0.1-8), stardict-gtk (= 3.0.1-8)
Depends: rarian-compat, sgml-data (>= 2.0.2)
Recommends: stardict-gnome (>= 3.0.1-9.2) | stardict-gtk (>= 3.0.1-9.2)
Conflicts: stardict-gnome (= 3.0.1-8), stardict-gtk (= 3.0.1-8)
Size: 179100
SHA256: 00b16c56aa5e247fc8b00f6f1233c9901ae261e9613a52f4a7ce4391a40f4c01
SHA1: 790981dda4a061156949890a4d2964af246f99be
MD5sum: 22bf709b5cd565ea4856f7dba11ef919
Description: International dictionary - data files
 StarDict is a cross-platform and international written in GTK+ 2.x.
 It has powerful features such as "Glob-style pattern matching",
 "Scan selection word", "Fuzzy search", etc.
 .
 This package contains data files (pixmaps, icons, documentations) needed
 by the stardict package.
Homepage: http://stardict.sourceforge.net/
Tag: made-of::icons, role::app-data, suite::gnome, works-with::dictionary
Section: utils
Priority: optional
Filename: pool/main/s/stardict/stardict-common_3.0.1-9.2_all.deb

Package: stardict-czech
Version: 20110701-1
Installed-Size: 948
Maintainer: Michal Čihař 
Architecture: all
Depends: stardict (>= 2.4.6) | sdcv (>= 0.4.2-2) | stardict-gtk (>= 2.4.6) | goldendict | qstardict | babiloo
Size: 712782
SHA256: eddf324bd3b9a75eae762da919c97b9a77c4a3ce9f6e8b33a7736cef581dc6e0
SHA1: 5fe10b0ac1f1fa0dbc86b30187c2bafd29dde473
MD5sum: b50467e6183ad251548b04cd00380472
Description: Stardict package for Czech dictionary of foreign words
 This is a package of the Czech dictionary of foreign words
 for Stardict.
 .
 The dictionary is compiled from online dictionary available at
 .
Homepage: http://cihar.com/software/slovnik/
Tag: culture::czech, made-of::dictionary, role::app-data
Section: text
Priority: optional
Filename: pool/main/s/stardict-czech/stardict-czech_20110701-1_all.deb

Package: stardict-gnome
Source: stardict
Version: 3.0.1-9.2
Architecture: armhf
Maintainer: Andrew Lee (李健秋) 
Installed-Size: 1734
Depends: gconf2 (>= 2.28.1-2), gconf-service, libart-2.0-2 (>= 2.3.17), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.12.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsigc++-2.0-0c2a (>= 2.0.2), libsm6, libstdc++6 (>= 4.6), libx11-6, zlib1g (>= 1:1.1.4), stardict-common (= 3.0.1-9.2)
Recommends: stardict-plugin (= 3.0.1-9.2), stardict-plugin-espeak (= 3.0.1-9.2), stardict-plugin-festival (= 3.0.1-9.2)
Suggests: ttf-freefont, ttf-arphic-uming
Conflicts: stardict-gtk
Replaces: stardict-gtk
Provides: stardict
Homepage: http://stardict.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/s/stardict/stardict-gnome_3.0.1-9.2_armhf.deb
Size: 994926
SHA256: 817e1f57ae8e201ebed93a2ee107c60d2dd86ecd47e0a914110daca1e9c54426
SHA1: 3fa98a24f33e77565b91bc46b13ddbcfeb0abab2
MD5sum: 56a317ec273b49e9fe12704324f3ee01
Description: International dictionary for GNOME 2
 StarDict is a cross-platform and international dictionary written in
 GTK+ 2.x.  It has powerful features such as "Glob-style pattern
 matching", "Scan selection word", "Fuzzy search", etc.
 .
 This package is compiled with GNOME 2 support and contains only the
 program of stardict and will be of limited use without the dictionary
 files. For more information about how to get the dictionary files,
 please read /usr/share/doc/stardict/README.Debian.

Package: stardict-gtk
Source: stardict
Version: 3.0.1-9.2
Architecture: armhf
Maintainer: Andrew Lee (李健秋) 
Installed-Size: 723
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.12.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsigc++-2.0-0c2a (>= 2.0.2), libsm6, libstdc++6 (>= 4.6), libx11-6, zlib1g (>= 1:1.1.4), stardict-common (= 3.0.1-9.2)
Recommends: stardict-plugin (= 3.0.1-9.2), stardict-plugin-espeak (= 3.0.1-9.2), stardict-plugin-festival (= 3.0.1-9.2)
Suggests: ttf-freefont, ttf-arphic-uming
Conflicts: stardict-gnome
Replaces: stardict-gnome
Provides: stardict
Homepage: http://stardict.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/s/stardict/stardict-gtk_3.0.1-9.2_armhf.deb
Size: 297038
SHA256: 1d5bf7e6b2dc34fec4ab3ca92be1e52763eeed8615c68d7577f5cbc9548c5c29
SHA1: a2585ffbdf16907df0f363d412d14762add6bc7f
MD5sum: 598e5023f2fe35d3fb11f02b72d0b8e3
Description: International dictionary written in GTK+ 2.x
 StarDict is a cross-platform and international dictionary written in
 GTK+ 2.x.  It has powerful features such as "Glob-style pattern
 matching", "Scan selection word", "Fuzzy search", etc.
 .
 This package is compiled without GNOME 2 support and contains only
 the program of stardict and will be of limited use without the
 dictionary files. For more information about how to get the
 dictionary files, please read /usr/share/doc/stardict/README.Debian.

Package: stardict-plugin
Source: stardict
Version: 3.0.1-9.2
Architecture: armhf
Maintainer: Andrew Lee (李健秋) 
Installed-Size: 262
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.6), libx11-6, stardict-common (= 3.0.1-9.2), stardict-gnome (= 3.0.1-9.2) | stardict-gtk (= 3.0.1-9.2)
Homepage: http://stardict.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/s/stardict/stardict-plugin_3.0.1-9.2_armhf.deb
Size: 99696
SHA256: 4077a239e257af9617fc13e726c57dcc11ea962753ead056085e92527b11cd72
SHA1: 2ce7dcb03187ffc21985a3b888beea3def840a46
MD5sum: 2d386dc95853688052b6ac52e575e2bd
Description: International dictionary - common plugins
 StarDict is a cross-platform and international written in GTK+ 2.x.
 It has powerful features such as "Glob-style pattern matching",
 "Scan selection word", "Fuzzy search", etc.
 .
 This package contains some add-on plugins for StarDict. These include:
  - man virtual dict plugin
  - qqwry virtual dict plugin
  - html parse plugin
  - xdxf parse plugin
  - wordnet plugin
  - powerword parse plugin
  - wiki parse plugin

Package: stardict-plugin-espeak
Source: stardict
Version: 3.0.1-9.2
Architecture: armhf
Maintainer: Andrew Lee (李健秋) 
Installed-Size: 59
Depends: libc6 (>= 2.13-28), libespeak1 (>= 1.30), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.4.0), libx11-6, stardict-common (= 3.0.1-9.2), stardict-gnome (= 3.0.1-9.2) | stardict-gtk (= 3.0.1-9.2), stardict-plugin (= 3.0.1-9.2)
Homepage: http://stardict.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/s/stardict/stardict-plugin-espeak_3.0.1-9.2_armhf.deb
Size: 18984
SHA256: 7cfa56adb04385cba9b8dfd981ec2bb981d5744f593b39a4a0314695441faab1
SHA1: 7e7d35cefc83472aa85d3ade51dc729545155965
MD5sum: 6b564d1906e2770adb5be7c86876dc35
Description: International dictionary - eSpeak TTS plugin
 StarDict is a cross-platform and international written in GTK+ 2.x.
 It has powerful features such as "Glob-style pattern matching",
 "Scan selection word", "Fuzzy search", etc.
 .
 This package contains eSpeak TTS plugin for StarDict which can
 pronounce words.

Package: stardict-plugin-festival
Source: stardict
Version: 3.0.1-9.2
Architecture: armhf
Maintainer: Andrew Lee (李健秋) 
Installed-Size: 1184
Depends: libc6 (>= 2.13-28), libestools2.1, libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.4.0), libx11-6, stardict-common (= 3.0.1-9.2), stardict-gnome (= 3.0.1-9.2) | stardict-gtk (= 3.0.1-9.2), stardict-plugin (= 3.0.1-9.2)
Homepage: http://stardict.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/s/stardict/stardict-plugin-festival_3.0.1-9.2_armhf.deb
Size: 527728
SHA256: 9aecd638698d59a8ab139ab9f2e6eb6c3f74c14fa5b2f03496db19bbb9eb4c0b
SHA1: c7c2a0bd8b1f7f5f3e4516a622723cb7fc42d396
MD5sum: 2bdd2ccb88a661f617ab96c540e923cd
Description: International dictionary - Festival TTS plugin
 StarDict is a cross-platform and international written in GTK+ 2.x.
 It has powerful features such as "Glob-style pattern matching",
 "Scan selection word", "Fuzzy search", etc.
 .
 This package contains Festival TTS plugin for StarDict which can
 pronounce words.

Package: stardict-plugin-spell
Source: stardict
Version: 3.0.1-9.2
Architecture: armhf
Maintainer: Andrew Lee (李健秋) 
Installed-Size: 69
Depends: libc6 (>= 2.13-28), libenchant1c2a (>= 1.6), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.6), libx11-6, stardict-common (= 3.0.1-9.2), stardict-gnome (= 3.0.1-9.2) | stardict-gtk (= 3.0.1-9.2), stardict-plugin (= 3.0.1-9.2)
Homepage: http://stardict.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/s/stardict/stardict-plugin-spell_3.0.1-9.2_armhf.deb
Size: 23658
SHA256: 3682b1441d042827a5bdc41004767ff2c56dcaaafb36b7a6dd0fa5cd543620f6
SHA1: b81374c68183c1b0575072223b710c808449ce8d
MD5sum: f8e8d1f9f06df338309526e7f9cacecd
Description: International dictionary - spell plugin
 StarDict is a cross-platform and international written in GTK+ 2.x.
 It has powerful features such as "Glob-style pattern matching",
 "Scan selection word", "Fuzzy search", etc.
 .
 This package contains spell plugin for StarDict which give you
 spelling suggestion while you searching the dictionary.

Package: stardict-tools
Version: 3.0.2-3
Architecture: armhf
Maintainer: Michal Čihař 
Installed-Size: 1487
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libmysqlclient18 (>= 5.5.13-1), libpango1.0-0 (>= 1.14.0), libpcre3 (>= 8.10), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), dictzip
Suggests: stardict (>= 2.4.8) | stardict-gtk (>= 2.4.8) | sdcv | goldendict | qstardict | babiloo
Homepage: http://stardict.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/s/stardict-tools/stardict-tools_3.0.2-3_armhf.deb
Size: 534516
SHA256: 830f7f545f0e8689047f16592e8a0029a581731fc5b28de3ad59611b4b74a006
SHA1: 90390f0b958ffc322e1fd43b00863fe884d175e7
MD5sum: e0edf07e4ce5bbf4f4bd54b7cb84241f
Description: dictionary conversion tools of stardict
 StarDict is a cross-platform and international dictionary written in
 GTK+ 2.x with GNOME 2 support. It has powerful features such as
 "Glob-style pattern matching", "Scan selection word", "Fuzzy query",
 etc.
 .
 This package contains the dictionary conversion tools which can convert
 dictionaries of DICT, wquick, mova and pydict to stardict format

Package: stardict-xmlittre
Version: 1:1.0-1
Installed-Size: 32095
Maintainer: Josselin Mouette 
Architecture: all
Recommends: stardict | stardict-gtk
Size: 31453226
SHA256: 919d1a14c66777c1270d75c9294dba189aab7c7d56e16ce474c0c7305e1f8b86
SHA1: c73a2cb6c7cc60f7e5f736a8b9eeb4a9f64e1e67
MD5sum: 1dc316f208cbf5001f6c774fd4a08387
Description: French Littré dictionary for stardict
 This package contains a XML version of the French language dictionary
 written by Émile Littré and published in 1863, suitable for the
 stardict dictionary software.
 .
 Despite its age, this dictionary now fallen in the public domain is
 still a widely used reference source for French language and
 litterature. It features 78,423 entries and 239,009 quotes from 3,910
 authors.
 .
 Homepage: http://francois.gannaz.free.fr/Littre/
Tag: culture::french, made-of::dictionary, role::app-data
Section: text
Priority: optional
Filename: pool/main/s/stardict-xmlittre/stardict-xmlittre_1.0-1_all.deb

Package: starfighter
Version: 1.2-2
Architecture: armhf
Maintainer: Guus Sliepen 
Installed-Size: 205
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.1.1), starfighter-data (= 1.2-2)
Homepage: http://sourceforge.net/projects/pr-starfighter/
Priority: extra
Section: games
Filename: pool/main/s/starfighter/starfighter_1.2-2_armhf.deb
Size: 87958
SHA256: ca37f336672b47e91d79e8210fc675f1c246488657fd9f65db53b38e99f2554c
SHA1: 04270bc029c90615c079597c829284db0d273b87
MD5sum: b17d82095acf560f13c1892763a5a53e
Description: 2D scrolling shooter game
 After decades of war one company, who had gained powerful supplying both
 sides with weaponary, steps forwards and crushes both warring factions
 in one swift movement. Using far superior weaponary and AI craft, the
 company was completely unstoppable and now no one can stand in their
 way. Thousands began to perish under the iron fist of the company. The
 people cried out for a saviour, for someone to light this dark hour...
 and someone did.
 .
 Features of the game:
 .
  o 26 missions over 4 star systems
  o Primary and Secondary Weapons (including a laser cannon and a charge weapon)
  o A weapon powerup system
  o Wingmates
  o Missions with Primary and Secondary Objectives
  o A Variety of Missions (Protect, Destroy, etc)
  o Boss battles

Package: starfighter-data
Source: starfighter
Version: 1.2-2
Installed-Size: 1271
Maintainer: Guus Sliepen 
Architecture: all
Size: 1164270
SHA256: cca4317289ece45e2d66915ad6a6ea6ef1bf409ce60fc945440c7961cb91f42a
SHA1: c76f31631d30bac6075eca585414588ddbf0a873
MD5sum: 56bc1e4a50608d63421f5b39f60f2d6f
Description: 2D scrolling shooter game -- data files
 After decades of war one company, who had gained powerful supplying both
 sides with weaponary, steps forwards and crushes both warring factions
 in one swift movement. Using far superior weaponary and AI craft, the
 company was completely unstoppable and now no one can stand in their
 way. Thousands began to perish under the iron fist of the company. The
 people cried out for a saviour, for someone to light this dark hour...
 and someone did.
 .
 Features of the game:
 .
  o 26 missions over 4 star systems
  o Primary and Secondary Weapons (including a laser cannon and a charge weapon)
  o A weapon powerup system
  o Wingmates
  o Missions with Primary and Secondary Objectives
  o A Variety of Missions (Protect, Destroy, etc)
  o Boss battles
 .
 This package contains the data files for starfighter.
Homepage: http://sourceforge.net/projects/pr-starfighter/
Section: games
Priority: extra
Filename: pool/main/s/starfighter/starfighter-data_1.2-2_all.deb

Package: starman
Version: 0.3001-1
Installed-Size: 119
Maintainer: Debian Perl Group 
Architecture: all
Depends: perl, libdata-dump-perl, libhttp-date-perl, libhttp-parser-xs-perl, libnet-server-perl, libplack-perl, libwww-perl, perl (>= 5.10.1) | libparent-perl
Size: 26286
SHA256: c3af7ecf05c6d83945a75510319e90367ccde4b686a9ca1af3d78bc84a14f02f
SHA1: e091a76068a43fbf4d1a1a264c9ebd0de8f5bad6
MD5sum: bf25286c23f284a9c46c2a1bd25665c0
Description: high-performance preforking PSGI/Plack web server
 Starman is a PSGI perl web server that has unique features such as:
  * High Performance - Uses the fast XS/C HTTP header parser
  * Preforking - Spawns workers preforked like most high performance UNIX
    servers do. Starman also reaps dead children and automatically restarts
    the worker pool.
  * Signals - Supports HUP for graceful restarts, and TTIN/TTOU to
    dynamically increase or decrease the number of worker processes.
  * Superdaemon aware - Supports Server::Starter for hot deploy and
    graceful restarts.
  * Multiple interfaces and UNIX Domain Socket support - Able to listen
    on multiple intefaces including UNIX sockets.
  * Small memory footprint - Preloading the applications with --preload-app
    command line option enables copy-on-write friendly memory management.
    Also, the minimum memory usage Starman requires for the master process
    is 7MB and children (workers) is less than 3.0MB.
  * PSGI compatible - Can run any PSGI applications and frameworks
  * HTTP/1.1 support - Supports chunked requests and responses, keep-alive
    and pipeline requests.
Homepage: http://search.cpan.org/dist/Starman/
Tag: network::server, role::program, web::server
Section: perl
Priority: optional
Filename: pool/main/s/starman/starman_0.3001-1_all.deb

Package: starplot
Version: 0.95.5-4
Architecture: armhf
Maintainer: Francisco Manuel Garcia Claramonte 
Installed-Size: 1160
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0)
Recommends: stardata-common
Suggests: gliese, yale
Provides: gliese-viewer, yale-viewer
Homepage: http://starplot.org
Priority: optional
Section: science
Filename: pool/main/s/starplot/starplot_0.95.5-4_armhf.deb
Size: 667192
SHA256: 6c9c86effccf2cc086b793ca1cb016eda341b6a23940bc52d80de9c608357fcb
SHA1: 7e4b6f3ae75d4472bbbb182607c527d4e531d61a
MD5sum: 653a2fc91795a43f98d2a31e3e664502
Description: 3-dimensional perspective star map viewer
 StarPlot is a GTK+ based program that can be used interactively to view
 three-dimensional perspective charts of stars.  Charts can be re-centered,
 rotated, or zoomed in or out with a mouse click (this can also, of course,
 be done via dialog boxes for more precision).  Stars may be viewed (or
 ignored) by spectral class and absolute magnitude.
 .
 StarPlot is packaged with starconvert, a utility that converts
 line-oriented stellar data records to StarPlot format.  Most star data
 files available on the Internet can be converted this way if a short file
 describing the original file format is provided to starconvert.

Package: starpu-examples
Source: starpu
Version: 1.0.1+dfsg-1
Architecture: armhf
Maintainer: Samuel Thibault 
Installed-Size: 3500
Depends: freeglut3, libblas3 | libblas.so.3 | libatlas3-base, libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libhwloc5, libice6 (>= 1:1.0.0), libopenmpi1.3, libsm6, libstarpu-1.0, libstarpufft-1.0, libstarpumpi-1.0, libstdc++6 (>= 4.1.1), libx11-6
Conflicts: starpu-contrib-examples
Homepage: http://starpu.gforge.inria.fr/
Priority: extra
Section: science
Filename: pool/main/s/starpu/starpu-examples_1.0.1+dfsg-1_armhf.deb
Size: 300878
SHA256: ca6baad7f4dafe071e7e4e8f65bea9df8341d5f52c571882ec9c81011b9b32fe
SHA1: b267fcc6b8684de6531040b2142d749283b09f00
MD5sum: 7faa9ecd1b2c957b00e5bf70acef5d54
Description: Task scheduler for heterogeneous multicore machines - exs
 StarPU is a runtime system that offers support for heterogeneous
 multicore machines. While many efforts are devoted to design efficient
 computation kernels for those architectures (e.g. to implement BLAS
 kernels on GPUs or on Cell's SPUs), StarPU not only takes care of
 offloading such kernels (and implementing data coherency across
 the machine), but it also makes sure the kernels are executed as
 efficiently as possible.
 .
 This package contains application examples.

Package: starpu-tools
Source: starpu
Version: 1.0.1+dfsg-1
Architecture: armhf
Maintainer: Samuel Thibault 
Installed-Size: 112
Depends: libc6 (>= 2.13-28), libstarpu-1.0
Conflicts: starpu-contrib-tools
Homepage: http://starpu.gforge.inria.fr/
Priority: extra
Section: utils
Filename: pool/main/s/starpu/starpu-tools_1.0.1+dfsg-1_armhf.deb
Size: 30456
SHA256: d0584bf066ec06b3f7a832fbf99e4f2e5406371fdcb5d4d2d8e2beb6b587735f
SHA1: cebdbebd03e0188410bb17a2efbade9a766cd52e
MD5sum: 9ad9a08cdfe376d18394ece21ceddd1a
Description: Task scheduler for heterogeneous multicore machines - tools
 StarPU is a runtime system that offers support for heterogeneous
 multicore machines. While many efforts are devoted to design efficient
 computation kernels for those architectures (e.g. to implement BLAS
 kernels on GPUs or on Cell's SPUs), StarPU not only takes care of
 offloading such kernels (and implementing data coherency across
 the machine), but it also makes sure the kernels are executed as
 efficiently as possible.
 .
 This package contains StarPU tools.

Package: starpu-top
Source: starpu
Version: 1.0.1+dfsg-1
Architecture: armhf
Maintainer: Samuel Thibault 
Installed-Size: 491
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.7.0~beta1), libqwt5-qt4, libstdc++6 (>= 4.4.0)
Homepage: http://starpu.gforge.inria.fr/
Priority: extra
Section: utils
Filename: pool/main/s/starpu/starpu-top_1.0.1+dfsg-1_armhf.deb
Size: 216364
SHA256: ebcc4cd4e616b3ac5ea8be9d53ace78088dff2ae13e3ac66282b4dc083e6cb35
SHA1: 51dad929691a4ea3e543e6cae03f661c2696bd1d
MD5sum: e72aa039089c824dd767bfdb066f62b3
Description: Task scheduler for heterogeneous multicore machines - top
 StarPU is a runtime system that offers support for heterogeneous
 multicore machines. While many efforts are devoted to design efficient
 computation kernels for those architectures (e.g. to implement BLAS
 kernels on GPUs or on Cell's SPUs), StarPU not only takes care of
 offloading such kernels (and implementing data coherency across
 the machine), but it also makes sure the kernels are executed as
 efficiently as possible.
 .
 This package contains a graphical top tool.

Package: startupmanager
Version: 1.9.13-5
Installed-Size: 1364
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-glade2 (>= 2.12), python-gnome2 (>= 2.20), python-libxml2 (>= 2.6.30), x11-xserver-utils, yelp, grub | grub-pc, menu
Size: 123806
SHA256: 0285cc25c72b6f85b64f576e8787f2f20a3c3e39aed4a21f6aa60c9d24a433e6
SHA1: 2e2c0e398a39bf087e96e708a09e6420b1b1e97f
MD5sum: 2690aa436e010074f98fe6aab49b574d
Description: Grub, Usplash and Splash screen configuration
 StartUp-Manager configures some settings for grub, usplash and
 splash screens. It provides an easy to use interface.
 .
 It is originally a Ubuntu project, adapted for Debian.
Homepage: https://launchpad.net/startup-manager
Python-Version: 2.5, 2.6
Tag: admin::boot, implemented-in::python, role::program, use::configuring
Section: utils
Priority: optional
Filename: pool/main/s/startupmanager/startupmanager_1.9.13-5_all.deb

Package: starvoyager
Version: 0.4.4-5.1
Architecture: armhf
Maintainer: Idan Sofer 
Installed-Size: 147
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-net1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.3.0), starvoyager-data
Homepage: http://starvoyager.bluesky.me.uk/
Priority: optional
Section: games
Filename: pool/main/s/starvoyager/starvoyager_0.4.4-5.1_armhf.deb
Size: 56144
SHA256: fe0f5ee2d848e8a1d71e38384a818e19aab18921cb04d0cc41722d42755d40d8
SHA1: 9b8868feb1db6121bc89133012f0fc7c5ca3d5ba
MD5sum: b570bd0d71153d37c7c3b27ca96c1baf
Description: 2D space arcade game, themed around 'Star Trek' - binary
 Star Voyager is a Frontier/Elite class game in a more arcade style 2D
 environment, themed to the 'Star Trek' universe. It utilizes the SDL library
 for portability.
 .
 This package contains the game binary

Package: starvoyager-data
Source: starvoyager
Version: 0.4.4-5.1
Installed-Size: 832
Maintainer: Idan Sofer 
Architecture: all
Recommends: starvoyager
Size: 393232
SHA256: fffb0a5bd180acaf0ad997f05a7634d04e2bd4b17a47357aa39d5d89c70956c9
SHA1: 33438df2520f7d37efb83bf6bb145440a145c657
MD5sum: d77842f77029bc881a6b8a91eb000e1c
Description: 2D space arcade game, themed around 'Star Trek' - data files
 Star Voyager is a Frontier/Elite class game in a more arcade style 2D
 environment, themed to the 'Star Trek' universe. It utilizes the SDL library
 for portability.
 .
 This package contains the data files used by the game engine
Homepage: http://starvoyager.bluesky.me.uk/
Tag: game::arcade, interface::x11, role::app-data, uitoolkit::sdl,
 use::gameplaying, x11::application
Section: games
Priority: optional
Filename: pool/main/s/starvoyager/starvoyager-data_0.4.4-5.1_all.deb

Package: statcvs
Version: 1:0.7.0.dfsg-5
Installed-Size: 6128
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: java-gcj-compat | java-runtime, libjcommon-java, libjdom1-java, libjfreechart-java (>= 1.0.13), java-wrappers (>= 0.1.22)
Recommends: cvs
Conflicts: statsvn (<< 0.5.0)
Breaks: statsvn (<< 0.5.0)
Size: 553404
SHA256: bfc326cd84fed2c8d465b9f822fbcae0162bf03dee1350bae3f25b2b0f27b19f
SHA1: 6a4cea6c55fe41166d263dc7edec90815c730282
MD5sum: 8c1a361dce17362bc4c190fe15b3ba72
Description: CVS Repository statistic analysis tool, written in Java
 StatCvs retrieves information from a CVS repository and generates
 various tables and charts describing the project development,
 e.g. timeline for the lines of code, contribution of each developer
 etc.
 .
 The current version of StatCvs generates a static suite of HTML
 documents containing tables and chart images.  StatCvs is open source
 software, released under the terms oft the LGPL.  StatCvs uses
 JFreeChart to generate charts.
Homepage: http://statcvs.sourceforge.net/
Tag: devel::rcs, implemented-in::java, works-with-format::html,
 works-with::text
Section: vcs
Priority: optional
Filename: pool/main/s/statcvs/statcvs_0.7.0.dfsg-5_all.deb

Package: statgrab
Source: libstatgrab
Version: 0.17-1
Architecture: armhf
Maintainer: Bartosz Fenski 
Installed-Size: 103
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstatgrab6
Suggests: mrtg
Homepage: http://www.i-scream.org/libstatgrab/
Priority: optional
Section: utils
Filename: pool/main/libs/libstatgrab/statgrab_0.17-1_armhf.deb
Size: 53846
SHA256: 258953c743ea3c24e994573049631ab2b4d93605f5733fb23742dc4ac5d1a137
SHA1: a78de98f19dfd443aafc03b06fb66b7c63b7a7f2
MD5sum: 53ea164a3e88467132f7e5dd8eaad162
Description: sysctl-style access to system statistics
 statgrab provides an access to system statistics using a sysctl-style
 interface. This is useful for applications that don't want to make
 library calls, but still want to access the statistics. An example is mrtg,
 for which scripts are provided to generate configuration files.
 .
 statgrab utilizes libstatgrab library.

Package: statnews
Version: 2.5
Installed-Size: 80
Maintainer: Davide G. M. Salvetti 
Architecture: all
Depends: perl, libmime-tools-perl
Size: 13558
SHA256: b56507290b4b790277817469255e66807b8437f5d14de4db82817091957c5450
SHA1: 07cd05e2e9b9350f33ae0b506516f986c3865507
MD5sum: ac53fbb8c68ea06feea07fb84f221c42
Description: Extracts useful statistics out of a newsgroup or mailing list
 This program may be useful to analyze newsgroups or mailing lists with
 respect to authors, messages length and frequency, and so on.
 .
 At this moment, it operates on local spools only (it has neither NNTP nor
 HTTP capabilities); the spool has to store one message per file in
 traditional mbox format.
Tag: admin::accounting, implemented-in::perl, interface::commandline,
 network::client, role::program
Section: news
Priority: optional
Filename: pool/main/s/statnews/statnews_2.5_all.deb

Package: statserial
Version: 1.1-22
Architecture: armhf
Maintainer: Vince Mulhollon 
Installed-Size: 52
Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5
Priority: extra
Section: utils
Filename: pool/main/s/statserial/statserial_1.1-22_armhf.deb
Size: 8690
SHA256: 398c1fd58c56f1514b9fdf4af681137b98142f00ca1894c5748e9136c0e03c4a
SHA1: ebc575547aeff30af328085cea2c458fc7129891
MD5sum: 34c3c7dd82c0b1709978d14ac1f80630
Description: Displays serial port modem status lines
 Statserial displays a table of the signals on a standard 9-pin or
 25-pin serial port, and indicates the status of the handshaking lines.
 It can be useful for debugging problems with serial ports or modems.

Package: statsvn
Version: 0.7.0.dfsg-6
Installed-Size: 128
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: java-gcj-compat | java-runtime, libbackport-util-concurrent-java, libsvnkit-java, statcvs (>= 1:0.7.0.dfsg-4~), subversion, java-wrappers (>= 0.1.22)
Size: 103044
SHA256: a7862d141b3ff8f1a3449ebf3ac849cc23fcefc434186d7c1c561ad44c58e04d
SHA1: 591af5853f9b2122e8aa2943d801b163c6d413ba
MD5sum: 46e9bb77c59ef7161c94e0a348464e54
Description: SVN repository statistics
 StatSVN retrieves information from a Subversion repository and generates
 various tables and charts describing the project evolution, e.g.
 the lines of code over time, contribution of each developer, the
 evolution of modules, directories, files, the time and days when most
 checkins happen, etc. It also shows the commit logs and integrates
 out of the box with ViewVc, BugZilla, Chora and others.
 .
 StatSVN generates a static suite of HTML or XDoc documents containing
 tables and chart images.
Homepage: http://www.statsvn.org/
Tag: devel::rcs, role::program, use::viewing
Section: vcs
Priority: optional
Filename: pool/main/s/statsvn/statsvn_0.7.0.dfsg-6_all.deb

Package: stax
Version: 1.0-13
Architecture: armhf
Maintainer: Eduard Bloch 
Installed-Size: 751
Depends: liballegro4.2 (>= 2:4.2.2), libc6 (>= 2.13-28)
Priority: optional
Section: games
Filename: pool/main/s/stax/stax_1.0-13_armhf.deb
Size: 567804
SHA256: 7fa0e8dbe789ded052b3d16dfc73f573970ce4a9a9f26f46891b07b524ca3e0c
SHA1: 1730e3f7461bfa23a603597756b887776c19ccf1
MD5sum: c345c3431c460c28fe539580a6711e95
Description: collection of puzzle games similar to Tetris Attack
 Another way of playing Tetris and some other cool puzzle games. Includes
 multiplayer feature and supports themes. Enjoy!

Package: stda
Version: 1.1.1-1
Installed-Size: 103
Maintainer: Dimitar Ivanov 
Architecture: all
Depends: gawk | mawk, gnuplot
Recommends: gv, evince
Size: 30838
SHA256: 1a3f8abb869e33ee580265dcdf63b83391173010b4f1e8bd7a5a556570e18c6e
SHA1: 53d1381ce758db72d55e954180413260abfabb4c
MD5sum: 0237d00346ee767ef86e1dafa0037693
Description: simple tools for data analysis (stda)
 This package contains some primary tools for evaluating sums, averages,
 integrals, derivatives, histograms/distributions of 1-d numerical data, and
 eventually for plotting the results. The stand-alone programs (supporting
 the standard UNIX input and output pipelines) are intended for data processing
 from the command line. They are especially useful for straightforward analysis
 of number series where a complex analytical approach is not necessary.
 Following programs are included:
 .
  * maphimbu   - histogram builder for 1-d numerical and text data
  * mintegrate - evaluate average/sum/integral/derivative of 1-d numerical data
  * mmval      - find minimum and maximum value in a data set
  * muplot     - plot a multi-curve figure from multiple data by using Gnuplot
  * nnum       - produce a series of equally separated integers or floats
  * prefield   - prepare input file for 'muplot' to plot 2-d fields by arrows
Homepage: http://gnu.mirendom.net/stda.html
Section: math
Priority: extra
Filename: pool/main/s/stda/stda_1.1.1-1_all.deb

Package: steadyflow
Version: 0.2.0-1
Architecture: armhf
Maintainer: Maia Kozheva 
Installed-Size: 1358
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.0.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), dconf-gsettings-backend | gsettings-backend, gvfs-backends
Homepage: https://launchpad.net/~steadyflow
Priority: optional
Section: net
Filename: pool/main/s/steadyflow/steadyflow_0.2.0-1_armhf.deb
Size: 195892
SHA256: df7402f975fd028bb9e057e5fb3c31fa0a4107f87b64bd37ba189c017e7e6716
SHA1: d9bba729d89e2dd396bb252a50fc9aaf144f1198
MD5sum: 84aa35472a756cbc55dc0a4e09c05c8b
Description: Simple download manager for GNOME
 Steadyflow is a GTK+ based download manager that aims for minimalism, ease
 of use, and a clean, malleable codebase. It should be easy to control,
 whether from the GUI, command line, or D-Bus.

Package: stealth
Version: 2.10.00-1
Architecture: armhf
Maintainer: Frank B. Brokken 
Installed-Size: 203
Depends: libbobcat3 (>= 3.01.00), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6)
Suggests: stealth-doc
Homepage: http://stealth.sourceforge.net/
Priority: optional
Section: admin
Filename: pool/main/s/stealth/stealth_2.10.00-1_armhf.deb
Size: 84560
SHA256: 4e654019c6c3eb1360d79020be07e8c028682713fa21bd2541151a64fa7c755e
SHA1: 24884863f37838241c0fe8eaa9fe7f088be6cdf8
MD5sum: d8dc0e07842672f057c2b123bcd40e97
Description: stealthy File Integrity Checker
 The STEALTH program performs File Integrity Checks on (remote) clients. It
 differs from other File Integrity Checkers by not requiring baseline
 integrity data to be kept on either write-only media or in the client's file
 system. In fact, clients will hardly contain any indication suggesting that
 they are being monitored, thus improving the stealthiness of the integrity
 scans.
 .
 STEALTH uses standard available software to perform file integrity checks
 (like find(1) and sha1sum(1)). Using individualized policy files, it is
 highly adaptable to the specific characteristics of its clients.
 .
 In production environments STEALTH should be run from an isolated computer
 (called the `STEALTH monitor').  In optimal configurations the STEALTH
 monitor should be a computer not accepting incoming connections. The account
 used to connect to its clients does not have to be `root'; usually
 read-access to the client's file system is enough to perform a full integrity
 check. Instead of using `root' a more restrictive administrative or
 ordinary account might offer all necessary requirements for the desired
 integrity check.
 .
 STEALTH itself must communicate with the computers it should monitor. It is
 essential that this communication is secure. STEALTH configurations
 therefore normally specify SSH as the command-shell to use for connecting to
 clients. STEALTH may be configured so as to use but one SSH connection per
 client, even if integrity scans are to be performed repeatedly. Apart from
 this, the STEALTH monitor is commonly allowed to send e-mail to remote
 client systems' maintainers.
 .
 STEALTH-runs itself may start randomly within specified intervals. The
 resulting unpredicability of STEALTH-runs further increases STEALTH's
 stealthiness.
 .
 STEALTH's acronym is expanded to `Ssh-based Trust Enforcement Acquired
 through a Locally Trusted Host': the client's trust is enforced, the locally
 trusted host is the STEALTH monitor.

Package: stealth-doc
Source: stealth
Version: 2.10.00-1
Installed-Size: 1302
Maintainer: Frank B. Brokken 
Architecture: all
Size: 420466
SHA256: a2b7eeb757b64a9f7710208113f4135153ecc7e6d2ce9cd1c8d6227bc6ca3431
SHA1: a8b16311e0c06d11ddcfea0760a0e0e89125b537
MD5sum: dd58805cc3d06911cddda0f4ab105c4e
Description: stealthy File Integrity Checker
 STEALTH program performs File Integrity Checks on (remote) clients. It
 differs from other File Integrity Checkers by not requiring baseline
 integrity data to be kept on either write-only media or in the client's file
 system. In fact, client's will contain hardly any indication at all that they
 are being monitored, thus improving the stealthiness of the integrity scans.
 .
 STEALTH uses standard available software to perform file integrity checks
 (like find(1) and md5sum(1)). Using individualized policy files, it is highly
 adaptable to the specific requirements of its clients.
 .
 In production environments STEALTH should be run from an isolated computer
 (called the `STEALTH monitor').  In optimal configurations the STEALTH
 monitor should be a computer not accepting incoming connections. The account
 used to connect to its clients does not have to be `root': usually
 read-access to the client's file system is enough to perform a full integrity
 check. Instead of using `root' a more restrictive administrative or
 ordinary account might offer all requirements for the desired integrity
 check.
 .
 STEALTH itself must communicate with the computers it should monitor. It is
 essential that this communication is secure, and STEALTH configurations will
 therefore normally specify SSH as the command-shell to use to connect to its
 clients. STEALTH may be configured so as to use but one SSH connection per
 client, even if integrity scans are to be performed repeatedly. Apart from
 this, the STEALTH monitor might be allowed to send e-mail to remote clients
 system's maintainers.
 .
 STEALTH-runs itself may start randomly within specified intervals. The
 resulting unpredicability of STEALTH-runs further increases STEALTH's
 stealthiness.
 .
 STEALTH's acronym is expanded to `Ssh-based Trust Enforcement Acquired
 through a Locally Trusted Host': the client's trust is enforced, the locally
 trusted host is the STEALTH monitor.
 .
 This package provides the supplemental documentation for Stealth.
Homepage: http://stealth.sourceforge.net/
Tag: made-of::html, made-of::pdf, made-of::postscript, made-of::tex,
 role::documentation, security::integrity
Section: doc
Priority: optional
Filename: pool/main/s/stealth/stealth-doc_2.10.00-1_all.deb

Package: steghide
Version: 0.5.1-9
Architecture: armhf
Maintainer: Ola Lundqvist 
Installed-Size: 443
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libmcrypt4, libmhash2, libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4)
Priority: optional
Section: misc
Filename: pool/main/s/steghide/steghide_0.5.1-9_armhf.deb
Size: 151236
SHA256: 0cc7d8b9c07bc956fda871934579037c169e1c7689fe85418dbed11f687613dd
SHA1: a42c03cd879ac9c7c6abfa9bd1614c5ccfdd1298
MD5sum: fa5c246a3115aea820e984f8f70237a3
Description: A steganography hiding tool
 Steghide is steganography program which hides bits of a data file
 in some of the least significant bits of another file in such a way
 that the existence of the data file is not visible and cannot be proven.
 .
 Steghide is designed to be portable and configurable and features hiding
 data in bmp, wav and au files, blowfish encryption, MD5 hashing of
 passphrases to blowfish keys, and pseudo-random distribution of hidden bits
 in the container data.

Package: stella
Version: 3.7.2-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 2875
Pre-Depends: dpkg (>= 1.15.7.2)
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libx11-6, zlib1g (>= 1:1.1.4)
Homepage: http://stella.sf.net
Priority: optional
Section: otherosfs
Filename: pool/main/s/stella/stella_3.7.2-1_armhf.deb
Size: 1379658
SHA256: a726cd95aa3582982292472ee0c9236346770be1023366b34966c3e491871c34
SHA1: b8acefecab043e73c11e940e1ed8a0757fd58df9
MD5sum: 3b82443ad054f1fc4c81745f06b5d171
Description: Atari 2600 Emulator for SDL & the X Window System
 Stella is a portable emulator of the old Atari 2600 video-game
 console. You can play most Atari 2600 games with it.
 .
 Stella's features include:
  * emulation of Atari 2600 joysticks, keyboards, paddles and driving
    controllers using the host system's input peripherals;
  * emulation of trackballs, joysticks, booster grips, driving
    controllers and the Amiga Mouse using the host system's mouse;
  * support for real Atari 2600 controllers using the Stelladaptor,
    2600-daptor or 2600-daptor II;
  * support for real Atari 7800 controllers using the 2600-daptor II;
  * support for the speech portion of a real AtariVox device;
  * support for Supercharger single-load and multi-load games;
  * emulation of CRT TV features, including texturing, colour bleed,
    RF noise and phosphor burn-off (requires OpenGL).
 .
 An extensive debugger is included, with the Distella disassembler.
 .
 Note that this is not isee systems' STELLA modeling, simulation and
 analysis tool.

Package: stellarium
Version: 0.11.3-1+deb7u1
Architecture: armhf
Maintainer: Tomasz Buchert 
Installed-Size: 6576
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libqt4-network (>= 4:4.6.1), libqt4-opengl (>= 4:4.8.0), libqt4-script (>= 4:4.6.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.6), libx11-6, libxext6, zlib1g (>= 1:1.1.4), stellarium-data (>= 0.11.3-1+deb7u1)
Homepage: http://www.stellarium.org
Priority: optional
Section: science
Filename: pool/main/s/stellarium/stellarium_0.11.3-1+deb7u1_armhf.deb
Size: 3409896
SHA256: d7cf65ff2c08b54fb728ab994f4f4d333f581d18c5893e3bb379dec44ebbf9dc
SHA1: dff1d125d4732b8c2eb06744fd1e3d80821d492a
MD5sum: 5bbe7d2635b8d1757f817a3001fc4bd6
Description: real-time photo-realistic sky generator
 Stellarium renders 3D photo-realistic skies in real-time. With Stellarium, you
 really see what you can see with your eyes, binoculars or a small telescope.
 .
 Some features:
  - default star catalogue with over 600 thousand stars,
  - information about the brightest stars (spectral type, distance, etc.),
  - downloadable star catalogue extensions, for up to 210 million stars,
  - all New General Catalogue (NGC) objects,
  - images of almost all Messier objects and the Milky Way,
  - real time positions of the planets and their satellites,
  - 13 different cultures with their constellations,
  - artistic illustrations of the 88 Western constellations,
  - very realistic atmosphere, sunrise and sunset,
  - 7 panoramic landscapes (more can be made or downloaded from the website),
  - scripting with ECMAScript,
  - plug-in support: Stellarium comes with 8 plug-ins by default, including:
  - artificial satellites plug-in (updated from an on-line TLE database),
  - ocular simulation plug-in (shows how objects look like in a given ocular),
  - Solar System editor plug-in (imports comet and asteroid data from the MPC),
  - telescope control plug-in (Meade LX200 and Celestron NexStar compatible).
 .
 Stellarium should not be used for very high accurate calculation or ephemerids
 like eclipse predictions. However, it is the ideal program to prepare an
 observation evening with naked eye, binocular, or small telescope.

Package: stellarium-data
Source: stellarium
Version: 0.11.3-1+deb7u1
Installed-Size: 51729
Maintainer: Tomasz Buchert 
Architecture: all
Depends: ttf-dejavu-core (>= 2.31)
Size: 45841360
SHA256: f726d6eb57f66860e0678a30b25c393464553367a47eef6e22a642d851188833
SHA1: 0776d1105215afe67a93536f4535ebae1424b3a4
MD5sum: 292f55a4fdcf26c51b678d5898920d7d
Description: Stellarium data files
 Stellarium renders 3D photo-realistic skies in real-time. With Stellarium, you
 really see what you can see with your eyes, binoculars or a small telescope.
 .
 This package contains data files required by Stellarium. They include
 textures, star catalogues and translations.
Homepage: http://www.stellarium.org
Tag: field::astronomy, role::app-data, uitoolkit::sdl
Section: science
Priority: optional
Filename: pool/main/s/stellarium/stellarium-data_0.11.3-1+deb7u1_all.deb

Package: step
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 1557
Depends: kde-runtime, libc6 (>= 2.13-28), libcln6, libgcc1 (>= 1:4.4.0), libgsl0ldbl (>= 1.9), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkhtml5 (>= 4:4.8), libkio5 (>= 4:4.8), libknewstuff3-4 (>= 4:4.8), libkparts4 (>= 4:4.8), libqalculate5, libqt4-opengl (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: http://edu.kde.org/
Priority: optional
Section: science
Filename: pool/main/s/step/step_4.8.4-1_armhf.deb
Size: 631558
SHA256: 835bf9466d28b7da72b88793d900e9a549f0f387470728468e1785400d00b27e
SHA1: 77b9acfb456bdeba3da4bf08854364ad4afd250b
MD5sum: 5d1eb39ed50f21fd096c5059624fe4ac
Description: interactive physical simulator for KDE
 With Step you can not only learn but feel how physics works. You place some
 bodies on the scene, add some forces such as gravity or springs, then click
 "Simulate" and Step shows you how your scene will evolve according to the
 laws of physics. You can change every property of bodies/forces in your
 experiment (even during simulation) and see how this will change evolution
 of the experiment.
 .
 This package is part of the KDE education module.

Package: stepbill.app
Version: 2.4-5
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 802
Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1)
Conflicts: stepbill
Replaces: stepbill
Homepage: http://www.linuks.mine.nu/stepbill/
Priority: optional
Section: games
Filename: pool/main/s/stepbill.app/stepbill.app_2.4-5_armhf.deb
Size: 89242
SHA256: bcdcde3acb0fc4712453e566fdc52f488147e460f650488f006245f03af40b73
SHA1: 28c0e9e3edb75c0aa5b3726f2f84c22eca723add
MD5sum: a1ae2345fe20c9c35da8bc7680bae15d
Description: Get rid of those nasty Wingdows viruses
 This is a port of the MacBill, which is based on xbill, source to GNUstep.
 .
 Ever get the feeling that nothing is going right?  You're a sysadmin, and
 someone's trying to destroy your computers.  The little people running
 around the screen are trying to infect your computers with Wingdows [TM],
 a virus cleverly designed to resemble a popular operating system.  Your
 objective is to click the mouse on them, ending their potential threat.
 If one of the people reaches a computer, it will attempt to replace your
 operating system with the virus it carries. It will then attempt to run off
 the screen with your vital software.

Package: steptalk
Version: 0.10.0-5
Architecture: armhf
Maintainer: Debian GNUstep maintainers 
Installed-Size: 844
Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-dl2-0d (>= 0.12.0), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.6), libreadline6 (>= 6.0), libsteptalk0 (>= 0.10.0), gnustep-fslayout-fhs
Homepage: http://www.gnustep.org/experience/StepTalk.html
Priority: optional
Section: gnustep
Filename: pool/main/s/steptalk/steptalk_0.10.0-5_armhf.deb
Size: 214392
SHA256: 222965b5eeff3d6fc066b5380dfed242f033f87c3055e490fd560eb3c24017ae
SHA1: e972c44c8b3cfc60b01e0f521971764a1e3504df
MD5sum: e17880ef13b01cc0607de53a81d486db
Description: GNUstep Scripting Framework
 StepTalk is a scripting framework for creating scriptable servers or
 applications.  StepTalk, when combined with the dynamism of the Objective-C
 language, goes way beyond mere scripting.

Package: stgit
Version: 0.15-1.1
Installed-Size: 1628
Maintainer: Yann Dirson 
Architecture: all
Replaces: git-completion
Depends: python (>= 2.4), python-support (>= 0.90.0), git-core (>= 1:1.5.3)
Size: 370490
SHA256: dd2f89aabd8d41f4400449c7e8948efa2fe13814087d6170a1e0e9676f23d9b5
SHA1: fcdbeab46174d7b143311dc882f60e9a8ab5649f
MD5sum: fe4867b3b070a31f767cecc45df80e3a
Description: manage stacks of patches in a git repository
 stgit provides similar functionality to quilt
 (i.e. pushing/popping patches to/from a stack) on top of git.
 .
 These operations are performed using git commands and the patches
 are stored as git commit objects, allowing easy merging of the stgit
 patches into other repositories using standard git functionality.
Homepage: http://www.procode.org/stgit/
Python-Version: 2.6, 2.7
Tag: devel::rcs, implemented-in::python, role::program
Section: vcs
Priority: optional
Filename: pool/main/s/stgit/stgit_0.15-1.1_all.deb

Package: stgit-contrib
Source: stgit
Version: 0.15-1.1
Installed-Size: 183
Maintainer: Yann Dirson 
Architecture: all
Depends: stgit, colordiff
Size: 154150
SHA256: 0b543802ff172cc1afe582c16db9d683ecc4119114fcb5c2064ec2295e924d22
SHA1: 83d0644922910382c47d3fd34cb06b088b7fcb2a
MD5sum: 78f314f903bd014cfb9d5523263e3b73
Description: set of contributed script to help working with stgit
 Among others, this package provides the following helper scripts:
  * stg-gitk: show all patches in gitk, not only applied ones, and hide
 logs
  * stg-whatchanged: examine how the to-be-committed changes modify the
 current patch
  * stg-fold-files-from: pick specific hunks from another patch up
 the stack
  * stg-dispatch: dispatch specific hunks into another patch down
 the stack
  * stg-swallow: completely merge another patch into the current one
  * stg-k: provide robust --keep functionality to all stgit commands
 .
 Most of the functionality of these scripts may one day be
 incorporated into stgit.
Homepage: http://www.procode.org/stgit/
Tag: devel::rcs, role::program
Section: vcs
Priority: optional
Filename: pool/main/s/stgit/stgit-contrib_0.15-1.1_all.deb

Package: stimfit
Version: 0.10.18-1.1
Architecture: armhf
Maintainer: Christoph Schmidt-Hieber 
Installed-Size: 1696
Depends: libblas3gf | libblas.so.3gf | libatlas3gf-base, libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libhdf5-7, liblapack3gf | liblapack.so.3gf | libatlas3gf-base, libpython2.7 (>= 2.7), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), python-wxgtk2.8 (>= 2.8.9), python-numpy, python-matplotlib
Recommends: python-scipy
Homepage: http://www.stimfit.org
Priority: optional
Section: science
Filename: pool/main/s/stimfit/stimfit_0.10.18-1.1_armhf.deb
Size: 704522
SHA256: 926515cbc2d27d603a4ab30cb5349599d562d31c30d953786d3621accee66b67
SHA1: 0f92a2780a89f8d3c67e52f6761c7c2c409ed9fb
MD5sum: f3693c1a65b0e9caf1859858a3c8d2c8
Description: A program for viewing and analyzing electrophysiological data
 Stimfit is a free, fast and simple program for viewing and analyzing
 electrophysiological data. It features an embedded Python shell that
 allows you to extend the program functionality by using numerical
 libraries such as NumPy and SciPy.

Package: stimfit-dbg
Source: stimfit
Version: 0.10.18-1.1
Architecture: armhf
Maintainer: Christoph Schmidt-Hieber 
Installed-Size: 18892
Depends: stimfit
Recommends: python-matplotlib, python-scipy, python-stfio
Homepage: http://www.stimfit.org
Priority: extra
Section: debug
Filename: pool/main/s/stimfit/stimfit-dbg_0.10.18-1.1_armhf.deb
Size: 7225690
SHA256: b1ed99a8c52396051b78c3cdeab35ece4d9170681121091b8cb9d2586426bb32
SHA1: b8c2df8b8976221064ff2d372943a30b543968db
MD5sum: 34eaff57e40200f63903b2f476c377b8
Description: Debug symbols for stimfit
 Stimfit is a free, fast and simple program for viewing and analyzing
 electrophysiological data. It features an embedded Python shell that
 allows you to extend the program functionality by using numerical
 libraries such as NumPy and SciPy.

Package: stk
Version: 4.4.3-2+deb7u1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 887
Depends: libstk0c2a (= 4.4.3-2+deb7u1), tk8.4, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, librtaudio4, librtmidi1, libstdc++6 (>= 4.4.0)
Homepage: http://ccrma.stanford.edu/software/stk/
Priority: optional
Section: sound
Filename: pool/main/s/stk/stk_4.4.3-2+deb7u1_armhf.deb
Size: 445238
SHA256: fa90e247cd6d3a77eea98d1c5f4c34cabb5a592ced183057c2c30f195382c1d3
SHA1: 0ac68e775cf615804d8946fe60e29ed50c5ad2ad
MD5sum: 3617f3a3bbfb3de2c83d37954244fff8
Description: Sound Synthesis Toolkit (example applications)
 The Sound Synthesis Toolkit is a C++ library with implementations
 of several sound synthesis algorithms, starting from Frequency
 Modulation, over Physical Modelling and others. It can be used
 as a library, but it also provides some nice software synthesizers.
 .
 This package provides the example files for the sound synthesis toolkit.

Package: stk-doc
Source: stk
Version: 4.4.3-2+deb7u1
Installed-Size: 5028
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Size: 871424
SHA256: 25877f679d926fdaa776d3db6762b8965955e7a45eafdf46c6870687d16385ac
SHA1: 38a9a8a815de2dad6438d4967c43dbb286b77217
MD5sum: 5afc6cd93b22c1cc202c9aa7dba8ee90
Description: Sound Synthesis Toolkit (documentation)
 The Sound Synthesis Toolkit is a C++ library with implementations
 of several sound synthesis algorithms, starting from Frequency
 Modulation, over Physical Modelling and others. It can be used
 as a library, but it also provides some nice software synthesizers.
 .
 This package contains the documentation for the sound synthesis toolkit.
Homepage: http://ccrma.stanford.edu/software/stk/
Tag: devel::doc, made-of::html, made-of::pdf, role::documentation,
 works-with::audio
Section: doc
Priority: optional
Filename: pool/main/s/stk/stk-doc_4.4.3-2+deb7u1_all.deb

Package: stl-manual
Version: 3.30-13
Installed-Size: 2512
Maintainer: cento 
Architecture: all
Pre-Depends: dpkg (>= 1.10.24)
Suggests: www-browser
Size: 489886
SHA256: ae9c97a22316c2ff6b84b667bd4356b91ef573cf18296fdb7dc12cf83261685d
SHA1: 8b0898ff5f22d17bce6ac1a535611e8cd9af8040
MD5sum: 8d877d9ee10bf326d11fa8aa55ab4a2a
Description: C++-STL documentation in HTML
 This is the documentation for the C++ Standard Template Library
 as found on SGIs Website.
Homepage: http://www.sgi.com/tech/stl/
Tag: devel::doc, devel::lang:c++, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/stl-manual/stl-manual_3.30-13_all.deb

Package: stockfish
Version: 2.1.1+git20111006-2
Architecture: armhf
Maintainer: Oliver Korff 
Installed-Size: 265
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Suggests: polyglot, xboard | scid
Homepage: http://www.stockfishchess.com
Priority: optional
Section: games
Filename: pool/main/s/stockfish/stockfish_2.1.1+git20111006-2_armhf.deb
Size: 143690
SHA256: 7999e8bc406104ec436a57d3097b00148ff96327fdc1519eb04b674d6edc56cc
SHA1: d16a9ad03cca69c55eecf26ee29e4afeb9310b18
MD5sum: 23aa8dd0cd4e292294d1d152a77d8609
Description: strong chess engine, to play chess against
 free chess engine derived from Glaurung 2.1. It is a chess engine, so it
 requires an UCI (universal chess interface) compatible GUI like XBoard
 (with PolyGlot), eboard, Jose, Arena or scid in order to be used comfortably.
 It is the strongest open source chess engine by october 2009 in the
 "computer chess rating list" CCRL. Written in C++ it uses multiple threads
 and cores. It is capable of Chess960 and has experimental support for polyglot
 opening books.

Package: stompserver
Version: 0.9.9gem-2
Installed-Size: 168
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby1.8, ruby-daemons, ruby-eventmachine, adduser
Size: 25480
SHA256: 1239ba9c4dca9887bc8679344e44c164a24a9b6dc39a0f473add4d3881cec42a
SHA1: d3acb7364e39b391bc8c765aca1141344768cb56
MD5sum: d5a604633fac9b382687dc9f937f6c6f
Description: stomp messaging server implemented in Ruby
 Stompserver is a stomp messaging server with support for multiple FIFO
 based queue backends including file, dbm, memory and activerecord.
 It supports basic authentication and queue monitoring.
Homepage: http://stompserver.rubyforge.org/
Ruby-Versions: ruby1.8
Section: ruby
Priority: extra
Filename: pool/main/s/stompserver/stompserver_0.9.9gem-2_all.deb

Package: stone
Version: 2.3.e-2
Architecture: armhf
Maintainer: Takuo Kitame 
Installed-Size: 183
Depends: libc6 (>= 2.4), libssl1.0.0 (>= 1.0.0), openssl
Conflicts: stone-ssl
Replaces: stone-ssl
Priority: optional
Section: net
Filename: pool/main/s/stone/stone_2.3.e-2_armhf.deb
Size: 74476
SHA256: f60be4b85dd57042ca6506502b0cc970fbbcdeeed3b3279e1c503d31fc83c0f1
SHA1: c0282b7351afc4f35b2a1d5bd848f5b6d8e0358a
MD5sum: 7133159c5ba20ce29c8ada48cc610ddd
Description: TCP/IP packet repeater in the application layer
 It repeats TCP and UDP packets from inside to outside of a firewall, or from
 outside to inside.
 Stone supports SSL. stone can encrypt/decrypt packets with OpenSSL library.
 Stone can also be a tiny http proxy.
 POP -> APOP conversion. With stone and a mailer that does not support APOP,
 you can access to an APOP server.

Package: stopmotion
Version: 0.6.2+git.1.10d2ea43-1.1
Architecture: armhf
Maintainer: Bjoern Erik Nilsen 
Installed-Size: 3888
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), libtar0, libvorbisfile3 (>= 1.1.2), libx11-6, libxml2 (>= 2.7.4)
Recommends: vgrabbj, dvgrab, ffmpeg
Suggests: gimp
Homepage: http://linuxstopmotion.sourceforge.net
Priority: optional
Section: x11
Filename: pool/main/s/stopmotion/stopmotion_0.6.2+git.1.10d2ea43-1.1_armhf.deb
Size: 2318008
SHA256: 1144f6b0b700da8ad137b0d3c72569098af837f42279de21cc2ef3def00827e4
SHA1: c8275bf83f2f7754b70a57d5cdc3ee3d5116add2
MD5sum: a749d8a9404fe0e26caf636388f518f3
Description: program for creating stop motion animations
 You can create stop-motion animations with images grabbed
 from your favourite video device. You just sets stopmotion to
 use a grabber program which works with your device. It is also
 possible to do the same with video export; just plug in an
 encoder capable of doing video export from couple of images.
 .
 Stopmotion has a set of tools which helps you creating the
 movements smooth and precise.

Package: stops
Version: 0.3.0-1
Installed-Size: 864
Maintainer: Debian Multimedia Team 
Architecture: all
Recommends: aeolus
Size: 39210
SHA256: 4f493955013e9d478182655e8a119e51530a292ef84648b7f256d8590ecb2710
SHA1: 0f7151efc6619c07a348e0e5ca7180c5002d2657
MD5sum: 91a75633b76d5ca3c81acd264d2157b4
Description: Stop and instrument definitions for Aeolus-0.6.x
 This package contains  definitions of stops and of an instrument
 to be used with the aeolus organ synth.
Tag: role::app-data
Section: sound
Priority: extra
Filename: pool/main/s/stops/stops_0.3.0-1_all.deb

Package: stopwatch
Version: 3.5-3
Installed-Size: 82
Maintainer: Bart Martens 
Architecture: all
Depends: tk
Size: 14344
SHA256: 950ce8d3872dc8bf795b05ebbc86e785f44f6c2b8f52265cea1a9b96038d6663
SHA1: fa8612c348b9f7733c161a65425f1db50a1da387
MD5sum: d028a294e9a670229e55b77038f78ad4
Description: Virtual stopwatch and timer
 Stopwatch is a stopwatch and timer program that uses the Tk toolkit. It has
 millisecond accuracy.
Homepage: http://expect.sourceforge.net/stopwatch/
Tag: interface::x11, role::program, scope::application, uitoolkit::tk,
 use::timekeeping, x11::applet, x11::application
Section: utils
Priority: optional
Filename: pool/main/s/stopwatch/stopwatch_3.5-3_all.deb

Package: storebackup
Version: 3.2.1-1
Installed-Size: 1368
Maintainer: Ryan Niebur 
Architecture: all
Depends: bzip2, debianutils (>= 1.16.9), perl
Recommends: libio-compress-bzip2-perl
Size: 770608
SHA256: 20fd8c4e41ecd80629f5a43cedba7a9ad0c13981f56f2c5d2142076cbd706794
SHA1: 5e503234b6b562a1ceaf29a65d2e0b3c08ed4e49
MD5sum: f445e4eb394258ee002a2a24cbbef5f2
Description: fancy compressing managing checksumming deduplicating hard-linking cp -ua
 Copies directory hierarchies recursively into another location,
 by date (e.g.  /home/ => /var/bkup/2002.12.13_04.27.56/).
 Permissions are preserved, so users with access to the backup
 directory can recover their files themselves.
 .
 File comparisons are done with MD5 checksums, so no changes go
 unnoticed.
 .
 Hard-links unchanged backuped files to old versions and
 identical files within the backuped tree.
 .
 Compresses large files (that don't match exclusion patterns).
 .
 Manages backups and removes old ones.
Homepage: http://www.nongnu.org/storebackup/
Tag: admin::backup, implemented-in::perl, interface::daemon, role::program,
 scope::application, use::compressing, use::storing
Section: utils
Priority: optional
Filename: pool/main/s/storebackup/storebackup_3.2.1-1_all.deb

Package: stormbaancoureur
Version: 2.1.6-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 228
Depends: stormbaancoureur-data (= 2.1.6-1), freeglut3, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libode1, libplib1 (>= 1.8.5-1), libstdc++6 (>= 4.4.0)
Homepage: http://www.stolk.org/stormbaancoureur/
Priority: extra
Section: games
Filename: pool/main/s/stormbaancoureur/stormbaancoureur_2.1.6-1_armhf.deb
Size: 92124
SHA256: b5e63a7c3d04ecf62f9bd8baf23613c6b1c2473561e9bbbc4f00c99e7ecf2fae
SHA1: c86656e36191f39b143369ed576f667533397483
MD5sum: a0c3e90d679fd79ed5227a01e0e81f73
Description: simulated obstacle course for automobiles
 Your objective is to drive your car along an obstacle course. Success depends
 on total control of the car. If you want to master it, try to have the laws
 of physics work with you, not against you.
 .
 The game was formerly known as Sturmbahnfahrer.

Package: stormbaancoureur-data
Source: stormbaancoureur
Version: 2.1.6-1
Installed-Size: 3568
Maintainer: Debian Games Team 
Architecture: all
Recommends: stormbaancoureur
Size: 3035918
SHA256: bc2fe09a071ed54c4ea2c262ae32c00b75404ad05e8df02c792584e662b1eaea
SHA1: d1cad379016c4058072a6337eb91397316421d21
MD5sum: 2f6742c510d3c97c0580f8f6143aab8c
Description: game data for Stormbaan Coureur
 Models, images, sounds and other data for Stormbaan Coureur.
Homepage: http://www.stolk.org/stormbaancoureur/
Tag: game::arcade, role::app-data, use::gameplaying
Section: games
Priority: extra
Filename: pool/main/s/stormbaancoureur/stormbaancoureur-data_2.1.6-1_all.deb

Package: stow
Version: 2.2.0-2
Installed-Size: 672
Maintainer: Chuan-kai Lin 
Architecture: all
Depends: perl, dpkg (>= 1.15.4) | install-info
Suggests: doc-base
Size: 311752
SHA256: d482cef9da0b755de57d138ed752599230341237ecb7f1e5559533dbd15ab619
SHA1: 45aa26c7240fc89e4e16e9eaa0d04b7670002a7f
MD5sum: aaf097fd83270ed737484496013711ff
Description: Organizer for /usr/local software packages
 GNU Stow is a software installation manager for /usr/local.  Using
 symbolic links, GNU Stow helps you keep the installations separate
 (/usr/local/stow/emacs vs. /usr/local/stow/perl, for example) while
 maintaining the illusion that they are all under /usr/local.
Homepage: http://www.gnu.org/software/stow/
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility, suite::gnu, use::organizing
Section: admin
Priority: optional
Filename: pool/main/s/stow/stow_2.2.0-2_all.deb

Package: strace
Version: 4.5.20-2.3
Architecture: armhf
Maintainer: Frederik Schüler 
Installed-Size: 289
Depends: libc6 (>= 2.4)
Homepage: http://sourceforge.net/projects/strace/
Priority: optional
Section: utils
Filename: pool/main/s/strace/strace_4.5.20-2.3_armhf.deb
Size: 123346
SHA256: 9ce3df5ba030fc85dd5087ba6af532a74beb7c43a59282ca6818623f2cbbc4ba
SHA1: b5cd504bc4ba0888131b07de230aa1a6390ca607
MD5sum: 4f34e3b144edf9ba02152874ea0d9e9c
Description: A system call tracer
 strace is a system call tracer, i.e. a debugging tool which prints out
 a trace of all the system calls made by a another process/program.
 The program to be traced need not be recompiled for this, so you can
 use it on binaries for which you don't have source.
 .
 System calls and signals are events that happen at the user/kernel
 interface. A close examination of this boundary is very useful for bug
 isolation, sanity checking and attempting to capture race conditions.

Package: streamer
Source: xawtv
Version: 3.102-3
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 176
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), xawtv-plugins (= 3.102-3)
Suggests: xawtv
Priority: extra
Section: video
Filename: pool/main/x/xawtv/streamer_3.102-3_armhf.deb
Size: 84076
SHA256: 3695df87bfa43e1710674389cb5fa2a616357eb1d475dd72cd27037643ba2496
SHA1: 9155638581d77e22d94b626055078b4a3e21df87
MD5sum: 3872560ee0782c4d946def683530367d
Description: television capture tool (images/movies)
 This package provides a tool to capture single/multiple images or
 record movies from a video4linux device.

Package: streamripper
Version: 1.64.6-1
Architecture: armhf
Maintainer: Michael Ablassmeier 
Installed-Size: 182
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.14.0), libmad0 (>= 0.15.1b-3), libogg0 (>= 1.0rc3), libvorbis0a (>= 1.1.2)
Suggests: kstreamripper, streamtuner
Priority: optional
Section: sound
Filename: pool/main/s/streamripper/streamripper_1.64.6-1_armhf.deb
Size: 89622
SHA256: a0b87ca033a585fa1c60b4e6e0fe5c02ac67a7a87f9c9138b62e84095d20a647
SHA1: c260f577faf08b704ce0d9dfac6f2bdd0bc4b937
MD5sum: 1236d51f3ce0afaab8fbe77c53b44656
Description: download online streams into audio files
 This command-line tool can be used to record MPEG III
 and OGG online radio-streams into track-separated audio
 files.

Package: streamtuner2
Version: 2.0.8-5
Installed-Size: 900
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python-lxml, python-imaging, python-pyquery, python-keybinder, python-gtk2, python-glade2
Suggests: audacious, vlc, totem
Size: 296354
SHA256: da6cd122881fe89d5751f16c95b6fb07e685e408ba2bb958f73da9cddd683ff3
SHA1: 4bbd4ade24be9d1c194ccf8dfc6a0da5ebb9be51
MD5sum: cf9818f870e860edb78293c45fc33e7f
Description: Browser for Internet Radio Stations
 streamtuner2 is a browser for radio station directories. It can fetch lists
 from SHOUTcast, Xiph.org, Live365, Jamendo, DMOZ, basic.ch, Punkcast.
 And it lists stream entries by category or genre. It reuses existing audio
 players, and recording is delegated to streamripper.
 .
 It mimics the original streamtuner 0.99.99, but is easier to extend because
 it's written entirely in Python. It's already in a stable and useable form.
Homepage: http://sf.net/projects/streamtuner2/
Tag: implemented-in::python, interface::x11, network::client, role::program,
 uitoolkit::gtk, use::browsing, use::playing, works-with::audio,
 x11::application
Section: sound
Priority: extra
Filename: pool/main/s/streamtuner2/streamtuner2_2.0.8-5_all.deb

Package: stress
Version: 1.0.1-1
Architecture: armhf
Maintainer: Amos Waterland 
Installed-Size: 72
Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0)
Priority: extra
Section: devel
Filename: pool/main/s/stress/stress_1.0.1-1_armhf.deb
Size: 22292
SHA256: e2bdfa2ea9982db2db773b88b2e61073b7f0227505e66c14d4420a91c4669ae3
SHA1: ea627f5cecae68f9bb9e40363f2b880fcedb3b45
MD5sum: 907d4fa11dfd0cd4c6f1e5317edeb1ef
Description: A tool to impose load on and stress test a computer system
 'stress' is a tool that imposes a configurable amount of CPU, memory, I/O,
 or disk stress on a POSIX-compliant operating system and reports any errors
 it detects.
 .
 'stress' is not a benchmark.  It is a tool used by system administrators to
 evaluate how well their systems will scale, by kernel programmers to evaluate
 perceived performance characteristics, and by systems programmers to expose
 the classes of bugs which only or more frequently manifest themselves when
 the system is under heavy load.

Package: stretchplayer
Version: 0.503-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 191
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), librubberband2, libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0), jackd
Homepage: http://www.teuton.org/~gabriel/stretchplayer/
Priority: optional
Section: sound
Filename: pool/main/s/stretchplayer/stretchplayer_0.503-2_armhf.deb
Size: 78740
SHA256: 05e73d6a00c65837f749090ecdd5c8bea1c54fb71e8c0b4ecad007fffa150c5e
SHA1: c9c97262bf6250192d90dfdad051676aabbd2c32
MD5sum: ac2e301325d9d866247644dc5430f709
Description: Audio file player with time stretch and pitch shifting
 StretchPlayer will play back an audio file and allow you to
 time stretch (without affecting pitch) and/or pitch shift (without
 affecting the time) the audio, even while you are listening to it.
 .
 Warning: this package contains the development version (potentially
 unstable) released for testing purpose only.

Package: stretchplayer-dbg
Source: stretchplayer
Version: 0.503-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 1578
Depends: stretchplayer (= 0.503-2)
Homepage: http://www.teuton.org/~gabriel/stretchplayer/
Priority: extra
Section: debug
Filename: pool/main/s/stretchplayer/stretchplayer-dbg_0.503-2_armhf.deb
Size: 638520
SHA256: e1671b7d6a14f99bcd929675d108a22c3382f038d4fb8ec3d91acea29094f46a
SHA1: 593888444bad9ae59ac49228f4ba8865bacf175c
MD5sum: da37fe2b3a0808b374ddd6cd1184083a
Description: Debugging symbols for StretchPlayer
 StretchPlayer will play back an audio file and allow you to
 time stretch (without affecting pitch) and/or pitch shift (without
 affecting the time) the audio, even while you are listening to it.
 .
 This package contains the debugging symbols for stretchplayer.

Package: strigi-client
Source: strigi
Version: 0.7.7-3
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 166
Depends: strigi-daemon, libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsearchclient0 (= 0.7.7-3), libstdc++6 (>= 4.3.0), libstreamanalyzer0 (= 0.7.7-3), libstreams0 (= 0.7.7-3), libstrigihtmlgui0 (= 0.7.7-3), libstrigiqtdbusclient0 (= 0.7.7-3), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4)
Homepage: http://strigi.sourceforge.net
Priority: optional
Section: x11
Filename: pool/main/s/strigi/strigi-client_0.7.7-3_armhf.deb
Size: 66618
SHA256: 05457b3ce2816a498ce2d1750bf50d52856ef714e49ff4373805eda4432e254b
SHA1: 32480c4d7b4e85aaccf5ad4dc39483c785d61557
MD5sum: 141485b2ed1b1bda926967b84ca588d0
Description: Qt4 client for Strigi Desktop Search
 Strigi client is a Qt4 client (GUI) for the Strigi Desktop Search software.
 .
 This package is part of Strigi Desktop Search, it contains the Qt4 client.
 .
 See the 'strigi-daemon' package for more information.

Package: strigi-daemon
Source: strigi
Version: 0.7.7-3
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 399
Depends: dbus-x11 | dbus, poppler-utils, libbz2-1.0, libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libstreamanalyzer0 (= 0.7.7-3), libstreams0 (= 0.7.7-3), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4)
Suggests: strigi-plugins
Homepage: http://strigi.sourceforge.net
Priority: optional
Section: utils
Filename: pool/main/s/strigi/strigi-daemon_0.7.7-3_armhf.deb
Size: 151786
SHA256: 7d5ead19c6b6a3ec6e4cb0d418168f6eb2c4b3b3e88070a0be76da3d6d992a10
SHA1: 8371a475661a42d73f1990ea3779f0f3befe7330
MD5sum: 107bdbef39c36ca33ebf9bb30ec9fffd
Description: fast indexing and searching tool for your personal data (daemon)
 Strigi is a program for fast indexing and searching your personal data. It can
 gather and index information from files in the filesystem even if they are
 hidden in emails or archives. It comes with a Qt4 GUI, an HTML GUI and a KDE
 GUI.
 .
 Main features:
  * very fast crawling
  * very small memory footprint
  * no hammering of the system
  * clucene backend
  * DBus and socket interfaces are available for communication between daemon
    and search programs
  * simple interface for implementing plugins for extracting information. we'll
    try to reuse the kat plugins, although native plugins will have a large
    speed advantage
  * calculation of sha1 for every file crawled (allows fast finding of
    duplicates)
 .
 This package contains the Strigi daemon

Package: strigi-dbg
Source: strigi
Version: 0.7.7-3
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 8181
Depends: libstreamanalyzer0 (= 0.7.7-3), libstreams0 (= 0.7.7-3), libstrigiqtdbusclient0 (= 0.7.7-3)
Homepage: http://strigi.sourceforge.net
Priority: extra
Section: debug
Filename: pool/main/s/strigi/strigi-dbg_0.7.7-3_armhf.deb
Size: 7663446
SHA256: 03afeaceb045bd8efbbbcbd96120eab00fd3dc3eb5ee6167de60ff628c739dfd
SHA1: 60dc489ae84c04f990f8961b1ecb702a50fcb237
MD5sum: a7091d3c44826267488893454bbd7d58
Description: debugging symbols for strigi
 This package contains the debugging symbols associated with strigi.
 .
 They will automatically be used by gdb for debugging strigi related issues.

Package: strigi-utils
Source: strigi
Version: 0.7.7-3
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 236
Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libstreamanalyzer0 (= 0.7.7-3), libstreams0 (= 0.7.7-3), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4)
Replaces: strigi-daemon (<< 0.3.11-1)
Homepage: http://strigi.sourceforge.net
Priority: optional
Section: utils
Filename: pool/main/s/strigi/strigi-utils_0.7.7-3_armhf.deb
Size: 93770
SHA256: 9b3453a22b8f30672ff64219c0da8895a1e7ee732880b3c28d950a11d8f2039b
SHA1: d6e591ed87bb5bdbe3d177d727dfe3e582f0b516
MD5sum: 4f451f6ce980df9802fdc096fe016612
Description: command-line tools for Strigi Desktop Search
 This package is part of Strigi Desktop Search, it contains utilities powered
 by Strigi:
  * deepfind, an enhanced version of find. It lists files embedded in other
    files like .deb, .rpm, .tar.gz, email attachments, and other files.
  * deepgrep, an enhanced version of grep. It searches in binary files like
    OpenOffice files, mp3s, Microsoft office files, pdfs and also in files
    embedded in other files like .deb, .rpm, .tar.gz, email attachments, pdf
    and other files.
  * xmlindexer, a program that outputs the file parsing results as xml.
    It walks through a directory and outputs an XML file containing all
    the metadata and text it can extract from the files it encounters.
    This means that the Strigi's powers of data extraction are now available
    to all applications that can parse XML simply by calling xmlindexer and
    parsing the output.
 .
 See the 'strigi-daemon' package for more information.

Package: strongswan
Version: 4.5.2-1.5+deb7u10
Installed-Size: 116
Maintainer: Rene Mayrhofer 
Architecture: all
Depends: strongswan-ikev1, strongswan-ikev2
Suggests: network-manager-strongswan
Size: 82110
SHA256: 28e1162983ea6a7cee094143d38ebcebe299b990580d4f287f87b57fcfcf2f2d
SHA1: 542e8dd3d79d95905ba100a9610150ed676d77ec
MD5sum: 7579e8acb83ca19d9bb464f7b8960bcb
Description: IPsec VPN solution metapackage
 The strongSwan VPN suite is based on the IPsec stack in standard Linux 2.6
 kernels. It supports both the IKEv1 and IKEv2 protocols.
 .
 StrongSwan is one of the two remaining forks of the original FreeS/WAN
 project and focuses on IKEv2 support, X.509 authentication and complete PKI
 support. For a focus on Opportunistic Encryption (OE) and interoperability
 with non-standard IPsec features, see Openswan.
 .
 This metapackage installs the packages required to maintain IKEv1 and IKEv2
 connections via ipsec.conf or ipsec.secrets.
Homepage: http://www.strongswan.org
Section: net
Priority: optional
Filename: pool/main/s/strongswan/strongswan_4.5.2-1.5+deb7u10_all.deb

Package: strongswan-dbg
Source: strongswan
Version: 4.5.2-1.5+deb7u10
Architecture: armhf
Maintainer: Rene Mayrhofer 
Installed-Size: 754
Depends: strongswan, libstrongswan
Homepage: http://www.strongswan.org
Priority: extra
Section: debug
Filename: pool/main/s/strongswan/strongswan-dbg_4.5.2-1.5+deb7u10_armhf.deb
Size: 226874
SHA256: e5aba5777024311026c2b69d544298ae05234a014ff436f9f9551fd429e1c992
SHA1: 2d52c948167e6a19f5d3eb12292464368181bc2f
MD5sum: 60c642921f5d323728ff0b025a3c229f
Description: strongSwan library and binaries - debugging symbols
 StrongSwan is an IPsec-based VPN solution for the Linux kernel. It uses the
 native IPsec stack and runs on any recent 2.6 kernel (no patching required).
 It supports both IKEv1 and the newer IKEv2 protocols.
 .
 This package provides the symbols needed for debugging of strongswan.

Package: strongswan-ikev1
Source: strongswan
Version: 4.5.2-1.5+deb7u10
Architecture: armhf
Maintainer: Rene Mayrhofer 
Installed-Size: 666
Pre-Depends: debconf | debconf-2.0
Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libstrongswan (= 4.5.2-1.5+deb7u10), strongswan-starter, bsdmainutils, debianutils (>= 1.7), ipsec-tools, host, iproute
Suggests: curl
Conflicts: freeswan (<< 2.04-12), openswan, strongswan (<< 4.2.12-1)
Replaces: openswan
Provides: ike-server
Homepage: http://www.strongswan.org
Priority: optional
Section: net
Filename: pool/main/s/strongswan/strongswan-ikev1_4.5.2-1.5+deb7u10_armhf.deb
Size: 329358
SHA256: 4792ef2e457426e418fa1cae810f36f842e43ddc4699e2f0618efccd70e3b5ee
SHA1: 0de9f62798051e28399170c5ea5817e2fa050362
MD5sum: 4743b513b89b890d5121458f170f32fb
Description: strongSwan Internet Key Exchange (v1) daemon
 StrongSwan is an IPsec-based VPN solution for the Linux kernel. It uses the
 native IPsec stack and runs on any recent 2.6 kernel (no patching required).
 It supports both IKEv1 and the newer IKEv2 protocols.
 .
 Pluto is an IPsec IKEv1 daemon. It was inherited from the FreeS/WAN
 project, but provides improved X.509 certificate support and other features.
 .
 Pluto can run in parallel with charon, the newer IKEv2 daemon.

Package: strongswan-ikev2
Source: strongswan
Version: 4.5.2-1.5+deb7u10
Architecture: armhf
Maintainer: Rene Mayrhofer 
Installed-Size: 837
Pre-Depends: debconf | debconf-2.0
Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libcurl3 (>= 7.16.2), libldap-2.4-2 (>= 2.4.7), libpam0g (>= 0.99.7.1), libstrongswan (= 4.5.2-1.5+deb7u10), strongswan-starter | strongswan-nm, bsdmainutils, debianutils (>= 1.7), ipsec-tools, host, iproute
Suggests: curl
Conflicts: freeswan (<< 2.04-12), openswan, strongswan (<< 4.2.12-1)
Provides: ike-server
Homepage: http://www.strongswan.org
Priority: optional
Section: net
Filename: pool/main/s/strongswan/strongswan-ikev2_4.5.2-1.5+deb7u10_armhf.deb
Size: 352302
SHA256: 8d826d566c6f369d92ab2053233d38646804b75a38016840747c9fb153dc94bd
SHA1: f224f3f625be5d126296348cb702a0ff6c718a7e
MD5sum: 224f6e1a0d0d5e2717cd1b832535bd55
Description: strongSwan Internet Key Exchange (v2) daemon
 StrongSwan is an IPsec-based VPN solution for the Linux kernel. It uses the
 native IPsec stack and runs on any recent 2.6 kernel (no patching required).
 It supports both IKEv1 and the newer IKEv2 protocols.
 .
 Charon is an IPsec IKEv2 daemon. It is
 written from scratch using a fully multi-threaded design and a modular
 architecture. Various plugins provide additional functionality.
 .
 This build of charon can run in parallel with pluto, the IKEv1 daemon.

Package: strongswan-nm
Source: strongswan
Version: 4.5.2-1.5+deb7u10
Architecture: armhf
Maintainer: Rene Mayrhofer 
Installed-Size: 117
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.14.0), libnm-glib-vpn1 (>= 0.7.999), libnm-glib4 (>= 0.7.999), strongswan-ikev2
Recommends: network-manager-strongswan
Homepage: http://www.strongswan.org
Priority: optional
Section: net
Filename: pool/main/s/strongswan/strongswan-nm_4.5.2-1.5+deb7u10_armhf.deb
Size: 57702
SHA256: 8e5f134d9e8851f5ac6b83760a2218c5c58872ce2ef55a1d7cfe91f6bf612b19
SHA1: 32bc30345cb3b622957d904f9f2b1be9db09e65c
MD5sum: d808fe1afe4fac3a1baa65ecae141623
Description: strongSwan plugin to interact with NetworkManager
 StrongSwan is an IPsec-based VPN solution for the Linux kernel. It uses the
 native IPsec stack and runs on any recent 2.6 kernel (no patching required).
 It supports both IKEv1 and the newer IKEv2 protocols.
 .
 This plugin provides an interface which allows NetworkManager to configure
 and control the IKEv2 daemon directly through D-Bus. It is designed to work
 in conjunction with the network-manager-strongswan package, providing
 a simple graphical frontend to configure IPsec based VPNs.

Package: strongswan-starter
Source: strongswan
Version: 4.5.2-1.5+deb7u10
Architecture: armhf
Maintainer: Rene Mayrhofer 
Installed-Size: 779
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstrongswan (= 4.5.2-1.5+deb7u10), debconf (>= 0.5) | debconf-2.0, strongswan-ikev1 | strongswan-ikev2
Conflicts: strongswan (<< 4.2.12-1)
Homepage: http://www.strongswan.org
Priority: optional
Section: net
Filename: pool/main/s/strongswan/strongswan-starter_4.5.2-1.5+deb7u10_armhf.deb
Size: 307446
SHA256: 6e714d4163cdf9453c20b2a701607642108a2175f4ab532e8dae25aa40ed02d8
SHA1: bc65ff02c5f4e481143f54cbea1cb6975a6ad2c6
MD5sum: 947ff2100e3bec272fc98da73d15f648
Description: strongSwan daemon starter and configuration file parser
 StrongSwan is an IPsec-based VPN solution for the Linux kernel. It uses the
 native IPsec stack and runs on any recent 2.6 kernel (no patching required).
 It supports both IKEv1 and the newer IKEv2 protocols.
 .
 The starter and the associated "ipsec" script control both pluto and charon
 from the command line. It parses ipsec.conf and loads the configurations to
 the daemons. While the IKEv2 daemon can use other configuration backends, the
 IKEv1 daemon is limited to configurations from ipsec.conf.

Package: stroq
Version: 0.2-7
Architecture: armhf
Maintainer: Arnaud Cornet 
Installed-Size: 487
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.4.0), libx11-6, libxext6
Priority: optional
Section: games
Filename: pool/main/s/stroq/stroq_0.2-7_armhf.deb
Size: 156710
SHA256: 79795ea169828a43f8d96636b2296f18ccbcb1cac598908f5cc041f5d1ab8a61
SHA1: ca7cce01b059cc0e0a9555665b6f8b1b5cf311b0
MD5sum: 3ff308e0102897ba3ed61007886da8b8
Description: A Polarium/Chokkan Hitofude clone
 StroQ is an addictive puzzle game where you have to flip white and black
 tiles in order to form rows of the same color with a single stroke of your
 mouse.

Package: structure-synth
Version: 1.5.0-1.1
Architecture: armhf
Maintainer: Miriam Ruiz 
Installed-Size: 1068
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libqt4-opengl (>= 4:4.6.1), libqt4-script (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Suggests: sunflow
Homepage: http://structuresynth.sourceforge.net/
Priority: optional
Section: graphics
Filename: pool/main/s/structure-synth/structure-synth_1.5.0-1.1_armhf.deb
Size: 409504
SHA256: 3ec65d7946d7f6c570de5e2836c8d5564d0bf56823534d30802b75828c1ceb0b
SHA1: d23c21a1b73266fd859400fdb0a0ca97130a187b
MD5sum: f59005c573f6a1411c233c8b2077183c
Description: application for creating 3D structures
 Structure Synth is a tool for generating 3D structures by specifying a
 design grammar. Even simple systems may generate surprising and complex
 structures. Structure Synth offers a graphical environment with multiple
 tabs, syntax highlighting, and OpenGL preview. Integration with third-party
 renderers (such as Sunflow and POV-Ray) is possible using a flexible
 template based export system.
 .
 Structure Synth is being developed by Mikael Hvidtfeldt Christensen. It was
 inspired by the CFDG syntax by Chris Coyne, and the Context Free Art GUI by
 Mark Lentczner and John Horigan.

Package: structure-synth-dbg
Source: structure-synth
Version: 1.5.0-1.1
Architecture: armhf
Maintainer: Miriam Ruiz 
Installed-Size: 344
Depends: structure-synth (= 1.5.0-1.1)
Homepage: http://structuresynth.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/s/structure-synth/structure-synth-dbg_1.5.0-1.1_armhf.deb
Size: 83868
SHA256: 18b237a980800cb63f3072133e28fe46cddd5a9cdb95823bf8f9a72cd324f032
SHA1: cbce245b78fe95609d4c5671edd48fb25b2949e3
MD5sum: a7d828bc22597bb687739017c571be10
Description: application for creating 3D structures
 Structure Synth is a tool for generating 3D structures by specifying a
 design grammar. Even simple systems may generate surprising and complex
 structures. Structure Synth offers a graphical environment with multiple
 tabs, syntax highlighting, and OpenGL preview. Integration with third-party
 renderers (such as Sunflow and POV-Ray) is possible using a flexible
 template based export system.
 .
 This package contains the debugging symbols.

Package: stterm
Version: 0.0~20120124+hg226-2
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 99
Depends: libc6 (>= 2.4), libx11-6
Homepage: http://st.suckless.org
Priority: optional
Section: x11
Filename: pool/main/s/stterm/stterm_0.0~20120124+hg226-2_armhf.deb
Size: 27372
SHA256: 519f3e4209098044e7732a424bb659ac7b1c1bd4dfdaf0836c1a76ccd5118dda
SHA1: 6cdf11483dcfe02ae3d3b3089b5891af41ff2ced
MD5sum: fa66cdc1cd6005e95c8a566be830b78e
Description: bare bones and simple terminal for windowed system
 Stterm is a bare bones terminal emulator developed with a focus on
 simplicity and clarity as the primary goals. It is very lightweight
 consuming only approximately (2+25 reserved+virtual) MiB memory.
 The suckless software projects philosophy is about keeping things
 simple, minimal and usable.

Package: stud
Version: 0.3-3
Architecture: armhf
Maintainer: Debian stud Maintainers 
Installed-Size: 100
Depends: libc6 (>= 2.13-28), libev4 (>= 1:4.04), libssl1.0.0 (>= 1.0.0), adduser
Homepage: https://github.com/bumptech/stud
Priority: extra
Section: net
Filename: pool/main/s/stud/stud_0.3-3_armhf.deb
Size: 27234
SHA256: 3804d46ebde942b5c6f06dde39a17534d64a9e0d92f29253acb474000d39272e
SHA1: d0c749e71a47b0ad1690059c77f22aeabab55d98
MD5sum: 7e3ae38694a5f5963d667012c7e4ff01
Description: scalable TLS unwrapping daemon
 stud is a network proxy that terminates TLS/SSL connections and
 forwards the unencrypted traffic to some backend. It's designed to
 handle 10s of thousands of connections efficiently on multicore
 machines. stud has very few features -- it's designed to be paired
 with an intelligent backend like haproxy or nginx.

Package: stumpwm
Version: 1:20110819.gitca08e08-2
Installed-Size: 943
Maintainer: Debian Common Lisp Team 
Architecture: all
Provides: x-window-manager
Depends: dpkg (>= 1.15.4) | install-info, clisp-module-clx | cl-clx-sbcl | cmucl-source, cl-ppcre
Recommends: cl-asdf
Suggests: slime, x-terminal-emulator, www-browser, info-browser, menu, x11-utils, rlwrap
Size: 325756
SHA256: 636146b23199fce7d07b188563f3b24b04181b22b6b2ce97b42ee10bd448ec36
SHA1: 0ea1d213e83c7313bf546bf7c85ef358665b05d1
MD5sum: e96cb858d404977b8ea70aaa3a038c84
Description: tiling, keyboard driven Common Lisp window manager
 StumpWM is a window manager written entirely in Common Lisp. It
 attempts to be highly customizable while relying entirely on the
 keyboard for input. You will not find buttons, icons, title bars,
 tool bars, or any of the other conventional GUI widgets.
 .
 These design decisions reflect the growing popularity of productive,
 customizable lisp based systems.
 .
 Please ensure that you install compatible implementations of CL and
 CLX.  That means either install sbcl and cl-clx-sbcl or cmucl and
 cmucl-source or clisp-module-clx only.
 .
 The suggested package, slime, lets you control a running StumpWM
 session through Emacs.
 .
 If you need Xinerama support, you need the xdpyinfo utility from the
 x11-utils package.
 .
 The rlwrap package provides command completion for stumpish.
Homepage: http://www.nongnu.org/stumpwm/
Tag: implemented-in::lisp, role::program, x11::window-manager
Section: x11
Priority: optional
Filename: pool/main/s/stumpwm/stumpwm_20110819.gitca08e08-2_all.deb

Package: stun
Version: 0.96.dfsg-6
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 161
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Homepage: http://sourceforge.net/projects/stun/
Priority: optional
Section: net
Filename: pool/main/s/stun/stun_0.96.dfsg-6_armhf.deb
Size: 49954
SHA256: 54e87f0da354d74392fc8712399187342506dd8552e51d863273c0ec728ed32d
SHA1: 31d93f9bb996dd8c6a3ff5bdb742b0c416d99917
MD5sum: 3118fbe83bc7441e03480ae6f950e1a7
Description: Server daemon and test client for STUN
 The STUN protocol (Simple Traversal of UDP through NATs) is described in the
 IETF RFC 3489, available at http://www.ietf.org/rfc/rfc3489.txt.  It's used to
 help clients behind NAT to tunnel incoming calls through. This server is the
 counterpart to help the client identify the NAT and have it open the proper
 ports for it.

Package: stunnel4
Version: 3:4.53-1.1
Architecture: armhf
Maintainer: Luis Rodrigo Gallardo Cruz 
Installed-Size: 445
Pre-Depends: adduser
Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), libwrap0 (>= 7.6-4~), zlib1g (>= 1:1.1.4), openssl, netbase, perl-modules
Suggests: logcheck-database
Breaks: stunnel (<< 3:4.20-3)
Replaces: stunnel
Provides: stunnel
Homepage: http://www.stunnel.org/
Priority: optional
Section: net
Filename: pool/main/s/stunnel4/stunnel4_4.53-1.1_armhf.deb
Size: 176588
SHA256: 21a2515a94cbe9d58e9a5b1298a573c7753bf4ed3a45c3e1d845c7faebfe5167
SHA1: ef9862f3f97414574f6304369c66f0d296377a31
MD5sum: 5974e6e0792b3d1ebf849be68c766460
Description: Universal SSL tunnel for network daemons
 The stunnel program is designed to work  as  SSL  encryption
 wrapper between remote client and local (inetd-startable) or
 remote server. The concept is that having non-SSL aware daemons
 running  on  your  system you can easily setup them to
 communicate with clients over secure SSL channel.
 .
 stunnel can be used to add  SSL  functionality  to  commonly
 used  inetd  daemons  like  POP-2,  POP-3  and  IMAP servers
 without any changes in the programs' code.
 .
 This package contains a wrapper script for compatibility with stunnel 3.x

Package: stx-btree-demo
Source: stx-btree
Version: 0.8.6-1
Architecture: armhf
Maintainer: Yury Stankevich 
Installed-Size: 2999
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1)
Homepage: http://idlebox.net/2007/stx-btree/
Priority: extra
Section: misc
Filename: pool/main/s/stx-btree/stx-btree-demo_0.8.6-1_armhf.deb
Size: 318408
SHA256: 3def71e640da50284b53852126857f973c33bb96844dce244b4de5534f885ac7
SHA1: 145a7f397f6b088bcc4bb3ff7b1355fc749ea2ed
MD5sum: 779cf42b3aeb10783414d821707e1330
Description: b+tree implementation in c++, demo program
 The STX B+ Tree package is a set of C++ template classes
 implementing a B+ tree key/data container in main memory.
 This package provides a demonstration program to illustrate
 a B+ tree structure.

Package: stx-btree-dev
Source: stx-btree
Version: 0.8.6-1
Installed-Size: 284
Maintainer: Yury Stankevich 
Architecture: all
Recommends: c++-compiler
Suggests: stx-btree-doc
Size: 37278
SHA256: 97a3360977fb83b19750fecc7b022d6df2ab28070681dd177c1323e8df48c4e6
SHA1: fca385cc7f8e6f8e5243fc8b102d908f2c44d0c0
MD5sum: 7b1ba5c7881d7d6d8a0c55f429edfb8e
Description: b+tree implementation in c++
 The STX B+ Tree package is a set of C++ template classes
 implementing a B+ tree key/data container in main memory.
 Designed to be drop-in replacements  of the STL containers
 set, map, multiset and multimap and follow their interfaces very closely.
 By packing multiple value pairs into each node
 of the tree the B+ tree reduces heap fragmentation and utilizes cache-line
 effects better than the standard red-black binary tree.
 The classes contain extensive assertion and verification mechanisms
 to ensure the implementation's correctness by testing the tree invariants.
 To illustrate the  B+ tree's structure a wxWidgets demo program is included
 in the stx-btree-demo package.
Homepage: http://idlebox.net/2007/stx-btree/
Tag: devel::library, role::devel-lib
Section: libdevel
Priority: extra
Filename: pool/main/s/stx-btree/stx-btree-dev_0.8.6-1_all.deb

Package: stx-btree-doc
Source: stx-btree
Version: 0.8.6-1
Installed-Size: 4560
Maintainer: Yury Stankevich 
Architecture: all
Suggests: doc-base
Size: 646192
SHA256: 6facf9dd41ccf66d71a6ee9dd2f60c50b797d6b15816d252aab926b83f7848c8
SHA1: 711a6d0e41fe386a37fc60b5307a6c5d69c04090
MD5sum: 40f7db6766d5f5d4a2537b6955bcbb3b
Description: b+tree implementation in c++, doxygen documentation
 The STX B+ Tree package is a set of C++ template classes
 implementing a B+ tree key/data container in main memory.
 This package provides a doxygen-generated documentation.
Homepage: http://idlebox.net/2007/stx-btree/
Tag: role::documentation
Section: doc
Priority: extra
Filename: pool/main/s/stx-btree/stx-btree-doc_0.8.6-1_all.deb

Package: stx2any
Version: 1.56-2
Installed-Size: 484
Maintainer: Panu Kalliokoski 
Architecture: all
Depends: m4
Recommends: w3m, tidy, python (>= 2.1)
Size: 98834
SHA256: 78fd52aa9fe9a1fbd124d229c1089594e138b0f9db0cdc1ce795d7fa50a7411b
SHA1: d4ab2937498aca6eb2d5405e93982bd1a61a7f2c
MD5sum: 89d6b1dc48233dc783d28ed68746ff00
Description: Converter from structured plain text to other formats
 stx2any is a converter from structured text (Stx), which is plain text
 written in a standardised way, into other formats.  Formats available
 currently include (X)HTML, man, raw text, DocBook XML, PostScript and
 LaTeX.
 .
 Stx is a markup language in the tradition of plain text like markups,
 like wiki markup and ReST.  For a comparison between different plain
 text markup languages, see stx2any's home page
 (http://sange.fi/~atehwa/cgi-bin/piki.cgi/stx2any).
 .
 This package also has the following utilities:
  * strip_stx -- a literate programming tool
  * gather_stx_titles -- a script to automate cross-linking between
    documents
  * extract_usage_from_stx -- a script to produce "usage" messages from
    man pages written in stx
  * html2stx -- a utility to convert HTML into Stx.
Tag: role::program, use::converting, works-with-format::docbook,
 works-with-format::html, works-with-format::man,
 works-with-format::postscript, works-with-format::tex, works-with::text
Section: text
Priority: extra
Filename: pool/main/s/stx2any/stx2any_1.56-2_all.deb

Package: stymulator
Version: 0.21a~dfsg-1
Architecture: armhf
Maintainer: Adam Cécile (Le_Vert) 
Installed-Size: 150
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.3.0), libtinfo5
Priority: extra
Section: sound
Filename: pool/main/s/stymulator/stymulator_0.21a~dfsg-1_armhf.deb
Size: 76786
SHA256: 910bef0c2e78c141ded7d6ccba4a38c2a667806564807e6457f8d399ca752f17
SHA1: 9b8d7163d4506556d5c675bf01c5501f1cb147d6
MD5sum: c5777b542990af5108b85d9a1ef08d01
Description: Curses based player and converter for the YM chiptune format
 STYMulator is an Open Source (GPL License) player which plays music files
 in the YM chiptune format. In particular the YM files are Yamaha YM2149
 soundchip music datas (registers) dumped from the ATARI 16-bit computers.
 .
 The YM chiptune 'standard' has been introduced in the middle of 90's by
 Arnaud Carré aka Leonard/OXG. His sensational ST-Sound player has been
 released for Windows only. Ten years after the first ST-Sound creation he
 released ST-Sound library under GPL license.
 .
 STYMulator has a very simple terminal GUI. It has been written in pure
 ANSI C code with ncurses library. Sounds are generated through ALSA.
 .
 This package contains both ymplayer (curse based player) and ym2wav,
 a console YM to Wave converter.
 .
  Homepage: http://atariarea.krap.pl/stymulator/

Package: styx
Version: 1.8.0-1.1
Architecture: armhf
Maintainer: Frederik Schüler 
Installed-Size: 166
Depends: libc6 (>= 2.13-28), libstyx2 (>= 1.8.0)
Recommends: styx-dev (= 1.8.0-1.1)
Suggests: styx-doc (= 1.8.0-1.1)
Homepage: http://speculate.de/styx/
Priority: optional
Section: devel
Filename: pool/main/s/styx/styx_1.8.0-1.1_armhf.deb
Size: 56834
SHA256: 29849520dcc87e2e8fc46deff3ee9263e61a0b991bf473bc7bd859164869d0af
SHA1: d047f36a923dd44089706b2fba67c388ebf20813
MD5sum: bcec266e1288abe6d76e45ed9d029e98
Description: combined parser/scanner generator for C/C++
 The package facilitates application development including
 user-defined context free languages.
 .
 Its development model deviates from the traditional lex/yacc pair
 (flex/bison in Debian) by automating tedious tasks which are
 commonly implemented in yacc's actions.
 .
 Styx automatically derives a depth grammar, generates reentrant
 parsers that support persistent derivation trees, preserve full
 source information, support Unicode and are thread safe.
Tag: devel::{code-generator,lang:c,lang:c++,lang:php,lang:c#}, implemented-in::c, interface::commandline, role::program, scope::utility, suite::gnu, works-with::software:source

Package: styx-dev
Source: styx
Version: 1.8.0-1.1
Architecture: armhf
Maintainer: Frederik Schüler 
Installed-Size: 1034
Depends: styx (= 1.8.0-1.1)
Suggests: styx-doc (= 1.8.0-1.1)
Homepage: http://speculate.de/styx/
Priority: optional
Section: devel
Filename: pool/main/s/styx/styx-dev_1.8.0-1.1_armhf.deb
Size: 315386
SHA256: a382feaa98b57ecf7ac517951f0d1ccd2d071c040065ff76ba03dac0d728684d
SHA1: 136f3ddcd3cf1c3c3029481ba5d8857f8a73e39d
MD5sum: 44e2ba573365cb63ad9907e65d44eb1e
Description: combined parser/scanner generator development files
 Static libraries and headers needed for development with styx.
 .
 cf. styx for features.
Tag: devel::library, role::devel-lib, suite::gnu

Package: styx-doc
Source: styx
Version: 1.8.0-1.1
Installed-Size: 1284
Maintainer: Frederik Schüler 
Architecture: all
Size: 296676
SHA256: 667e87eaeac7e864e9722f2fecfcdfe377401b52f94699830d17720c256920b0
SHA1: c4a9154bbe5c1f55724454fef441286d4e8544c1
MD5sum: 0e6364c63166adf0d33ff520ded3f476
Description: combined parser/scanner generator documentation
 "The Styx Handbook" describes application development with styx and
 contains HTML reference documentation for the styx API.
 .
 Contains a full blown example showing how to build an XML parser
 with styx.
 .
 cf. styx for features.
Homepage: http://speculate.de/styx/
Tag: devel::code-generator, devel::examples, made-of::html,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/styx/styx-doc_1.8.0-1.1_all.deb

Package: subcommander
Version: 2.0.0~b5p2-5
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 2757
Depends: libapr1 (>= 1.2.7), libaprutil1 (>= 1.2.7+dfsg), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), libsvn1 (>= 1.4)
Suggests: subcommander-doc (= 2.0.0~b5p2-5)
Homepage: http://subcommander.tigris.org/
Priority: optional
Section: vcs
Filename: pool/main/s/subcommander/subcommander_2.0.0~b5p2-5_armhf.deb
Size: 1332666
SHA256: e0cd3f197874485be5effb70fca9c8ea580b0f26227261ac96fb631c8b650c28
SHA1: 4f7c4579f78b4bf13d19ae777d420b018ca1c97d
MD5sum: c7104d1a632c83201f21d64fa75a25be
Description: Graphical client for Subversion
 Subcommander is a graphical client for Subversion which supports most
 Subversion features. Its tight integration of repository browser and
 working copy status allows one to switch quickly between these views.
 Subcommander also contains submerge, a visual diff and merge tool for text
 files.
 .
 This package contains the subcommander and submerge applications.

Package: subcommander-doc
Source: subcommander
Version: 2.0.0~b5p2-5
Installed-Size: 1036
Maintainer: Debian QA Group 
Architecture: all
Suggests: doc-base
Size: 860378
SHA256: 492fba121dbaabfec0b6d64ec245e4807e6ebb55cdc08b9e3c0a7e84b19464ef
SHA1: 327a721484387c056d51d73da19d68ab24f488dc
MD5sum: a7b8a3cd3f8a841e7c4f79d8f432ed9a
Description: User guide for subcommander
 Subcommander is a graphical client for Subversion which supports most
 Subversion features. Its tight integration of repository browser and
 working copy status allows one to switch quickly between these views.
 Subcommander also contains submerge, a visual diff and merge tool for text
 files.
 .
 This package contains the user guides for subcommander and submerge.
Homepage: http://subcommander.tigris.org/
Tag: devel::rcs, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/subcommander/subcommander-doc_2.0.0~b5p2-5_all.deb

Package: subnetcalc
Version: 2.1.3-1
Architecture: armhf
Maintainer: Thomas Dreibholz 
Installed-Size: 65
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libgeoip1 (>= 1.4.8+dfsg), libstdc++6 (>= 4.4.0)
Recommends: iputils-ping, iputils-tracepath, rsplib-tools, traceroute, whois
Homepage: http://www.iem.uni-due.de/~dreibh/subnetcalc/
Priority: optional
Section: net
Filename: pool/main/s/subnetcalc/subnetcalc_2.1.3-1_armhf.deb
Size: 19412
SHA256: 1d5a609a60b4162a36b6a235e766ac0c059a40ea75622e2086a7cd2d6c5b4bc3
SHA1: 02808b8764be81d9693f8f4d4674241a41f84e88
MD5sum: 408a77c942f2d203d5647f44c813c85a
Description: IPv4/IPv6 Subnet Calculator
 SubNetCalc is an IPv4/IPv6 subnet address calculator. For given IPv4 or
 IPv6 address and netmask or prefix length, it calculates network address,
 broadcast address, maximum number of hosts and host address range. The
 output is colourized for better readability (e.g. network part, host part).
 Also, it prints the addresses in binary format for better understandability.
 Furthermore, it can identify the address type (e.g. multicast, unique local,
 site local, etc.) and extract additional information from the address
 (e.g. type, scope, interface ID, etc.). Finally, it can generate
 IPv6 unique local prefixes.

Package: substance
Version: 5.3-2
Installed-Size: 5816
Maintainer: Debian Java Maintainers 
Architecture: all
Size: 5232870
SHA256: a1ecd6d4f998f2bd30c153412c01dd1adce4d9449589f338ba75724504ff086f
SHA1: b86388da94aedb4743bc119670413a576f42ca2c
MD5sum: e3915635daa4ae2122e76de04d3c0b5a
Description: cross-platform look & feel for Swing applications
 The goal of this project is to provide a configurable and
 customizable production-quality Java look and feel library for Swing
 applications. This Java look and feel is available for JDK 5.0+ only.
Homepage: https://substance.dev.java.net/
Section: java
Priority: optional
Filename: pool/main/s/substance/substance_5.3-2_all.deb

Package: substance-doc
Source: substance
Version: 5.3-2
Installed-Size: 12924
Maintainer: Debian Java Maintainers 
Architecture: all
Suggests: substance
Size: 802840
SHA256: 2287cc81ed1f6d21ad5d2d3976e499c9d39eb44bcb6468a991f5057a1486a9e0
SHA1: b92dbfca07b5cdbaf7837f5cf5c85124fac41d4f
MD5sum: 700f16a76269026c1a62ee86c243eb42
Description: cross-platform look & feel for Swing applications - documentation
 The goal of this project is to provide a configurable and
 customizable production-quality Java look and feel library for Swing
 applications. This Java look and feel is available for JDK 5.0+ only.
 .
 This package contains API documentation (Javadoc) for substance.
Homepage: https://substance.dev.java.net/
Tag: role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/substance/substance-doc_5.3-2_all.deb

Package: subsurface
Version: 1.2-1
Architecture: armhf
Maintainer: Khalid El Fathi 
Installed-Size: 429
Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.22.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.20.0), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25)
Homepage: http://subsurface.hohndel.org
Priority: optional
Section: utils
Filename: pool/main/s/subsurface/subsurface_1.2-1_armhf.deb
Size: 153524
SHA256: f2673e4b72e27ee468c6a62372365f3c880fec3fbb75b7fdca2d30be9718822d
SHA1: b3643b88f3772b2b2c8e7bc02ba05ee3a167c612
MD5sum: 37f7a574b230d5d860aced05bb19f856
Description: simple dive log program written in C
 Subsurface is a simple dive log program written in C by Linus Torvalds.
 .
 It can process XML dive files or work directly with any dive computer supported
 by libdivecomputer. It supports import, PDF export and printing, and produces
 visual dive charts.

Package: subtitlecomposer
Version: 0.5.3-3
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 1899
Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgstreamer-plugins-base0.10-0 (>= 0.10.0), libgstreamer0.10-0 (>= 0.10.7), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.5.2), libkfile4 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libkrosscore4 (>= 4:4.3.4), libphonon4 (>= 4:4.2.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0), libxcb1, libxine1 (>= 1.1.8), phonon
Suggests: mplayer
Homepage: http://sourceforge.net/projects/subcomposer
Priority: optional
Section: kde
Filename: pool/main/s/subtitlecomposer/subtitlecomposer_0.5.3-3_armhf.deb
Size: 740846
SHA256: b0ae303864f58bcd8f42472e64fd25faa2685ed9dbebedc4ea0f957d68828c35
SHA1: db1307c23cca3f5740d31299911563afd26f6cd9
MD5sum: 057b3895e613b03f54b8d5399ff2fe17
Description: Subtitles editor for KDE
 A text-based subtitles editor for KDE supporting basic operations (text,
 time and style edition), realtime previewing and spell checking. Other
 fancy features are delaying all subtitles in the current subtitle file,
 checking errors or creating translations.
 .
 Different backends (GStreamer, MPlayer, Phonon or xine) can be used to play
 the realtime video preview which helps to synchronize the subtitles.

Package: subtitleeditor
Version: 0.33.0-1
Architecture: armhf
Maintainer: Philip Rinn 
Installed-Size: 960
Depends: libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairomm-1.0-1 (>= 1.6.4), libgcc1 (>= 1:4.4.0), libglademm-2.4-1c2a (>= 2.6.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgstreamer-plugins-base0.10-0 (>= 0.10.12), libgstreamer0.10-0 (>= 0.10.9), libgtk2.0-0 (>= 2.24.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libsubtitleeditor0 (= 0.33.0-1), gstreamer0.10-x, libenchant1c2a, gstreamer0.10-plugins-good, gstreamer0.10-plugins-base
Suggests: gstreamer0.10-ffmpeg
Homepage: http://home.gna.org/subtitleeditor/
Priority: optional
Section: gnome
Filename: pool/main/s/subtitleeditor/subtitleeditor_0.33.0-1_armhf.deb
Size: 277866
SHA256: 2f8e1b3dd744aa1814d6edcc534ca82bf5ee4c0514509db5cfc9ec6d26e2ea49
SHA1: 3330493f6febe530eeeca98b92e9d90731f0245b
MD5sum: ce81573904da5e2cfe1fef8b2ed6c23c
Description: Graphical subtitle editor with sound waves representation
 Subtitle Editor is a GTK+2 tool to edit subtitles.  It can be used for new
 subtitles or as a tool to transform, edit, correct and refine existing
 subtitles.
 .
 This program also shows sound waves, which makes it easier to synchronise
 subtitles to voices.
 .
 This package has this features
 .
  o Multiple document interface.
  o Internationalization support.
  o Video player integrated in the main window (based on GStreamer).
  o Can play preview with external video player (using MPlayer or other).
  o Style Editor.
  o Move subtitle.
  o Scale.
  o Split and joint subtitle.
  o Edit text and adjust time (start, end).
  o Generate Waveform from Video.
 .
 Supported formats:
 .
  o Sub Station Alpha.
  o Advanced Sub Station Alpha.
  o SubRip.
  o MicroDVD.
  o MPL2.
  o MPsub (MPlayer subtitle).
  o SubViewer 2.0.
  o Plain-Text.
  o Adobe Encore DVD.

Package: subtle
Version: 0.11.3224-xi-1
Architecture: armhf
Maintainer: Alexander Wirt 
Installed-Size: 565
Depends: libc6 (>= 2.13-28), libruby1.9.1 (>= 1.9.2.0), libx11-6, libxft2 (>> 2.1.1), libxinerama1, libxpm4, libxrandr2 (>= 2:1.2.99.2), ruby1.9.1, ruby-archive-tar-minitar
Provides: x-window-manager
Homepage: http://subforge.org/
Priority: extra
Section: x11
Filename: pool/main/s/subtle/subtle_0.11.3224-xi-1_armhf.deb
Size: 157918
SHA256: 75cf8c242d768ffde334e714376e6a0653e36d90185119aaf422fba6dd04a0eb
SHA1: 92b8b7426a0edc4ab1a06500d7c030fcc0557fae
MD5sum: 99b9b31c9b8bf005271d4d6817890a82
Description: grid-based manual tiling window manager
 Grid-based manual tiling window manager with a strong focus on easy but
 customizable look and feel.
 .
 In comparison to other tiling window managers,
 subtle has no automatic tiling of the screen size in any way. Instead, windows
 are arranged according to positions inside of a grid. These positions are
 called gravities.

Package: subunit
Version: 0.0.8+bzr176-1
Installed-Size: 47
Maintainer: Jelmer Vernooij 
Architecture: all
Depends: python-subunit (>= 0.0.8+bzr176-1), perl, libsubunit-perl (>= 0.0.8+bzr176-1), python2.6, python
Recommends: python-gtk2, python-junitxml
Size: 15484
SHA256: a8455e96131d356841275966159dc480271ddad5ac0d6083c7e020b26eed57fc
SHA1: aada29df8c2624df46dadbfd481789b6b190ef0f
MD5sum: ef039ad34e3c6e8be90358e35bfdd0ec
Description: command line tools for processing Subunit streams
 subunit is a protocol for test activity serialisation deserialisation. This
 permits executing tests remotely, or saving the result of test runs for later
 introspection.
 .
 The subunit package includes the subunit command line tools:
 subunit-diff, subunit-filter subunit-stats tap2subunit subunit2pyunit
 subunit-ls subunit-tags, subunit2gtk
Homepage: http://code.launchpad.net/subunit
Tag: implemented-in::python, role::program
Section: devel
Priority: optional
Filename: pool/main/s/subunit/subunit_0.0.8+bzr176-1_all.deb

Package: subversion
Version: 1.7.5-1+rpi4
Architecture: armhf
Maintainer: Peter Samuelson 
Installed-Size: 3792
Depends: libsvn1 (= 1.7.5-1+rpi4), libapr1 (>= 1.2.7), libc6 (>= 2.13-28), libsasl2-2 (>= 2.1.24)
Suggests: subversion-tools, db5.1-util, patch
Homepage: http://subversion.apache.org/
Priority: optional
Section: vcs
Filename: pool/main/s/subversion/subversion_1.7.5-1+rpi4_armhf.deb
Size: 1285774
SHA256: 295d803c9c838890c670118ae5a02669c2646c473aec2b20624a4c6a1762171e
SHA1: 1bc63fedb23670d7faafd4cf0be5cadf8138b673
MD5sum: c52356029d7afdf80b62c356a5949bfc
Description: Advanced version control system
 Apache Subversion, also known as svn, is a centralised version control
 system.  Version control systems allow many individuals (who may be
 distributed geographically) to collaborate on a set of files (source
 code, websites, etc).  Subversion began with a CVS paradigm and
 supports all the major features of CVS, but has evolved to support
 many features that CVS users often wish they had.
 .
 This package includes the Subversion client (svn), repository
 administration tools (svnadmin, svnlook) and a network server (svnserve).

Package: subversion-tools
Source: subversion
Version: 1.7.5-1+rpi4
Architecture: all
Maintainer: Peter Samuelson 
Installed-Size: 859
Depends: subversion
Recommends: python-subversion, libsvn-perl, libconfig-inifiles-perl, liburi-perl, exim4 | mail-transport-agent, rsync, svn2cl
Suggests: libsvn-ruby1.8
Breaks: subversion (<< 1.7)
Replaces: subversion (<< 1.7)
Homepage: http://subversion.apache.org/
Priority: extra
Section: vcs
Filename: pool/main/s/subversion/subversion-tools_1.7.5-1+rpi4_all.deb
Size: 283920
SHA256: 040c5299f755a78b0a01f5170018706ebf2aaad36c21d731c2e830d6a6ee698e
SHA1: 46c9e7f8ff413a6cabf724f99627a083716b0442
MD5sum: 2ca5ec2bf499d7f24725785a52c32791
Description: Assorted tools related to Apache Subversion
 This package includes miscellaneous tools for use with Apache Subversion
 clients and servers:
  * svn-backup-dumps: Incremental dumpfile-based backup script
  * svn-bisect: Bisect revisions to find a regression
  * svn-clean: Remove unversioned files from a working copy
  * svn-fast-backup: rsync-based backup script for FSFS repositories
  * svn-hot-backup: Backup script, primarily for BDB repositories
  * svn_apply_autoprops: Apply property settings from
    .subversion/config file to an existing repository
  * svn_load_dirs: Sophisticated replacement for 'svn import'
  * svnwrap: Set umask to 002 before calling svn or svnserve
  * several example hook scripts: commit-access-control, commit-email,
    log-police, mailer, svnperms, verify-po
 .
 NOTE that some of these scripts are unsupported by upstream, and may
 change radically or disappear in future releases.  Some of these
 scripts require packages on the Recommends list.

Package: suck
Version: 4.3.2-11
Architecture: armhf
Maintainer: Christian Marillat 
Installed-Size: 405
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), debconf (>= 0.5) | debconf-2.0
Recommends: perl
Suggests: news-transport-system, news-reader
Priority: extra
Section: news
Filename: pool/main/s/suck/suck_4.3.2-11_armhf.deb
Size: 164590
SHA256: 192ad214cf16aa75d89737233cc0a1c37b00dfe51e5f342fb96c406c28d04baa
SHA1: 828d19b5d804e17aadf2c1df1deb9f8450c41039
MD5sum: e925fa60f62c6b4317ccb91318740281
Description: small newsfeed from an NNTP server with standard NNTP commands
 This package contains software for copying news from an NNTP server to your
 local machine, and copying replies back up to an NNTP server.
 .
 The suck/rpost combination allows you to run your own INN/CNEWS site,
 controlling where you get your news, and where you post outgoing articles.
 Suck/rpost use only standard NNTP commands that are used by your favorite
 news reader (like tin, knews, trn) such as POST and ARTICLE. If you can
 use tin or knews against an NNTP site, than you can use Suck/Rpost and have
 multiple site feeds.
 .
 NOTE: Suck will not work with obsolete NNTP servers that can't handle the
 xhdr command.

Package: suckless-tools
Version: 38-2+deb7u1
Architecture: armhf
Maintainer: Vasudev Kamath 
Installed-Size: 114
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxinerama1
Suggests: dwm
Provides: dmenu, lsw, slock, sselp, ssid, st, swarp, tabbed, wmname
Homepage: http://www.suckless.org
Priority: optional
Section: x11
Filename: pool/main/s/suckless-tools/suckless-tools_38-2+deb7u1_armhf.deb
Size: 50576
SHA256: 526c5d324e32f9fed98947fce04cbc2129e1d300a55609e0d2c4b8f6f721a1aa
SHA1: 8988e3ec999e4344f5477b5e5bf31a52ebdf94d8
MD5sum: 14963d517fb6bb27c1a23df765ef01d9
Description: simple commands for minimalistic window managers
 This package provides simple commands designed to be used with a minimalistic
 window manager like dwm but they can be useful in scripts regardless of the
 window manager used.
 .
  * dmenu: dynamic menu is a generic menu for X.
  * lsw: Lists the titles of all running X windows to stdout, similar to ls(1).
  * slock: Simple X display locker that locks the X session.
  * st: Simple terminal implementation for X.
  * sselp: Simple X selection printer that prints the X selection to stdout.
  * ssid: Simple setsid replacement.
  * swarp: Simple X warping tool to warp the mouse pointer to a given position.
  * tabbed: Simple generic tabbed fronted to xembed aware applications.
  * wmname: wmname prints/sets the window manager name property of the root
    window similar to how hostname(1) behaves.

Package: sucrack
Version: 1.2.3-0.9
Architecture: armhf
Maintainer: Tim Brown 
Installed-Size: 69
Depends: libc6 (>= 2.13-28)
Homepage: http://labs.porticullis.co.uk/application/sucrack
Priority: extra
Section: admin
Filename: pool/main/s/sucrack/sucrack_1.2.3-0.9_armhf.deb
Size: 16736
SHA256: 6c574889eaeb03bf57db0ce811967cdfb8b844c32201c1d9da73f1dcfda22ff6
SHA1: eda97d3f0fc1240a106298cf86b90e50fde95f6d
MD5sum: 97370e35981f68c33e6fcabb4b78d2b7
Description: multithreaded su bruteforcer
 sucrack is a multithreaded Linux/UNIX tool for cracking local
 user accounts via wordlist bruteforcing su. This tool comes in
 handy when you've gained access to a low-privilege user account
 but are allowed to su to other users. Many su implementations
 require a pseudo terminal to be attached in order to take the
 password from the user. This can't be easily achieved with a
 simple shell script. This tool, written in C, is highly
 efficient and can attempt multiple logins at the same time.

Package: sucrose-0.84
Source: sugar-0.84
Version: 0.84.32-2
Installed-Size: 47
Maintainer: Debian OLPC 
Architecture: all
Depends: sugar-session-0.84, sugar-emulator-0.84, sugar-tools-0.84, python-carquinyol-0.84, sugar-terminal-activity, sugar-turtleart-activity, sugar-calculate-activity, sugar-pippy-activity, sugar-chat-activity-0.84, sugar-browse-activity-0.84, network-manager, modemmanager, gvfs, wpasupplicant, avahi-autoipd, openssh-client, x11-xserver-utils, lsb-release, ethtool, tzdata, alsa-utils, gdb
Suggests: sugar-etoys-activity, sugar-jukebox-activity-0.84, sugar-imageviewer-activity-0.84, sugar-write-activity-0.84, sugar-log-activity-0.84
Size: 19268
SHA256: f33fff3dfdccd4ad39694612dc1548f7254d906882a0792892d78260b57db7d6
SHA1: 9999a5449678481d0e4c04c87cebea7ea739c379
MD5sum: 657287b973dcf0819f21e4d8d545d24c
Description: Sugar graphical shell - Sucrose 0.84
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package depends on all packages which make up Sucrose, the
 official base Sugar environment.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Tag: role::metapackage
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.84/sucrose-0.84_0.84.32-2_all.deb

Package: sucrose-0.86
Source: sugar-0.86
Version: 0.86.3-16
Installed-Size: 48
Maintainer: Debian OLPC 
Architecture: all
Depends: sugar-session-0.86, sugar-emulator-0.86, sugar-tools-0.86, python-carquinyol-0.86, sugar-terminal-activity, sugar-calculate-activity, sugar-turtleart-activity, sugar-pippy-activity, sugar-log-activity-0.86, sugar-browse-activity-0.86, sugar-chat-activity-0.86, sugar-write-activity-0.86, python-xklavier, network-manager, gvfs, wpasupplicant, avahi-autoipd, openssh-client, x11-xserver-utils, lsb-release, ethtool, tzdata, alsa-utils, gdb
Suggests: sugar-etoys-activity, sugar-jukebox-activity-0.86, sugar-imageviewer-activity-0.86
Size: 19574
SHA256: 01657f46703304417bb6a4572daec656a8253d1acff5145c9e6131f1a57f52b3
SHA1: c7660dd7a7adc03b53fddfe371ee98176b076051
MD5sum: 3bff4cf88c33e7a38be8e1599f36938c
Description: Sugar graphical shell - Sucrose 0.86
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package depends on all packages which make up Sucrose, the
 official base Sugar environment.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Tag: role::metapackage
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.86/sucrose-0.86_0.86.3-16_all.deb

Package: sucrose-0.88
Source: sugar-0.88
Version: 0.88.1-5
Installed-Size: 50
Maintainer: Debian OLPC 
Architecture: all
Depends: sugar-session-0.88, sugar-emulator-0.88, sugar-tools-0.88, python-carquinyol-0.88, sugar-terminal-activity, sugar-turtleart-activity, sugar-pippy-activity, sugar-log-activity-0.86, sugar-browse-activity-0.86, sugar-chat-activity-0.86, sugar-write-activity-0.86, python-xklavier, network-manager, modemmanager, gvfs, wpasupplicant, avahi-autoipd, openssh-client, x11-xserver-utils, lsb-release, ethtool, tzdata, alsa-utils, gdb
Suggests: sugar-calculate-activity, sugar-etoys-activity, sugar-jukebox-activity-0.88, sugar-imageviewer-activity-0.88
Size: 20646
SHA256: 7aaab7ca0b02e4a75c9a404062a34e3e6117ee76891eb162f18361c23105fbb9
SHA1: 87c0bd6837ff90626f22d72f84a8d0a808a0f4d3
MD5sum: 52a9dec47cefb436f0e8fb8716f1dc19
Description: Sugar graphical shell - Sucrose 0.88
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package depends on all packages which make up Sucrose, the
 official base Sugar environment.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Tag: role::metapackage
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.88/sucrose-0.88_0.88.1-5_all.deb

Package: sucrose-0.90
Source: sugar-0.90
Version: 0.90.3-1
Installed-Size: 64
Maintainer: Debian OLPC 
Architecture: all
Depends: sugar-session-0.90, sugar-emulator-0.90, sugar-tools-0.90, python-carquinyol-0.90, sugar-pippy-activity, sugar-turtleart-activity, python-xklavier, network-manager, modemmanager, gvfs, wpasupplicant, avahi-autoipd, openssh-client, x11-xserver-utils, lsb-release, ethtool, tzdata, alsa-utils, gdb, gnome-keyring
Suggests: sugar-calculate-activity, sugar-etoys-activity, sugar-browse-activity-0.86, sugar-chat-activity-0.86, sugar-log-activity-0.86, sugar-write-activity-0.86, sugar-jukebox-activity-0.90, sugar-imageviewer-activity-0.90
Size: 20122
SHA256: fb8b551ce8b2029e39a3e03482f0cc9edc58b7dbd3ddb4ef515d88ba241265e5
SHA1: 2252a7cf0dcee316c6882e9ac2ff2ee301a55175
MD5sum: ab2a41fa012001ffbbb0612adc80a62a
Description: Sugar graphical shell - Sucrose 0.90
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package depends on all packages which make up Sucrose, the
 official base Sugar environment.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Tag: role::metapackage
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.90/sucrose-0.90_0.90.3-1_all.deb

Package: sucrose-0.96
Source: sugar-0.96
Version: 0.96.1-2.1
Installed-Size: 47
Maintainer: Debian OLPC 
Architecture: all
Depends: sugar-session-0.96, sugar-emulator-0.96, sugar-tools-0.96, python-carquinyol-0.96, sugar-pippy-activity, sugar-turtleart-activity, python-xklavier, network-manager, modemmanager, gvfs, upower, consolekit, wpasupplicant, avahi-autoipd, openssh-client, x11-xserver-utils, lsb-release, ethtool, tzdata, alsa-utils, xdg-user-dirs, gdb, gnome-keyring
Suggests: sugar-calculate-activity, sugar-etoys-activity, sugar-browse-activity-0.86, sugar-chat-activity-0.86, sugar-log-activity-0.86, sugar-write-activity-0.86, sugar-jukebox-activity-0.96, sugar-imageviewer-activity-0.96
Size: 20316
SHA256: c77861eb1e534fbe7c11b3e82ee2229d254d94cf2d7561bbf9e5543a6a420546
SHA1: b1faf7da4d1048ef2fd197c28f05e2cb1db6a4f3
MD5sum: 569aa54884d85766b78e5e3953a8f80e
Description: Sugar graphical shell - Sucrose 0.96
 Sugar is a graphical user interface aimed at children.
 .
 Originating as integral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package depends on all packages which make up Sucrose, the
 official base Sugar environment.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.96/sucrose-0.96_0.96.1-2.1_all.deb

Package: sudo
Version: 1.8.5p2-1+nmu3+deb7u4
Architecture: armhf
Maintainer: Bdale Garbee 
Installed-Size: 1526
Depends: libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1), libselinux1 (>= 1.32), libpam-modules
Conflicts: sudo-ldap
Replaces: sudo-ldap
Priority: optional
Section: admin
Filename: pool/main/s/sudo/sudo_1.8.5p2-1+nmu3+deb7u4_armhf.deb
Size: 840824
SHA256: 483abb21427add3c94501ce563f72abfd61b6cfe3a2c6a3871b8858d0964e435
SHA1: b85a2fd21f976f8786fae9eb2e849a589d9f8d93
MD5sum: 458b7f26174a9822240e5ce67fa810a6
Description: Provide limited super user privileges to specific users
 Sudo is a program designed to allow a sysadmin to give limited root
 privileges to users and log root activity.  The basic philosophy is to give
 as few privileges as possible but still allow people to get their work done.
 .
 This version is built with minimal shared library dependencies, use the
 sudo-ldap package instead if you need LDAP support for sudoers.

Package: sudo-ldap
Source: sudo
Version: 1.8.5p2-1+nmu3+deb7u4
Architecture: armhf
Maintainer: Bdale Garbee 
Installed-Size: 1555
Depends: libc6 (>= 2.13-28), libldap-2.4-2 (>= 2.4.7), libpam0g (>= 0.99.7.1), libpam-modules
Conflicts: sudo
Replaces: sudo
Provides: sudo
Priority: optional
Section: admin
Filename: pool/main/s/sudo/sudo-ldap_1.8.5p2-1+nmu3+deb7u4_armhf.deb
Size: 864256
SHA256: b87f7da9436bc7151e29bbb17718e540064bbee91e7e6564cd25379b47e5ec66
SHA1: 06c51a84e3d9ac578448359a94ca3851e5c77700
MD5sum: 8f2d126c47509ee2863a9c9cc2e17be4
Description: Provide limited super user privileges to specific users
 Sudo is a program designed to allow a sysadmin to give limited root
 privileges to users and log root activity.  The basic philosophy is to give
 as few privileges as possible but still allow people to get their work done.
 .
 This version is built with LDAP support, which allows an equivalent of the
 sudoers database to be distributed via LDAP.  Authentication is still
 performed via pam.

Package: sudoku
Version: 1.0.1-4
Architecture: armhf
Maintainer: Nicolas FRANCOIS (Nekral) 
Installed-Size: 100
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5
Priority: optional
Section: games
Filename: pool/main/s/sudoku/sudoku_1.0.1-4_armhf.deb
Size: 28936
SHA256: df9a9c56b6f982e6478365816af5cdcd15f48d7c0e74c727013c28f1e94304e2
SHA1: 90b1b5f99c03e0065aeda399c5e761e37a15318b
MD5sum: 6f3879b2f57f19216cd3b59b481ccbc5
Description: console based sudoku
 This sudoku puzzle generator/solver features:
  * character based (curses) interface;
  * cross-platform (Minix, Unix, Windows) with full source code (ANSI C);
  * generates hints upon request;
  * classification of board difficulty (very easy, easy, medium, hard or
    fiendish);
  * generation of new boards;
  * easy entry of boards published in newspapers, internet, etc...;
  * multiple output formats (text, csv, html, PostScript).

Package: sugar-artwork-0.84
Version: 0.84.4-3
Architecture: armhf
Maintainer: Debian OLPC 
Installed-Size: 1073
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0), ttf-dejavu-core
Recommends: sugar-0.84, ttf-dejavu-extra
Conflicts: sugar-artwork
Replaces: sugar-artwork
Provides: sugar-artwork
Homepage: http://wiki.sugarlabs.org/go/Sugar
Priority: optional
Section: x11
Filename: pool/main/s/sugar-artwork-0.84/sugar-artwork-0.84_0.84.4-3_armhf.deb
Size: 111056
SHA256: 59a3d45265c7837ddb2de538252ed17b92dd3f3ea38b8dfa23c2b54686b16cb3
SHA1: 10a89658ceb8525cb9f51800617aa7c242b9981a
MD5sum: 80d85a0019c7275517cb6faa1d244285
Description: Sugar graphical shell - artwork
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the artwork for Sugar.

Package: sugar-artwork-0.86
Version: 0.86.0-8
Architecture: armhf
Maintainer: Debian OLPC 
Installed-Size: 1062
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0), ttf-dejavu-core
Recommends: sugar-0.86, ttf-dejavu-extra
Conflicts: sugar-artwork
Replaces: sugar-artwork
Provides: sugar-artwork
Homepage: http://wiki.sugarlabs.org/go/Sugar
Priority: optional
Section: x11
Filename: pool/main/s/sugar-artwork-0.86/sugar-artwork-0.86_0.86.0-8_armhf.deb
Size: 110342
SHA256: c84bfdc63a78f263588d87cdc712ad00fe3e4a723fc006d756e900149e6cde93
SHA1: 491bbe2960601f1fcd2b2f713055d325869467d3
MD5sum: 593f4debff60c8eb2cbc40aca93b8d69
Description: Sugar graphical shell - artwork
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the artwork for Sugar.

Package: sugar-artwork-0.88
Version: 0.88.1-4
Architecture: armhf
Maintainer: Debian OLPC 
Installed-Size: 1064
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0), ttf-dejavu-core
Recommends: sugar-0.88, ttf-dejavu-extra
Conflicts: sugar-artwork
Replaces: sugar-artwork
Provides: sugar-artwork
Homepage: http://wiki.sugarlabs.org/go/Sugar
Priority: optional
Section: x11
Filename: pool/main/s/sugar-artwork-0.88/sugar-artwork-0.88_0.88.1-4_armhf.deb
Size: 112530
SHA256: 0805f5e47869994f86e7e494d578b278c4ae5544393dac93e7db1e3124ed8096
SHA1: 6a364ff704a58f6fa0f66e4c2a672ee23d6bbcba
MD5sum: 850729f63cf862ca92a912d0e4154661
Description: Sugar graphical shell - artwork
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the artwork for Sugar.

Package: sugar-artwork-0.90
Version: 0.90.0-2
Architecture: armhf
Maintainer: Debian OLPC 
Installed-Size: 1076
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.16), libpango1.0-0 (>= 1.14.0), ttf-dejavu-core
Recommends: sugar-0.90, ttf-dejavu-extra
Conflicts: sugar-artwork
Replaces: sugar-artwork
Provides: sugar-artwork
Homepage: http://wiki.sugarlabs.org/go/Sugar
Priority: optional
Section: x11
Filename: pool/main/s/sugar-artwork-0.90/sugar-artwork-0.90_0.90.0-2_armhf.deb
Size: 114474
SHA256: 21b273f02f32ab421a713ded8a51566768d3ee128e2de73308829e4a7e549cab
SHA1: c75169ed8ca9203f00b02e9424118e97fc388d46
MD5sum: a36e95021ec29d3e7b4d565b0c6657e0
Description: Sugar graphical shell - artwork
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the artwork for Sugar.

Package: sugar-artwork-0.92
Version: 0.92.0-1
Architecture: armhf
Maintainer: Debian OLPC 
Installed-Size: 1078
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.16), libpango1.0-0 (>= 1.14.0), ttf-dejavu-core
Recommends: sugar-0.92, ttf-dejavu-extra
Conflicts: sugar-artwork
Replaces: sugar-artwork
Provides: sugar-artwork
Homepage: http://wiki.sugarlabs.org/go/Sugar
Priority: optional
Section: x11
Filename: pool/main/s/sugar-artwork-0.92/sugar-artwork-0.92_0.92.0-1_armhf.deb
Size: 115076
SHA256: 1408d3dbd29af7568fba324e88074e52b5582496230fe56f83e07bea4753bd70
SHA1: f03c4709c8922256fcff533feea95003cbcd7319
MD5sum: 59d60732440310712f09b7f91ee0bd32
Description: Sugar graphical shell - artwork
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the artwork for Sugar.

Package: sugar-artwork-0.96
Version: 0.96.2-1
Architecture: armhf
Maintainer: Debian OLPC 
Installed-Size: 1153
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.16), libpango1.0-0 (>= 1.14.0), ttf-dejavu-core
Recommends: sugar-0.96, ttf-dejavu-extra
Conflicts: sugar-artwork
Replaces: sugar-artwork
Provides: sugar-artwork
Homepage: http://wiki.sugarlabs.org/go/Sugar
Priority: optional
Section: x11
Filename: pool/main/s/sugar-artwork-0.96/sugar-artwork-0.96_0.96.2-1_armhf.deb
Size: 131992
SHA256: a4ff94554f39645e74c99f12f2976dc660cdd22442debe711045f9eae56324fb
SHA1: 4af2334dd16b06a9349e4bd5a0d158f36582f225
MD5sum: e55994c244d39295b3043ec3e620f4e2
Description: Sugar graphical shell - artwork
 Sugar is a graphical user interface aimed at children.
 .
 Originating as integral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the artwork for Sugar.

Package: sugar-browse-activity-0.84
Version: 108-10
Installed-Size: 1956
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar-browse-activity, sugar-web-activity
Provides: sugar-browse-activity, sugar-web-activity
Depends: python (>= 2.5), python-central (>= 0.6.11), python-sugar-0.84, python-sugar-toolkit-0.84, python-carquinyol-0.84, python-rsvg | python-gnome2-desktop (<= 2.24.0-1), python-rsvg | librsvg2-common, python-gobject, python-gtk2, python-dbus, python-cjson, python-xpcom, python-hulahop
Recommends: sugar-0.84, sugar-artwork-0.84
Conflicts: sugar-browse-activity, sugar-web-activity
Size: 177576
SHA256: f5dd6d64e33d48a9d8644fd601773060b33967efba3ba5f4ff3602f29422893f
SHA1: da9c65a18f4e6c69d4f38462988717d2a722e657
MD5sum: 846889534005cd0657ad2d1cc6e174a5
Description: web browsing activity for the Sugar graphical shell
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the Browse activity, providing a simple web
 browser based on the Mozilla Gecko engine.
Homepage: http://wiki.sugarlabs.org/go/Activities/Browse
Python-Version: >= 2.5
Tag: role::program, web::browser
Section: x11
Priority: optional
Filename: pool/main/s/sugar-browse-activity-0.84/sugar-browse-activity-0.84_108-10_all.deb

Package: sugar-browse-activity-0.86
Version: 120-1
Installed-Size: 2132
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar-browse-activity, sugar-web-activity
Provides: sugar-browse-activity, sugar-web-activity
Depends: python (>= 2.5), python-central (>= 0.6.11), python-sugar-0.86 | python-sugar-0.88, python-sugar-toolkit-0.86 | python-sugar-toolkit-0.88, python-carquinyol-0.86 | python-carquinyol-0.88, python-rsvg | python-gnome2-desktop (<= 2.24.0-1), python-rsvg | librsvg2-common, python-gobject, python-gtk2, python-dbus, python-cjson, python-xpcom, python-hulahop, python-cairo
Recommends: sugar-0.86 | sugar-0.88, sugar-artwork-0.86 | sugar-artwork-0.88
Conflicts: sugar-browse-activity, sugar-web-activity
Size: 187834
SHA256: fc35ec25fba6acd3a38207369c9d5d48f0984754b970058563600e2db01e34d4
SHA1: a535d261c9c9dc803a50072d0af9307280d1ccf2
MD5sum: 42685667a11105a6682c3cdc6fd30143
Description: web browsing activity for the Sugar graphical shell
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the Browse activity, providing a simple web
 browser based on the Mozilla Gecko engine.
Homepage: http://wiki.sugarlabs.org/go/Activities/Browse
Python-Version: >= 2.5
Tag: role::program, web::browser
Section: x11
Priority: optional
Filename: pool/main/s/sugar-browse-activity-0.86/sugar-browse-activity-0.86_120-1_all.deb

Package: sugar-calculate-activity
Version: 40-2
Installed-Size: 1734
Maintainer: Debian OLPC 
Architecture: all
Depends: python-sugar-0.88 | python-sugar, python-sugar-toolkit-0.88 | python-sugar-toolkit, python-gobject, python-gtk2, python-dbus, python-telepathy, python-rsvg | python-gnome2-desktop (<= 2.24.0-1), python-rsvg | librsvg2-common
Recommends: python-matplotlib
Size: 189066
SHA256: f51c72000081a091de87f855cbbf48e46a75aa4a23376865c054a35b17af91ef
SHA1: 97b73d5a26a5e4760a44824e5ad8da534f2e1997
MD5sum: 8a818fbe44bf5693d18e57a364d4cbfc
Description: calculate activity for the Sugar graphical shell
 Sugar is a desktop environment. It is conceived as a platform upon
 which children learn with Sugar Activities. The platform provides
 mechanisms for collaboration, reflection, and exploration. Sugar
 Activities cover a broad range of applications: browsing, drawing,
 composing, writing, programming, etc.
 .
 The calculate activity provides a generic calculator. The interface
 provides the simplest functions directly and should therefore be easy
 to use for the youngest children. However, it does support more
 complicated math and variables.
Homepage: http://wiki.laptop.org/go/Calculate
Tag: field::mathematics, implemented-in::python, role::program
Section: x11
Priority: optional
Filename: pool/main/s/sugar-calculate-activity/sugar-calculate-activity_40-2_all.deb

Package: sugar-chat-activity-0.84
Version: 65-5
Installed-Size: 1628
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar-chat-activity
Provides: sugar-chat-activity
Depends: python, python-central (>= 0.6.11), python-sugar-0.84, python-sugar-toolkit-0.84, python-hippocanvas, python-telepathy, python-gtk2, python-cjson
Recommends: sugar-0.84
Conflicts: sugar-chat-activity
Size: 52622
SHA256: 9d8aa8dabdde4dea61ec7f3c75973f1e6326cc42c327ec3b696df97f3916c12e
SHA1: e9a467651218eab94132fdcb553c19445dfe879f
MD5sum: a8bab60f886e6280f429127f81fe471c
Description: chat activity for the Sugar graphical shell
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the Chat activity, providing a simple interface
 for collaborative discussion, be it between two individuals or among a
 group as large as an entire classroom. While a lightweight and
 "impermanent" chat will be provided in a layer above all activities and
 the various mesh levels, this activity devoted to textual communication
 will keep detailed records of the conversation within the journal and
 provide a means of searching through the conversation to locate
 important comments.
Homepage: http://wiki.laptop.org/go/Chat
Python-Version: all
Tag: role::program, use::chatting
Section: x11
Priority: optional
Filename: pool/main/s/sugar-chat-activity-0.84/sugar-chat-activity-0.84_65-5_all.deb

Package: sugar-chat-activity-0.86
Version: 76-1
Installed-Size: 2011
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar-chat-activity
Provides: sugar-chat-activity
Depends: python-sugar-0.88 | python-sugar-0.96, python-sugar-toolkit-0.88 | python-sugar-toolkit-0.96, python-telepathy, python-gtk2, python-cjson
Recommends: sugar-0.88 | sugar-0.96
Conflicts: sugar-chat-activity
Size: 163138
SHA256: 2d237cd887e18ac7acb7bb0fbe9724578c1fc1a2f204fabd98b196d2cb94bd08
SHA1: 73e93d4ec2add2cab8658324feaa131ca0be0738
MD5sum: 2cf9e4694ae7f9aa26b0b71810b59594
Description: chat activity for the Sugar graphical shell
 Sugar is a desktop environment. It is conceived as a platform upon
 which children learn with Sugar Activities. The platform provides
 mechanisms for collaboration, reflection, and exploration. Sugar
 Activities cover a broad range of applications: browsing, drawing,
 composing, writing, programming, etc.
 .
 The Chat activity provides a simple interface for collaborative
 discussion, be it between two individuals or among a group as large as
 an entire classroom. While a lightweight and "impermanent" chat is an
 integral part of Sugar as a layer above all activities and the various
 mesh levels, this activity devoted to textual communication keeps
 detailed records of the conversation within the journal and provides a
 means of searching through the conversation to locate important
 comments.
Homepage: http://wiki.laptop.org/go/Chat
Tag: role::program, use::chatting
Section: x11
Priority: optional
Filename: pool/main/s/sugar-chat-activity-0.86/sugar-chat-activity-0.86_76-1_all.deb

Package: sugar-connect-activity
Version: 22-1.1
Installed-Size: 111
Maintainer: Debian OLPC 
Architecture: all
Depends: python, python-central (>= 0.6.11), python-sugar-0.88 | python-sugar, python-sugar-toolkit-0.88 | python-sugar-toolkit, python-hippocanvas, python-telepathy, python-gtk2, python-cjson
Recommends: sugar
Size: 34954
SHA256: 462dc48bb5c7b1af7e12d562ff1ff726c24f9fd0fabfa75eaf4808d2e615d9fd
SHA1: ed64a4a2970964e0bbbcd3c8f3cb3dccd85b3143
MD5sum: 5e7aa465a8445cbf6b8a11dc3080e1d0
Description: collaborative activity à la Connect Four
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the Connect activity.
 .
 The Connect activity implements the game of Connect Four as
 a two-player game. Additional participants can watch the game,
 and will have a chance to play the winner of the current game.
Homepage: http://wiki.laptop.org/go/Connect
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-connect-activity/sugar-connect-activity_22-1.1_all.deb

Package: sugar-emulator-0.84
Source: sugar-0.84
Version: 0.84.32-2
Installed-Size: 64
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar (<= 0.82.9-5)
Provides: sugar-emulator
Depends: python, sugar-session-0.84, python-jarabe-0.84, dbus-x11, xserver-xephyr, x11-utils
Conflicts: sugar-emulator
Breaks: sugar (<= 0.82.9-5)
Size: 21650
SHA256: a1cf4e734be10c9aa5a52f6ab6c15f322030dcdc23337a9ed5037e6d536fa017
SHA1: 5eb33dfb1e577fed988ecb39b578e36b1c183d3e
MD5sum: 8458a5ccf2ccb4b701b92b16fdd67e9b
Description: Sugar graphical shell - emulator
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains a wrapper script to run Sugar in a Xephyr window,
 making it possible to run it from inside another Desktop environment.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.84/sugar-emulator-0.84_0.84.32-2_all.deb

Package: sugar-emulator-0.86
Source: sugar-0.86
Version: 0.86.3-16
Installed-Size: 61
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar (<= 0.82.9-5)
Provides: sugar-emulator
Depends: sugar-session-0.86, python-jarabe-0.86, dbus-x11, xserver-xephyr, x11-utils
Conflicts: sugar-emulator
Breaks: sugar (<= 0.82.9-5)
Size: 20326
SHA256: 3806905d51dedcbed39daee14c1fb2a8e8a8beb3854be759951ac8722a35db95
SHA1: 56646335dee4d77efd7fe22c8b64ad95d7093dea
MD5sum: bec0b6096b26f9f36d9b3ead49e25a8e
Description: Sugar graphical shell - emulator
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains a wrapper script to run Sugar in a Xephyr window,
 making it possible to run it from inside another Desktop environment.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.86/sugar-emulator-0.86_0.86.3-16_all.deb

Package: sugar-emulator-0.88
Source: sugar-0.88
Version: 0.88.1-5
Installed-Size: 63
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar (<= 0.82.9-5)
Provides: sugar-emulator
Depends: sugar-session-0.88, python-jarabe-0.88, dbus-x11, xserver-xephyr, x11-utils
Conflicts: sugar-emulator
Breaks: sugar (<= 0.82.9-5)
Size: 21398
SHA256: 458cefafa51e5809b1a6770eac12576b00058b0fd4e0c5087bbf596a8007b723
SHA1: 58c35f2fcb3630713d701c412b2ebb2941abd461
MD5sum: ffa7e9fd74f76e28a1081eea345bdbfd
Description: Sugar graphical shell - emulator
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains a wrapper script to run Sugar in a Xephyr window,
 making it possible to run it from inside another Desktop environment.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.88/sugar-emulator-0.88_0.88.1-5_all.deb

Package: sugar-emulator-0.90
Source: sugar-0.90
Version: 0.90.3-1
Installed-Size: 96
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar (<= 0.82.9-5)
Provides: sugar-emulator
Depends: sugar-session-0.90, python-jarabe-0.90, dbus-x11, xserver-xephyr, x11-utils
Recommends: gnome-keyring
Conflicts: sugar-emulator
Breaks: sugar (<= 0.82.9-5)
Size: 20822
SHA256: 09b534c1a17743ee003c02ec59e57a2f175a7d86eb359dee48b0fdadd3722c28
SHA1: 7b5e844b9ab80349b6547946e6ace7e08f311235
MD5sum: 2018253f3dddd59ce9daa13b8933406c
Description: Sugar graphical shell - emulator
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains a wrapper script to run Sugar in a Xephyr window,
 making it possible to run it from inside another Desktop environment.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.90/sugar-emulator-0.90_0.90.3-1_all.deb

Package: sugar-emulator-0.96
Source: sugar-0.96
Version: 0.96.1-2.1
Installed-Size: 60
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar (<= 0.82.9-5)
Provides: sugar-emulator
Depends: sugar-session-0.96, python-jarabe-0.96, dbus-x11, xserver-xephyr, x11-utils
Recommends: gnome-keyring
Conflicts: sugar-emulator
Breaks: sugar (<= 0.82.9-5)
Size: 21066
SHA256: 5f73f75a36c5752f051db3fef9f43dfa25d133da664ec1d3c59117186709f18d
SHA1: c1261362b0cfe0d01b343e713f92eb26e6ebedc4
MD5sum: 6807eb52e1bc4a85e779c7681a6201bb
Description: Sugar graphical shell - emulator
 Sugar is a graphical user interface aimed at children.
 .
 Originating as integral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains a wrapper script to run Sugar in a Xephyr window,
 making it possible to run it from inside another Desktop environment.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.96/sugar-emulator-0.96_0.96.1-2.1_all.deb

Package: sugar-irc-activity
Version: 8-1.1
Installed-Size: 172
Maintainer: Debian OLPC 
Architecture: all
Depends: python, python-central (>= 0.6.11), python-sugar-0.88 | python-sugar, python-sugar-toolkit-0.88 | python-sugar-toolkit, python-hippocanvas, python-telepathy, python-gtk2, python-cjson
Recommends: sugar
Size: 50304
SHA256: 264d3665ab769ab35a213cbd95a29f89c294cb59e80371fe3634aad120397063
SHA1: 9e196fa3576e1567c964f7662a6cc8b32349a3d8
MD5sum: c48264fec52791cabb4e92ffb6913550
Description: Sugar IRC Activity
 Sugar is a graphical user interface aimed at children.
 .
 Originating as integral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-resource desktop
 environment for kids.
 .
 This Activity allows you to contact other Sugar users and enthusiasts
 on the internet and chat with them. It uses a system called Internet
 Relay Chat, or IRC for short. There are several IRC channels for Sugar
 users and developers. It defaults to a "room" called #sugar, but you
 can also enter other rooms by typing /join #room where room is the
 name of the room you wish to join.
Homepage: http://wiki.laptop.org/go/XoIRC
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-irc-activity/sugar-irc-activity_8-1.1_all.deb

Package: sugar-jigsawpuzzle-activity
Version: 8-1
Installed-Size: 2220
Maintainer: Debian OLPC 
Architecture: all
Depends: python, python-central (>= 0.6.11), python-sugar-0.88 | python-sugar, python-sugar-toolkit-0.88 | python-sugar-toolkit, python-hippocanvas, python-telepathy, python-gtk2, python-cjson, python-abiword
Recommends: sugar
Size: 241658
SHA256: b89a1a9f2158d1f5f86b655d0bc2e1d02b1b9740ad5a7e219ef580e5fc2e6159
SHA1: 7d5c8d638cfea047cfc96ac41f6a44b76110b174
MD5sum: 44d59a541baacb3af839d3ef8ea6247d
Description: a classic picture-constructing game for Sugar
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the Jigsaw Puzzle activity.
 .
 Jigsaw Puzzle is based on the classic picture-constructing game, but
 has the option to add your own pictures. It strengthens visual, spacial
 and problem solving skills and offers a context to creatively reflect
 on and explore any subject. This is a WorldWideWorkshop project.
Homepage: http://wiki.laptop.org/go/Jigsaw_Puzzle
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-jigsawpuzzle-activity/sugar-jigsawpuzzle-activity_8-1_all.deb

Package: sugar-log-activity-0.86
Version: 24-1
Installed-Size: 704
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar-log-activity
Provides: sugar-log-activity
Depends: python, python-central (>= 0.6.11), python-sugar-0.86 | python-sugar-0.88, python-sugar-toolkit-0.86 | python-sugar-toolkit-0.88, python-hippocanvas, python-telepathy, python-gtk2, python-cjson
Recommends: sugar-0.86 | sugar-0.88
Conflicts: sugar-log-activity
Size: 44954
SHA256: 4bf15c0c87a27ec0a4ab2c3f30761187fb61d6ef1e9da9041957bcb1d66c561c
SHA1: 91207d3827cabfd51ea35a0e5c15c88d61bdc0aa
MD5sum: 0acf8b0e2c4088a4e0e783103edffc19
Description: Sugar Log activity
 Sugar is a graphical user interface aimed at children.
 .
 Originating as integral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-resource desktop
 environment for kids.
 .
 This package contains the Log activity for developers to examine the
 log files that are generated by system software and other activities.
 Logs can also be uploaded to OLPC's servers to allow support staff
 to assist with troubleshooting.
Homepage: http://wiki.laptop.org/go/Log_Viewer
Section: x11
Priority: optional
Filename: pool/main/s/sugar-log-activity-0.86/sugar-log-activity-0.86_24-1_all.deb

Package: sugar-memorize-activity
Version: 35-1
Installed-Size: 1600
Maintainer: Debian OLPC 
Architecture: all
Depends: python, python-central (>= 0.6.11), python-sugar-0.88 | python-sugar, python-sugar-toolkit-0.88 | python-sugar-toolkit, python-hippocanvas, python-telepathy, python-gtk2, python-cjson
Recommends: sugar
Size: 667352
SHA256: 3d2d46d7ef5eb0f3aaedefa036a8bb34ab9b178e53f23a7aff95b36dd3ec1662
SHA1: 54230a4a3cfab846c363f6f59499e3b24c49bff6
MD5sum: 2ed17a9decd10194fc01c17fc3f20f5b
Description: Sugar activity for creating and playing matching games
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the Memorize activity.
 .
 Memorize is about finding matching pairs. A pair can
 consist of any multimedia object. At the moment these are images,
 sounds and text but this could be extended to animations or movie
 snippets as well.
 .
 Which pairs do match is up to the creator of the game. Memorize is
 actually more than just a predefined game you can play, it allows you
 to create new games yourself as well.
Homepage: http://wiki.laptop.org/go/Memorize
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-memorize-activity/sugar-memorize-activity_35-1_all.deb

Package: sugar-moon-activity
Version: 11-1
Installed-Size: 776
Maintainer: Debian OLPC 
Architecture: all
Depends: python, python-central (>= 0.6.11), python-sugar-0.86 | python-sugar-0.88, python-sugar-toolkit-0.86 | python-sugar-toolkit-0.88, python-telepathy, python-gtk2
Recommends: sugar
Size: 102702
SHA256: 29b4563e71f0b247a74f83ba9601912c0020feeb609fc75fcaf918eda98c259f
SHA1: b3e4482f30c8bdfd968f8e2ea10dd94233831f99
MD5sum: 9f3607d6852720f647f9b4d97e0846b9
Description: Sugar Moon Activity
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-resource desktop
 environment for kids
 .
 This package contains the moon activity. Moon is a simple Lunar phase
 activity for Sugar, including upcoming Lunar eclipse information and
 effects.
Homepage: http://wiki.sugarlabs.org/go/Activities/Moon
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-moon-activity/sugar-moon-activity_11-1_all.deb

Package: sugar-physics-activity
Version: 7+dfsg-1.1
Installed-Size: 262
Maintainer: Debian OLPC 
Architecture: all
Depends: python, python-central (>= 0.6.11), python-sugar-0.88 | python-sugar, python-sugar-toolkit-0.88 | python-sugar-toolkit, python-hippocanvas, python-telepathy, python-gtk2, python-cjson, python-elements, python-box2d, python-pkg-resources, python-pygame
Size: 75378
SHA256: 71d1337d00dfded5907a2f240f87202ba20ddf7cbc9757533d428d9cb57b884c
SHA1: 59e2e457da73721673be0bb29be57464ee32836c
MD5sum: 786259efbd868dee15c574a73856b60e
Description: physics simulator for the Sugar graphical shell
 Sugar is a graphical user interface aimed at children.
 .
 Originating as integral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-resource desktop
 environment for kids.
 .
 This package contains the Physics activity. In it, you can add squares,
 circles, triangles, or draw your own shapes, and see them come to life
 with forces like gravity friction, and inertia.
Homepage: http://wiki.sugarlabs.org/go/Activities/Physics
Section: x11
Priority: optional
Filename: pool/main/s/sugar-physics-activity/sugar-physics-activity_7+dfsg-1.1_all.deb

Package: sugar-pippy-activity
Version: 46~dfsg-2
Installed-Size: 1570
Maintainer: Debian OLPC 
Architecture: all
Depends: python-sugar-0.88 | python-sugar, python-sugar-toolkit-0.88 | python-sugar-toolkit, python-gobject, python-gtk2, python-vte (>= 1:0.22.3~), python-telepathy, python-pygame, python-gtksourceview2, python-elements
Recommends: python-carquinyol-0.88 | python-carquinyol, python-gst0.10
Suggests: sugar-session-0.88 | sugar-session, csound, python-tamtam-data
Size: 129134
SHA256: 5dd9a7a1988ef919e5b0b4fb606e7453f9b236050ce723ff60a11e36cf8f1768
SHA1: 3238fd9406a18ad2dabeed92535510cfaebe4905
MD5sum: 1e0c1370eee3c0140f0c95793b09f5a2
Description: simple Python programming activity for Sugar
 Sugar is a desktop environment. It is conceived as a platform upon
 which children learn with Sugar Activities. The platform provides
 mechanisms for collaboration, reflection, and exploration. Sugar
 Activities cover a broad range of applications: browsing, drawing,
 composing, writing, programming, etc.
 .
 Pippy is a simple IDE for the Python programming language. It provides
 a menu of sample files, a text editing window, and simple controls for
 running and stopping the selected code.
Homepage: http://wiki.sugarlabs.org/go/Activities/Pippy
Tag: devel::lang:python, implemented-in::python, role::program, use::learning
Section: x11
Priority: optional
Filename: pool/main/s/sugar-pippy-activity/sugar-pippy-activity_46~dfsg-2_all.deb

Package: sugar-poll-activity
Version: 26+git20100521.d4def0b6-1
Installed-Size: 1940
Maintainer: Debian OLPC 
Architecture: all
Depends: python, python-central (>= 0.6.11), python-abiword, python-sugar-0.88 | python-sugar, python-sugar-toolkit-0.88 | python-sugar-toolkit, python-hippocanvas, python-telepathy, python-gtk2, python-cjson
Recommends: sugar
Size: 89860
SHA256: 913a9caa17efa43c7146b72efaeb67a407b053895abd96eadf6c1170339cc892
SHA1: 8c10b98c7b8bd59a422effb9e3dd0d034e261b93
MD5sum: 8d0b8c283c7b7450b95b574090745288
Description: Sugar activity to create a poll, collect votes and analyze community opinions
 Sugar is a graphical user interface aimed at children.
 .
 Originating as integral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-resource desktop
 environment for kids.
 .
 This package contains the Poll activity.
 .
 A poll is a research tool that helps explain what a group of people
 think about a topic. Poll Builder allows you to create your own poll,
 collect votes, examine the results and think about what they mean.
 Users can write original opinion poll questions and answer choices,
 then invite friends to vote in their poll via the mesh. This is a
 WorldWideWorkshop project.
Homepage: http://wiki.laptop.org/go/Poll_Builder
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-poll-activity/sugar-poll-activity_26+git20100521.d4def0b6-1_all.deb

Package: sugar-presence-service-0.84
Version: 0.84.3-1
Installed-Size: 320
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar-presence-service
Provides: sugar-presence-service
Depends: python, python-central (>= 0.6.11), python-sugar-toolkit-0.84, python-gobject, python-dbus (>= 0.82.0), python-telepathy, telepathy-gabble, telepathy-salut, net-tools
Recommends: sugar-0.84, avahi-daemon, network-manager (>= 0.7)
Conflicts: sugar-presence-service
Size: 59600
SHA256: c56c7b6e79fd1546f0cc447c1aed0e1aa2da1b5a81789456a893c9a6838408cf
SHA1: 63ef79447b83cd320595b3fca5382215e051a15d
MD5sum: 8822365a6fd20a55562eae637136100f
Description: Sugar graphical shell - presence service
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the presence service.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-presence-service-0.84/sugar-presence-service-0.84_0.84.3-1_all.deb

Package: sugar-presence-service-0.86
Version: 0.86.0-5
Installed-Size: 320
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar-presence-service
Provides: sugar-presence-service
Depends: python, python-central (>= 0.6.11), python-sugar-toolkit-0.86, python-gobject, python-dbus (>= 0.82.0), python-telepathy, telepathy-gabble, telepathy-salut, net-tools
Recommends: sugar-0.86, avahi-daemon, network-manager (>= 0.7)
Conflicts: sugar-presence-service
Size: 59848
SHA256: 40599242ace6e5c2a1a546e8fb3bc5dd58100543cefd17fd18354a34a1d6998e
SHA1: 26a1aaa9c256343ab25758ac334fe79087a7f77f
MD5sum: 1c263eb215964170435327149c608d96
Description: Sugar graphical shell - presence service
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the presence service.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-presence-service-0.86/sugar-presence-service-0.86_0.86.0-5_all.deb

Package: sugar-presence-service-0.88
Version: 0.88.0-3
Installed-Size: 320
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar-presence-service
Provides: sugar-presence-service
Depends: python, python-central (>= 0.6.11), python-sugar-toolkit-0.88, python-gobject, python-dbus (>= 0.82.0), python-telepathy, telepathy-gabble, telepathy-salut, net-tools
Recommends: sugar-0.88, avahi-daemon, network-manager (>= 0.7)
Conflicts: sugar-presence-service
Size: 60068
SHA256: effdc1ec82966a21f3cefc39735c3aa245fd5368bf17ab6f98d5a6877e122022
SHA1: 242a397021948a5561d6a2ebe183d54f04284c64
MD5sum: e39222b22e67d6f10afbb36484089dd9
Description: Sugar graphical shell - presence service
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the presence service.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-presence-service-0.88/sugar-presence-service-0.88_0.88.0-3_all.deb

Package: sugar-presence-service-0.90
Version: 0.90.2-1
Installed-Size: 242
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar-presence-service
Provides: sugar-presence-service
Depends: python, python-sugar-toolkit-0.96, python-gobject, python-dbus (>= 0.82.0), python-telepathy, telepathy-gabble, telepathy-salut, net-tools
Recommends: sugar-session-0.96, avahi-daemon, network-manager (>= 0.7)
Conflicts: sugar-presence-service
Size: 56006
SHA256: 6065b1b4c828c298531804efd13cf16fdb297646fd69954444066ca006f8b0e0
SHA1: 95ed518552a5783188e9fbca7336a16edbc90b55
MD5sum: 5d47f8cc7d6025b10dddc8e1642943a8
Description: Sugar graphical shell - presence service
 Sugar is a desktop environment. It is conceived as a platform upon
 which children learn with Sugar Activities. The platform provides
 mechanisms for collaboration, reflection, and exploration. Sugar
 Activities cover a broad range of applications: browsing, drawing,
 composing, writing, programming, etc.
 .
 This package contains the (deprecated but still used) presence service.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Section: x11
Priority: optional
Filename: pool/main/s/sugar-presence-service-0.90/sugar-presence-service-0.90_0.90.2-1_all.deb

Package: sugar-record-activity
Version: 82-1.1
Installed-Size: 474
Maintainer: Debian OLPC 
Architecture: all
Depends: python, python-central (>= 0.6.11), python-sugar-0.88 | python-sugar, python-sugar-toolkit-0.88 | python-sugar-toolkit, python-hippocanvas, python-telepathy, python-gtk2, python-cjson
Recommends: sugar
Size: 126644
SHA256: 1f779737b1e616172c7f06bf8b7d77822bef2895eadd446ab5797b96a6975f13
SHA1: 9ae4bb2872fdf175e312259ac4da6e5335772442
MD5sum: 039548eaa35420e504e9d4ea18cfabd0
Description: Sugar Record Activity
 Sugar is a graphical user interface aimed at children.
 .
 Originating as integral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-resource desktop
 environment for kids.
 .
 This package contains the Record activity, which allows the user to
 take, save, and share pictures, video, and audio using a computer
 webcam.
Homepage: http://wiki.sugarlabs.org/go/Activities/Record
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-record-activity/sugar-record-activity_82-1.1_all.deb

Package: sugar-session-0.84
Source: sugar-0.84
Version: 0.84.32-2
Installed-Size: 1381
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar (<= 0.82.9-5)
Provides: sugar
Depends: python (>= 2.6.6-7~), gconf2 (>= 2.28.1-2), python-sugar-0.84, python-sugar-toolkit-0.84, sugar-artwork-0.84, python-jarabe-0.84, python-gobject (>= 2.15.0), python-gtk2, python-dbus, matchbox-window-manager, dbus-x11
Recommends: sugar-emulator-0.84, sugar-tools-0.84, python-carquinyol-0.84, sugar-terminal-activity, sugar-turtleart-activity, sugar-calculate-activity, sugar-pippy-activity, sugar-chat-activity-0.84 | sugar-chat-activity, sugar-browse-activity-0.84 | sugar-browse-activity, sucrose-0.84, openssh-client, network-manager, modemmanager, gvfs, x11-xserver-utils, lsb-release, ethtool, tzdata
Suggests: sugar-etoys-activity, sugar-jukebox-activity-0.84 | sugar-jukebox-activity, sugar-imageviewer-activity-0.84 | sugar-imageviewer-activity, sugar-write-activity-0.84 | sugar-write-activity, sugar-log-activity-0.84 | sugar-log-activity
Conflicts: sugar-session
Breaks: sugar (<= 0.82.9-5)
Size: 237618
SHA256: 3e968d3c9c16ee545b9208589dfb30ae64a5e9412157730ee5dc09081a328413
SHA1: f781a80c6256ea5a69152ef17d5de8aecc4337eb
MD5sum: 1d638ea00455ad855b920e499d889d37
Description: Sugar graphical shell - window manager
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the integrated session and window manager Sugar.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.84/sugar-session-0.84_0.84.32-2_all.deb

Package: sugar-session-0.86
Source: sugar-0.86
Version: 0.86.3-16
Installed-Size: 1368
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar (<= 0.82.9-5)
Provides: sugar
Depends: python, python-central (>= 0.6.11), gconf2 (>= 2.28.1-2), python-sugar-0.86, python-sugar-toolkit-0.86, sugar-artwork-0.86, python-jarabe-0.86, python-gobject (>= 2.15.0), python-gtk2, python-dbus, python-wnck | python-gnome2-desktop (<= 2.24.0-1), python-gconf | python-gnome2 (<= 2.22.3-2), metacity (>= 1:2.27.1), dbus-x11
Recommends: sugar-emulator-0.86, sugar-tools-0.86, python-carquinyol-0.86, sugar-terminal-activity, sugar-calculate-activity, sugar-turtleart-activity, sugar-pippy-activity, sugar-log-activity-0.86 | sugar-log-activity, sugar-browse-activity-0.86 | sugar-browse-activity, sugar-chat-activity-0.86 | sugar-chat-activity, sugar-write-activity-0.86 | sugar-write-activity, sucrose-0.86, python-xklavier, openssh-client, network-manager, gvfs, x11-xserver-utils, lsb-release, ethtool, tzdata
Suggests: sugar-etoys-activity, sugar-jukebox-activity-0.86 | sugar-jukebox-activity, sugar-imageviewer-activity-0.86 | sugar-imageviewer-activity
Conflicts: sugar-session
Breaks: sugar (<= 0.82.9-5)
Size: 239094
SHA256: b047fcbe6c0ccdfe2f2ef34042401313c4848a4b7cef960e4b57cddaf50cccad
SHA1: c2958919c8a17b8a972d9e938144ede320634158
MD5sum: 8c00898f02a2db314cf8b4e3ac9301be
Description: Sugar graphical shell - window manager
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the integrated session and window manager Sugar.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.86/sugar-session-0.86_0.86.3-16_all.deb

Package: sugar-session-0.88
Source: sugar-0.88
Version: 0.88.1-5
Installed-Size: 1694
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar (<= 0.82.9-5)
Provides: sugar
Depends: python, python-central (>= 0.6.11), gconf2 (>= 2.28.1-2), python-sugar-0.88, python-sugar-toolkit-0.88, sugar-artwork-0.88, python-jarabe-0.88, python-gobject (>= 2.15.0), python-gtk2, python-dbus, python-wnck | python-gnome2-desktop (<= 2.24.0-1), python-gconf | python-gnome2 (<= 2.22.3-2), metacity (>= 1:2.27.1), dbus-x11
Recommends: sugar-emulator-0.88, sugar-tools-0.88, python-carquinyol-0.88, sugar-terminal-activity, sugar-turtleart-activity, sugar-pippy-activity, sugar-log-activity-0.86 | sugar-log-activity, sugar-browse-activity-0.86 | sugar-browse-activity, sugar-chat-activity-0.86 | sugar-chat-activity, sugar-write-activity-0.86 | sugar-write-activity, python-xklavier, openssh-client, network-manager, modemmanager, gvfs, x11-xserver-utils, lsb-release, ethtool, tzdata, sucrose-0.88
Suggests: sugar-calculate-activity, sugar-etoys-activity, sugar-jukebox-activity-0.88 | sugar-jukebox-activity, sugar-imageviewer-activity-0.88 | sugar-imageviewer-activity
Conflicts: sugar-session
Breaks: sugar (<= 0.82.9-5)
Size: 289004
SHA256: 3066cace6be5c1da507ea7e2a7a565796d46bc40b7c175a8c2f137667a99a5b1
SHA1: 73bf7dadefd4541ce6c3f8ae9bdaec368e104ed6
MD5sum: 388c8da664e95980d27d0cfabc55f347
Description: Sugar graphical shell - window manager
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the integrated session and window manager Sugar.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.88/sugar-session-0.88_0.88.1-5_all.deb

Package: sugar-session-0.90
Source: sugar-0.90
Version: 0.90.3-1
Installed-Size: 2124
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar (<= 0.82.9-5)
Provides: sugar
Depends: python, python-central (>= 0.6.11), gconf2 (>= 2.28.1-2), python-sugar-0.90, python-sugar-toolkit-0.90, sugar-artwork-0.90, python-jarabe-0.90, python-gobject (>= 2.15.0), python-gtk2, python-dbus, python-wnck | python-gnome2-desktop (<= 2.24.0-1), python-gconf | python-gnome2 (<= 2.22.3-2), metacity (>= 1:2.27.1), dbus-x11
Recommends: sugar-emulator-0.90, sugar-tools-0.90, python-carquinyol-0.90, sugar-pippy-activity, sugar-turtleart-activity, sucrose-0.90, python-xklavier, openssh-client, network-manager, modemmanager, gvfs, x11-xserver-utils, lsb-release, ethtool, tzdata
Suggests: sugar-calculate-activity, sugar-etoys-activity, sugar-browse-activity-0.86 | sugar-browse-activity, sugar-chat-activity-0.86 | sugar-chat-activity, sugar-log-activity-0.86 | sugar-log-activity, sugar-write-activity-0.86 | sugar-write-activity, sugar-jukebox-activity-0.90 | sugar-jukebox-activity, sugar-imageviewer-activity-0.90 | sugar-imageviewer-activity
Conflicts: sugar-session
Breaks: sugar (<= 0.82.9-5)
Size: 290804
SHA256: a76c3b9303f817dba670cc63ad5573c456336a7722de4e482e6df18769080e8c
SHA1: 7ced49fa62d020b19e060da2024b1b9d74349312
MD5sum: bf65ea76135953b699086786efdb4f9b
Description: Sugar graphical shell - window manager
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the integrated session and window manager Sugar.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.90/sugar-session-0.90_0.90.3-1_all.deb

Package: sugar-session-0.96
Source: sugar-0.96
Version: 0.96.1-2.1
Installed-Size: 2395
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar (<= 0.82.9-5)
Provides: sugar
Depends: python (>= 2.6.6-7~), gconf2 (>= 2.28.1-2), python-sugar-0.96, python-sugar-toolkit-0.96, sugar-artwork-0.96, python-jarabe-0.96, python-gobject (>= 2.15.0), python-gtk2, python-dbus, python-wnck | python-gnome2-desktop (<= 2.24.0-1), python-gconf | python-gnome2 (<= 2.22.3-2), metacity (>= 1:2.27.1), dbus-x11, python-carquinyol-0.96, x11-xserver-utils
Recommends: sugar-emulator-0.96, sugar-tools-0.96, sugar-pippy-activity, sugar-turtleart-activity, python-xklavier, openssh-client, network-manager, modemmanager, gvfs, upower, consolekit, lsb-release, ethtool, tzdata
Suggests: sucrose-0.96, sugar-calculate-activity, sugar-etoys-activity, sugar-browse-activity-0.86 | sugar-browse-activity, sugar-chat-activity-0.86 | sugar-chat-activity, sugar-log-activity-0.86 | sugar-log-activity, sugar-write-activity-0.86 | sugar-write-activity, sugar-jukebox-activity-0.96 | sugar-jukebox-activity, sugar-imageviewer-activity-0.96 | sugar-imageviewer-activity
Conflicts: sugar-session
Breaks: sugar (<= 0.82.9-5)
Size: 514996
SHA256: 629e514e3ce0e7317c2595b0f7b2556f7b6816542b59d8a3511bc9c9bd1c9a72
SHA1: 212e3a1fb84aa79771853872fed32e4cf5799066
MD5sum: ad4213fdc71052119aa7d212b3bf97ba
Description: Sugar graphical shell - window manager
 Sugar is a graphical user interface aimed at children.
 .
 Originating as integral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the integrated session and window manager Sugar.
Homepage: http://wiki.sugarlabs.org/go/Sugar
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.96/sugar-session-0.96_0.96.1-2.1_all.deb

Package: sugar-terminal-activity
Version: 28-1.1
Installed-Size: 94
Maintainer: Debian OLPC 
Architecture: all
Depends: python, python-central (>= 0.6.11), python-sugar-0.88 | python-sugar, python-sugar-toolkit-0.88 | python-sugar-toolkit, python-hippocanvas, python-telepathy, python-gtk2, python-cjson, python-vte
Size: 28158
SHA256: 19185b7db740e162a53c81ef216d6aa2d8cf822dba9bec2d8fc4224aeea70c6f
SHA1: 9ce70e350c061c9d70356af1a2088938cdbc17fb
MD5sum: a83e8d850330dfbc320058678c652c9d
Description: Sugar Terminal activity
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-resource desktop
 environment for kids.
 .
 This package contains the Terminal activity, providing a VT100-
 compatible terminal emulator for the Sugar environment.
Section: x11
Priority: optional
Filename: pool/main/s/sugar-terminal-activity/sugar-terminal-activity_28-1.1_all.deb

Package: sugar-tools-0.84
Source: sugar-0.84
Version: 0.84.32-2
Installed-Size: 60
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar (<= 0.82.9-5)
Provides: sugar-tools
Depends: python, python-sugar-0.84, python-sugar-toolkit-0.84, python-jarabe-0.84
Recommends: sugar-session-0.84, python-gobject (>= 2.15.0), python-gtk2, python-dbus, python-wnck | python-gnome2-desktop (<= 2.24.0-1), network-manager, modemmanager, x11-xserver-utils, lsb-release, ethtool, tzdata, dbus
Suggests: gdb
Conflicts: sugar-tools
Breaks: sugar (<= 0.82.9-5)
Size: 22146
SHA256: 4c28de3a3cb6bc774636e111e8d982dc7b385af3406f186cd997b54a2f1193af
SHA1: 072bc8cd9f44baa586c7d91e30bf1d2b2186a502
MD5sum: cafd2561a3a5d941d9dd94604180763f
Description: Sugar graphical shell - window manager
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the following helper utilities for Sugar:
  - sugar-activity
  - sugar-control-panel
  - sugar-install-bundle
  - sugar-launch
  - sugar-ui-check
Homepage: http://wiki.sugarlabs.org/go/Sugar
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.84/sugar-tools-0.84_0.84.32-2_all.deb

Package: sugar-tools-0.86
Source: sugar-0.86
Version: 0.86.3-16
Installed-Size: 62
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar (<= 0.82.9-5)
Provides: sugar-tools
Depends: python, python-sugar-0.86, python-sugar-toolkit-0.86, python-jarabe-0.86
Recommends: sugar-session-0.86, python-gobject (>= 2.15.0), python-gtk2, python-dbus, python-wnck | python-gnome2-desktop (<= 2.24.0-1), network-manager, x11-xserver-utils, lsb-release, ethtool, tzdata, dbus
Suggests: gdb
Conflicts: sugar-tools
Breaks: sugar (<= 0.82.9-5)
Size: 22442
SHA256: c7387737b4618c951500c0f94bba620c0f0a32451739e1ee842c9128a7b9059a
SHA1: 6f0d819a50c4d0235c52516583950951eb260dae
MD5sum: 0de1cece4d538674189e966640f87b1a
Description: Sugar graphical shell - window manager
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the following helper utilities for Sugar:
  - sugar-activity
  - sugar-control-panel
  - sugar-install-bundle
  - sugar-launch
  - sugar-ui-check
Homepage: http://wiki.sugarlabs.org/go/Sugar
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.86/sugar-tools-0.86_0.86.3-16_all.deb

Package: sugar-tools-0.88
Source: sugar-0.88
Version: 0.88.1-5
Installed-Size: 64
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar (<= 0.82.9-5)
Provides: sugar-tools
Depends: python, python-sugar-0.88, python-sugar-toolkit-0.88, python-jarabe-0.88
Recommends: sugar-session-0.88, python-gobject (>= 2.15.0), python-gtk2, python-dbus, python-wnck | python-gnome2-desktop (<= 2.24.0-1), network-manager, modemmanager, x11-xserver-utils, lsb-release, ethtool, tzdata, dbus
Suggests: gdb
Conflicts: sugar-tools
Breaks: sugar (<= 0.82.9-5)
Size: 23432
SHA256: 956f31c6cbbc9868f91228dcc7025c59b4bb9d028934d06bc3336616a699b384
SHA1: 35d14608e181291653335a4ddaec60ed9341f64d
MD5sum: 503d1bdb7f11677d09518ba3142848cc
Description: Sugar graphical shell - window manager
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the following helper utilities for Sugar:
  - sugar-activity
  - sugar-control-panel
  - sugar-install-bundle
  - sugar-launch
  - sugar-ui-check
Homepage: http://wiki.sugarlabs.org/go/Sugar
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.88/sugar-tools-0.88_0.88.1-5_all.deb

Package: sugar-tools-0.90
Source: sugar-0.90
Version: 0.90.3-1
Installed-Size: 92
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar (<= 0.82.9-5)
Provides: sugar-tools
Depends: python, python-sugar-0.90, python-sugar-toolkit-0.90, python-jarabe-0.90
Recommends: sugar-session-0.90, python-gobject (>= 2.15.0), python-gtk2, python-dbus, python-wnck | python-gnome2-desktop (<= 2.24.0-1), network-manager, modemmanager, x11-xserver-utils, lsb-release, ethtool, tzdata, dbus
Suggests: gdb
Conflicts: sugar-tools
Breaks: sugar (<= 0.82.9-5)
Size: 22646
SHA256: a52fc18bf0a3e2eb588fc6155d9471d8f800f2aa5dccc6e899ec4f082f821d64
SHA1: 1dafcdaa5e15f19a3a9489402b980e683e0d32ee
MD5sum: 621ece670387687023148b5123cc2c8d
Description: Sugar graphical shell - window manager
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the following helper utilities for Sugar:
  - sugar-activity
  - sugar-control-panel
  - sugar-install-bundle
  - sugar-launch
  - sugar-ui-check
Homepage: http://wiki.sugarlabs.org/go/Sugar
Python-Version: all
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.90/sugar-tools-0.90_0.90.3-1_all.deb

Package: sugar-tools-0.96
Source: sugar-0.96
Version: 0.96.1-2.1
Installed-Size: 59
Maintainer: Debian OLPC 
Architecture: all
Replaces: sugar (<= 0.82.9-5)
Provides: sugar-tools
Depends: python, python-sugar-0.96, python-sugar-toolkit-0.96, python-jarabe-0.96
Recommends: python-gobject (>= 2.15.0), python-gtk2, python-dbus, python-wnck | python-gnome2-desktop (<= 2.24.0-1), network-manager, modemmanager, x11-xserver-utils, lsb-release, ethtool, tzdata, dbus
Suggests: sugar-session-0.96, gdb
Conflicts: sugar-tools
Breaks: sugar (<= 0.82.9-5)
Size: 23014
SHA256: 3f988cf913edfd6d8b24c0b0cdee9daf961cfafc26ec92c40ecef6dbcad87e0c
SHA1: edbd5539a3a33efdf5a63c14f587258f9e0696c5
MD5sum: 330a03cb9d7a7d85011e705698573a79
Description: Sugar graphical shell - window manager
 Sugar is a graphical user interface aimed at children.
 .
 Originating as integral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-ressource desktop
 environment for kids.
 .
 This package contains the following helper utilities for Sugar:
  - sugar-activity
  - sugar-control-panel
  - sugar-install-bundle
  - sugar-launch
  - sugar-ui-check
Homepage: http://wiki.sugarlabs.org/go/Sugar
Section: x11
Priority: optional
Filename: pool/main/s/sugar-0.96/sugar-tools-0.96_0.96.1-2.1_all.deb

Package: sugar-turtleart-activity
Source: turtleart
Version: 98-1
Installed-Size: 156
Maintainer: Matthew Gallagher 
Architecture: all
Depends: turtleart, python
Size: 30990
SHA256: c2828e2908fcb7f89b7181a072144473ab3c78584f9447c5974f267e6e6e38d5
SHA1: a0e23566f1167e107ab9f623650805fa172abbf4
MD5sum: 6a7696fa9cfa5299b1cc5e30b8aebd53
Description: a LOGO-like tool for teaching programming - Sugar activity
 Turtle Art is an activity with a Logo-inspired graphical "turtle" that
 draws colorful art based on snap-together visual programming elements.
 .
 Turtle Art is intended to be a stepping stone to the Logo programming
 language, but there are many restrictions compared to Logo. However,
 you can export your Turtle Art creations to Berkeley Logo.
 .
 Turtle Art was initially written in Java, and reimplemented in Python.
 A modified Python version with additional features, Turtle Blocks, is
 under active development and is included in this package.
 .
 This package contains the files needed to use it in Sugar.
Homepage: http://wiki.sugarlabs.org/go/Activities/Turtle_Art
Section: misc
Priority: optional
Filename: pool/main/t/turtleart/sugar-turtleart-activity_98-1_all.deb

Package: sugar-write-activity-0.86
Version: 70-2
Installed-Size: 1248
Maintainer: Debian OLPC 
Architecture: all
Depends: python, python-central (>= 0.6.11), python-sugar-0.88 | python-sugar-0.96, python-sugar-toolkit-0.88 | python-sugar-toolkit-0.96, python-hippocanvas, python-telepathy, python-gtk2, python-cjson, python-abiword
Recommends: sugar
Size: 66258
SHA256: 2493b2b1c74a4cbaa94ab84fc9496db8c58a552dac2ee60ea1fc8472f49a1233
SHA1: d882746360b0a8a3dbd34e172cfbe68a5263daa3
MD5sum: 304778f36cb5db9bc9321e465169c116
Description: Sugar Write Activity
 Sugar is a graphical user interface aimed at children.
 .
 Originating as intregral part of the OLPC "XO" a.k.a. the $100 laptop,
 Sugar has since grown into a more widely usable low-resource desktop
 environment for kids.
 .
 This package contains the Write activity. It providing basic text
 editing facilities. Its simple interface provides an easy starting
 point for children, presenting tools that make writing a story, poem
 or essay simple and straightforward
Homepage: http://wiki.sugarlabs.org/go/Activities/Write
Python-Version: all
Tag: role::program, use::editing
Section: x11
Priority: optional
Filename: pool/main/s/sugar-write-activity-0.86/sugar-write-activity-0.86_70-2_all.deb

Package: sugarplum
Version: 0.9.10-17.2
Installed-Size: 196
Maintainer: Sean Finney 
Architecture: all
Depends: wenglish | wordlist, debconf (>= 0.5) | debconf-2.0, perl
Recommends: apache2 | httpd, logrotate
Size: 35580
SHA256: e973d99d260154659dbd63c155439f709dfbaab3e873a0631097e4403d30f192
SHA1: a66b2b35bc43c5f74411656590b3643cd48560c2
MD5sum: 0e31658ee693ef995b2f653c9b2ac833
Description: an automated and intelligent spam trap/cache-poisoner
 Sugarplum is an automated spam-poisoner. Its purpose is to feed realistic
 and enticing, but totally useless or hazardous data to wandering address
 harvesters such as EmailSiphon, Cherry Picker, etc.  The idea is to so
 contaminate spammers' databases as to require that they be discarded,
 or at least that all data retrieved from your site (including actual
 email addresses) be removed.
 .
 Sugarplum employs a combination of Apache's mod_rewrite URL rewriting
 rules and perl code. It combines several anti-spambot tactics,
 includling fictitious (but RFC822-compliant) email address poisoning,
 injection with the addresses of known spammers (let them all spam each
 other), deterministic output, and "teergrube" spamtrap addressing.
Tag: implemented-in::perl, mail::filters, works-with::dictionary
Section: misc
Priority: optional
Filename: pool/main/s/sugarplum/sugarplum_0.9.10-17.2_all.deb

Package: suikyo-elisp
Source: suikyo
Version: 2.1.0-3
Installed-Size: 120
Maintainer: Masahito Omote 
Architecture: all
Depends: emacs23 | emacs22 | emacs21 | emacs-snapshot | xemacs21-mule | xemacs21-mule-canna-wnn | xemacs21-gnome-mule | xemacs21-gnome-mule-canna-wnn | emacsen, suikyo-table
Size: 8964
SHA256: a3372b2bb847534f7cd08c44bced8aec1c065724b063896f1f5a5e77841b57ce
SHA1: 8fb0a960ee6ec2d6bcb71adcf17a27b6d8e5d15a
MD5sum: fae67648e105064947ee32e12cbeef4e
Description: Romaji Hiragana conversion library (elisp binding)
 Suikyo is a Romaji Hiragana conversion library based on
 DFA (Deterministic finate state) automaton.
 .
 This package contains elisp binding of suikyo
Tag: culture::japanese, devel::lang:lisp, suite::emacs, use::converting,
 works-with::text
Section: lisp
Priority: optional
Filename: pool/main/s/suikyo/suikyo-elisp_2.1.0-3_all.deb

Package: suikyo-table
Source: suikyo
Version: 2.1.0-3
Installed-Size: 352
Maintainer: Masahito Omote 
Architecture: all
Size: 116950
SHA256: d0382a063442243a736088c946587f2425d3393ff7fee6556f0b3f19f3916b16
SHA1: 584c357cca9fc8aa4ff56bd22754f423680e025f
MD5sum: d81e39e73615b95d436dd3b2db58ddd4
Description: Romaji Hiragana conversion library (conversion table)
 Suikyo is a Romaji Hiragana conversion library based on
 DFA (Deterministic finate state) automaton.
 .
 This package contains a conversion table for suikyo.
Tag: culture::japanese, role::app-data, use::converting, works-with::text
Section: text
Priority: optional
Filename: pool/main/s/suikyo/suikyo-table_2.1.0-3_all.deb

Package: suil-dbg
Source: suil
Version: 0.6.4~dfsg0-3
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 246
Depends: libsuil-0-0 (= 0.6.4~dfsg0-3)
Homepage: http://drobilla.net/software/suil/
Priority: extra
Section: debug
Filename: pool/main/s/suil/suil-dbg_0.6.4~dfsg0-3_armhf.deb
Size: 178622
SHA256: b206095c49af78b119c9ce4de3e220a1ae10eb72f1774c2867ddcc22023f965d
SHA1: be286512b8b2b1fdc54dfb31830e05f8d0974de7
MD5sum: e40fd3845cba5bf473fb9088c5a2d5b8
Description: Debugging symbols for suil
 Suil makes it possible to load a UI of any toolkit in a host using
 any other toolkit (assuming the toolkits are both supported by
 Suil). Hosts do not need to build against or link to foreign toolkit
 libraries to use UIs written with that toolkit (Suil performs its
 magic at runtime using dynamically loaded modules). The API
 is designed such that hosts do not need to explicitly support
 particular toolkits whatsoever - if Suil supports a particular
 toolkit, then all hosts that use Suil will support that toolkit
 "for free". Suil currently supports GTK-2+ and Qt4.
 .
 This package contains the debugging symbols for suil.

Package: summain
Version: 0.14-1
Architecture: armhf
Maintainer: Lars Wirzenius 
Installed-Size: 102
Depends: libc6 (>= 2.13-28), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-cliapp (>= 0.7)
Homepage: http://liw.fi/summain/
Priority: optional
Section: misc
Filename: pool/main/s/summain/summain_0.14-1_armhf.deb
Size: 12538
SHA256: 9cd7375c491670290df7dd56034bd4114c51726042223f54ab35af7ec648ee3c
SHA1: c7b90812e02198b08b9297d891c5eb36737217d5
MD5sum: fd348d618ea4a3df7756af79c1a38c2e
Description: create file manifests with checksums
 Summain generates file manifests, which contain metadata about the files,
 and a checksum of their content for regular files. The manifest can be
 generated for a directory tree at different points in time and compared
 (with diff) to see if something has changed.

Package: sumo
Version: 0.15.0~dfsg-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 10546
Depends: libc6 (>= 2.13-28), libfox-1.6-0, libgcc1 (>= 1:4.4.0), libgdal1 (>= 1.8.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libproj0, libstdc++6 (>= 4.6), libxerces-c28
Recommends: sumo-tools
Homepage: http://sumo.sourceforge.net/
Priority: optional
Section: science
Filename: pool/main/s/sumo/sumo_0.15.0~dfsg-2_armhf.deb
Size: 4597914
SHA256: 6905b701ab5837ea277b43883b366cb8ad1e5f01f2219c55d901caec3eace982
SHA1: a64c73541c5851200fd2986daa614659d55352e3
MD5sum: 10463744b8e9873ce057e438087fc416
Description: Simulation of Urban MObility (SUMO)
 SUMO is an open source,
 highly portable, microscopic road traffic simulation package
 designed to handle large road networks.
 Main binary.

Package: sumo-doc
Source: sumo
Version: 0.15.0~dfsg-2
Installed-Size: 120435
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: libjs-jquery
Recommends: sumo
Size: 15661486
SHA256: 1d22c4d56a902b087644372ea4ba2c9bb3366583cbbba3a28b2c55861bce60b6
SHA1: 3dbf06ae82c965141ca5fdd181173225fa0d8521
MD5sum: 6378d4beae5e5d95e156d3015324719a
Description: Simulation of Urban MObility (SUMO), documentation and examples
 SUMO is an open source,
 highly portable, microscopic road traffic simulation package
 designed to handle large road networks.
 The binary contatins documentation and examples.
Homepage: http://sumo.sourceforge.net/
Section: doc
Priority: optional
Filename: pool/main/s/sumo/sumo-doc_0.15.0~dfsg-2_all.deb

Package: sumo-tools
Source: sumo
Version: 0.15.0~dfsg-2
Installed-Size: 9512
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: python, jarwrapper
Recommends: sumo
Size: 6056702
SHA256: 4fbd8aff2705a398fbbcd765fa09a4183bbabd4da24e7eedeb45d2d301e2fd78
SHA1: 55e1c20d8bf87c4c94d0efd0bf3510ef323c292b
MD5sum: 6ae22d2267d32bfe3acdc9c162f89ecc
Description: Simulation of Urban MObility (SUMO), tools and scripts
 SUMO is an open source,
 highly portable, microscopic road traffic simulation package
 designed to handle large road networks.
 The binary contatins different tools and scripts.
Homepage: http://sumo.sourceforge.net/
Section: doc
Priority: optional
Filename: pool/main/s/sumo/sumo-tools_0.15.0~dfsg-2_all.deb

Package: sunclock
Version: 3.57-2
Architecture: armhf
Maintainer: Roland Rosenfeld 
Installed-Size: 418
Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libx11-6, libxpm4, sunclock-maps
Suggests: imagemagick
Homepage: http://www.arvernes.com/wiki/index.php/Sunclock
Priority: optional
Section: x11
Filename: pool/main/s/sunclock/sunclock_3.57-2_armhf.deb
Size: 224812
SHA256: 9de099a7365cb137084027de2d918ad9af29d0adc0647636012533786acb06ba
SHA1: 01c3860337e983a2b2516726cf7c5b66a8262fa6
MD5sum: f9d044cecabd6078915eb4a4f12c9c9d
Description: fancy clock showing time and geographical data
 sunclock is an X11 application that displays a map of the Earth and
 indicates the illuminated portion of the globe by drawing sunlit
 areas dark on light, night areas as light on dark.  In addition to
 providing local time for the default timezone, it also displays GMT
 time, legal and solar time of major cities, their latitude and
 longitude, and the mutual distances of arbitrary locations on Earth.
 Sunclock can display meridians, parallels, tropics and arctic
 circles.  It has builtin functions that accelerate the speed of time
 and show the evolution of seasons.

Package: sunclock-maps
Source: sunclock
Version: 3.57-2
Installed-Size: 3939
Maintainer: Roland Rosenfeld 
Architecture: all
Replaces: sunclock (<< 3.50pre1-3)
Breaks: sunclock (<< 3.50pre1-3)
Size: 1310338
SHA256: 85048f16757dd7bbc5da251664d2191558dde024126fd839d750b4d9badf03f6
SHA1: 064e18032369d807343fb59b7a71330110ba5da1
MD5sum: 2a450bd3fd09e4fa5f716c70001a8970
Description: sunclock vector graphic maps
 sunclock is an X11 application that displays a map of the Earth and
 indicates the illuminated portion of the globe by drawing sunlit
 areas dark on light, night areas as light on dark.  In addition to
 providing local time for the default timezone, it also displays GMT
 time, legal and solar time of major cities, their latitude and
 longitude, and the mutual distances of arbitrary locations on Earth.
 .
 This package contains the vector graphic earthmaps.
Homepage: http://www.arvernes.com/wiki/index.php/Sunclock
Tag: made-of::TODO, role::app-data
Section: x11
Priority: optional
Filename: pool/main/s/sunclock/sunclock-maps_3.57-2_all.deb

Package: sunflow
Version: 0.07.2.svn396+dfsg-9
Installed-Size: 864
Maintainer: Gabriele Giacone <1o5g4r8o@gmail.com>
Architecture: all
Replaces: libsunflow-java (= 0.07.2.svn396+dfsg-2)
Depends: default-jre, libsunflow-java, python, python-support (>= 0.90.0)
Size: 638162
SHA256: fe2981df62122c824b98f64d027c5f5da87286bd48820a710032cf18ce89e246
SHA1: 2ab0cd2ed5168781c3bbb7a6cccecb6119f09e06
MD5sum: 2036241e2427d6c3f9528a2342d0522c
Description: rendering system for photo-realistic image synthesis (GUI)
 Sunflow is an open source rendering system for photo-realistic image
 synthesis. It is written in Java and built around a flexible ray
 tracing core and an extensible object-oriented design. It was created
 as a framework for experimenting with global illumination algorithms
 and new surface shading models.
 .
 This package contains the sunflow GUI.
Homepage: http://sunflow.sourceforge.net/
Tag: field::arts, implemented-in::java, role::program
Section: graphics
Priority: extra
Filename: pool/main/s/sunflow/sunflow_0.07.2.svn396+dfsg-9_all.deb

Package: sunpinyin-data
Source: open-gram
Version: 0.1.22+20120112-1
Architecture: armhf
Maintainer: IME Packaging Team 
Installed-Size: 41995
Homepage: http://code.google.com/p/open-gram/
Priority: optional
Section: utils
Filename: pool/main/o/open-gram/sunpinyin-data_0.1.22+20120112-1_armhf.deb
Size: 25154560
SHA256: c7ae1c226f01ea9eaef10e2be1221e8f0cfebfad076b46d3817ef45753c3670c
SHA1: 561cfe6ad9f10476b1a3768342d3e9e08a7c3faa
MD5sum: 64f0c1cbb1c846af8429d3648c958581
Description: Statistical language model data from open-gram
 Sunpinyin is a statistical language model (SLM) based input method
 engine for Simplified Chinese, it features full sentence input.
 .
 This package contains the Statistical language model data from
 open-gram project.

Package: sunpinyin-utils
Source: sunpinyin
Version: 2.0.3+git20120607-1
Architecture: armhf
Maintainer: IME Packaging Team 
Installed-Size: 446
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.6), python
Homepage: http://code.google.com/p/sunpinyin
Priority: optional
Section: utils
Filename: pool/main/s/sunpinyin/sunpinyin-utils_2.0.3+git20120607-1_armhf.deb
Size: 190902
SHA256: 886f9df6384c8bb45bb43e338e30cb91a38601042ac677a2b73493064a0778b1
SHA1: 024003fb2099938bbfa665fa502fedbc034bf21d
MD5sum: e0970a50b9bbb313fff2cf409c87a28b
Description: Simplified Chinese Input Method from SUN (utilities)
 Sunpinyin is a statistical language model (SLM) based input method
 engine for Simplified Chinese, it features full sentence input.
 .
 This package contains some utilities used by open-gram project,
 including:
 .
 genpyt - generate the pinyin lexicon
 tslmpack - convert the ARPA format of SunPinyin back-off language
 model to its binary representation
 tslmendian - change the byte-order of sunpinyin's threaded back-off
 language model
 .
 and some Python programs which maybe used to import user dictionary
 from other input method such as sougou, google, fcitx etc.

Package: sup
Version: 20100519-1
Architecture: armhf
Maintainer: Jochen Friedrich 
Installed-Size: 250
Depends: libc6 (>= 2.4), libwrap0 (>= 7.6-4~)
Priority: optional
Section: devel
Filename: pool/main/s/sup/sup_20100519-1_armhf.deb
Size: 96816
SHA256: bbb0812ef14fd3a4522610fa335c81f279096cab5a8a625a474bb4a503e97c68
SHA1: 2177453fa0d6ff930ad8a8f555b69a7a52fa14ff
MD5sum: e652347d80599f8868f2cd928ac340fe
Description: Software Upgrade Protocol implementation
 The SUP System is a set of programs developed by Carnegie Mellon
 University that provide for collections of files to be maintained in
 identical versions across a number of machines.  These programs are:
 .
 SUP: The "client" program, run by users or system maintainers, which
 initiates the upgrade activity  on  a  machine  requesting  the
 latest  version of a collection of files.  SUP will normally be
 run as a daemon, firing up once  each  night  (week,  etc.)  to
 upgrade the specified file collections.
 .
 SUPFILESRV: The "file server" program, a daemon that is run by the
 system maintainer to service requests for files initiated by client
 SUP programs.  The file server runs on every machine used as a
 "repository" of distributable versions of files.  It runs continuously
 and listens for network connection requests by individual client
 processes; for each individual client request, a process is forked to
 service that request.
 .
 SUPSCAN: The "file scanner" program, that may optionally be run
 periodically to speed up execution of the file server.  It
 pre-compiles a list of files on the file system that match the
 specifications for a given file collection so that the file server
 need not do this during each upgrade of that collection.  The file
 scanner is normally used daily for very large file collections that
 are upgraded by many clients each day; it is not so useful for small
 file collections or for those that are upgraded by only a few client
 machines per day.

Package: sup-mail
Version: 0.12.1+git20120407.aaa852f-1+deb7u1
Installed-Size: 537
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Provides: mail-reader
Depends: ruby1.9.1, libxapian-ruby1.9.1 (>= 1.2.1), ruby-chronic, ruby-eventmachine, ruby-highline, ruby-locale, ruby-lockfile, ruby-mime-types, ruby-ncurses, ruby-rubymail, ruby-trollop, ruby-yajl
Size: 163432
SHA256: 1b85fcf33ffeb2cda38ff7cfb356484f0c54ec4e01e99e60bb401e280040c74e
SHA1: 44307aa9d864b5448894ae143e76c99995d88aa4
MD5sum: 26312453026bdc11082f07a4f68aef3d
Description: thread-centric mailer with tagging and fast search
 Sup is a console-based email client for people with a lot of email. It
 supports tagging, very fast full-text search, automatic contact-list
 management, custom code insertion via a hook system, and more. If
 you're the type of person who treats email as an extension of your
 long-term memory, Sup is for you.
 .
 Sup makes it easy to:
    * Handle massive amounts of email.
    * Mix email from different sources: mbox files and Maildirs, across
      multiple machines.
    * Instantaneously search over your entire email collection. Search
      over body text, or use a query language to combine search predicates
      in any way.
    * Handle multiple accounts. Replying to email sent to a particular
      account will use the correct SMTP server, signature, and from address.
    * Add custom code to handle certain types of messages or to handle
      certain types of text within messages.
    * Organize email with user-defined labels, automatically track recent
      contacts, and much more!
 .
 The goal of Sup is to become the email client of choice for nerds everywhere.
Homepage: http://sup.rubyforge.org/
Recommends: ruby-gpgme
Ruby-Versions: ruby1.9.1
Section: mail
Priority: optional
Filename: pool/main/s/sup-mail/sup-mail_0.12.1+git20120407.aaa852f-1+deb7u1_all.deb

Package: super
Version: 3.30.0-6+deb7u1
Architecture: armhf
Maintainer: Robert Luberda 
Installed-Size: 801
Depends: libpam-runtime (>= 0.76-14), libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1)
Recommends: logrotate (>= 3.5.9)
Homepage: http://ftp.ucolick.org/pub/users/will/
Priority: optional
Section: admin
Filename: pool/main/s/super/super_3.30.0-6+deb7u1_armhf.deb
Size: 141890
SHA256: 55f041dd5ccf2f8acd5d2faedb4528d657a7626ee6623b2355816b5850190211
SHA1: 1c8bf59b9176bb8e25cc06e38804ef012fd9dac1
MD5sum: 8c36d094eb8f53b53052fc638b7f4483
Description: Execute commands setuid root
 Super allows specified users to execute scripts (or other commands)
 as if they were root; or it can set the uid and/or gid on a
 per-command basis before executing the command.  It is intended to be
 a secure alternative to making scripts setuid root.

Package: supercat
Version: 0.5.5-4
Architecture: armhf
Maintainer: Kumar Appaiah 
Installed-Size: 74
Depends: libc6 (>= 2.4)
Homepage: http://supercat.nosredna.net/
Priority: optional
Section: utils
Filename: pool/main/s/supercat/supercat_0.5.5-4_armhf.deb
Size: 17456
SHA256: c330931b5fdcbccf14c26e87d5f5c43a7f0ccb6deba230e93f7229d25cc0ebe0
SHA1: 77617e3dc3e29ffa628c207c186f77265f0f2496
MD5sum: 51229e13b17a7d003a862da7ee052c5d
Description: program that colorizes text for terminals and HTML
 Supercat is a program that colorizes text based on matching regular
 expressions/strings/characters. Supercat supports html output as well
 as standard ASCII text. Unlike some text-colorizing programs that
 exist, Supercat does not require you to have to be a programmer to
 make colorization rules.

Package: supercollider
Version: 1:3.4.5-1wheezy1
Architecture: armhf
Maintainer: Debian Multimedia Packages Maintainers 
Installed-Size: 304
Depends: libc6 (>= 2.13-28), libcwiid1 (>= 0.6.00+svn184), libgcc1 (>= 1:4.1.1), libsclang1, libstdc++6 (>= 4.3.0), supercollider-common (= 1:3.4.5-1wheezy1), supercollider-server
Suggests: subversion, supercollider-doc
Homepage: http://supercollider.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/s/supercollider/supercollider_3.4.5-1wheezy1_armhf.deb
Size: 143444
SHA256: b0c1f70261b43c915619a5635086ccc42a0082d2a69beadecd13e36806fbe747
SHA1: 5c9e5a390c4470ee0f572e14ef866789755ceba4
MD5sum: 9111bf0ebe7047c098d8d6dd75e191cf
Description: real time audio synthesis programming language
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package contains the language interpreter.

Package: supercollider-common
Source: supercollider
Version: 1:3.4.5-1wheezy1
Installed-Size: 2004
Maintainer: Debian Multimedia Packages Maintainers 
Architecture: all
Size: 527070
SHA256: 98b6fafe6ed3cd471990724f2cb8ef4a4cec1f5698a2b621c465165c0942dbc7
SHA1: 62df734bd348eeaba94466c5a91343fcbb21492c
MD5sum: 52114bbe4f521d33df2e5b3bcc7dd7f4
Description: common files for SuperCollider
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package contains the architecture independent files for SuperCollider.
Homepage: http://supercollider.sourceforge.net/
Section: sound
Priority: optional
Filename: pool/main/s/supercollider/supercollider-common_3.4.5-1wheezy1_all.deb

Package: supercollider-dev
Source: supercollider
Version: 1:3.4.5-1wheezy1
Architecture: armhf
Maintainer: Debian Multimedia Packages Maintainers 
Installed-Size: 936
Depends: supercollider (= 1:3.4.5-1wheezy1), libsndfile1-dev (>= 1.0.16)
Conflicts: supercollider-common-dev, supercollider-server-dev
Replaces: supercollider-common-dev, supercollider-server-dev
Provides: supercollider-common-dev, supercollider-server-dev
Homepage: http://supercollider.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/s/supercollider/supercollider-dev_3.4.5-1wheezy1_armhf.deb
Size: 257848
SHA256: ae9ebe5d2f84fe176a4f18edd36329187735e3c7baf5201e28d88a13e598e233
SHA1: a931df78056c22eace654645e9f240493cc4c917
MD5sum: f211ebdda968b91b2705f56c069cc894
Description: development files for SuperCollider
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package contains the development files and headers.

Package: supercollider-doc
Source: supercollider
Version: 1:3.4.5-1wheezy1
Installed-Size: 12044
Maintainer: Debian Multimedia Packages Maintainers 
Architecture: all
Suggests: supercollider
Size: 1580528
SHA256: bc757ea7b541d192c3ba3db6823052155edf47cad2c37402cf91f1b0493e7af2
SHA1: 67aad095d37627fcf2d752335ead8c74a865da93
MD5sum: 674186bb2c87175db9e8ac885267212c
Description: documentation for SuperCollider
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package contains the HTML documentation for SuperCollider.
Homepage: http://supercollider.sourceforge.net/
Tag: role::documentation, sound::TODO, sound::mixer, sound::player,
 sound::recorder, sound::sequencer
Section: doc
Priority: optional
Filename: pool/main/s/supercollider/supercollider-doc_3.4.5-1wheezy1_all.deb

Package: supercollider-emacs
Source: supercollider
Version: 1:3.4.5-1wheezy1
Installed-Size: 373
Maintainer: Debian Multimedia Packages Maintainers 
Architecture: all
Depends: supercollider (>= 1:3.4.5-1wheezy1), emacs23 | emacsen
Recommends: supercollider-doc, w3m-el
Size: 90016
SHA256: 8aa71312620674d47c3ae062d15237e7ac52a92717cac9bd53d3bd8e198cb7c1
SHA1: 5bf36f6ed18a78ffe69648701cb324c44c52035f
MD5sum: f0ce21f7386405249383576856163add
Description: SuperCollider mode for Emacs
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package provides the SuperCollider mode for Emacs.
 .
 Package supercollider-doc is suggested so that the editor can show help
 documentation in context.
Homepage: http://supercollider.sourceforge.net/
Section: sound
Priority: optional
Filename: pool/main/s/supercollider/supercollider-emacs_3.4.5-1wheezy1_all.deb

Package: supercollider-server
Source: supercollider
Version: 1:3.4.5-1wheezy1
Architecture: armhf
Maintainer: Debian Multimedia Packages Maintainers 
Installed-Size: 1130
Depends: libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libscsynth1, libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0), libx11-6, jackd
Homepage: http://supercollider.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/s/supercollider/supercollider-server_3.4.5-1wheezy1_armhf.deb
Size: 445982
SHA256: a40f356ebb767d1b96745f15cf39d6bc1e717fef277b39ecb0f6da5d372bf18c
SHA1: 2da2da925d4931803329a24ceddb2dda0d698238
MD5sum: 515675b5e833a61af6415c5ae5a4e523
Description: real time audio synthesis server
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package contains the synthesis server.

Package: supercollider-vim
Source: supercollider
Version: 1:3.4.5-1wheezy1
Installed-Size: 232
Maintainer: Debian Multimedia Packages Maintainers 
Architecture: all
Depends: supercollider (>= 1:3.4.5-1wheezy1), vim | gvim, ruby
Recommends: supercollider-doc, unhtml | links, vim-addon-manager
Suggests: unrtf
Size: 66654
SHA256: 6e0ef0af1609d1d371f1b28b8f9bab8705c27c16b43c8c84b9761cf9ed7e09bd
SHA1: 6fb2dffba33731e8fa7637312ecce0395c0f3dda
MD5sum: b5ea00bad43c918e6441d87af25a38ee
Description: SuperCollider mode for Vim
 SuperCollider is an environment and programming language for real time
 audio synthesis and algorithmic composition. It provides an interpreted
 object-oriented language which functions as a network client
 to a state of the art, realtime sound synthesis server.
 .
 This package provides the SuperCollider mode for Vim.
 .
 Package supercollider-doc is suggested so that the editor can show help
 documentation in context.
Homepage: http://supercollider.sourceforge.net/
Section: sound
Priority: optional
Filename: pool/main/s/supercollider/supercollider-vim_3.4.5-1wheezy1_all.deb

Package: superiotool
Version: 0.0+r6637-1
Architecture: armhf
Maintainer: Uwe Hermann 
Installed-Size: 2508
Depends: libc6 (>= 2.4), libpci3 (>= 1:3.1.9-2)
Homepage: http://coreboot.org/Superiotool
Priority: extra
Section: utils
Filename: pool/main/s/superiotool/superiotool_0.0+r6637-1_armhf.deb
Size: 51604
SHA256: 58df7cf8bbce6f41cef257bbc465497dac0285f03ce741fa5a076368212e7fcd
SHA1: 39a5f72f21dde12b0e32328cc3fbb13c235d3a61
MD5sum: 5884e8a6b0bc6c9ad32c13054483d715
Description: Super I/O detection tool
 Superiotool is a user-space utility which can
 .
   - detect which Super I/O chip is soldered onto your mainboard,
 .
   - at which configuration port it's located (usually 0x2e or 0x4e), and
 .
   - dump all register contents of the Super I/O chip, together with the
     default values as per datasheet (to make comparing the values easy).
 .
 It is mainly used for coreboot (previously known as LinuxBIOS) development
 purposes (see coreboot.org for details on coreboot), but it may also be
 useful for other things.

Package: supertransball2
Version: 1.5-4
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 133
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-sge (>= 030809-1), libsdl-sound1.2 (>= 1.0.1), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), supertransball2-data
Priority: optional
Section: games
Filename: pool/main/s/supertransball2/supertransball2_1.5-4_armhf.deb
Size: 50346
SHA256: f92bd456167826c2fe501c8b989b10ad986245bc3c0bdaf47766233b22330b9c
SHA1: ab71be6644dbc8c8d41a3c42ca812792a7954b21
MD5sum: 4a5f92d4b13159e47ee989089b344ee0
Description: Thrust type of game
 This is a game inspired by ZARA THRUSTA for the Amiga 500. In each level
 of Transball, the goal is to find the SPHERE, capture it and carry it to
 the upper part of the level. The main obstacle is the gravity, that
 impulses you towards the ground. But many other obstacles, canons, tanks,
 doors, etc. will try to make difficult your journey.

Package: supertransball2-data
Source: supertransball2
Version: 1.5-4
Installed-Size: 703
Maintainer: Debian QA Group 
Architecture: all
Size: 236810
SHA256: fe8683dec21d3b2ed50ba64bccc7cd9654fccccb29cd663dc365f6bbd8cb9dea
SHA1: 257133addcdeae9768f0eacf4e889b7a1c5abf87
MD5sum: 0ca9d4c1b2573bf0466358f9ebf8b17a
Description: Data files for a thrust type of game
 This is the data for the game inspired by ZARA THRUSTA for the Amiga 500.
 In each level of Transball, the goal is to find the SPHERE, capture it and
 carry it to the upper part of the level. The main obstacle is the gravity,
 that impulses you towards the ground. But many other obstacles, canons, tanks,
 doors, etc. will try to make difficult your journey.
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/s/supertransball2/supertransball2-data_1.5-4_all.deb

Package: supertux
Version: 0.1.3-3
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 417
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4), supertux-data (= 0.1.3-3)
Homepage: http://supertux.lethargik.org/
Priority: optional
Section: games
Filename: pool/main/s/supertux/supertux_0.1.3-3_armhf.deb
Size: 210132
SHA256: 8bc3936ce99cc41eef65fe3ccac09bfa8f0781719591d9f63edf3195fa28407e
SHA1: c7d4c16ee38e3123cf000483bde2b29784c348c5
MD5sum: 63c565e80f81e396ead978776157babf
Description: Classic 2D jump 'n run sidescroller with Tux
 SuperTux is a classic 2D jump 'n run sidescroller game in a similar
 style like the original SuperMario games. You play the role of Tux the
 Penguin, who must rescue Penny from the hands of the evil Nolok.

Package: supertux-data
Source: supertux
Version: 0.1.3-3
Installed-Size: 14260
Maintainer: Debian Games Team 
Architecture: all
Suggests: supertux
Size: 7594878
SHA256: ab612212729f5f4f8fc96f96d44b8a7877ba742c1d8e500a101d826e772aed0d
SHA1: 036af11dd3f9519f882a59ce6c837c0f86254969
MD5sum: 6b690f5e7dfff9635cb263aea16ca6d7
Description: Classic 2D jump 'n run sidescroller with Tux (data files)
 SuperTux is a classic 2D jump 'n run sidescroller game in a similar
 style like the original SuperMario games. You play the role of Tux the
 Penguin, who must rescue Penny from the hands of the evil Nolok.
 .
 This package contains the data files required by SuperTux.
Homepage: http://supertux.lethargik.org/
Tag: game::arcade, role::app-data, uitoolkit::sdl, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/s/supertux/supertux-data_0.1.3-3_all.deb

Package: supertuxkart
Version: 0.7.3-2
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 3049
Depends: supertuxkart-data (= 0.7.3-2), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libenet1a, libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libirrlicht1.7a (>= 1.7.3), libogg0 (>= 1.0rc3), libopenal1, libstdc++6 (>= 4.6), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libx11-6, libxext6
Homepage: http://supertuxkart.sf.net/
Priority: optional
Section: games
Filename: pool/main/s/supertuxkart/supertuxkart_0.7.3-2_armhf.deb
Size: 1421898
SHA256: 00d6ddcc27c19c0ae893fe44381bb695230eb755c3bb57d2ea5678d0f1f301b0
SHA1: 03d3002d8f001b7b9a711f1b45fd07a5a6305da7
MD5sum: 42bda6f7dc714ba62b42d1874286ff74
Description: 3D kart racing game
 SuperTuxKart is a free 3D kart racing game, with a focus on having fun over
 realism. You can play with up to 4 friends on one PC, racing against each
 other or just trying to beat the computer; single-player mode is also
 available.
 .
 See the great lighthouse or drive through the sand and visit the pyramids.
 Race underground or in space, watching the stars pass by. Or rest under the
 palm trees on the beach, watching the other karts overtake you. But don't eat
 the bananas! Watch for bowling balls, plungers, bubble gum and cakes thrown by
 your opponents.
 .
 You can do a single race against other karts, compete in one of several Grand
 Prix, try to beat the high score in time trials on your own, play battle mode
 against your friends, and more!

Package: supertuxkart-data
Source: supertuxkart
Version: 0.7.3-2
Installed-Size: 151168
Maintainer: Debian Games Team 
Architecture: all
Suggests: supertuxkart
Size: 107235580
SHA256: 74950ee8acb8543ed8b0962d1f9aa1597629d5439c03efc87e8401bac056966a
SHA1: 521d164ea5b2ecdcb517e25011eae0f93eab9b47
MD5sum: 9231fd0014c2c596f3373bad8a35ed61
Description: 3D kart racing game (data)
 SuperTuxKart is a free 3D kart racing game, with a focus on having fun over
 realism. You can play with up to 4 friends on one PC, racing against each
 other or just trying to beat the computer; single-player mode is also
 available.
 .
 See the great lighthouse or drive through the sand and visit the pyramids.
 Race underground or in space, watching the stars pass by. Or rest under the
 palm trees on the beach, watching the other karts overtake you. But don't eat
 the bananas! Watch for bowling balls, plungers, bubble gum and cakes thrown by
 your opponents.
 .
 You can do a single race against other karts, compete in one of several Grand
 Prix, try to beat the high score in time trials on your own, play battle mode
 against your friends, and more!
 .
 This package contains data files for the game supertuxkart.
Homepage: http://supertuxkart.sf.net/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/s/supertuxkart/supertuxkart-data_0.7.3-2_all.deb

Package: supervisor
Version: 3.0a8-1.1+deb7u2
Installed-Size: 724
Maintainer: Anders Hammarquist 
Architecture: all
Depends: python (>= 2.3), python-medusa (>= 0.5.4), python-meld3, python-pkg-resources (>= 0.6c7), python-support (>= 0.90.0)
Size: 188366
SHA256: 0eb43f74367d601e1f41595ef8947f39fde07ab93949c52556feac311545dfd3
SHA1: e0fd83083e5dfbae88956bda3743337a7867bf62
MD5sum: cec2cd04b1a7dbc899bb25b5ec77d14e
Description: A system for controlling process state
 Supervisor is a system for controlling and maintaining process state,
 similar to what init does, but not intended as an init replacement.
 .
 It will manage individual processess or groups of processes that
 need to be started and stopped in order, and it is possible to
 control individual process state via an rpc mechanism, thus allowing
 ordinary users to restart processes.
Homepage: http://supervisord.org/
Section: admin
Priority: extra
Filename: pool/main/s/supervisor/supervisor_3.0a8-1.1+deb7u2_all.deb

Package: suphp-common
Source: suphp
Version: 0.7.1-3
Architecture: armhf
Maintainer: Emmanuel Lacour 
Installed-Size: 225
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), php5-cgi
Priority: optional
Section: httpd
Filename: pool/main/s/suphp/suphp-common_0.7.1-3_armhf.deb
Size: 67078
SHA256: 7afd489483a556a2ce0dd7e680892b53d0a3c4d2900ff51923278ef0105bab9d
SHA1: 5369aff54faa250eb7c742179aaa7b12ab762dbc
MD5sum: a1006f9bf19005bcff78b7c5ce708d76
Description: Common files for mod suphp
 Suphp consists of an Apache module (mod_suphp for either Apache 1.3.x or
 Apache 2.x) and a setuid root binary (suphp) that is called by the Apache
 module to change the uid of the process executing the PHP interpreter to the
 owner of the php script.

Package: supybot
Version: 0.83.4.1.ds-2
Installed-Size: 2676
Maintainer: James Vega 
Architecture: all
Depends: python (>= 2.3), python-support (>= 0.90.0)
Recommends: python-simplejson | python (>= 2.6), python-feedparser
Suggests: python-twisted-core, python-twisted-names, python-sqlite, python-dictclient, python-dateutil
Size: 438970
SHA256: d742ae5b3311f34cdada07121c8d4cdd6ed3851b93849586d78b9a7773eaf700
SHA1: 7e002ef5bb08145fb5d5a69a163a387ec66eac10
MD5sum: 56ced133a525f719c555e4ee84bdd7bf
Description: robust and user friendly Python IRC bot
 Supybot is a robust (it doesn't crash), user friendly (it's easy
 to configure) and programmer friendly (plugins are *extremely*
 easy to write) Python IRC bot.  It aims to be an adequate
 replacement for most existing IRC bots.  It includes a very
 flexible and powerful ACL system for controlling access to
 commands, as well as more than 50 builtin plugins providing
 around 400 actual commands.
Homepage: http://sourceforge.net/projects/supybot/
Tag: implemented-in::python, interface::daemon, network::client,
 protocol::ipv6, protocol::irc, protocol::ssl, role::program,
 scope::application, use::chatting, use::entertaining, use::storing,
 works-with::network-traffic
Section: net
Priority: optional
Filename: pool/main/s/supybot/supybot_0.83.4.1.ds-2_all.deb

Package: surf
Version: 0.4.1-8
Architecture: armhf
Maintainer: Vasudev Kamath 
Installed-Size: 69
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libjavascriptcoregtk-1.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.26.1), libwebkitgtk-1.0-0 (>= 1.3.10), libx11-6, suckless-tools, x11-utils, xterm, wget
Provides: www-browser
Homepage: http://surf.suckless.org
Priority: optional
Section: web
Filename: pool/main/s/surf/surf_0.4.1-8_armhf.deb
Size: 16522
SHA256: 971ab795bec86be8d4a2c84b1d4ceca7b70b18df4d73b4978b0eaa6c2334fb87
SHA1: 8230a30c71f1365cf583415efa280ae2772e969b
MD5sum: 74344dffe351393dfe153bd46fff9586
Description: simple web browser
 surf is a simple web browser based on WebKit/GTK+. It is able to display
 websites and follow links. It supports the XEmbed protocol which makes it
 possible to embed it in another application. Furthermore, one can point surf to
 another URI by setting its XProperties.

Package: surfraw
Version: 2.2.8-1
Installed-Size: 748
Maintainer: Debian surfraw maintainers 
Architecture: all
Depends: lynx | www-browser
Recommends: surfraw-extra (>> 2.2.7-1), links | elinks | elinks-lite | w3m, curl | wget | libwww-perl
Suggests: screen
Breaks: surfraw-extra (<= 2.2.7-1)
Size: 127604
SHA256: 465446c49ad9fd7dd16c0c85b53a6fdf8ace96d577bdd24ba7f0d3f6f3530c4a
SHA1: 216e3a26844fba655993b17eee3c5c2b2ac605f6
MD5sum: 88920616941fb2e3c015bb1f397347b2
Description: fast unix command line interface to WWW
 Surfraw - Shell Users' Revolutionary Front Rage Against the World Wide Web
 .
 Surfraw provides a fast unix command line interface to a variety of
 popular WWW search engines and other artifacts of power. It reclaims
 google, altavista, dejanews, freshmeat, research index, slashdot
 and many others from the false-prophet, pox-infested heathen lands of
 html-forms, placing these wonders where they belong, deep in unix
 heartland, as god loving extensions to the shell.
 .
 Surfraw abstracts the browser away from input. Doing so lets it get
 on with what it's good at. Browsing. Interpretation of linguistic
 forms is handed back to the shell, which is what it, and human
 beings are good at. Combined with incremental text browsers, such
 as links, w3m (or even lynx), and screen(1), or netscape-remote
 a Surfraw liberateur is capable of research speeds that leave
 GUI tainted idolaters agape with fear and wonder.
Homepage: http://surfraw.alioth.debian.org
Tag: implemented-in::shell, interface::commandline, network::client,
 protocol::http, role::program, use::searching, web::browser
Section: web
Priority: optional
Filename: pool/main/s/surfraw/surfraw_2.2.8-1_all.deb

Package: surfraw-extra
Source: surfraw
Version: 2.2.8-1
Installed-Size: 104
Maintainer: Debian surfraw maintainers 
Architecture: all
Depends: surfraw, lynx | www-browser, libwww-opensearch-perl, libwww-perl, libhtml-parser-perl
Recommends: links | elinks | elinks-lite | w3m | w3m-ssl | lynx-cur
Suggests: screen
Breaks: surfraw (<< 2.1.7)
Size: 47828
SHA256: 92b5ee8460ed894b658d5bb22d991b106e8d43a2cea2e31c084ace947ddca3b5
SHA1: 952215ed58f2b3d8e360c0b625da79c182025682
MD5sum: 44a4008dd00d34e778133282608641fe
Description: extra surfraw search tools with heavy dependencies
 surfraw-extra contains extra website search tools for surfraw that
 have large dependency trees. Currently surfraw-extra only contains
 support tools for the opensearch elvi:
 .
 opensearch-discover - find an opensearch link from a URL.
 opensearch-genquery - construct a search URL from an opensearch description
 .
 Surfraw - Shell Users' Revolutionary Front Rage Against the World Wide Web
 .
 Surfraw provides a fast unix command line interface to a variety of
 popular WWW search engines and other artifacts of power. It reclaims
 google, altavista, dejanews, freshmeat, research index, slashdot
 and many others from the false-prophet, pox-infested heathen lands of
 html-forms, placing these wonders where they belong, deep in unix
 heartland, as god loving extensions to the shell.
 .
 Surfraw abstracts the browser away from input. Doing so lets it get
 on with what it's good at. Browsing. Interpretation of linguistic
 forms is handed back to the shell, which is what it, and human
 beings are good at. Combined with incremental text browsers, such
 as links, w3m (or even lynx), and screen(1), or netscape-remote
 a Surfraw liberateur is capable of research speeds that leave
 GUI tainted idolaters agape with fear and wonder.
Homepage: http://surfraw.alioth.debian.org
Tag: implemented-in::perl, role::program
Section: web
Priority: optional
Filename: pool/main/s/surfraw/surfraw-extra_2.2.8-1_all.deb

Package: suricata
Version: 1.2.1-2+deb7u1
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 3418
Depends: libc6 (>= 2.13-28), libcap-ng0, libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libhtp1 (>= 0.2.6), libmagic1, libnet1 (>= 1.1.2.1), libnetfilter-queue1 (>= 0.0.15), libnfnetlink0 (>= 1.0.0), libpcap0.8 (>= 1.0.0), libpcre3 (>= 8.10), libprelude2, libyaml-0-2
Recommends: oinkmaster, snort-rules-default
Homepage: http://www.openinfosecfoundation.org/
Priority: optional
Section: net
Filename: pool/main/s/suricata/suricata_1.2.1-2+deb7u1_armhf.deb
Size: 1237502
SHA256: ba833b9c48a7a2b0d9cc0d4ff57c0c1959c1138c1243c587586f60ebd061d9be
SHA1: 2684d651aa03d0bb89bdb2e8da493203faec3451
MD5sum: b57957b76a90a06c483c264ad3cf38ac
Description: Next Generation Intrusion Detection and Prevention Tool
 Suricata is a network Intrusion Detection System (IDS). It is based on
 rules (and is fully compatible with snort rules) to detect a variety of
 attacks / probes by searching packet content.
 .
 This new Engine supports Multi-Threading, Automatic Protocol Detection
 (IP, TCP, UDP, ICMP, HTTP, TLS, FTP and SMB), Gzip Decompression, Fast
 IP Matching and coming soon hardware acceleration on CUDA and OpenCL GPU
 cards.
 .
 This version has inline (NFQUEUE) support enabled.

Package: survex
Version: 1.2.6-4
Architecture: armhf
Maintainer: Olly Betts 
Installed-Size: 1109
Depends: libc6 (>= 2.13-28)
Recommends: survex-aven (= 1.2.6-4)
Suggests: survex-svxedit (= 1.2.6-4), vim-addon-manager
Homepage: http://survex.com/
Priority: extra
Section: science
Filename: pool/main/s/survex/survex_1.2.6-4_armhf.deb
Size: 546262
SHA256: ff5b0f07cb73f1e2543e54387fb6ad84dd89d03830d2adc5b2e8957e741432ea
SHA1: f8836e7ee7a9616fb1031c26ae29bc14e9726521
MD5sum: 21876961a1f1e988dbe52f9fd30e0504
Description: cave surveying and mapping software
 A software suite to process, view, and print cave survey data.  Survex
 is cross-platform (Linux, Unix, Mac OS X, Microsoft Windows).  It includes
 English, French, German, Portuguese, Catalan, Spanish, Slovak, and Romanian
 internationalisations.  It can deal with extremely large and complex
 datasets and can read survey data from many sources.

Package: survex-aven
Source: survex
Version: 1.2.6-4
Architecture: armhf
Maintainer: Olly Betts 
Installed-Size: 2542
Depends: survex (= 1.2.6-4), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libstdc++6 (>= 4.6), libswscale2 (>= 5:0.8-2~), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1)
Homepage: http://survex.com/
Priority: extra
Section: science
Filename: pool/main/s/survex/survex-aven_1.2.6-4_armhf.deb
Size: 1233184
SHA256: 2c0c15410fd7ce15f593072034ecf41a522a7e82054c65f7468121f8ee0047be
SHA1: 9c491f6e994e65c11a08141d5b4dce36e51e2862
MD5sum: c872748d8493d58142194c974f9e2a4c
Description: sophisticated cave survey viewer for Survex
 An advanced cave survey data viewer for Survex, written using the wxWidgets
 library, and using OpenGL for rendering.  Aven allows searching for stations,
 highlighting categories of stations such entrances or fixed points, measuring
 the distance between stations, showing passage walls, and supports
 presentations (pre-recorded fly-throughs).

Package: survex-svxedit
Source: survex
Version: 1.2.6-4
Installed-Size: 110
Maintainer: Olly Betts 
Architecture: all
Depends: tk8.4 | wish, bwidget
Recommends: survex (>= 1.2.6-4)
Size: 61406
SHA256: f6aef3ea715e8ca0353c6a5edd5db2c7fecca6fb62c92b35084cea15f9c1f2aa
SHA1: 6de6516139a38f33494eb3c7ffac09273bd891f5
MD5sum: 1bf6d5ad32971eaac1f8a5e8447d9e90
Description: survey data editor for Survex
 Experimental cave survey data entry editor for Survex.  Packaged separately
 as it requires Tcl to be installed, and some users prefer to use a text
 editor instead.
Homepage: http://survex.com/
Tag: implemented-in::tcl, interface::commandline, interface::x11,
 role::program, scope::application, uitoolkit::tk, use::editing,
 works-with::text, x11::application
Section: science
Priority: extra
Filename: pool/main/s/survex/survex-svxedit_1.2.6-4_all.deb

Package: sushi
Version: 1.4.0+dfsg-1
Installed-Size: 29
Maintainer: Devid Antonio Filoni 
Architecture: all
Depends: maki, tekka | nigiri
Size: 3430
SHA256: c65f5c4a840e4c7e508ab5c81fe7b3b313e0e66cb0168303bd95cd4ed2711695
SHA1: 65c8d76c3bc52897368e96365740395d93057eee
MD5sum: 3d83cb34f2b948df1c65749a017792ea
Description: D-Bus-based IRC suite (suite)
 The sushi IRC suite consists of a central daemon and several clients, which
 communicate via DBus. DBus methods and signals are provided by the daemon to
 abstract the IRC protocol. Clients can use these methods and signals to easily
 interact with IRC.
 .
 This virtual package provides the full suite.
Homepage: http://redmine.ikkoku.de/projects/sushi/wiki
Section: net
Priority: extra
Filename: pool/main/s/sushi/sushi_1.4.0+dfsg-1_all.deb

Package: sushi-plugins
Source: sushi
Version: 1.4.0+dfsg-1
Installed-Size: 29
Maintainer: Devid Antonio Filoni 
Architecture: all
Depends: chirashi
Size: 3290
SHA256: e650f41e01693fcb4fe5df26bf5588baeb5cbf2b7f829f38d5f28da6fb6f8225
SHA1: aeaf86e384aefbe038a0f0c9a0c4e6d87fe3a366
MD5sum: 0e7d6e2714021a9a2b909c3d238b5fa8
Description: transitional dummy package
 This is a transitional dummy package. It can safely be removed.
Homepage: http://redmine.ikkoku.de/projects/sushi/wiki
Tag: role::plugin
Section: net
Priority: extra
Filename: pool/main/s/sushi/sushi-plugins_1.4.0+dfsg-1_all.deb

Package: sux
Version: 1.0.1-6
Installed-Size: 64
Maintainer: Meike Reichle 
Architecture: all
Recommends: xauth
Size: 9394
SHA256: a2985201c4648795c044e73a77a330685ed98a03642370d5a0ca1689662db055
SHA1: a0e98bbc25837c1759d550ca116d258500e0df8a
MD5sum: 9ad20367ad8e227fc132c788fd002089
Description: wrapper around su which will transfer your X credentials
 Sux is a wrapper around the standard su command which will transfer
 your X credentials to the target user.
Homepage: http://fgouget.free.fr/sux/
Tag: admin::login, admin::user-management, interface::commandline,
 role::program, security::authentication, use::login, x11::application
Section: admin
Priority: optional
Filename: pool/main/s/sux/sux_1.0.1-6_all.deb

Package: svdrpservice-dev
Source: vdr-plugin-svdrpservice
Version: 0.0.4-14
Installed-Size: 35
Maintainer: Debian VDR Team 
Architecture: all
Size: 7242
SHA256: a1455807d9fe73a1977232219d1828ec171a9ccfa9ec6c762f111e00cef2e1c7
SHA1: 2aaeeb166a280e03ae66697a1faf8fba7ef8f823
MD5sum: bc44d1ecffc09d89378e3692b5bb7e28
Description: VDR svdrpservice plugin development files
 Header files for VDR plugins that use the svdrpservice plugin.
Homepage: http://vdr.schmirler.de
Tag: role::devel-lib
Section: misc
Priority: extra
Filename: pool/main/v/vdr-plugin-svdrpservice/svdrpservice-dev_0.0.4-14_all.deb

Package: svgalib-bin
Source: svgalib
Version: 1:1.4.3-33
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 73
Depends: libsvga1, lsb-base
Replaces: svgalib1-bin
Provides: svgalib1-bin
Homepage: http://www.svgalib.org/
Priority: optional
Section: graphics
Filename: pool/main/s/svgalib/svgalib-bin_1.4.3-33_armhf.deb
Size: 12038
SHA256: 5e75111c8773b3fe6bc56f2ee0752224f01842be9c9f79cd6be03c55e678ee46
SHA1: c1ebab65eef4a858345ac154e163142621230194
MD5sum: e078f31cbb9567c5bd6afc1dd9b63294
Description: console SVGA display utilities
 svgalib provides graphics capabilities to programs running on the
 system console, without going through the X Window System. It uses
 direct access to the video hardware to provide low-level access to
 the standard VGA and SVGA graphics modes. Only works with some
 video hardware; use with caution.
 .
 This package contains the Svgalib utility programs.

Package: svgpart
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 74
Depends: libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkparts4 (>= 4:4.8), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Breaks: gwenview (<< 4:4.7.0)
Replaces: gwenview (<< 4:4.7.0)
Homepage: https://projects.kde.org/projects/kde/kdegraphics/svgpart
Priority: optional
Section: graphics
Filename: pool/main/s/svgpart/svgpart_4.8.4-1_armhf.deb
Size: 11034
SHA256: 6e7e41151d5167807cffd17c95d8fda6a80f188c53853c516890b88638c70368
SHA1: 05d8293e58ba8ee1a0d9a10e19de316ff0c49eb0
MD5sum: d2611d8958dbe22f4df6984a26d1ef30
Description: KDE SVG KPart
 SvgPart is a small KDE KPart component to display SVG images in Gwenview
 and in any other KDE application which uses the KPart system.
 .
 This package is part of the KDE graphics module.

Package: svgtoipe
Version: 20100608-1
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 59
Depends: python, python-imaging
Recommends: ipe
Homepage: http://ipe7.sourceforge.net/
Priority: optional
Section: graphics
Filename: pool/main/s/svgtoipe/svgtoipe_20100608-1_armhf.deb
Size: 9124
SHA256: 0839dd9e50dd3db510123ca52ab73f29629d929f4229f3cb02b2737d533a1ff7
SHA1: 5e6e7b45a58711213dd8e7643c854bcf904afe04
MD5sum: ba758952b5fdf1c31bdb1113ec9c9819
Description: converts SVG figures to an XML file readable by Ipe
 Ipe is a drawing editor for creating figures, provided in package ipe.

Package: svn-all-fast-export
Version: 1.0.5-1
Architecture: armhf
Maintainer: José Manuel Santamaría Lema 
Installed-Size: 260
Depends: libapr1 (>= 1.2.7), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0), libsvn1 (>= 1.4)
Homepage: http://gitorious.org/svn2git
Priority: extra
Section: devel
Filename: pool/main/s/svn-all-fast-export/svn-all-fast-export_1.0.5-1_armhf.deb
Size: 115324
SHA256: 8163694a2fad6868ace04dc800ed8b9f6f490633c58bb199a7a5e1e0223ce48b
SHA1: c2650cee42dd49c69f90753dc9d4507e91510d53
MD5sum: 8fe9a6047140bfb8476d39cc85471214
Description: fast-import based converter to convert repos from svn to git
 svn-all-fast-export is a tool to convert your svn repositories to git.
 .
 You will need to have a copy of your svn repository and to write some rules to
 specify how the conversion will be done, for instance, you can manage how the
 tags and branches will be managed writing the appropriate rules. You have
 examples in /usr/share/doc/svn-all-fast-export/samples
 .
 Also, you can provide a file mapping the old svn accounts to the authors names
 in the format "Author Name " so will not need to use git filter-branch
 to amend the commiters' names.

Package: svn-autoreleasedeb
Source: cvs-autoreleasedeb
Version: 0.12-1
Installed-Size: 132
Maintainer: Daniel Ruoso 
Architecture: all
Depends: dpkg-dev, fakeroot, subversion, perl, libxml-parser-perl, dupload, svn-buildpackage, libapt-pkg-perl, adduser
Size: 15940
SHA256: 5f3e285a76436fc75fb273bb977e511862c00ececd4c27784e3d53619b56fc27
SHA1: 4c7ff91b22d728cb5b9df8819b9e846f3b2580cc
MD5sum: a4f7a9a126aaed61e802001d482f9f11
Description: Automatically release/upload debian packages from SVN
 This package provides the capability of automatically publish a
 deb package directly from the SVN source. The SVN source must be
 already in the format managed by svn-buildpackage, since
 svn-buildpackage will be used to generate the deb.
 .
 This package is useful to release the 'unstable' version of a
 software. The control of when to release the deb is made by
 watching the debian/changelog file. This script maintains a list
 of which packages to automate and the version they are. Every
 time you increases the version in changelog, the package you are
 working will be generated.
 .
 It will also call dupload to send the generated package to
 your deb server (which can be the main debian server or your
 software house's debian server).
Section: vcs
Priority: optional
Filename: pool/main/c/cvs-autoreleasedeb/svn-autoreleasedeb_0.12-1_all.deb

Package: svn-buildpackage
Version: 0.8.5
Installed-Size: 871
Maintainer: svn-buildpackage maintainers 
Architecture: all
Depends: subversion, devscripts (>= 2.10.54), perl, libsvn-perl, file, wget, unp, liburi-perl, libcapture-tiny-perl, libfile-libmagic-perl, liblocale-gettext-perl
Recommends: debhelper
Size: 253260
SHA256: b34035ea48436801e2e9f815f431c739d7f543e0574ede3e2d5464d386be5776
SHA1: 9bc30896b50a12d1b1e0f7af27a508df4c3ed0d4
MD5sum: eaec4471b81499c0bf373af3313f1963
Description: helper programs to maintain Debian packages with Subversion
 svn-buildpackage (formerly svn-devscripts) contains tools that help to
 automate the task of maintaining Debian packages inside of a Subversion
 repository. They are intended to be used by Debian maintainers to simplify
 the error-prone actions with the svn, devscripts, and dpkg-dev utilities.
 .
  - svn-inject: creates the initial directory structure of a
         Debian-SVN repository and imports existing packages
  - svn-upgrade: imports upstream changes into the upstream branch and
         updates the Debian trunk directory, merging and tagging as needed
  - svn-buildpackage: wrapper around dpkg-buildpackage (or other builders),
         exporting/merging/tagging source as needed
  - svn-do: exports a source, runs a command inside the exported source and,
         if the command succeeds, copies back the debian/ tree
  - uclean: removes redundant files from upstream source packages
 .
 The package also includes a detailed HOWTO document.
Homepage: http://svn-bp.alioth.debian.org/
Tag: devel::debian, devel::packaging, devel::rcs, implemented-in::perl,
 interface::commandline, role::program, scope::utility, suite::debian,
 works-with::software:package
Section: vcs
Priority: extra
Filename: pool/main/s/svn-buildpackage/svn-buildpackage_0.8.5_all.deb

Package: svn-load
Version: 1.3-1
Installed-Size: 80
Maintainer: dann frazier 
Architecture: all
Depends: python, python-svn
Size: 12098
SHA256: 890dafdb4fe44cf5b8f9b1bffffab055fa449ebaa35edd802b0f4d431e4c0ba8
SHA1: 626e16d78168e3885114cfa82002db6fa4b6b03b
MD5sum: 78e1cc4f712e6de113e5ccbe5eb17a9d
Description: An enhanced import facility for Subversion
 svn-load is a free replacement for svn_load_dirs, an enhanced import
 facility for Subversion.
 .
 This utility will commit a single changeset that alters a repository
 subtree to match a local directory. It detects filenames that have been
 removed or created, and uses this knowledge to prompt the user about file
 and directory movements within the subtree. An automatic tagging option
 is also supported.
 .
 svn-load is well suited for vendor branch maintenance, where external
 source is routinely imported and merged.
Tag: devel::rcs, implemented-in::python, role::program, scope::utility
Section: vcs
Priority: extra
Filename: pool/main/s/svn-load/svn-load_1.3-1_all.deb

Package: svn-workbench
Version: 1.6.2-2
Installed-Size: 1696
Maintainer: Debian QA Group 
Architecture: all
Depends: python (>= 2.6.6-3+squeeze3~), python-svn (>= 1.7.1), python-wxgtk2.8
Size: 559444
SHA256: d0536fc41d6af6ee13fef3553c0f9a0b4df007f4540e551ccf2d3401a665d0f3
SHA1: 983da57515998b55ac4b5fbbdca067cce9173651
MD5sum: 419937e29f7356e528f85c968df72ff8
Description: A Workbench for Subversion
 pysvn-workbench is a workbench (graphical client) for the Subversion
 revision control system, written in the Python language.
Tag: devel::rcs, implemented-in::python, interface::x11, network::client,
 role::program, uitoolkit::gtk, works-with::software:source,
 x11::application
Section: vcs
Priority: optional
Filename: pool/main/s/svn-workbench/svn-workbench_1.6.2-2_all.deb

Package: svn2cl
Version: 0.13-2
Installed-Size: 56
Maintainer: Arthur de Jong 
Architecture: all
Replaces: subversion-tools (<< 1.7.5-1)
Depends: subversion (>= 1.5), xsltproc
Breaks: subversion-tools (<< 1.7.5-1)
Size: 24860
SHA256: 6da3b71680391df31a3f358c600c16a284f3b97bbb637ba4a4682024905df380
SHA1: 0f1f48d9e97ac49eafa9eabc3fb3d54e19eefa8b
MD5sum: 942d57945ecb5f995d5a25ba5f2a3c39
Description: Generate a GNU-style ChangeLog from Subversion repository history
 This tool generates a classic GNU-style ChangeLog from the log messages
 in a Subversion repository. It works as a wrapper around the 'svn log'
 command, parsing the XML output with an XSLT stylesheet. Alternatively it
 can generate HTML output.
Homepage: http://arthurdejong.org/svn2cl/
Section: vcs
Priority: extra
Filename: pool/main/s/svn2cl/svn2cl_0.13-2_all.deb

Package: svnkit
Version: 1.3.5+dfsg-4
Installed-Size: 399
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: default-jre-headless | java5-runtime-headless, libsvnkit-java (= 1.3.5+dfsg-4), libtrilead-ssh2-java (>= 6401), libjna-java, antlr3
Size: 321796
SHA256: 1da6de7af9a457b07cdcfc5932214d2354099b5d31ef08896fc4af2a69a024db
SHA1: faf11c12108617a2cb15529277e8f46bd194cfe4
MD5sum: 406ec5fc626102ce8e491a7a70ee9a02
Description: pure Java Subversion client
 SVNKit allows one to work with Subversion repositories and working
 copies.  The SVNKit client features direct repository access as well as
 support for all high level Subversion operations available via the
 command-line Subversion client.
Homepage: http://svnkit.com/
Tag: devel::rcs, implemented-in::java, role::program
Section: java
Priority: optional
Filename: pool/main/s/svnkit/svnkit_1.3.5+dfsg-4_all.deb

Package: svnmailer
Version: 1.0.8-12
Installed-Size: 4836
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-subversion
Size: 415816
SHA256: b0a7084fd96af8e3335571a135c0ce90e37c722b64e2a9106df4b14beef77ce9
SHA1: 6d8e14b3bfd32c6abcb68d48ab6890bd239d3a57
MD5sum: e5a3e94e4f27d8d1bc8f3d5a427b8e38
Description: extensible Subversion commit notification tool
 The svnmailer is a tool, which is usually called by a Subversion hook
 to submit commit notifications in various ways (at the moment: mail via
 SMTP or a pipe to a sendmail like program, news via NNTP, CIA live
 tracker notification via XML-RPC). It is derived from the original
 mailer.py distributed with Subversion, but supposed to be much more
 consistent, better extensible and to have much more features. Have a
 look at the documentation for further details.
Homepage: http://opensource.perlig.de/svnmailer/
Python-Version: 2.5, 2.6
Tag: devel::rcs, implemented-in::python, role::program
Section: vcs
Priority: optional
Filename: pool/main/s/svnmailer/svnmailer_1.0.8-12_all.deb

Package: svtools
Version: 0.6-2
Installed-Size: 156
Maintainer: Klaus Reimer 
Architecture: all
Depends: bsdmainutils
Recommends: daemontools
Size: 14222
SHA256: c3af6fa9dae7ba86a7ad74344569b157574610b4f0abc9273e5661f886aa192d
SHA1: e9247d328761719af3a2f6539af560c8f947fe65
MD5sum: 0136790f10e097ad7a768cea25cb9ccd
Description: Utilities for daemontools and multilog
 This package provides some useful utilities to maintain supervised
 services and to handle multilog log files:
 .
 svdir: Find daemontools service directory.
 svinfo: Get infos about a supervised process.
 svinitd: init.d weapper for daemontools services.
 svinitd-create: Create an init.d-script for a supervices process.
 svsetup: Service setup tool for daemontools.
 mlcat: cat frontend for multilog files.
 mlhead: head frontend for multilog files.
 mltail: tail frontend for multilog files.
Tag: role::program
Section: misc
Priority: optional
Filename: pool/main/s/svtools/svtools_0.6-2_all.deb

Package: swac-explore
Version: 0.2-1.2
Architecture: armhf
Maintainer: Nicolas Vion 
Installed-Size: 172
Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgstreamer0.10-0 (>= 0.10.0), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.6), libxml2 (>= 2.6.27), swac-get
Homepage: http://shtooka.net/
Priority: optional
Section: misc
Filename: pool/main/s/swac-explore/swac-explore_0.2-1.2_armhf.deb
Size: 54356
SHA256: b91ed42874895fa937b2662334ba0a8e3f00185553d33d4b8fcbe0b11b6365f5
SHA1: c854dcdb327cc25efb58620fa11b64615738aaac
MD5sum: 587e0d6a99bca5892b10a04b71c5538b
Description: audio collections of words (SWAC) explorer
 Swac-explore is a GTK+ interface written in C++ which allows to browse audio
 collections of words (SWAC). The program reads data from a SQLite3 database
 (~/.swac/swac.db) which can be generated and managed by the swac-get command
 line program.

Package: swac-get
Version: 0.3-2.1
Architecture: armhf
Maintainer: Nicolas Vion 
Installed-Size: 116
Depends: libbz2-1.0, libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4)
Homepage: http://shtooka.net/
Priority: optional
Section: misc
Filename: pool/main/s/swac-get/swac-get_0.3-2.1_armhf.deb
Size: 36798
SHA256: 3607ee98c8a9f1e999608edfbcbeac3017d57b1db54faa378418f786bdc3041b
SHA1: 22d039c0ef410512e0fae87a2a1ca963d8d3ddbc
MD5sum: 76a77e1021ea4a28d97677a2f59b5cab
Description: audio collections of words (SWAC) manager
 Swac-get is a simple command line program written in C++ which allows to
 install audio collections of words (SWAC) in a SQLite3 database.
 Audio collections can be imported from the hard drive or directly from the
 Internet using the HTTP protocol.
 Swac-get is designed to be used with swac-explore, a GTK+ interface which
 allows to browse the created SQLite3 database.
 An important set of free audio collections of words (SWAC) is available at:
 http://swac-collections.org/

Package: swaks
Version: 20120320.0-1
Installed-Size: 205
Maintainer: Andreas Metzler 
Architecture: all
Depends: perl
Recommends: libnet-dns-perl, libnet-ssleay-perl
Suggests: perl-doc, libauthen-sasl-perl, libauthen-ntlm-perl
Size: 77376
SHA256: 7ec8a3a9a2a054daeb651925a4deb0eda40653a51d8e25ba0e2047357877ea32
SHA1: 0b632a05a776e7edb8178599cd6229675c9f9c5b
MD5sum: f13943286ee7c83952ac35c5c0e5dbf2
Description: SMTP command-line test tool
 swaks (Swiss Army Knife SMTP) is a command-line tool written in Perl
 for testing SMTP setups; it supports STARTTLS and SMTP AUTH (PLAIN,
 LOGIN, CRAM-MD5, SPA, and DIGEST-MD5). swaks allows one to stop the
 SMTP dialog at any stage, e.g to check RCPT TO: without actually
 sending a mail.
 .
 If you are spending too much time iterating "telnet foo.example 25"
 swaks is for you.
Homepage: http://www.jetmore.org/john/code/swaks/
Tag: implemented-in::perl, interface::commandline, mail::smtp,
 protocol::ipv6, protocol::smtp, role::program, scope::utility,
 use::checking, works-with::mail
Section: mail
Priority: optional
Filename: pool/main/s/swaks/swaks_20120320.0-1_all.deb

Package: swami
Version: 2.0.0+svn389-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 728
Depends: libswami0 (= 2.0.0+svn389-2), libart-2.0-2 (>= 2.3.17), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfftw3-3, libfluidsynth1, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libgnomecanvas2-0 (>= 2.11.1), libgtk2.0-0 (>= 2.12.0), libinstpatch-1.0-0, libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.14.4), libsndfile1 (>= 1.0.20), libxml2 (>= 2.6.27)
Recommends: jackd
Breaks: libswami0 (<= 2.0.0+svn389-1~)
Replaces: libswami0 (<= 2.0.0+svn389-1~)
Homepage: http://swami.sf.net
Priority: optional
Section: sound
Filename: pool/main/s/swami/swami_2.0.0+svn389-2_armhf.deb
Size: 355584
SHA256: a643d3e11b41feb9fcaee71e1310489de6b027573bb1c431513a7f6c52111ded
SHA1: 2282672709c151e52be7597556b0fee50bc8cce0
MD5sum: 2a86027c873298eba244026707e32465
Description: MIDI instrument editor application
 Swami (Sampled Waveforms And Musical Instruments) is an application
 for editing and managing MIDI instruments, such as SoundFont files.
 A programming API is also provided for integration with other
 applications.

Package: swami-dbg
Source: swami
Version: 2.0.0+svn389-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 1001
Depends: libswami0 (= 2.0.0+svn389-2), swami (= 2.0.0+svn389-2)
Multi-Arch: same
Homepage: http://swami.sf.net
Priority: extra
Section: debug
Filename: pool/main/s/swami/swami-dbg_2.0.0+svn389-2_armhf.deb
Size: 800026
SHA256: 0aa58c42430923928937a7903e755fb91ccfe7f8021657ca9b2ee3e4a039d6c7
SHA1: 06e07dc85dee1b13a3e1df7c21c05d8f2ac251c7
MD5sum: f025e9840a5af8e9958c16d502bc0ebc
Description: MIDI instrument editor - debugging symbols
 Swami (Sampled Waveforms And Musical Instruments) is an application
 for editing and managing MIDI instruments, such as SoundFont files.
 A programming API is also provided for integration with other
 applications.
 .
 This package contains the debugging symbols.

Package: swaml
Version: 0.1.1-1
Installed-Size: 224
Maintainer: Nacho Barrientos Arias 
Architecture: all
Depends: python (>= 2.4), python-support (>= 0.90.0), python-rdflib, python-sparqlwrapper
Suggests: buxon
Size: 26648
SHA256: 54f351c028cb64c24757295f30c1da45f2e9a5aa65a317b33a68fa53a466e2a5
SHA1: ad57b9638c27d21017135d99f4f16cec7a062ff4
MD5sum: e3c9896ae79fbc347b6b79b85f66fef7
Description: Semantic Web Archive of Mailing Lists
 SWAML reads a collection of email messages stored in a mailbox
 (from a mailing list compatible with RFC 4155) and generates a
 RDF description. It is written in Python using SIOC as the main
 ontology to represent in RDF a mailing list, including the next
 features:
 .
   * Platform independent.
   * Text-based.
   * Compatible with RFC 4155.
   * Serialize RDF to disk.
   * Reusability of ontologies already extended, mainly SIOC.
   * Enrichment using FOAF.
   * KML support.
Homepage: http://swaml.berlios.de
Tag: devel::lang:python, devel::web, implemented-in::python,
 interface::commandline, network::server, role::program, scope::utility,
 use::converting, works-with-format::xml, works-with::mail,
 works-with::text
Section: web
Priority: extra
Filename: pool/main/s/swaml/swaml_0.1.1-1_all.deb

Package: swapspace
Version: 1.10-4
Architecture: armhf
Maintainer: Eugene V. Lyubimkin 
Installed-Size: 113
Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), lsb-base (>= 3.2-14)
Conflicts: swapd
Homepage: http://pqxx.org/development/swapspace
Priority: extra
Section: admin
Filename: pool/main/s/swapspace/swapspace_1.10-4_armhf.deb
Size: 30120
SHA256: 3734a568a5fc61ce24c03e95488d15252f57e233f86c2cba37facfe1d3fed72d
SHA1: 9a3f401fa6b0b47fb6b25f8ad002f09646288aa0
MD5sum: 1416f27419c043d53bcdf0402024756e
Description: dynamic swap space manager
 Small, stable system add-on that continuously and automatically adapts
 available virtual memory space to your actual memory needs.  Claims disk space
 for use as swap space when needed; frees it up for use by the filesystem when
 not needed.

Package: swat
Source: samba
Version: 2:3.6.6-6+deb7u16
Architecture: armhf
Maintainer: Debian Samba Maintainers 
Installed-Size: 5922
Pre-Depends: dpkg (>= 1.15.6~)
Depends: samba (= 2:3.6.6-6+deb7u16), libc6 (>= 2.13-28), libcap2 (>= 2.10), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libgcc1 (>= 1:4.4.0), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.10+dfsg~), libldap-2.4-2 (>= 2.4.7), libpam0g (>= 0.99.7.1), libpopt0 (>= 1.14), libtalloc2 (>= 2.0.4~git20101213), libtdb1 (>= 1.2.7+git20101214), libwbclient0 (>= 2:3.6.0~pre3), zlib1g (>= 1:1.1.4), openbsd-inetd | inet-superserver
Recommends: samba-doc (= 2:3.6.6-6+deb7u16)
Homepage: http://www.samba.org
Priority: optional
Section: net
Filename: pool/main/s/samba/swat_3.6.6-6+deb7u16_armhf.deb
Size: 1491054
SHA256: e34f6442fc559911d3a6659c94983bdb4db2b5cf1657f9d4053443806d7a14bf
SHA1: 79ca4e4faf5f7ae44f27fe44650d847c6efe87f8
MD5sum: 24104c500cbf941d6b62ab219aea80c3
Description: Samba Web Administration Tool
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file and printer sharing with
 Microsoft Windows, OS X, and other Unix systems.
 .
 This package allows you to administer a Samba server via a web browser.
 .
 SWAT is no longer actively maintained, and its default configuration is
 not secure for use over an untrusted network.  SWAT will also rewrite
 smb.conf, rearranging the entries and deleting all comments as well as
 include= and copy= options, so is not suitable for use in conjunction
 with hand-edited smb.conf files or the default package-managed
 configuration.

Package: swatch
Version: 3.2.3-1
Installed-Size: 112
Maintainer: Ryan Niebur 
Architecture: all
Depends: perl (>= 5.6.1), libtimedate-perl, libtime-hires-perl, libdate-calc-perl, libfile-tail-perl, libdate-manip-perl
Size: 42988
SHA256: 56c53452e6767a7ecef6d9fa23f5d0a5957014e8196045b9fa086e5722032cc1
SHA1: c95835d4033f9d37e851111cb83b9ae8c75b9619
MD5sum: 0f72a23d45a1eadacd65ae8ddb1742e0
Description: Log file viewer with regexp matching, highlighting & hooks
 Swatch is designed to monitor system activity.  It reads a configuration
 file which contains pattern(s) to look for and action(s) to perform when
 each pattern is found.
 .
 A typical action is echoing the matched line in a variety of colours and
 formats including reverse video, bold, underline, and normal, which swatch
 knows how to do internally.  Other actions include sending mail or
 executing an arbitrary program on the line.
 .
 Swatch is written in Perl and uses Perl regular expressions for line
 matching.
Homepage: http://swatch.sourceforge.net/
Tag: admin::monitoring, implemented-in::perl, interface::commandline,
 role::program, scope::utility, security::log-analyzer, use::monitor,
 works-with::logfile
Section: admin
Priority: optional
Filename: pool/main/s/swatch/swatch_3.2.3-1_all.deb

Package: swath
Version: 0.4.3-3
Architecture: armhf
Maintainer: Theppitak Karoonboonyanan 
Installed-Size: 630
Depends: libc6 (>= 2.13-28), libdatrie1 (>= 0.2.0), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0)
Suggests: libthai-data
Homepage: http://linux.thai.net/projects/swath
Priority: optional
Section: text
Filename: pool/main/s/swath/swath_0.4.3-3_armhf.deb
Size: 154936
SHA256: 89fc0e30f5a70f0e07abe127e053788dcddd1524b940caa4401d899f38f487c6
SHA1: a9b5fc920e11b00894be6c198f956ba89ea8adb1
MD5sum: 19d108dc22f2b452b07b0d8a581c5716
Description: Thai word segmentation program
 Swath is a general-purpose utility for analyzing word boundaries in Thai
 text and inserting predefined word delimiter codes. It can be used as a
 filter for Thai LaTeX files so the lines are wrapped properly when processed
 with thailatex macros. Other formats that swath can also handle include HTML,
 RTF and plain text.

Package: swe-basic-data
Source: libswe
Version: 1.77.00.0005-2
Installed-Size: 274
Maintainer: Paul Elliott 
Architecture: all
Size: 92668
SHA256: 5424319b716fc7b54e176395ba2ce84b1841e8f4bdbf6172b705f358e76c1291
SHA1: 78802f4b44c0f177184a1dfe9947e2f7fa8bb254
MD5sum: a338f617206dc2a31fbd4809e9925dc2
Description: basic data files for the libswe package
 This package includes basic data files needed by libswe, the Swiss Ephemeris.
 The basic data consists of these files:
 /usr/share/libswe/ephe/sedeltat.txt.inactive
 /usr/share/libswe/ephe/sefstars.txt
 /usr/share/libswe/ephe/seleapsec.txt
 /usr/share/libswe/ephe/seorbel.txt
 /usr/share/libswe/ephe/fixstars.cat
 The Swiss Ephemeris library can be used without installed data,
 if the user provides that data in her own private directory
 and points to it with SE_EPHE_PATH.
Homepage: http://swissephauto.blackpatchpanel.com/
Section: science
Priority: extra
Filename: pool/main/libs/libswe/swe-basic-data_1.77.00.0005-2_all.deb

Package: swe-standard-data
Version: 00004-1
Installed-Size: 41473
Maintainer: Paul Elliott 
Architecture: all
Recommends: swe-basic-data
Size: 36316524
SHA256: 5b3a0269f1089019eba6028f832cc876ff63ac4809b29d75bb650fbb8dec1cb0
SHA1: b4217bcca5429697e8989185d64a5689a232deaf
MD5sum: 313891472e9168358d2dc10cd462a06e
Description: standard data for the Swiss Ephemeris
 all of the standard data, i.e. the usual .se1 files, for the Swiss Ephemeris.
 All of the .se1 files located here:
   ftp://ftp.astro.com/pub/swisseph/ephe/*.se1
 are in the package. This data can be used with the Swiss Ephemeris library,
 libswe0. This package uses 36 meg. It contains 54 .se1 files.
 Installed in /usr/share/libswe/ephe/
 The Swiss Ephemeris library has been patched so that it looks to this location
 by default.
Homepage: http://swissephauto.blackpatchpanel.com/
Section: science
Priority: extra
Filename: pool/main/s/swe-standard-data/swe-standard-data_00004-1_all.deb

Package: sweep
Version: 0.9.3-6
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 1321
Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libmad0 (>= 0.15.1b-3), libogg0 (>= 1.0rc3), libpango1.0-0 (>= 1.14.0), libsamplerate0 (>= 0.1.7), libsndfile1 (>= 1.0.20), libspeex1 (>= 1.2~beta3-1), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libx11-6
Recommends: ladspa-plugin, cmt, swh-plugins, tap-plugins, fil-plugins, mcp-plugins
Homepage: http://www.metadecks.org/software/sweep/
Priority: optional
Section: sound
Filename: pool/main/s/sweep/sweep_0.9.3-6_armhf.deb
Size: 484180
SHA256: 6477e270189f5fdf7b4c09dbd846fcac524831854341d3baab9940dfc79c4b0d
SHA1: e7be9f63e303159e0565bf8a48bd4b6cd95fe88b
MD5sum: f5ca1d43c0615ff783e5bc7b44b31a07
Description: Audio editor and live playback tool
 Sweep is an audio editor and live playback tool. It supports many music and
 voice formats including WAV, AIFF, Ogg Vorbis, Speex and MP3, with multichannel
 editing and LADSPA effects plugins.

Package: sweep-dev
Source: sweep
Version: 0.9.3-6
Installed-Size: 51
Maintainer: Debian QA Group 
Architecture: all
Depends: sweep (>= 0.9.3-6)
Size: 29076
SHA256: ca16684ae411afaee5e4e0df5bed14a5ffc3a20af8ca8a73e1c9949b8734e062
SHA1: 0dab7063d7b022b489bc58844a57166617d44dff
MD5sum: ca47f86f9ad5a5ac553dee6330b23f81
Description: Audio editor and live playback tool (development)
 Sweep is an audio editor and live playback tool. It supports many music and
 voice formats including WAV, AIFF, Ogg Vorbis, Speex and MP3, with multichannel
 editing and LADSPA effects plugins.
 .
 This package contains the development files for Sweep plugins.
Homepage: http://www.metadecks.org/software/sweep/
Tag: devel::library, interface::x11, role::devel-lib, sound::player,
 uitoolkit::gtk, use::editing, use::viewing, works-with-format::mp3,
 works-with-format::oggvorbis, works-with-format::wav,
 works-with::audio, x11::application
Section: devel
Priority: optional
Filename: pool/main/s/sweep/sweep-dev_0.9.3-6_all.deb

Package: sweeper
Version: 4:4.8.4-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 204
Depends: kde-runtime, libc6 (>= 2.13-28), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkio5 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.1.1)
Homepage: http://www.kde.org/
Priority: optional
Section: utils
Filename: pool/main/s/sweeper/sweeper_4.8.4-1_armhf.deb
Size: 95362
SHA256: 9e09d1133b201bfd3f198e8fbf0978623210b47fe5b5d6751f78ccb29aadd6e0
SHA1: 16bfc322e1468c963536103109687a208b828198
MD5sum: 7920a00c0a95fbf4615024d1915b9b74
Description: history and temporary file cleaner
 Sweeper can quickly remove temporary information, such as web page cookies,
 browser history, or the list of recently-opened documents.  It helps provide
 additional privacy on a system shared between multiple users.
 .
 This package is part of the KDE SC utilities module.

Package: sweethome3d
Version: 3.5+dfsg-1+deb7u1
Installed-Size: 10353
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: icedtea-netx-common | sun-java6-bin, java-wrappers, java3ds-fileloader, libfreehep-graphicsio-svg-java, libitext-java, libjava3d-java, libsunflow-java (>= 0.07.2.svn396+dfsg-9), libbatik-java, default-jre | java6-runtime
Size: 9869932
SHA256: 5b9f6b355f2c8665645ad056a78e3150044c8cbc172a5cf86e47bebf76421771
SHA1: 8b4ec34fb7b04a6c326f7aa17dd87f2c308921d0
MD5sum: 5c02f0146b64e73d0d5973615671276a
Description: Interior 2D design application with 3D preview
 Sweet Home 3D is an interior design Java application for
 quickly choosing and placing furniture on a house 2D plan
 drawn by the end-user, with a 3D preview.
Homepage: http://www.sweethome3d.com/
Section: java
Priority: optional
Filename: pool/main/s/sweethome3d/sweethome3d_3.5+dfsg-1+deb7u1_all.deb

Package: swell-foop
Source: gnome-games
Version: 1:3.4.2-3
Architecture: armhf
Maintainer: Josselin Mouette 
Installed-Size: 2130
Depends: dconf-gsettings-backend | gsettings-backend, libc6 (>= 2.13-28), libclutter-1.0-0 (>= 1.10.0), libclutter-gtk-1.0-0 (>= 0.91.8), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.3.16), gnome-games-data (= 1:3.4.2-3)
Homepage: http://live.gnome.org/GnomeGames
Priority: optional
Section: games
Filename: pool/main/g/gnome-games/swell-foop_3.4.2-3_armhf.deb
Size: 1445574
SHA256: c54c294b50388dc9ac66e5efaa4fbb4d23b52a9bea5f153c859a892df96725da
SHA1: b6278b05328dc8bfa5f1fe5c94cb006acef23260
MD5sum: 64e2787e685848282e457aa1716a6dcb
Description: Colored ball puzzle game
 Remove blocks of balls of the same color in as few moves as possible. Try to
 remove all balls for a bonus.

Package: swfdec-gnome
Source: gnash (0.8.11~git20120629-1+deb7u1)
Version: 1:0.8.11~git20120629-1+deb7u1
Installed-Size: 54
Maintainer: Debian Flash Team 
Architecture: all
Depends: gnash
Size: 27462
SHA256: 48cdfbbb28401ca442ad6247c0f5b817cc5a23d0c0e0bb59847e21c94a0ec395
SHA1: 3386b0aa7026a28d4ef59bc90f7e00378541c55a
MD5sum: 01175b42020a16a761d944c6484791f7
Description: dummy package for transition to Gnash
 This package is a transitional package for upgrading to Gnash.
 .
 It can be safely removed when Gnash is installed.
Homepage: http://www.gnu.org/software/gnash/
Tag: role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/g/gnash/swfdec-gnome_0.8.11~git20120629-1+deb7u1_all.deb

Package: swfdec-mozilla
Source: gnash
Version: 0.8.11~git20120629-1+deb7u1
Installed-Size: 54
Maintainer: Debian Flash Team 
Architecture: all
Depends: browser-plugin-gnash (>= 0.8.11~git20120629-1+deb7u1)
Size: 27468
SHA256: a8e7d7aa65703a05f571e352036f1a8d4a027cf25f6d2a48cb0d82243b81c980
SHA1: 2b14cc513e074f4c8b74dc619053444bdfb89538
MD5sum: 6d8c2e966e0bf16987d8af28b7eef855
Description: dummy package for transition to browser-plugin-gnash
 This package is a transitional package for upgrading to browser-plugin-gnash.
 .
 It can be safely removed when browser-plugin-gnash is installed.
Homepage: http://www.gnu.org/software/gnash/
Tag: role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/g/gnash/swfdec-mozilla_0.8.11~git20120629-1+deb7u1_all.deb

Package: swfmill
Version: 0.3.2-1
Architecture: armhf
Maintainer: Debian Flash Team 
Installed-Size: 1112
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), zlib1g (>= 1:1.1.4)
Suggests: mtasc
Homepage: http://www.swfmill.org/
Priority: optional
Section: utils
Filename: pool/main/s/swfmill/swfmill_0.3.2-1_armhf.deb
Size: 310100
SHA256: 089c512cda7d1814e6fce9ea175f03641c177b367682c908e01beb47d4a8c2f1
SHA1: 957cb04775c66aef0c6e556cc5b81932e54f7848
MD5sum: 8cd00914199631f139a131400e683254
Description: xml2swf and swf2xml processor
 swfmill is a tool to process Shockwave Flash(TM) (SWF) files. It can
 convert SWF from and to an XML-dialect called swfml, which is closely
 modeled after the SWF file format.
 .
 Apart from this xml2swf and swf2xml functionality, it also provides a
 libxslt-based XSL transformator that supports an extension ("swft")
 which helps with generating IDs for SWF objects and can import an SWF as
 XML using an XPath command (swft:document()).
 .
 As a simple application of such functionality, swfmill can pack together
 a bunch of media files (currently JPGs, PNGs, TTFs and other SWFs) into
 an SWF as "library objects" for your attachMovie() pleasure.

Package: swftools
Version: 0.9.2+ds1-3+deb7u1
Architecture: armhf
Maintainer: Christian Welzel 
Installed-Size: 4777
Depends: gsfonts, libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgif4 (>= 4.1.4), libjpeg8 (>= 8c), libmp3lame0, zlib1g (>= 1:1.1.4)
Suggests: gs-common
Homepage: http://www.swftools.org/
Priority: extra
Section: utils
Filename: pool/main/s/swftools/swftools_0.9.2+ds1-3+deb7u1_armhf.deb
Size: 2049180
SHA256: 888439556180d83d03d710fecae29b84d824332b2283ff1acbd39aaf89edfab4
SHA1: fa068b814502cfa4ca894cccef8f9232951e717a
MD5sum: 87ec7883619e132c6c7e81a0af949461
Description: Collection of utilities for SWF file manipulation/creation
 SWF Tools is a collection of SWF (Flash) manipulation and creation utilities.
 .
 This package includes: as3compile, font2swf, gif2swf, jpeg2swf, png2swf,
 swfcombine, swfextract, swfdump, swfrender, swfstrings, swfbbox, swfc and
 wav2swf.
 .
  * as3compile is a standalone ActionScript 3.0 compiler. Mostly compatible
    with Flex.
  * font2swf converts font files (TTF, Type1) into SWF.
  * gif2swf converts GIFs into SWF. It is also able to handle animated gifs.
  * jpeg2swf takes one or more JPEG pictures and generates an SWF slideshow.
  * png2swf takes one or more PNG pictures and generates an SWF slideshow.
  * swfcombine is a tool for inserting SWFs into Wrapper SWFs. (Templates)
    E.g. for including the pdf2swf SWFs into some sort of Browsing-SWF.
  * swfextract allows one to extract Movieclips, Sounds, Images etc. from SWF
    files.
  * swfdump prints out various information about SWFs.
  * swfrender converts a swf to an image.
  * swfstrings scans SWFs for text data.
  * swfbbox allows one to readjust SWF bounding boxes.
  * swfc is a tool for creating SWF files from simple script files.
  * wav2swf converts WAV files into SWF.
 .
 This package does not include pdf2swf and avi2swf.

Package: swh-lv2
Version: 1.0.15+20111107.gitec6b85e-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 1392
Depends: libc6 (>= 2.13-28)
Provides: lv2-plugin
Homepage: http://github.com/swh/lv2
Priority: optional
Section: sound
Filename: pool/main/s/swh-lv2/swh-lv2_1.0.15+20111107.gitec6b85e-1_armhf.deb
Size: 305250
SHA256: 76d53ba3c7d0a2a91acdffe9ed8b232c4f5f1c98c139952c65d324b7b3c2acb0
SHA1: 949151089454c9de3014fe0f1a89f68ca56fe5b3
MD5sum: ca4ed1d8ef3fb763da5a1437c197c8cd
Description: Steve Harris's SWH plugins ported to LV2
 This package provides Steve Harris's SWH plugins ported to the
 LV2 specification.
 .
 There is a large number of effects, such as filters, harmonic
 generators, pitch shifters and much more, for LV2 compatible
 hosts (Qtractor, Ardour, lv2rack). Here is a list of provided
 effects:
 .
 inv, flanger, diode, comb, notch_iir, sc2, debug, amp, zm1,
 matrix_spatialiser, bandpass_iir, lookahead_limiter_const,
 fast_lookahead_limiter, am_pitchshift, revdelay, valve_rect,
 delayorama, harmonic_gen, sifter, decimator, foverdrive,
 matrix_st_ms, step_muxer, tape_delay, pointer_cast, xfade,
 dj_flanger, single_para, dc_remove, satan_maximiser, phasers,
 foldover, matrix_ms_st, freq_tracker, bode_shifter, alias,
 dyson_compress, dj_eq, comb_splitter, hermes_filter, valve,
 bode_shifter_cv, multivoice_chorus, plate, latency, fad_delay,
 split, allpass, const, hilbert, fm_osc, sin_cos, crossover_dist,
 triple_para, hard_limiter, imp, chebstortion, vynil, svf,
 rate_shifter, surround_encoder, se4, sc1, lookahead_limiter,
 divider, impulse, lowpass_iir, karaoke, sc4, shaper, butterworth,
 gong_beater, gsm, sinus_wavewrapper, declip, gverb, transient,
 pitch_scale, ls_filter, ringmod, sc3, gate, delay, giant_flange,
 lcr_delay, mod_delay, smooth_decimate, wave_terrain, highpass_iir,
 analogue_osc, retro_flange, mbeq, simple_comb, gong, decay,
 bandpass_a_iir

Package: swh-plugins
Version: 0.4.15+1-6
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 1401
Depends: libc6 (>= 2.13-28), libfftw3-3
Provides: ladspa-plugin
Homepage: http://plugin.org.uk/
Priority: optional
Section: sound
Filename: pool/main/s/swh-plugins/swh-plugins_0.4.15+1-6_armhf.deb
Size: 540254
SHA256: 94774d9244aa265aa1e6d2faa4f9f0287cad557f22e114c7d70872a5bdda4515
SHA1: 2ec2e72a98b836b92a3fc3cdbc3ead94afbe02dc
MD5sum: 074beb1cb05e2a51e626555a2e633a16
Description: Steve Harris's LADSPA plugins
 Steve Harris has written a large number of plugins for LADSPA
 compatible hosts (e.g. GLAME, Sweep and ecasound). The plugins
 available are:
 .
 amp, fast overdrive, overdrive (with colourisation), comb filter,
 waveshaper, ringmod, divider, diode, decliper, pitch scaler,
 16 band equaliser, sinus wavewrapper, hermes filter, chorus,
 flanger, decimater, oscillator, gverb, phasers, harmonic generators,
 surround encoders and more.

Package: swi-prolog
Version: 5.10.4-5
Architecture: armhf
Maintainer: Євгеній Мещеряков 
Installed-Size: 36
Depends: swi-prolog-nox (= 5.10.4-5), swi-prolog-x (= 5.10.4-5)
Suggests: swi-prolog-doc, prolog-el
Homepage: http://www.swi-prolog.org
Priority: optional
Section: interpreters
Filename: pool/main/s/swi-prolog/swi-prolog_5.10.4-5_armhf.deb
Size: 12568
SHA256: 51b6541bbaeccfca6cf9e1de57f6f76adb78020655989064150b2cdf6ee4da8b
SHA1: c5eebd8d899b5fc330054926435bf0aabe57d73a
MD5sum: 07f1d1312e3f932e5e0c72b35f67cde1
Description: ISO/Edinburgh-style Prolog interpreter
 SWI-Prolog is a fast and powerful ISO/Edinburgh-style Prolog compiler with a
 rich set of built-in predicates. It offers a fast, robust and small
 environment which enables substantial applications to be developed with it.
 .
 SWI-Prolog additionally offers:
 .
  * A powerful module system
  * Garbage collection
  * Unicode character set handling
  * Unbounted integer and rational number arithmetic
  * Multithreading support
  * A powerful C/C++ interface
  * GNU Readline interface

Package: swi-prolog-doc
Version: 5.6.59-1
Installed-Size: 7852
Maintainer: Chris Lamb 
Architecture: all
Size: 3780226
SHA256: 4e2912ae276302bda10328a4ccd9c04890304cabe09a43f11157dd648e48b01d
SHA1: ed0e5eda27ff0305f3674e8afb71cdb4f357d5fc
MD5sum: f36341285106a8fd25b7819fa48bb92a
Description: Documentation for SWI-Prolog interpreter and XPCE
 SWI-Prolog is a Prolog implementation based on a subset of the WAM.
 It is accompanied by XPCE, a symbolic programming environment for
 user interfaces.
 .
 This package contains the documentation in PDF and HTML format for
 SWI-Prolog and XPCE/Prolog.
Homepage: http://www.swi-prolog.org
Tag: devel::doc, devel::lang:prolog, made-of::html, made-of::pdf,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/swi-prolog-doc/swi-prolog-doc_5.6.59-1_all.deb

Package: swi-prolog-nox
Source: swi-prolog
Version: 5.10.4-5
Architecture: armhf
Maintainer: Євгеній Мещеряков 
Installed-Size: 11203
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgmp10, libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libtinfo5, libgmp3-dev, libreadline-dev, libncurses5-dev
Suggests: swi-prolog-doc, prolog-el
Breaks: swi-prolog (<< 5.8.2-1)
Replaces: swi-prolog-clib, swi-prolog-http, swi-prolog-semweb, swi-prolog-sgml, swi-prolog-table
Homepage: http://www.swi-prolog.org
Priority: optional
Section: interpreters
Filename: pool/main/s/swi-prolog/swi-prolog-nox_5.10.4-5_armhf.deb
Size: 2268976
SHA256: dd5af5d68bea938d79cbd171970bbbd129f4509d3fd754a63fd5f66914b8afc7
SHA1: 3a6c5ed8ed5d58e01aa1487651b5ddecf55b9df4
MD5sum: d206d2a1c2a55e55d5e8efb1a5f717b8
Description: ISO/Edinburgh-style Prolog interpreter (without X support)
 SWI-Prolog is a fast and powerful ISO/Edinburgh-style Prolog compiler with a
 rich set of built-in predicates. It offers a fast, robust and small
 environment which enables substantial applications to be developed with it.
 .
 SWI-Prolog additionally offers:
 .
  * A powerful module system
  * Garbage collection
  * Unicode character set handling
  * Unbounted integer and rational number arithmetic
  * Multithreading support
  * A powerful C/C++ interface
  * GNU Readline interface
 .
 This package contains a working SWI-Prolog installation with GUI components.

Package: swi-prolog-odbc
Source: swi-prolog
Version: 5.10.4-5
Architecture: armhf
Maintainer: Євгеній Мещеряков 
Installed-Size: 108
Depends: libc6 (>= 2.13-28), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), swi-prolog-nox (= 5.10.4-5)
Homepage: http://www.swi-prolog.org
Priority: optional
Section: interpreters
Filename: pool/main/s/swi-prolog/swi-prolog-odbc_5.10.4-5_armhf.deb
Size: 32388
SHA256: 30a2328418d0acecd537d9ba360267d8afafb911812cf4496d73fed873eecfc5
SHA1: f5a66208e0ffb3094112d07394c0017bd056a245
MD5sum: 17891094724fa8551a0b3218fccdcde8
Description: ODBC library for SWI-Prolog
 SWI-Prolog is a fast and powerful ISO/Edinburgh-style Prolog compiler with a
 rich set of built-in predicates. It offers a fast, robust and small
 environment which enables substantial applications to be developed with it.
 .
 SWI-Prolog additionally offers:
 .
  * A powerful module system
  * Garbage collection
  * Unicode character set handling
  * Unbounted integer and rational number arithmetic
  * Multithreading support
  * A powerful C/C++ interface
  * GNU Readline interface
 .
 This package provides a foreign language extension to ODBC, a standard for
 interfacing with database systems.

Package: swi-prolog-x
Source: swi-prolog
Version: 5.10.4-5
Architecture: armhf
Maintainer: Євгеній Мещеряков 
Installed-Size: 10244
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libsm6, libx11-6, libxext6, libxft2 (>> 2.1.1), libxinerama1, libxpm4, libxt6, swi-prolog-nox (= 5.10.4-5)
Replaces: swi-prolog-xpce
Homepage: http://www.swi-prolog.org
Priority: optional
Section: interpreters
Filename: pool/main/s/swi-prolog/swi-prolog-x_5.10.4-5_armhf.deb
Size: 2049760
SHA256: a476dc70e9be3f7fbeb0ada24ba1d2b30a3c3793e5664f0102255ab8f08ae86a
SHA1: c6efbedc26f9d916ce07ea5dd3112a7621acfad8
MD5sum: ef353fd25a7c08503e3cc5adcc753fd5
Description: User interface library for SWI-Prolog (with X support)
 SWI-Prolog is a fast and powerful ISO/Edinburgh-style Prolog compiler with a
 rich set of built-in predicates. It offers a fast, robust and small
 environment which enables substantial applications to be developed with it.
 .
 SWI-Prolog additionally offers:
 .
  * A powerful module system
  * Garbage collection
  * Unicode character set handling
  * Unbounted integer and rational number arithmetic
  * Multithreading support
  * A powerful C/C++ interface
  * GNU Readline interface
 .
 XPCE is an object-oriented symbolic programming environment for user
 interfaces. Although XPCE was designed to be language-independent, it has
 gained popularity most with Prolog.

Package: swift
Version: 1.4.8-2+deb7u1
Installed-Size: 198
Maintainer: PKG OpenStack 
Architecture: all
Depends: python-swift (= 1.4.8-2+deb7u1), python
Size: 41604
SHA256: c854d077cacc9df9885586e4d3624847fcc3e86594dd84cd3923ff663cd2823a
SHA1: 99fd01b0ffda6c3fed2200024ae8561077d4858f
MD5sum: 745f540450521d793d4f7a4fef9536b1
Description: OpenStack Object Storage - common files
 OpenStack Object Storage (code-named Swift) is open source software for
 creating redundant, scalable object storage using clusters of standardized
 servers to store petabytes of accessible data. It is not a file system or
 real-time data storage system, but rather a long-term storage system for a
 more permanent type of static data that can be retrieved, leveraged, and then
 updated if necessary. Primary examples of data that best fit this type of
 storage model are virtual machine images, photo storage, email storage and
 backup archiving. Having no central "brain" or master point of control
 provides greater scalability, redundancy and permanence.
 .
 Objects are written to multiple hardware devices in the data center, with
 the OpenStack software responsible for ensuring data replication and
 integrity across the cluster. Storage clusters can scale horizontally by
 adding new nodes. Should a node fail, OpenStack works to replicate its
 content from other active nodes. Because OpenStack uses software logic to
 ensure data replication and distribution across different devices,
 inexpensive commodity hard drives and servers can be used in lieu of more
 expensive equipment.
 .
 This package provides some core binaries and clients to control swift.
Homepage: http://launchpad.net/swift
Section: net
Priority: optional
Filename: pool/main/s/swift/swift_1.4.8-2+deb7u1_all.deb

Package: swift-account
Source: swift
Version: 1.4.8-2+deb7u1
Installed-Size: 68
Maintainer: PKG OpenStack 
Architecture: all
Depends: lsb-base (>= 3.0-6), swift, python-swift (= 1.4.8-2+deb7u1), rsync, python
Size: 11524
SHA256: 33418ea49db08898f1c5549e5a7e4f5f9d0a5cede336b4a76a70984eed6300d4
SHA1: 9f23260c937015828203c735d89d37bbf9405c6e
MD5sum: 486651afe7d8587dda8bad128ee052c1
Description: OpenStack Object Storage - account server
 OpenStack Object Storage (code-named Swift) is open source software for
 creating redundant, scalable object storage using clusters of standardized
 servers to store petabytes of accessible data. It is not a file system or
 real-time data storage system, but rather a long-term storage system for a
 more permanent type of static data that can be retrieved, leveraged, and then
 updated if necessary. Primary examples of data that best fit this type of
 storage model are virtual machine images, photo storage, email storage and
 backup archiving. Having no central "brain" or master point of control
 provides greater scalability, redundancy and permanence.
 .
 Objects are written to multiple hardware devices in the data center, with
 the OpenStack software responsible for ensuring data replication and
 integrity across the cluster. Storage clusters can scale horizontally by
 adding new nodes. Should a node fail, OpenStack works to replicate its
 content from other active nodes. Because OpenStack uses software logic to
 ensure data replication and distribution across different devices,
 inexpensive commodity hard drives and servers can be used in lieu of more
 expensive equipment.
 .
 This package provides the swift account server.
Homepage: http://launchpad.net/swift
Section: net
Priority: optional
Filename: pool/main/s/swift/swift-account_1.4.8-2+deb7u1_all.deb

Package: swift-container
Source: swift
Version: 1.4.8-2+deb7u1
Installed-Size: 69
Maintainer: PKG OpenStack 
Architecture: all
Depends: lsb-base (>= 3.0-6), swift, python-swift (= 1.4.8-2+deb7u1), rsync, python
Size: 11368
SHA256: f732b6250d0cce461fb03ab8b9ff65607eb6f37934fea74f349c0b65ce75568c
SHA1: b0436205f144963d124ce8921f4fda7786e4a608
MD5sum: 1ee0cacfb6247803d50c142b27edbdcf
Description: OpenStack Object Storage - container server
 OpenStack Object Storage (code-named Swift) is open source software for
 creating redundant, scalable object storage using clusters of standardized
 servers to store petabytes of accessible data. It is not a file system or
 real-time data storage system, but rather a long-term storage system for a
 more permanent type of static data that can be retrieved, leveraged, and then
 updated if necessary. Primary examples of data that best fit this type of
 storage model are virtual machine images, photo storage, email storage and
 backup archiving. Having no central "brain" or master point of control
 provides greater scalability, redundancy and permanence.
 .
 Objects are written to multiple hardware devices in the data center, with
 the OpenStack software responsible for ensuring data replication and
 integrity across the cluster. Storage clusters can scale horizontally by
 adding new nodes. Should a node fail, OpenStack works to replicate its
 content from other active nodes. Because OpenStack uses software logic to
 ensure data replication and distribution across different devices,
 inexpensive commodity hard drives and servers can be used in lieu of more
 expensive equipment.
 .
 This package provides the swift container server.
Homepage: http://launchpad.net/swift
Section: net
Priority: optional
Filename: pool/main/s/swift/swift-container_1.4.8-2+deb7u1_all.deb

Package: swift-doc
Source: swift
Version: 1.4.8-2+deb7u1
Installed-Size: 1256
Maintainer: PKG OpenStack 
Architecture: all
Depends: libjs-jquery, libjs-underscore
Size: 255802
SHA256: 3aa30d2ed67cd69cac149036f863f15a693ba1696a514cec8a4a5a93163e010c
SHA1: dd5ffa91a9c8859d5bd8bbd5c56f99a27697ac6b
MD5sum: b8b2ce9623d09ddf0c08354d9f84d023
Description: OpenStack Object Storage - documentation
 OpenStack Object Storage (code-named Swift) is open source software for
 creating redundant, scalable object storage using clusters of standardized
 servers to store petabytes of accessible data. It is not a file system or
 real-time data storage system, but rather a long-term storage system for a
 more permanent type of static data that can be retrieved, leveraged, and then
 updated if necessary. Primary examples of data that best fit this type of
 storage model are virtual machine images, photo storage, email storage and
 backup archiving. Having no central "brain" or master point of control
 provides greater scalability, redundancy and permanence.
 .
 Objects are written to multiple hardware devices in the data center, with
 the OpenStack software responsible for ensuring data replication and
 integrity across the cluster. Storage clusters can scale horizontally by
 adding new nodes. Should a node fail, OpenStack works to replicate its
 content from other active nodes. Because OpenStack uses software logic to
 ensure data replication and distribution across different devices,
 inexpensive commodity hard drives and servers can be used in lieu of more
 expensive equipment.
 .
 This package provides the Sphinx generated documentation for Swift.
Homepage: http://launchpad.net/swift
Section: doc
Priority: optional
Filename: pool/main/s/swift/swift-doc_1.4.8-2+deb7u1_all.deb

Package: swift-im
Version: 2.0~beta1+dev47-1
Architecture: armhf
Maintainer: Swift Package Maintainers 
Installed-Size: 2839
Depends: libswiften2 (= 2.0~beta1+dev47-1), libboost-date-time1.49.0 (>= 1.49.0-1), libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-signals1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libidn11 (>= 1.13), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libx11-6, libxml2 (>= 2.6.27), libxss1, zlib1g (>= 1:1.1.4)
Homepage: http://swift.im
Priority: optional
Section: net
Filename: pool/main/s/swift-im/swift-im_2.0~beta1+dev47-1_armhf.deb
Size: 1096778
SHA256: 780b5d055f4f7ed0f68798ea97cc474081c09e786a57b8fe750be6d6101edc9b
SHA1: dd367cee969d4a85e91ae2bd74f3f67cd4e35773
MD5sum: 31baa2faad8020c96a870004dba08cd0
Description: easy to use Jabber/XMPP chat client
 Swift is a free instant messaging client. It concentrates on making
 the most-used features easily accessible, supporting
 internationalisation, private and group chats, together with features
 for security-conscious organisations including Security Labelling.
 .
 Swift uses the XMPP protocol and so supports both the public Jabber
 network and closed XMPP services, such as those found in many
 organisations.

Package: swift-im-dbg
Source: swift-im
Version: 2.0~beta1+dev47-1
Architecture: armhf
Maintainer: Swift Package Maintainers 
Installed-Size: 136674
Depends: swift-im (= 2.0~beta1+dev47-1)
Homepage: http://swift.im
Priority: extra
Section: debug
Filename: pool/main/s/swift-im/swift-im-dbg_2.0~beta1+dev47-1_armhf.deb
Size: 48600352
SHA256: 17c390b77015a0d0417b868e17d39c8a42e65ea6ac66912a149788cfee52a489
SHA1: e2789064966fc8f28619d6794f5b23bf83f32228
MD5sum: 7b40b5afd635b7b75d7cd9fd17c56c3c
Description: XMPP client/library (debugging symbols)
 This package contains the debugging symbols for debugging Swift
 and Swiften applications.

Package: swift-object
Source: swift
Version: 1.4.8-2+deb7u1
Installed-Size: 71
Maintainer: PKG OpenStack 
Architecture: all
Depends: lsb-base (>= 3.0-6), swift, python-swift (= 1.4.8-2+deb7u1), rsync, python
Size: 13036
SHA256: 893cee1630d1534d8ba1df0dc40b8017651209c9fe7bdd6ccf2bc89ba1de9975
SHA1: d2ff33959ef90f57a92835982b617667895e954a
MD5sum: 07fd57d69b0630fec3d636ef95c07fb1
Description: OpenStack Object Storage - object server
 OpenStack Object Storage (code-named Swift) is open source software for
 creating redundant, scalable object storage using clusters of standardized
 servers to store petabytes of accessible data. It is not a file system or
 real-time data storage system, but rather a long-term storage system for a
 more permanent type of static data that can be retrieved, leveraged, and then
 updated if necessary. Primary examples of data that best fit this type of
 storage model are virtual machine images, photo storage, email storage and
 backup archiving. Having no central "brain" or master point of control
 provides greater scalability, redundancy and permanence.
 .
 Objects are written to multiple hardware devices in the data center, with
 the OpenStack software responsible for ensuring data replication and
 integrity across the cluster. Storage clusters can scale horizontally by
 adding new nodes. Should a node fail, OpenStack works to replicate its
 content from other active nodes. Because OpenStack uses software logic to
 ensure data replication and distribution across different devices,
 inexpensive commodity hard drives and servers can be used in lieu of more
 expensive equipment.
 .
 This package provides the swift object server.
Homepage: http://launchpad.net/swift
Section: net
Priority: optional
Filename: pool/main/s/swift/swift-object_1.4.8-2+deb7u1_all.deb

Package: swift-proxy
Source: swift
Version: 1.4.8-2+deb7u1
Installed-Size: 57
Maintainer: PKG OpenStack 
Architecture: all
Depends: lsb-base (>= 3.0-6), swift, python-swift (= 1.4.8-2+deb7u1), python
Size: 12704
SHA256: 3e07aee8a33cb1d3c589eb8863365d8e66f1bb4df616bd09ffb70fce395b7e46
SHA1: 37c0557289654b24f6d210a99e34538991fd0780
MD5sum: f2e7c954a87246aced03f30e92fb9034
Description: OpenStack Object Storage - proxy server
 OpenStack Object Storage (code-named Swift) is open source software for
 creating redundant, scalable object storage using clusters of standardized
 servers to store petabytes of accessible data. It is not a file system or
 real-time data storage system, but rather a long-term storage system for a
 more permanent type of static data that can be retrieved, leveraged, and then
 updated if necessary. Primary examples of data that best fit this type of
 storage model are virtual machine images, photo storage, email storage and
 backup archiving. Having no central "brain" or master point of control
 provides greater scalability, redundancy and permanence.
 .
 Objects are written to multiple hardware devices in the data center, with
 the OpenStack software responsible for ensuring data replication and
 integrity across the cluster. Storage clusters can scale horizontally by
 adding new nodes. Should a node fail, OpenStack works to replicate its
 content from other active nodes. Because OpenStack uses software logic to
 ensure data replication and distribution across different devices,
 inexpensive commodity hard drives and servers can be used in lieu of more
 expensive equipment.
 .
 This package provides a proxy server on which clients can connect to store
 object into Swift.
Homepage: http://launchpad.net/swift
Section: net
Priority: optional
Filename: pool/main/s/swift/swift-proxy_1.4.8-2+deb7u1_all.deb

Package: swig
Source: swig2.0
Version: 2.0.7-3
Architecture: armhf
Maintainer: Torsten Landschoff 
Installed-Size: 301
Depends: swig2.0 (>= 2.0.7-3)
Suggests: swig-doc, swig-examples
Conflicts: swig1.3
Replaces: swig1.3
Homepage: http://www.swig.org/
Priority: optional
Section: interpreters
Filename: pool/main/s/swig2.0/swig_2.0.7-3_armhf.deb
Size: 277004
SHA256: 74af83bebb50aeeaec675f6b36e2d4a5d83a4c437439b71b24d7490ee75fd4db
SHA1: 11ac561cd4e9f128e69c65ef18cd587fd943c49a
MD5sum: a0a6eb19f462189f5b4d8cf138c140c7
Description: Generate scripting interfaces to C/C++ code
 SWIG is a compiler that makes it easy to integrate C and C++ code
 with other languages including Perl, PHP, Tcl, Ruby, Python, Java,
 Guile, Mzscheme, Chicken, OCaml, Pike, and C#.
 .
 Swig takes a set of ANSI C/C++ declarations and generates an
 interface for them to your favorite scripting language.
 .
 This is a dependency package providing the stable version of SWIG.

Package: swig-doc
Source: swig2.0
Version: 2.0.7-3
Installed-Size: 292
Maintainer: Torsten Landschoff 
Architecture: all
Replaces: swig1.3-doc
Depends: swig2.0-doc (>= 2.0.0-1)
Conflicts: swig1.3-doc
Size: 271632
SHA256: 89f4868a7cad709dfddba08b955868fca418c7df472aaf4f9f027382338d4bd8
SHA1: 3e106df7f8cd697177cc3559061254f4aa1f8b3b
MD5sum: 660d55e332c0c3e115f4c182ccbfc0da
Description: HTML documentation for SWIG
 This is a dependency package providing the documentation of the default
 version of SWIG in Debian.
Homepage: http://www.swig.org/
Tag: devel::doc, devel::lang:c, devel::lang:c++, made-of::html,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/swig2.0/swig-doc_2.0.7-3_all.deb

Package: swig-examples
Source: swig2.0
Version: 2.0.7-3
Installed-Size: 292
Maintainer: Torsten Landschoff 
Architecture: all
Replaces: swig1.3-examples
Depends: swig2.0-examples (>= 2.0.0-1)
Conflicts: swig1.3-examples
Size: 271650
SHA256: e8bb9dc9261d6e2cdebcae69b531cb4e2f3d809d22f6e1aae54359f9477d188b
SHA1: 77b49312b95b468fb379e3456ca56f8f22d588d5
MD5sum: 1604b8aacae3a0327a9b6ab97f5642e7
Description: Examples for applications of SWIG
 This is a dependency package providing the examples of the default
 version of SWIG in Debian.
Homepage: http://www.swig.org/
Tag: devel::examples, devel::lang:c, devel::lang:c++, interface::commandline,
 role::documentation, role::program, scope::utility
Section: interpreters
Priority: optional
Filename: pool/main/s/swig2.0/swig-examples_2.0.7-3_all.deb

Package: swig2.0
Version: 2.0.7-3
Architecture: armhf
Maintainer: Torsten Landschoff 
Installed-Size: 4030
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4)
Suggests: swig2.0-examples, swig2.0-doc
Breaks: swig (<< 2.0.4-3.1)
Replaces: swig (<< 2.0.4-3.1)
Homepage: http://www.swig.org/
Priority: optional
Section: interpreters
Filename: pool/main/s/swig2.0/swig2.0_2.0.7-3_armhf.deb
Size: 1378174
SHA256: 81ca03a3e27e69c53159543d0393864f5dae204cb938fa3836b4c01fef3862e6
SHA1: 323d51da92ff06960efdcd3b2734e3d3e6ca2bae
MD5sum: c483c21176b2a136cec04848040d9da4
Description: Generate scripting interfaces to C/C++ code
 SWIG is a compiler that makes it easy to integrate C and C++ code
 with other languages including Perl, PHP, Tcl, Ruby, Python, Java,
 Guile, Mzscheme, Chicken, OCaml, Pike, and C#.
 .
 Swig takes a set of ANSI C/C++ declarations and generates an
 interface for them to your favorite scripting language.

Package: swig2.0-doc
Source: swig2.0
Version: 2.0.7-3
Installed-Size: 6518
Maintainer: Torsten Landschoff 
Architecture: all
Size: 2800870
SHA256: 09948373f53b26b7d49a822695607634770fef7735b4f523fd7ee30961555c54
SHA1: 7ac4daf0d4bc3de5ea182d59fa7e39fc029c1e36
MD5sum: 1af1d09d69c0322822cdcf6b2f6b2afa
Description: HTML documentation for SWIG
 Contains the users' and developers' manuals for SWIG (Simplified
 Wrapper Interface Generator) 2.0 in HTML format.
Homepage: http://www.swig.org/
Tag: devel::doc, devel::lang:c, devel::lang:c++, made-of::html,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/swig2.0/swig2.0-doc_2.0.7-3_all.deb

Package: swig2.0-examples
Source: swig2.0
Version: 2.0.7-3
Installed-Size: 3875
Maintainer: Torsten Landschoff 
Architecture: all
Depends: swig2.0
Size: 1274314
SHA256: 365cfbb92f2b0ea616e6b4b38a96f9aa61e5be2ede3ba3c41805afa273b86293
SHA1: 64a2954c31c7123a6963609caa8e2db2b244ebef
MD5sum: 6576a7712b48a98c94cae94656bf7b1f
Description: Examples for applications of SWIG
 Contains examples for applications of SWIG, a wrapper interface
 generator to integrate C code into scripting languages.
Homepage: http://www.swig.org/
Tag: devel::doc, devel::examples, role::documentation
Section: interpreters
Priority: optional
Filename: pool/main/s/swig2.0/swig2.0-examples_2.0.7-3_all.deb

Package: swish++
Version: 6.1.5-2.2
Architecture: armhf
Maintainer: Kapil Hari Paranjape 
Installed-Size: 521
Depends: libc6 (>= 2.13-28), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4), perl5
Suggests: xpdf-utils, antiword
Homepage: http://swishplusplus.sourceforge.net/
Priority: optional
Section: web
Filename: pool/main/s/swish++/swish++_6.1.5-2.2_armhf.deb
Size: 286718
SHA256: 0c83ff6b87ac14fe4c9f02d1c81773f71ab8db3621d943e5884628f88e9eae2e
SHA1: 4ede37fc0ab0a458a5c5b94990792106d1fbb063
MD5sum: eb9459890e77de44c16664641627ce07
Description: Simple Document Indexing System for Humans: C++ version
 SWISH++ is a Unix-based file indexing and searching engine
 (typically used to index and search files on web sites).  It
 was based on SWISH-E although SWISH++ is a complete rewrite.
 .
 SWISH++ features:
   * Lightning-fast indexing
   * Indexes META elements, ALT, and other attributes
   * Selectively not index text within HTML or XHTML elements
   * Intelligently index mail and news files
   * Index Unix manual page files
   * Apply filters to files on-the-fly prior to indexing
   * Index non-text files such as Microsoft Office documents
   * Modular indexing architecture
   * Index new files incrementally
   * Index remote web sites
   * Handles large collections of files
   * Lightning-fast searching
   * Optional word stemming (suffix stripping)
   * Ability to run as a search server
   * Easy-to-parse results format

Package: swish-e
Version: 2.4.7-3
Architecture: armhf
Maintainer: Ludovic Drolez 
Installed-Size: 2495
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, perl (>= 5.14.2-9), perlapi-5.14.2
Recommends: libmime-types-perl, libtemplate-perl, libdate-calc-perl
Suggests: libwww-perl, libhtml-parser-perl, xpdf-utils, libmp3-tag-perl, hypermail, wv, catdoc
Priority: optional
Section: web
Filename: pool/main/s/swish-e/swish-e_2.4.7-3_armhf.deb
Size: 935288
SHA256: a08d93b3a34490b75ff8bc0ca6d6461c0957139aece128eb7db582653cd1fced
SHA1: cde38457ab5367fe6f6da6b2e2e3f3d392764ceb
MD5sum: 6fee36932e59081f5c334359ac05c50a
Description: Simple Web Indexing System for Humans - Enhanced
 SWISH-Enhanced is a fast, powerful, flexible, and easy to use system
 for indexing collections of HTML Web pages, or any XML or text files like
 Open Office Documents, Open Document files, emails, and so on.
 .
 Key features:
  * Quickly index a large number of text, HTML, and XML documents
  * Use filters to index any type of files such as PDF, OpenOffice, DOC, XLS,
    PPT, MP3.
  * Includes a web spider for indexing remote documents over HTTP
  * Can use an external program to supply documents including
    records from a relational database.
  * Word stemming, soundex, metaphone, and double-metaphone indexing for
    fuzzy searching
  * Powerful Regular Expressions to select documents for indexing or exclusion
  * Limit searches to parts of documents such as certain HTML tags or to
    XML elements.
  * Index file is portable between platforms.
  * A Swish-e library is provided to allow embedding Swish-e into your
    applications for very fast searching.
 .
 You'll find ready to use examples for indexing the Debian documentation, PDF,
 OpenOffice and MSOffice files, whole Maildir, and more.

Package: swish-e-dev
Source: swish-e
Version: 2.4.7-3
Architecture: armhf
Maintainer: Ludovic Drolez 
Installed-Size: 415
Depends: swish-e (= 2.4.7-3)
Priority: optional
Section: web
Filename: pool/main/s/swish-e/swish-e-dev_2.4.7-3_armhf.deb
Size: 140338
SHA256: c53963152f6bd632044de46f331fa4c8185c255eda42bbc4b86d4e838f7f45bf
SHA1: 38a59a0a0ae1805855b4ce77739e85e06d52a8c2
MD5sum: eb371f34ddf47eaf452f46c6561c9d7c
Description: Simple Web Indexing System for Humans - Enhanced
 Static libraries and headers for developing application with SWISH-Enhanced,
 a fast, powerful, flexible, and easy to use system indexing engine.

Package: swisswatch
Version: 0.6-14
Architecture: armhf
Maintainer: Roland Stigge 
Installed-Size: 98
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxmu6, libxt6
Priority: optional
Section: x11
Filename: pool/main/s/swisswatch/swisswatch_0.6-14_armhf.deb
Size: 22640
SHA256: 45c874813d6fb9b8b3200d5b9c7712eed215b3994ab9d7d25f24206bfa40122c
SHA1: 6b7d892be9d67800a14285ec2e5ad1026cdd492d
MD5sum: e5187be2015677b26d8a5b37d55a5bd9
Description: Swiss Railway Clock for the X Window System
 Swisswatch is a clock for the X desktop.  It relies heavily on resources for
 configuration, and can be adjusted to a wide range of looks.  While it can be
 configured completely via resources and provides looks for a Botta (SFMoMA)
 clock style, an oclock emulation and other appearances, it defaults to the
 style of a Swiss Railway Clock.

Package: switchconf
Version: 0.0.9-2
Installed-Size: 168
Maintainer: Jose Calhariz 
Architecture: all
Depends: lsb-base (>= 3.0-6), liblockfile1
Size: 10656
SHA256: 03cbf3a5a489e7b5214d423ff7ae741d97fb1f2e0d974112dbf54194bce5c2c9
SHA1: e3023d2792936eef7177889aff16d6dc92b66cd4
MD5sum: d8ffbd7e70f0af6dbcfb41778f5ab73f
Description: switch between system configuration sets
 Switchconf allows users to easily change their system's settings,
 choosing between the possible configurations for different
 environments.
 .
 Most switchconf users are laptop owners who want to change their
 network settings according to where they currently are - but there
 are many cases for non mobile systems to desire to choose between
 configuration sets.
 .
 Switchconf is a very simple script that takes its arguments from the
 command line. It allows you to maintain different sets of
 configurations, installing the desired set of configuration when
 called with its name as an argument, and execute a pre-specified set
 of commands before and after the switch.
Tag: hardware::laptop, interface::commandline, use::configuring
Section: admin
Priority: optional
Filename: pool/main/s/switchconf/switchconf_0.0.9-2_all.deb

Package: switchsh
Version: 0~20070801-3
Architecture: armhf
Maintainer: Raphael Geissert 
Installed-Size: 48
Depends: libc6 (>= 2.13-28)
Homepage: http://www.linux.it/~md/software/
Priority: optional
Section: utils
Filename: pool/main/s/switchsh/switchsh_0~20070801-3_armhf.deb
Size: 5776
SHA256: fca617efe5fb4570af6f7536f76c3747599ee5aa08641b74f49f16b19753f37e
SHA1: d1109a137603fa8a8ad4243c32335d94378ce9fd
MD5sum: 86a8e2cd2df4ce74cd00353dbe8e81fe
Description: bind-mount bash as /bin/sh
 Small program to bind-mount bash as /bin/sh for its child processes.
 .
 It can be used when bash is not the default shell interpreter but a given
 program makes use of bashisms (features not required by Policy for sh) and one
 wants to run it without changing (or can not change) the default sh.

Package: sword-comm-mhcc
Version: 1.1-4
Installed-Size: 4027
Maintainer: Daniel Glassey 
Architecture: all
Provides: sword-comm
Size: 1450510
SHA256: 4206472e3f2c7277457ce2bc77386c924b42dbc3a5f4ca02c5d0e384f0eb843a
SHA1: 5220274ccf5ef93243e5bf9bdb5afa34d023d0b7
MD5sum: d2888a786b2cdf4042fe13b072e25165
Description: Matthew Henry Concise Commentary for SWORD
 An abridged version of Matthew Henry's commentary on the whole bible.
 Matthew Henry (1662-1714) was a Presbyterian minister in Chester. His work
 was long celebrated as the best of English commentaries for devotional
 purposes. Such as Whitefield and Spurgeon have used the work and commended
 it heartily.
Tag: field::religion, role::data
Section: text
Priority: optional
Filename: pool/main/s/sword-comm-mhcc/sword-comm-mhcc_1.1-4_all.deb

Package: sword-comm-scofield
Version: 1.0-3
Installed-Size: 840
Maintainer: Roberto C. Sanchez 
Architecture: all
Provides: sword-comm
Enhances: sword-frontend, sword-text-kjv
Size: 406202
SHA256: e6c472852bdfa3e0a9cba605317f9ef70049e7a6381b5a36956b46c96e127d93
SHA1: a7e4932ddeeb8ffc1ddb867e692c740e1178321c
MD5sum: ee16f90ec8a57e95e0335600519b390d
Description: Scofield Reference Notes, 1917 edition for SWORD
 Scofield Reference Notes, 1917 edition based on the version at
 http://bible.crosswalk.com/ with formatting changes and corrections.
 .
 This package requires a libsword version of at least 1.5.1a to access
 through a SWORD front end.  However, this is not declared as a dependency
 because you may have occasion to install just the text without any sort
 of front end or other interface.
Homepage: http://www.crosswire.org/sword/modules/ModInfo.jsp?modName=Scofield
Tag: field::religion, role::app-data
Section: text
Priority: optional
Filename: pool/main/s/sword-comm-scofield/sword-comm-scofield_1.0-3_all.deb

Package: sword-comm-tdavid
Version: 1.1-3
Installed-Size: 5176
Maintainer: Roberto C. Sanchez 
Architecture: all
Provides: sword-comm
Enhances: sword-frontend, sword-text-kjv
Size: 4847514
SHA256: e4756b273e132b391ed4e55ae8c1a4ce0a40aa820a2b2759122080301ea00c24
SHA1: db978dcb7dc8d48843b17a5d399ee9bf0e07d446
MD5sum: 3844e4fd0b0d2067c0eedd6fd5163b8e
Description: C. H. Spurgeon's Treasury of David for SWORD
 This seven volume "magnum opus", by Charles H. Spurgeon, was first
 published in weekly installments over a twenty-year span in the London
 Metropolitan Tabernacle's periodical, The Sword and the Trowel. As each
 section was completed it was published as a volume until the seventh and
 final volume was released in 1885.
 .
 This package requires a libsword version of at least 1.5.1a to access
 through a SWORD front end.  However, this is not declared as a dependency
 because you may have occasion to install just the text without any sort
 of front end or other interface.
Homepage: http://www.crosswire.org/sword/modules/ModInfo.jsp?modName=TDavid
Tag: field::religion, role::app-data
Section: text
Priority: optional
Filename: pool/main/s/sword-comm-tdavid/sword-comm-tdavid_1.1-3_all.deb

Package: sword-dict-naves
Version: 1.1-3
Installed-Size: 1802
Maintainer: Daniel Glassey 
Architecture: all
Provides: sword-dict
Size: 657132
SHA256: 069ef5b628472dd00c5f4073afe6854a5a4d6df48e7dfb4a9a82e8356724230a
SHA1: 5dadb26e39755181d53ca38c3b97d29a3a167273
MD5sum: f2b4be6e6db50c9022a3885f7590c808
Description: Naves Topical Bible for SWORD
 Nave's Topics were originally produced by O.J. Nave while serving
 as a chaplain in the US Army, and published in the early 1900's.
 It consists of 20,000+ topics and sub-topics, and 100,000+ references
 to scripture.
Tag: field::religion, role::data
Section: text
Priority: optional
Filename: pool/main/s/sword-dict-naves/sword-dict-naves_1.1-3_all.deb

Package: sword-dict-strongs-greek
Version: 1.2-3
Installed-Size: 1100
Maintainer: Roberto C. Sanchez 
Architecture: all
Provides: sword-dict
Recommends: sword-dict-strongs-hebrew
Enhances: sword-frontend, sword-text-kjv
Size: 356310
SHA256: 289c0fb7b287820210115c66cf4c188ccec244da4a9a0b36da264298b04f0846
SHA1: f85060c26ba149bcb91a6d51d9df96a6a8fbf2eb
MD5sum: 5aa0bc5fb650b747e0301410e23ce56a
Description: Strong's Greek Bible Dictionary for SWORD
 Dictionary of Greek Words taken from Strong's Exhaustive Concordance by
 James Strong, S.T.D., LL.D. 1890.
 .
 This package requires a libsword version of at least 1.5.1a to access
 through a SWORD front end.  However, this is not declared as a dependency
 because you may have occasion to install just the text without any sort
 of front end or other interface.
Homepage: http://www.crosswire.org/sword/modules/ModInfo.jsp?modName=StrongsGreek
Tag: culture::greek, field::religion, made-of::dictionary, role::app-data
Section: text
Priority: optional
Filename: pool/main/s/sword-dict-strongs-greek/sword-dict-strongs-greek_1.2-3_all.deb

Package: sword-dict-strongs-hebrew
Version: 1.2-3
Installed-Size: 1588
Maintainer: Roberto C. Sanchez 
Architecture: all
Provides: sword-dict
Recommends: sword-dict-strongs-greek
Enhances: sword-frontend, sword-text-kjv
Size: 532736
SHA256: bb89b4ddb1e3c51a4b53ada75ced05b0f59901852d5e20e9c08fbe777b4242e1
SHA1: dd108a55023dc1584a334165c0560d6d76bcfbbb
MD5sum: b7705813c7c6ebfeeb4cae2bc4e2911a
Description: Strong's Hebrew Bible Dictionary for SWORD
 Dictionary of Hebrew Words taken from Strong's Exhaustive Concordance by
 James Strong, S.T.D., LL.D. 1890.
 .
 This package requires a libsword version of at least 1.5.1a to access
 through a SWORD front end.  However, this is not declared as a dependency
 because you may have occasion to install just the text without any sort
 of front end or other interface.
Homepage: http://www.crosswire.org/sword/modules/ModInfo.jsp?modName=StrongsHebrew
Tag: culture::hebrew, field::religion, made-of::dictionary, role::app-data,
 use::learning, works-with::text
Section: text
Priority: optional
Filename: pool/main/s/sword-dict-strongs-hebrew/sword-dict-strongs-hebrew_1.2-3_all.deb

Package: sword-text-kjv
Version: 2.3-2
Installed-Size: 3820
Maintainer: Roberto C. Sanchez 
Architecture: all
Provides: sword-text
Suggests: sword-comm-scofield, sword-comm-tdavid, sword-dict-strongs-greek, sword-dict-strongs-hebrew
Enhances: sword-frontend
Size: 3615892
SHA256: c4581f09d874382840aa0c62438f03a6012d3a27a02ebfdf7aac4a6e8dc7f94d
SHA1: 13cb2715afc99129f5fca04bd962b35774f84e5c
MD5sum: c66288eddb2c8557ff8a281b66cc2282
Description: King James Version with Strongs Numbers for SWORD
 This is the King James Version of the Holy Bible (also known as the
 Authorized Version) with embedded Strong's Numbers. The rights to the
 base text are held by the Crown of England. The Strong's numbers in the
 OT were obtained from The Bible Foundation: http://www.bf.org. The NT
 Strong's data was obtained from The KJV2003 Project at CrossWire:
 http://www.crosswire.org. These mechanisms provide a useful means for
 looking up the exact original language word in a lexicon that is keyed
 to Strong's numbers.
 .
 This package requires a libsword version of at least 1.5.6 to access
 through a SWORD front end.  However, this is not declared as a dependency
 because you may have occasion to install just the text without any sort
 of front end or other interface.
Homepage: http://www.crosswire.org/sword/modules/ModInfo.jsp?modName=KJV
Tag: field::religion, role::data
Section: text
Priority: optional
Filename: pool/main/s/sword-text-kjv/sword-text-kjv_2.3-2_all.deb

Package: sword-text-sparv
Version: 1.5-1
Installed-Size: 1764
Maintainer: Roberto C. Sanchez 
Architecture: all
Provides: sword-text
Size: 1471626
SHA256: a8df269072426ef6ab57f3917eedfd18da4c162d76c578eb3d7d155fd500cd7f
SHA1: bde689a8910ae23ea0a3a48ea31f24b65e1555bb
MD5sum: 7228131af2b144ae97a33dae9ca6c530
Description: Spanish Reina-Valera Bible (1909) for SWORD
 The Reina-Valera Bible (1909) is a Spanish Bible translation based on
 the Textus Receptus.
 .
 This package requires a libsword version of at least 1.5.9 to access
 through a SWORD front end.  However, this is not declared as a dependency
 because you may have occasion to install just the text without any sort
 of front end or other interface.
Homepage: http://www.crosswire.org/sword/modules/ModInfo.jsp?modName=SpaRV
Tag: field::religion, role::app-data
Section: text
Priority: optional
Filename: pool/main/s/sword-text-sparv/sword-text-sparv_1.5-1_all.deb

Package: sword-text-web
Version: 1.4-3
Installed-Size: 4332
Maintainer: Daniel Glassey 
Architecture: all
Provides: sword-text
Size: 1365790
SHA256: 0cf9a7b3ce5756a1d6ed0d811bd001dc1e7f4d311b854270b0dc0ec32aab5dbb
SHA1: 745c90c5a30b9f96f1dd7468db1cbb497ca82077
MD5sum: 0937e450447327252b3942c4ab6a2517
Description: World English Bible (WEB) for SWORD
 The World English Bible is a 1997 revision of the American Standard
 Version of the Holy Bible, first published in 1901.
Tag: field::religion, role::data
Section: text
Priority: optional
Filename: pool/main/s/sword-text-web/sword-text-web_1.4-3_all.deb

Package: sxid
Version: 4.2-1
Architecture: armhf
Maintainer: Timur Birsh 
Installed-Size: 85
Depends: libc6 (>= 2.4), exim4 | mail-transport-agent
Homepage: http://linukz.org/sxid.shtml
Priority: extra
Section: admin
Filename: pool/main/s/sxid/sxid_4.2-1_armhf.deb
Size: 24298
SHA256: 4522e2382210f686d5dfa7297a7d8836d1ee50f9de44e931e5241b53f1dd7237
SHA1: 7d841104db986b0f3e1afeb836c82688ffe5960f
MD5sum: 00a577fe5c26e7d45024d90dbae60ec4
Description: suid, sgid file and directory checking
 This program runs as a cronjob. Basically it tracks any changes in
 your s[ug]id files and folders. If there are any new ones, ones that
 aren't set any more, or they have changed bits or other modes, then it
 reports the changes. You can also run this manually for spot checking.
 .
 It tracks s[ug]id files by md5 checksums. This helps detect if your files
 have been tampered with, would not show under normal name and permissions
 checking. Directories are tracked by inodes.

Package: sxiv
Version: 1.0-1
Architecture: armhf
Maintainer: Daniel Echeverry 
Installed-Size: 91
Depends: libc6 (>= 2.13-28), libimlib2, libx11-6
Suggests: libjpeg-progs, imagemagick
Homepage: https://github.com/muennich/sxiv
Priority: extra
Section: graphics
Filename: pool/main/s/sxiv/sxiv_1.0-1_armhf.deb
Size: 26008
SHA256: 73f8fce66ca074562e7b6af5f1bba3de67ec43df6db8bebba71e896697d05e3d
SHA1: 0391b3d0c255ad3ed254633136870873397d59e6
MD5sum: 155cdeafb6ed45a4c551bc5ab11cb051
Description: simple X image viewer
 sxiv is an alternative to feh and qiv. Its only dependency besides xlib
 is imlib2. The primary goal for writing sxiv is to create an image
 viewer, which only has the most basic features required for fast image
 viewing. It works nicely with tiling window managers and its code base
 should be kept small and clean to make it easy for you to dig into it
 and customize it for your needs.

Package: syfi-dev
Source: syfi
Version: 1.0.0.dfsg-1
Installed-Size: 45
Maintainer: Debian Science Team 
Architecture: all
Depends: libsyfi1.0-dev (>= 1.0.0.dfsg-1)
Size: 6886
SHA256: d3b179195c8033d51005dc5a6d1773ca55434dff6cf9a30617d1b71649946619
SHA1: 13895920a92284cf86b91290cdea9d16fbd9ac23
MD5sum: 3db4fb215a8be5a050b338740197dc01
Description: Empty package depending on latest SyFi development package
 The finite element method (FEM) package SyFi is a C++ library built on top of
 the symbolic math library GiNaC. The name SyFi stands for Symbolic Finite
 Elements. The package provides polygonal domains, polynomial spaces, and
 degrees of freedom as symbolic expressions that are easily manipulated. This
 makes it easy to define finite elements and variational forms. These elements
 and forms are then used to generate efficient C++ code.
 .
 SyFi is similar to FFC in the sense that it has a compiler that generates UFC
 code based on variational forms and finite elements. It is also similar to
 FIAT in the sense that it implements many different finite elements.
 .
 This package will always depend on the most recent version of libsyfiX-dev
 (X=version), so if you install it then you will get upgrades automatically.
Homepage: http://www.fenicsproject.org
Tag: devel::lang:c++, devel::library, field::mathematics,
 implemented-in::c++, role::devel-lib
Section: libdevel
Priority: extra
Filename: pool/main/s/syfi/syfi-dev_1.0.0.dfsg-1_all.deb

Package: syfi-doc
Source: syfi
Version: 1.0.0.dfsg-1
Installed-Size: 2158
Maintainer: Debian Science Team 
Architecture: all
Depends: libsyfi1.0-dev (>= 1.0.0.dfsg-1), sfc (= 1.0.0.dfsg-1)
Size: 1440800
SHA256: 4467dd74c9a3c062801117078a6f894439cc89f5d0dd196981aa0ad2b9eaf5ac
SHA1: bbc1ad72cef432e2ecd0a4ecb1d8f4d63698abe3
MD5sum: 52b21a0c6c0de7ad9af00c0d166c1b91
Description: Finite element method (FEM) C++ library - documentation
 The finite element method (FEM) package SyFi is a C++ library built on top of
 the symbolic math library GiNaC. The name SyFi stands for Symbolic Finite
 Elements. The package provides polygonal domains, polynomial spaces, and
 degrees of freedom as symbolic expressions that are easily manipulated. This
 makes it easy to define finite elements and variational forms. These elements
 and forms are then used to generate efficient C++ code.
 .
 SyFi is similar to FFC in the sense that it has a compiler that generates UFC
 code based on variational forms and finite elements. It is also similar to
 FIAT in the sense that it implements many different finite elements.
 .
 This package contains documentation and demo programs for SyFi.
Homepage: http://www.fenicsproject.org
Tag: field::mathematics, role::documentation
Section: doc
Priority: extra
Filename: pool/main/s/syfi/syfi-doc_1.0.0.dfsg-1_all.deb

Package: sylph-searcher
Version: 1.2.0-7
Architecture: armhf
Maintainer: Hideki Yamane 
Installed-Size: 184
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libmecab2 (>= 0.99.3-3), libpango1.0-0 (>= 1.14.0), libpq5, libsylph1 (>= 1.1.0), mecab-naist-jdic | mecab-ipadic
Suggests: sylpheed | claws-mail | mutt | wl
Homepage: http://sylpheed.sraoss.jp/
Priority: extra
Section: mail
Filename: pool/main/s/sylph-searcher/sylph-searcher_1.2.0-7_armhf.deb
Size: 53594
SHA256: 2038f45638dcb7f99157a1a651b4c81473350d6cbe4c62c037912094bd108611
SHA1: 0f294ddd72801c2aca88538e417504742eff5a13
MD5sum: b7bdd80947e488f97dc475c928c53e64
Description: Full-text search program for Sylpheed or MH folders
 Sylph-Searcher is a Full-text search program for messages stored in the
 mailboxes of Sylpheed, or generic MH folders.

Package: sylpheed
Version: 3.2.0-1
Architecture: armhf
Maintainer: Ricardo Mones 
Installed-Size: 1732
Pre-Depends: multiarch-support
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcompfaceg1, libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libenchant1c2a (>= 1.6), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgpg-error0 (>= 1.10), libgpgme11 (>= 1.2.0), libgtk2.0-0 (>= 2.24.0), libgtkspell0 (>= 2.0.10), libldap-2.4-2 (>= 2.4.7), libonig2 (>= 5.2.0), libpango1.0-0 (>= 1.14.0), libpisock9, libssl1.0.0 (>= 1.0.0)
Recommends: sylpheed-i18n, xfonts-100dpi | xfonts-75dpi | xfonts-100dpi-transcoded | xfonts-75dpi-transcoded, aspell-en | aspell-dictionary, ca-certificates
Suggests: jpilot, sylpheed-doc (>= 20020420-3), claws-mail-tools, bogofilter, bsfilter, curl
Provides: mail-reader, news-reader
Homepage: http://sylpheed.sraoss.jp/en/
Priority: optional
Section: mail
Filename: pool/main/s/sylpheed/sylpheed_3.2.0-1_armhf.deb
Size: 863016
SHA256: 1f19220fe8f6322c151ec64b37b5e1f3c08c3d0f69a9605eb057f1b47f1c7d2b
SHA1: ccfdc6a00f8d39cf6b0c71ae25bb7b61cd30a39c
MD5sum: 96cb217dd46b6dd3b71833ed24b7c031
Description: Light weight e-mail client with GTK+
 Sylpheed is an e-mail client which aims for:
  * Quick response
  * Graceful, and sophisticated interface
  * Easy configuration, intuitive operation
  * Abundant features
 The appearance and interface are similar to some popular e-mail clients for
 Windows, such as Outlook Express or so.
 The interface is also designed to emulate the mailers on Emacsen, and almost
 all commands are accessible with the keyboard.

Package: sylpheed-dbg
Source: sylpheed
Version: 3.2.0-1
Architecture: armhf
Maintainer: Ricardo Mones 
Installed-Size: 2930
Depends: sylpheed (= 3.2.0-1)
Recommends: gdb
Homepage: http://sylpheed.sraoss.jp/en/
Priority: extra
Section: debug
Filename: pool/main/s/sylpheed/sylpheed-dbg_3.2.0-1_armhf.deb
Size: 2668440
SHA256: e93f69716b41e0408e40baccea7d3606e28997846898cd66014dccddf0078e57
SHA1: 327c44f7ad52c8536b2f3c0840b8e9218d51e867
MD5sum: 69f1a29b3933777b6509aa42f944231e
Description: Debugging symbols for sylpheed, a GTK+ e-mail client
 This package contains debug symbols useful for debugging sylpheed
 with gdb or any compatible debugger.
 .
 It is also required if you intend to provide detailed backtraces for
 helping the maintainer and/or upstream to fix some bug.

Package: sylpheed-doc
Version: 20120629-1
Installed-Size: 1296
Maintainer: Ricardo Mones 
Architecture: all
Size: 550108
SHA256: 5a4b5451d19c3dee623dc0dc407448e9310442e62d921a412bbc3bd9fa0460a3
SHA1: efa7d641327bde262f848ef1ec25cefd8a60427f
MD5sum: cdf546256399677b052d0e9bab5f185d
Description: Light weight e-mail client with GTK+ (documentation)
 This package holds the documentation for sylpheed mailer. It is an
 HTML manual which describes all the features of sylpheed.
 .
 All translations are included in this package.
Homepage: http://sylpheeddoc.sourceforge.net/
Tag: made-of::html, mail::user-agent, role::documentation, works-with::mail
Section: doc
Priority: optional
Filename: pool/main/s/sylpheed-doc/sylpheed-doc_20120629-1_all.deb

Package: sylpheed-i18n
Source: sylpheed
Version: 3.2.0-1
Installed-Size: 4067
Maintainer: Ricardo Mones 
Architecture: all
Depends: sylpheed (>= 3.2.0-1)
Size: 1418760
SHA256: 922bdbf8bcf32bac81b722ac6d0128afac7c8b9073a8a8139e321949c29339a8
SHA1: babf28d8b03f84814e1d60128765bb512ee8ebf1
MD5sum: 717fa8a7b6739de0fddacd8750eeeba1
Description: Locale data for Sylpheed (i18n support)
 This package provides support for non-English interfaces in the Sylpheed
 mail client.
 Current supported locales are:
  be (Belarusian)              bg (Bulgarian)      cs (Czech)
  da (Danish)                  de (German)         el (Greek)
  es (Spanish)                 et (Estonian)       fr (French)
  gl (Galician)                hr (Croatian)       hu (Hungarian)
  it (Italian)                 ja (Japanese)       ko (Korean)
  lt (Lithuanian)              nl (Dutch)          pl (Polish)
  pt_BR (Brazilian Portuguese) ro (Romanian)       ru (Russian)
  sk (Slovak)                  sl (Slovenian)      sr (Serbian)
  sv (Swedish)                 tr (Turkish)        uk (Ukrainian)
  vi (Vietnamese)              zh_CN (Chinese)     zh_TW.Big5 (Taiwanese)
Homepage: http://sylpheed.sraoss.jp/en/
Tag: role::app-data
Section: localization
Priority: optional
Filename: pool/main/s/sylpheed/sylpheed-i18n_3.2.0-1_all.deb

Package: sylpheed-plugins
Source: sylpheed
Version: 3.2.0-1
Architecture: armhf
Maintainer: Ricardo Mones 
Installed-Size: 130
Pre-Depends: multiarch-support
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcompfaceg1, libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libenchant1c2a (>= 1.6), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.8.0), libgtkspell0 (>= 2.0.10), libonig2 (>= 5.2.0), libpango1.0-0 (>= 1.14.0), libpisock9, libssl1.0.0 (>= 1.0.0), sylpheed (= 3.2.0-1)
Homepage: http://sylpheed.sraoss.jp/en/
Priority: optional
Section: mail
Filename: pool/main/s/sylpheed/sylpheed-plugins_3.2.0-1_armhf.deb
Size: 83412
SHA256: 702deb49a5b6edf8090147f371c59fe55b22435942a8dd0677246c9eb4e0bc73
SHA1: 53b9c26ab4ef0bb6e00ac4689e1fc023b37e4c74
MD5sum: dfd020f58cfca6380e74821963fb98ed
Description: Loadable modules for extending Sylpheed features
 This package comprises all loadable plugins which are included
 with Sylpheed sources. Plugins extend Sylpheed by providing new
 features and/or capabilities not present in core application.
 .
 Currently only the unique existing plugin is included:
  Attachment Tool: a plugin for dealing with attachments in messages.

Package: sylseg-sk
Version: 0.7-1
Installed-Size: 624
Maintainer: Ďoďo Ivanecký 
Architecture: all
Depends: perl (>= 5.6.0-16)
Suggests: konwert
Size: 131136
SHA256: d51c85e8ce5b3750b3c8de1aca852d23345c26e137fd6e0c1cfefa33e8fa606c
SHA1: 6a0062f5a1e2fd1072de8bf6d98bc5deae432b5b
MD5sum: a7bee95657496e6543b7485b5bc1e912
Description: Syllabic segmentation for Slovak language
 sylseg-sk implements one of the statistical approaches for the
 syllabic segmentaion. Each input word is segmented into the
 syllables. The several possible segmentations are generated
 and sorted by the likelihood. Included are trained data
 for Slovak language.
Tag: culture::slovak
Section: text
Priority: extra
Filename: pool/main/s/sylseg-sk/sylseg-sk_0.7-1_all.deb

Package: symlinks
Version: 1.4-1
Architecture: armhf
Maintainer: Sven Joachim 
Installed-Size: 59
Depends: libc6 (>= 2.4)
Priority: optional
Section: utils
Filename: pool/main/s/symlinks/symlinks_1.4-1_armhf.deb
Size: 10556
SHA256: 8133dbbcf86390b25954b160967c39d6f09eef0d384a7d98f46bcb3c85840d82
SHA1: 7b3b98dfe27f89c1df77d63bfeceea8c3690e178
MD5sum: d7222c0377d93005f093353b4a2b4a3e
Description: scan/change symbolic links
 Symlinks scans directories for symbolic links and lists
 them on stdout. Each link is prefixed with a classification
 of relative, absolute, dangling, messy, lengthy or other_fs.
 .
 Symlinks can also convert absolute links (within the same filesystem)
 to relative links and can delete messy and dangling links.

Package: sympa
Version: 6.1.11~dfsg-5+deb7u2
Architecture: armhf
Maintainer: Debian Sympa team 
Installed-Size: 9490
Pre-Depends: dpkg (>= 1.15.7.2~)
Depends: perl (>= 5.8), libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, libdbi-perl (>= 1.48), libarchive-zip-perl (>= 1.05), libmailtools-perl (>= 1.51), libmsgcat-perl (>= 1.0), libmime-tools-perl, libio-stringy-perl, libnet-ldap-perl, libcgi-fast-perl, libintl-perl, libmime-charset-perl, libmime-encwords-perl, libtemplate-perl, libxml-libxml-perl, perl-modules (>= 5.10) | libcgi-pm-perl (>= 3.35), libhtml-stripscripts-parser-perl (>= 1.0), libhtml-tree-perl, libhtml-format-perl, mhonarc, libregexp-common-perl, default-mta | mail-transport-agent, ca-certificates, sysklogd (>= 1.3-27) | system-log-daemon, lsb-base, adduser, dbconfig-common, libdbd-mysql-perl (>= 4.007), libdbd-pg-perl, libdbd-sybase-perl, libdbd-sqlite3-perl, sqlite3, libfcgi-perl, libfile-copy-recursive-perl (>= 0.36), libnet-netmask-perl, libterm-progressbar-perl, libmime-lite-html-perl, libunicode-linebreak-perl
Recommends: libfile-nfslock-perl, libio-socket-ssl-perl, libsoap-lite-perl, libcrypt-ciphersaber-perl, libmail-dkim-perl (>= 0.36), libapache2-mod-fcgid, apache2-suexec, logrotate, doc-base, mysql-server | postgresql, locales
Suggests: libauthcas-perl (>= 1.4), libdbd-oracle-perl (>= 0.90), libtext-wrap-perl, apache2 | httpd-cgi, openssl (>= 0.9.5a)
Conflicts: wwsympa
Replaces: wwsympa
Provides: wwsympa
Homepage: http://www.sympa.org/
Priority: optional
Section: mail
Filename: pool/main/s/sympa/sympa_6.1.11~dfsg-5+deb7u2_armhf.deb
Size: 3152642
SHA256: 053e3186919063002fb694b0181f7287424214209f72c4b7de2854e66156c2fc
SHA1: 8dd21ae854b35657fe1d2a057243868f9da85ec4
MD5sum: e720b9e851549388c8380ef66278724b
Description: Modern mailing list manager
 Sympa is a scalable and highly customizable modern mailing list manager
 capable of handling big setups: 20.000 lists with 700,000 subscribers.
 .
 Here are some of its many features:
  - Advanced MIME support, including digests, anonymization and S/MIME
    encryption
  - Builtin password-based authentication, HTTPS X.509 certificates or
    use of LDAP database or Single Sign-On CAS or Shibboleth servers
  - Archive management with web access and RSS feeds
  - Moderation and administration via email, web and SOAP interface
  - Multi-lingual, translated to more than 30 languages
  - Virtual domains (virtual robots)
  - Local SQLite subscriber database or use of MySQL, PostgreSQL or LDAP
  - Integrated scripting language to extend and automate routines
  - Web inteface called WWSympa providing homogenous access for both
    users and admins to list overviews, customization, administration,
    searchable archives and optional web-based email reply form.
  - Clean moderation and expiration processing
  - Customizable service messages (templates) for owner and listmaster
  - Semi-automatic bounces management
 .
 SYMPA is French for 'SYstème de Multi-Postage Automatique', which means
 'Automatic Multi Mailing System'.

Package: sympow
Version: 1.019-4
Architecture: armhf
Maintainer: Tim Abbott 
Installed-Size: 7727
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Homepage: http://www.maths.bris.ac.uk/~mamjw/
Priority: optional
Section: math
Filename: pool/main/s/sympow/sympow_1.019-4_armhf.deb
Size: 3056126
SHA256: bc8196b98887ad47ac280acf49c7b3c36407a68f9b4da525e6092ab1338dd4d6
SHA1: 24a16b9dd630f18b70e103b78711e9a57ba591d8
MD5sum: 66ac7119a7d834a1f3bd7a94842d2534
Description: Special values of symmetric power elliptic curve L-functions
 SYMPOW is a program for computing special values of symmetric power
 elliptic curve L-functions.

Package: synaesthesia
Version: 2.4-3
Architecture: armhf
Maintainer: Devin Carraway 
Installed-Size: 258
Depends: libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsdl1.2debian (>= 1.2.11), libsm6, libstdc++6 (>= 4.4.0), libx11-6
Priority: optional
Section: sound
Filename: pool/main/s/synaesthesia/synaesthesia_2.4-3_armhf.deb
Size: 78396
SHA256: b2ac747841fc2899e45db6f8d9488174821ad0f8293933b61f7835e052c434c6
SHA1: 65e5916fa1f5c50e760329418f955dc40b1fffc6
MD5sum: 03fadb7958909e96f89eb47d93f9f709
Description: Program for representing sounds visually
 This is a program for representing music graphically, as a curuscating
 field of fog, stars and/or glowing lines.  It is intended as a visual
 accompaniment to music.  The representation goes beyond conventional
 freqency analysis displays by combining a fourier analysis with stereo
 positioning information, making it possible to distinguish individual
 intruments, vocalists or effects by location, shape and color.
 .
 Sound inputs can be obtained from a CD, line input, the ESD sound daemon
 or via piped-in PCM data.

Package: synapse
Version: 0.2.10-2
Architecture: armhf
Maintainer: Julien Lavergne 
Installed-Size: 1610
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.20.0), libgtkhotkey1, libjson-glib-1.0-0 (>= 0.13.2), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), librest-0.7-0 (>= 0.7), libsoup2.4-1 (>= 2.4.0), libunique-1.0-0 (>= 1.0.0), libxml2 (>= 2.6.27), libzeitgeist-1.0-1 (>= 0.3.14)
Recommends: zeitgeist (>= 0.6.0~) | zeitgeist-core (>= 0.6.0~), zeitgeist-fts-extension | zeitgeist-extension-fts, pastebinit
Homepage: https://launchpad.net/synapse-project
Priority: optional
Section: utils
Filename: pool/main/s/synapse/synapse_0.2.10-2_armhf.deb
Size: 437694
SHA256: d41bece71b3916b43ce8df19599c2f021857e9cca06105d13d25a25e44eb9698
SHA1: f196f69f0b6a18196b1b5471281ceffb72156bdd
MD5sum: 84a39bccd6f83222fcbd77e91959237c
Description: semantic file launcher
 Synapse is a graphical launcher enabling you to start applications and also
 to quickly find and access relevant documents and files (by making use of the
 Zeitgeist engine).

Package: synapse-dbg
Source: synapse
Version: 0.2.10-2
Architecture: armhf
Maintainer: Julien Lavergne 
Installed-Size: 3289
Depends: synapse (= 0.2.10-2)
Homepage: https://launchpad.net/synapse-project
Priority: extra
Section: debug
Filename: pool/main/s/synapse/synapse-dbg_0.2.10-2_armhf.deb
Size: 1167438
SHA256: 18ce7bc4b536567ab7e7202e030369743848b61e11d03ef39f692a8c69cd5682
SHA1: a20735ca4b7643558ef3cc4fdd2a291969fca6ae
MD5sum: 509d896fb522cad94d654523d24449fc
Description: semantic file launcher - debug package
 Synapse is a graphical launcher enabling you to start applications and also
 to quickly find and access relevant documents and files (by making use of the
 Zeitgeist engine).
 .
 This package contains the debug symbols.

Package: synaptic
Version: 0.75.13
Architecture: armhf
Maintainer: Michael Vogt 
Installed-Size: 7610
Depends: libapt-inst1.5 (>= 0.8.16~exp12), libapt-pkg4.12 (>= 0.8.16~exp12), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libept1.4.12 (>= 1.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.6), libvte9 (>= 1:0.24.0), libx11-6, libxapian22, zlib1g (>= 1:1.1.4), hicolor-icon-theme
Recommends: gksu | kdebase-bin | policykit-1, libgtk2-perl (>= 1:1.130), rarian-compat, software-properties-gtk
Suggests: dwww, menu, deborphan, apt-xapian-index
Conflicts: menu (<< 2.1.11)
Priority: optional
Section: admin
Filename: pool/main/s/synaptic/synaptic_0.75.13_armhf.deb
Size: 2638668
SHA256: 16f95b2470da9673865c53f9d5d75679139bce99a0ea5e7993fcca2dfeb102e9
SHA1: a51f10a90296c1e5a7b43bbda5c2aa5f1235da92
MD5sum: 2e7d1536709f33a12a9455268ea6c6c7
Description: Graphical package manager
 Synaptic is a graphical package management tool based on GTK+ and APT.
 Synaptic enables you to install, upgrade and remove software packages in
 a user friendly way.
 .
 Besides these basic functions the following features are provided:
  * Search and filter the list of available packages
  * Perform smart system upgrades
  * Fix broken package dependencies
  * Edit the list of used repositories (sources.list)
  * Download the latest changelog of a package
  * Configure packages through the debconf system
  * Browse all available documentation related to a package (dwww is required)

Package: sync-ui
Source: syncevolution
Version: 1.2.99.1-1.1
Architecture: armhf
Maintainer: Tino Keitel 
Installed-Size: 150
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0), libsyncevo-dbus0, syncevolution-common (= 1.2.99.1-1.1), syncevolution-dbus
Recommends: evolution-data-server, bluez
Homepage: http://www.syncevolution.org
Priority: optional
Section: utils
Filename: pool/main/s/syncevolution/sync-ui_1.2.99.1-1.1_armhf.deb
Size: 49876
SHA256: 5ac844f539f74021c5bb5b002e7bf879b6b6d33c0d76e5eb4f7ae57463ac52e9
SHA1: 48e857d9fe28f56e255f3e3448a1ddbd8d80ecc0
MD5sum: 8dd4ad6a264717aab0a7517b8a406ce0
Description: Sync personal information data using SyncML and CalDAV/CardDAV (GTK+ GUI)
 SyncEvolution synchronizes contact, calendar and task items via SyncML and
 CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server
 to sync PIM data in Evolution, but a plain file storage is also supported.
 Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and
 incremental synchronization of items are supported. SyncEvolution can act as
 a SyncML/DAV client and SyncML server.
 .
 This package provides a GTK+ graphical user interface.

Package: syncache
Version: 1.2-1
Installed-Size: 184
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, adduser
Size: 22900
SHA256: 2b8258854b99a501f2f8b2cb4cf839c8dd8de7ef67874a25bb1daf7777e06889
SHA1: 0323e5fc579dc6ea3d786fca0ad15a1802e71e14
MD5sum: fe7ff20bdfcae7d7d58833db018de505
Description: Thread-safe time-limited cache for Ruby
 SynCache stores cached objects in a Hash that is protected by an advanced
 two-level locking mechanism which ensures that:
 .
  * Multiple threads can add and fetch objects in parallel.
  * While one thread is working on a cache entry, other threads can access
    the rest of the cache with no waiting on the global lock, no race
    conditions nor deadlock or livelock situations.
  * While one thread is performing a long and resource-intensive
    operation, other threads that request the same data will be put on hold,
    and as soon as the first thread completes the operation, the result will be
    returned to all threads.
 .
 This package provides SynCache module for Ruby and a DRb server that exports a
 SynCache::Cache object for use in Ruby programs.
Homepage: http://samizdat.nongnu.org/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: ruby
Priority: optional
Filename: pool/main/s/syncache/syncache_1.2-1_all.deb

Package: syncbbdb
Version: 2.3-6.2
Installed-Size: 240
Maintainer: Chris Waters 
Architecture: all
Depends: pilot-manager (>= 1.107-3), perl (>= 5.6.0-16), libdigest-md5-perl, pilot-link-perl | libpda-pilot-perl
Recommends: bbdb
Size: 35920
SHA256: 532f46681c4dfe1fbd57331a2532aaa8d22b7f5cc12f10b6d55f343900773c0b
SHA1: bde3b59044780ead6c1b4da1da55699fce524074
MD5sum: 5f10614d6fda0aeea6d89d051119c6b7
Description: BBDB to PalmOS Pilot Manager conduit
 Transfer address records between a PalmOS device like a Palm Pilot or
 a Visor, using a perl BBDB to PalmOS Pilot Manager conduit.
Tag: hardware::embedded, implemented-in::perl, interface::commandline,
 role::program, scope::utility, use::synchronizing
Section: otherosfs
Priority: extra
Filename: pool/main/s/syncbbdb/syncbbdb_2.3-6.2_all.deb

Package: synce-gnomevfs
Version: 0.13-2.1
Architecture: armhf
Maintainer: Jonny Lamb 
Installed-Size: 145
Depends: gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.31.8), libgnomevfs2-0 (>= 1:2.17.90), librapi2, libsynce0
Homepage: http://www.synce.org/
Priority: extra
Section: utils
Filename: pool/main/s/synce-gnomevfs/synce-gnomevfs_0.13-2.1_armhf.deb
Size: 26020
SHA256: d91eedca972b5f412a01ff8265667f80a9abc1db2071d023a5150404fb951d69
SHA1: c145a5f3f8464fded3781eb329b7ac436c521d0d
MD5sum: 83db3b67d225b02d9f9700f75f77bfbd
Description: SynCE plugin for GNOME VFS
 Provides a method to access the filesystem of a device connected
 (using SynCE) through Nautilus by reading and writing GNOME VFS
 URIs. GNOME VFS is the GNOME virtual file-system.

Package: synce-hal
Version: 0.15-1.1
Architecture: armhf
Maintainer: Jonny Lamb 
Installed-Size: 153
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libglib2.0-0 (>= 2.24.0), libgnet2.0-0 (>= 2.0.8), libhal1 (>= 0.5.8.1), libsynce0, ppp, net-tools, dhcp3-client, hal, python
Conflicts: odccm, synce-serial
Replaces: odccm
Provides: odccm
Homepage: http://www.synce.org/
Priority: optional
Section: utils
Filename: pool/main/s/synce-hal/synce-hal_0.15-1.1_armhf.deb
Size: 32378
SHA256: 34df2c2dc1e051118670894f4d24b4c28aae8a4739216bd32f341df828b156d3
SHA1: 0f8862f0a4f047cd5f9e7b28f10ab115fc6cb999
MD5sum: 6ff295799afdb1aeb691219a7e1f1f08
Description: Daemon to maintain a connection to Windows Mobile devices via hal
 synce-hal is a hal callout infrastructure and dccm implementation for
 Windows Mobile devices. It responds to keep alives and provides other
 members of the SynCE suite of tools with access to the device.

Package: synce-hal-bluetooth
Source: synce-hal
Version: 0.15-1.1
Architecture: armhf
Maintainer: Jonny Lamb 
Installed-Size: 75
Depends: synce-hal (= 0.15-1.1), bluez-compat, python, ppp
Homepage: http://www.synce.org/
Priority: optional
Section: utils
Filename: pool/main/s/synce-hal/synce-hal-bluetooth_0.15-1.1_armhf.deb
Size: 7710
SHA256: 8d0d4a09d4435c96155c4f0bbefc5e7678a38310a28726040fcc3a7401104ccd
SHA1: 087818c55fafc9b5119d27095260ca76fa0c9277
MD5sum: 18821615defb6a6aa793dcb19fdc0410
Description: Bluetooth connection infrastructure for synce-hal
 synce-hal is a hal callout infrastructure and dccm implementation for
 Windows Mobile devices. It responds to keep alives and provides other
 members of the SynCE suite of tools with access to the device.
 .
 This package contains an extension for synce-hal to allow Windows
 Mobile devices to connect via a bluetooth serial connection.

Package: synce-serial
Version: 0.11-5.3
Architecture: armhf
Maintainer: Jonny Lamb 
Installed-Size: 95
Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, ppp (>= 2.4.2+20040202)
Homepage: http://www.synce.org/
Priority: optional
Section: utils
Filename: pool/main/s/synce-serial/synce-serial_0.11-5.3_armhf.deb
Size: 25490
SHA256: c8305765d6a213eb70633ad40c9b4ef619f23a5902ebe7956e78ce075de0516d
SHA1: 9e95e28796f5cbd7e470e6aae594b1f15d8ff631
MD5sum: fa6a932b1a22796e529aed06ea9c81fc
Description: SynCE connection manipulation scripts
 This scripts are used to manipulate (start/stop/configure) a connection
 to a Windows CE device.

Package: synce-trayicon
Version: 0.15-1.2
Architecture: armhf
Maintainer: Jonny Lamb 
Installed-Size: 391
Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libdynamite0, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnome-keyring0 (>= 3.2.2-2~), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.16.0), libgtop2-7 (>= 2.22.3), libhal1 (>= 0.5.8.1), libice6 (>= 1:1.0.0), libnotify4 (>= 0.7.0), liborange0, liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), librapi2, librra0, libsm6, libsynce0, libunshield0, libxml2 (>= 2.7.4)
Homepage: http://www.synce.org/
Priority: optional
Section: utils
Filename: pool/main/s/synce-trayicon/synce-trayicon_0.15-1.2_armhf.deb
Size: 87808
SHA256: 8b58da500e763e009f050e72bf82818e5dd1d3a1372bd5ed10fd965722e2fe03
SHA1: c0d20ccae63e0428977081c7a4f19b6088f2625f
MD5sum: ad07462c820e0453ec90f80370a526af
Description: GNOME system tray application for SynCE
 The SynCE trayicon puts an icon in the GNOME system tray which allows the
 user to get status updates on a SynCE device connection. It can also start
 the synchronization process and update partnerships on the device.

Package: syncevolution
Version: 1.2.99.1-1.1
Architecture: armhf
Maintainer: Tino Keitel 
Installed-Size: 350
Depends: libc6 (>= 2.13-28), libcppunit-1.12-1, libgcc1 (>= 1:4.4.0), libgdbussyncevo0, libglib2.0-0 (>= 2.26.0), libpcre3 (>= 8.10), libpcrecpp0 (>= 7.7), libstdc++6 (>= 4.6), libsyncevolution0, syncevolution-common (= 1.2.99.1-1.1), syncevolution-libs (= 1.2.99.1-1.1)
Recommends: evolution-data-server, bluez
Homepage: http://www.syncevolution.org
Priority: optional
Section: utils
Filename: pool/main/s/syncevolution/syncevolution_1.2.99.1-1.1_armhf.deb
Size: 180344
SHA256: bbe93f713e06efa95a5a7349180202adbd7c081a84f3ac7dc7aee02cfa64f989
SHA1: 4a995c512f2650a454285a41b3e930d7a9dad996
MD5sum: 04ab40768839525d6dc8974452d8a7e4
Description: Sync personal information data using SyncML and CalDAV/CardDAV (CLI)
 SyncEvolution synchronizes contact, calendar and task items via SyncML and
 CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server
 to sync PIM data in Evolution, but a plain file storage is also supported.
 Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and
 incremental synchronization of items are supported. SyncEvolution can act as
 a SyncML/DAV client and SyncML server.
 .
 This package provides the CLI client.

Package: syncevolution-common
Source: syncevolution
Version: 1.2.99.1-1.1
Installed-Size: 904
Maintainer: Tino Keitel 
Architecture: all
Replaces: sync-ui (<< 1.1+ds1-1~), syncevolution (<< 1.1+ds1-1~)
Depends: libsynthesis0 (>= 3.4.0.16.7)
Conflicts: sync-ui (<< 1.1+ds1-1~), syncevolution (<< 1.1+ds1-1~)
Size: 151328
SHA256: 4085a692584dad2ad55ee13eaba93f0dea11a631225772f706739ad6dcdf1a5f
SHA1: 73e21a5ed40a6508f956bf605074e4b96bea363a
MD5sum: 32e63bbd4d5e24b7af6ba4ad0fbfc03f
Description: Sync personal information data using SyncML and CalDAV/CardDAV
 SyncEvolution synchronizes contact, calendar and task items via SyncML and
 CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server
 to sync PIM data in Evolution, but a plain file storage is also supported.
 Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and
 incremental synchronization of items are supported. SyncEvolution can act as
 a SyncML/DAV client and SyncML server.
 .
 This package provides common architecture independent files.
Homepage: http://www.syncevolution.org
Section: utils
Priority: optional
Filename: pool/main/s/syncevolution/syncevolution-common_1.2.99.1-1.1_all.deb

Package: syncevolution-dbg
Source: syncevolution
Version: 1.2.99.1-1.1
Architecture: armhf
Maintainer: Tino Keitel 
Installed-Size: 119958
Depends: syncevolution (= 1.2.99.1-1.1), sync-ui (= 1.2.99.1-1.1), syncevolution-dbus (= 1.2.99.1-1.1)
Homepage: http://www.syncevolution.org
Priority: extra
Section: debug
Filename: pool/main/s/syncevolution/syncevolution-dbg_1.2.99.1-1.1_armhf.deb
Size: 28700900
SHA256: e1192148b4e7658424446009a1dfc83c0202607c35fc527030de714b1c77d9cd
SHA1: 1b32a6077727b6030cd3f68f5a05b2db3ebe12c9
MD5sum: 08e8190885529a955fc37359cbfc768a
Description: Sync personal information data using SyncML and CalDAV/CardDAV (debugging)
 SyncEvolution synchronizes contact, calendar and task items via SyncML and
 CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server
 to sync PIM data in Evolution, but a plain file storage is also supported.
 Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and
 incremental synchronization of items are supported. SyncEvolution can act as
 a SyncML/DAV client and SyncML server.
 .
 This package provides debugging symbols

Package: syncevolution-dbus
Source: syncevolution
Version: 1.2.99.1-1.1
Architecture: armhf
Maintainer: Tino Keitel 
Installed-Size: 2328
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcppunit-1.12-1, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdbussyncevo0, libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.26.0), libgtk2.0-0 (>= 2.8.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libpcre3 (>= 8.10), libpcrecpp0 (>= 7.7), libstdc++6 (>= 4.6), libsyncevolution0, syncevolution-common (= 1.2.99.1-1.1), syncevolution-libs (= 1.2.99.1-1.1), dbus
Recommends: evolution-data-server
Breaks: sync-ui (<< 1.1+ds1-1)
Replaces: sync-ui (<< 1.1+ds1-1)
Homepage: http://www.syncevolution.org
Priority: optional
Section: utils
Filename: pool/main/s/syncevolution/syncevolution-dbus_1.2.99.1-1.1_armhf.deb
Size: 593480
SHA256: 4e6a8875e1ae0815b057dac5ee47c89acf6310e3fce05981477c3293df507575
SHA1: 36bc41f79f86611f5cada936cf0a6f4236ffc0d7
MD5sum: 8a7a818aac93ead6d712fd6f59133838
Description: Sync personal information data using SyncML and CalDAV/CardDAV (D-Bus support)
 SyncEvolution synchronizes contact, calendar and task items via SyncML and
 CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server
 to sync PIM data in Evolution, but a plain file storage is also supported.
 Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and
 incremental synchronization of items are supported. SyncEvolution can act as
 a SyncML/DAV client and SyncML server.
 .
 This package provides D-Bus services.

Package: syncevolution-http
Source: syncevolution
Version: 1.2.99.1-1.1
Installed-Size: 63
Maintainer: Tino Keitel 
Architecture: all
Depends: syncevolution-dbus (>= 1.2.99.1-1.1), python, python-dbus, dbus-x11, python-twisted-web, python-gobject, python-openssl
Size: 14260
SHA256: e51e24679e531b41de2f8cc19e17325c31926f964240b981267dbb6954b61f96
SHA1: 1db152c22c0ebc65e09af20119769bd7b1ab6ffc
MD5sum: 0b28e0c0b69a24ec3e9b1f1f8bfad714
Description: Sync personal information data using SyncML and CalDAV/CardDAV (HTTP server)
 SyncEvolution synchronizes contact, calendar and task items via SyncML and
 CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server
 to sync PIM data in Evolution, but a plain file storage is also supported.
 Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and
 incremental synchronization of items are supported. SyncEvolution can act as
 a SyncML/DAV client and SyncML server.
 .
 This package provides a python script to make SyncEvolution act as a HTTP(S)
 server for other SyncML clients.
Homepage: http://www.syncevolution.org
Section: utils
Priority: optional
Filename: pool/main/s/syncevolution/syncevolution-http_1.2.99.1-1.1_all.deb

Package: syncevolution-libs
Source: syncevolution
Version: 1.2.99.1-1.1
Architecture: armhf
Maintainer: Tino Keitel 
Installed-Size: 2085
Depends: gconf-service, libc6 (>= 2.13-28), libebook-1.2-13 (>= 3.4.4), libecal-1.2-11 (>= 3.4.4), libedataserver-1.2-16 (>= 3.4.4), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libglib2.0-0 (>= 2.16.0), libgnome-keyring0 (>= 2.20.3), libical0 (>= 0.42), libneon27-gnutls, libsoup2.4-1 (>= 2.4.0), libstdc++6 (>= 4.6), libsyncevolution0, libxml2 (>= 2.6.27)
Homepage: http://www.syncevolution.org
Priority: optional
Section: utils
Filename: pool/main/s/syncevolution/syncevolution-libs_1.2.99.1-1.1_armhf.deb
Size: 439262
SHA256: e22cb3902d82a68677b39e31e67d319199f80617151d44bf5048dfedddc726e7
SHA1: 19817d1beb4b411beb35208ddca7cd57c60bbc32
MD5sum: 25ec2a3cfb787d44a842d4919d52e8a2
Description: Sync personal information data using SyncML and CalDAV/CardDAV (libraries)
 SyncEvolution synchronizes contact, calendar and task items via SyncML and
 CalDAV/CardDAV with other servers or devices. It uses the Evolution Data Server
 to sync PIM data in Evolution, but a plain file storage is also supported.
 Data exchange can happen via HTTP(S) and Bluetooth. Full, one-way and
 incremental synchronization of items are supported. SyncEvolution can act as
 a SyncML/DAV client and SyncML server.
 .
 This package provides private libraries and plugins.

Package: syncmaildir
Version: 1.2.5-1
Architecture: armhf
Maintainer: Enrico Tassi 
Installed-Size: 189
Depends: lua5.1, openssh-client, xdelta, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.19.1)
Homepage: http://syncmaildir.sourceforge.net
Priority: optional
Section: mail
Filename: pool/main/s/syncmaildir/syncmaildir_1.2.5-1_armhf.deb
Size: 71372
SHA256: 4c6d77131d5bb8709b01249327a756171bfe168b9cbd4615fa30e3047d9e81eb
SHA1: cfb7aca285ab5a3deec35e1c1b5664300c8b1728
MD5sum: 5ae06a066c85b979b9a8eccb6818783a
Description: Sync Mail Dir is a set of tools to synchronize Maildirs
 Sync Mail Dir is a set of utilities to synchronize a pair of mail boxes
 in Maildir format, using SSH to transfer data. It provides the smd-pull
 utility to pull changes made on the remote mailbox, smd-push to propagate
 local changes to the remote mailbox, smd-loop to iterate push and pull
 in a timely way.
 .
 The software is young and should thus be used with care in production
 environments.
 .
 Unlike OfflineIMAP It requires no IMAP server to be installed on the remote
 host. Moreover it never attempts to automatically resolve conflicts between
 incompatible mailbox statuses, it just notifies the user explaining how
 he can fix the problem.
 .
 Sync Mail Dir design is similar to the one of Maildirsync, but is more
 efficient in terms of CPU cycles and disk I/O.

Package: syncmaildir-applet
Source: syncmaildir
Version: 1.2.5-1
Architecture: armhf
Maintainer: Enrico Tassi 
Installed-Size: 202
Depends: syncmaildir (= 1.2.5-1), gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0)
Homepage: http://syncmaildir.sourceforge.net
Priority: optional
Section: mail
Filename: pool/main/s/syncmaildir/syncmaildir-applet_1.2.5-1_armhf.deb
Size: 58922
SHA256: dd061d694dd3bd0bdb959cde5d2ba1fabf98bae78d7c822f1451b79e5772df4e
SHA1: cb0fdad78c64f93b18f4c1bbb354fc4ddedf0ec9
MD5sum: 29deb167c315c90475a0fcbbef978c3a
Description: Sync Mail Dir GNOME applet
 Sync Mail Dir is a set of utilities to synchronize a pair of mail boxes
 in Maildir format, using SSH to transfer data.
 .
 This package provides an applet that integrates smd-loop with GNOME, i.e.
 notifies the user with popups, starts smd-loop when the user logs in and
 provides a simple user interface to deal with synchronization errors.

Package: synergy
Version: 1.3.8-2
Architecture: armhf
Maintainer: Jeff Licquia 
Installed-Size: 1292
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.6), libx11-6 (>= 2:1.2.99.901), libxext6, libxi6 (>= 2:1.2.99.4), libxinerama1, libxtst6
Homepage: http://synergy-foss.org/
Priority: optional
Section: x11
Filename: pool/main/s/synergy/synergy_1.3.8-2_armhf.deb
Size: 524852
SHA256: cb5fbb1cfadc41951784df3f7295ebf1434e8c55a3ff002b1a0e11364d1e2b91
SHA1: 5e51a3726d50a6bb874c659daf303e804042ebef
MD5sum: 9009e88b388ae84c8d9a1b24dd5fe9a7
Description: Share mouse, keyboard and clipboard over the network
 Synergy lets you easily share a single mouse and keyboard between
 multiple computers with different operating systems, each with its
 own display, without special hardware.  It's intended for users
 with multiple computers on their desk since each system uses its
 own display.
 .
 Redirecting the mouse and keyboard is as simple as moving the mouse
 off the edge of your screen.  Synergy also merges the clipboards of
 all the systems into one, allowing cut-and-paste between systems.
 Furthermore, it synchronizes screen savers so they all start and stop
 together and, if screen locking is enabled, only one screen requires
 a password to unlock them all.
 .
 Packages for Windows/MacOS/RPM and Sources can be found at
 http://sourceforge.net/project/showfiles.php?group_id=59275

Package: synfig
Version: 0.63.05-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 344
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libsynfig0
Suggests: synfigstudio, synfig-examples
Homepage: http://www.synfig.org/
Priority: optional
Section: graphics
Filename: pool/main/s/synfig/synfig_0.63.05-1_armhf.deb
Size: 261938
SHA256: 9279039b005851459206faf46212c7fef91a805952b623ee611b895454dd8c5d
SHA1: 71428358ef5c153c0d4d5e749b702b7cd1e4a9b2
MD5sum: 3e9a9603e986d3666805c8eef8b58fd9
Description: vector-based 2D animation renderer
 synfig is a vector based 2D animation renderer. It is designed to be
 capable of producing feature-film quality animation.
 .
 This package contains the command-line renderer, for the GUI animation
 editor, please install synfigstudio.

Package: synfig-dbg
Source: synfig
Version: 0.63.05-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 15945
Depends: libsynfig0 (= 0.63.05-1) | synfig (= 0.63.05-1)
Suggests: libsynfig-dev
Homepage: http://www.synfig.org/
Priority: extra
Section: debug
Filename: pool/main/s/synfig/synfig-dbg_0.63.05-1_armhf.deb
Size: 15430802
SHA256: 0d0a054604ef3a88be383fd8cf833727171f5b24420bc2c71d3490e27cb04829
SHA1: aa30c2c376738b1cc10428030391c52a125d30b7
MD5sum: 9c367f2cc7e029a30c7405e020be9576
Description: synfig debugging symbols
 synfig is a vector based 2D animation package. It is designed to be
 capable of producing feature-film quality animation.
 .
 This package contains debugging symbols for libsynfig0 and synfig.

Package: synfig-examples
Source: synfig
Version: 0.63.05-1
Installed-Size: 1459
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Replaces: libsynfig-doc
Suggests: synfigstudio
Conflicts: libsynfig-doc
Size: 1407512
SHA256: f807e8adba0b6ebab014afb35b1a9870db358c0182094ead183d0ce1ec12220b
SHA1: f0f7da8267f7bfdb71136c6d746f4b3b6605dbc0
MD5sum: eb83b0a7fb2dbfdcef9bd223bea98907
Description: synfig animation examples
 synfig is a vector based 2D animation renderer. It is designed to be
 capable of producing feature-film quality animation.
 .
 This package contains several examples of synfig animations and stills.
Homepage: http://www.synfig.org/
Tag: role::data
Section: graphics
Priority: optional
Filename: pool/main/s/synfig/synfig-examples_0.63.05-1_all.deb

Package: synfigstudio
Version: 0.63.05-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 8053
Depends: libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), libsynfig0
Recommends: synfig-examples
Homepage: http://www.synfig.org/
Priority: extra
Section: graphics
Filename: pool/main/s/synfigstudio/synfigstudio_0.63.05-1_armhf.deb
Size: 4073186
SHA256: d915432d60ad4c80b95a3a710448345ca8eb4ea683a988b2f317626b5cd8f431
SHA1: fb6d7206a90e9efa1c8ae8c5891932358d5d4ad4
MD5sum: 84ec320a79d3b041fd70a407862efdb8
Description: vector-based 2D animation package (graphical user interface)
 synfig is a vector based 2D animation package. It is designed to be
 capable of producing feature-film quality animation. It eliminates the
 need for tweening, preventing the need to hand-draw each frame.
 .
 This package contains the graphical user interface for synfig.

Package: synfigstudio-dbg
Source: synfigstudio
Version: 0.63.05-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 24514
Depends: synfigstudio (= 0.63.05-1)
Homepage: http://www.synfig.org/
Priority: extra
Section: debug
Filename: pool/main/s/synfigstudio/synfigstudio-dbg_0.63.05-1_armhf.deb
Size: 24049772
SHA256: 01c348d3c664bf6ba1b072b37690775f55f085a7e4b0b726f16c1cbaa5f01456
SHA1: 57f27e13792551f67a18a45df0ac8da02a333c9c
MD5sum: de032c7a8f7a4b8cadfc341750325d09
Description: synfig GUI debugging symbols
 synfig is a vector based 2D animation package. It is designed to be
 capable of producing feature-film quality animation.
 .
 This package contains debugging symbols for libsynfigapp0 and synfigstudio.

Package: synopsis
Version: 0.12-8
Architecture: armhf
Maintainer: Python Applications Packaging Team 
Installed-Size: 1499
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libsynopsis0.12, python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), cpp, graphviz, gsfonts
Homepage: http://synopsis.fresco.org/
Priority: optional
Section: devel
Filename: pool/main/s/synopsis/synopsis_0.12-8_armhf.deb
Size: 486424
SHA256: 565af4e3c350a2dff167ec00ce5debf11728e95edbba2e1c32ca7862b332aa5f
SHA1: d94c83f803dc08567314aabbf160f382c7997417
MD5sum: 0c8c6cefa507beb97498e0692aee7d09
Description: source-code Introspection Tool
 Synopsis is a multi-language source code introspection tool that
 provides a variety of representations for the parsed code to enable
 further processing such as documentation extraction, reverse
 engineering, and source-to-source translation.
 .
 Synopsis provides a framework of C++ and Python APIs to access these
 representations and allows Processor objects to be defined and
 composed into processing pipelines, making this framework very
 flexible and extensible.

Package: synopsis-doc
Source: synopsis
Version: 0.12-8
Installed-Size: 20005
Maintainer: Python Applications Packaging Team 
Architecture: all
Size: 6929834
SHA256: 16ce656f5b6f1844bb02dfab376cf96c856efb269c5f221c6297855c1f1cda8d
SHA1: c0159e165be54a4e4c80ba79b2a80e530ec030eb
MD5sum: 584f79954e9fb3314920d805a1ee53cb
Description: Documentation for synopsis
 Synopsis is a multi-language source code introspection tool that
 provides a variety of representations for the parsed code to enable
 further processing such as documentation extraction, reverse
 engineering, and source-to-source translation.
 .
 Synopsis provides a framework of C++ and Python APIs to access these
 representations and allows Processor objects to be defined and
 composed into processing pipelines, making this framework very
 flexible and extensible.
 .
 This package contains the Synopsis Developer's Guide and the
 Synopsis Tutorial.
Homepage: http://synopsis.fresco.org/
Tag: devel::doc, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/synopsis/synopsis-doc_0.12-8_all.deb

Package: synopsis-idl
Source: synopsis
Version: 0.12-8
Architecture: armhf
Maintainer: Python Applications Packaging Team 
Installed-Size: 435
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), synopsis (= 0.12-8)
Homepage: http://synopsis.fresco.org/
Priority: optional
Section: devel
Filename: pool/main/s/synopsis/synopsis-idl_0.12-8_armhf.deb
Size: 145658
SHA256: 5e06306095fc194273d67b931e78e4691ee46f8cfcd3e01fbf76a44bf906309f
SHA1: 99c0d06dbcc3d877ac9a3ec51f31d139b5253ff9
MD5sum: 92afc92935f2d61ac679e6422333c0c8
Description: IDL parser for synopsis
 Synopsis is a multi-language source code introspection tool that
 provides a variety of representations for the parsed code to enable
 further processing such as documentation extraction, reverse
 engineering, and source-to-source translation.
 .
 Synopsis provides a framework of C++ and Python APIs to access these
 representations and allows Processor objects to be defined and
 composed into processing pipelines, making this framework very
 flexible and extensible.
 .
 This package contains the IDL parser.

Package: syrep
Version: 0.9-4.1
Architecture: armhf
Maintainer: Marvin Stark 
Installed-Size: 150
Depends: libattr1 (>= 1:2.4.46-5), libc6 (>= 2.13-28), libdb5.1, libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4)
Homepage: http://0pointer.de/lennart/projects/syrep
Priority: optional
Section: utils
Filename: pool/main/s/syrep/syrep_0.9-4.1_armhf.deb
Size: 58614
SHA256: 45575f6deae1ccb42454e6573200688c8110f7a54160f25cd8153be73f6487e0
SHA1: ccc8e98eea698fed1f29a68ee7e62787d09e5464
MD5sum: 1a771eb8d7e6d65854f24015618d0ec9
Description: A generic file repository synchronization tool
 syrep is a generic file repository synchronization tool. It may be used to
 synchronize large file hierarchies bidirectionally by exchanging patch files.
 Syrep is truely peer-to-peer, no central servers are involved.
 Synchronizations between more than two repositories are supported. The patch
 files may be transferred via offline media, e.g. removable hard disks or
 compact discs.
 .
 Files are tracked by their message digests, currently MD5. The following file
 operations are tracked in the snapshot files: creation, deletion,
 modification, creation of new hard or symbolic links, renaming. (The latter is
 nothing more than a new hard link and removal of the old file). syrep doesn't
 distinguish between soft and hard links. In fact even copies of files are
 treated as the same. Currently, syrep doesn't synchronize file attributes like
 access modes or modification times.
 .
 Syrep was written to facilitate the synchronization of two large digital music
 repositories without direct network connection. Patch files of several
 gigabytes are common in this situation.
 .
 Syrep is able to cope with 64 bit file sizes. (LFS)
 .
 Syrep is optimized for speed. It may make use of a message digest cache to
 accelerate the calculation of digests of a whole directory hierarchy

Package: syrthes
Version: 3.4.3-dfsg1-6
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 1725
Depends: libc6 (>= 2.13-28), libmedc1 (>= 3.0.3)
Recommends: gfortran
Homepage: http://rd.edf.com/syrthes
Priority: extra
Section: science
Filename: pool/main/s/syrthes/syrthes_3.4.3-dfsg1-6_armhf.deb
Size: 672000
SHA256: 89a04af05547b73ac1aee2a8f4ad558f56eb0e83b94a1d4ced3f7b0c1a9f1df8
SHA1: 73ffe37a0df66f426377107ab6f88343c372a9ec
MD5sum: c98f4f5f561108b4bd379c4c35735252
Description: Transient thermal simulations in complex solid geometries
 SYRTHES is a general purpose thermal software developed at EDF R&D which
 models conduction and radiation heat transfers in complex geometries.
 .
 SYRTHES can be used coupled with the computational fluid dynamics (CFD)
 Code_Saturne.

Package: sysadmin-guide
Version: 0.9-1
Installed-Size: 964
Maintainer: Krzysztof Burghardt 
Architecture: all
Size: 191708
SHA256: 3a410a6251df3633b026174f1178f9dc688f33a6560a4db623f617112d482ea3
SHA1: cabc27a2d72b8767f853fe0d3b42e97da230570b
MD5sum: 4562299e7e0f0ce106e1e4d6135cff96
Description: The Linux System Administrators' Guide
 from the Linux Documentation Project. Aimed at novice system
 administrators.  This package presents the guide in HTML format other
 formats are available from: http://www.tldp.org/guides.html
Tag: made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/sysadmin-guide/sysadmin-guide_0.9-1_all.deb

Package: sysbench
Version: 0.4.12-1
Architecture: armhf
Maintainer: Hendrik Frenzel 
Installed-Size: 147
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmysqlclient16 (>= 5.1.50-1)
Homepage: http://sourceforge.net/projects/sysbench
Priority: extra
Section: misc
Filename: pool/main/s/sysbench/sysbench_0.4.12-1_armhf.deb
Size: 68592
SHA256: 5bcafb154acfb17b9cecfb8ac4262ee3d209f95e9edbfe1825dce16f149dd496
SHA1: bc27b3c8a45828c0835ee8da919dd402b934b71c
MD5sum: b6d4973d658d755b64f01b295e11c9c4
Description: Cross-platform and multi-threaded benchmark tool
 SysBench is a modular, cross-platform and multi-threaded benchmark tool for
 evaluating OS parameters that are important for a system running a database
 under intensive load.
 .
 The idea of this benchmark suite is to quickly get an impression about system
 performance without setting up complex database benchmarks or even without
 installing a database at all.
 .
 Current features allow to test the following system parameters:
 .
  * file I/O performance
  * scheduler performance
  * memory allocation and transfer speed
  * POSIX threads implementation performance
  * database server performance (OLTP benchmark)
 .
 Primarily written for MySQL server benchmarking, SysBench will be further
 extended to support multiple database backends, distributed benchmarks and
 third-party plug-in modules.

Package: sysconftool
Version: 0.16-1
Architecture: armhf
Maintainer: Jan Dittberner 
Installed-Size: 71
Depends: perl
Homepage: http://www.courier-mta.org/sysconftool/
Priority: extra
Section: devel
Filename: pool/main/s/sysconftool/sysconftool_0.16-1_armhf.deb
Size: 16622
SHA256: 95caee5aa32640a9ba24548136cf6f9678f661bb65ed135af1efbf5d5e3c9c97
SHA1: b53df23a5da90a2373112042cda3b91a3f4dd856
MD5sum: ad35c9c15a61723b376c8f1ecce7925a
Description: development tool to install and update configuration files
 sysconftool is a development utility that helps to install
 application configuration files. sysconftool allows an existing
 application to be upgraded without losing the older version's
 configuration settings, but that's the advantage over plain dpkg
 upgrading, will add new configuration settings (and remove unneeded).

Package: sysfsutils
Version: 2.1.0+repack-2
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 80
Depends: libc6 (>= 2.13-28), libsysfs2
Multi-Arch: foreign
Priority: extra
Section: utils
Filename: pool/main/s/sysfsutils/sysfsutils_2.1.0+repack-2_armhf.deb
Size: 24548
SHA256: 20db8d7d5e1d15d41821c5b19d96a7fa5810a5cdc8fa6c08720493442b897f6f
SHA1: 490bd3922e66c9c6a5c359e7609d5278a17f8b65
MD5sum: a75be54035ab19c29b8b16d8d01e252d
Description: sysfs query tool and boot-time setup
 Sysfs is a virtual file system in Linux kernel 2.5+ that provides a
 tree of system devices. This package provides the program 'systool' to query
 it: it can list devices by bus, class, and topology.
 .
 In addition this package ships a configuration file /etc/sysfs.conf which
 allows one to conveniently set sysfs attributes at system bootup (in the init
 script /etc/init.d/sysfsutils).
 .
 If you need sysfs queries in own programs, then you may want to use the
 libsysfs library directly (package libsysfs-dev).

Package: sysinfo
Version: 0.7-8
Installed-Size: 359
Maintainer: Debian CLI Applications Team 
Architecture: all
Depends: mono-runtime (>= 2.10.1), libc6 (>= 2.13) | libc6.1 (>= 2.13) | libc0.1 (>= 2.13), libgconf2.0-cil (>= 2.24.0), libglade2.0-cil (>= 2.12.10), libglib2.0-cil (>= 2.12.10), libgtk2.0-cil (>= 2.12.10), libmono-cairo4.0-cil (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.1)
Suggests: nvidia-settings
Size: 108770
SHA256: 29231b0da9f83a63de44d1b73075b41ad0073229e3f571b8fb36ac9ff5d3b3ca
SHA1: 90784abb78f7407840987073240dcb757d514e15
MD5sum: c7e0573ff66dfa451f4e0f5658887186
Description: display computer and system information
 sysinfo is a graphical tool that is able to display some hardware and
 software information about the computer it is run on.
 .
 It is able to recognize information about:
   - System (Linux distribution release, versions of GNOME, kernel, gcc and
     Xorg and hostname);
   - CPU (vendor identification, model name, frequency, level2 cache, bogomips,
     model numbers and flags);
   - Memory (total system RAM, free memory, swap space total and free, cached,
     active, inactive memory);
   - Storage (IDE interface, all IDE devices, SCSI devices);
   - Hardware (motherboard, graphic card, sound card, network devices);
   - NVIDIA graphic card: only with NVIDIA display driver installed.
Homepage: https://sourceforge.net/projects/gsysinfo/
Tag: hardware::video, interface::x11, role::program, uitoolkit::gtk
Section: utils
Priority: optional
Filename: pool/main/s/sysinfo/sysinfo_0.7-8_all.deb

Package: sysklogd
Version: 1.5-6.2
Architecture: armhf
Maintainer: Martin Schulze 
Installed-Size: 162
Depends: libc6 (>= 2.7), klogd | linux-kernel-log-daemon, adduser, lsb-base, initscripts (>= 2.88dsf-13.3)
Conflicts: syslogd
Replaces: syslogd
Provides: syslogd, system-log-daemon
Priority: extra
Section: admin
Filename: pool/main/s/sysklogd/sysklogd_1.5-6.2_armhf.deb
Size: 63972
SHA256: bdae803a9b0330865f9fa6457a73e861f68d2c7be136fb2545d9739eec0b3d39
SHA1: 99b2e4b0d2314c5c8c656fa2a209157a432d0376
MD5sum: efc4e693e3e9fd6f5246dd3d9efecf24
Description: System Logging Daemon
 This package implements the system log daemon, which is an enhanced
 version of the standard Berkeley utility program. It is responsible
 for providing logging of messages received from programs and facilities
 on the local host as well as from remote hosts.

Package: syslinux-common
Source: syslinux
Version: 2:4.05+dfsg-6+deb7u1
Installed-Size: 3144
Maintainer: Daniel Baumann 
Architecture: all
Replaces: syslinux
Recommends: libcrypt-passwdmd5-perl, libdigest-sha-perl
Breaks: syslinux (<< 2:4.05+dfsg-6+deb7u1)
Size: 934446
SHA256: c4c375ed717ab45dfc1a9310d866164f12ef0313215cdf2dd81f744ba62d46f8
SHA1: e6b99b30234ec4ef7292f872964c63b6d5d690c5
MD5sum: c03c1041f5ca73505652a01cfe956996
Description: collection of boot loaders (common files)
 SYSLINUX is a collection of boot loaders which operates off Linux ext2/3/4 or
 btrfs filesystems, FAT and NTFS filesystems, network servers using PXE
 firmware, or from CD-ROMs.
 .
 This package contains common files.
Homepage: http://syslinux.zytor.com/
Tag: admin::boot, role::program
Section: utils
Priority: optional
Filename: pool/main/s/syslinux/syslinux-common_4.05+dfsg-6+deb7u1_all.deb

Package: syslinux-themes-debian
Version: 11-1.1
Installed-Size: 36
Maintainer: Daniel Baumann 
Architecture: all
Depends: syslinux-themes-debian-wheezy
Size: 3438
SHA256: 7d0ec2af6e2eaa83a02c59e5192de044e3723302a22f1f9ea611057feeaf7c75
SHA1: 2ae3fb8b5c4f06a3f03362fb42e61fd93737601e
MD5sum: 8a5800ffc816b204d5f6ddd1b52bc855
Description: collection of boot loaders (theme metapackage)
 SYSLINUX is a collection of boot loaders which operates off Linux ext2/3/4 or
 btrfs filesystems, MS-DOS FAT filesystems, network servers using PXE firmware,
 or from CD-ROMs.
 .
 This package depends on the package with the graphical bootloader theme
 for the matching Debian release.
Tag: admin::boot, role::metapackage, suite::debian
Section: misc
Priority: optional
Filename: pool/main/s/syslinux-themes-debian/syslinux-themes-debian_11-1.1_all.deb

Package: syslinux-themes-debian-squeeze
Source: syslinux-themes-debian
Version: 11-1.1
Installed-Size: 72
Maintainer: Daniel Baumann 
Architecture: all
Provides: syslinux-theme
Depends: memtest86+, syslinux-common
Enhances: extlinux
Size: 10252
SHA256: 0d458b16dfa91dbfbd9a5e4b6b3cd2b796ca6609bc3088ff1a5c40fba88c3fba
SHA1: e955307085e927b9be127814364ea66c1e55a695
MD5sum: 8695559e622a428b4d8d5928dbb8caa4
Description: collection of boot loaders (debian-squeeze theme)
 SYSLINUX is a collection of boot loaders which operates off Linux ext2/3/4 or
 btrfs filesystems, MS-DOS FAT filesystems, network servers using PXE firmware,
 or from CD-ROMs.
 .
 This package contains the graphical bootloader theme for Debian squeeze.
Tag: admin::boot, role::app-data, suite::debian
Section: misc
Priority: optional
Filename: pool/main/s/syslinux-themes-debian/syslinux-themes-debian-squeeze_11-1.1_all.deb

Package: syslinux-themes-debian-wheezy
Source: syslinux-themes-debian
Version: 11-1.1
Installed-Size: 207
Maintainer: Daniel Baumann 
Architecture: all
Provides: syslinux-theme
Depends: memtest86+, syslinux-common
Enhances: extlinux
Size: 56250
SHA256: cdf843a4a69cf5da1a93d83d683c2698ae07c733b64cc7cdc4af94c632390afd
SHA1: 03f0154700fe42cd713395fd3558a7431b8ae1f8
MD5sum: 7521c86fffca0d4eae600affc931a22f
Description: collection of boot loaders (debian-wheezy theme)
 SYSLINUX is a collection of boot loaders which operates off Linux ext2/3/4 or
 btrfs filesystems, MS-DOS FAT filesystems, network servers using PXE firmware,
 or from CD-ROMs.
 .
 This package contains the graphical bootloader theme for Debian wheezy.
Section: misc
Priority: optional
Filename: pool/main/s/syslinux-themes-debian/syslinux-themes-debian-wheezy_11-1.1_all.deb

Package: syslog-ng
Version: 3.3.5-4
Installed-Size: 33
Maintainer: Laszlo Boszormenyi (GCS) 
Architecture: all
Depends: syslog-ng-core (>= 3.3.5), syslog-ng-mod-sql, syslog-ng-mod-mongodb, syslog-ng-mod-json
Size: 28736
SHA256: a8ecfd39f6f84f22fa4f77ffe16d157af48003bac64081bf9de8bb1e989455b8
SHA1: 9381d67a1e4facdbd3de194f3418ea56474e50d3
MD5sum: b18ac0b125a8920cc59c295d2879df5e
Description: Next generation system logging daemon (metapackage)
 syslog-ng is an enhanced syslog daemon, supporting, amongst others:
  * Powerful configurability, while still being readable
  * Filtering on any part of the syslog message
  * Support for both legacy BSD and the new syslog protocol
  * Wide range of source and destination drivers, including TCP
    (plain, or over SSL/TLS), UDP, SQL, MongoDB
  * Also supports fine-grained output format control
  * Multi-threaded operation
 .
 The wide range of plugins make syslog-ng able to perform well in
 every situation, ranging from enterprise-class centralised
 deployments, through personal computers to ad-hoc connected networks.
 .
 This package is a metapackage, depending on the rest of syslog-ng,
 including all available plugins. It can be safely removed, if only
 parts of syslog-ng need to be installed.
Multi-Arch: foreign
Homepage: http://www.balabit.com/network-security/syslog-ng/opensource-logging-system
Tag: admin::accounting, admin::boot, admin::kernel, admin::logging,
 admin::monitoring, interface::daemon, network::server,
 role::metapackage, role::program, use::checking, use::monitor,
 use::storing, works-with::logfile
Section: admin
Priority: extra
Filename: pool/main/s/syslog-ng/syslog-ng_3.3.5-4_all.deb

Package: syslog-ng-core
Source: syslog-ng
Version: 3.3.5-4
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 831
Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libevtlog0 (>= 0.2.12), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libnet1 (>= 1.1.2.1), libpcre3 (>= 8.10), libssl1.0.0 (>= 1.0.0), libsyslog-ng-3.3.5, libsystemd-daemon0 (>= 31), libwrap0 (>= 7.6-4~), util-linux (>= 2.12-10)
Recommends: logrotate
Suggests: syslog-ng-mod-sql, syslog-ng-mod-mongodb, syslog-ng-mod-json
Conflicts: linux-kernel-log-daemon, system-log-daemon
Breaks: syslog-ng (<< 3.3.0~)
Replaces: syslog-ng (<< 3.3.0~)
Provides: linux-kernel-log-daemon, system-log-daemon
Multi-Arch: foreign
Homepage: http://www.balabit.com/network-security/syslog-ng/opensource-logging-system
Priority: extra
Section: admin
Filename: pool/main/s/syslog-ng/syslog-ng-core_3.3.5-4_armhf.deb
Size: 175274
SHA256: 2866f5566886d4b97702ed7a9af7ddf793840d5c39389ea7c458a8a4d1c7b994
SHA1: 0c4111807936a460017fe813adee3f41b907905a
MD5sum: e3c6971e4ac63a6d32983e8c56de7d06
Description: Next generation system logging daemon (core)
 syslog-ng is an enhanced syslog daemon, supporting, amongst others:
  * Powerful configurability, while still being readable
  * Filtering on any part of the syslog message
  * Support for both legacy BSD and the new syslog protocol
  * Wide range of source and destination drivers, including TCP
    (plain, or over SSL/TLS), UDP, SQL, MongoDB
  * Also supports fine-grained output format control
  * Multi-threaded operation
 .
 The wide range of plugins make syslog-ng able to perform well in
 every situation, ranging from enterprise-class centralised
 deployments, through personal computers to ad-hoc connected networks.
 .
 This package provides the core syslog-ng, with all the plugins
 required for a standard installation.

Package: syslog-ng-dbg
Source: syslog-ng
Version: 3.3.5-4
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 1399
Depends: syslog-ng-core (= 3.3.5-4)
Multi-Arch: same
Homepage: http://www.balabit.com/network-security/syslog-ng/opensource-logging-system
Priority: extra
Section: debug
Filename: pool/main/s/syslog-ng/syslog-ng-dbg_3.3.5-4_armhf.deb
Size: 1063202
SHA256: 46aa1e70e33419479f9486579f6cecec2fb6f6e34ffde70f2467c2041215961f
SHA1: e635fa23b3cf4c52cbb71fc094a6ed799a481759
MD5sum: e3c6229d6073cb845787bb0c1fdbbcd2
Description: Next generation system logging daemon (debug symbols)
 syslog-ng is an enhanced syslog daemon, supporting, amongst others:
  * Powerful configurability, while still being readable
  * Filtering on any part of the syslog message
  * Support for both legacy BSD and the new syslog protocol
  * Wide range of source and destination drivers, including TCP
    (plain, or over SSL/TLS), UDP, SQL, MongoDB
  * Also supports fine-grained output format control
  * Multi-threaded operation
 .
 The wide range of plugins make syslog-ng able to perform well in
 every situation, ranging from enterprise-class centralised
 deployments, through personal computers to ad-hoc connected networks.
 .
 This package contains debug symbols for the whole of syslog-ng,
 including the modules.

Package: syslog-ng-mod-json
Source: syslog-ng
Version: 3.3.5-4
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 86
Depends: syslog-ng-core (= 3.3.5-4), libc6 (>= 2.13-28), libjson0 (>= 0.10), libsyslog-ng-3.3.5
Multi-Arch: foreign
Homepage: http://www.balabit.com/network-security/syslog-ng/opensource-logging-system
Priority: extra
Section: admin
Filename: pool/main/s/syslog-ng/syslog-ng-mod-json_3.3.5-4_armhf.deb
Size: 30544
SHA256: 73aef6c070d5e0317bb0e474c796cd3f8166c6fec5c5e6fc4a366b51eff6b54b
SHA1: 29932fbebf08ff6ac5fb17e6c84497d06dd5598b
MD5sum: 06eb4f2f4e520f71ca6ee8590c59e2f6
Description: Next generation system logging daemon (JSON plugin)
 syslog-ng is an enhanced syslog daemon, supporting, amongst others:
  * Powerful configurability, while still being readable
  * Filtering on any part of the syslog message
  * Support for both legacy BSD and the new syslog protocol
  * Wide range of source and destination drivers, including TCP
    (plain, or over SSL/TLS), UDP, SQL, MongoDB
  * Also supports fine-grained output format control
  * Multi-threaded operation
 .
 The wide range of plugins make syslog-ng able to perform well in
 every situation, ranging from enterprise-class centralised
 deployments, through personal computers to ad-hoc connected networks.
 .
 This package provides the $(format-json) template function plugin,
 which makes it possible to conveniently serialize system log messages
 to JSON.

Package: syslog-ng-mod-mongodb
Source: syslog-ng
Version: 3.3.5-4
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 114
Depends: syslog-ng-core (= 3.3.5-4), libc6 (>= 2.13-28), libmongo-client0 (>= 0.1.0), libsyslog-ng-3.3.5
Suggests: mongodb-server
Multi-Arch: foreign
Homepage: http://www.balabit.com/network-security/syslog-ng/opensource-logging-system
Priority: extra
Section: admin
Filename: pool/main/s/syslog-ng/syslog-ng-mod-mongodb_3.3.5-4_armhf.deb
Size: 37648
SHA256: 76590c9d8d934ecf0cc959ba59101add9d45cfeab5b03852c2e7472503dda9ef
SHA1: d76706a5bb710b8fd5e403edcb6f74860226c091
MD5sum: 3c466c37d81768b2e1d51713d7173e13
Description: Next generation system logging daemon (MongoDB plugin)
 syslog-ng is an enhanced syslog daemon, supporting, amongst others:
  * Powerful configurability, while still being readable
  * Filtering on any part of the syslog message
  * Support for both legacy BSD and the new syslog protocol
  * Wide range of source and destination drivers, including TCP
    (plain, or over SSL/TLS), UDP, SQL, MongoDB
  * Also supports fine-grained output format control
  * Multi-threaded operation
 .
 The wide range of plugins make syslog-ng able to perform well in
 every situation, ranging from enterprise-class centralised
 deployments, through personal computers to ad-hoc connected networks.
 .
 This package provides the MongoDB destination plugin, which allows
 one to log system messages to MongoDB.

Package: syslog-ng-mod-sql
Source: syslog-ng
Version: 3.3.5-4
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 127
Depends: syslog-ng-core (= 3.3.5-4), libc6 (>= 2.13-28), libdbi1 (>= 0.8.4), libssl1.0.0 (>= 1.0.0), libsyslog-ng-3.3.5
Suggests: libdbd-mysql, libdbd-pgsql, libdbd-sqlite3
Multi-Arch: foreign
Homepage: http://www.balabit.com/network-security/syslog-ng/opensource-logging-system
Priority: extra
Section: admin
Filename: pool/main/s/syslog-ng/syslog-ng-mod-sql_3.3.5-4_armhf.deb
Size: 41876
SHA256: 82524297136e72ff430deca1c44edf326b91b23c9570015fe2526b713e3f18e9
SHA1: 9f34ad3f0d638ed8f562af01fd933e73e8e7ead6
MD5sum: d7e25ba023fb8f926e6c0572bb8dc2c6
Description: Next generation system logging daemon (SQL plugin)
 syslog-ng is an enhanced syslog daemon, supporting, amongst others:
  * Powerful configurability, while still being readable
  * Filtering on any part of the syslog message
  * Support for both legacy BSD and the new syslog protocol
  * Wide range of source and destination drivers, including TCP
    (plain, or over SSL/TLS), UDP, SQL, MongoDB
  * Also supports fine-grained output format control
  * Multi-threaded operation
 .
 The wide range of plugins make syslog-ng able to perform well in
 every situation, ranging from enterprise-class centralised
 deployments, through personal computers to ad-hoc connected networks.
 .
 This package provides the SQL destination plugin, which allows one to
 log system messages to a multitude of SQL databases (including, but
 not limited to MySQL, PostgreSQL and SQLite).

Package: syslog-summary
Version: 1.14-2
Installed-Size: 84
Maintainer: David Paleino 
Architecture: all
Depends: python (>= 2.5)
Recommends: python-magic
Size: 10254
SHA256: 6adbda64d35c24c1716987bebc1565a87a4bf0e539cf44a487f01b690a6d8144
SHA1: e29a579108feb48e942d86268648bb49a62fb2a6
MD5sum: fa18f62e04528bb6bb5aeaf758dc083a
Description: summarize the contents of a syslog log file
 This program summarizes the contents of a log file written by syslog,
 by displaying each unique (except for the time) line once, and also
 the number of times such a line occurs in the input. The lines are
 displayed in the order they occur in the input.
 .
 It is also possible to define some "ignore rules" using regular
 expressions.
Tag: interface::commandline, role::program, scope::utility,
 security::log-analyzer, use::scanning, works-with::logfile
Section: admin
Priority: optional
Filename: pool/main/s/syslog-summary/syslog-summary_1.14-2_all.deb

Package: syslogout
Source: sysprofile
Version: 0.3.8
Installed-Size: 53
Maintainer: Paul Seelig 
Architecture: all
Recommends: sysprofile
Size: 15048
SHA256: ece1d4ffb8b0856f1ff3b5436eabb85cc8fff872ab382e66873b140ef2938064
SHA1: 8871a915cd555046e1cf154e31c7da86444217d2
MD5sum: 9d2551e0838a9cd456b839796f9f236d
Description: Modularized system wide shell logout mechanism
 Simple centralized configuration mechanism for flexible maintenance
 of the shell specific parts for logout from a Debian system.
 .
 It has been designed to work with bash.  Other shells have not
 been taken in consideration for this version.
Tag: admin::configuring, admin::user-management, suite::debian
Section: admin
Priority: optional
Filename: pool/main/s/sysprofile/syslogout_0.3.8_all.deb

Package: sysnews
Version: 0.9-17
Architecture: armhf
Maintainer: Ryan Niebur 
Installed-Size: 87
Depends: libc6 (>= 2.13-28)
Conflicts: news
Replaces: news
Priority: optional
Section: admin
Filename: pool/main/s/sysnews/sysnews_0.9-17_armhf.deb
Size: 14854
SHA256: 39f2497b43355d2f5962282b5669de3a31f05cff779d565d4a311c6783fe9bed
SHA1: 3f843f43b805d539f739f6655a71ac028ff4d5fe
MD5sum: 4580833ed86a28838ba62aeae5c7cdff
Description: program to display system news
 The news command keeps you informed of news concerning the system.
 Each news item is contained in a separate file in the /var/lib/sysnews
 directory. Anyone having write permission to this directory can create
 a news file.
 .
 NOTE: This command has nothing to do with USENET news. It's more like an
 enhanced motd.

Package: sysprofile
Version: 0.3.8
Installed-Size: 73
Maintainer: Paul Seelig 
Architecture: all
Recommends: syslogout
Size: 19284
SHA256: 06dcdd15a34a61b0cabf1a56645cb3ea23f21c063e6357511a363adb6b91504b
SHA1: 0ba6fcc818a85bad6bce1b9d90e7e2bf70992a68
MD5sum: 133344f712157dc7aea26d7b260a0121
Description: Modularized system wide shell configuration mechanism
 Simple centralized configuration mechanism for flexible maintenance
 of the shell specific parts for login to a Debian system.
 .
 It has been designed to work with bash.  Other shells have not
 been taken in consideration for this version.
Tag: admin::user-management, suite::debian, use::configuring
Section: admin
Priority: optional
Filename: pool/main/s/sysprofile/sysprofile_0.3.8_all.deb

Package: sysrqd
Version: 14-1
Architecture: armhf
Maintainer: Julien Danjou 
Installed-Size: 49
Depends: libc6 (>= 2.4)
Priority: optional
Section: admin
Filename: pool/main/s/sysrqd/sysrqd_14-1_armhf.deb
Size: 8572
SHA256: da1a754081526cb95f17c5118bb96a939bafc32970ed311683f496f00ceb2871
SHA1: 18a7af4667d98397ffbfa1e28277932201f9f4d7
MD5sum: f07d578eb0f06519c6b46607a94753db
Description: small daemon intended to manage Linux SysRq over network
 Permits to execute usual SysRq commands by network, like:
 sync, umount, reboot, poweroff, sak, term, etc.
 .
 Its philosophy is to be very responsive under heavy load and
 try to be somehow reliable. Authentication is made by clear password.

Package: sysstat
Version: 10.0.5-1
Architecture: armhf
Maintainer: Robert Luberda 
Installed-Size: 1221
Depends: bzip2, lsb-base (>= 3.0-6), ucf (>= 2.003), debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsensors4 (>= 1:3.0.0)
Recommends: cron
Suggests: isag
Homepage: http://pagesperso-orange.fr/sebastien.godard/
Priority: optional
Section: admin
Filename: pool/main/s/sysstat/sysstat_10.0.5-1_armhf.deb
Size: 381934
SHA256: 2d95b0e627fc9cd8c60a20d7b20572c33c557409b4d4db596b91d6a1d4a4ef00
SHA1: c7bf3390433ce1e756913bd6bcccec3e16d89545
MD5sum: 0517f8b8c91669259ce66b2d982d4c0c
Description: system performance tools for Linux
 The sysstat package contains the following system performance tools:
  - sar: collects and reports system activity information;
  - iostat: reports CPU utilization and disk I/O statistics;
  - mpstat: reports global and per-processor statistics;
  - pidstat: reports statistics for Linux tasks (processes);
  - sadf: displays data collected by sar in various formats;
  - nfsiostat: reports I/O statistics for network filesystems;
  - cifsiostat: reports I/O statistics for CIFS filesystems.
 .
 The statistics reported by sar deal with I/O transfer rates,
 paging activity, process-related activities, interrupts,
 network activity, memory and swap space utilization, CPU
 utilization, kernel activities and TTY statistics, among
 others. Both UP and SMP machines are fully supported.

Package: system-config-audit
Source: audit
Version: 1:1.7.18-1.1
Architecture: armhf
Maintainer: Philipp Matthias Hahn 
Installed-Size: 1745
Depends: python-audit, python-glade2, menu, chkconfig, libaudit0 (>= 1.7.13), libc6 (>= 2.13-28), python (>= 2.6.6-7~)
Homepage: http://people.redhat.com/sgrubb/audit/
Priority: extra
Section: admin
Filename: pool/main/a/audit/system-config-audit_1.7.18-1.1_armhf.deb
Size: 286368
SHA256: dd8be926c91aca8227a594b9eb286025a4fff7c9ec85790f6ae854b0f2b599c9
SHA1: 2f3a639ed1df0a0124b56a7c0e098fc9d4efd810
MD5sum: 8c92712f1407dfa8c4cf5c878d2fccb9
Description: Graphical utility for editing audit configuration
 The system-config-audit package provides a graphical users interface for
 configuring the Linux audit subsystem, which is used to monitor systems for
 security related events.

Package: system-config-cluster
Version: 1.0.53-1
Installed-Size: 1416
Maintainer: Philipp Huebner 
Architecture: all
Depends: python, python-support (>= 0.90.0), gettext (>= 0.17), gsfonts, menu, python-glade2, python-gnome2, python-gtk2, libxml2-utils
Recommends: redhat-cluster-suite
Suggests: system-config-lvm
Size: 116442
SHA256: ba38a97365b30050d630660d8ea4efd8a204b0f3f9b873738df51da050c7c9e8
SHA1: de71abab4aa533c96b076a2b9e28ddcee3bbdbf5
MD5sum: 29590ee5efe292f20593e08a8e4a4218
Description: graphical configuration and management tool for Red Hat Cluster Suite
 This GUI allows to create, modify and distribute the RHCS cluster.conf file,
 the key configuration for any RHCS setup.
 Furthermore it provides cluster management abilities like displaying cluster
 nodes and their status as well as starting, stopping and restarting services.
Homepage: http://www.redhat.com
Section: admin
Priority: extra
Filename: pool/main/s/system-config-cluster/system-config-cluster_1.0.53-1_all.deb

Package: system-config-lvm
Version: 1.1.16-1
Installed-Size: 3356
Maintainer: Philipp Huebner 
Architecture: all
Depends: python, python-support (>= 0.90.0), gettext (>= 0.17), gsfonts, lvm2, menu, python-glade2, python-gnome2, python-gtk2
Size: 679928
SHA256: c74694e71f3e501f046a0526b076e5d5da44eebf67114d1a7e90ac2b9b68c7de
SHA1: 06be0a451081e91658339ce5470ece5bf49a7e70
MD5sum: 6b17c3262f2f01439e41765bf672fca4
Description: utility for graphically configuring Logical Volumes
 System-config-lvm provides a graphical interface to the LVM tools (and related
 utilities, including fsck and resize2fs) which is good for non-emergency
 storage administration. It enables you to manage your logical volume and
 filesystem configuration with a few mouse clicks, and it prevents potentially-
 disasterous command-line mistakes such as reducing a logical volume size before
 reducing the filesystem contained within that volume.
 .
 (One word of warning: system-config-lvm does not recognize RAID elements as
 being in use, and therefore lists them as "Unitnitialized Entities". If you are
 using a LVM-on-RAID configuration, system-config-lvm will let you wipe out RAID
 elements by making them into PVs. Be careful!)
Homepage: http://www.redhat.com
Tag: admin::filesystem, role::program, scope::utility
Section: admin
Priority: extra
Filename: pool/main/s/system-config-lvm/system-config-lvm_1.1.16-1_all.deb

Package: system-config-printer
Version: 1.3.7-4
Installed-Size: 4812
Maintainer: Otavio Salvador 
Architecture: all
Depends: python (>= 2.6), python-support (>= 0.90.0), python-cups (>= 1.9.42), python-cupshelpers (= 1.3.7-4), python-dbus, python-gtk2, python-glade2, python-gnome2, python-notify, python-libxml2, gnome-icon-theme
Recommends: system-config-printer-udev, cups-pk-helper
Suggests: python-smbc, python-gnomekeyring, sessioninstaller
Conflicts: hal-cups-utils
Size: 1257334
SHA256: 311c347dbfdccc0091e2d8fda9bcb70524eaeb5ee65bec83d30b8b07a8695c91
SHA1: 5e3f905dfa767502cd81c08bd0d2d72c0b7ce895
MD5sum: bdcd68dad8929bfa42ebdbf83fdcf12b
Description: graphical interface to configure the printing system
 System-config-printer is a GUI written in Python using GTK+ to
 configure a CUPS server. Its primary use is to configure the printing
 system on the local host, but can also be used to setup a remote
 printer.
 .
 In terms of features, it aims to be as complete as the CUPS web
 administration tool, while being integrated to the desktop.
Homepage: http://cyberelk.net/tim/software/system-config-printer/
Tag: admin::configuring, hardware::printer, implemented-in::python,
 interface::x11, role::program, scope::utility, uitoolkit::gtk,
 use::configuring
Section: python
Priority: optional
Filename: pool/main/s/system-config-printer/system-config-printer_1.3.7-4_all.deb

Package: system-config-printer-kde
Source: kdeadmin
Version: 4:4.8.4-3
Installed-Size: 943
Maintainer: Debian Qt/KDE Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python-qt4-dbus, python-kde4 (>= 4:4.2.0), python-cupshelpers (>= 1.2.3-0.2~), python-gobject
Size: 471128
SHA256: 3236f0d4417fcc175a4b57fdfd7eb4d7d56b9de5deb96391d38da70a841f38db
SHA1: 4a34b68dcf6f1f604240489bdbd1f4abf282615f
MD5sum: b15f56544267b8f6f2f3544db6ec41bd
Description: printer configuration utility
 This package contains a KDE application which can be used to configure
 and manage printers.
 .
 This package is part of the KDE administration module.
Homepage: http://www.kde.org/
Tag: admin::configuring, hardware::printer, implemented-in::python,
 interface::x11, role::program, scope::utility, suite::kde,
 uitoolkit::qt, use::configuring
Section: admin
Priority: optional
Filename: pool/main/k/kdeadmin/system-config-printer-kde_4.8.4-3_all.deb

Package: system-config-printer-udev
Source: system-config-printer
Version: 1.3.7-4
Architecture: armhf
Maintainer: Otavio Salvador 
Installed-Size: 132
Depends: libc6 (>= 2.13-28), libcups2 (>= 1.4.0), libglib2.0-0 (>= 2.12.0), libudev0 (>= 154), libusb-0.1-4 (>= 2:0.1.12), python (>= 2.6), python-cups (>= 1.9.42), python-cupshelpers (= 1.3.7-4), python-dbus
Conflicts: hal-cups-utils (<< 0.6.17)
Homepage: http://cyberelk.net/tim/software/system-config-printer/
Priority: optional
Section: gnome
Filename: pool/main/s/system-config-printer/system-config-printer-udev_1.3.7-4_armhf.deb
Size: 78936
SHA256: 39cf7cb64ad794d52e3bcf15cbd92f96b9eeadfac29ee2aa6ad9ec7fc6571171
SHA1: b4fdaea67e9d146117fb4be86736068887ddb6b3
MD5sum: dd0b1fc9d3d1dfe7b72e57e3e58e010d
Description: Utilities to detect and configure printers automatically
 These utilities allow to detect automatically printers using UDEV when they
 are plugged on the system. They are then configured automatically in the CUPS
 printing daemon and made available to users.

Package: system-tools-backends
Version: 2.10.2-1
Architecture: armhf
Maintainer: Jose Carlos Garcia Sogo 
Installed-Size: 1061
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.16.0), libpolkit-gobject-1-0 (>= 0.94), libnet-dbus-perl, dbus (>= 1.1.2)
Conflicts: liboobs-1-3
Breaks: gnome-system-tools (<< 2.30), liboobs-1-4 (<< 2.30)
Replaces: gnome-system-tools (<< 1.1)
Homepage: http://system-tools-backends.freedesktop.org/
Priority: optional
Section: admin
Filename: pool/main/s/system-tools-backends/system-tools-backends_2.10.2-1_armhf.deb
Size: 199692
SHA256: 4fddd62e49bcc600d11ae4c0177efa6ef96f84df7eababac1e8480c668c700af
SHA1: 8c052a5a76513c875e50c3d1632b44023e727c77
MD5sum: 2bee255a89b20db659c9bef5a027c8c3
Description: System Tools to manage computer configuration -- scripts
 The System Tools Backends are a set of cross-platform scripts
 for Linux and other Unix systems. The backends provide a standard
 XML interface for modifying the configuration regardless of the
 distribution that's being used.
 .
 These backends are used in GNOME System Tools.

Package: system-tools-backends-dev
Source: system-tools-backends
Version: 2.10.2-1
Installed-Size: 112
Maintainer: Jose Carlos Garcia Sogo 
Architecture: all
Replaces: gnome-system-tools (<< 1.1)
Size: 82294
SHA256: 533d817763cc59bb8076b0255cfa4ea7856e6ad530de4afe9f7326d1d124d0cb
SHA1: 594e77e08058ed7317f63ec4be5186e677f720f9
MD5sum: 6ba33da98a43877a6f106aa852320d30
Description: System Tools to manage computer configuration -- development files
 The System Tools Backends are a set of cross-platform scripts
 for Linux and other Unix systems. The backends provide a standard
 XML interface for modifying the configuration regardless of the
 distribution that's being used.
 .
 These backends are used in GNOME System Tools.
 .
 This package contains the development files.
Homepage: http://system-tools-backends.freedesktop.org/
Tag: devel::library, role::devel-lib
Section: devel
Priority: optional
Filename: pool/main/s/system-tools-backends/system-tools-backends-dev_2.10.2-1_all.deb

Package: systemd
Version: 44-11+deb7u5
Architecture: armhf
Maintainer: Tollef Fog Heen 
Installed-Size: 3123
Pre-Depends: dpkg (>= 1.15.7.2)
Depends: libacl1 (>= 2.2.51-8), libaudit0 (>= 1.7.13), libc6 (>= 2.13-28), libcap2 (>= 2.10), libcryptsetup4 (>= 2:1.4), libdbus-1-3 (>= 1.1.1), libgcc1 (>= 1:4.4.0), libkmod2 (>= 5~), liblzma5 (>= 5.1.1alpha+20120614), libpam0g (>= 0.99.7.1), libselinux1 (>= 2.0.65), libsystemd-daemon0 (>= 31), libsystemd-id128-0 (>= 38), libsystemd-journal0 (>= 38), libsystemd-login0 (>= 38), libudev0 (>= 172), libwrap0 (>= 7.6-4~), util-linux (>= 2.19.1-2), initscripts (>= 2.88dsf-17), udev
Recommends: libpam-systemd
Suggests: systemd-gui, python, python-dbus, python-cairo
Conflicts: klogd
Breaks: lsb-base (<< 4.1+Debian4), lvm2 (<< 2.02.84-1)
Homepage: http://www.freedesktop.org/wiki/Software/systemd
Priority: extra
Section: admin
Filename: pool/main/s/systemd/systemd_44-11+deb7u5_armhf.deb
Size: 1394274
SHA256: 2fdc0b7c2b7b1f8b0d8eb4ce3ee5472078807ef8acfc7d6a4e4c8aebfd25b6d1
SHA1: 15705c09a23dc4acb3ac71ce5a7922f3a1c0e9be
MD5sum: 0285159165ba76c3a9b3790623b24501
Description: system and service manager
 systemd is a replacement for sysvinit.  It is dependency-based and
 able to read the LSB init script headers in addition to parsing rcN.d
 links as hints.
 .
 It also provides process supervision using cgroups and the ability to
 not only depend on other init script being started, but also
 availability of a given mount point or dbus service.

Package: systemd-gui
Source: systemd
Version: 44-11+deb7u5
Architecture: armhf
Maintainer: Tollef Fog Heen 
Installed-Size: 138
Depends: systemd (= 44-11+deb7u5), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.26.0), libgtk2.0-0 (>= 2.18.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0)
Homepage: http://www.freedesktop.org/wiki/Software/systemd
Priority: extra
Section: admin
Filename: pool/main/s/systemd/systemd-gui_44-11+deb7u5_armhf.deb
Size: 59706
SHA256: d3930c84ffe40d00a7833ba8f72fbcb7e68b4296e48cb8adc9a4b9525b5aa074
SHA1: 5afed7f9f5a08bfe8462789cbf4850f2e3436557
MD5sum: e52c1f21bced63807dc5586a87a4bba8
Description: system and service manager - GUI
 systemd is a replacement for sysvinit.  It is dependency-based and
 able to read the LSB init script headers in addition to parsing rcN.d
 links as hints.
 .
 It also provides process supervision using cgroups and the ability to
 not only depend on other init script being started, but also
 availability of a given mount point or dbus service.
 .
 This package contains the graphical frontend for systemd.

Package: systemd-sysv
Source: systemd
Version: 44-11+deb7u5
Architecture: armhf
Maintainer: Tollef Fog Heen 
Installed-Size: 14
Depends: systemd (= 44-11+deb7u5)
Conflicts: runit-run, sysvinit, upstart
Replaces: sysvinit
Homepage: http://www.freedesktop.org/wiki/Software/systemd
Priority: extra
Section: admin
Filename: pool/main/s/systemd/systemd-sysv_44-11+deb7u5_armhf.deb
Size: 15182
SHA256: 1ca7d57200e0b975066c07819baa789e5a90210b73aa8d2761253b7d184951f8
SHA1: 5ee3917ad5aeb478881b910e69746eadacabeb59
MD5sum: 6b07f9e25c1105c667da76b6fca092ee
Description: system and service manager - SysV links
 systemd is a replacement for sysvinit.  It is dependency-based and
 able to read the LSB init script headers in addition to parsing rcN.d
 links as hints.
 .
 It also provides process supervision using cgroups and the ability to
 not only depend on other init script being started, but also
 availability of a given mount point or dbus service.
 .
 This package provides the manual pages and links needed for systemd
 to replace sysvinit.

Package: systempreferences.app
Version: 1.1.0-2
Architecture: armhf
Maintainer: Debian GNUstep maintainers 
Installed-Size: 1084
Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), gnustep-fslayout-fhs
Homepage: http://gnustep.org/experience/systempreferences.html
Priority: optional
Section: gnustep
Filename: pool/main/s/systempreferences.app/systempreferences.app_1.1.0-2_armhf.deb
Size: 297754
SHA256: 50c4a8c73c869265b8e68385f5490b0e915907220302ea65746d30d2dc86b004
SHA1: 6befca524d5284beea278b1161ea656696818bdc
MD5sum: 4e73e3acfa2bc6df43e48aeb4e367d96
Description: GNUstep preferences application
 System Preferences is an application which allows to manage the
 settings of many aspects of the GNUstep environment and its
 applications.  System Preferences are made of Modules, each one a
 bundle representing a single control panel, and is thus extensible
 and modular.

Package: systemsettings
Source: kde-workspace
Version: 4:4.8.4-6
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 996
Depends: kde-runtime, libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libkcmutils4 (>= 4:4.8), libkdecore5 (>= 4:4.8), libkdeui5 (>= 4:4.8), libkhtml5 (>= 4:4.8), libkio5 (>= 4:4.8), libknewstuff3-4 (>= 4:4.8), libqt4-dbus (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libx11-6
Homepage: http://www.kde.org/
Priority: optional
Section: kde
Filename: pool/main/k/kde-workspace/systemsettings_4.8.4-6_armhf.deb
Size: 234102
SHA256: 1a4d9239e91a8a8cf32caeb32ab933ebd63e32673722e6e98ae580f415076ba5
SHA1: e8240cb8b4190102e0350e6bf15b2a7049d4c036
MD5sum: 9d188faaf2028021f7d92fc5f263a81f
Description: System Settings
 System Settings is an improved user interface for configuring the desktop
 and other aspects of the system.
 .
 This package is part of the KDE base workspace module.

Package: systemtap
Version: 1.7-1+deb7u1
Architecture: armhf
Maintainer: Ritesh Raj Sarraf 
Installed-Size: 1629
Depends: systemtap-runtime (= 1.7-1+deb7u1), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), libdw1 (>= 0.149), libelf1 (>= 0.142), libgcc1 (>= 1:4.4.0), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.6), systemtap-common (= 1.7-1+deb7u1), make
Suggests: systemtap-doc, vim-addon-manager, linux-image, linux-headers, linux-debug
Homepage: http://sourceware.org/systemtap/
Priority: optional
Section: devel
Filename: pool/main/s/systemtap/systemtap_1.7-1+deb7u1_armhf.deb
Size: 772566
SHA256: e3e0351454873513b7db2797e302feed46f0edd8c535db3d563798c37b6e02f1
SHA1: 3ca70c41e5de7d316278fce54f8256d6e523b27e
MD5sum: b75b0a30f8eef09229c5aafdbb3c99f6
Description: instrumentation system for Linux
 SystemTap provides infrastructure to simplify the gathering of
 information about the running Linux system.
 This assists diagnosis of a performance or functional problem.
 SystemTap eliminates the need for the developer to go through the
 tedious and disruptive instrument, recompile, install, and reboot
 sequence that may be otherwise required to collect data.
 .
 SystemTap provides a simple command line interface and scripting
 language for writing instrumentation for a live running system.

Package: systemtap-client
Source: systemtap
Version: 1.7-1+deb7u1
Architecture: armhf
Maintainer: Ritesh Raj Sarraf 
Installed-Size: 66
Depends: avahi-utils, unzip, zip, dnsutils, systemtap-runtime (= 1.7-1+deb7u1)
Suggests: systemtap-server
Homepage: http://sourceware.org/systemtap/
Priority: optional
Section: devel
Filename: pool/main/s/systemtap/systemtap-client_1.7-1+deb7u1_armhf.deb
Size: 16668
SHA256: 1723fc7f585fa8e07d5e43e8d5950139045e5a7d2b63fdf56d8c776760743856
SHA1: e2c8de08bd12f2aa032a6e0ea07d27c9ab109934
MD5sum: 48baf2e1b0687eec166dec4aded79b67
Description: instrumentation system for Linux (client for compile server)
 This package contains client for SystemTap compile server. It can be
 used to compile probes on a remote machine running systemtap-server.
 This client can be run on a machine without full kernel debug information
 and development environment required for 'systemtap' package.
 .
 If you only want to compile systemtap probes locally just install
 'systemtap'  package.
 .
 SystemTap provides infrastructure to simplify the gathering of
 information about the running Linux system.

Package: systemtap-common
Source: systemtap
Version: 1.7-1+deb7u1
Installed-Size: 2383
Maintainer: Ritesh Raj Sarraf 
Architecture: all
Replaces: systemtap (<< 0.0.20081220-1)
Recommends: systemtap
Breaks: systemtap (<< 0.0.20081220-1)
Size: 515448
SHA256: fc1f3d673a486c3466423d3dff293518002a4086ccd44d373757df581d068b44
SHA1: 7fff1617838954beb2d63071f056bb2c9e4d4de4
MD5sum: f5f5ab8f47b6d65c8d217c1417730bbe
Description: instrumentation system for Linux (common component)
 SystemTap provides infrastructure to simplify the gathering of
 information about the running Linux system.
 .
 To be able to write new SystemTap probes, install systemtap package.
 .
 This package contains the common files
Homepage: http://sourceware.org/systemtap/
Section: devel
Priority: optional
Filename: pool/main/s/systemtap/systemtap-common_1.7-1+deb7u1_all.deb

Package: systemtap-doc
Source: systemtap
Version: 1.7-1+deb7u1
Installed-Size: 3263
Maintainer: Ritesh Raj Sarraf 
Architecture: all
Replaces: systemtap (<< 0.0.20081220-1)
Suggests: doc-base
Breaks: systemtap (<< 0.0.20081220-1)
Size: 1135090
SHA256: c3c93117041e380165d2e415226c56c024e005bb8e24e3b021002c7d41b27a8e
SHA1: 165538b5d576f9295c7c62815dfc1daaa8417867
MD5sum: 9ef08e25b77aa9b9aaa3b2b2c96f7183
Description: documentation and examples for SystemTap
 This package contains examples and documentation for SystemTap.
 .
 SystemTap provides infrastructure to simplify the gathering of
 information about the running Linux system.
Homepage: http://sourceware.org/systemtap/
Tag: made-of::html, made-of::man, made-of::pdf, role::documentation
Section: doc
Priority: optional
Filename: pool/main/s/systemtap/systemtap-doc_1.7-1+deb7u1_all.deb

Package: systemtap-grapher
Source: systemtap
Version: 1.7-1+deb7u1
Architecture: armhf
Maintainer: Ritesh Raj Sarraf 
Installed-Size: 323
Depends: systemtap (= 1.7-1+deb7u1), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairomm-1.0-1 (>= 1.6.4), libgcc1 (>= 1:4.4.0), libglademm-2.4-1c2a (>= 2.6.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtkmm-2.4-1c2a (>= 1:2.24.0), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6)
Homepage: http://sourceware.org/systemtap/
Priority: optional
Section: devel
Filename: pool/main/s/systemtap/systemtap-grapher_1.7-1+deb7u1_armhf.deb
Size: 117160
SHA256: 224e6cd77a6e591c6240c20dddde3cb20e34ffcf6df2e2b2e125968d301066d6
SHA1: 04c88a3ef685bb770e800ad64b5fe4218bf4aece
MD5sum: 287acccb82b50555fbf6b2f241b46154
Description: instrumentation system for Linux (grapher)
 This package contains a utility for real-time visualization of
 data from SystemTap instrumentation scripts.
 .
 SystemTap provides infrastructure to simplify the gathering of
 information about the running Linux system.

Package: systemtap-runtime
Source: systemtap
Version: 1.7-1+deb7u1
Architecture: armhf
Maintainer: Ritesh Raj Sarraf 
Installed-Size: 376
Depends: libc6 (>= 2.13-28), libelf1 (>= 0.142), libgcc1 (>= 1:4.4.0), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libstdc++6 (>= 4.4.0), adduser
Suggests: systemtap
Breaks: systemtap (<< 0.0.20081220-1)
Replaces: systemtap (<< 0.0.20081220-1)
Homepage: http://sourceware.org/systemtap/
Priority: optional
Section: devel
Filename: pool/main/s/systemtap/systemtap-runtime_1.7-1+deb7u1_armhf.deb
Size: 152790
SHA256: 47274e8ab167174979074e775551935d7d58be65f686cd157d76c2c8c7697bbe
SHA1: d032a88a1e3a1f765b03705dbdcd96751a4f81c3
MD5sum: eea70d482c159edec59c65f27432f26a
Description: instrumentation system for Linux (runtime component)
 This package contains staprun program that can be used to run
 compiled SystemTap probes.
 .
 SystemTap provides infrastructure to simplify the gathering of
 information about the running Linux system.
 .
 To be able to write new SystemTap probes, install systemtap package.

Package: systemtap-sdt-dev
Source: systemtap
Version: 1.7-1+deb7u1
Architecture: armhf
Maintainer: Ritesh Raj Sarraf 
Installed-Size: 89
Depends: python
Homepage: http://sourceware.org/systemtap/
Priority: optional
Section: devel
Filename: pool/main/s/systemtap/systemtap-sdt-dev_1.7-1+deb7u1_armhf.deb
Size: 24550
SHA256: 4fe0e55b306da1f90d5d211cad12b6867230a722fbc02edb2913395b183ae83e
SHA1: 1cc40592f95b77b564eb56027e1242c054cfef8d
MD5sum: d7dc4838be97e1d46bf94c017ab7c6fb
Description: statically defined probes development files
 This package contains header file and executable (dtrace) that
 can be used to add static probes into userspace applications
 for use with SystemTap.
 .
 SystemTap provides infrastructure to simplify the gathering of
 information about the running Linux system.
 .
 To be able to write new SystemTap probes, install systemtap package.

Package: systemtap-server
Source: systemtap
Version: 1.7-1+deb7u1
Architecture: armhf
Maintainer: Ritesh Raj Sarraf 
Installed-Size: 490
Depends: libnss3-tools, avahi-utils, unzip, zip, systemtap (= 1.7-1+deb7u1), systemtap-client (= 1.7-1+deb7u1), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libnss3 (>= 2:3.13.4-2~) | libnss3-1d (>= 3.12.0~1.9b1), libstdc++6 (>= 4.4.0), net-tools
Breaks: systemtap-client (<< 0.0.20090523~)
Replaces: systemtap-client (<< 0.0.20090523~)
Homepage: http://sourceware.org/systemtap/
Priority: optional
Section: devel
Filename: pool/main/s/systemtap/systemtap-server_1.7-1+deb7u1_armhf.deb
Size: 192462
SHA256: 6ae85e41c98184bae0401dd8b2895e55ae04b1b0130c95ffa5ae6985f835a03f
SHA1: 07d83674a05a96f9ad72a4d727956303b001da27
MD5sum: 5f49928bade20e25082c43082ee58137
Description: instrumentation system for Linux (compile server)
 This package contains compile server for SystemTap. It can be used
 together with systemtap client to compile probes on a remote machine.
 Probes will be compiled by the server on request of remote clients.
 .
 SystemTap provides infrastructure to simplify the gathering of
 information about the running Linux system.

Package: systraq
Version: 0.0.20081217-3
Installed-Size: 673
Maintainer: Laurent Fousse 
Architecture: all
Depends: exim4 | mail-transport-agent, adduser, filetraq (>= 0.2-10), procps, net-tools, debsums
Size: 496056
SHA256: 711e4b8d3fb47c789111076667c5978c4eb909ed3f2fcd347e50585f376012df
SHA1: 9b885fdcb66afa79ada0e11f357d5b475f47dfd6
MD5sum: 6a50288f0d9cd622b8e81fd3950ad4da
Description: monitor your system and warn when system files change
 Systraq daily sends you an email listing the state of your system.
 Furthermore, if critical files have changed, you'll get an email within a
 shorter notice. Systraq consists of few very small shell scripts.
 .
 It can help you implementing a not too strict security policy.  For more
 demanding systems, you'd better use something like tripwire. Make sure you
 really want to do the monitoring this script offers: it might not comply with
 your site's privacy policy. Getting informed when users' config file change
 might be too intrusive.
 .
 Other similar tools are available in Debian (`diffmon' for instance), but
 systraq is less intrusive because it can warn for file changes without mailing
 a complete diff (which is not desirable for e.g. /etc/shadow).
Homepage: http://mdcc.cx/systraq
Tag: admin::monitoring, implemented-in::shell, interface::daemon,
 network::server, role::program, security::integrity, use::monitor,
 works-with::mail
Section: admin
Priority: optional
Filename: pool/main/s/systraq/systraq_0.0.20081217-3_all.deb

Package: systune
Version: 0.5.7
Installed-Size: 100
Maintainer: Debian QA Group 
Architecture: all
Size: 7900
SHA256: 94a63982db28632b282148efd5c787a4f7f1ea7ba97bf3b85bcf55245f7184af
SHA1: 80a088f92ad5218b1988cab712fe7c68e389de3d
MD5sum: 1e79fd51cd6de130930d85074e2c5104
Description: kernel tuning through the /proc file system
 This program writes kernel parameters, previously saved in a configuration
 file, to the /proc filesystem.  This enables kernel performance to be
 adjusted without recompiling the kernel.
 .
 systune can be alternative to sysctl(8). It is also started after the most
 daemons and other init.d scripts, so it can be used as "second stage" sysctl.
Tag: admin::configuring, admin::kernel, devel::profiler,
 interface::commandline, role::program, scope::utility,
 use::configuring, works-with::software:running
Section: admin
Priority: optional
Filename: pool/main/s/systune/systune_0.5.7_all.deb

Package: sysv-rc
Source: sysvinit
Version: 2.88dsf-41+deb7u1
Installed-Size: 296
Maintainer: Debian sysvinit maintainers 
Architecture: all
Replaces: file-rc
Depends: debconf (>= 0.5) | debconf-2.0, sysvinit-utils (>= 2.86.ds1-62), insserv (>> 1.12.0-10)
Recommends: lsb-base (>= 3.2-14)
Suggests: sysv-rc-conf, bum
Conflicts: file-rc
Breaks: initscripts (<< 2.86.ds1-63)
Size: 81816
SHA256: 6f9502988ce4f4f2161233469cd4919ddc3b766741b1e5e91305ba98aa7172e3
SHA1: adeee8bc87dea483c5f21162509e65757e7f7627
MD5sum: 6974f02c19b162ebfd915f30eb149e36
Description: System-V-like runlevel change mechanism
 This package provides support for the System-V like system
 for booting, changing runlevels, and shutting down,
 configured through symbolic links in /etc/rc?.d/.
Homepage: http://savannah.nongnu.org/projects/sysvinit
Tag: admin::boot, admin::configuring, implemented-in::perl,
 interface::commandline, role::app-data, role::program, scope::utility,
 use::configuring
Section: admin
Priority: required
Filename: pool/main/s/sysvinit/sysv-rc_2.88dsf-41+deb7u1_all.deb

Package: sysv-rc-conf
Version: 0.99-7
Installed-Size: 83
Maintainer: Debian QA Group 
Architecture: all
Depends: libcurses-ui-perl, sysv-rc
Size: 24208
SHA256: 51fd8a04dec6ebb76e20f65a7237bbd9d4c41a2d96d7fa756eee744662be8b6a
SHA1: 3a4d8580a791c328eb7fc03e2e740ed5b58439f6
MD5sum: 48a812c4a7ebef429e40d5dc744c6cff
Description: SysV init runlevel configuration tool for the terminal
 sysv-rc-conf provides a terminal GUI for managing "/etc/rc{runlevel}.d/"
 symlinks.  The interface comes in two different flavors, one that simply
 allows turning services on or off and another that allows for more fine tuned
 management of the symlinks.  Unlike most runlevel config programs, you can
 edit startup scripts for any runlevel, not just your current one.
Tag: admin::boot, implemented-in::perl, interface::text-mode, role::program,
 scope::utility, use::configuring, use::editing
Section: admin
Priority: optional
Filename: pool/main/s/sysv-rc-conf/sysv-rc-conf_0.99-7_all.deb

Package: sysvbanner
Version: 1.0.15
Architecture: armhf
Maintainer: Ryan Niebur 
Installed-Size: 55
Depends: libc6 (>= 2.4)
Priority: optional
Section: misc
Filename: pool/main/s/sysvbanner/sysvbanner_1.0.15_armhf.deb
Size: 6366
SHA256: d594d3f82e9c3a3ef97730d7404705947228a45ce384d721c7b5d956b9fb552c
SHA1: 4ab21a82a7d3544aec7fdf0a8688a6bdb1446e3e
MD5sum: 1038ff5b89dc77b38bf625758da477e3
Description: System-V banner clone
 Displays a `banner' text the same way as the System V banner does:
 horizontally.

Package: sysvinit
Version: 2.88dsf-41+deb7u1
Architecture: armhf
Essential: yes
Maintainer: Debian sysvinit maintainers 
Installed-Size: 218
Pre-Depends: initscripts (>= 2.88dsf-13.3), sysv-rc | file-rc, sysvinit-utils (>= 2.86.ds1-66)
Depends: libc6 (>= 2.13-28), libselinux1 (>= 1.32), libsepol1 (>= 1.14), debianutils (>= 4)
Homepage: http://savannah.nongnu.org/projects/sysvinit
Priority: required
Section: admin
Filename: pool/main/s/sysvinit/sysvinit_2.88dsf-41+deb7u1_armhf.deb
Size: 129124
SHA256: e9deb693c7766b45b5954fb34635ac1c21ffc540fe191d84cf053769c2a2ee39
SHA1: 4795337f316bc7b1e3b981b2361f17a342ebd369
MD5sum: 6507859d6f2235364f89471b91492f41
Description: System-V-like init utilities
 This package contains programs required for booting
 a Debian system and doing basic process management.
 .
 The most important program in the package is /sbin/init.
 It is the first process started on boot and continues
 to run as process number 1 until the system halts. All
 other processes are descended from it.

Package: sysvinit-utils
Source: sysvinit
Version: 2.88dsf-41+deb7u1
Architecture: armhf
Essential: yes
Maintainer: Debian sysvinit maintainers 
Installed-Size: 196
Depends: libc6 (>= 2.13-28), libselinux1 (>= 1.32)
Suggests: bootlogd, sash
Conflicts: chkconfig (<< 11.0-79.1-2), last, sysvconfig
Breaks: upstart (<< 1.5-1)
Replaces: last, sysvinit (<= 2.86.ds1-65)
Homepage: http://savannah.nongnu.org/projects/sysvinit
Priority: required
Section: admin
Filename: pool/main/s/sysvinit/sysvinit-utils_2.88dsf-41+deb7u1_armhf.deb
Size: 94312
SHA256: 22fb8452c8e5a066ed36442bb57e5019ddbc9d2a050107e5e0c77ba4f6f169a3
SHA1: 7bb2fcec04b1578fa0cf0f553037cd5094769f13
MD5sum: 82c9dde42ca31f8b7a7345d5011e70ea
Description: System-V-like utilities
 This package contains the important System-V-like utilities.
 .
 Specifically, this package includes:
 killall5, last, lastb, mesg, pidof, service, sulogin

Package: t-code
Version: 2:2.3.1-3
Installed-Size: 3176
Maintainer: NOSHIRO Shigeo 
Architecture: all
Depends: emacs | emacs22 | emacs21 | xemacs21-mule | xemacs21-mule-canna-wnn | xemacs21-gnome-mule-canna-wnn | xemacs21-gnome-mule | emacs-snapshot
Size: 1260828
SHA256: ef40257804db7f686854534b8c412cd1f07b6748d65303f577f6e5417995b86d
SHA1: ef0a1a92551833aa40bcacd35011de13365fbabd
MD5sum: 8af1fbe3c4c92440c23cf43f1fbc42eb
Description: Japanese direct input method environment for emacsen
 This package is provides tc2. the T-Code input environment for emacsen,
 which enables you to input Japanese characters with T-Code or TUT-Code.
 .
 T-Code is a Japanese input method that doesn't use Kana-to-Kanji
 conversion.  You can input Kanji characters directly in the same way
 of inputting Hiragana.  TUT-Code is an alternative to T-Code.
Homepage: http://openlab.jp/tcode/
Tag: accessibility::input, culture::japanese, implemented-in::lisp,
 interface::commandline, role::plugin, suite::emacs
Section: utils
Priority: optional
Filename: pool/main/t/t-code/t-code_2.3.1-3_all.deb

Package: t-coffee
Version: 9.02.r1228-2
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 1702
Depends: libc6 (>= 2.13-28)
Recommends: libsoap-lite-perl, libxml-simple-perl, tm-align
Suggests: boxshade, seaview, t-coffee-doc, t-coffee-examples, dialign-tx, kalign, mafft, muscle, poa, probcons, clustalw, amap-align, proda
Homepage: http://www.tcoffee.org/Projects_home_page/t_coffee_home_page.html
Priority: optional
Section: science
Filename: pool/main/t/t-coffee/t-coffee_9.02.r1228-2_armhf.deb
Size: 769796
SHA256: b662a6072a3f6f26bc3cbf56f83dcdd2728f7f79757a04364de704d763d91b73
SHA1: 27bb51c19d0d3754fefdfc1d303e48a133b6c7da
MD5sum: 7303ec582240329d765502c3a222d560
Description: Multiple Sequence Alignment
 T-Coffee is a multiple sequence alignment package. Given a set of
 sequences (Proteins or DNA), T-Coffee generates a multiple sequence
 alignment. Version 2.00 and higher can mix sequences and structures.
 .
 T-Coffee allows the combination of a collection of multiple/pairwise,
 global or local alignments into a single model. It also allows to
 estimate the level of consistency of each position within the new
 alignment with the rest of the alignments. See the pre-print for more
 information
 .
 T-Coffee has a special called M-Coffee that makes it possible to combine the
 output of many multiple sequence alignment packages. In its published version,
 it uses MUSCLE, PROBCONS, POA, DiAlign-TS, MAFFT, Clustal W, PCMA and
 T-Coffee.  A special version has been made for Debian, DM-Coffee, that uses
 only free software by replacing Clustal W by Kalign. Using the 8 Methods of
 M-Coffee can sometimes be a bit heavy. You can use a subset of your favorite
 methods if you prefer.

Package: t-coffee-doc
Source: t-coffee
Version: 9.02.r1228-2
Installed-Size: 28
Maintainer: Debian Med Packaging Team 
Architecture: all
Recommends: t-coffee
Suggests: t-coffee-examples
Size: 4122
SHA256: 5e6099f5fd8d0e5f3007c24ccfa46ca3de1f3b8bf301cdefe7cc5efdfb9efdd5
SHA1: bcc2426925b0d5aba42065750e3999862095035f
MD5sum: 9df365b70ea985e0c017aa4e618d7c25
Description: PDF and HTML accompaning documents to T-Coffee
 The tool for multiple sequence alignment, T-Coffee, is explained
 both from the users' perspective and for its technical background.
Homepage: http://www.tcoffee.org/Projects_home_page/t_coffee_home_page.html
Tag: field::biology, field::biology:bioinformatics, made-of::html,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/t-coffee/t-coffee-doc_9.02.r1228-2_all.deb

Package: t-coffee-examples
Source: t-coffee
Version: 9.02.r1228-2
Installed-Size: 1060
Maintainer: Debian Med Packaging Team 
Architecture: all
Recommends: t-coffee
Size: 1018580
SHA256: bcb9d6139b690176acf234adda7929d40672f4879f0f42b08b7166c585a5b5d5
SHA1: 21ffb393f597f0406a95f90c3b31ff6e5920899f
MD5sum: c19f2bf7a1679561e662ad2b18309ab0
Description: annotated examples for the use of T-Coffee
 To help increasing the proficiency in the use of T-Coffee, this
 package provides examples for input sequences and their proper
 alignment.
Homepage: http://www.tcoffee.org/Projects_home_page/t_coffee_home_page.html
Tag: field::biology, field::biology:bioinformatics, role::data
Section: science
Priority: optional
Filename: pool/main/t/t-coffee/t-coffee-examples_9.02.r1228-2_all.deb

Package: t-prot
Version: 2.101-2
Installed-Size: 174
Maintainer: Gerfried Fuchs 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, perl (>= 5.6.0-16), liblocale-gettext-perl
Recommends: mutt (>= 1.5.5.1)
Suggests: mail-transport-agent
Enhances: inn2, mutt, slrn
Size: 65342
SHA256: 3c7d9574452bc049a9f1dacd47a85a954ffa2476e3c312eac871f338c951c83f
SHA1: c315d7cc7a3eb7f8decc173cdfec44e28ef5fca7
MD5sum: 81c071d2acc7649397f1c9140f1a32f1
Description: display filter for RFC822 messages
 This program is a filter which shall improve the readability of messages
 (email and posts) by *hiding* some annoying parts, e.g. mailing list footers,
 signatures and TOFU as well as squeezing sequences of blank lines or
 punctuation.
 .
 TOFU is an acronym that stands for "Text oben, Fullquote unten" (German
 language) which means the style of sadly so many people that just leave all
 the quotes in a reply and add some own lines above. This acronym is what gave
 the script its name - TOFU Protection.
 .
 It currently offers hints how to include it within mutt, slrn or inn2. It
 should be possible to do similars with other programs that allow to have a
 message run through a filter before it's displayed. If you use such a program
 we'd be interested if you could send in your setup.
Homepage: http://www.escape.de/~tolot/mutt/
Tag: implemented-in::perl, interface::commandline, mail::filters,
 role::program, scope::utility, use::converting, works-with::mail
Section: mail
Priority: optional
Filename: pool/main/t/t-prot/t-prot_2.101-2_all.deb

Package: t1-cyrillic
Source: scalable-cyrfonts
Version: 4.16
Installed-Size: 4834
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: scalable-cyrfonts, scalable-cyrfonts-x11
Depends: xfonts-utils (>= 1:7.5+2)
Pre-Depends: dpkg (>= 1.15.7.2)
Suggests: xfs (>= 4.0) | xserver
Conflicts: scalable-cyrfonts, scalable-cyrfonts-x11, xbase-clients (<< 4.0)
Size: 3621846
SHA256: ac103538ea55b80e83ab37b2e7a73cd59e181dfda856d1c285446cc94ef8a4f0
SHA1: d7a953f0a1e25dafcf09928e6ee75a67595e04b9
MD5sum: a5dc25755561566d7dbdc6c1eb75bfa2
Description: A basic set of free PostScript fonts
 This package includes free Type1 fonts for the following font
 families: Free Times, Free Helvetian, Free Helvetian Condensed, Free
 Courier, Free Avant Garde, Free Paladin, Free Schoolbook, Free
 Bookman and Free Chancery.
 .
 These fonts cover the Latin1 and Latin2 character sets and partially
 the most popular Cyrillic character sets.
 .
 The fonts look like the fonts in the Adobe basic set of PostScript
 fonts, but the font names are customized to avoid any trademark
 infringements.
Tag: culture::russian, made-of::font, role::app-data, role::data
Section: fonts
Priority: optional
Filename: pool/main/s/scalable-cyrfonts/t1-cyrillic_4.16_all.deb

Package: t1-oldslavic
Source: scalable-cyrfonts
Version: 4.16
Installed-Size: 46
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: scalable-cyrfonts, scalable-cyrfonts-x11
Depends: xfonts-utils (>= 1:7.5+2)
Pre-Depends: dpkg (>= 1.15.7.2)
Suggests: xfs (>= 4.0) | xserver
Conflicts: scalable-cyrfonts, scalable-cyrfonts-x11, xbase-clients (<< 4.0)
Size: 36588
SHA256: 62641ef1e8f2ed4545cdaf78bac04c1cce214e5f2850d65723c09e82044b708f
SHA1: 514e89cd36e491a6b5116c8a3bc08cb6bb2684a0
MD5sum: 32feaabf5ee9ad367e4b13da145ffc99
Description: OldSlavic -- a Cyrillic Type1 font with medieval design
 The letters in this font resemble the look of the medieval Cyrillic
 printed books.
 .
 This font is not for general use.  It contains only the basic
 Cyrillic and Latin letters; there are almost no punctuation signs in
 it.
Tag: made-of::font, role::app-data, role::data
Section: fonts
Priority: optional
Filename: pool/main/s/scalable-cyrfonts/t1-oldslavic_4.16_all.deb

Package: t1-teams
Source: scalable-cyrfonts
Version: 4.16
Installed-Size: 143
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: scalable-cyrfonts, scalable-cyrfonts-x11
Depends: xfonts-utils (>= 1:7.5+2)
Pre-Depends: dpkg (>= 1.15.7.2)
Suggests: xfs (>= 4.0) | xserver
Conflicts: scalable-cyrfonts, scalable-cyrfonts-x11, xbase-clients (<< 4.0)
Size: 105104
SHA256: 5a78238d1a633b49a284f551b13d32743030076da219b7745102a711d9bea3ef
SHA1: 732835845671a7eb9c5ec5294768d6e814532ae8
MD5sum: 2b7ee522b896034b1f096f7bbb860e09
Description: Teams -- a PostScript font covering ASCII and basic Cyrillic
 This is a Type1 font family (with regular, bold, slanted and
 slanted-bold faces) developed by TopTeam Co. -- a Bulgarian
 publishing house.  They use it to publish their newspapers and
 magazines.
 .
 These fonts cover only the English Latin characters and the
 Bulgarian and Russian Cyrillic characters.
Tag: culture::bulgarian, culture::russian, made-of::font, role::app-data,
 role::data
Section: fonts
Priority: optional
Filename: pool/main/s/scalable-cyrfonts/t1-teams_4.16_all.deb

Package: t1lib-bin
Source: t1lib
Version: 5.1.2-3.6
Architecture: armhf
Maintainer: Ruben Molina 
Installed-Size: 167
Depends: libc6 (>= 2.13-28), libt1-5 (>= 5.1.1), libx11-6, libxaw7, libxt6
Conflicts: t1lib1-bin
Replaces: t1lib1-bin
Provides: t1lib1-bin
Homepage: ftp://sunsite.unc.edu/pub/Linux/libs/graphics/
Priority: optional
Section: misc
Filename: pool/main/t/t1lib/t1lib-bin_5.1.2-3.6_armhf.deb
Size: 61108
SHA256: ce7acae14c4823c268165af95a0b37860d31743f4484acd5a13423631fc4032b
SHA1: 57ecb989d34d5df665d1a2277c8a34b148b58025
MD5sum: d542053a9211d31e2458cf19e84c518f
Description: Type 1 font rasterizer library - user binaries
 T1lib is an enhanced rasterizer for Type 1 fonts.
 .
 T1lib is based on the X11R5 font rasterizer code, but operates independently
 of X11.  It includes many enhancements, including underlining, antialiasing,
 user-defined slant and extension factors, and rotation.
 .
 This package contains the programs "xglyph" and "type1afm", included in the
 upstream T1lib distribution.  It also contains the "t1libconfig"
 script used to configure t1lib.

Package: t1utils
Version: 1.37-1
Architecture: armhf
Maintainer: C.M. Connelly 
Installed-Size: 230
Depends: libc6 (>= 2.7)
Homepage: http://www.lcdf.org/type/
Priority: optional
Section: text
Filename: pool/main/t/t1utils/t1utils_1.37-1_armhf.deb
Size: 80008
SHA256: e6911d5fd2dc5dd5cc62b0ff6fff784cdedeb83ae41773f314e089ee21688fa8
SHA1: efe15423f0e322bcdd08456dff3cc5677e0577ee
MD5sum: 454ccaf783748c27c401123d0bcb3137
Description: Collection of simple Type 1 font manipulation programs
 The t1utils tools allow you to convert between PFA (ASCII) and PFB
 (binary) formats; disassemble PFA or PFB files into human-readable
 form; and reassemble the human-readable files into PFA or PFB format
 files.  You can also  extract font resources from a Macintosh font
 file (ATM/Laserwriter), or create a Macintosh Type 1 font file from a
 PFA or PFB font.
 .
 There are currently six programs:
 .
  t1ascii   Converts PFB files to PFA format.
 .
  t1binary  Converts PFA files to PFB format.
 .
  t1disasm  Disassembles a Type 1 font (PFA or PFB format) into a raw,
            human-readable text form for subsequent hand editing,
            tweaking, hint fixing, etcetera...
 .
  t1asm     Assembles a Type 1 font into PFA or PFB format from
            the human-readable form produced by t1disasm.
 .
  t1unmac   Extracts POST resources from a Macintosh Type 1 font file
            (ATM/Laserwriter) into PFA or PFB format for use outside
            the Macintosh environment.  The Macintosh file should be
            stored in MacBinary, AppleSingle, AppleDouble, or BinHex
            format, or as a raw resource fork.  Note that t1unmac does
            not have to run on a Macintosh, but makes Macintosh Type 1
            fonts available for use on Unix machines and PCs.
 .
  t1mac     Creates a Macintosh Type 1 file from a PFA- or PFB-format
            Type 1 font. Writes the Macintosh file in MacBinary,
            AppleSingle, AppleDouble, or BinHex format, or as a raw
            resource fork.  WARNING: This tool will not suffice to
            allow you to use the new font on a Macintosh, as
            Macintoshes cannot read raw Type 1 fonts.  You will need
            to create a font suitcase containing bitmap fonts.  If you
            do not already have such a suitcase for the font, T1utils
            will not help you create one.
 .
 This version of the t1utils programs has been maintained by Eddie
 Kohler  since version 1.5, based on the
 original code by I. Lee Hetherington.  As such, it is a complete
 replacement for the t1utils Debian package, which is based on version
 1.2 of I. Lee Hetherington's code.

Package: t2html
Version: 2010.0302+gitbec03e2-2
Installed-Size: 546
Maintainer: Jari Aalto 
Architecture: all
Depends: perl, libwww-perl, libhtml-format-perl, libhtml-linkextractor-perl
Size: 146904
SHA256: 545121896a7219fef2322226d94d9794f3e315121399f59a247578a6e13cf27b
SHA1: 21538f511af66e86155a7c84092d17cd4bfc739c
MD5sum: 3b3baadbdcf50403feb18848f662c920
Description: text to HTML converter implemented in Perl
 Convert text file into HTML 4.01/CSS2 format. The is written in
 natural white paper format by using standard headings and indented
 paragraphs at standard tab position column 8. The text can *contain*
 _ASCII_ =markup= `tokens'. Embedding HTML is also possible via INCLUDE
 directives. This utility predates similar Python-based asciidoc.
 .
 A showcase: http://pm-doc.sourceforge.net/doc/
Homepage: http://freshmeat.net/projects/perl-text2html
Tag: devel::web, implemented-in::perl, role::program, scope::utility,
 use::converting, works-with-format::html, works-with::text
Section: text
Priority: optional
Filename: pool/main/t/t2html/t2html_2010.0302+gitbec03e2-2_all.deb

Package: t38modem
Version: 2.0.0-3
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 716
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopal3.10.4, libpt2.10.4, libspeexdsp1 (>= 1.2~beta3.2-1), libstdc++6 (>= 4.4.0)
Suggests: hylafax-server
Homepage: http://t38modem.sf.net/
Priority: optional
Section: comm
Filename: pool/main/t/t38modem/t38modem_2.0.0-3_armhf.deb
Size: 224558
SHA256: bacaf162029b988ab4606e5c9be78b96fa28f16405839658ce911f853ba00044
SHA1: 45631e05e5e4a3bbac6dc6f776f74578007cb4ca
MD5sum: 101cbc011a0f58591e3b723c2cbc8951
Description: T.38 Fax over IP pseudo modem
 From your fax application view point it's a fax modem pool.
 From IP network view point it's a H.323 endpoint with T.38 fax support.
 From your view point it's a gateway between a fax application and IP network.

Package: tabble
Version: 0.43-1
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 102
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), psmisc
Homepage: http://www.rillion.net/tabble
Priority: optional
Section: x11
Filename: pool/main/t/tabble/tabble_0.43-1_armhf.deb
Size: 32916
SHA256: 8bbd1729e25ca7e4c6e96fb083e90e31a0facd5dabd143cef04f6b31c135b3f6
SHA1: 68e9d44529088e8e32eeaec7f6549079eebd45b0
MD5sum: e212c5ffde764128ebc14aa5d97adcf7
Description: program launcher with tabs for X
 tabble is a small alternative X shell which presents your programs in
 tabs for easy launch. It is not meant to replace a window manager,
 just complement it. Light window managers benefit the most from
 tabble. The idea is that tabble is 'always on top' so multiple
 launches can be made without re-navigating a menu hierarchy or
 clearing the desktop.

Package: tabix
Version: 0.2.6-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 143
Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.2.3.3)
Homepage: http://samtools.sourceforge.net/tabix.shtml
Priority: optional
Section: science
Filename: pool/main/t/tabix/tabix_0.2.6-1_armhf.deb
Size: 58190
SHA256: 757e5c91b4303ebfab4f11be8b798a7e04c675ef00c8506b2e02763a85b437e0
SHA1: 9bac39278e1fa87bd0db1d8785daccdc7c33cb77
MD5sum: c232e7d23bbf80a01276aacf442359a1
Description: generic indexer for TAB-delimited genome position files
 Tabix indexes files where some columns indicate sequence coordinates: name
 (usually a chromosme), start and stop. The input data file must be position
 sorted and compressed by bgzip (provided in this package), which has a gzip
 like interface. After indexing, tabix is able to quickly retrieve data lines by
 chromosomal coordinates. Fast data retrieval also works over network if an URI
 is given as a file name.

Package: tableau-parm
Version: 0.2.0-1
Architecture: armhf
Maintainer: Debian Forensics 
Installed-Size: 55
Depends: libc6 (>= 2.13-28), libsgutils2-2 (>= 1.27)
Homepage: http://projects.sentinelchicken.org/tableau-parm/
Priority: optional
Section: admin
Filename: pool/main/t/tableau-parm/tableau-parm_0.2.0-1_armhf.deb
Size: 11254
SHA256: 00fd1f8c5b514d66dab71bee3bddcf1fdb496dac3302a1115ba8c5f33a834e36
SHA1: 69ae45f24baf643f4abdce10bd4dae6faac8d25b
MD5sum: 438fa36ae4db1a045d2fe1d0638217da
Description: tableau write-blocking bridge query/command utility
 The tableau-parm is an small command line utility designed to interact with
 Tableau forensic write blockers. It performs functions similar to the Tableau
 Disk Monitor.
 .
 Is designed to interact with write-blocking forensics bridges produced by
 Tableau, LLC. It can be used to query bridges for various bridge and device
 data, as well as to disable DCO regions.

Package: tablix2
Version: 0.3.5-2
Architecture: armhf
Maintainer: Robert Lemmen 
Installed-Size: 596
Depends: pvm, libc6 (>= 2.13-28), libpvm3, libxml2 (>= 2.7.4)
Recommends: gnuplot
Priority: extra
Section: misc
Filename: pool/main/t/tablix2/tablix2_0.3.5-2_armhf.deb
Size: 219164
SHA256: 7435c55cfb4f7bf1d933cd0ff02bbb6aaa508b0d7d4ecd68c644b9cb5b1c06da
SHA1: c0bdfb74756a82a2f9b69ace215de131d988e9c2
MD5sum: 67bc8ec7ef081dc3faacd7c4184503cd
Description: Kernel for solving general timetabling problems
 Tablix is a powerful free software kernel for solving general
 timetabling problems. It uses a coarse-grained parallel genetic
 algorithm in combination with other techniques to construct
 sensible timetables from XML formatted problem descriptions.
 Tablix can run on a single host as well as on a heterogeneous
 parallel virtual machine using PVM3.
 .
 http://www.tablix.org/articles/about.html

Package: tacacs+
Version: 4.0.4.19-11
Architecture: armhf
Maintainer: Henry-Nicolas Tourneur 
Installed-Size: 249
Depends: libc6 (>= 2.7), libpam0g (>= 0.99.7.1), libtacacs+1, libwrap0 (>= 7.6-4~), adduser, python
Homepage: http://www.shrubbery.net/tac_plus/
Priority: extra
Section: net
Filename: pool/main/t/tacacs+/tacacs+_4.0.4.19-11_armhf.deb
Size: 87882
SHA256: 3bc058066e3e43e0df4bd36ebf7d400f9526ed0f3e67e7c3e23d005bb6f7ee91
SHA1: de31bfcc0abca25ccfff74468d667b8d95215ec4
MD5sum: fbba6cdfabccc022eb4d0ceeb15eaf8b
Description: TACACS+ authentication daemon
 TACACS+ is a protocol (not TACACS or XTACACS) for authentication,
 authorization and accounting (AAA) services for routers and network devices.

Package: tachyon
Version: 0.99~b2+dfsg-0.4
Architecture: armhf
Maintainer: Tim Abbott 
Installed-Size: 261
Depends: libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4)
Priority: optional
Section: math
Filename: pool/main/t/tachyon/tachyon_0.99~b2+dfsg-0.4_armhf.deb
Size: 116722
SHA256: 61b138fb8d9deb5e6aea41137a901b9781c153b878e984ac54f4e4a3d7decf1d
SHA1: 3822775daa92bebada687542acd98bfb412b1d79
MD5sum: e04b5e079df1df423e6750ea981ff11c
Description: Parallel/Multiprocessor Ray Tracing Software
 Tachyon is a portable, high performance parallel ray tracing system
 supporting MPI and multithreaded implementations.  Tachyon is built
 as a C callable library, which can be used with the included demo
 programs or within your own application.  The distribution also
 includes a simple scene file parser front-end which reads a few
 different formats.
 .
 Tachyon implements all of the basic geometric primitives such as
 triangles, planes, spheres, cylinders, etc.  Some of the goals in
 developing Tachyon were to make it fast and for it to parallelize
 well.  These are what set it apart from more full-featured programs
 like POV-Ray, Rayshade, and others.  Tachyon supports enough features
 to be an excellent alternative to slower programs for demanding
 animation and scientific visualization tasks.  As time goes on,
 Tachyon will indeed incorporate more features, but with a continued
 emphasis on rendering performance.

Package: tachyon-doc
Source: tachyon
Version: 0.99~b2+dfsg-0.4
Installed-Size: 271
Maintainer: Tim Abbott 
Architecture: all
Size: 236348
SHA256: 74f410163ea3c3b999330fbc8a207dbad85c967fbbac6d0d107ff4ba1e8f7ae6
SHA1: 59d82a25ef68467fdfa5e2d0f689d9958897e856
MD5sum: db64644cd491fd2d73135f33eec42a6f
Description: documentation for Tachyon ray tracer
 The compilation of the LaTeX documentation demands the
 installation of most components of texlive.
Tag: made-of::pdf, role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/tachyon/tachyon-doc_0.99~b2+dfsg-0.4_all.deb

Package: tack
Version: 1.07-1
Architecture: armhf
Maintainer: Samuel Bronson 
Installed-Size: 181
Depends: libc6 (>= 2.4), libtinfo5 (>= 5.9-3~)
Homepage: ftp://ftp.invisible-island.net/ncurses/
Priority: optional
Section: misc
Filename: pool/main/t/tack/tack_1.07-1_armhf.deb
Size: 77704
SHA256: 2e87edc418fc7a3fa4262ffb0191bf66bd5613c74bd8cd113e1dfa1323cfb2f0
SHA1: 6b9df7edc1b74cb3f36794f6db4b08af68553390
MD5sum: dec2b9c607b0d5934eb5f1c06e5e5ba7
Description: terminfo action checker
 The 'tack' program is a diagnostic tool that is designed to create and verify
 the correctness of terminfo's. This program can be used to create new terminal
 descriptions that are not included in the standard ncurses release.
 .
 Although 'tack' has been distributed with ncurses-bin, it is not an integral
 part of ncurses and was thus split out from it by upstream.

Package: tack-dbg
Source: tack
Version: 1.07-1
Architecture: armhf
Maintainer: Samuel Bronson 
Installed-Size: 142
Depends: tack (= 1.07-1)
Homepage: ftp://ftp.invisible-island.net/ncurses/
Priority: extra
Section: debug
Filename: pool/main/t/tack/tack-dbg_1.07-1_armhf.deb
Size: 86770
SHA256: 3a0e83414e91e3679f385bc3ec5ad0783065bd2dd0a9054f4058025af5b99e5c
SHA1: a53db568afa614abd5e1803c3363f0b22b5bcad1
MD5sum: eb9a6ceb61d3f5c6dca26073a8d10dd0
Description: terminfo action checker (debug)
 The 'tack' program is a diagnostic tool that is designed to create and verify
 the correctness of terminfo's. This program can be used to create new terminal
 descriptions that are not included in the standard ncurses release.
 .
 This package contains the debugging symbols.

Package: tads2-mode
Version: 1.2-2
Installed-Size: 89
Maintainer: Daniel Schepler 
Architecture: all
Depends: emacsen-common
Suggests: tads-dev
Size: 17082
SHA256: 0e5ec4a66ac84ce0c530fad50d8936ffb36701d3ae8489df7716e913e69309fe
SHA1: c8d79ddb8241a67a7963bfc04c164cabca566a3a
MD5sum: 455a8dc016110f542b3c593595c78260
Description: Emacs mode for editing TADS code
 This package provides an (X)Emacs mode which makes it easier to edit
 source code for TADS games.  TADS implements a programming language
 especially designed for writing text adventures; interpreters for the
 resulting byte-code are available for most operating systems
 (including Debian GNU/Linux, in the tadsr package).
Tag: game::adventure, implemented-in::lisp, role::plugin, suite::emacs,
 use::editing
Section: editors
Priority: optional
Filename: pool/main/t/tads2-mode/tads2-mode_1.2-2_all.deb

Package: tagainijisho
Version: 0.9.4-1
Architecture: armhf
Maintainer: Thibaut VARENE 
Installed-Size: 1654
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), tagainijisho-common (= 0.9.4-1), tagainijisho-dic-en (= 0.9.4-1)
Homepage: http://www.tagaini.net/
Priority: extra
Section: education
Filename: pool/main/t/tagainijisho/tagainijisho_0.9.4-1_armhf.deb
Size: 659710
SHA256: d99955c26ac3b8880b3917d6ce714155ad58a0957af7fd5876f6b20d9e1a24f3
SHA1: 693dbe518385a7ae7ce0c1918761e45485411c57
MD5sum: 68bfb5bc1a7d65abc4846f78b93fccb4
Description: Japanese dictionary and learning assistant
 Tagaini Jisho is a Japanese vocabulary and kanji dictionary that aims at
 presenting results in a way that makes it easy to create connections
 in your mind and remember them. To allow this, it keeps track of all the
 entries you already know and allows you to tag and add notes to them. It
 also features kanji drawing animations for more than 6000 kanjis,
 flashcard-like training, production of paper material for studying,
 and extended search options for vocabulary and kanjis including
 part-of-speech and JLPT levels.

Package: tagainijisho-common
Source: tagainijisho
Version: 0.9.4-1
Installed-Size: 64562
Maintainer: Thibaut VARENE 
Architecture: all
Replaces: tagainijisho (<< 0.9.2-1)
Recommends: tagainijisho
Breaks: tagainijisho (<< 0.9.2-1)
Size: 26738290
SHA256: caa5b5a0f30f1d84fe30d4841a85438e91402df958df052cb0765eb422166064
SHA1: 837175e00fdab5cf4f7227f8acad03eedc468bba
MD5sum: f703ffb1d12137ec78344eed2f937449
Description: Common files for Tagaini Jisho
 Contains the main databases files, documentation and other common files for
 the tagainijisho package.
Homepage: http://www.tagaini.net/
Tag: culture::japanese, made-of::dictionary, made-of::svg, made-of::xml,
 role::app-data
Section: education
Priority: extra
Filename: pool/main/t/tagainijisho/tagainijisho-common_0.9.4-1_all.deb

Package: tagainijisho-dic-de
Source: tagainijisho
Version: 0.9.4-1
Installed-Size: 12031
Maintainer: Thibaut VARENE 
Architecture: all
Depends: tagainijisho-common
Recommends: tagainijisho
Size: 6992362
SHA256: b85659b934fc3d258b33f60d2b6648f0f251d814de3c5fe0bb844ecf28b0cc20
SHA1: 2cc0202bf3710ff8c730c1c3dc35b9d360a421d6
MD5sum: acabbd61c99d5f03e0309a35ae40dbef
Description: German dictionary files for Tagaini Jisho
 Contains the German dictionary files for the tagainijisho package. Entries
 for which a translation is not available are displayed in English.
Homepage: http://www.tagaini.net/
Tag: culture::german, culture::japanese, made-of::dictionary, role::app-data
Section: education
Priority: extra
Filename: pool/main/t/tagainijisho/tagainijisho-dic-de_0.9.4-1_all.deb

Package: tagainijisho-dic-en
Source: tagainijisho
Version: 0.9.4-1
Installed-Size: 17889
Maintainer: Thibaut VARENE 
Architecture: all
Depends: tagainijisho-common
Recommends: tagainijisho
Size: 10159808
SHA256: 9c327d1c94685baf851905c9d1713f3595ba27ec04cd451e7d44a8f1de4621ea
SHA1: 9c1fcb1ed28c7366f2400c7f26ff757ec0237fba
MD5sum: 36cbc65fef3cb2297743e51a0616ed3a
Description: English dictionary files for Tagaini Jisho
 Contains the English dictionary files for the tagainijisho package.
Homepage: http://www.tagaini.net/
Tag: culture::british, culture::japanese, made-of::dictionary, role::app-data
Section: education
Priority: extra
Filename: pool/main/t/tagainijisho/tagainijisho-dic-en_0.9.4-1_all.deb

Package: tagainijisho-dic-es
Source: tagainijisho
Version: 0.9.4-1
Installed-Size: 599
Maintainer: Thibaut VARENE 
Architecture: all
Depends: tagainijisho-common
Recommends: tagainijisho
Size: 256760
SHA256: 4ea1c1ae4aceca10a1817616d8656e0f76bc15ffd27a7e44ee8da57024f77e54
SHA1: 8cc319b91c78408f6840be5192397938f0528de0
MD5sum: e5dd4fb33b080b149ea31054922ea7ce
Description: Spanish dictionary files for Tagaini Jisho
 Contains the Spanish dictionary files for the tagainijisho package. Entries
 for which a translation is not available are displayed in English.
Homepage: http://www.tagaini.net/
Tag: culture::japanese, culture::spanish, made-of::dictionary, role::app-data
Section: education
Priority: extra
Filename: pool/main/t/tagainijisho/tagainijisho-dic-es_0.9.4-1_all.deb

Package: tagainijisho-dic-fr
Source: tagainijisho
Version: 0.9.4-1
Installed-Size: 2309
Maintainer: Thibaut VARENE 
Architecture: all
Depends: tagainijisho-common
Recommends: tagainijisho
Size: 1223844
SHA256: 5d17e1357dc4794a66c55e9f55e27e4f45cb9baa3559a1de74c155b7067ffb75
SHA1: d0fb0846b4c5827cc20802e6a00b6022b130a572
MD5sum: 04bfdfbd2bd5957318f835f7d6e11bec
Description: French dictionary files for Tagaini Jisho
 Contains the French dictionary files for the tagainijisho package. Entries
 for which a translation is not available are displayed in English.
Homepage: http://www.tagaini.net/
Tag: culture::french, culture::japanese, made-of::dictionary, role::app-data
Section: education
Priority: extra
Filename: pool/main/t/tagainijisho/tagainijisho-dic-fr_0.9.4-1_all.deb

Package: tagainijisho-dic-it
Source: tagainijisho
Version: 0.9.4-1
Installed-Size: 460
Maintainer: Thibaut VARENE 
Architecture: all
Depends: tagainijisho-common
Recommends: tagainijisho
Size: 181920
SHA256: 4c4af1fcf760ef38302be021fd5437c4e9285a505a204400fbca59a2190f3856
SHA1: f9762655e821d5f6ab559a4b618ae7d737151d2f
MD5sum: ea3455aa4e9e5e87a14009273ecc6a9e
Description: Italian dictionary files for Tagaini Jisho
 Contains the Italian dictionary files for the tagainijisho package. Entries
 for which a translation is not available are displayed in English.
Homepage: http://www.tagaini.net/
Section: education
Priority: extra
Filename: pool/main/t/tagainijisho/tagainijisho-dic-it_0.9.4-1_all.deb

Package: tagainijisho-dic-pt
Source: tagainijisho
Version: 0.9.4-1
Installed-Size: 495
Maintainer: Thibaut VARENE 
Architecture: all
Depends: tagainijisho-common
Recommends: tagainijisho
Size: 209714
SHA256: 4fc49385a3a6cf8fbeaa74292e1313101ee52baca6c839d66d1ab7160fce08fb
SHA1: 83295ecfea501cf079a1af8d9ba0ce3949e67042
MD5sum: a8ec7856e72e7bf168fe14f0bf308f4f
Description: Portuguese dictionary files for Tagaini Jisho
 Contains the Portuguese dictionary files for the tagainijisho package. Entries
 for which a translation is not available are displayed in English.
Homepage: http://www.tagaini.net/
Section: education
Priority: extra
Filename: pool/main/t/tagainijisho/tagainijisho-dic-pt_0.9.4-1_all.deb

Package: tagainijisho-dic-ru
Source: tagainijisho
Version: 0.9.4-1
Installed-Size: 730
Maintainer: Thibaut VARENE 
Architecture: all
Depends: tagainijisho-common
Recommends: tagainijisho
Size: 378128
SHA256: b8cfeb9278f9f8fc67f613d63b95de9e0aa6e58139c6f876be07c3d2f3f3c707
SHA1: bb74bebeb4c6194ed0599b843209f4d51a65740d
MD5sum: 7aae257a3e33501680f7328a8b57b7ca
Description: Russian dictionary files for Tagaini Jisho
 Contains the Russian dictionary files for the tagainijisho package. Entries
 for which a translation is not available are displayed in English.
Homepage: http://www.tagaini.net/
Tag: culture::japanese, culture::russian, made-of::dictionary, role::app-data
Section: education
Priority: extra
Filename: pool/main/t/tagainijisho/tagainijisho-dic-ru_0.9.4-1_all.deb

Package: tagainijisho-dic-th
Source: tagainijisho
Version: 0.9.4-1
Installed-Size: 72
Maintainer: Thibaut VARENE 
Architecture: all
Depends: tagainijisho-common
Recommends: tagainijisho
Size: 10126
SHA256: a8e9b1d957d1f66d27ea322521d8ba8ef098024b5fd4323f851b5f14ae9609c8
SHA1: 45be28f5701c0e4105acccc16f64a865763fce55
MD5sum: 6b4d8b09038c888a0c5b5d872b25d53b
Description: Thai dictionary files for Tagaini Jisho
 Contains the Thai dictionary files for the tagainijisho package. Entries
 for which a translation is not available are displayed in English.
Homepage: http://www.tagaini.net/
Section: education
Priority: extra
Filename: pool/main/t/tagainijisho/tagainijisho-dic-th_0.9.4-1_all.deb

Package: tagainijisho-dic-tr
Source: tagainijisho
Version: 0.9.4-1
Installed-Size: 97
Maintainer: Thibaut VARENE 
Architecture: all
Depends: tagainijisho-common
Recommends: tagainijisho
Size: 26984
SHA256: 6feb6e6e946ed34fdc94278e0d8dc503bac3907049880cbb834e4c757e95063d
SHA1: 6d5665dc8bb2d8616659456ae05bbc39a0f532de
MD5sum: 235c4bc8fc0642509c820140934ad1c3
Description: Turkish dictionary files for Tagaini Jisho
 Contains the Turkish dictionary files for the tagainijisho package. Entries
 for which a translation is not available are displayed in English.
Homepage: http://www.tagaini.net/
Section: education
Priority: extra
Filename: pool/main/t/tagainijisho/tagainijisho-dic-tr_0.9.4-1_all.deb

Package: tagcloud
Version: 1.4-1.1
Installed-Size: 60
Maintainer: Runa Sandvik 
Architecture: all
Depends: perl, libhtml-parser-perl, libhtml-tagcloud-perl, libhttp-server-simple-perl
Size: 6642
SHA256: 4dcde7323964216f8d1af74b2ca2e21a43b4f911abad5a29ab9d77f44f861ed2
SHA1: ba567e86d1e15a9dd3f33451c45f59bc382645ca
MD5sum: b273402fd4baea4af7d7d546d6d7fe27
Description: Visualize tagged notes as a cloud
 Tagcloud will start a simple web server and display a webpage where you can
 choose which textfile to create a tagcloud from. You can then click on tags to
 retrieve the notes, as well as search the fulltext of all the notes.
Homepage: http://mcd.perlmonk.org/
Section: perl
Priority: optional
Filename: pool/main/t/tagcloud/tagcloud_1.4-1.1_all.deb

Package: tagcoll
Source: tagcoll2
Version: 2.0.13-1.1
Architecture: armhf
Maintainer: Enrico Zini 
Installed-Size: 309
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6)
Homepage: http://debtags.alioth.debian.org
Priority: optional
Section: misc
Filename: pool/main/t/tagcoll2/tagcoll_2.0.13-1.1_armhf.deb
Size: 135134
SHA256: c7e7bb7d8183219d97a8e3e9b696a58fedbd680a7be72c599e1b424fa39bc2e3
SHA1: 44cf64d6c96ac46d06701b310a0c1eabfca12499
MD5sum: 809b91e59bfe47879958df072f0dcc3f
Description: Commandline tool to perform operations on tagged collections
 tagcoll takes a collection of items categorized with multiple tags and
 performs various kinds of operations on them:
 .
  * organize the collection in an intuitively navigable hierarchy;
  * discover and show implicit hierarchical relationships between
    tags;
  * apply various transformations to the collection
  * produce a special patch file with the differencies between two collections.
    Such patch files are specific to tagged collections, and can be applied and
    merged more freely than normal diff output;
  * apply a previously generated patch file to a collection.
 .
 tagcoll has been written with the purpose of studying tagged collections and
 experiment with tagged collection algorithms.

Package: taggrepper
Version: 0.03.1-3
Architecture: armhf
Maintainer: Kumar Appaiah 
Installed-Size: 66
Depends: libc6 (>= 2.13-28), libflac8 (>= 1.2.1), libid3tag0 (>= 0.15.1b), libmagic1, libogg0 (>= 1.0rc3), libpcre3 (>= 8.10), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.2.0), zlib1g (>= 1:1.1.4)
Homepage: http://gitorious.org/taggrepper/pages/Home
Priority: optional
Section: sound
Filename: pool/main/t/taggrepper/taggrepper_0.03.1-3_armhf.deb
Size: 13258
SHA256: d80419045e60b669a05cbc95f3e7a8f88e11ba7209084ff5d88172063bf79c99
SHA1: 7e33ceb6fa0f8a11a4e0a34feb67b292eae2ecb7
MD5sum: 8d8e2214b3bd260def86cce990479373
Description: search and match tags of audio files against regular expressions
 taggrepper is a small tool written to "grep" tags of audio
 files. Currently, it can be used to match some or any tags of MP3,
 Ogg Vorbis and FLAC files, against specified regular expressions, and
 display the name and designated fields of the matching files. It
 supports recursive directory searches as well.

Package: taglog
Version: 0.2.3-1
Installed-Size: 860
Maintainer: John Lines 
Architecture: all
Depends: tk8.5 | wish
Size: 279312
SHA256: 20bf6baa430ea18bc678c8539ee8fc7c1e0f71b8b449774f43004a5059706898
SHA1: 60a243b6b04bfaf7878b5a4beac90d0aa33cebc6
MD5sum: ffd7cae3cbbf4dce1b81ec0586b8550f
Description: Personal time management system
 Taglog is designed for anyone who spends most of their day sitting
 at a computer, working on various projects. You can make notes about
 what you do, as you go along, associating them with the projects you
 work on. At the end of the week you can produce a report of how your
 time was spent, broken down by project for booking purposes. You can
 view previous entries, by date, or by project. You can enter the
 actions you intend to take, associate them with a project, and mark
 them as active, or complete.
 .
Homepage: http://www.paladin.demon.co.uk/tag-types/taglog/
Tag: implemented-in::tcl, interface::x11, role::program, scope::application,
 uitoolkit::tk, use::organizing, use::timekeeping, x11::application
Section: utils
Priority: optional
Filename: pool/main/t/taglog/taglog_0.2.3-1_all.deb

Package: tagtool
Version: 0.12.3-8.1
Architecture: armhf
Maintainer: Kartik Mistry 
Installed-Size: 1017
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libid3-3.8.3c2a, libogg0 (>= 1.0rc3), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.1.1), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4)
Homepage: http://sourceforge.net/projects/tagtool/
Priority: optional
Section: sound
Filename: pool/main/t/tagtool/tagtool_0.12.3-8.1_armhf.deb
Size: 197234
SHA256: 19966995e4c89642a262b5442ae6979115cc3680c923556ab9a451a39041ffd4
SHA1: 70864c6573287f996105a5da176f177bf7dfe802
MD5sum: 837246cb17e26b7ae7f952b162dfcb84
Description: Tool to tag and rename MP3 and Ogg Vorbis files
 Audio Tag Tool is a program to manage the information fields in MP3 and Ogg
 Vorbis files (commonly called tags). Tag Tool can be used to edit tags one by
 one, but the most useful features are mass tag and mass rename. These are
 designed to tag or rename hundreds of files at once, in any desired format.

Package: tagua
Version: 1.0~alpha2-10
Architecture: armhf
Maintainer: Yann Dirson 
Installed-Size: 1897
Depends: tagua-data (= 1.0~alpha2-10), kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.0), libkdegames5a (>= 4:4.6.2), libkdeui5 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), liblua5.1-0, libqimageblitz4 (>= 1:0.0.4), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6)
Suggests: gnuchess, phalanx, crafty, sjeng, fairymax, gnushogi, gnuminishogi, gpsshogi
Priority: optional
Section: games
Filename: pool/main/t/tagua/tagua_1.0~alpha2-10_armhf.deb
Size: 741460
SHA256: cbb64edb84a2b2c57a6e7677f0d988f1d13a35f2637d58d0950ca9b2139c1431
SHA1: 69fe3d0df35472c6581935cb1666d9ed90abd822
MD5sum: c75b251b4a2c1d5e77f40d47331d119b
Description: Board-game frontend for playing chess variants and other games
 Tagua is a frontend for a variety of board games.  Currently
 supported games include chess, shogi and a couple of variants of
 those games.
 .
 Tagua is based on a powerful plugin system that allows many games to
 share the same graphical framework, game history handling,
 interoperability with AI engines and connectivity to network servers.
 .
 It currently has support for xboard-compatible chess engines, and
 xshogi-compatible shogi engines, as well as network play on chess ICS
 servers.

Package: tagua-data
Source: tagua
Version: 1.0~alpha2-10
Installed-Size: 3937
Maintainer: Yann Dirson 
Architecture: all
Size: 2489910
SHA256: f83f2474da32a25993b33cdd17c1d15a69e2db4e65f68ce091ca939b8faa23fe
SHA1: 7e9c238651fcba19093c4b568fcdca8b1ce9a3e4
MD5sum: 356103768065d7a6abf0140c0e422f8c
Description: Theme data for the tagua board-game frontend
 This package contains the architecture independent data files
 required by tagua.
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/t/tagua/tagua-data_1.0~alpha2-10_all.deb

Package: tahoe-lafs
Version: 1.9.2-1
Installed-Size: 5188
Maintainer: Bert Agaz 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), python-pkg-resources, python-zfec, python-simplejson, python-zope.interface, python-twisted, python-foolscap (>= 0.6.3), python-openssl, python-nevow, python-crypto, python-pyasn1, python-mock, python-pycryptopp (>= 0.5.29), python-setuptools
Size: 1225784
SHA256: d52e13acf46b30ff3566523844be8e352bf285f85adc7ad76fd7fe4af69c9b6e
SHA1: f0f4100f440cc5369aac013af8e6a3b9d80584cb
MD5sum: 78d04c6b23c56c009641a419ef9e657a
Description: Secure distributed filesystem
 Tahoe, the Least Authority File System, is a distributed filesystem that
 features high reliability, strong security properties, and a fine-grained
 sharing model. Files are encrypted, signed, erasure-coded, then distributed
 over multiple servers, such that any (configurable) subset of the servers
 will be sufficient to recover the data. The default 3-of-10 configuration
 tolerates up to 7 server failures before data becomes unrecoverable.
 .
 Tahoe offers "provider-independent security": the confidentiality and
 integrity of your data do not depend upon the behavior of the servers. The
 use of erasure-coding means that reliability and availability depend only
 upon a subset of the servers.
 .
 Tahoe files are accessed through a RESTful web API, a human-oriented web
 server interface, and CLI tools.
Homepage: http://tahoe-lafs.org/trac/tahoe
Section: utils
Priority: optional
Filename: pool/main/t/tahoe-lafs/tahoe-lafs_1.9.2-1_all.deb

Package: tailor
Version: 0.9.35+darcs20090615-1
Installed-Size: 832
Maintainer: Vincent Danjean 
Architecture: all
Depends: python (>= 2.4), python-support (>= 0.90.0)
Recommends: rsync
Suggests: cvs, subversion, darcs, mercurial, monotone, codeville, bzr, bazaar, git-core, tla
Conflicts: bzr (<< 0.7), mercurial (<< 0.9.1)
Size: 179650
SHA256: 9f88be3fea9fc941d201687e3d6b5f7f4aa45f10c6f10e91501a2fa23cb44b7d
SHA1: 9ee8e1e714c2c54327f84d3583e9437048f23d61
MD5sum: 7c7eac0c0b830633ac68238ff897b49d
Description: migrate changesets between version control systems
 The tailor tool moves patches and changesets between different repositories,
 preserving as much information as possible. The repositories can be managed
 by different version control systems. Currently, ArX, Bazaar, Bazaar-NG,
 Codeville, CVS, Darcs, Git, Mercurial, Monotone, Subversion,
 and Tla are supported.
 .
 While tailor is useful for one-time operation, when switching to a different
 version control system, it can also synchronise repositories on an ongoing
 basis. Changesets are either pulled one-way from a master repository, or
 migrated back and forth for full two-way synchronisation.
Homepage: http://progetti.arstecnica.it/tailor
Tag: devel::rcs, implemented-in::python, interface::commandline,
 role::program, scope::application, use::converting
Section: vcs
Priority: optional
Filename: pool/main/t/tailor/tailor_0.9.35+darcs20090615-1_all.deb

Package: taktuk
Version: 3.7.4-1
Installed-Size: 283
Maintainer: Vincent Danjean 
Architecture: all
Depends: perl, openssh-client | rsh-client
Recommends: libterm-readline-gnu-perl
Suggests: kanif, libtaktuk3 (>= 3.7.4-1), libtaktuk-1-dev (>= 3.7.4-1)
Breaks: kanif (<< 1.2)
Size: 81394
SHA256: f95f155a10ae6736c11c936ddf3d60bfae9b2abbad04c1af012ef362ae12ac6a
SHA1: 2e480c61aa9cdb161fa4b3286f17b01962892305
MD5sum: 24ed83fd57211ce1395ac1da0e1bf14a
Description: efficient, large scale, parallel remote execution of commands
 TakTuk allows one to execute commands in parallel on a potentially large set
 of remote nodes (using ssh to connect to each node). It is typically used
 inside high performance computing clusters and grids. It uses an adaptive
 algorithm to efficiently distribute the work and sets up an interconnection
 network to transport commands and perform I/Os multiplexing. It doesn't
 require any specific software on the nodes thanks to a self-propagation
 algorithm.
Multi-Arch: foreign
Homepage: http://taktuk.gforge.inria.fr/
Tag: role::program, works-with::software:running
Section: net
Priority: optional
Filename: pool/main/t/taktuk/taktuk_3.7.4-1_all.deb

Package: talk
Source: netkit-ntalk
Version: 0.17-15
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 68
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5
Suggests: talkd
Replaces: netstd
Priority: optional
Section: net
Filename: pool/main/n/netkit-ntalk/talk_0.17-15_armhf.deb
Size: 23354
SHA256: e3f969719ffca4f0c73d435d912a7549a62751fb06a49a476ec5d54065387f1f
SHA1: 52d203c456ecec08feb9070a0050ad5fedfc3a43
MD5sum: 9f3c4526ee164fb08d59a8c98ea83dd5
Description: Chat with another user
 Talk is a visual communication program which copies lines from your terminal
 to that of another user.
 .
 In order to talk locally, you will need to install the talkd package.

Package: talkd
Source: netkit-ntalk
Version: 0.17-15
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 77
Depends: netbase, openbsd-inetd | xinetd, libc6 (>= 2.13-28), libwrap0 (>= 7.6-4~)
Replaces: netstd
Priority: optional
Section: net
Filename: pool/main/n/netkit-ntalk/talkd_0.17-15_armhf.deb
Size: 19586
SHA256: 275de7bea4f9ec246640155191fafdbaef82958a43d22b09151f42d8aa44aca0
SHA1: eb0c4e3d2a8b470676bd0546f449540ef9c99970
MD5sum: 3f24c7e7c038e8d622c8d49a6b654316
Description: Remote user communication server
 Talkd is the server that notifies a user that someone else wants to initiate
 a conversation.  It acts a repository of invitations, responding to requests
 by clients wishing to rendezvous to hold a conversation.

Package: talksoup.app
Version: 1.0alpha-32-g55b4d4e-1.2
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 1630
Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libnetclasses0 (>= 1.06.dfsg), libobjc3 (>= 4.6)
Conflicts: talksoup
Replaces: talksoup
Provides: irc
Homepage: http://aeruder.gnustep.us/talksoup/
Priority: optional
Section: net
Filename: pool/main/t/talksoup.app/talksoup.app_1.0alpha-32-g55b4d4e-1.2_armhf.deb
Size: 431496
SHA256: af08315d7dd7f7a9b72fdf25990727bda6c347c8090f59c02822ddd77036d482
SHA1: 3851fac920addbea2c0b470c84142db730cc719f
MD5sum: bbda5fa652aff43589c060f6f1558f7d
Description: IRC client for GNUstep
 TalkSoup is a GNUstep IRC client built on the extensibility of the
 Objective-C language. The entire IRC client is implemented by plugins,
 so one can pick and choose what they want to use.

Package: tamil-gtk2im
Version: 2.2-4.4
Architecture: armhf
Maintainer: Ganesan Rajagopal 
Installed-Size: 96
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.10.1-1), libpango1.0-0 (>= 1.14.0)
Priority: optional
Section: gnome
Filename: pool/main/t/tamil-gtk2im/tamil-gtk2im_2.2-4.4_armhf.deb
Size: 20766
SHA256: 7efffe9b4f6102a959098943917cae4f27f7a233d1db65c4080c75ebc90bd6f8
SHA1: 528dc53f12e78e118e4928ead5ad67e89043861a
MD5sum: 2e742cd084c7237b72844ea22011cc3f
Description: Tamil input method for GTK-2
 This package provides input methods for the Tamil Language for
 applications written with the GTK+ Graphical User Interface Library.

Package: tangerine
Version: 0.3.4-3
Architecture: armhf
Maintainer: Debian CLI Applications Team 
Installed-Size: 350
Depends: libc6 (>= 2.13-28), libsm6, mono-runtime (>= 2.10.1), libdb4o8.0-cil (>= 8.0.184.15484), libdbus-glib1.0-cil (>= 0.5), libglade2.0-cil (>= 2.12.10-1ubuntu1), libglib2.0-0 (>= 2.32.2), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgtk2.0-0 (>= 2.24.0), libgtk2.0-cil (>= 2.12.10-1ubuntu1), liblog4net1.2-cil (>= 1.2.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-sharpzip4.84-cil (>= 1.0), libmono-sqlite4.0-cil (>= 2.10.7), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-data4.0-cil (>= 2.10.1), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), libmono-zeroconf1.0-cil (>= 0.9.0), libnini1.1-cil (>= 1.1), libtaglib2.0-cil (>= 2.0.4.0)
Suggests: amarok (>= 2.0), banshee (>= 1.4.2), rhythmbox
Homepage: http://launchpad.net/tangerine/
Priority: optional
Section: gnome
Filename: pool/main/t/tangerine/tangerine_0.3.4-3_armhf.deb
Size: 92458
SHA256: a1d24c5ec2e293fe2ffb5f3bab37fda27a335180b9cebbd0ebf93c9cbed105a8
SHA1: 34b7bfeeeacb6960c01310295e06492c13caadea
MD5sum: 3d430c4c1e3e14c9296ce14f1a414cde
Description: music server using DAAP
 Tangerine is a simple music sharing server that uses DAAP to share your music
 over the local network.
 .
 There are several clients that you can then use to connect to it, such as
 Apple's iTunes, Banshee, and Rhythmbox.

Package: tangerine-dbg
Source: tangerine
Version: 0.3.4-3
Architecture: armhf
Maintainer: Debian CLI Applications Team 
Installed-Size: 123
Depends: tangerine (= 0.3.4-3)
Suggests: banshee-dbg, rhythmbox
Homepage: http://launchpad.net/tangerine/
Priority: extra
Section: debug
Filename: pool/main/t/tangerine/tangerine-dbg_0.3.4-3_armhf.deb
Size: 36778
SHA256: 701623c17ffc49cd5058ebebd4b15d877b01ebe3ce313216066dbdb8e3c1cdb6
SHA1: ec208a96adf882c1184be9e1e21c05cdeb78dfa5
MD5sum: 1e8e2bed277f220228d90f4facd29502
Description: music server using DAAP (debug symbols)
 Tangerine is a simple music sharing server that uses DAAP to share your music
 over the local network.
 .
 this package contains the debugging symbols for Tangerine.

Package: tanglet
Version: 1.1.1-1.1
Architecture: armhf
Maintainer: tang ke 
Installed-Size: 3080
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4)
Homepage: http://gottcode.org/tanglet/
Priority: optional
Section: games
Filename: pool/main/t/tanglet/tanglet_1.1.1-1.1_armhf.deb
Size: 2670208
SHA256: 6cfcfdf185150d83d12c423015ba7fd4e261bb5741dcf12ad1334a483ba040ca
SHA1: 0c4bbee00b6c5656dcdceef96157f9263d45608e
MD5sum: b0659f60240f882c25ca418e537a5a24
Description: single player word finding game based on Boggle
 The Object of the game is to list as many words as you can before
 the time runs out. Every time you find a new word, you are given
 more time. Each word must be at least three letters long.

Package: tango-accesscontrol
Source: tango
Version: 7.2.6+dfsg-14
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 210
Depends: libc6 (>= 2.13-28), libcos4-1 (>= 4.1.6), libgcc1 (>= 1:4.4.0), liblog4tango4, libmysqlclient18 (>= 5.5.24+dfsg-1), libomniorb4-1 (>= 4.1.6), libomnithread3c2 (>= 4.0.6), libstdc++6 (>= 4.4.0), libtango7, lsb-base, libtango-tools, tango-db, tango-starter
Homepage: http://www.tango-controls.org
Priority: extra
Section: net
Filename: pool/main/t/tango/tango-accesscontrol_7.2.6+dfsg-14_armhf.deb
Size: 66150
SHA256: 239da7073f59c47c9f33d4685d51faedd0d97e1b26569e61afff35f3e9527686
SHA1: 63ac688b220ebe2a3bea297f2d68fc52cfe8f720
MD5sum: 7dcef4bcad28ee77d2f8bab042f58840
Description: TANGO distributed control system - accesscontrol server
 TANGO is an object oriented distributed control system. It allows
 communication between TANGO device processes running on the same
 computer or distributed over the network. These processes can
 provide services to the control system all over the network, such as
 hardware control or data processing.
 .
 This package provides a TANGO accesscontrol server. It can be used to
 manage the users and IP addresses that are permitted to access TANGO
 devices.

Package: tango-accesscontrol-dbg
Source: tango
Version: 7.2.6+dfsg-14
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 2610
Depends: tango-accesscontrol (= 7.2.6+dfsg-14)
Homepage: http://www.tango-controls.org
Priority: extra
Section: debug
Filename: pool/main/t/tango/tango-accesscontrol-dbg_7.2.6+dfsg-14_armhf.deb
Size: 1004058
SHA256: 0346838e2037617b1c30d71dae54516e6ca161769759b1c919af7a896fe4de79
SHA1: 77a67bca4301d27bb5f6e9e2fbe56663f9d011f3
MD5sum: 5fbcf18e0e7fed4e5002c5b19e6e60c0
Description: TANGO distributed control system - accesscontrol server
 TANGO is an object oriented distributed control system. It allows
 communication between TANGO device processes running on the same
 computer or distributed over the network. These processes can
 provide services to the control system all over the network, such as
 hardware control or data processing.
 .
 This package contains the debugging symbols for tango-accesscontrol.

Package: tango-common
Source: tango
Version: 7.2.6+dfsg-14
Installed-Size: 34
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, adduser
Size: 6084
SHA256: cbf720bd1edd1727dc959b35d8e2da51f9ff9f170b38f67d8046c590a4d58a15
SHA1: 02b52beb8540ac651ab74ff9e46c78e01d08d64f
MD5sum: 333bb7aa04685ca6689f85b8dfe7ddcb
Description: TANGO distributed control system - common files
 This package provides shared files for the TANGO distributed control
 system, and sets up the required infrastructure. It is for now an
 empty package
Homepage: http://www.tango-controls.org
Section: net
Priority: extra
Filename: pool/main/t/tango/tango-common_7.2.6+dfsg-14_all.deb

Package: tango-db
Source: tango
Version: 7.2.6+dfsg-14
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 565
Depends: libc6 (>= 2.13-28), libcos4-1 (>= 4.1.6), libgcc1 (>= 1:4.4.0), liblog4tango4, libmysqlclient18 (>= 5.5.24+dfsg-1), libomniorb4-1 (>= 4.1.6), libomnithread3c2 (>= 4.0.6), libstdc++6 (>= 4.4.0), libtango7, zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, lsb-base, dbconfig-common, libtango-tools
Homepage: http://www.tango-controls.org
Priority: extra
Section: net
Filename: pool/main/t/tango/tango-db_7.2.6+dfsg-14_armhf.deb
Size: 173118
SHA256: 6557219133162c3236685aa0239a136321c7f9708426d84fc1e26091d6705d84
SHA1: 08169e464a5341e902cb87378581f2bff9922132
MD5sum: 85f4e4b695e3ae80f129b7656a389c40
Description: TANGO distributed control system - database server
 TANGO is an object oriented distributed control system. It allows
 communication between TANGO device processes running on the same
 computer or distributed over the network. These processes can
 provide services to the control system all over the network, such as
 hardware control or data processing.
 .
 This package provides a TANGO database server using MySQL.

Package: tango-db-dbg
Source: tango
Version: 7.2.6+dfsg-14
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 3492
Depends: tango-db (= 7.2.6+dfsg-14)
Homepage: http://www.tango-controls.org
Priority: extra
Section: debug
Filename: pool/main/t/tango/tango-db-dbg_7.2.6+dfsg-14_armhf.deb
Size: 1263780
SHA256: 09b924fbadd0955facc5094bdd4fac6b04228b2e3208a53f9108bb1e66d81c0d
SHA1: 476c14d9509016e5bb664ec4f22b0a5d113c329d
MD5sum: 176746995d836d013f9d873dc35dd588
Description: TANGO distributed control system - database server
 TANGO is an object oriented distributed control system. It allows
 communication between TANGO device processes running on the same
 computer or distributed over the network. These processes can
 provide services to the control system all over the network, such as
 hardware control or data processing.
 .
 This package contains the debugging symbols for tango-db.

Package: tango-icon-theme
Version: 0.8.90-5
Installed-Size: 10540
Maintainer: Philipp Kern 
Architecture: all
Depends: hicolor-icon-theme
Suggests: gnome-icon-theme, kdelibs-data
Conflicts: tango-icon-theme-common (<< 0.6)
Size: 1784010
SHA256: 496119653afc63e79dff25760cc4e4492d53da46ea382264f2c7921f2fa76000
SHA1: c0db0c6dea7dcd01f7cf9cfc71f22ecccdf0d07c
MD5sum: 2bbf325a2bf675c0f33eb2b214b8e521
Description: Tango icon theme
 This package contains the icons made by the Tango project.
 .
 The project's aim is to create a cross-desktop and cross-platform icon
 theme following the Icon Naming Specification by the Freedesktop project.
 The icons follow a standard and consistent style guide to look coherent.
Homepage: http://tango.freedesktop.org
Tag: made-of::icons, made-of::svg, role::data, suite::gnome, x11::theme
Section: x11
Priority: optional
Filename: pool/main/t/tango-icon-theme/tango-icon-theme_0.8.90-5_all.deb

Package: tango-starter
Source: tango
Version: 7.2.6+dfsg-14
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 273
Depends: libc6 (>= 2.13-28), libcos4-1 (>= 4.1.6), libgcc1 (>= 1:4.4.0), liblog4tango4, libomniorb4-1 (>= 4.1.6), libomnithread3c2 (>= 4.0.6), libstdc++6 (>= 4.4.0), libtango7, libtango-tools, lsb-base
Suggests: omninotify
Homepage: http://www.tango-controls.org
Priority: extra
Section: net
Filename: pool/main/t/tango/tango-starter_7.2.6+dfsg-14_armhf.deb
Size: 103376
SHA256: 88dd1cf93aca60e664406555b0321ff496eeeb2120c9a8cf5422ffb82cb4e1b0
SHA1: 647a72a2c26737056b22e88c7903b309f88b5af6
MD5sum: e4e9fd04af3721a3e0cfdd19efbbdbf8
Description: TANGO distributed control system - control server
 TANGO is an object oriented distributed control system. It allows
 communication between TANGO device processes running on the same
 computer or distributed over the network. These processes can
 provide services to the control system all over the network, such as
 hardware control or data processing.
 .
 This package provides a TANGO device control server. It can start, stop,
 or report the status of other TANGO components.

Package: tango-starter-dbg
Source: tango
Version: 7.2.6+dfsg-14
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 3444
Depends: tango-starter (= 7.2.6+dfsg-14)
Suggests: omninotify
Homepage: http://www.tango-controls.org
Priority: extra
Section: debug
Filename: pool/main/t/tango/tango-starter-dbg_7.2.6+dfsg-14_armhf.deb
Size: 1277264
SHA256: 1584bbe53a90f3bbd38417af3d13ea21cea6650c0ebe1f8c0a77ee9ca72a77ac
SHA1: b757b56ce915608fe5d8a343d050fd9639da9846
MD5sum: 0aed9bdfd655e661b0109ef85deaaf31
Description: TANGO distributed control system - control server
 TANGO is an object oriented distributed control system. It allows
 communication between TANGO device processes running on the same
 computer or distributed over the network. These processes can
 provide services to the control system all over the network, such as
 hardware control or data processing.
 .
 This package contains the debugging symbols for tango-starter.

Package: tango-test
Source: tango
Version: 7.2.6+dfsg-14
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 228
Depends: libc6 (>= 2.13-28), libcos4-1 (>= 4.1.6), libgcc1 (>= 1:4.4.0), liblog4tango4, libomniorb4-1 (>= 4.1.6), libomnithread3c2 (>= 4.0.6), libstdc++6 (>= 4.4.0), libtango7, tango-starter
Homepage: http://www.tango-controls.org
Priority: extra
Section: net
Filename: pool/main/t/tango/tango-test_7.2.6+dfsg-14_armhf.deb
Size: 64646
SHA256: b9642cbb72e734316491b75e30c97c31d790358d9c7b6caa19b2ffe46a4ab45f
SHA1: 2375aa1d508f414fd3edc9bd677c11af0780bd4a
MD5sum: b748d376f1e7aaef49001566e4de3ed7
Description: TANGO distributed control system - test device
 TANGO is an object oriented distributed control system. It allows
 communication between TANGO device processes running on the same
 computer or distributed over the network. These processes can
 provide services to the control system all over the network, such as
 hardware control or data processing.
 .
 This package provides a TANGO device which implements all TANGO
 attribute types, and can be used for testing the installation.

Package: tango-test-dbg
Source: tango
Version: 7.2.6+dfsg-14
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 2096
Depends: tango-test (= 7.2.6+dfsg-14)
Homepage: http://www.tango-controls.org
Priority: extra
Section: debug
Filename: pool/main/t/tango/tango-test-dbg_7.2.6+dfsg-14_armhf.deb
Size: 707626
SHA256: d49e36a2a2bd116a26d854af70fbad52d2b93d8a068f1c74854b07d00bd43c15
SHA1: 39dd4c68df281b02c5f52e28b49768e8aea9e744
MD5sum: 825b39ba50dec8f784f522901150d526
Description: TANGO distributed control system - test device
 TANGO is an object oriented distributed control system. It allows
 communication between TANGO device processes running on the same
 computer or distributed over the network. These processes can
 provide services to the control system all over the network, such as
 hardware control or data processing.
 .
 This package contains the debugging symbols for tango-test.

Package: taoframework-examples
Source: taoframework
Version: 2.1.svn20090801-9
Installed-Size: 2357
Maintainer: Debian CLI Libraries Team 
Architecture: all
Size: 384618
SHA256: d6f390cb3f460bfbc58fe3ff5e3e54f3537bc709716afb60e118723413284961
SHA1: 8fe9ae4fde29ffbccea3bb399e48a07c0c236273
MD5sum: 707abd69b82b02c4c825c310cae957d4
Description: examples for all Tao libraries
 The Tao Framework is a collection of bindings and libraries to
 facilitate cross-platform games-related development utilizing the Mono
 and .NET platforms.
 .
 This package contains some examples for all Tao libraries.
Homepage: http://www.taoframework.com/
Section: libs
Priority: optional
Filename: pool/main/t/taoframework/taoframework-examples_2.1.svn20090801-9_all.deb

Package: tap-plugins
Version: 0.7.2-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 311
Depends: libc6 (>= 2.13-28)
Provides: ladspa-plugin
Homepage: http://tap-plugins.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/t/tap-plugins/tap-plugins_0.7.2-1_armhf.deb
Size: 89812
SHA256: db8bdf1812ba4267cad1f36c8edb5fff0c46143d13c82687a6e56541c72ee517
SHA1: aae5e6172cf709738657e8207d3f5ff71db943d6
MD5sum: 190fb1a6b48c1e95164b8a4ee62876c5
Description: Tom's Audio Processing LADSPA plugins
 Tom Szilagyi has written a number of plugins for LADSPA
 compatible hosts (e.g. Ardour, GNU Sound and GStreamer).
 .
 The plugins (Equalizer, Reverberator, Stereo Echo, Tremolo,
 Scaling Limiter, AutoPanner and DeEsser) have been written
 primarily for Ardour but should work well with any LADSPA
 host.

Package: tap-plugins-doc
Version: 20040817-2
Installed-Size: 856
Maintainer: Debian Multimedia Packages Maintainers 
Architecture: all
Replaces: tap-plugins (<< 0.7.1-1)
Conflicts: tap-plugins (<< 0.7.1-1)
Size: 533762
SHA256: 0095b461d7e64270e0c9622196cdb33b1b0b04b64627841739cc5ba983805c60
SHA1: 76a5e96f027585a8dd7f1bdf38bac0b30be928b0
MD5sum: 9f0dd35bf1db67c2aa1c37b7b24c962a
Description: TAP-plugins documentation
 Tom's Audio Processing LADSPA plugins
 Tom Szilagyi has written a number of plugins for LADSPA
 compatible hosts (e.g. Ardour, GNU Sound and GStreamer).
 .
 The plugins (Equalizer, Reverberator, Stereo Echo, Tremolo,
 Scaling Limiter, AutoPanner and DeEsser) have been written
 primarily for Ardour but should work well with any LADSPA
 host.
 .
 This package contains TAP-plugins documentation
Homepage: http://tap-plugins.sourceforge.net/
Tag: made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/tap-plugins-doc/tap-plugins-doc_20040817-2_all.deb

Package: tapecalc
Version: 20070214-2
Architecture: armhf
Maintainer: Carl Fürstenberg 
Installed-Size: 79
Depends: libc6 (>= 2.7), libncurses5 (>= 5.5-5~), libtinfo5
Priority: optional
Section: math
Filename: pool/main/t/tapecalc/tapecalc_20070214-2_armhf.deb
Size: 22270
SHA256: e24376bc3aa016bf59d11889bc86b00f921233865578d5950a2867962f2b92d7
SHA1: 2c20680f42b5d42c2817940d44f335add97b132c
MD5sum: 8bfb7a415a3dfddabf1e60da596aa011
Description: a full-screen tape editor that lets the user edit a calculation
 tapecalc is a fixed-point calculator that operates as a full-screen editor. It
 is designed for use as a checkbook or expense-account balancing tool.
 .
 tapecalc maintains a running result for each operation. You may scroll to any
 position in the expression list and modify the list. Enter data by typing
 numbers (with optional decimal point), separated by operators.
 .
 An output transcript may be saved and reloaded for further editing.

Package: tar
Version: 1.26+dfsg-0.1+deb7u1
Architecture: armhf
Essential: yes
Maintainer: Bdale Garbee 
Installed-Size: 2059
Pre-Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Suggests: bzip2, ncompress, xz-utils
Conflicts: cpio (<= 2.4.2-38)
Breaks: dpkg-dev (<< 1.14.26)
Replaces: cpio (<< 2.4.2-39)
Multi-Arch: foreign
Priority: required
Section: utils
Filename: pool/main/t/tar/tar_1.26+dfsg-0.1+deb7u1_armhf.deb
Size: 966670
SHA256: 66f40e08953e2706de91f6a20bc0803398374d4a8e5cf96a7062cba71eb8dda7
SHA1: e4b0a7bdcd662343171f35ef63310260a0acff7e
MD5sum: 8fb90729a66a58ae992aa395a4046f03
Description: GNU version of the tar archiving utility
 Tar is a program for packaging a set of files as a single archive in tar
 format.  The function it performs is conceptually similar to cpio, and to
 things like PKZIP in the DOS world.  It is heavily used by the Debian package
 management system, and is useful for performing system backups and exchanging
 sets of files with others.

Package: tarantool-common
Source: tarantool
Version: 1.4.6+20120629+2158-1
Installed-Size: 105
Maintainer: Dmitry E. Oboukhov 
Architecture: all
Depends: adduser
Size: 16906
SHA256: 0f762a312b6a20b0ff8fdb9d1e08d6651a1b036254543246876ce2d750a9e91a
SHA1: 8eb94a775b512c966311bd2eade2464bf4de4327
MD5sum: a36715b674f4dbcbe94ab4fb8a1c2452
Description: high performance key/value storage server
 Tarantool is an open-source NoSQL database, developed by Mail.ru.
 Its key properties include:
 .
  * all data is maintained in RAM
  * data persistence is implemented using Write Ahead Log and snapshotting
  * supports asynchronous replication and hot standby
  * uses coroutines and asynchronous I/O to implement high-performance
    lock-free access to data
  * available on Linux and FreeBSD
  * stored procedures in Lua are supported
 .
 This package provides configs and logs infrastructure for tarantool.
Homepage: http://tarantool.org/
Section: database
Priority: optional
Filename: pool/main/t/tarantool/tarantool-common_1.4.6+20120629+2158-1_all.deb

Package: tardiff
Version: 0.1-1+deb7u1
Installed-Size: 44
Maintainer: Axel Beckert 
Architecture: all
Depends: libtext-diff-perl, perl
Size: 5312
SHA256: bcad30171801fb7a2376494cfb61673a82da12b10fa8062e519d272d4b5341a1
SHA1: 2c73fa269f2147d1dc05514f28b5d11e05442f44
MD5sum: 38599d8088a30476e914cb9dec42c5be
Description: Tarball comparison tool
 TarDiff compares the contents of two tarballs and reports on any
 differences found between them. Its use is mainly for release
 managers who can use it as a QA tool to make sure no files have
 accidently been left over or were added by mistake. TarDiff supports
 compressed tarballs, diff statistics and suppression of GNU autotool
 changes.
Homepage: http://tardiff.coolprojects.org/
Recommends: diffutils | diff
Section: utils
Priority: optional
Filename: pool/main/t/tardiff/tardiff_0.1-1+deb7u1_all.deb

Package: tardy
Version: 1.25-1
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 378
Depends: libc6 (>= 2.13-28), libexplain30, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4)
Homepage: http://freshmeat.net/projects/tardy
Priority: optional
Section: utils
Filename: pool/main/t/tardy/tardy_1.25-1_armhf.deb
Size: 136450
SHA256: e03d6e191f9d2350e5f1d61a0bc05bf671526245da26287b08f31bb6c3d4f6e2
SHA1: 6e2cca09fa7517555fde6e9597fc48159d702a10
MD5sum: 4279a87596265eae8b56d171dcb7b469
Description: post-processor for tar command
 tardy alters the characteristics of files in a tar(1) archive.  It
 can change the files' owner, change the files' group, add a prefix to
 the files' paths, and change the files' protections.

Package: targetcli
Version: 2.0rc1-2
Installed-Size: 156
Maintainer: Ritesh Raj Sarraf 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-configshell, lio-utils, python-rtslib
Size: 19530
SHA256: cca465b82370b7f45dcfa97f700eb188ac96ad2bb1bff65d0933b6bf6ec53bb9
SHA1: c64644d38c408db2c7f6cece2cf38262ddbc20fa
MD5sum: f03b0490a8f42c53a4d98c820eb0a1a3
Description: administration tool for managing LIO core target
 targetcli is an administration tool for managing the LIO storage targets
 using the Linux kernel's core target and compatible target fabric modules
 .
 It is part of the Linux Kernel SCSI Target's userspace tools
Homepage: http://www.risingtidesystems.com/git/?p=targetcli.git;a=summary
Section: python
Priority: optional
Filename: pool/main/t/targetcli/targetcli_2.0rc1-2_all.deb

Package: tart
Version: 3.09-1
Architecture: armhf
Maintainer: Colin Tuckley 
Installed-Size: 174
Depends: libc6 (>= 2.7)
Homepage: http://sourceforge.net/projects/linuxtart/
Priority: optional
Section: mail
Filename: pool/main/t/tart/tart_3.09-1_armhf.deb
Size: 68380
SHA256: 3b734b23c3d0c2a84ed6856f8ce4e57ce812f6071b640b202ba861f874a6e2ef
SHA1: fb30bd04f67eef1669af2b1d12c127bc1b3d474d
MD5sum: de41ca225b59e8bf22ac309b4516a734
Description: versatile and feature-rich email signature generator
 TaRT (The Automatic Random Tagline) features include random taglines,
 optional daemon functionality, display of current date,
 custom layout of signature, and "special date" tagline text.
 The command line syntax is simple and well explained.
 TaRT is designed to be run as a stand-alone daemon, from crontab,
 or in your login script.

Package: task
Version: 2.0.0-1
Architecture: armhf
Maintainer: Alexander Neumann 
Installed-Size: 1670
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblua5.1-0, libstdc++6 (>= 4.6)
Homepage: http://taskwarrior.org
Priority: optional
Section: utils
Filename: pool/main/t/task/task_2.0.0-1_armhf.deb
Size: 807310
SHA256: fed35cd0f8b0b3b55238287eaeda9e436fafa0a5e3ebb2133853e9f64b24b4b7
SHA1: 965b3e41028aa8e29700489952352adbca15fcff
MD5sum: 5eba26b350cecd587f241b5d584cf3df
Description: feature-rich console based todo list manager
 Taskwarrior is a sophisticated command line todo list and task
 manager with a lot of features:
 .
  * colorful tabbed output
  * feature rich search function
  * tags
  * statistics
  * Getting Things Done compatible
  * interactive editing of items in text editor

Package: task-albanian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: iceweasel-l10n-sq
Size: 738
SHA256: e8822cf173203af52ae4bd4eb6d129ef94de0c3ecf227e200eb36255c9bdf11c
SHA1: e7b5ef2f626d0bcab2a1f4c37a2588f3eef4cb5c
MD5sum: a2f1a3e22537aebfa3c0fb88eaa01393
Description: Albanian desktop
 This task localises the desktop in Albanian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-albanian-desktop_3.14.1_all.deb

Package: task-amharic
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-am
Size: 776
SHA256: 75d30093083dae35052100d56696fdaee8d1b7bfb84ad428a28ab45784313189
SHA1: e6889187709d645e2dffdfecf939855c64f63ea6
MD5sum: 81be692e7eb6df2d420a8f5605ba7061
Description: Amharic environment
 This task installs programs, data files, fonts, and
 documentation that makes it easier for Amharic speakers
 to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-amharic_3.14.1_all.deb

Package: task-amharic-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, ttf-sil-abyssinica
Recommends: fcitx, fcitx-table-amharic, fcitx-frontend-gtk2, fcitx-frontend-gtk3, fcitx-config-gtk
Size: 776
SHA256: ece6eebcf7556ba66cc1e97a3728ee14483735a0071585588c2b7913b354d348
SHA1: 7d9e2c2ade1383ed09d87dbdd8ba11dd7143f57d
MD5sum: 1d1f5ce50528ac4d2333ee6ad09d2d6b
Description: Amharic desktop
 This task localises the desktop in Amharic.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-amharic-desktop_3.14.1_all.deb

Package: task-amharic-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: fcitx-frontend-qt4, kde-config-fcitx
Size: 760
SHA256: 7cdd70073a62f6bd1b729fed97a61878f5abf157163b4a0195d00ac9ce8f9e53
SHA1: a6caa272db780e0858ddb7e92c43a645e405b575
MD5sum: 7882ec6cd208eadf1fbc168d9e56fc75
Description: Amharic KDE desktop
 This task localises the KDE desktop in Amharic.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-amharic-kde-desktop_3.14.1_all.deb

Package: task-arabic
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: xfonts-intl-arabic, ttf-arabeyes, aspell-ar, aspell-ar-large, itools
Size: 802
SHA256: ee1e862b09626e521ebae715d7a8e10192ebec52c1885606e0146e7aaa0d8e42
SHA1: 160a31e4516b6833d1e35178e2d8bfdea9752756
MD5sum: 61ac2779081450ada132595dca5e21ee
Description: Arabic environment
 This task installs programs, data files, fonts, and
 documentation that makes it easier for Arabic speakers
 to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-arabic_3.14.1_all.deb

Package: task-arabic-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: ttf-kacst, ttf-farsiweb, iceweasel-l10n-ar, libreoffice-l10n-ar, hunspell-ar
Size: 772
SHA256: 037e5d8305e9fd0d08c4165af080af1a72cab662f1d0598021836252f7564f8d
SHA1: be8e501ae01d07b0eb3369f364a205a86636a179
MD5sum: 5c524e1df38369f2bdd0a568bbd6654b
Description: Arabic desktop
 This task localises the desktop in Arabic.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-arabic-desktop_3.14.1_all.deb

Package: task-arabic-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-ar
Size: 740
SHA256: 13b8fa850e7cb27448f77f7e31c103734c14eb0105990f3e2cd3bdae184552dd
SHA1: 2a1453456be4aeee06f9d072f804cee8fd0ac49a
MD5sum: ecf7a94d5126a8f4979c1ee55c4fc774
Description: Arabic KDE desktop
 This task localises the KDE desktop in Arabic.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-arabic-kde-desktop_3.14.1_all.deb

Package: task-asturian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Size: 738
SHA256: 18f4ae1c0cc38861b5c22a6c8d9e2df993519e63e97f9609f45ee65d67ac67d7
SHA1: 375c3729f495080e4b17722c8876b90d28f8f29e
MD5sum: 7b35920eb595e3658b484a45cb71499f
Description: Asturian environment
 This task installs packages and documentation in Asturian
 to help Asturian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-asturian_3.14.1_all.deb

Package: task-asturian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-ast, iceweasel-l10n-ast
Size: 748
SHA256: 6c74e5519265aaaaed96d9b6d591098b7f061424abf53318db2a633b76230658
SHA1: d04c2140003b954b13d9cd7ade44e13eb3cb62f7
MD5sum: b20c094dadd07892ff0629e3a3117d90
Description: Asturian desktop
 This task localises the desktop in Asturian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-asturian-desktop_3.14.1_all.deb

Package: task-basque
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-eu-es
Size: 754
SHA256: 7771735068fcdb78862884cefb27e8174c73aab819527695387df7a4efc09a90
SHA1: 8d75d17a090521b579d895c9a04a9765cc503cff
MD5sum: 259caf7797b43885b67d461241b7ba88
Description: Basque environment
 This task installs packages and documentation in Basque
 to help Basque speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-basque_3.14.1_all.deb

Package: task-basque-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: iceweasel-l10n-eu, libreoffice-l10n-eu, libreoffice-help-eu, hunspell-eu-es
Size: 762
SHA256: 32d4d4214a03309934234e13b1c63247f3f7aecdefc23ba71c48dc44a1e0d2c6
SHA1: 3f874d282ebdb8caf075f07b4e673a7d12bb46e9
MD5sum: 3485ca7396f05d1f77aae947f5a710ed
Description: Basque desktop
 This task localises the desktop in Basque.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-basque-desktop_3.14.1_all.deb

Package: task-basque-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-eu
Size: 738
SHA256: 76e543ed453daa3fa5b0d65928115671307e77fe8dd2908296a76d6f058cf33d
SHA1: c062ed033d1a2685eba5be50c5657dfb7be48fbf
MD5sum: ff6cc18bf5816a008f7e90782c27e099
Description: Basque KDE desktop
 This task localises the KDE desktop in Basque.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-basque-kde-desktop_3.14.1_all.deb

Package: task-belarusian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Size: 744
SHA256: 482b90e74b2bcffbbd417b659b8887630a5ef729348fefa923e431e407902ccc
SHA1: 019baa178c7edfb4928f5985ac4c18bbf002683f
MD5sum: 27131cda2da176744ac2fb5dc1f4f854
Description: Belarusian environment
 This task installs packages and documentation in Belarusian
 to help Belarusian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-belarusian_3.14.1_all.deb

Package: task-belarusian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-be, iceweasel-l10n-be, hunspell-be
Size: 758
SHA256: 4f667b0feffb5e3d59b68028cea5cb4121f1dab3d52a38061d64ed6859e90088
SHA1: e732bd37707a85d1510a38be038f942ab8816bdc
MD5sum: 071648f463adfe9d67f47626dfeaac77
Description: Belarusian desktop
 This task localises the desktop in Belarusian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-belarusian-desktop_3.14.1_all.deb

Package: task-belarusian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Size: 732
SHA256: b10cb60e3e42573eeb39c654934d1c4ce1aa09a478a0c2179014eba5f2248ee3
SHA1: c59cf988527f16ca6906155190c1cf602d3ea8ce
MD5sum: 097c38c74f3a7f1eaaf73928042efd3c
Description: Belarusian KDE desktop
 This task localises the KDE desktop in Belarusian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-belarusian-kde-desktop_3.14.1_all.deb

Package: task-bengali
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: ttf-bengali-fonts, aspell-bn
Size: 784
SHA256: 7fb0911c78ef30d95700dec01c793a808f991d989b7e7aab69353eb2ef4a2be1
SHA1: fe0655eab3a2da55e9afec6fd987d2ae48ee7c62
MD5sum: 9e4b6df7b0b3f71ce017bf988aeb3799
Description: Bengali environment
 This task installs programs, data files, fonts, and
 documentation that makes it easier for Bengali speakers
 to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-bengali_3.14.1_all.deb

Package: task-bengali-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-bn, iceweasel-l10n-bn-in, iceweasel-l10n-bn-bd, ttf-bengali-fonts
Size: 768
SHA256: d481d7e9d2bb4b51b25619f4896a5a62cb4b52aa78efc49a668446293c2fb7db
SHA1: a5d9aa2ccbbcaa534b55353ebc5a41e84c4dff56
MD5sum: f1723ec73275d50def45ae4ce39b79f3
Description: Bengali desktop
 This task localises the desktop in Bengali.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-bengali-desktop_3.14.1_all.deb

Package: task-bengali-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Size: 728
SHA256: 481b7719bdee1929e5335f1a3c080fd3e0ecaf8131e6f58283d9cf080c13746d
SHA1: c2e5349a51b11b917d1f3e2e8500ddc9b158ab16
MD5sum: f07d85ebcc3bc4dd024d604aa762df07
Description: Bengali KDE desktop
 This task localises the KDE desktop in Bengali.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-bengali-kde-desktop_3.14.1_all.deb

Package: task-bosnian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Safir Secerovic 
Architecture: all
Depends: tasksel, locales
Recommends: aspell-hr, doc-linux-hr, itools
Size: 772
SHA256: 719d754125de39bd9a05f9b22779606a9243eb586382ac90f8875e61a473410a
SHA1: 86c094a232617de928b1da1f6b686576d616b045
MD5sum: 0556519faadc013ee075bfe7447e8eac
Description: Bosnian environment
 This task installs packages and documentation in Bosnian
 to help Bosnian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-bosnian_3.14.1_all.deb

Package: task-bosnian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Safir Secerovic 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-bs, iceweasel-l10n-bs, xfonts-biznet-100dpi, xfonts-biznet-75dpi, xfonts-biznet-base, xfonts-terminus, myspell-hr
Size: 784
SHA256: 071543cebe24a6311b4d9846a3a736d943407ce7b7077747191a90ad534188a4
SHA1: 46fa5613b12b465cbae957147ca81b35a5e52908
MD5sum: 955977679c27d2065ccf19b5558ba3c8
Description: Bosnian desktop
 This task localises the desktop in Bosnian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-bosnian-desktop_3.14.1_all.deb

Package: task-bosnian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-bs
Size: 740
SHA256: 8e7b5d0c1c613e65d506dc0b398aba99a23eed5dc3eb1f0b700f8ee98bbf8114
SHA1: 75dfbd13a894313571b0161d752a60781714ef87
MD5sum: 6d0479f261f3cd5fc6efa995c39cf7ca
Description: Bosnian KDE desktop
 This task localises the KDE desktop in Bosnian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-bosnian-kde-desktop_3.14.1_all.deb

Package: task-brazilian-portuguese
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Otavio Salvador 
Architecture: all
Depends: tasksel, manpages-pt
Recommends: ibrazilian, aspell-pt-br, wbrazilian, util-linux-locales
Size: 820
SHA256: 5bd773b2e94ff69484d52967278e86cc3adbe944276a3869593d12ffec4f72a9
SHA1: 61380b7ffd53e7bb02b5294381b61fb3d76ceaba
MD5sum: b1e6f916d8234e9ce576e641e2facfca
Description: Brazilian Portuguese environment
 This task installs programs, data files, and
 documentation that make it easier for Brazilian Portuguese speakers
 to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-brazilian-portuguese_3.14.1_all.deb

Package: task-brazilian-portuguese-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Otavio Salvador 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-pt-br, iceweasel-l10n-pt-br, myspell-pt-br
Size: 776
SHA256: cb3ab721c3ed6ebb43537f6c267b222a94876d6c25a1dec8b9c96cae7b2fbbcc
SHA1: 35982db9f6daaae11df3ec92526dd4db9c4685e5
MD5sum: 81a581a68437b6c3f7511a6de8855217
Description: Brazilian Portuguese desktop
 This task localises the desktop in Brasilian Portuguese.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-brazilian-portuguese-desktop_3.14.1_all.deb

Package: task-brazilian-portuguese-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-ptbr
Size: 770
SHA256: d6386754d94ff329ef647c4d5272722cd9589979061a41d28db31c19f6d8b994
SHA1: 397e19be0cd303682bcf488974e6f4f7d6e253ac
MD5sum: 3c1a4fb0b72ff766759dc357b9f75f6c
Description: Brazilian Portuguese KDE desktop
 This task localises the KDE desktop in Brazilian Portuguese.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-brazilian-portuguese-kde-desktop_3.14.1_all.deb

Package: task-british-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Per Olofsson 
Architecture: all
Depends: tasksel
Recommends: iceweasel-l10n-en-gb, libreoffice-help-en-gb, libreoffice-l10n-en-gb, myspell-en-gb
Size: 752
SHA256: a1ca4b03242589f4cb36eb0ea20f13f835a6ea086ef8a783ec5fb0ee7d5fd0f0
SHA1: 69ee93b1064b864f7a07c38684981132b3e02593
MD5sum: 683d9b988862da03f0bd8797155324fd
Description: British English desktop
 This task localises the desktop in British English.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-british-desktop_3.14.1_all.deb

Package: task-british-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-engb
Size: 742
SHA256: 7aed4d3cf50e3babf4d7970709c3ac331d94aa0efd6c7ff97557ceb31a2bdb46
SHA1: ed6b4fbf5a869db89af0af21d51c6ec0e593ea23
MD5sum: 93c019af48f965836d75c4ba32bd4101
Description: British KDE desktop
 This task localises the KDE desktop in British.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-british-kde-desktop_3.14.1_all.deb

Package: task-bulgarian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Anton Zinoviev 
Architecture: all
Depends: tasksel
Recommends: aspell-bg, ibulgarian, wbulgarian
Size: 764
SHA256: 02318a5ecef8dd7631e154e39fde3dad369c720f422085c70075fb318aac7663
SHA1: 010e1352875e5d8083944cd4408c77e52ac30fbf
MD5sum: 970d4cfeea6501f0929cefea99225a3f
Description: Bulgarian environment
 This task installs programs and data files that make it easier for
 Bulgarian speakers to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-bulgarian_3.14.1_all.deb

Package: task-bulgarian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Anton Zinoviev 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-bg, iceweasel-l10n-bg, ttf-dejavu, myspell-bg
Size: 756
SHA256: f2a36d1e5fd39e1264a7d628d1d4871788f7dd8cc1016d966e755a7414bbba3c
SHA1: 577635f8fb44290ee5bc8ffce4672c5907ed28ea
MD5sum: 9340e75dc23a53c7f724b0082b8b40f5
Description: Bulgarian desktop
 This task localises the desktop in Bulgarian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-bulgarian-desktop_3.14.1_all.deb

Package: task-bulgarian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-bg
Size: 744
SHA256: 3cbf8fc19fc4e30e3819f50c5815eb421d1a01c9bbc783190f56bf186ffc6951
SHA1: 1967cae017ec31f40990fa9f8fcbfdbf2d6e40ba
MD5sum: 5c1e137af56853e04ba67e071a562756
Description: Bulgarian KDE desktop
 This task localises the KDE desktop in Bulgarian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-bulgarian-kde-desktop_3.14.1_all.deb

Package: task-catalan
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Jordi Mallach 
Architecture: all
Depends: tasksel
Recommends: aspell-ca, icatalan, wcatalan, util-linux-locales
Size: 766
SHA256: dd46311c9f5a70d56bc9f5cbe0f58b93a607174c53312db3baa434e740e90c09
SHA1: 0c84663d8c727e6225c71ec4e69310f49ee82cfb
MD5sum: 907ad66ff651705af5e340e076d1ae7b
Description: Catalan environment
 This task installs packages and documentation in Catalan
 to help Catalan speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-catalan_3.14.1_all.deb

Package: task-catalan-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Jordi Mallach 
Architecture: all
Depends: tasksel
Recommends: iceweasel-l10n-ca, libreoffice-l10n-ca, libreoffice-help-ca, myspell-ca
Size: 746
SHA256: fa4cdc3037f8cab315e4934632bd4f1a857a5ecd6929563e9f9c8444857c8039
SHA1: 8e34d67259ec1be03424a7a185f5b7c3cc74500a
MD5sum: 1fa0d12aac33a9f4b7990a2ceede52f5
Description: Catalan desktop
 This task localises the desktop in Catalan.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-catalan-desktop_3.14.1_all.deb

Package: task-catalan-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-ca
Size: 738
SHA256: 1417d794d0fc8a0f0a664db0af8f0389a4112a0ac11eeedeb11e0670af788100
SHA1: 25fa697ae5cd1ba7ab8e4f460bb350b433108346
MD5sum: c562fd2473e8eedf73ca9a291dbfc64f
Description: Catalan KDE desktop
 This task localises the KDE desktop in Catalan.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-catalan-kde-desktop_3.14.1_all.deb

Package: task-chinese-s
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: opencc, zhcon, debian-zh-faq-s, manpages-zh, fortune-zh
Size: 826
SHA256: bbb548e9ab3c5e4171d5cccaccb2022872cdcca3c5fa445a8c6aef238be8157f
SHA1: b579ee26f067fcc7e4bdd4239610bb4ef487a4b1
MD5sum: 63e1293ddd54bfa4d2e84fbba6696848
Description: Simplified Chinese environment
 This task installs programs, data files, fonts, and
 documentation that make it easier for Chinese speakers
 to use Debian, using the simplified Chinese encoding.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-chinese-s_3.14.1_all.deb

Package: task-chinese-s-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: im-config, fcitx, fcitx-sunpinyin, fcitx-googlepinyin, fcitx-table-wubi, xfonts-wqy, fonts-arphic-ukai, fonts-arphic-uming, fonts-droid, ttf-wqy-microhei, ttf-wqy-zenhei, libreoffice-l10n-zh-cn, libreoffice-help-zh-cn, iceweasel-l10n-zh-cn, goldendict, poppler-data
Size: 866
SHA256: dc5d7d0c793c0b05963705e67fad6e66d75eccb15fab1bb0aac9c4b91b16550d
SHA1: a35af437dec2af52b2ba4876791cbea300965794
MD5sum: 75b2aeb766f42832324e9a6d3598fd1d
Description: Simplified Chinese desktop
 This task localises the desktop in Simplified Chinese.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-chinese-s-desktop_3.14.1_all.deb

Package: task-chinese-s-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-zhcn, fcitx-frontend-qt4, kde-config-fcitx
Size: 782
SHA256: ce1401dbb7567f5e11469ac8a4cd4cd4627275874e89edd409dbeb2c76bc0605
SHA1: e9d5637b8852f31908dd044573d76adb70c3ef89
MD5sum: 8ee34705990fb81bc6d08c95637dced5
Description: Simplified Chinese KDE desktop
 This task localises the KDE desktop in Simplified Chinese.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-chinese-s-kde-desktop_3.14.1_all.deb

Package: task-chinese-t
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: zhcon
Size: 794
SHA256: 50af902674df9c9ffc7acf82b9f49ee9eb8055c3aa38ea50cf00c5b9ecb7f82f
SHA1: 8bedffff36aa6cd722721dcffc4ef93dcec6da02
MD5sum: 62d3283885ccf066c34cbbb9454f9884
Description: Traditional Chinese environment
 This task installs programs, data files, fonts, and
 documentation that make it easier for Chinese speakers
 to use Debian, using the traditional Chinese encoding.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-chinese-t_3.14.1_all.deb

Package: task-chinese-t-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: scim, scim-chewing, scim-gtk-immodule, im-config, xfonts-wqy, ttf-arphic-ukai, ttf-arphic-uming, fonts-droid, ttf-wqy-microhei, ttf-wqy-zenhei, libreoffice-l10n-zh-tw, libreoffice-help-zh-tw, iceweasel-l10n-zh-tw, poppler-data
Size: 846
SHA256: 542f73356c31dfb5a66f7d3cd463de6670fbd31e22a166f4cabc52ee825a48bf
SHA1: 4382227dee4e5ad7f4446cf91952b393111314b1
MD5sum: 76d4fb6bef8b3c46288e036b32d28226
Description: Traditional Chinese desktop
 This task localises the desktop in Traditional Chinese.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-chinese-t-desktop_3.14.1_all.deb

Package: task-chinese-t-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-zhtw, scim-qt-immodule
Size: 768
SHA256: 7b7ba03c0dd12c6589068739a64b1e2ddd5a2c6fd3d7f7334de470e96f96fa55
SHA1: 71dd4c2dc32f88cb375c5fdbadac7fb38cdf01bc
MD5sum: b622c554585ced7fe3ee445c335e48c8
Description: Traditional Chinese KDE desktop
 This task localises the KDE desktop in Traditional Chinese.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-chinese-t-kde-desktop_3.14.1_all.deb

Package: task-croatian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Safir Secerovic 
Architecture: all
Depends: tasksel, locales
Recommends: aspell-hr, doc-linux-hr
Size: 768
SHA256: 3f4ce1ea466c42f0b5823b68f3a6b7ad892fd198da89fb5be0614df28b0a41c1
SHA1: 898cf09faa7adfc20d6d7adaee7935f24d587f03
MD5sum: 29b4cc7a7c4c288e5db883e9fc74c107
Description: Croatian environment
 This task installs packages and documentation in Croatian
 to help Croatian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-croatian_3.14.1_all.deb

Package: task-croatian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Safir Secerovic 
Architecture: all
Depends: tasksel
Recommends: hyphen-hr, libreoffice-l10n-hr, xfonts-biznet-100dpi, xfonts-biznet-75dpi, xfonts-biznet-base, xfonts-terminus, myspell-hr, iceweasel-l10n-hr
Size: 788
SHA256: 8cc22a52b8ac278fb9f5d176ac00343db9ac5aee19562f67e595b1bde2a82545
SHA1: fcee1489ff962ba93a9781b8a7cf2b84d461a196
MD5sum: f640c91e0ee7931f6aa9ceafb4a06e54
Description: Croatian desktop
 This task localises the desktop in Croatian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-croatian-desktop_3.14.1_all.deb

Package: task-croatian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-hr
Size: 740
SHA256: 1bef2e0cf9e5db10d2645caf7cc5668da83ab735ed3f8a1a8a82714bbec02e60
SHA1: d8f0c3d24276f49b16573b236f4ac10b79a7ea21
MD5sum: 4b5e0e894dfe35cb3d459f0c9b2a3a63
Description: Croatian KDE desktop
 This task localises the KDE desktop in Croatian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-croatian-kde-desktop_3.14.1_all.deb

Package: task-cyrillic
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Anton Zinoviev 
Architecture: all
Depends: tasksel
Recommends: konwert
Size: 798
SHA256: 6906c88fce881a824337bef558f38cfde41666c6c8418b5f0c5a563353facc63
SHA1: f0c0d37a86460f7ef6d34e94a2b531bab423de79
MD5sum: 77c24c38a2d7aff77147ad31012d55c0
Description: Cyrillic environment
 This task provides Cyrillic fonts and other software you will need in
 order to use Cyrillic.  It supports Belarusian, Bulgarian,
 Macedonian, Russian, Serbian and Ukrainian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-cyrillic_3.14.1_all.deb

Package: task-cyrillic-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Anton Zinoviev 
Architecture: all
Depends: tasksel, t1-cyrillic
Recommends: xxkb, t1-teams, ttf-arhangai, xfonts-bolkhov-cp1251-misc, xfonts-bolkhov-cp1251-75dpi, xfonts-cronyx-cp1251-100dpi, xfonts-cronyx-isocyr-misc, xfonts-cronyx-isocyr-75dpi, xfonts-cronyx-isocyr-100dpi, xfonts-bolkhov-koi8r-misc, xfonts-bolkhov-koi8r-75dpi, xfonts-cronyx-koi8r-100dpi, xfonts-bolkhov-koi8u-misc, xfonts-bolkhov-koi8u-75dpi, xfonts-cronyx-koi8u-100dpi, xfonts-bolkhov-misc, xfonts-bolkhov-75dpi, xfonts-cronyx-100dpi
Size: 882
SHA256: d5c9d5191ead41ae0bc36f3a2a3d37cf374cb7868e7e5036c71d0c88ae7062dd
SHA1: c5c5ac53f04bb0b278d5843a2fd219a6a4031c9b
MD5sum: d041e8d8cfe6c8ca128cc463da66b33f
Description: Cyrillic desktop
 This task localises the desktop in Cyrillic.
 It supports Belarusian, Bulgarian, Macedonian, Russian, Serbian and Ukrainian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-cyrillic-desktop_3.14.1_all.deb

Package: task-cyrillic-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Size: 728
SHA256: 249833c8140dfa189d8a80b683ef7ff5da71f750003b4e5f615c30f176820c61
SHA1: dc0714fe8db96e85c19d239f96702142a9ddf809
MD5sum: 236f2535620ad67c41e14ef5d1b52068
Description: Cyrillic KDE desktop
 This task localises the KDE desktop in Cyrillic.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-cyrillic-kde-desktop_3.14.1_all.deb

Package: task-czech
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-cs, util-linux-locales
Size: 768
SHA256: 9b5a016f0d5a2822933132f16ef6a5d56ef6b18838aee1692b8500edb22836dd
SHA1: 9552e30767569e455636f2327c8340b85756a70e
MD5sum: 41193b696a6ef9c04f2342e9fd60998c
Description: Czech environment
 This task installs packages and documentation in Czech
 to help Czech speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-czech_3.14.1_all.deb

Package: task-czech-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: iceweasel-l10n-cs, libreoffice-l10n-cs, libreoffice-help-cs, mythes-cs, xfonts-terminus, myspell-cs-cz
Size: 776
SHA256: ec77b7314bd7c602c5ed7fa9fc19242487cdb4afd8208526867875bcac323cdb
SHA1: 95e6ab4d4ce479eaebead03754e4dca64aa8ca0a
MD5sum: 4c40161a40267d983dc16e0a1b8adaf6
Description: Czech desktop
 This task localises the desktop in Czech.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-czech-desktop_3.14.1_all.deb

Package: task-czech-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-cs
Size: 740
SHA256: db8a88d891f40121baf62396782d5e8093fc69067d9d3bd548675fb3a77a1230
SHA1: d334106b23f3cc2c5590da9cb57bf63d39302dca
MD5sum: 36be9ab78a001820893cb7c252e3149a
Description: Czech KDE desktop
 This task localises the KDE desktop in Czech.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-czech-kde-desktop_3.14.1_all.deb

Package: task-danish
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-da, idanish, wdanish, util-linux-locales
Size: 774
SHA256: 235b1447b48cb9f32afcbd729dfa9a9f2ba9809eb13d1e3d5d1f0f3372a7100c
SHA1: 1f2c0ba3ef5507d76f10f960dae5516ede861b01
MD5sum: ea94d89f9fa5b41c7228cbc24bbe7723
Description: Danish environment
 This task installs packages and documentation in Danish
 to help Danish speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-danish_3.14.1_all.deb

Package: task-danish-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: iceweasel-l10n-da, libreoffice-l10n-da, libreoffice-help-da, hunspell-da
Size: 758
SHA256: 0457dca51d473423477c361a4a079ae6ca1295cc4584fc5b2563be0ae6643202
SHA1: 448478dcc5b5877c0fd6e535a3db45bf96ec6cff
MD5sum: 3567687a19ef1e872e9789bd435d34c2
Description: Danish desktop
 This task localises the desktop in Danish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-danish-desktop_3.14.1_all.deb

Package: task-danish-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-da
Size: 740
SHA256: 5799b9fb72d258b2f2af4ea759eb71e919ea98bb7f9d03adde3ab5359f37064c
SHA1: 6f043102bf60e7676bb78a95ee616f9690120cf0
MD5sum: 21a1ffe06cb8b777c35dc228ec519664
Description: Danish KDE desktop
 This task localises the KDE desktop in Danish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-danish-kde-desktop_3.14.1_all.deb

Package: task-database-server
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, postgresql
Recommends: postgresql-client, postgresql-doc, postgresql-contrib
Size: 892
SHA256: dd45ad20eb88a38389e64b3888823a22c08139c3a22dec3b81c544f39b531581
SHA1: 25cf38f89438e5cfeb363e73fcb5fd618aaf63f4
MD5sum: 08d08c7dc61a569d95d5702d11e974f3
Description: SQL database
 This task selects client and server packages for the PostgreSQL database.
 .
 PostgreSQL is an SQL relational database, offering increasing SQL92
 compliance and some SQL3 features.  It is suitable for use with multi-user
 database access, through its facilities for transactions and fine-grained
 locking.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-database-server_3.14.1_all.deb

Package: task-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, xorg, xserver-xorg-video-all, xserver-xorg-input-all, desktop-base, menu
Recommends: task-gnome-desktop | task-kde-desktop | task-lxde-desktop | task-xfce-desktop, xdg-utils, avahi-daemon, libnss-mdns, anacron, libgl1-mesa-dri, eject, alsa-utils, alsa-base, pm-utils
Size: 842
SHA256: f780c43b6a2f28019670ca6e79cf8319664bc68fd8e510b54761254c8d4f71b4
SHA1: 1b64e08748c9fca34c4cab39b845ef03f1578f37
MD5sum: 06aa41d038eee6eafb56b75d8028b90b
Description: Debian desktop environment
 This task package is used to install the Debian desktop.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-desktop_3.14.1_all.deb

Package: task-dns-server
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, bind9
Recommends: bind9-doc, dnsutils, lwresd, dlint
Size: 776
SHA256: f9f68bbf76a4d9dfb33f42b99b1bd04d5267eb6be7aff3890d66b26bb94b23c8
SHA1: 536178963519252bc9479f2fa03333613f0b597a
MD5sum: ac810cbe271bdc2356500c1557201d18
Description: DNS Server
 This task selects the BIND DNS server, and related documentation and
 utility packages.
Tag: role::program
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-dns-server_3.14.1_all.deb

Package: task-dutch
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: idutch, wdutch, aspell-nl
Size: 780
SHA256: db1635cdb38d5e9a6b24253e24c7d2c314524a6e69c7ed86225ba915ebadcb55
SHA1: cc02148ba4678fdb1acf94cd4a55cabe79563932
MD5sum: 2c0e0a3209224c2882dfa68ed40db69d
Description: Dutch environment
 This task installs programs, data files, fonts, and
 documentation that makes it easier for Dutch speakers
 to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-dutch_3.14.1_all.deb

Package: task-dutch-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: iceweasel-l10n-nl, libreoffice-l10n-nl, libreoffice-help-nl, myspell-nl
Size: 758
SHA256: 4091eb3ec7b74984956e5e4c7e6b6deab54547f38dcb2e8f82d99fdddc686176
SHA1: 527e2bfcb1baf67885c32c358ac649e9e6b77e6e
MD5sum: e9a7b57b8bbfc2d156a3f977d55f2fe0
Description: Dutch desktop
 This task localises the desktop in Dutch.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-dutch-desktop_3.14.1_all.deb

Package: task-dutch-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-nl
Size: 738
SHA256: 063faefd83b266f35f86714f252b8dc60a058634a9afdbb35f9df3afcc61c0f6
SHA1: 693a4cf561b3993aedcc91eea96e7e20bd18c4f8
MD5sum: 52bf5cc8f4dd386203678717c2474d6b
Description: Dutch KDE desktop
 This task localises the KDE desktop in Dutch.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-dutch-kde-desktop_3.14.1_all.deb

Package: task-dzongkha-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: ttf-dzongkha, libreoffice-l10n-dz, libreoffice-help-dz
Size: 756
SHA256: 9182441580a2d1d63db8c7cb2c25f02d20f990272b758b81a3aea035eecdc7f7
SHA1: 082f4f832ecc4ec27d39bdfc92d6c3494db71c1d
MD5sum: 9cfd3bb3a080b3a81db06ae782067dec
Description: Dzongkha desktop
 This task localises the desktop in Dzongkha.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-dzongkha-desktop_3.14.1_all.deb

Package: task-dzongkha-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Size: 732
SHA256: 1d29620ba65d59e6340bb1e8ddef566f9fa4893351a3f8e7c72a6a4e9b51a56d
SHA1: 546ec929823f7eb6d2228d3135aef4a537d39de3
MD5sum: 231f80f10cd51e94f244b562da2199dd
Description: Dzongkha KDE desktop
 This task localises the KDE desktop in Dzongkha.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-dzongkha-kde-desktop_3.14.1_all.deb

Package: task-english
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, locales
Recommends: util-linux-locales, ibritish, iamerican
Size: 792
SHA256: a113e351120577de58057200700861d5bf93bd94363aaa9f751881659f53398f
SHA1: 9f26591bde2e2de496214b0b65b31505d3fcfe5d
MD5sum: ee5a2b18fa0f392fba71f63d1504caf2
Description: General English environment
 This task installs packages and documentation in British
 and American English to help English speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-english_3.14.1_all.deb

Package: task-esperanto
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-eo
Size: 758
SHA256: 8ab7a8ca4d210483be10ddc675d45522f5ebce0a63cfe5aa65c4867c4f1818f2
SHA1: 996b2ec783eecdc1f61192995797978df71f1244
MD5sum: bf49004edf60a6e16c8d538449791d08
Description: Esperanto environment
 This task installs packages and documentation in Esperanto
 to help Esperanto speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-esperanto_3.14.1_all.deb

Package: task-esperanto-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: myspell-eo, libreoffice-l10n-eo, iceweasel-l10n-eo
Size: 758
SHA256: 61fc334b5165a77771eb59dc5a012e4fbc1bf451a2fc714fba27824436e02f54
SHA1: aed4481b2730dec62fab0a3817882781a104fea2
MD5sum: a917313d0698909397f48913768d0f59
Description: Esperanto desktop
 This task localises the desktop in Esperanto.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-esperanto-desktop_3.14.1_all.deb

Package: task-esperanto-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-eo
Size: 738
SHA256: f82ced141bdd3e7e024ff9350d42a447f6c8e845346d52081c4404c470197a75
SHA1: e182e8ee59e5767ec37fc8a5801dd133d95aba51
MD5sum: e39e1307bbd1c98767a3b8e52ee12a3e
Description: Esperanto KDE desktop
 This task localises the KDE desktop in Esperanto.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-esperanto-kde-desktop_3.14.1_all.deb

Package: task-estonian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-et
Size: 754
SHA256: c9ced763b6512b02a55d9b8872796444f90810a6e914911e3be568d3bc1934b1
SHA1: 8e16d8e11125eaaa737ad717e305f6147a8334b3
MD5sum: 051fef1ad32683ec2220be29315629e3
Description: Estonian environment
 This task installs packages and documentation in Estonian
 to help Estonian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-estonian_3.14.1_all.deb

Package: task-estonian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-et, libreoffice-help-et, iceweasel-l10n-et
Size: 752
SHA256: 25438e73adf36122235ea1bc0d3006436774ddb539e7ae22eff275a8e4fa5ec8
SHA1: bda073378961707236036081aea7035239cb1878
MD5sum: 1d400f7b51812cccf36182e793dec0c0
Description: Estonian desktop
 This task localises the desktop in Estonian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-estonian-desktop_3.14.1_all.deb

Package: task-estonian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-et
Size: 740
SHA256: a6c868d682f953eede15e68214de84c2366e9934b79469a22c8278d777738ed0
SHA1: 39180f0df2fef9b13f9c5fd9663e91c534c61bf0
MD5sum: 6567d8282259a2e5ce975800b40c36db
Description: Estonian KDE desktop
 This task localises the KDE desktop in Estonian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-estonian-kde-desktop_3.14.1_all.deb

Package: task-file-server
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, nfs-kernel-server, samba
Recommends: samba-doc, smbclient, swat, cifs-utils, winbind, smartmontools
Size: 802
SHA256: f2f61e1cc6c6095f0c426cbfd2f3cdd8aaddb8ab8f13d7c5a976c130513e2605
SHA1: ca54df1411623ebc878fa2e51a943dd99822722a
MD5sum: 2c5a3161f53ec2b2d38f12e152affca8
Description: File server
 This task sets up your system to be a file server, supporting both CIFS
 and NFS.
Tag: role::program
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-file-server_3.14.1_all.deb

Package: task-finnish
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: tmispell-voikko, voikko-fi, libenchant-voikko
Size: 776
SHA256: 97591b70033c18211d9ae38c2f6da209ccef70b7ed373ac6cde332c7f453bb08
SHA1: a4f8ee1f643695fdc1a57db7045d2fca86557f20
MD5sum: 665f16d4e5235c23d29fdbf48fd1e843
Description: Finnish environment
 This task installs packages and documentation in Finnish
 to help Finnish speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-finnish_3.14.1_all.deb

Package: task-finnish-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-help-fi, libreoffice-l10n-fi, libreoffice-voikko, iceweasel-l10n-fi, xul-ext-mozvoikko
Size: 776
SHA256: 37f2c840d5509fc78ca6f8ad865e1ca2e396f1496b9e48b9491381b9d1980e18
SHA1: 5dfb95f30afca896b65db08c8c0ea5d0bf3a4171
MD5sum: 2c1d6271a496e2376d0ced7044077c26
Description: Finnish desktop
 This task localises the desktop in Finnish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-finnish-desktop_3.14.1_all.deb

Package: task-finnish-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-fi
Size: 742
SHA256: c060c21d355b647561d0c8d6f01370fd9497dc147dd2c044cf66912b385a36a1
SHA1: 413fc5111a5d5ee96877eb9b85aebc7caf676e63
MD5sum: dff7dc60f7212a1e29f18a3daaf7e92e
Description: Finnish KDE desktop
 This task localises the KDE desktop in Finnish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-finnish-kde-desktop_3.14.1_all.deb

Package: task-french
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, manpages-fr
Recommends: doc-linux-fr-text, doc-debian-fr, ifrench-gut, wfrench, aspell-fr, manpages-fr-extra, util-linux-locales
Size: 812
SHA256: 21472c5bb5580c3bed0319a475e2aebdd503653747896a731dc63987b792ec81
SHA1: 4e89fa61970e7aeacb74dd3d1728a40ded925445
MD5sum: 4402243d41e43bd640d827e9d303e43a
Description: French environment
 This task installs packages and documentation in French
 to help French speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-french_3.14.1_all.deb

Package: task-french-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: xfonts-intl-european, libreoffice-l10n-fr, libreoffice-help-fr, mythes-fr, iceweasel-l10n-fr, hunspell-fr
Size: 782
SHA256: 68a54860949f0c259328ceaf077314fad9152b29ce4b00692c3536412e4c6d90
SHA1: 07f5b074bd8a75ba622eccf429a8ce2f188f9e48
MD5sum: 21ff8766392643fece0db359bd24f083
Description: French desktop
 This task localises the desktop in French.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-french-desktop_3.14.1_all.deb

Package: task-french-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-fr
Size: 740
SHA256: d0431fd4c5fe45b08b6f7566a5f120bce64851e35df16817afd2ac5ec582673b
SHA1: 5f81edbf402386a4822f31770d9982b3f18b2e7c
MD5sum: d63052a6ea5690c15923e39fe77c23b3
Description: French KDE desktop
 This task localises the KDE desktop in French.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-french-kde-desktop_3.14.1_all.deb

Package: task-galician
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-gl-minimos, util-linux-locales
Size: 774
SHA256: 199537275b4d00c3a36b6b29185585ce3273fcea59eef36043e7beba10384f1d
SHA1: 78a3fd5aacf402d81e4a897942f7a12cbf701fbd
MD5sum: 3f5542ce36b4415c8a85cdde2f4cc7ef
Description: Galician environment
 This task installs packages and documentation in Galician
 to help Galician speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-galician_3.14.1_all.deb

Package: task-galician-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-gl, libreoffice-help-gl, iceweasel-l10n-gl, hunspell-gl-es
Size: 768
SHA256: e0964aa25cf5febd6f77282441942e5e86173c6fd8fe7cabe7f3bc4cb4c8db92
SHA1: ac8abc8e6157c6fd0633a6aa5afe73feed7cc53c
MD5sum: b4ffb518589a4f9425c3c786915da25a
Description: Galician desktop
 This task localises the desktop in Galician.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-galician-desktop_3.14.1_all.deb

Package: task-galician-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-gl
Size: 742
SHA256: aa08bb4704c08e43bd6581490b0bf69f7bed9be3cf20006f8bf31f40a28c4c6f
SHA1: 57a28123cc65a39249d3b491eb89195c7eb36087
MD5sum: 47b85709f09fef136b63cc7809d7de82
Description: Galician KDE desktop
 This task localises the KDE desktop in Galician.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-galician-kde-desktop_3.14.1_all.deb

Package: task-georgian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: ttf-bpg-georgian-fonts, libreoffice-l10n-ka
Size: 750
SHA256: 8bff98c100ea69acc693f060ef4c51f31acb0d1ea206726ffc0dd1ee13eb4821
SHA1: fd6a20278bc21aebc896b29a57bba8c1ecdb446a
MD5sum: 4e03da08d6c8ede1a1642f17beeb6569
Description: Georgian desktop
 This task localises the desktop in Georgian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-georgian-desktop_3.14.1_all.deb

Package: task-german
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, manpages-de
Recommends: wngerman, ingerman, doc-linux-de, aspell-de, aspell-de-alt
Size: 786
SHA256: 91236524e0c01b2337c838d00f1c807f873120ac86d59331fbf1e280258c322b
SHA1: 83dd75c7ec1fc34b1e22d9be83e84f5d57569b7f
MD5sum: 208d967286f9811f23facf0c89d3c1ed
Description: German environment
 This task installs packages and documentation in German
 to help German speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-german_3.14.1_all.deb

Package: task-german-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: iceweasel-l10n-de, libreoffice-help-de, libreoffice-l10n-de, mythes-de, mythes-de-ch, hyphen-de, hunspell-de-de, hunspell-de-at, hunspell-de-ch
Size: 784
SHA256: 805e35a7172e9c801ec98ecd5ed89f26dcb882fbb1caf10c800914b2a955cd18
SHA1: 47e9f148fae21d105829fff83cdf0b8668801833
MD5sum: 2fe8e0ccffaf51976cd678d28d10a9a6
Description: German desktop
 This task localises the desktop in German.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-german-desktop_3.14.1_all.deb

Package: task-german-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-de
Size: 742
SHA256: 6c1bd94889ba402ae4d7042f56939062ad3a6e7d1bb059bea8b823ec0df91b06
SHA1: 6687b51a2c34801e9984b07f3ad4a930e80b7b56
MD5sum: 5fcc2dab7dd761e4adb3a52f5a251ead
Description: German KDE desktop
 This task localises the KDE desktop in German.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-german-kde-desktop_3.14.1_all.deb

Package: task-gnome-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, task-desktop, gnome-core
Recommends: gnome, network-manager-gnome, libreoffice-gnome, libreoffice-evolution, gimp, synaptic, iceweasel, libreoffice, libreoffice-gcj, libreoffice-help-en-us, mythes-en-us, hunspell-en-us, hyphen-en-us
Size: 894
SHA256: 94bf2c2cb2745e0150d57eb1fe58dbd07771f654ea2288e7044201496ee1f840
SHA1: 3a5ab94bf55040e8153f0febf7304740a4db4f54
MD5sum: 707cfead921d96f50b91459c254f554e
Description: GNOME desktop environment
 This task package is used to install the Debian desktop, featuring
 the GNOME desktop environment, and with other packages that Debian users
 expect to have available on the desktop.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-gnome-desktop_3.14.1_all.deb

Package: task-greek
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Konstantinos Margaritis 
Architecture: all
Depends: tasksel
Recommends: aspell-el
Size: 750
SHA256: afd5bd427c2a29519e0cc9da87a9db0e6d31e0500eb573bf4e0974364399e00c
SHA1: f74f0df4f25f078442f61f6993377ad0d7e4ae57
MD5sum: c827bd7132bb8b0f08abc5935c876989
Description: Greek environment
 This task installs packages and documentation in Greek
 to help Greek speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-greek_3.14.1_all.deb

Package: task-greek-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Konstantinos Margaritis 
Architecture: all
Depends: tasksel
Recommends: xfonts-intl-european, ttf-freefont, ttf-mgopen, libreoffice-l10n-el, iceweasel-l10n-el, myspell-el-gr, libreoffice-help-el
Size: 790
SHA256: 67eaac9643d2f7389ad1b1e2146eac80dfbddde4bfb16959faf97ec7c8241186
SHA1: 12e5a24d4539e395551edf996112e77935e2aa6b
MD5sum: 732f2cd9ff6d4d4cd7936fc2e33e6478
Description: Greek desktop
 This task localises the desktop in Greek.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-greek-desktop_3.14.1_all.deb

Package: task-greek-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-el
Size: 738
SHA256: ebe7211648b13b2f609cb07e727576ffdbfadeb7099063a4b4ab04b60a2b28bb
SHA1: 79c0a492a35050302191d3f43fde45aa9dab8a71
MD5sum: 9adcd035bbfd9aa0f63d337668f0e315
Description: Greek KDE desktop
 This task localises the KDE desktop in Greek.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-greek-kde-desktop_3.14.1_all.deb

Package: task-gujarati
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-gu
Size: 754
SHA256: d781c07136081c1f82d28a9097881beb285e1dd5fa84135723cf1f90cfa9a993
SHA1: 38e1cf80af49827295340e27517ca3e5d54397ac
MD5sum: a54fb0f007d3fb384cafb288dd9e188a
Description: Gujarati environment
 This task installs packages and documentation in Gujarati
 to help Gujarati speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-gujarati_3.14.1_all.deb

Package: task-gujarati-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: ttf-gujarati-fonts, libreoffice-l10n-gu, iceweasel-l10n-gu-in
Size: 766
SHA256: 024eb8c466027dd111fe92a4d9faefbf10dd73178e2fe68294562c4add1ea465
SHA1: 0ab3f6ace0516fe985144052f0b9f93c396592d3
MD5sum: 25e6dc1d517ea4be7d82d15ab2d1fae3
Description: Gujarati desktop
 This task localises the desktop in Gujarati.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-gujarati-desktop_3.14.1_all.deb

Package: task-gujarati-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-gu
Size: 744
SHA256: c2aa03fcd57b5494bfb7ab1aa59bc278daec2a6b3b9059d31c4b9e8d9e58d93a
SHA1: 80713f1b0a1a90e5b484f3547bb8333a56b0a74d
MD5sum: 339f8c46c5669e2a56713cb3c79d096b
Description: Gujarati KDE desktop
 This task localises the KDE desktop in Gujarati.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-gujarati-kde-desktop_3.14.1_all.deb

Package: task-hebrew
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Hebrew Team 
Architecture: all
Depends: tasksel
Recommends: libfribidi0, libhdate1, bidiv, culmus, hspell, aspell-he, mlterm
Size: 796
SHA256: 3b2853ca4968f78f83132288e0a68e1cb7db2659362d821e8650c8421ea29f8c
SHA1: 06534932b2d5b4f24915eb0d34a2d92fa144ecbd
MD5sum: 294521bea291886b2c201ec032e9fca4
Description: Hebrew environment
 This task installs programs and documentation in Hebrew
 to help Hebrew speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-hebrew_3.14.1_all.deb

Package: task-hebrew-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Hebrew Team 
Architecture: all
Depends: tasksel
Recommends: hspell-gui, libreoffice-l10n-he, iceweasel-l10n-he, myspell-he
Size: 760
SHA256: e92072dd83ac7d1b6329304475828f9fde8a436f4b504ee38de0cfdd5d769aad
SHA1: 54e6622087fa38d57a09f63efdceaa5a2a1471d4
MD5sum: 11697147d1e6e16aa2c56be1cb9ceb0a
Description: Hebrew desktop
 This task localises the desktop in Hebrew.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-hebrew-desktop_3.14.1_all.deb

Package: task-hebrew-gnome-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: hdate-applet
Size: 750
SHA256: 96ab459fe60faea16d762afe0891fbea1c73fd7c059bb8a359e1e5e5d69c8936
SHA1: 4b321a47d41ff1e0f177c6bc9147ac322b8af3c6
MD5sum: e1347ee710428bc40c0903122373790d
Description: Hebrew GNOME desktop
 This task localises the GNOME desktop in Hebrew.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-hebrew-gnome-desktop_3.14.1_all.deb

Package: task-hebrew-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-he
Size: 742
SHA256: be51ee21a4ce44b7c413765a68263835d70c2d9e47b5febec19d1c1dfecac276
SHA1: 7b90987cccfa1d4fe301cf357af84c8eb23d5267
MD5sum: e299a699ef4dc31badfc4ed59c6eefbf
Description: Hebrew KDE desktop
 This task localises the KDE desktop in Hebrew.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-hebrew-kde-desktop_3.14.1_all.deb

Package: task-hindi
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-hi
Size: 774
SHA256: 8e07d0c94f4f8d30f75a5e26605b1eb0480eb6580a6b48a74fe0e02e1b27a961
SHA1: 96b2d2ec63bf9a57df4265eec152fe8366909d1e
MD5sum: fbabe3d94ec42804b90318499fbdfd7d
Description: Hindi environment
 This task installs programs, data files, fonts, and
 documentation that makes it easier for Hindi speakers
 to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-hindi_3.14.1_all.deb

Package: task-hindi-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-hi, libreoffice-help-hi, ttf-devanagari-fonts, iceweasel-l10n-hi-in
Size: 776
SHA256: 56428e40a19ae1a9aec0d54a4956c9533583ff013f7d550ba757ac0642351a64
SHA1: d545f8607854836d9830602be87db74089686548
MD5sum: 1c4ec1bcb5c5e35a9f8cae236b088e42
Description: Hindi desktop
 This task localises the desktop in Hindi.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-hindi-desktop_3.14.1_all.deb

Package: task-hindi-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-hi
Size: 738
SHA256: e619f5f178c2f1eb08d2490a728e2e7fc9fd2353625b12e7d41575402e75cb7b
SHA1: c24b8d71cf18ae219b9b8d022b5d3184eb8dca5d
MD5sum: e818b812bd53ea7f831a7fa22274904e
Description: Hindi KDE desktop
 This task localises the KDE desktop in Hindi.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-hindi-kde-desktop_3.14.1_all.deb

Package: task-hungarian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: hunspell, aspell-hu, ihungarian, fortunes-debian-hints, manpages-hu, hunspell-hu
Size: 796
SHA256: 5e70c333ea9756b13376311444c76b54e5706350d728b3dad3ce8538ace5d72b
SHA1: 41b3b2b5d69af1b86e20bd46b0e4588a8cd2f2b7
MD5sum: f2f2bfcef29ac8f93e148bf775d580fd
Description: Hungarian environment
 This task installs packages and documentation in Hungarian
 to help Hungarian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-hungarian_3.14.1_all.deb

Package: task-hungarian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, gsfonts-x11, ttf-dejavu, ttf-liberation, ttf-freefont
Recommends: ttf-sil-andika, ttf-tiresias, iceweasel-l10n-hu, ttf-junicode, ttf-georgewilliams, ttf-isabella, ttf-opensymbol, ttf-sil-doulos, ttf-sil-charis, ttf-sil-gentium, ttf-okolaks, xfonts-100dpi-transcoded, libreoffice-l10n-hu, libreoffice-help-hu, libreoffice-thesausus-hu, hyphen-hu, hunspell-hu, xfonts-terminus
Size: 914
SHA256: b544d37e70d6e340efa84519c88b2a5007dbef7b3a6f5f3c7e7f81699b9fc17d
SHA1: a772d84d69f7cce06e36d6d56d882461e6db9441
MD5sum: 58931a8937054cd9e1a0e6c47196f68b
Description: Hungarian desktop
 This task localises the desktop in Hungarian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-hungarian-desktop_3.14.1_all.deb

Package: task-hungarian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-hu
Size: 746
SHA256: 6b9c14b153bc69413a56a1ad7b08eeaa337307cfb4ff500a0f6339862af981e1
SHA1: 9134f983a290bd55a71f9a15edb8f6d1d1e12fdb
MD5sum: cf1b97dacd5ae81267003cf713f041d8
Description: Hungarian KDE desktop
 This task localises the KDE desktop in Hungarian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-hungarian-kde-desktop_3.14.1_all.deb

Package: task-icelandic
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-is
Size: 758
SHA256: 9dca44bdbc3f0e6fc00c3a22226616d24428ff2c1ddadb4e087231fd74629347
SHA1: 7a2c0c657bccf7c0fd2c10c3d36ba65a88a47070
MD5sum: b90c098c1212a21946bec8fdc71ae005
Description: Icelandic environment
 This task installs packages and documentation in Icelandic
 to help Icelandic speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-icelandic_3.14.1_all.deb

Package: task-icelandic-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-is, iceweasel-l10n-is
Size: 752
SHA256: bbf12bf3ca908a4db552e44823df03d2ffa593671f31bbcc2b258acedf5aaf8b
SHA1: 8d25e17f7e14650244a11296df153bb0882233ad
MD5sum: 2d091f36bc0894c9996184cd658bb23b
Description: Icelandic desktop
 This task localises the desktop in Icelandic.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-icelandic-desktop_3.14.1_all.deb

Package: task-icelandic-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-is
Size: 744
SHA256: 354e17728666afd9faaa399938e33f13c064a5010981fa207f5a0aa6c8e2a8b2
SHA1: c38e497bc7569e476cd19c7424f96e60cdca3122
MD5sum: 235e4dc58d85ec21f01f0ef6215751d9
Description: Icelandic KDE desktop
 This task localises the KDE desktop in Icelandic.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-icelandic-kde-desktop_3.14.1_all.deb

Package: task-indonesian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: iceweasel-l10n-id, libreoffice-l10n-id
Size: 750
SHA256: ab5d26c476a5dc0e2702e4962b93ed45b6edaa5d686ce13dfe7378ab6386ef98
SHA1: 5393dd3297d0051fdd6f959ce0b24d29268e6ab5
MD5sum: 8f7eccacef95a99937f97241a9c63076
Description: Indonesian desktop
 This task localises the desktop in Indonesian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-indonesian-desktop_3.14.1_all.deb

Package: task-indonesian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-id
Size: 746
SHA256: ff8caa2f14215a13ef4cef21314daf083e80d1ec8eaaa7afe0514644501200d3
SHA1: 09616e2ff77bde7eff3cfcc7687c4f47ac588dc4
MD5sum: d8152da882e9da621daabe4e2b73161d
Description: Indonesian KDE desktop
 This task localises the KDE desktop in Indonesian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-indonesian-kde-desktop_3.14.1_all.deb

Package: task-irish
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-ga
Size: 750
SHA256: a12cfa65ad8469975dfa1c080eb3d84bed1ca280abf60de94772ed657f724a36
SHA1: b35529d5e1b0d9126d3f95bdde3744cfc2fd29b9
MD5sum: b79d703aca8cc21b518b54fa18b47ac2
Description: Irish environment
 This task installs packages and documentation in Irish
 to help Irish speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-irish_3.14.1_all.deb

Package: task-irish-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: iceweasel-l10n-ga-ie, libreoffice-l10n-ga, myspell-ga
Size: 754
SHA256: dc4ce2e4151db0fce7599ab43da0a38f6dd8f4ccfc028da45a76bdd61249e9f7
SHA1: e6a9430e84985134cd44f2ea1850a6a0682e24ee
MD5sum: c6f6eab948af680f9b199b080aecbf1d
Description: Irish desktop
 This task localises the desktop in Irish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-irish-desktop_3.14.1_all.deb

Package: task-irish-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-ga
Size: 738
SHA256: 49807f8fe53b088e56aa26cd2505a807664bd68a42d92d0bc03f0d4bfcab1633
SHA1: 64ac1eb56702db80485b80e0c20608f2efed916c
MD5sum: ad80af0c563cae7f4febb6f0aa84f369
Description: Irish KDE desktop
 This task localises the KDE desktop in Irish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-irish-kde-desktop_3.14.1_all.deb

Package: task-italian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, manpages-it
Recommends: aspell-it, debian-reference-it, doc-linux-it, doc-linux-it-text, fortunes-it, iitalian, maint-guide-it, witalian
Size: 812
SHA256: 7af40c772fee97e06ed6a7511b57e28d87ecdc85466980f058dfee340d525519
SHA1: 58c0e6214a07566b5f9b30c7188d54642ed2ee0b
MD5sum: 7cf3c66ec6b6d4102b19d4c22e300aa8
Description: Italian environment
 This task installs packages and documentation in Italian
 to help Italian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-italian_3.14.1_all.deb

Package: task-italian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: xfonts-intl-european, libreoffice-help-it, libreoffice-l10n-it, mythes-it, iceweasel-l10n-it, myspell-it
Size: 780
SHA256: ce4f1ed27f2100a8d89211e8ba20d1c4da278c08a9bc29dfa043aeb054ee75b2
SHA1: 1b3171914c809c3c66668bb35e330039e8f23737
MD5sum: ad7fdc090f9cd4f5fcbc4babcc397e7e
Description: Italian desktop
 This task localises the desktop in Italian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-italian-desktop_3.14.1_all.deb

Package: task-italian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-it
Size: 740
SHA256: 6fe0a64ead789f70cfaf3a21a5b4bfbb8fe83dc1b05863656aedf1797658721f
SHA1: 89e01dc7aaeb8de518a79b1bba8070c7109456a3
MD5sum: ab23581e044cbde4310c6dca004f68bf
Description: Italian KDE desktop
 This task localises the KDE desktop in Italian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-italian-kde-desktop_3.14.1_all.deb

Package: task-japanese
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Kenshi Muto 
Architecture: all
Depends: tasksel, manpages-ja, lv
Recommends: fbterm, unifont, nkf, manpages-ja-dev
Size: 766
SHA256: b80ac0863c2930e76ad9dee3e486695c72143e90e76293f5c8d10a1b64407f3a
SHA1: ac1e6aa89cc513b5a52a0eadedbc74fa1696066c
MD5sum: 5311427a7c53a34d12dfe2405b73987b
Description: Japanese environment
 This task installs packages that make it easier for Japanese speakers
 to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-japanese_3.14.1_all.deb

Package: task-japanese-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Kenshi Muto 
Architecture: all
Depends: tasksel
Recommends: iceweasel-l10n-ja, fonts-vlgothic, fonts-ipafont, uim, uim-anthy, uim-mozc, anthy, libreoffice-l10n-ja, libreoffice-help-ja, poppler-data
Size: 788
SHA256: d83756faba233fb7aa9fd7e71db548f0f5dc711e3a067415c1e9a4d9ba3ff632
SHA1: 02a8082063f03ad90ba67e0e7c0c24670d15c0f9
MD5sum: ecb880338654ea85f33686703d3971da
Description: Japanese desktop
 This task localises the desktop in Japanese.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-japanese-desktop_3.14.1_all.deb

Package: task-japanese-gnome-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: uim-applet-gnome, icedove, icedove-l10n-ja
Size: 768
SHA256: 1dde49147cafd5d2111710af2a3cfab587049fe7f09005784790252c03f7cc67
SHA1: b96bc4d121b73adad671377db169e46a16863936
MD5sum: 87619fc0436dd68f3f06204b5f6d2a1a
Description: Japanese GNOME desktop
 This task localises the GNOME desktop in Japanese.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-japanese-gnome-desktop_3.14.1_all.deb

Package: task-japanese-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-ja, plasma-widget-uim
Size: 756
SHA256: f0f00f71f1642395a116225bfd4b543e2f8176a2159fa10aa4ca4250a2dc15c8
SHA1: ac980581f8e92fb63555b2a1d0fe667d3404d360
MD5sum: 711828ef22726e03d82b7ac96ec9851f
Description: Japanese KDE desktop
 This task localises the KDE desktop in Japanese.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-japanese-kde-desktop_3.14.1_all.deb

Package: task-kannada-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, ttf-kannada-fonts, iceweasel-l10n-kn
Recommends: fcitx, fcitx-m17n, fcitx-config-gtk
Size: 770
SHA256: 536f6f3143ae849adc1556b82801bd529bc130721d787ac7161c87dcc4a97188
SHA1: b12c95bce1acb21c4a07ddffd271460483d4472b
MD5sum: 8c24c88bb7c28641df21d78b580c3475
Description: Kannada desktop
 This task localises the desktop in Kannada.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-kannada-desktop_3.14.1_all.deb

Package: task-kannada-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-kn, kde-config-fcitx
Size: 754
SHA256: d26e941a9822e481bb55885346639b5c58f55742315843a326021c5d9e1b47f3
SHA1: 285a47d021870673b1f916dc62cbeb8f3bf2aebe
MD5sum: 82c973734fbe452239d681e35f35b66f
Description: Kannada KDE desktop
 This task localises the KDE desktop in Kannada.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-kannada-kde-desktop_3.14.1_all.deb

Package: task-kazakh
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Timur Birsh 
Architecture: all
Depends: tasksel
Recommends: aspell-kk
Size: 748
SHA256: 7d2038ef106278cef2d82f1ebbf6c0aad5b1f538b0d8ca41ada1d955b2159546
SHA1: abea8c6d626ac93eb7f723b659197a1eb4737552
MD5sum: 56eaa026786c10cef44b06f9dcc6065f
Description: Kazakh environment
 This task installs programs and documentation in Kazakh
 to help Kazakh speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-kazakh_3.14.1_all.deb

Package: task-kazakh-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Timur Birsh 
Architecture: all
Depends: tasksel
Recommends: hunspell-kk, iceweasel-l10n-kk
Size: 730
SHA256: 2f3ef17bf69ebf7c0df8ebb25c96f10928afccdafc4418d8556d531875cbaf15
SHA1: 108e67f0afe84f768ec30d516154569146beb394
MD5sum: a6818dc648591217884af4429bf75f3c
Description: Kazakh desktop
 This task localises the desktop in Kazakh.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-kazakh-desktop_3.14.1_all.deb

Package: task-kazakh-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-kk
Size: 740
SHA256: c4a13a6a9466835a2d893d3e3ba9f64bbb5779f6b0fc14bab1a3a1ea2726b442
SHA1: 6b49ffb51d2b3284bee27df30684aea212a49765
MD5sum: 40466967ed3e287f2fb289930b2696f1
Description: Kazakh KDE desktop
 This task localises the KDE desktop in Kazakh.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-kazakh-kde-desktop_3.14.1_all.deb

Package: task-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, task-desktop, kde-standard, kdm
Recommends: kdeaccessibility, menu-xdg, libqtgui4-perl, libqtcore4-perl, k3b, k3b-i18n, network-manager-kde, kdesudo, libreoffice-kde, apper, gimp, iceweasel, libreoffice, libreoffice-gcj, libreoffice-help-en-us, mythes-en-us, hunspell-en-us, hyphen-en-us, system-config-printer
Size: 942
SHA256: 951d3277fe4202da9579d0a5ce7df872cced8daa701eb94c47ddafbdc07c44ae
SHA1: 0376e20a685033945d286191d29b7db71622e5d8
MD5sum: ef2fb0461a5bc8575bec78809fb83928
Description: KDE desktop environment
 This task package is used to install the Debian desktop, featuring
 the KDE desktop environment, and with other packages that Debian users
 expect to have available on the desktop.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-kde-desktop_3.14.1_all.deb

Package: task-khmer
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: khmerconverter
Size: 750
SHA256: 88d5d747d450cc60d2bab52664d07cb45dfa5e0c26361a737e616b322e677765
SHA1: be61aaa533ed92e75ca7e92173f476943b9f1540
MD5sum: c415f313e533b82934c2e0911d1e714c
Description: Khmer environment
 This task installs packages and documentation in Khmer
 to help Khmer speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-khmer_3.14.1_all.deb

Package: task-khmer-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: ttf-khmeros, iceweasel-l10n-km, libreoffice-l10n-km, libreoffice-help-km
Size: 758
SHA256: e476a0176784a518b8cba128d3729e8ff27f213dcd8d1106bec03f7f849f51b1
SHA1: 38fd5a5f09c801273e3fa73a05c8ccb5d5a96f51
MD5sum: 2b792e88ae6755fd3728190df5d855aa
Description: Khmer desktop
 This task localises the desktop in Khmer.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-khmer-desktop_3.14.1_all.deb

Package: task-khmer-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-km
Size: 738
SHA256: b67c1da94c17b00004940446a339b168afb2b3ad0aee52f62377c755f037063f
SHA1: 3c8fe793ad900fd486e5348fe874a8251950dd21
MD5sum: c3d0f3b9d750a724ac1b78756acac971
Description: Khmer KDE desktop
 This task localises the KDE desktop in Khmer.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-khmer-kde-desktop_3.14.1_all.deb

Package: task-korean
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: zhcon
Size: 740
SHA256: 749f28922039b1f7ecdcc1751b4468d6a32537e3280311dd808d4da7f8f102b3
SHA1: 710776db988f2eb4b7763a02572a9e98f8f32fbe
MD5sum: 52324ebcf70b1ee6ccc5e0f4c7680fc2
Description: Korean environment
 This task installs packages that make it easier for Korean speakers
 to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-korean_3.14.1_all.deb

Package: task-korean-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: im-config, ibus-hangul, fonts-nanum, fonts-nanum-coding, fonts-unfonts-core, iceweasel-l10n-ko, libreoffice-help-ko, libreoffice-l10n-ko, hunspell-ko, poppler-data
Size: 808
SHA256: 1538620cba48c4ff12f5d17239eab2fac4c2bfaec435a8a06ed858ade1719b42
SHA1: d32b737930f39ccf2e6ec638b8b7551367cf0eef
MD5sum: d50a934298624dc50f82d369497b98db
Description: Korean desktop
 This task localises the desktop in Korean.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-korean-desktop_3.14.1_all.deb

Package: task-korean-gnome-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: ibus-gtk, ibus-gtk3
Size: 748
SHA256: db68c8e005008eca48c3665954da561741f34324b7da4df2c2da0a604c54b1e5
SHA1: d87b176976e37297943fb57a948894a0c53107d1
MD5sum: 232c7f9492c91e9eba4d96abb2465d51
Description: Korean GNOME desktop
 This task localises the GNOME desktop in Korean.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-korean-gnome-desktop_3.14.1_all.deb

Package: task-korean-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: ibus-qt4, kde-l10n-ko
Size: 746
SHA256: cf1d6b95811c79296b0ddb3dbe44c78a05458b64ef9718001ebb4f71d41cf797
SHA1: 7a45d6ff11905306094d718207ee28b10afd39a3
MD5sum: bbb50dcbbc55d83a551b8dd035e6de6b
Description: Korean KDE desktop
 This task localises the KDE desktop in Korean.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-korean-kde-desktop_3.14.1_all.deb

Package: task-kurdish
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-ku
Size: 740
SHA256: 21e592a6dcb0712a8bcaff7ceb70507dcabd9bcbc24368c92ac924e9eaa39e52
SHA1: 241bf161a7947181b7f198cce694884056af679e
MD5sum: 8b0b29d884623b8067fb0a99e61f181d
Description: Kurdish environment
 This task installs packages and documentation in Kurdish
 to help Kurds use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-kurdish_3.14.1_all.deb

Package: task-kurdish-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-ku, ttf-dejavu, iceweasel-l10n-ku, myspell-ku, ttf-unikurdweb
Size: 772
SHA256: 6f7aa3db2e2519dada4147f4c8c67aa4306d23076571e776c6237512f70a37cd
SHA1: d71422bf9de2f96399427040f68ba1f4996aa750
MD5sum: 2918472844f6228f17ce5d5735c47442
Description: Kurdish desktop
 This task localises the desktop in Kurdish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-kurdish-desktop_3.14.1_all.deb

Package: task-kurdish-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Size: 726
SHA256: 71a7125a6e29282a837fda53ccc0ec3c4eb8c827a2f5a2142e95bc209bd94060
SHA1: a9f0169a7aa298fde2b56853c9357cd1bfa274cd
MD5sum: 47da58281c7262a943585b541d2d42ab
Description: Kurdish KDE desktop
 This task localises the KDE desktop in Kurdish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-kurdish-kde-desktop_3.14.1_all.deb

Package: task-laptop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, anacron
Recommends: acpid, acpi, acpi-support, pcmciautils, wireless-tools, wpasupplicant, avahi-autoipd, bluetooth, powertop, pm-utils
Size: 794
SHA256: 7daa81f9a11f4dd967816bd5e23980416466b1d89e620a937d150d4b8d8d2809
SHA1: b5ab6881693de45516ebd011e62a64a9e42f8822
MD5sum: be42d411b2aabb9aee60eb73be83692a
Description: Laptop
 This task package installs software useful for a laptop.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-laptop_3.14.1_all.deb

Package: task-latvian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-lv
Size: 754
SHA256: 01f5df5fe440eb967538ad51fb73162efd21c709fe9afd251d7d62c78c6ec079
SHA1: b02a212232a6af38a8b20db2386c1b19e343d1c7
MD5sum: b5c74f8668bb541aab7e814731709948
Description: Latvian environment
 This task installs packages and documentation in Latvian
 to help Latvian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-latvian_3.14.1_all.deb

Package: task-latvian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-lv, iceweasel-l10n-lv
Size: 746
SHA256: 724e4869603ccf3efa078eab96573644a1838f341f55cd27f7cd1c0ee408f19e
SHA1: 852871c0af96cd7668de36f60c7f729673388f5c
MD5sum: 07acff68d3b9767d133d4a64ee707d08
Description: Latvian desktop
 This task localises the desktop in Latvian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-latvian-desktop_3.14.1_all.deb

Package: task-latvian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-lv
Size: 742
SHA256: eee7973bb75175844aae9c806051be52919daf88fe0845ea576a6e11e478af8f
SHA1: 198462c89164b504d22992d2f2ea47fd796eaf0f
MD5sum: 624d6499646c1ea14472ec973b30948d
Description: Latvian KDE desktop
 This task localises the KDE desktop in Latvian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-latvian-kde-desktop_3.14.1_all.deb

Package: task-lithuanian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Kęstutis Biliūnas 
Architecture: all
Depends: tasksel
Recommends: aspell-lt, ilithuanian
Size: 766
SHA256: 28c0e2878b2fccdc3db2bec1a7b5d45a6e533b5e1ee749d8dd6bce0c5891f3d6
SHA1: 58d4a5cf7785d3490739f01868d8f15c5435875a
MD5sum: 3d4484b01242357706eb1299ce6392de
Description: Lithuanian environment
 This task installs packages and documentation in Lithuanian
 to help Lithuanian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-lithuanian_3.14.1_all.deb

Package: task-lithuanian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Kęstutis Biliūnas 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-lt, hyphen-lt, iceweasel-l10n-lt, myspell-lt
Size: 772
SHA256: fddbe82f682869dc7a8254c0b0cd21482c0a4b2e2b3d133887fcebbdf5e6bb13
SHA1: d8bba8d9bdae46ff1ebfdf2e50a02de8595c2fb4
MD5sum: 9379602a10fa9178910b77f4ba8316fb
Description: Lithuanian desktop
 This task localises the desktop in Lithuanian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-lithuanian-desktop_3.14.1_all.deb

Package: task-lithuanian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-lt
Size: 746
SHA256: 5f28a85d4a64cc2495123556056fce6ecee56e9a547df6cbd191eb6f7c736002
SHA1: d5e30a9f0dedbe5ececc815994b214a2bb4810df
MD5sum: e8fd2c5f9e9d5f75ead8e3d0e78f22ec
Description: Lithuanian KDE desktop
 This task localises the KDE desktop in Lithuanian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-lithuanian-kde-desktop_3.14.1_all.deb

Package: task-lxde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, task-desktop, lightdm, lxde
Recommends: lxtask, lxlauncher, xsane, libreoffice-gtk, synaptic, iceweasel, libreoffice, libreoffice-gcj, libreoffice-help-en-us, mythes-en-us, hunspell-en-us, hyphen-en-us, system-config-printer
Size: 898
SHA256: 18c82c00650251c8dd41292c57cb35b54a546cdfa94da3d8798147f55c053780
SHA1: 1ed10c7f114605875dcf11baf45386bc2ca9d9db
MD5sum: f98e5c2f039f67c3546ba7f4c20a9d7f
Description: LXDE desktop environment
 This task package is used to install the Debian desktop, featuring
 the LXDE desktop environment, and with other packages that Debian users
 expect to have available on the desktop.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-lxde-desktop_3.14.1_all.deb

Package: task-macedonian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Size: 744
SHA256: 27650edc3fe9716669b716bbb44fe7a28f7dc0b7693fb0dd6ef98e8eaa568fa9
SHA1: b32ac88f60ed86c7486de5715c57e34c86f60e7b
MD5sum: 52b735b774821b4ba96e309c840337e2
Description: Macedonian environment
 This task installs packages and documentation in Macedonian
 to help Macedonian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-macedonian_3.14.1_all.deb

Package: task-macedonian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: iceweasel-l10n-mk, libreoffice-l10n-mk, ttf-dejavu
Size: 762
SHA256: a2e41a99594534ba487ce188136af867e25440830cbfac355bf832dd2455479f
SHA1: 9ea5eb212ad82b2337586e401efc89f630514234
MD5sum: b89ee2d8e845762571b321391f7e538d
Description: Macedonian desktop
 This task localises the desktop in Macedonian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-macedonian-desktop_3.14.1_all.deb

Package: task-macedonian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-mk
Size: 746
SHA256: 301fa69ff93397b5e71ccdf2c1f46010bea55dd2fa45f903f593dbdf1d10bd5d
SHA1: c70af0cfea3c2a88dfef1d959633db3170d4d56a
MD5sum: 305a8db8ef181ff7902a2813d6a45ee4
Description: Macedonian KDE desktop
 This task localises the KDE desktop in Macedonian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-macedonian-kde-desktop_3.14.1_all.deb

Package: task-mail-server
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, exim4
Recommends: dovecot-imapd, dovecot-pop3d, mailx, mutt, exim4-daemon-light, exim4-config, procmail, mailagent, spamassassin, sa-exim
Size: 820
SHA256: 1ab9e05ff2473d022cf4008eacbd736808769de4369eafa396b7d23301b19902
SHA1: ef922f6c4c0f8bdded527f46246c9240fe760807
MD5sum: 4a1f686927b4f9e7c4277595f9673d82
Description: Mail server
 This task selects a variety of packages useful for a general purpose mail
 server system.
Tag: role::program
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-mail-server_3.14.1_all.deb

Package: task-malayalam
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-ml
Size: 756
SHA256: 11465fc4c6f60cafe5510a6dbe30815d24815e70be5109aefd2e088f8c6fccce
SHA1: a319c48f7501858f51212ab33a2e7bfc22826c29
MD5sum: 9b7338f7654b50ce4fbcfe268f2fb38a
Description: Malayalam environment
 This task installs packages and documentation in Malayalam
 to help Malayalam speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-malayalam_3.14.1_all.deb

Package: task-malayalam-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, ttf-malayalam-fonts
Recommends: libreoffice-l10n-ml, iceweasel-l10n-ml, im-config, fcitx, fcitx-table-malayalam-phonetic, hunspell-ml
Size: 796
SHA256: c79a37480ac23f2985ace4d04d159124c99f0ba98293c146ddd0bb90c5c0f3b5
SHA1: d973b1ffd316151bd4efef2a779cf77a6de5ed24
MD5sum: 7e585b2ef29bb1823fab36b120a2dd7c
Description: Malayalam desktop
 This task localises the desktop in Malayalam.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-malayalam-desktop_3.14.1_all.deb

Package: task-malayalam-gnome-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: fcitx-frontend-gtk3, fcitx-frontend-gtk2, fcitx-config-gtk
Size: 772
SHA256: 0cfda1afc5de87a0690fe254e3cafa4e8185e737008124ad3beb6dfe7a1f0b56
SHA1: fba2fafa56d27051501dd3e06f5bac4ba74903d7
MD5sum: dcbf2a78cd257025c7f448f219dac2ae
Description: Malayalam GNOME desktop
 This task localises the GNOME desktop in Malayalam.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-malayalam-gnome-desktop_3.14.1_all.deb

Package: task-malayalam-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-ml, fcitx-frontend-qt4, kde-config-fcitx
Size: 772
SHA256: 7abbc5b7eb9d24af60670b660406d33ff3cf52b8833fae175c728facacc058d9
SHA1: 967d1d5d91efebadee570ba98218b455631a0c22
MD5sum: 35b1d55594549820f0eee3edd9dc4992
Description: Malayalam KDE desktop
 This task localises the KDE desktop in Malayalam.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-malayalam-kde-desktop_3.14.1_all.deb

Package: task-marathi
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-mr
Size: 776
SHA256: fb5ce6aa4a246ab19ee5af2fbe55766f04260235404d5d7867707d96c64a3ef4
SHA1: 21f4e100f2ca556eca92482b6e205b4f536ccfca
MD5sum: 5b06eaf3b636834a15fead23688a4619
Description: Marathi environment
 This task installs programs, data files, fonts, and
 documentation that makes it easier for Marathi speakers
 to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-marathi_3.14.1_all.deb

Package: task-marathi-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, ttf-devanagari-fonts
Recommends: iceweasel-l10n-mr, libreoffice-l10n-mr
Size: 764
SHA256: 3d101d97871f211b4b8df9c0700f767333a06c2d306bda34b999bef314826092
SHA1: 9eb09cae2e35a405da6c5a593057879f067d8aed
MD5sum: be98c83fabd00b3a407d2e1b8af7734b
Description: Marathi desktop
 This task localises the desktop in Marathi.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-marathi-desktop_3.14.1_all.deb

Package: task-nepali-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: ttf-devanagari-fonts, libreoffice-l10n-ne, mythes-ne, iceweasel-l10n-ne-np, hunspell-ne
Size: 780
SHA256: 3ac5d120d27465356b07e551d6d6e2ab69fcb5e79067e765c26cbe9381d4a350
SHA1: b29a148bf793afda81d27cef122b1db830696169
MD5sum: e6eedfd686a40a7f37b49c3390a06516
Description: Nepali desktop
 This task localises the desktop in Nepali.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-nepali-desktop_3.14.1_all.deb

Package: task-nepali-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Size: 724
SHA256: e41f8c116d9241611a2a73b482ab775a105bebc7c94fdce6196c22ee3a4eb764
SHA1: d89d804f8c3196ccc244eba9d6d3de2514f21edc
MD5sum: e8dab381815c66366974456b691be971
Description: Nepali KDE desktop
 This task localises the KDE desktop in Nepali.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-nepali-kde-desktop_3.14.1_all.deb

Package: task-northern-sami
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: hunspell, hunspell-se
Size: 774
SHA256: 9b349245d39682cdaa5de9e6e78ea8cc9c1d9242e0ed6d8a11c951d7967ee24b
SHA1: fbb2c8f214f78eeff17899b0a8bc8090f174889a
MD5sum: c0501cbea05f92603078fe5c10ae756e
Description: Northern Sami environment
 This task installs packages and documentation in Northern Sami
 to help Northern Sami speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-northern-sami_3.14.1_all.deb

Package: task-northern-sami-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Size: 726
SHA256: 19096f4fef6473e5c71bb98fa194e78579c63c22fe439653e11b079b2f0d1e4e
SHA1: 2baa27e53e98118383118edc9151d2915a124c2b
MD5sum: d5c6755f3bdd92aa747a8de6c164fd34
Description: Northern Sami desktop
 This task localises the desktop in Northern Sami.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-northern-sami-desktop_3.14.1_all.deb

Package: task-norwegian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Petter Reinholdtsen 
Architecture: all
Depends: tasksel, locales
Recommends: util-linux-locales, wnorwegian, inorwegian, aspell-no
Size: 794
SHA256: 1a3240a7be875e7f2abe46bd4600cccc2505437a1569cb55dc8dee164444d25a
SHA1: 4be85e9d19c56dd3b5bbf47d89a08a38b945f4ca
MD5sum: 04c47f43b32ca4a66a9fdd9af9fdac65
Description: Norwegian (Bokmaal and Nynorsk) environment
 This task installs packages and documentation in Norwegian
 to help Norwegian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-norwegian_3.14.1_all.deb

Package: task-norwegian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Petter Reinholdtsen 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-nb, libreoffice-l10n-nn, iceweasel-l10n-nb-no, iceweasel-l10n-nn-no, myspell-nn, myspell-nb
Size: 782
SHA256: 847537fe451958c59d4446e18e02e79d38dc37612c69ce914d399c26fc15e352
SHA1: b2da561d0f3b0639a2dea003fc84f0b139932524
MD5sum: 46f2175a6461a3f5a96c1ef8f1471753
Description: Norwegian (Bokmaal and Nynorsk) desktop
 This task localises the desktop in Norwegian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-norwegian-desktop_3.14.1_all.deb

Package: task-norwegian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-nb, kde-l10n-nn
Size: 750
SHA256: 6c22aee60ed89434c34f29693fca826ec06dc57481538853645213a525f38c20
SHA1: 35aa94223c86abbfc449b481949231dd924a2ec5
MD5sum: a5152f8d8274ddfcbe59377898238fbe
Description: Norwegian KDE desktop
 This task localises the KDE desktop in Norwegian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-norwegian-kde-desktop_3.14.1_all.deb

Package: task-persian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-fa
Size: 774
SHA256: 6d4d8e7ef42d9fcbc5e9528140b82af7ab7362efe9a8705447b3d53e80dd1b41
SHA1: 6dfabcb48088442a8da5b6d43a1336e20a9b3c04
MD5sum: 7d46a4c6b8d5c71ddd23ff07d1828a0d
Description: Persian environment
 This task installs programs, data files, fonts, and
 documentation that makes it easier for Persian speakers
 to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-persian_3.14.1_all.deb

Package: task-persian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-fa, ttf-farsiweb, iceweasel-l10n-fa
Size: 754
SHA256: 386eba86b4f140bf1292e035cca8b4fb5757685de3309b66cfadb8d2fe407101
SHA1: 3a7d371e50187c1ca06e7a387517b4a1997cd6eb
MD5sum: 609d11d470b6f8b38ac0041ffc8a9870
Description: Persian desktop
 This task localises the desktop in Persian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-persian-desktop_3.14.1_all.deb

Package: task-persian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-fa
Size: 742
SHA256: 3c0d6ef8b0017669f2c15a9f73af1fb58eea13228805199dd3a5b1c37d29b716
SHA1: 01e622ffa2dec2d2ead232a083bf9773fa536c05
MD5sum: 6bd2657f89932ca53a46ff556e2e70c9
Description: Persian KDE desktop
 This task localises the KDE desktop in Persian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-persian-kde-desktop_3.14.1_all.deb

Package: task-polish
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, manpages-pl
Recommends: konwert, ipolish, wpolish, doc-linux-pl, manpages-pl-dev, doc-linux-pl-html, aspell-pl, util-linux-locales
Size: 804
SHA256: fd14eae49c4673b77a0ef00e86d99be661912e92bdc03b026c51ea153dbd285e
SHA1: 0a270d42660c5e5f028e48949c780f33b33c44fe
MD5sum: 581b3918a7ecfb20a11f475cba2ce8a5
Description: Polish environment
 This task installs packages and documentation in Polish
 to help Polish speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-polish_3.14.1_all.deb

Package: task-polish-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-pl, libreoffice-help-pl, mythes-pl, iceweasel-l10n-pl, xfonts-terminus, myspell-pl
Size: 780
SHA256: ccadcf494d6696c4d4b8cd9816af5775d333595435ff0e8d76b311d3a91f92bf
SHA1: b04e22fe8e57c47a109367bba7d67c403144336e
MD5sum: 08d3cff2ffe51fcdb46c8813a3ae0dbb
Description: Polish desktop
 This task localises the desktop in Polish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-polish-desktop_3.14.1_all.deb

Package: task-polish-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-pl
Size: 738
SHA256: b5a513707154b09feb538a704aacad7912f302454b1e137866ec08a9301a8131
SHA1: 68d11fb8674ce2520eddee87da1dba3332128de1
MD5sum: dac026cf9f5d31085672509bb32f343a
Description: Polish KDE desktop
 This task localises the KDE desktop in Polish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-polish-kde-desktop_3.14.1_all.deb

Package: task-portuguese
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-pt-pt, iportuguese, wportuguese
Size: 766
SHA256: 9a5ab224e2f4dec161109df5c77238ad53c7c291e4b98dd96382fa8b1aa2e877
SHA1: 511a4c54b639537f9457c07e6a096b59b0ba902c
MD5sum: 34ebbd40eec604fbdadddd9c332ae76e
Description: Portuguese environment
 This task installs packages and documentation in Portuguese
 to help Portuguese speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-portuguese_3.14.1_all.deb

Package: task-portuguese-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: xfonts-intl-european, libreoffice-l10n-pt, libreoffice-help-pt, iceweasel-l10n-pt-pt, myspell-pt-pt
Size: 782
SHA256: 1f97dad785841c6d0b17de66cc15940b322dc11b5f3745b069f87942c0a4ea78
SHA1: 02edae45a74e923fbd4f18c8cdd0b64c533462e6
MD5sum: 02ddb5d8f300a1d70a89107a3687dd14
Description: Portuguese desktop
 This task localises the desktop in Portuguese.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-portuguese-desktop_3.14.1_all.deb

Package: task-portuguese-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-pt
Size: 746
SHA256: b84aa1b080fd1ccdb79450a041999e38892648a8df38f828aa33c47a84214bd2
SHA1: 37e96e92adb25c45a94c7e97664682bf14508af5
MD5sum: c9c9b2d1d28d528e25c5dae93715e5d3
Description: Portuguese KDE desktop
 This task localises the KDE desktop in Portuguese.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-portuguese-kde-desktop_3.14.1_all.deb

Package: task-print-server
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, cups, cups-client, cups-bsd
Recommends: foomatic-db-engine, printer-driver-all, hplip, hp-ppd, openprinting-ppds
Size: 786
SHA256: 2a09598d379a3082e85e5e17e39443c932510c8aca61dbd713b2125e746fe95c
SHA1: e5faf22113a3b406eeb93dd49abb37a3b372e1d3
MD5sum: a85a5b67fa1218330a3edd6b933136e2
Description: Print server
 This task sets up your system to be a print server.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-print-server_3.14.1_all.deb

Package: task-punjabi
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-pa
Size: 778
SHA256: 3a6415f6ca293a76c4a2bdf3effd47e95f8c6bd594efedb70952f9136a652b5e
SHA1: 4993ba5b21ddcffe361b2b381f40de51d21867e5
MD5sum: 131e1a3b9620e6b5e4c17868e6018144
Description: Punjabi environment
 This task installs programs, data files, fonts, and
 documentation that makes it easier for Punjabi speakers
 to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-punjabi_3.14.1_all.deb

Package: task-punjabi-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-pa-in, ttf-punjabi-fonts, iceweasel-l10n-pa-in
Size: 760
SHA256: ad3616facbf0854d11d6f4c0db130ed524e70d4e1d9697876e60cb3a70603954
SHA1: 49181894ac77f8d2f6c07f2d1db859fa60f47149
MD5sum: 1c9045421e9db986bd2409c6658a3ff4
Description: Punjabi desktop
 This task localises the desktop in Punjabi.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-punjabi-desktop_3.14.1_all.deb

Package: task-punjabi-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-pa
Size: 742
SHA256: 5f0a8fc5b6a7fa73e562b9d99724f53d9c506d641e76ad4c15f0edb8df35e70b
SHA1: f3dcce23c930d22e8cfeddec70484b6d5e1c332e
MD5sum: 891b13393f9e68ddc039f4b8f5467c99
Description: Punjabi KDE desktop
 This task localises the KDE desktop in Punjabi.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-punjabi-kde-desktop_3.14.1_all.deb

Package: task-romanian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Eddy Petrişor 
Architecture: all
Depends: tasksel
Recommends: console-terminus, util-linux-locales, aspell-ro
Size: 784
SHA256: d4a3e9d54c90f843e6dd5cc4b30c1f84edc7ac19b7d2feb52f3e9bd4cf230fd0
SHA1: d4062c3690e6839700251b3c7aeef37862506803
MD5sum: b20e38143abd621c0ee289cb7bcf2007
Description: Romanian environment
 This task installs packages and documentation in Romanian
 to help Romanian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-romanian_3.14.1_all.deb

Package: task-romanian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Eddy Petrişor 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-ro, ttf-dejavu, ttf-freefont, xfonts-terminus, iceweasel-l10n-ro, hunspell-ro
Size: 784
SHA256: 0c302d80914d519ff2d0b3e30ce9dfb52c52fd5d8d9463f299f60c6c4a84cde3
SHA1: 607a0476dc4d9ec0e7939449fd03f6de5a3cca0a
MD5sum: 1050fbeb046559969f4a50157beb99ae
Description: Romanian desktop
 This task localises the desktop in Romanian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-romanian-desktop_3.14.1_all.deb

Package: task-romanian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-ro
Size: 742
SHA256: b945bc641c19bd07face7ae798b20aaaa5705309aab852fba9248bb44891d43e
SHA1: 120c6d319c4fe4f3968f866a4142f538ecf20008
MD5sum: e9fc43592862bff0db5769464c36fdbe
Description: Romanian KDE desktop
 This task localises the KDE desktop in Romanian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-romanian-kde-desktop_3.14.1_all.deb

Package: task-russian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Peter Novodvorsky 
Architecture: all
Depends: tasksel, manpages-ru
Recommends: irussian, mueller7-dict, konwert, re, aspell-ru
Size: 778
SHA256: 6d6adf16c685efd28671e024bd859217ca75253c4d95b5b51ce2078d7ed2813e
SHA1: 7386a447ed4b37eb1956025606dcca50d0771fc3
MD5sum: 3d1b1d8d996d97a64e4568d616ed3b43
Description: Russian environment
 This task installs programs and documentation in Russian
 to help Russian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-russian_3.14.1_all.deb

Package: task-russian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Peter Novodvorsky 
Architecture: all
Depends: tasksel
Recommends: xfonts-bolkhov-koi8r-75dpi, xfonts-bolkhov-koi8r-misc, xfonts-cronyx-koi8r-100dpi, libreoffice-l10n-ru, libreoffice-help-ru, mythes-ru, iceweasel-l10n-ru, hunspell-ru
Size: 798
SHA256: ed71187810f25afa629009512280e14364defb2bf20c964edd72dcc773945341
SHA1: 357b78ccc3c3ba35b2f56b135a589cbffbb2712c
MD5sum: 2fd36bff0be79d71da271590962fa462
Description: Russian desktop
 This task localises the desktop in Russian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-russian-desktop_3.14.1_all.deb

Package: task-russian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-ru
Size: 740
SHA256: 58e69bf18f930e035fb77d8b46dcb183ab4361e95bb602e06fb957ff0e2ee471
SHA1: dee75f6b8cbbf6b67871c9c647f6d40e6d333bfd
MD5sum: b04db9a99976f218bbfb48dc4dc051cb
Description: Russian KDE desktop
 This task localises the KDE desktop in Russian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-russian-kde-desktop_3.14.1_all.deb

Package: task-serbian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Safir Secerovic 
Architecture: all
Depends: tasksel
Recommends: doc-linux-hr
Size: 752
SHA256: e3f1f2e4f0f6907c237abcdfa5afdeb635e0b9fd907886c62a0d94a900c9553c
SHA1: 5cc0ad90c759087fb8a341eef65752cd1816508d
MD5sum: 18e5f1a38d1d99ff61f03ef32677f386
Description: Serbian environment
 This task installs packages and documentation in Serbian
 to help Serbian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-serbian_3.14.1_all.deb

Package: task-serbian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Safir Secerovic 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-sr, iceweasel-l10n-sr, xfonts-biznet-100dpi, xfonts-biznet-75dpi, xfonts-biznet-base, xfonts-terminus, hunspell-sr
Size: 782
SHA256: cb251bbb54c5e49e5ac72134665397c5eead2a098d8d7bd9a157312d4e84a119
SHA1: f284262565f8866df8868cf4b8d38ed10c9a5bed
MD5sum: 7f9ef94fdf941193f7129584aeb0250a
Description: Serbian desktop
 This task localises the desktop in Serbian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-serbian-desktop_3.14.1_all.deb

Package: task-serbian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-sr
Size: 740
SHA256: 79863f893ca42d48ff528e8c83268043954b02159b1bda21b432a04a98737445
SHA1: 09126582ce73948f87c572c1508e288ba2bdb969
MD5sum: 7e31f6e397d79186fd8999b5693297d9
Description: Serbian KDE desktop
 This task localises the KDE desktop in Serbian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-serbian-kde-desktop_3.14.1_all.deb

Package: task-sinhala-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: ttf-sinhala-lklug, libreoffice-l10n-si, iceweasel-l10n-si
Size: 754
SHA256: c5de379f35088a33aeaed2be473e2fbdc1f4dcc8f7cf1d398e838cb1cbd0a77c
SHA1: c35f66cf99706ad923421525f15adc7fc311cc3e
MD5sum: c3e4b1106b51fc167b19fd16c7adbcb1
Description: Sinhala desktop
 This task localises the desktop in Sinhala.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-sinhala-desktop_3.14.1_all.deb

Package: task-sinhala-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-si
Size: 738
SHA256: dbddf36a700399935a1795cfe6e90899ebb1be4962997496fa08d3ee9ac6cd23
SHA1: 4299acae5826a5e8a40c59d2b01cd117f3bcd6c8
MD5sum: 03fcd7a9d7b02bcc0b7ec0780e61addd
Description: Sinhala KDE desktop
 This task localises the KDE desktop in Sinhala.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-sinhala-kde-desktop_3.14.1_all.deb

Package: task-slovak
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-sk
Size: 750
SHA256: edff62712b9790b4c0dffa2227a9d9d5582aa5d71e1b1941769da8717793c1a0
SHA1: d9a083016667dfbd7b33c1ad1bc93312bce795eb
MD5sum: 36b34559068f376cff653b52c4b8bdbf
Description: Slovak environment
 This task installs packages and documentation in Slovak
 to help Slovak speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-slovak_3.14.1_all.deb

Package: task-slovak-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-sk, libreoffice-help-sk, mythes-sk, xfonts-terminus, iceweasel-l10n-sk, myspell-sk
Size: 780
SHA256: b6caacaff2a3549a0e3a32d0d95668e2b77a26cc161576f577c490e3cab599ec
SHA1: af30e5bd3cc0dcb69557582053ff39257eb67477
MD5sum: 3ceeca501c0582405d7752e92fd7bfcf
Description: Slovak desktop
 This task localises the desktop in Slovak.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-slovak-desktop_3.14.1_all.deb

Package: task-slovak-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-sk
Size: 738
SHA256: 51587890c9a3b903a9ff7749f70a423bf6d3973520e01958ecb496d26083cf9d
SHA1: fd3ac1ee45cbda9ec21a4bcb7c626b3b023c453b
MD5sum: 6b09acdf38898ac3d455949b0f0d4d14
Description: Slovak KDE desktop
 This task localises the KDE desktop in Slovak.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-slovak-kde-desktop_3.14.1_all.deb

Package: task-slovenian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-sl
Size: 756
SHA256: 74cccbe1c0813f097db3cedc083815eb40d931ac1ab6dbd77cb31f6101236010
SHA1: 09f7828f13b6f3dbaf58f80a5fdd2123f09c9bd5
MD5sum: 2970b164169f874bf9625d9132b18034
Description: Slovenian environment
 This task installs packages and documentation in Slovenian
 to help Slovenian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-slovenian_3.14.1_all.deb

Package: task-slovenian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: iceweasel-l10n-sl, libreoffice-l10n-sl, libreoffice-help-sl, xfonts-terminus, myspell-sl
Size: 778
SHA256: ec78bf763f2f8bbe2c447b80f5e8f5a9400779e581e71010d293fa35db92d8f0
SHA1: 77e70754a448eb419dde260570f1a94aaa125190
MD5sum: c7934cf8d2351b2254e4bd337bbc029b
Description: Slovenian desktop
 This task localises the desktop in Slovenian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-slovenian-desktop_3.14.1_all.deb

Package: task-slovenian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-sl
Size: 742
SHA256: 0315ba6fdb97695f9fbe4a4341c347e4663cf464762da91524391fb390eba528
SHA1: 68969504ef6057b41c98446d79a454154d4461c4
MD5sum: eb57060e76f1b21d9043b0efa6c1174d
Description: Slovenian KDE desktop
 This task localises the KDE desktop in Slovenian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-slovenian-kde-desktop_3.14.1_all.deb

Package: task-south-african-english-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-en-za, iceweasel-l10n-en-za
Size: 782
SHA256: f9a05903f1611b60121394bcfbde19f7a1019da4d4483118a77a4be20e389f6f
SHA1: 153f2b398e7fba1ae3a20d1e4ef07f190b0c710e
MD5sum: faf41bff19fc41129c5c594368d0664d
Description: South African English desktop
 This task localises the desktop in South African English.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-south-african-english-desktop_3.14.1_all.deb

Package: task-spanish
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, manpages-es
Recommends: ispanish, wspanish, aspell-es, debian-reference-es, doc-debian-es, util-linux-locales
Size: 812
SHA256: 10ecda0e0a8e7a100e85631507e8da11629fa89696b42bad6161fe746a2e9445
SHA1: 76ced4d4d277cc57e0f5d6ff4716d42a15b48ed4
MD5sum: e636bf55149f03168629d3f07c427f74
Description: Spanish environment
 This task installs programs, data files, and
 documentation that make it easier for Spanish speakers to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-spanish_3.14.1_all.deb

Package: task-spanish-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-es, libreoffice-help-es, iceweasel-l10n-es-ar, iceweasel-l10n-es-cl, iceweasel-l10n-es-mx, iceweasel-l10n-es-es, myspell-es
Size: 770
SHA256: faf4052c8dc133228974957d26d86b869db23fa649a5e9a38d8125a24aa3c597
SHA1: 5345feddb1e205e7de72ff1ce83cc0354bc1c7cd
MD5sum: f25588104c200c8a2ea6fe2f41322cb4
Description: Spanish desktop
 This task localises the desktop in Spanish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-spanish-desktop_3.14.1_all.deb

Package: task-spanish-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-es
Size: 742
SHA256: 8e42e15cf5675abf20b6f4e790b56626dceadc412ba835c68a2cc8c9f4fe0322
SHA1: 29a593c9aea76938d59e4b587276e84e757e838b
MD5sum: 11f56c23384c4229cf53e30c3a0b8db2
Description: Spanish KDE desktop
 This task localises the KDE desktop in Spanish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-spanish-kde-desktop_3.14.1_all.deb

Package: task-spooler
Version: 0.7.3-1
Architecture: armhf
Maintainer: Alexander Inyukhin 
Installed-Size: 97
Depends: libc6 (>= 2.13-28)
Homepage: http://vicerveza.homeunix.net/~viric/soft/ts/
Priority: optional
Section: misc
Filename: pool/main/t/task-spooler/task-spooler_0.7.3-1_armhf.deb
Size: 34992
SHA256: 31726cf67696a671be09f8973dddc62bd9c2b95897cbd43bfdea172c6b062408
SHA1: 699d3a1eb2bcdb8bde2f651bc461e778f55ad921
MD5sum: 8d42dd04e7f2ef7a45d7cc18f9b018d7
Description: personal job scheduler
 Task spooler is a Unix batch system where the tasks spooled run one
 after the other. Each user in each system has his own job queue. The tasks are
 run in the correct context (that of enqueue) from any shell/process, and its
 output/results can be easily watched. It is very useful when you know that
 your commands depend on a lot of RAM, a lot of disk use, give a lot of
 output, or for whatever reason it's better not to run them at the same time.

Package: task-ssh-server
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, openssh-server
Recommends: openssh-client
Size: 754
SHA256: 2dafcab2fad05f5cebf73a64a390b7d9a3f319bda399c21de6c3d0fa19ee0f4b
SHA1: 1cac93ea5ed50e9d973775266b8c73d84d4c2287
MD5sum: f604c8a7f7000d69b63460e7a9bcb263
Description: SSH server
 This task sets up your system to be remotely accessed through SSH
 connections.
Tag: role::program
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-ssh-server_3.14.1_all.deb

Package: task-swedish
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Per Olofsson 
Architecture: all
Depends: tasksel, locales
Recommends: util-linux-locales, wswedish, aspell-sv
Size: 770
SHA256: 19ced4bacdd2472d986657511afe58cca988c31dbd522dbb8b2b5550612eb25b
SHA1: 8daf7d4e9a738add905fa69f3a15512bdf730391
MD5sum: 55f6a32866800444dabac133a68c47cc
Description: Swedish environment
 This task installs packages and documentation in Swedish
 to help Swedish speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-swedish_3.14.1_all.deb

Package: task-swedish-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Per Olofsson 
Architecture: all
Depends: tasksel
Recommends: gimp-help-sv, libreoffice-l10n-sv, libreoffice-help-sv, iceweasel-l10n-sv-se, hunspell-sv-se
Size: 758
SHA256: 3333ab82f8fc94f994cc3a82b7377fff942235d0bb2a3e7bbc70191bb4ab3c62
SHA1: 4d7733a28a02a3dac16709fa4398b2fbdcc7e050
MD5sum: 9cfcd3d078b019a674538cb130d3d7df
Description: Swedish desktop
 This task localises the desktop in Swedish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-swedish-desktop_3.14.1_all.deb

Package: task-swedish-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-sv
Size: 744
SHA256: c03913e54906b27f7dbab037ee31155a4006987e715a4154aef81599fff1558c
SHA1: 8e9deb387530191708ce2004a43d090a20354ccf
MD5sum: 1418fa5d0a7252c30dc9ad2b4543963e
Description: Swedish KDE desktop
 This task localises the KDE desktop in Swedish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-swedish-kde-desktop_3.14.1_all.deb

Package: task-tagalog
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-tl
Size: 752
SHA256: dfe73dedf56b92f44d7f55504900de67dc6c69377b3bf07780bb1f03eec2fbc7
SHA1: 8e220c44f220a8a6d908382ce628b482f7d142c2
MD5sum: 2640e5b0039d311ac1ad897b5f8a3dbe
Description: Tagalog environment
 This task installs packages and documentation in Tagalog
 to help Tagalog speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-tagalog_3.14.1_all.deb

Package: task-tamil
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-ta
Size: 772
SHA256: 448fd893247eb994789242756ec1f81e4b12ce4861aad7d50af2fce52fefdb91
SHA1: 9cc431cf5df65d7903549871812eb7a721a4e016
MD5sum: 218f33478b0fa0765769cbd9f443c91e
Description: Tamil environment
 This task installs programs, data files, fonts, and
 documentation that makes it easier for Tamil speakers
 to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-tamil_3.14.1_all.deb

Package: task-tamil-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: ttf-tamil-fonts, libreoffice-l10n-ta, iceweasel-l10n-ta, iceweasel-l10n-ta-lk
Size: 760
SHA256: 9bcc89f8300c2351eb3020aced686a37bd57ea2a2ea4f12e74c493f933dba470
SHA1: 5a82625c99230ad976062f31102104b925d2bee9
MD5sum: 090cf136a90b0e21c83936ed01bf9e9f
Description: Tamil desktop
 This task localises the desktop in Tamil.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-tamil-desktop_3.14.1_all.deb

Package: task-tamil-gnome-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: tamil-gtk2im
Size: 744
SHA256: 3887e2ae2140d5c4d5aa9feb2e69ac35e39fbf69d9274d4a9217f3454afd8928
SHA1: 573fc16cab6a1e2eff88fb8000032b423a42694c
MD5sum: ffcb25f208ecfab807ecbe9dd29fdab1
Description: Tamil GNOME desktop
 This task localises the GNOME desktop in Tamil.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-tamil-gnome-desktop_3.14.1_all.deb

Package: task-telugu
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Y Giridhar Appaji Nag 
Architecture: all
Depends: tasksel
Recommends: ttf-telugu-fonts, aspell-te
Size: 774
SHA256: 33d4dad72e3d9e4736b94f9b4e801acf808ab7cfee4cbed016d79847aaeb983a
SHA1: 113ee942a20f952cf3bb8588a24466f920bd0f21
MD5sum: 6cfc7b583246162272538efdc3e43da9
Description: Telugu environment
 This task installs programs, data files, fonts, and
 documentation that makes it easier for Telugu speakers
 to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-telugu_3.14.1_all.deb

Package: task-telugu-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Y Giridhar Appaji Nag 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-te, iceweasel-l10n-te, ttf-telugu-fonts, im-config, fcitx, fcitx-m17n
Size: 778
SHA256: 783dc2f773672af07c20ea85847866b8246a3a00638eb7f83772270283ebf6f8
SHA1: fbfad1e94343d76123260236c380247af0b0229e
MD5sum: 4b794be96305841ca036655ff7235715
Description: Telugu desktop
 This task localises the desktop environment in Telugu.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-telugu-desktop_3.14.1_all.deb

Package: task-telugu-gnome-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Y Giridhar Appaji Nag 
Architecture: all
Depends: tasksel
Recommends: fcitx-frontend-gtk2, fcitx-frontend-gtk3, fcitx-config-gtk
Size: 776
SHA256: 7ac55f48a18f8a46aad3a2ae64b59189e4cd58ab8f96d006101d4765d286eb6b
SHA1: 5add3e8b556df77f53f72acd7333beed316530d3
MD5sum: 1aad47125b8715f82c76b108509e688b
Description: Telugu GNOME desktop environment
 This task localises the GNOME desktop environment in Telugu.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-telugu-gnome-desktop_3.14.1_all.deb

Package: task-telugu-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Y Giridhar Appaji Nag 
Architecture: all
Depends: tasksel
Recommends: fcitx-frontend-qt4, kde-config-fcitx
Size: 766
SHA256: 195fb0a9cb37687c0088a9ac863a1d61723e004308ad4d1a967e2f969ec32ea6
SHA1: 77141c89573eceaae817c6f8a35fc9ddbd9d023b
MD5sum: 0b71282090ffc62fd80d7a7c40cacd6e
Description: Telugu KDE desktop environment
 This task localises the KDE desktop environment in Telugu.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-telugu-kde-desktop_3.14.1_all.deb

Package: task-thai
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: swath
Size: 740
SHA256: 728bc53cb85c13e3ac16595a05740797195ea6f1395cfcc47fd711139d894fca
SHA1: 54c97bb4de5d8ea44a86f6df299a558de9d55cd3
MD5sum: f0fc5ba3df6612318dafbeb0d7f62c6e
Description: Thai environment
 This task installs packages that make it easier for Thai speaking
 people to use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-thai_3.14.1_all.deb

Package: task-thai-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: xiterm+thai, xfonts-thai, libreoffice-l10n-th, iceweasel-l10n-th, myspell-th
Size: 766
SHA256: 65b9b284c0afd1ff09725029f6e6fc7d0bf2f797c34fbbca1e8e7fbe14f182ee
SHA1: 0793cb81118524dac5d332269c0f128d42f21a82
MD5sum: e211096432ba4a907fa742f41b54049a
Description: Thai desktop
 This task localises the desktop in Thai.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-thai-desktop_3.14.1_all.deb

Package: task-thai-gnome-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: gtk-im-libthai, gtk3-im-libthai
Size: 750
SHA256: 6ac62949662bdefa1f85cdbff3cb3de311808472297813571211af186a36084d
SHA1: 531724c2cf769bf48d02da4ce0d91e161dc7ae2e
MD5sum: 11560451fa3e24c71f53a22d21116ae1
Description: Thai GNOME desktop
 This task localises the GNOME desktop in Thai.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-thai-gnome-desktop_3.14.1_all.deb

Package: task-thai-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-th, libthai-dev
Size: 744
SHA256: 776d1ee9b242be9df922ad873bca552b717f05362f736348283406c2d3d34827
SHA1: d35bc35a0695b78a7276de745903dc46db4a7028
MD5sum: 881dd6dc2ebe552452af9295b12e8004
Description: Thai KDE desktop
 This task localises the KDE desktop in Thai.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-thai-kde-desktop_3.14.1_all.deb

Package: task-turkish
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Recai Oktas 
Architecture: all
Depends: tasksel, locales, manpages-tr
Recommends: console-terminus, util-linux-locales
Size: 774
SHA256: af7a4ac9561fb18ca23ccba6ceb11e85419c608c23283f6ed51dbc43244364a2
SHA1: 9f83770948654a8d7c92dfe78ca1d40cbe5e4973
MD5sum: 6b2adf8ebdafc81f85343ca199a2c9f2
Description: Turkish environment
 This task installs packages and documentation in Turkish
 to help Turkish speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-turkish_3.14.1_all.deb

Package: task-turkish-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Recai Oktas 
Architecture: all
Depends: tasksel
Recommends: xfonts-terminus, libreoffice-l10n-tr, iceweasel-l10n-tr
Size: 742
SHA256: c27a8fcdf83ee8784204e87fd3ca497f3f92f112f11f50781c1cfe4523c6f253
SHA1: 9394244702fb1f7cdbea87a8d3d69425ca26802b
MD5sum: b807d673b963b90537d928c34c0c6885
Description: Turkish desktop
 This task localises the desktop in Turkish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-turkish-desktop_3.14.1_all.deb

Package: task-turkish-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-tr
Size: 738
SHA256: 824c9bc03d7b6fa9d8e6688ab1759b177e6d5f7a8c6f01a56418dd355e1faf5d
SHA1: 7ad471f90d4894a8c447b4818a607bb9204abfb3
MD5sum: 1863aacfaa0a5e959dc9d10ae7de6866
Description: Turkish KDE desktop
 This task localises the KDE desktop in Turkish.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-turkish-kde-desktop_3.14.1_all.deb

Package: task-ukrainian
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Eugeniy Meshcheryakov 
Architecture: all
Depends: tasksel
Recommends: aspell-uk, konwert, iukrainian, doc-debian-uk
Size: 774
SHA256: a5f6f5215c8a39d428ddd5756348bb4094ddcda90a50826c95c95d5d54a6cc71
SHA1: 2802b37aed81cb63f8ecad6ad25449199ccfca8b
MD5sum: a58ee06ed0b0e15324c193be71329d23
Description: Ukrainian environment
 This task installs programs and documentation in Ukrainian
 to help Ukrainian speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-ukrainian_3.14.1_all.deb

Package: task-ukrainian-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Eugeniy Meshcheryakov 
Architecture: all
Depends: tasksel
Recommends: xfonts-bolkhov-koi8u-75dpi, xfonts-bolkhov-koi8u-misc, xfonts-cronyx-koi8u-100dpi, xfonts-bolkhov-misc, xfonts-bolkhov-75dpi, xfonts-cronyx-100dpi, xfonts-intl-european, libreoffice-l10n-uk, iceweasel-l10n-uk, ttf-dejavu, myspell-uk
Size: 824
SHA256: 0d92a13e031ad2f404ee99e5bd05204b67c3b90c94b04bb9891a39b192ba0e16
SHA1: 929b3c76d08d122f80e4fb54ceaadc5154b2cf60
MD5sum: fb1bf19da08b8077be13a42fdae57cfc
Description: Ukrainian desktop
 This task localises the desktop in Ukrainian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-ukrainian-desktop_3.14.1_all.deb

Package: task-ukrainian-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-uk
Size: 740
SHA256: 0318a51469eb2630a06b2200e5954b2c351e22aa32c2f94696e0784f2923bb67
SHA1: 16a0c17e31b97be7835d21db14405789e706c56e
MD5sum: ea7fcb6aba0c961380df13f3f14715c5
Description: Ukrainian KDE desktop
 This task localises the KDE desktop in Ukrainian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-ukrainian-kde-desktop_3.14.1_all.deb

Package: task-uyghur-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-ug, fonts-ukij-uyghur
Size: 750
SHA256: 7be2a20c8ab6055d4aa2d6db4588e8dc8d076362ef77ee3b0fcdae5415cd67f3
SHA1: 2a5bdc92f5d77bac70fc88232c9f17f6f96cc8b3
MD5sum: 96bbdebf60b789dd9794638aa48f3ca5
Description: Uyghur desktop
 This task localises the desktop in Uyghur.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-uyghur-desktop_3.14.1_all.deb

Package: task-uyghur-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-ug
Size: 742
SHA256: 74823bdbd1512540bf4a3a9b1f47b04dd8be497c4e06d0942204b050642fa87b
SHA1: 9fb1f261a3e24c7ec427d2704b548041c8543217
MD5sum: 147b2556385d035ca76ca3b7c45b701a
Description: Uyghur KDE desktop
 This task localises the KDE desktop in Uyghur.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-uyghur-kde-desktop_3.14.1_all.deb

Package: task-vietnamese-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-vi, iceweasel-l10n-vi, hunspell-vi
Size: 760
SHA256: c6ab4cb2225634185e77ace4041bac4e122bc4ff3b3c3578db4c6acee1730f00
SHA1: f2489ad7c3130e9db8e9ea9f682371cb0cee75df
MD5sum: edab6444035c868fb95abd6d011d8a89
Description: Vietnamese desktop
 This task localises the desktop in Vietnamese.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-vietnamese-desktop_3.14.1_all.deb

Package: task-vietnamese-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: kde-l10n-vi
Size: 746
SHA256: 63857164b6ce695c3568b58bf7a8849c812d90cbc78b790188ce778a9c6f7c23
SHA1: ce1293784004756e03dfead12ed564ae9995d3ca
MD5sum: 208a81e782ba42309a5ce15d0c1ce620
Description: Vietnamese KDE desktop
 This task localises the KDE desktop in Vietnamese.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-vietnamese-kde-desktop_3.14.1_all.deb

Package: task-web-server
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, apache2
Recommends: apache2-doc, analog
Size: 752
SHA256: 934e4c0c7005f5dd210ea4ffcd51f99c923ec4d691ba2d1d10e21e47d99317e8
SHA1: c2bb2677a0f6ae051e99e78b6b8f6b6a473fb375
MD5sum: d8f1e75fad4d719a0c400cec770d2f76
Description: Web server
 This task selects packages useful for a general purpose web server
 system.
Tag: role::program
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-web-server_3.14.1_all.deb

Package: task-welsh
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: aspell-cy
Size: 754
SHA256: 89332d9cf10d6812a33f1ae0b9f74297520f6f0bf8b721decf2d33fc3fe45d07
SHA1: 6b8b9d4fdaecf969bd2d15e7ff0e90c4599aa973
MD5sum: 8195cfd3a104001a80df5872cfdedec4
Description: Welsh environment
 This task installs packages and documentation in Welsh
 to help Welsh speaking people use Debian.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-welsh_3.14.1_all.deb

Package: task-welsh-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-cy, iceweasel-l10n-cy
Size: 744
SHA256: fe1720f93747e99546394af03b5c19ab330d2742cb1c9360398c0556de87bf22
SHA1: e37f1ca9c515ca7e6d3877d1bf56731e4d523334
MD5sum: 1ceba11f39b306dc6ad7e7db813a4c3c
Description: Welsh desktop
 This task localises the desktop in Welsh.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-welsh-desktop_3.14.1_all.deb

Package: task-xfce-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel, task-desktop, xfce4, lightdm
Recommends: xfce4-goodies, xfce4-power-manager, xfce4-mixer, xfprint4, xfce4-terminal, mousepad, orage, libreoffice-gtk, dbus-x11, xsane, vlc, quodlibet, epdfview, tango-icon-theme, network-manager-gnome, synaptic, iceweasel, libreoffice, libreoffice-gcj, libreoffice-help-en-us, mythes-en-us, hunspell-en-us, hyphen-en-us, system-config-printer, gstreamer0.10-alsa
Size: 996
SHA256: c7fc2ee2754c0b46b54cef36ba2b79477dde462499398a39cd061974bdd56ad7
SHA1: 3440937d613a2f9bf131b10ad140a2c4cf45e407
MD5sum: dccdc2ecaf6e1cf7acdfdcf95cc01869
Description: Xfce desktop environment
 This task package is used to install the Debian desktop, featuring
 the Xfce desktop environment, and with other packages that Debian users
 expect to have available on the desktop.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-xfce-desktop_3.14.1_all.deb

Package: task-xhosa-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: libreoffice-l10n-xh
Size: 734
SHA256: 86827bfe18b58e3ec01dfef25ea20f7f783698854b2c1cc9620e14eabab7cbad
SHA1: c0c108f0cc2b2ab9ff0bd92815fd6d9c6fa71747
MD5sum: 817a919b9a3f3ca57e5332cb995649d3
Description: Xhosa desktop
 This task localises the desktop in Xhosa.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-xhosa-desktop_3.14.1_all.deb

Package: task-xhosa-kde-desktop
Source: tasksel
Version: 3.14.1
Installed-Size: 21
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Size: 724
SHA256: f8f1790f80db4b8eb68d9a1ea1f5f37b7319861a6e69da61fd10570cd1776e02
SHA1: dc8c7cfff9c39da2f218611d556259ef1a2104d8
MD5sum: 8c883ce52bae0aeb9cf6b3c3f0b9a03b
Description: Xhosa KDE desktop
 This task localises the KDE desktop in Xhosa.
Section: tasks
Priority: optional
Filename: pool/main/t/tasksel/task-xhosa-kde-desktop_3.14.1_all.deb

Package: tasks
Source: tasks (0.20-1)
Version: 0.20-1+b1
Architecture: armhf
Maintainer: Ross Burton 
Installed-Size: 728
Depends: libc6 (>= 2.13-28), libecal-1.2-11 (>= 3.4.3), libedataserver-1.2-16 (>= 3.4.3), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.18.0), libical0 (>= 0.30), evolution-data-server
Priority: optional
Section: gnome
Filename: pool/main/t/tasks/tasks_0.20-1+b1_armhf.deb
Size: 127222
SHA256: 9eeaca8dc7f034493c2d75a80cce4fd5da4fd2e9be7955bed86e1b36c1fb91f8
SHA1: aa40cfe8f1459ffe26a835378da2aa48c34e0cae
MD5sum: b0d5fb98569395ae3ef03377022be10e
Description: simple to do manager
 Tasks is a simple To Do manager, using GTK+ and libecal.  It eschews
 complicated features for a simple interface and functionality that does the
 right thing.

Package: tasksel
Version: 3.14.1
Installed-Size: 714
Maintainer: Debian Install System Team 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, liblocale-gettext-perl, apt, tasksel-data, perl-base (>= 5.14.0-1)
Pre-Depends: debconf (>= 1.5.34) | cdebconf (>= 0.106)
Conflicts: base-config (<< 2.32), debconf (<< 1.4.27)
Size: 94826
SHA256: 48908996ff2692c1aa77f756ffa2bb76bf98d956438a74c41957713f22d8cf09
SHA1: 667a4f63aea7c13dfebde0968e21fc31af5ea1df
MD5sum: fdbf4f421eb056bec90aeeffdfee90e4
Description: Tool for selecting tasks for installation on Debian systems
 This package provides 'tasksel', a simple interface for users who
 want to configure their system to perform a specific task.
Tag: admin::package-management, interface::text-mode, role::program,
 scope::utility, suite::debian, use::configuring,
 works-with::software:package
Section: admin
Priority: important
Filename: pool/main/t/tasksel/tasksel_3.14.1_all.deb

Package: tasksel-data
Source: tasksel
Version: 3.14.1
Installed-Size: 550
Maintainer: Debian Install System Team 
Architecture: all
Depends: tasksel
Recommends: laptop-detect
Conflicts: tasksel (<< 2.67)
Size: 20622
SHA256: 950be99dd8bf72a7704be74420793203819ad409009488ea4949a5cb7ee01ed2
SHA1: d34b2b6b22227c7a304885718a60757a9995332c
MD5sum: 5dd1d2327d7af6bcb0e578311f2cf149
Description: Official tasks used for installation of Debian systems
 This package contains data about the standard tasks available on a Debian
 system.
Tag: role::app-data
Section: admin
Priority: important
Filename: pool/main/t/tasksel/tasksel-data_3.14.1_all.deb

Package: tasque
Version: 0.1.9-2
Installed-Size: 813
Maintainer: Debian CLI Applications Team 
Architecture: all
Depends: mono-runtime (>= 2.10.1), libc6 (>= 2.13) | libc6.1 (>= 2.13) | libc0.1 (>= 2.13), libglib2.0-cil (>= 2.12.10), libgnome2.24-cil (>= 2.24.0), libgtk2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-sqlite4.0-cil (>= 2.10.1), libmono-system-data4.0-cil (>= 2.10.1), libmono-system-web4.0-cil (>= 2.10.3), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.1), libndesk-dbus-glib1.0-cil (>= 0.4.0), libndesk-dbus1.0-cil (>= 0.6.0), libnotify0.4-cil (>= 0.4.0~r2998)
Size: 216574
SHA256: e5dc17d3629a139f2a39229c110d1579bd5624ad47f041cc8953dff19cd55d88
SHA1: 512528c092a91eaaf78af7b1e5776aa45ddc1a83
MD5sum: bc3684600b141e43b1daa9871de93c36
Description: simple task management application
 Tasque is a simple task management app (TODO list) for the Linux Desktop.
Homepage: http://live.gnome.org/Tasque
Tag: interface::x11, role::program, uitoolkit::gtk, use::organizing,
 x11::application
Section: gnome
Priority: optional
Filename: pool/main/t/tasque/tasque_0.1.9-2_all.deb

Package: tatan
Version: 1.0.dfsg1-3
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 1304
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4)
Homepage: http://hizuoka.web.fc2.com/game/tatan/index_en.html
Priority: extra
Section: games
Filename: pool/main/t/tatan/tatan_1.0.dfsg1-3_armhf.deb
Size: 259670
SHA256: 8d228a893b4df73e3876287c87ff691f1f431b350d261f0029f4e19d32490dfe
SHA1: a8341aea0395a94201fabfb7ff69dbf8a02f2980
MD5sum: 05425e5ff4a400889fec93c1efb06430
Description: pointing STG shooter game
 Tatan is a shooter game with simplified controls: use the mouse to aim and
 shoot, and the space bar to toggle your position. You have to collect the
 yellow stars (or shoot the white ones circumscribed in spheres) for
 power-ups.
 .
 The game is played in some sort of quantum universe, and you are either
 positioned on the left or the right.

Package: tau
Version: 2.16.4-1.4
Architecture: armhf
Maintainer: Yann Dirson 
Installed-Size: 1076
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Recommends: tau-racy
Suggests: tau-examples
Priority: optional
Section: devel
Filename: pool/main/t/tau/tau_2.16.4-1.4_armhf.deb
Size: 375452
SHA256: dfcfb2413fab9b5774f3c3f15c0bdd1d8efd58b9f82d98cc8509405099c02a3a
SHA1: 72b4eabc931fa428b5888a2032a69a688890c359
MD5sum: 158b0136cb8135145ccbdcb8982f2bd2
Description: Tuning and Analysis Utilities - base profiling toolkit
 TAU is a profiling toolkit specially made for parallel computing
 (multi-thread, multi-process, MPI, PVM).  It consists of an
 instrumentation API, which can be used manually (or added
 automatically by other instrumentation tools) to get runtime
 profiling information.
 .
 This package provides the API and library, and the command-line
 analysis tool.  A Tcl/Tk-based GUI is available in package tau-racy.
 .
 This version is built with pthread support only.  Support for PVM and
 MPI, as well as use of PCL or PAPI for the use of hardware counters,
 and of PDT or DynInst for automatic code instrumentation, are not
 available in packaged form yet.

Package: tau-examples
Source: tau
Version: 2.16.4-1.4
Installed-Size: 1256
Maintainer: Yann Dirson 
Architecture: all
Recommends: tau
Size: 140708
SHA256: d7a916c8e08f8ffac437e795d5662a07349d6b2c15d1b03206a1748b640bf2e4
SHA1: 38d94b961b142777a48d58bfe3a65c0c967c2a81
MD5sum: 80cb6c2b589a708b744fd66db249d115
Description: Tuning and Analysis Utilities - examples
 TAU is a profiling toolkit specially made for parallel computing
 (multi-thread, multi-process, MPI, PVM).
 .
 A collection of examples that demonstrate how to use TAU to
 profile various sorts of applications.
Tag: devel::examples, devel::profiler, role::source, use::timekeeping,
 works-with::software:running
Section: devel
Priority: optional
Filename: pool/main/t/tau/tau-examples_2.16.4-1.4_all.deb

Package: tau-racy
Source: tau
Version: 2.16.4-1.4
Installed-Size: 812
Maintainer: Yann Dirson 
Architecture: all
Depends: tau, tk8.4 | wish, tcsh | c-shell
Size: 110926
SHA256: b441d8f62cbd620ed62c4da2c3230dc21d7eca325cae49b1d724c45bd7cb5fe4
SHA1: 95fa9b058fc93687625f473a5ac5aec1ba41ca43
MD5sum: d7e267b83726099dd117055986a44210
Description: Tuning and Analysis Utilities - Tcl/tk profiler GUI
 TAU is a profiling toolkit specially made for parallel computing
 (multi-thread, multi-process, MPI, PVM).
 .
 RACY (Routine and data ACces profile displaY) is a GUI that
 graphically visualizes profiling data.
 .
 RACY has been superceded by a set of Java tools, and is no more
 actively developed.  Unfortunately, those tools cannot build yet
 using free tools.
Tag: devel::profiler, interface::x11, role::program, scope::utility,
 uitoolkit::tk, use::timekeeping, works-with::software:running,
 x11::application
Section: devel
Priority: optional
Filename: pool/main/t/tau/tau-racy_2.16.4-1.4_all.deb

Package: taxbird
Version: 0.16-0.2
Architecture: armhf
Maintainer: Marvin Stark 
Installed-Size: 936
Depends: libgeier0 (>= 0.12), gconf-service, guile-1.8-libs, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libenchant1c2a (>= 1.6), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgmp10, libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libgtkhtml3.14-19 (>= 3.32.0), libgtkhtml3.14-19 (<< 3.33), libice6 (>= 1:1.0.0), libltdl7 (>= 2.4.2), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libxml2 (>= 2.7.4)
Recommends: lpr | cups-bsd
Suggests: html2text, html2ps
Homepage: http://www.taxbird.de
Priority: optional
Section: gnome
Filename: pool/main/t/taxbird/taxbird_0.16-0.2_armhf.deb
Size: 99514
SHA256: 096336e07174c3f18021db8ba41a90fc0dc4730e340bf816a0301635784986b5
SHA1: eff4e79480d9d8c35dcb2be9c890cc7a39de7d24
MD5sum: 6751931c9c2fa738af8f7a721bb7412d
Description: Free Elster client (German Tax Declarations)
 Taxbird is a GNOME based graphical user interface to gather and send
 tax declarations to the German inland revenue office.  This is a replacement
 for the proprietary application "ElsterFormular" maintained by the inland
 revenue offices.

Package: tayga
Version: 0.9.2-4
Architecture: armhf
Maintainer: Andrew O. Shadura 
Installed-Size: 114
Depends: libc6 (>= 2.13-28)
Homepage: http://www.litech.org/tayga/
Priority: optional
Section: net
Filename: pool/main/t/tayga/tayga_0.9.2-4_armhf.deb
Size: 36596
SHA256: 369977212b5d50dc5ff56eb04bd2b4d0192d3df02459d11c013024e3a695478f
SHA1: 61081e8b561e480f56298f488c016b810d04ed25
MD5sum: 2a70ffbfcade8873aea8781b062eb500
Description: userspace stateless NAT64
 TAYGA is an out-of-kernel stateless NAT64 implementation for Linux
 that uses the TUN driver to exchange IPv4 and IPv6 packets with
 the kernel. It is intended to provide production-quality NAT64
 service for networks where dedicated NAT64 hardware would be
 overkill. TAYGA needs no kernel patches or out-of-tree
 modules, and it is compatible with all 2.4 and 2.6 kernels.

Package: tbb-examples
Source: tbb
Version: 4.0+r233-1
Installed-Size: 1475
Maintainer: Athena Capital Research 
Architecture: all
Recommends: libtbb-dev, libtbb-doc
Size: 732658
SHA256: dd270b9962f56ea1a10b1f20eeff30227657bd093168a401f9573fc80b2ffa4a
SHA1: c757d6b92f875301ce1722dac0716e801250e5f5
MD5sum: 898140c9ce9d83519ff3ef5cc6b40488
Description: parallelism library for C++ - example files
 TBB is a library that helps you leverage multi-core processor
 performance without having to be a threading expert. It represents a
 higher-level, task-based parallelism that abstracts platform details
 and threading mechanism for performance and scalability.
 .
 (Note: if you are a user of the i386 architecture, i.e., 32-bit Intel
 or compatible hardware, this package only supports Pentium4-compatible
 and higher processors.)
 .
 This package includes the TBB example files.
Homepage: http://threadingbuildingblocks.org/
Tag: devel::doc, devel::examples, devel::lang:c++, made-of::html,
 role::documentation
Section: doc
Priority: extra
Filename: pool/main/t/tbb/tbb-examples_4.0+r233-1_all.deb

Package: tcd-utils
Version: 20061127-2
Architecture: armhf
Maintainer: Peter S Galbraith 
Installed-Size: 169
Depends: libc6 (>= 2.7), libtcd0
Conflicts: xtide (<< 2.9.3-1)
Priority: extra
Section: science
Filename: pool/main/t/tcd-utils/tcd-utils_20061127-2_armhf.deb
Size: 62212
SHA256: c6d7965a081b07b59efeeb8c1b803fa8580664e8b9882c63b5145ca390b8ba60
SHA1: b4b3db8d9b68547caeb2bc3181a3ba57823f7478
MD5sum: ec14600e2e8b8f6d1d986d4dadb129b4
Description: convert Tide Constituent Database (TCD) files
 tcd-utils are command-line tools for converting Tide Constituent Database
 (TCD) files to and from other formats.  They are used to create tidal
 harmonics files used by XTide (see the xtide package), such as those found
 in the xtide-data package.

Package: tcl
Source: tcltk-defaults
Version: 8.5.0-2.1
Installed-Size: 7
Maintainer: Debian Tcl/Tk Packagers 
Architecture: all
Depends: tcl8.5 (>= 8.5.0-1)
Conflicts: tcl8.3 (<< 8.3.5-8), tcl8.4 (<< 8.4.16-2), tcl8.5 (<< 0.b1-2)
Size: 4762
SHA256: fdf854e630c078367ea5f7d5257279b02f799a29897d7261a6f15966ea84f0b1
SHA1: 7eac66b85b8d756c35dec759f1ab9a94cff40bc3
MD5sum: a1853494719195cf6e49ff4c9703a940
Description: The Tool Command Language (default version) - run-time files
 Tcl is a powerful, easy to use, embeddable, cross-platform interpreted
 scripting language.
 .
 This package is a dependency package, which depends on Debian's default
 Tcl version (currently 8.5).
Tag: devel::lang:tcl, role::metapackage
Section: interpreters
Priority: optional
Filename: pool/main/t/tcltk-defaults/tcl_8.5.0-2.1_all.deb

Package: tcl-combat
Source: combat
Version: 0.8.1-1
Installed-Size: 700
Maintainer: Debian CORBA Team 
Architecture: all
Depends: tcl (>= 8.5.0-1) | tclsh, itcl3
Recommends: tao-ifr
Size: 273454
SHA256: 62cd7940b6bc6336442f6eb8a7922cebcfa1b3dd11df4ce9ed94882efe890b69
SHA1: 49b4f930770ba62312dfafdffc2e6c4100338cf3
MD5sum: 99ce7342df6d9397403e1d3158b75a49
Description: CORBA scripting with Tcl
 Combat is a CORBA Object Request Broker that allows the
 implementation of CORBA clients and servers in the Tcl programming
 language.
 .
 On the client side, Combat is not only useful to easily test-drive
 existing CORBA servers, including the ability for rapid prototyping
 or to interactively interface with servers from a console, but makes
 Tcl an exciting language for distributed programming. Also, Tk allows
 to quickly develop attractive user interfaces accessing CORBA
 services. Server-side scripting using [incr Tcl] classes also offers
 a wide range of possibilities.
 .
 Combat is compatible with the CORBA 3.0 specification including the
 IIOP protocol, and has been tested to interoperate with a wide range
 of open-source and commercial ORBs, including MICO, TAO and
 ORBexpress.
 .
 Combat is written in pure Tcl, allowing it to run on all platforms
 supported by Tcl, which is a much wider range than supported by any
 other ORB.
Homepage: http://www.fpx.de/Combat/
Section: interpreters
Priority: optional
Filename: pool/main/c/combat/tcl-combat_0.8.1-1_all.deb

Package: tcl-dev
Source: tcltk-defaults
Version: 8.5.0-2.1
Installed-Size: 13
Maintainer: Debian Tcl/Tk Packagers 
Architecture: all
Replaces: tk-tile
Depends: tcl8.5-dev (>= 8.5.0-1), tcl (= 8.5.0-2.1)
Suggests: tcl-doc
Conflicts: tk-tile
Size: 7078
SHA256: 9aa6eff123fb5b0dcac1c0220217ef75d6c595ee49e8e97a2b1d87b1aaf2c785
SHA1: dd614eda7a8b8e7eac34f33458dc599d5a40e78f
MD5sum: 3aac79cf3c9ced44542c4da264c5bfa3
Description: The Tool Command Language (default version) - development files
 Tcl is a powerful, easy-to-use, embeddable, cross-platform interpreted
 scripting language.
 .
 This package is a dependency package, which depends on Debian's default
 Tcl version (currently 8.5).
Tag: devel::interpreter, devel::lang:c, devel::lang:tcl, devel::library,
 implemented-in::c, role::devel-lib, role::metapackage
Section: libdevel
Priority: optional
Filename: pool/main/t/tcltk-defaults/tcl-dev_8.5.0-2.1_all.deb

Package: tcl-doc
Source: tcltk-defaults
Version: 8.5.0-2.1
Installed-Size: 135
Maintainer: Debian Tcl/Tk Packagers 
Architecture: all
Recommends: tcl8.5-doc (>= 8.5.0-1)
Suggests: tcl
Size: 115708
SHA256: eba6aa010584fe798fc2f6ac782b1ec708db6880eacba2c8a94aa1b103a4e0a8
SHA1: 12bff0000dc2bb6021bc76e419469766b252cc70
MD5sum: 1fafdd79ce62ecbee9fa865b67facf91
Description: The Tool Command Language (default version) - manual pages
 Tcl is a powerful, easy-to-use, embeddable, cross-platform interpreted
 scripting language.  This package contains the man pages for Tcl commands.
 .
 This package is a dependency package, which depends on Debian's default
 Tcl version (currently 8.5).
Tag: devel::doc, devel::lang:tcl, role::dummy
Section: doc
Priority: optional
Filename: pool/main/t/tcltk-defaults/tcl-doc_8.5.0-2.1_all.deb

Package: tcl-funtools
Source: funtools
Version: 1.4.4-3
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 73
Depends: libfuntools1 (= 1.4.4-3), libc6 (>= 2.13-28), tcl8.5 (>= 8.5.0)
Homepage: https://www.cfa.harvard.edu/~john/funtools/
Priority: extra
Section: libs
Filename: pool/main/f/funtools/tcl-funtools_1.4.4-3_armhf.deb
Size: 33206
SHA256: 3ad69df28df71c6f061efcea23ba7a74b3f9b346e95a8e58b207c6347636d4a2
SHA1: d522d8277b12943144b782c1970f7f54ff6e305f
MD5sum: 57621cf496c263bf86b06a3f8a6ba952
Description: Minimal buy-in FITS library (Tcl interface)
 Funtools, is a "minimal buy-in" FITS library and utility package developed at
 the High Energy Astrophysics Division of SAO. The Funtools library
 provides simplified access to a wide array of file types: standard
 astronomical FITS images and binary tables, raw arrays and binary event
 lists, and even tables of ASCII column data. A sophisticated region filtering
 library (compatible with ds9) filters images and tables using boolean
 operations between geometric shapes, support world coordinates, etc. Funtools
 also supports advanced capabilities such as optimized data searching using
 index files.
 .
 This package contains the Tcl interface.

Package: tcl-memchan
Source: memchan
Version: 2.3-2
Architecture: armhf
Maintainer: Sergei Golovan 
Installed-Size: 146
Depends: libc6 (>= 2.13-28)
Conflicts: libmemchan-tcl
Replaces: libmemchan-tcl
Provides: libmemchan-tcl
Homepage: http://memchan.sourceforge.net/
Priority: optional
Section: libs
Filename: pool/main/m/memchan/tcl-memchan_2.3-2_armhf.deb
Size: 49828
SHA256: cf4b0f2716fd10116f0da9784eacbd98d61dddf0be199eec1a587d81d8bbbe29
SHA1: bbc035934c008abf457041dbe15617dbf9cb0581
MD5sum: ff1537e5cbabee026c6f7ff4dffcc562
Description: Tcl extension for in-memory channels - runtime library
 Allows the creation of I/O channels, which store data in memory, not
 on disk. It implements the channel types fifo, null, random and
 zero; and provides a C API for creating custom memory channels.
 .
 This package contains the runtime library to be used in Tcl programs.

Package: tcl-memchan-dev
Source: memchan
Version: 2.3-2
Architecture: armhf
Maintainer: Sergei Golovan 
Installed-Size: 104
Depends: tcl-memchan (= 2.3-2)
Conflicts: libmemchan-tcl-dev
Replaces: libmemchan-tcl-dev
Provides: libmemchan-tcl-dev
Homepage: http://memchan.sourceforge.net/
Priority: optional
Section: libdevel
Filename: pool/main/m/memchan/tcl-memchan-dev_2.3-2_armhf.deb
Size: 26660
SHA256: f094694803c518eb6435ffecfb7ced6555bbe3820b36f5a360bd5a118dc320a7
SHA1: f888e3c51030550524b1844aa7cd98c0a991e057
MD5sum: 6af5da8da0380084cd4157883564e29e
Description: Tcl extension for in-memory channels - development files
 Allows the creation of I/O channels, which store data in memory, not
 on disk. It implements the channel types fifo, null, random and
 zero; and provides a C API for creating custom memory channels.
 .
 This package contains files to be used for development of C-based
 extensions which use the Memchan library.

Package: tcl-signal
Version: 1.4-1
Architecture: armhf
Maintainer: Ole Streicher 
Installed-Size: 66
Depends: libc6 (>= 2.4), tcl8.5 (>= 8.5.0)
Homepage: http://www.nyx.net/~mschwart/signal_ext.html
Priority: extra
Section: libs
Filename: pool/main/t/tcl-signal/tcl-signal_1.4-1_armhf.deb
Size: 9156
SHA256: 135f7c3f14757a5b8b0e458abdb28d8193dbff16a47a38af690f13bb7fa76468
SHA1: 6449879600310a1756a69dab64ba18999517ee17
MD5sum: 0b7ddab6b83a94160d555c75cedb2daa
Description: "Signal" extension for Tcl
 This extension adds dynamically loadable signal handling to Tcl/Tk
 scripts. It provides a very limited subset of the functionality of tclX (just
 the signal part, and about 3/4 of the functions for signals), but as a result
 is quite small and quick to load.

Package: tcl-tclreadline
Source: tclreadline
Version: 2.1.0-12
Architecture: armhf
Maintainer: Debian Tcl/Tk Packagers 
Installed-Size: 274
Depends: libc6 (>= 2.13-28), libreadline6 (>= 6.0), tcl (>= 8.5.0-1) | tclsh
Conflicts: tclreadline
Replaces: tclreadline
Provides: tclreadline
Homepage: http://tclreadline.sourceforge.net/
Priority: optional
Section: devel
Filename: pool/main/t/tclreadline/tcl-tclreadline_2.1.0-12_armhf.deb
Size: 62880
SHA256: 5d6325e3aa1b1864a2e0e41b04ee5bd94713ae5f1d8e7346a4c8ed514528f897
SHA1: 4fba418e2f12b4db8e9b8931aa3072ed43f207bc
MD5sum: 456024583cf3b1769bab8792761c8df4
Description: GNU Readline Extension for Tcl/Tk
 tclreadline adds GNU Readline support to standard Tcl/Tk.  It provides
 interactive command editing and history for Tcl shells (this must be
 enabled on a user-by-user basis) and allows the use of GNU Readline
 features in Tcl programs.

Package: tcl-tls
Source: tcltls
Version: 1.6+dfsg-3
Architecture: armhf
Maintainer: Muammar El Khatib 
Installed-Size: 145
Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), tcl (>= 8.5.0-1) | tclsh
Conflicts: libtls0, tcltls
Replaces: libtls0, tcltls
Provides: tcltls
Homepage: http://tls.sourceforge.net
Priority: optional
Section: libs
Filename: pool/main/t/tcltls/tcl-tls_1.6+dfsg-3_armhf.deb
Size: 57664
SHA256: de7c4bd42829c194161bbec3f8400f81b031650b743ab767ee3163e5decd2743
SHA1: 3e76f2a3fdb83d49a192e75e02b519b7eabc8c4d
MD5sum: 411ec206b0a0c047430bcf43bdf0f8a8
Description: TLS OpenSSL extension to Tcl
 This package enables communication over SSL-encrypted channels in Tcl.
 .
 Both client and server-side sockets are possible. Additionally, full
 filevent semantics should be intact.

Package: tcl-trf
Source: tcltrf
Version: 2.1.4-dfsg1-1
Architecture: armhf
Maintainer: Sergei Golovan 
Installed-Size: 219
Depends: libbz2-1.0, libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), tcl (>= 8.5.0-1) | tclsh
Suggests: tcl-trf-doc
Conflicts: libtrf-tcl
Provides: libtrf-tcl
Homepage: http://tcltrf.sourceforge.net/
Priority: optional
Section: libs
Filename: pool/main/t/tcltrf/tcl-trf_2.1.4-dfsg1-1_armhf.deb
Size: 105688
SHA256: 01119afaf242755cdb4940018deb8bf64361b811e2b8ae27aad2f0ed32a38c93
SHA1: 5d6e124e670d845f55be8ff59585cf3b27c1cd54
MD5sum: dd48ecdb40e79ff6fe9aa7d265a3d812
Description: Tcl data transformations - runtime library
 Trf is an extension to Tcl which provides various data
 transformations. The collection of provided transformation
 procedures includes:
  * generation of message digests (hash values, checksums): MD2,
    MD5, SHA/SHS, SHA-1, HAVAL, RIPEMD-128, -160, CRC (polynomial
    used by PGP), Adler (based upon zlib);
  * conversion to and from various data encodings: uuencoding,
    base64-encoding, or ASCII85-encoding, and binary, octal, or
    hexadecimal representation;
  * an error-correcting Reed-Solomon encoder/decoder;
  * compression/decompression based on zlib and libbz2.
 .
 This package contains the runtime library to be used in Tcl programs.

Package: tcl-trf-dev
Source: tcltrf
Version: 2.1.4-dfsg1-1
Architecture: armhf
Maintainer: Sergei Golovan 
Installed-Size: 124
Depends: tcl-trf (= 2.1.4-dfsg1-1)
Suggests: tcl-trf-doc
Conflicts: libtrf-tcl-dev
Provides: libtrf-tcl-dev
Homepage: http://tcltrf.sourceforge.net/
Priority: optional
Section: libdevel
Filename: pool/main/t/tcltrf/tcl-trf-dev_2.1.4-dfsg1-1_armhf.deb
Size: 52200
SHA256: 30471ddc7c071e86c5b2764d5ba9ecb0b3b4c0be5b7e9a9f34b312f8f6a0619a
SHA1: 964c224e5b9d59bb631d6baeabee574e8d0faede
MD5sum: d03e384deb607d60111bca96e31387e6
Description: Tcl data transformations - development files
 Trf is an extension to Tcl which provides various data
 transformations. The collection of provided transformation
 procedures includes:
  * generation of message digests (hash values, checksums): MD2,
    MD5, SHA/SHS, SHA-1, HAVAL, RIPEMD-128, -160, CRC (polynomial
    used by PGP), Adler (based upon zlib);
  * conversion to and from various data encodings: uuencoding,
    base64-encoding, or ASCII85-encoding, and binary, octal, or
    hexadecimal representation;
  * an error-correcting Reed-Solomon encoder/decoder;
  * compression/decompression based on zlib and libbz2.
 .
 This package contains files to be used for development of C-based
 extensions which use the Trf library.

Package: tcl-trf-doc
Source: tcltrf
Version: 2.1.4-dfsg1-1
Installed-Size: 494
Maintainer: Sergei Golovan 
Architecture: all
Replaces: libtrf-tcl, libtrf-tcl-dev, libtrf-tcl-doc
Provides: libtrf-tcl-doc
Suggests: tcl-trf
Conflicts: libtrf-tcl-doc
Size: 147810
SHA256: 4eff37b91d6d64738780f7e665e1dc5da2c6a5b4277dcbe6e9c6a30cf1ce0b7a
SHA1: ed3abd8bd343794ef9b45a5af01b95b305fbda5f
MD5sum: 1debd68b7c9b6e92de5fdc1a8cc5de7e
Description: Tcl data transformations - development files
 Trf is an extension to Tcl which provides various data
 transformations. The collection of provided transformation
 procedures includes:
  * generation of message digests (hash values, checksums): MD2,
    MD5, SHA/SHS, SHA-1, HAVAL, RIPEMD-128, -160, CRC (polynomial
    used by PGP), Adler (based upon zlib);
  * conversion to and from various data encodings: uuencoding,
    base64-encoding, or ASCII85-encoding, and binary, octal, or
    hexadecimal representation;
  * an error-correcting Reed-Solomon encoder/decoder;
  * compression/decompression based on zlib and libbz2.
 .
 This package contains manual pages and HTML documentation for Trf commands
 and C-API.
Homepage: http://tcltrf.sourceforge.net/
Tag: role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/tcltrf/tcl-trf-doc_2.1.4-dfsg1-1_all.deb

Package: tcl-vfs
Source: tclvfs
Version: 1.3-20080503-3
Architecture: armhf
Maintainer: Sergei Golovan 
Installed-Size: 359
Depends: libc6 (>= 2.13-28), tcl (>= 8.5.0-1)
Suggests: tcl-memchan, tcl-trf, tcllib
Conflicts: tclvfs
Replaces: tclvfs
Provides: tclvfs
Homepage: http://sourceforge.net/projects/tclvfs
Priority: optional
Section: interpreters
Filename: pool/main/t/tclvfs/tcl-vfs_1.3-20080503-3_armhf.deb
Size: 104400
SHA256: db4c12569682a2faf4fed2400b3a1a1c697aa6d2ca4adf1699351a42db1b61c0
SHA1: 81534d2c8c5fafc2f6319b7db6dbacaca9a620b9
MD5sum: 833c7205cbd647c4ebe5e16a490f9b30
Description: Exposes Tcl's virtual filesystem C API to the Tcl script level
 The tcl-vfs package provides an extension to the Tcl language which
 allows Virtual Filesystems to be built using Tcl scripts only. It
 gives also a collection of such Tcl-implemented filesystems
 (metakit, zip, ftp, tar, http, webdav, namespace, url)

Package: tcl-vtk
Source: vtk
Version: 5.8.0-13
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 17180
Depends: libvtk5.8 (= 5.8.0-13), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0)
Suggests: vtk-examples, vtk-doc
Replaces: vtk, vtk-tcl
Homepage: http://www.vtk.org/
Priority: optional
Section: interpreters
Filename: pool/main/v/vtk/tcl-vtk_5.8.0-13_armhf.deb
Size: 5883692
SHA256: af3b5a1f83b8ff5ecad4d4ad316c333416efb22e0d2e5a2cdebd6266b05baf92
SHA1: 3f1f9b92991d569c40dc50e1b1f7bc83ab7428ad
MD5sum: 35ae13a0aa7098580bf4afeb490b532a
Description: Tcl bindings for VTK
 The Visualization Toolkit (VTK) is an object oriented, high level
 library that allows one to easily write C++ programs, Tcl, Python and
 Java scripts that do 3D visualization.
 .
 This provides the shared libraries and executable that enable one to use
 VTK from Tcl/Tk scripts. You will need Tcl/Tk and vtk installed to use
 this.

Package: tcl-xpa
Source: xpa
Version: 2.1.14-2
Architecture: armhf
Maintainer: Ole Streicher 
Installed-Size: 86
Depends: libxpa1 (= 2.1.14-2), libc6 (>= 2.13-28), tcl8.5 (>= 8.5.0)
Homepage: http://hea-www.harvard.edu/RD/xpa/
Priority: extra
Section: libs
Filename: pool/main/x/xpa/tcl-xpa_2.1.14-2_armhf.deb
Size: 28222
SHA256: a16c054f3ad343a54757335a1efe5629f49d2a21e0d05db556256a807b78c716
SHA1: cbe33bc31bfe3a9b06608b14d8404d9e8e97126f
MD5sum: 2fe75a2e2646eaf8ed865072558aa9ec
Description: Seamless communication between Unix programs (Tcl interface)
 The XPA messaging system provides seamless communication between many kinds
 of Unix programs, including X programs and Tcl/Tk programs. It also provides
 an easy way for users to communicate with these XPA-enabled programs by
 executing XPA client commands in the shell or by utilizing such commands in
 scripts. Because XPA works both at the programming level and the shell level,
 it is a powerful tool for unifying any analysis environment: users and
 programmers have great flexibility in choosing the best level or levels at
 which to access XPA services, and client access can be extended or modified
 easily at any time.
 .
 This package contains the Tcl interface.

Package: tcl8.4
Version: 8.4.19-5
Architecture: armhf
Maintainer: Debian Tcl/Tk Packagers 
Installed-Size: 2787
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Suggests: tcl-tclreadline
Conflicts: tcl74 (<= 7.4p3-2)
Provides: tclsh
Homepage: http://www.tcl.tk/
Priority: optional
Section: interpreters
Filename: pool/main/t/tcl8.4/tcl8.4_8.4.19-5_armhf.deb
Size: 1162536
SHA256: f614ea80cdff87b5da14e29672d0d2df8e7b827aca976ad6f00e251fe818f996
SHA1: 1d00406b138b88d3ed5389e05d51d99a59a933dc
MD5sum: 65960bed01b80e00d6d7b420c37dbeb0
Description: Tcl (the Tool Command Language) v8.4 - run-time files
 Tcl is a powerful, easy to use, embeddable, cross-platform interpreted
 scripting language.  This package contains everything you need to run
 Tcl scripts and Tcl-enabled apps.  This version includes thread support.

Package: tcl8.4-dev
Source: tcl8.4
Version: 8.4.19-5
Architecture: armhf
Maintainer: Debian Tcl/Tk Packagers 
Installed-Size: 2126
Depends: tcl8.4 (= 8.4.19-5)
Suggests: tcl8.4-doc
Homepage: http://www.tcl.tk/
Priority: optional
Section: devel
Filename: pool/main/t/tcl8.4/tcl8.4-dev_8.4.19-5_armhf.deb
Size: 771434
SHA256: 499fb5e791cb70125bc7758614cb35f4ca23cf71a82b17538ccbcff3aeecfce9
SHA1: f508482654139c90f8846a88c183151a2cf8bde6
MD5sum: fd81c5c594fc1b9efed21b9b7034fc12
Description: Tcl (the Tool Command Language) v8.4 - development files
 Tcl is a powerful, easy-to-use, embeddable, cross-platform interpreted
 scripting language.  This package contains the headers and libraries
 needed to embed or extend Tcl.

Package: tcl8.4-doc
Source: tcl8.4
Version: 8.4.19-5
Installed-Size: 966
Maintainer: Debian Tcl/Tk Packagers 
Architecture: all
Provides: tcldoc
Suggests: tcl8.4
Conflicts: tcl8.3-doc, tcldoc
Size: 980488
SHA256: a890973892b5029bba17ca2316b53de3ca9e9c627b6ff42a8ad7b90007b9b2e0
SHA1: 7e74fe8c4d854a3e19d6cd1a43b2befc474fa24a
MD5sum: 58cda0a7c6a0e08e71973a5b1054eb98
Description: Tcl (the Tool Command Language) v8.4 - manual pages
 Tcl is a powerful, easy-to-use, embeddable, cross-platform interpreted
 scripting language.  This package contains the man pages for Tcl commands.
Homepage: http://www.tcl.tk/
Tag: devel::doc, devel::lang:tcl, made-of::man, role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/tcl8.4/tcl8.4-doc_8.4.19-5_all.deb

Package: tcl8.5
Version: 8.5.11-2
Architecture: armhf
Maintainer: Tcl/Tk Debian Packagers 
Installed-Size: 3552
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Suggests: tcl-tclreadline
Conflicts: tcl74 (<= 7.4p3-2)
Provides: tclsh
Homepage: http://www.tcl.tk/
Priority: optional
Section: interpreters
Filename: pool/main/t/tcl8.5/tcl8.5_8.5.11-2_armhf.deb
Size: 1569388
SHA256: aff4fdff380592fed3f708fd979cf283a33d58d37c6719fdcdb72f9738c8ea36
SHA1: 2ea0af68c12cde686bed8a084c052acc24ebdc0e
MD5sum: d625d504fae32719f8f83cb7688d74d2
Description: Tcl (the Tool Command Language) v8.5 - run-time files
 Tcl is a powerful, easy to use, embeddable, cross-platform interpreted
 scripting language.  This package contains everything you need to run
 Tcl scripts and Tcl-enabled apps.  This version includes thread support.

Package: tcl8.5-dev
Source: tcl8.5
Version: 8.5.11-2
Architecture: armhf
Maintainer: Tcl/Tk Debian Packagers 
Installed-Size: 2913
Depends: tcl8.5 (= 8.5.11-2)
Suggests: tcl8.5-doc
Homepage: http://www.tcl.tk/
Priority: optional
Section: devel
Filename: pool/main/t/tcl8.5/tcl8.5-dev_8.5.11-2_armhf.deb
Size: 1013146
SHA256: b85ddc5073058ac67caf3a8b96df8774ec45899703361b0172de41517b82d6ff
SHA1: 611449cb43e96b1a2955f00cf838d5785f54b219
MD5sum: f451b6c7e9bf9da6e1f814d7eb617515
Description: Tcl (the Tool Command Language) v8.5 - development files
 Tcl is a powerful, easy-to-use, embeddable, cross-platform interpreted
 scripting language.  This package contains the headers and libraries
 needed to embed or extend Tcl.

Package: tcl8.5-doc
Source: tcl8.5
Version: 8.5.11-2
Installed-Size: 1117
Maintainer: Tcl/Tk Debian Packagers 
Architecture: all
Provides: tcldoc
Suggests: tcl8.5
Conflicts: tcl8.3-doc, tcl8.4-doc, tcldoc
Size: 1132326
SHA256: 734b4d3b22ad41eff13595ccc434fd23bd6450aae5f7b6ab34e772312454ee80
SHA1: da0057cd479f7ab709205c7364f7a33e651f2444
MD5sum: e062521ddf518f21847a50a77bb47e08
Description: Tcl (the Tool Command Language) v8.5 - manual pages
 Tcl is a powerful, easy-to-use, embeddable, cross-platform interpreted
 scripting language.  This package contains the man pages for Tcl commands.
Homepage: http://www.tcl.tk/
Tag: devel::doc, devel::lang:tcl, made-of::man, role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/tcl8.5/tcl8.5-doc_8.5.11-2_all.deb

Package: tcl8.5-kwwidgets
Source: kwwidgets
Version: 1.0.0~cvs20100930-8
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 49
Depends: tcl8.5, tk8.5
Conflicts: tcl8.4-kwwidgets
Replaces: tcl8.4-kwwidgets
Homepage: http://www.kwwidgets.org/
Priority: optional
Section: interpreters
Filename: pool/main/k/kwwidgets/tcl8.5-kwwidgets_1.0.0~cvs20100930-8_armhf.deb
Size: 7468
SHA256: 792bf23dddde5ba15ffa0f508a0d43b481b6d57048760adb352db5d0efbd7590
SHA1: 21a7c49cce5fafe62f013e2c6e0fe088f8e2f8bd
MD5sum: 89ce4d092c72ac91102286f28531a243
Description: Cross-Platform GUI Toolkit - TCL/TK
 Like many other GUI toolkits, it provides low-level core widgets
 like buttons, entries, scales, menus, combo-boxes, thumbwheels,
 spin-boxes, trees, notebooks and multi-column lists to name a few.
 Unlike many of those toolkits though, it also provides advanced
 composite widgets like toolbars, tooltips, progress gauges,
 split-frames, splash-screens, 2D/3D extents, color pickers,
 histograms, windows and dialogs.
 .
 More importantly, KWWidgets builds upon this set of widgets to
 interface to visualization libraries like VTK and offer high-level
 visualization-oriented widgets like surface material editors,
 simple animation generators, transfer function editors, annotation
 editors, window/level and volume property preset editors, text
 property editors, 2D and 3D rendering widgets, etc.
 .
 This package contains Tcl/Tk support for KWWidgets.

Package: tclcl
Version: 1.20-6
Architecture: armhf
Maintainer: YunQiang Su 
Installed-Size: 102
Depends: libc6 (>= 2.13-28)
Homepage: http://otcl-tclcl.sourceforge.net/tclcl/
Priority: optional
Section: utils
Filename: pool/main/t/tclcl/tclcl_1.20-6_armhf.deb
Size: 43714
SHA256: 692204bceaa93a8c1e730b50b7d8d1d0e2ea8172d47709ec2e0ac0c9bf5fb294
SHA1: e0c2d2d4a531adcccb0012f4410adb7d15067cc8
MD5sum: 5f5eac1e34a89e22a9045542e51bfc5b
Description: tcl2c++ and otcldoc program from tclcl
 TclCL (Tcl with classes) is a Tcl/C++ interface used by Mash, vic,
 vat, rtp_play and nsnam. It provides a layer of C++ glue over OTcl.
 .
 This package contains bin file.

Package: tclcl-dbg
Source: tclcl
Version: 1.20-6
Architecture: armhf
Maintainer: YunQiang Su 
Installed-Size: 150
Depends: tclcl (= 1.20-6), libtclcl1 (= 1.20-6)
Homepage: http://otcl-tclcl.sourceforge.net/tclcl/
Priority: extra
Section: debug
Filename: pool/main/t/tclcl/tclcl-dbg_1.20-6_armhf.deb
Size: 84624
SHA256: 0f590f043f55f3f14404581d6b9a022390b828c52361f623068d23a484e47cb9
SHA1: cba414de3654870ded2dd7f000204f22c0ecee2f
MD5sum: 157661a454d4228bd2cce341d6d17b3c
Description: debug symbols of TclCL: both tclcl and libtclcl1
 TclCL (Tcl with classes) is a Tcl/C++ interface used by Mash, vic,
 vat, rtp_play, and nsnam. It provides a layer of C++ glue over OTcl.
 .
 This package contains debug symbols for both tclcl and libtclcl1.

Package: tclcl-dev
Source: tclcl
Version: 1.20-6
Installed-Size: 33
Maintainer: YunQiang Su 
Architecture: all
Depends: libtclcl-dev
Size: 30544
SHA256: 401dc78535008fa18166d86f8ba2d0e7b9b9f3e6971c24a8cb10d3f5111a1fa4
SHA1: 575bcb7472d9625bbdebcb8611b9497499ab2b1b
MD5sum: 579f26789e3a9c02138811f17a849fc3
Description: transitional dummy package to libtclcl-dev
 This is a transitional dummy package.
 If nothing depends on it, this package can be safely removed.
Homepage: http://otcl-tclcl.sourceforge.net/tclcl/
Tag: devel::library, role::devel-lib
Section: libdevel
Priority: optional
Filename: pool/main/t/tclcl/tclcl-dev_1.20-6_all.deb

Package: tclcsound
Source: csound
Version: 1:5.17.11~dfsg-3
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 278
Depends: libc6 (>= 2.13-28), libcsound64-5.2 (>= 1:5.10.1~dfsg1), tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0)
Homepage: http://www.csounds.com
Priority: optional
Section: sound
Filename: pool/main/c/csound/tclcsound_5.17.11~dfsg-3_armhf.deb
Size: 169936
SHA256: 7debc1b874edaa2ae57ca267b8d02a834519959970b80537837783dc990e5563
SHA1: c2f631063a9ff7c954a0bf927fcb20701beda593
MD5sum: 1b821ff0c61896a21ba5867c1b91d107
Description: Tcl bindings and interpreters for Csound
 This package contains the Tcl interfaces to Csound. It includes:
  - tclcsound: the Csound Tcl interface.
  - cswish: Csound wish interpreter
  - cstclsh: Csound Tcl interpreter
 .
 For more information on Csound or Tcl, see the respective packages.

Package: tclcurl
Version: 7.22.0-1
Architecture: armhf
Maintainer: Sven Hoexter 
Installed-Size: 198
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.18.0), tcl (>= 8.5.0-1) | tclsh
Suggests: libcurl4-gnutls-dev
Homepage: http://personal.telefonica.terra.es/web/getleft/tclcurl/index.html
Priority: extra
Section: interpreters
Filename: pool/main/t/tclcurl/tclcurl_7.22.0-1_armhf.deb
Size: 90410
SHA256: 8ea3a13166238ed2bce0150bc21b9e8d9470cb8492ecd44119614ab18b441c6c
SHA1: 6ccda83c63b2fb001606f36c3ac086055feffc9f
MD5sum: 3bc144e5161285d67c3d8dd86bc56276
Description: Tcl bindings to libcurl
 This module enables the use of libcurl in Tcl scripts. Please refer to
 the libcurl documentation available in the libcurl4-gnutls-dev package.
 .
 NOTE: the SSL support is provided by GnuTLS.

Package: tclex
Version: 1.2a1-15
Architecture: armhf
Maintainer: Tcl/Tk Debian Packagers 
Installed-Size: 154
Depends: libc6 (>= 2.4), tcl (>= 8.5.0-1) | tclsh
Priority: extra
Section: interpreters
Filename: pool/main/t/tclex/tclex_1.2a1-15_armhf.deb
Size: 46334
SHA256: b5a5824440f8b451272e0fcb25aa9156aac21841b09569f12f5a5cc47173c9a8
SHA1: f7acf4011e09a6ed414b39022f01a13b3c62dd28
MD5sum: e920435e7c44880cff029efa7a88afa9
Description: A lexical analyzer generator for Tcl
 tcLex is a lexer (lexical analyzer) generator extension to Tcl. It is
 inspired by Unix and GNU lex and flex, which are "tools for
 generating programs that perform pattern-matching on text". tcLex is
 very similar to these programs, except it uses Tcl philosophy and
 syntax, whereas the others use their own syntax and are used in
 conjunction with the C language. People used to lex or flex should
 then feel familiar with tcLex. tcLex is a small extension (the
 Windows compiled version is about 20kb, and the source is about
 150kb), because it extensively uses the Tcl library. However, the
 current doesn't use Tcl's regexp code anymore but a patched version
 is now included in tcLex, which makes it slightly bigger (by a few
 KB). tcLex should work with Tcl 8.0 and later.  tcLex will NEVER work
 with earlier versions, because it uses Tcl 8.0's "object" system for
 performance. The most interesting features are:
 .
  * cross-platform support, thanks to Tcl. Though it has been
    developed on Windows and tested on Windows and Unix only, it
    should work on other platforms as long as Tcl exists on these
    platforms. Supported Tcl platforms are Windows 95/NT, Unix (Linux,
    Solaris...) and Macintosh. Other platforms are VMS, OS/2,
    NeXTStep, Amiga...
 .
  * unlike lex and flex, which only generate static lexers written in
    C and intended to be compiled, tcLex dynamically generates Tcl
    commands that can be used like other C commands or Tcl procedures
    from within Tcl scripts or C programs.
 .
  * it uses Tcl regular expressions. That means you don't have to
    learn another regexp language.
 .
  * it works with Tcl namespaces
 .
  * the generated lexer commands can be used in one pass or
    incrementally, because they maintain state information. That way,
    several instances of the same lexer (eg a HTML parser) can run at
    the same time in distinct call frames and maintain distinct states
    (local variables...). Lexer need not be specially designed in
    order to be used incrementally, the same lexer can transparently
    be used in one pass or incrementally. This feature is especially
    useful when processing text from a file or an Internet socket (Web
    pages for example), when data is not necessarily available at the
    beginning of the processing.

Package: tclgeoip
Version: 0.2-1
Architecture: armhf
Maintainer: Djihed Afifi 
Installed-Size: 65
Depends: libc6 (>= 2.4), libgeoip1 (>= 1.4.8+dfsg)
Priority: optional
Section: interpreters
Filename: pool/main/t/tclgeoip/tclgeoip_0.2-1_armhf.deb
Size: 9792
SHA256: a5fee3a385fc2f0295d05fb184bd62c2f0ccdcd484b784ba7cdb5049db8c13ab
SHA1: 99022923168e54f42ae1459a903dc4d1a1cab2a6
MD5sum: 8255a68f64bfb7e8376a5a9d748baf4e
Description: Tcl extension implementing GeoIP lookup functions
 A Tcl extension for geographic or organisational lookup of IP addresses
 and hostnames, by implementing bindings to the GeoIP C API.
 You can use this extension to query Maxmind GeoIP databases.

Package: tcllib
Version: 1.14-dfsg-3+deb7u1
Installed-Size: 16117
Maintainer: Tcl/Tk Debian Packagers 
Architecture: all
Depends: tcl (>= 8.5.0-1) | tclsh
Size: 4627588
SHA256: 8030b20906b69382220694e56f7331d1834d30308eb6ab776998e7c30666dec8
SHA1: dda2fc77faeb648f4987a78889438bcbaded6da3
MD5sum: e1e299c29bb690f92dc8ca2f22d5a6c4
Description: Standard Tcl Library
 Tcllib, the standard Tcl library, is a collection of common utility
 functions and modules all written in high-level Tcl.
 .
 Modules included:
   * aes: advanced encryption standard
   * amazon-s3: Amazon S3 web service interface
   * asn: an ASN.1 encoder and decoder
   * base32: a base32 encoder and decoder
   * base64: a base64 encoder and decoder
   * bee: bittorrent serialization encoder and decoder
   * bench: benchmark tool
   * bibtex: parser for bibliographies in BibTeX format
   * blowfish: blowfish encryption
   * cache: asynchronous in-memory cache
   * cmdline: a command line argument processor similar to opt
   * comm: remote communications facility
   * control: procedures for control flow structures
   * coroutine: coroutine utilities
   * counter: provides a counter facility and can compute statistics
     and histograms over the collected data.
   * crc: checksum-calculation routines (crc32, cksum, sum)
   * csv: functions to handle CSV (comma-separated values) data
   * des: DES and 3DES encryption
   * dns: dns- and resolver library-related functions
   * docstrip: tools for literate programming, often used with (La)TeX
   * doctools: documentation tools
   * fileutil: Tcl implementations of some standard Unix utilities
   * ftp: Tcl interface to the FTP protocol
   * ftpd: implementation of functions needed for an FTP server
   * fumagic: file utilities
   * gpx: GPS eXchange Format (GPX)
   * grammar_aycock: Aycock-Horspool-Earley parser generator for Tcl
   * grammar_fa: finite automaton operations and usage
   * grammar_me: grammar operations and usage
   * grammar_peg: grammar operations and usage
   * hook: hooks
   * html: generate and control HTML tags
   * htmlparse: parse HTML strings
   * http: HTTP protocol helper modules
   * ident: client interface to the ident protocol
   * imap4: IMAP client
   * inifile: parsing of Windows INI files
   * interp: interpreter utilities
   * irc: low-level IRC protocol interface
   * javascript: Tcl shortcuts to create common javascript functions
   * jpeg: functions to query and modify JPEG images
   * json: JSON parser
   * lambda: utility commands for anonymous procedures
   * ldap: LDAP client and extended object interface
   * log: functions to log messages with various facilities and levels
   * map: mapping utilities
   * mapproj: map projection routines
   * math: Tcl math library
   * md4: md4 hashing functions
   * md5: md5 hashing functions
   * md5crypt: md5 based password hashing
   * mime: a MIME encoder and decoder
   * multiplexer: message multiplexing
   * ncgi: a new CGI processing module
   * nmea: NMEA protocol implementation
   * nns: name service facility
   * nntp: Tcl functions for the NNTP protocol
   * ntp: functions for an NTP client
   * ooutil: utility commands for TclOO
   * otp: RFC 2289 a one-time password system
   * page: parser generator
   * pki: RSA public key encryption
   * pluginmgr: plugin management
   * png: functions to query and modify PNG images
   * pop3: a POP3 protocol implementation
   * pop3d: a POP3 server implementation
   * profiler: a function level Tcl source code profiler
   * pt: parser tools
   * rc4: implementation of the RC4 stream cipher
   * rcs: RCS low level utilities
   * report: provides objects which can be used to generate and format
     reports
   * rest: a framework for RESTful web services
   * ripemd: RIPEMD message-digest algorithm
   * sasl: Simple Authentication and Security Layer implementation
   * sha1: sha1 hashing functions
   * simulation: Tcl simulation tools
   * smtpd: implementation of functions needed for an SMTP server
   * snit: snit's not incr Tcl, OO system
   * soundex: comparison of words based on their phonetic likeness
   * stooop: simple Tcl-only object oriented programming scheme -
     provides C++/Java-like OOP interfaces
   * stringprep: preparation of internationalized strings
   * struct: Tcl implementations of common data structures (tree, graph,
     etc)
   * tar: functions to manipulate tar files
   * tepam: Tcl's enhanced procedure and argument manager
   * term: terminal control
   * textutil: string manipulation library
   * tie: framework for creating persistent Tcl arrays
   * tiff: TIFF image manipulation
   * transfer: data transfer facilities
   * treeql: tree query language
   * try: trap and process errors and exceptions
   * uev: user events
   * units: convert and manipulate quantities with units
   * uri: functions to generate and manipulate commonly-used URIs
   * uuid: generator of universally unique identifiers (UUID)
   * valtype: validation types
   * virtchannel: reflected/virtual channel support
   * wip: word interpreter
   * yaml: YAML format encoder/decoder
Homepage: http://tcl.activestate.com/software/tcllib/
Tag: devel::lang:tcl, devel::library, devel::profiler, implemented-in::tcl,
 role::app-data
Section: interpreters
Priority: optional
Filename: pool/main/t/tcllib/tcllib_1.14-dfsg-3+deb7u1_all.deb

Package: tclodbc
Version: 2.5.1-1.1
Architecture: armhf
Maintainer: Tcl/Tk Debian Packagers 
Installed-Size: 177
Depends: tcl (>= 8.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libstdc++6 (>= 4.3.0), odbcinst1debian2 (>= 2.2.11-3)
Homepage: https://sourceforge.net/projects/tclodbc/
Priority: optional
Section: libs
Filename: pool/main/t/tclodbc/tclodbc_2.5.1-1.1_armhf.deb
Size: 56024
SHA256: 385db8b104765d9226177f6fae523f3f77573dd6c41310d9760d27822d8ebe96
SHA1: 1390b9cd424f2285dc9a1a9f2f88eb0d7c0c7e86
MD5sum: eb1adc74a5eefb905119e5ac6b40207a
Description: The ODBC extension to Tcl
 This package is the ODBC extension to Tcl, originally written by Roy Nurmi,
 Tom Poindexter and Rob Saboye. Provides access to Databases through ODBC
 connections.
 .
 Browse the documentation under /usr/share/doc/tclodbc/reference.htm to learn
 more on how to use the extension.

Package: tclthread
Version: 1:2.6.7-1
Architecture: armhf
Maintainer: Sergei Golovan 
Installed-Size: 264
Depends: libc6 (>= 2.13-28), tcl (>= 8.5.0-1) | tclsh
Homepage: http://tcl.sourceforge.net/
Priority: optional
Section: interpreters
Filename: pool/main/t/tclthread/tclthread_2.6.7-1_armhf.deb
Size: 122750
SHA256: 73e7a80338dfcd3c6a5e9c95196137e51a565117bc20d3e640d72cd22cfcb0cf
SHA1: 63c1188b1916622bf711e0cc58077bb1b9c707b4
MD5sum: 945bb7563e4a2d69613fb036cb5ea693
Description: Tcl extension implementing script level access to Tcl threading capabilities
 The thread extension creates threads that contain Tcl interpreters,
 and it lets you send scripts to those threads for evaluation. It also
 enables you to share data between threads in a safe, protected
 fashion.  Additionally, it provides script-level access to basic
 thread synchronization primitives, like mutexes and condition
 variables.

Package: tclx8.4
Version: 8.4.0-3
Architecture: armhf
Maintainer: Massimo Dal Zotto 
Installed-Size: 245
Depends: libc6 (>= 2.4)
Suggests: tclx8.4-doc
Provides: tclx
Priority: optional
Section: libs
Filename: pool/main/t/tclx8.4/tclx8.4_8.4.0-3_armhf.deb
Size: 93512
SHA256: 423689cc4e78712037e67a24507ad1d33ace41afca4943d8b06904f5fc1b02af
SHA1: f1adc4897f1cb11a0b22599bfe44e0458c847fa3
MD5sum: 447fe9400225d755518a6d0d83b0e8e9
Description: Extended Tcl (TclX) - shared library
 Extended Tcl (TclX), is a set of extensions to Tcl, the Tool Command
 Language invented by Dr. John Ousterhout.  Tcl is a powerful, yet simple
 embeddable programming language.  Extended Tcl is oriented towards system
 programming tasks and large application development.
 .
 TclX provides additional interfaces to the operating system, and adds many
 new programming constructs, text manipulation tools, and debugging tools.
 .
 TclX 8.4 differs from its predecessors in that it is based more on the
 idea of TclX as an extension to Tcl, and not an alternate environment.
 There is no TkX and no stand-alone shells are built. The tkx*8.4 packages
 therefore don't exist anymore.
 .
 This package includes the shared library and tcl library files.

Package: tclx8.4-dev
Source: tclx8.4
Version: 8.4.0-3
Architecture: armhf
Maintainer: Massimo Dal Zotto 
Installed-Size: 230
Depends: tclx8.4 (= 8.4.0-3), tcl8.4-dev
Suggests: tclx8.4-doc
Priority: optional
Section: devel
Filename: pool/main/t/tclx8.4/tclx8.4-dev_8.4.0-3_armhf.deb
Size: 81746
SHA256: 639c1bfd0d50ec9d3cae058234841079683e9d8ec3e51ff3e18639ab5a9d4104
SHA1: 8cdf9f05d6a8ea91da571de81eb3998a71a69eaf
MD5sum: a5e85493d9d9fbaee47e81578c1ee542
Description: Extended Tcl (TclX) - development package
 Extended Tcl (TclX), is a set of extensions to Tcl, the Tool Command
 Language invented by Dr. John Ousterhout.  Tcl is a powerful, yet simple
 embeddable programming language.  Extended Tcl is oriented towards system
 programming tasks and large application development.
 .
 TclX provides additional interfaces to the operating system, and adds many
 new programming constructs, text manipulation tools, and debugging tools.
 .
 This package includes development libraries and include files.

Package: tclx8.4-doc
Source: tclx8.4
Version: 8.4.0-3
Installed-Size: 120
Maintainer: Massimo Dal Zotto 
Architecture: all
Provides: tclx-doc
Conflicts: tclx-doc, tkx-doc
Size: 74296
SHA256: a38f4ad31e3a494691ae663a6dbc82b6abb2be7a320e3c64c23187cd6757ec8c
SHA1: bd84b920c4a50031cee2531e2f0762a9e795c5b0
MD5sum: 234836f4245fd61cfc0eb6523a016e8a
Description: Extended Tcl (TclX) - manpages
 Extended Tcl (TclX), is a set of extensions to Tcl, the Tool Command
 Language invented by Dr. John Ousterhout.  Tcl is a powerful, yet simple
 embeddable programming language.  Extended Tcl is oriented towards system
 programming tasks and large application development.
 .
 TclX provides additional interfaces to the operating system, and adds many
 new programming constructs, text manipulation tools, and debugging tools.
 .
 This package includes the TclX man pages.
Tag: devel::doc, devel::lang:tcl, made-of::man, role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/tclx8.4/tclx8.4-doc_8.4.0-3_all.deb

Package: tclxapian
Source: xapian-bindings
Version: 1.2.12-2
Architecture: armhf
Maintainer: Olly Betts 
Installed-Size: 720
Depends: tcl | tclsh, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libxapian22 (>= 1.2.12)
Suggests: xapian-doc
Homepage: http://xapian.org/
Priority: optional
Section: libs
Filename: pool/main/x/xapian-bindings/tclxapian_1.2.12-2_armhf.deb
Size: 243906
SHA256: 11547e55c0c4d2defcac500c0f7a618e32879db6194421a73f58ad8b188c08a9
SHA1: 9e8c609cd30e003799c14ce90982236745d465bb
MD5sum: 37c7903783ecfbc8f08badb43326cda2
Description: Xapian search engine interface for Tcl
 This package provides Xapian Tcl bindings which should work with any version
 of Tcl >= 8.1 (by using Tcl's stubs mechanism.)
 .
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.
 .
 The Xapian Tcl bindings provide an interface to the Xapian library from the
 Tcl programming language, allowing both indexing and retrieval operations.

Package: tclxml
Version: 3.3~svn11-2
Architecture: armhf
Maintainer: Andrew O. Shadura 
Installed-Size: 841
Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), tcl (>= 8.5.0-1), tcllib
Homepage: http://tclxml.sourceforge.net/
Priority: optional
Section: devel
Filename: pool/main/t/tclxml/tclxml_3.3~svn11-2_armhf.deb
Size: 430364
SHA256: b759eb83ec84bf41bc095d3900948b82e4ba1a83eabd51fd61b138014f650566
SHA1: 09c0522267129b7ecd78f51ad95b8fb30dc5f198
MD5sum: e2de2c4004e75a4be3819c5ac500a053
Description: Tcl library for XML parsing
 TclXML provides event-based parsing of XML documents.  The
 application may register callback scripts for certain document
 features, and when the parser encounters those features while parsing
 the document the callback is evaluated.

Package: tclxml-dev
Source: tclxml
Version: 3.3~svn11-2
Architecture: armhf
Maintainer: Andrew O. Shadura 
Installed-Size: 81
Depends: tclxml (= 3.3~svn11-2), tcl (>= 8.5.0-1)
Homepage: http://tclxml.sourceforge.net/
Priority: optional
Section: libdevel
Filename: pool/main/t/tclxml/tclxml-dev_3.3~svn11-2_armhf.deb
Size: 19690
SHA256: b66b642cdbee1904408fe838233a4a18da3916d7308dcb95ce6cea04e3faa2ea
SHA1: d65b38b333870e8b246e77996161187d092ec099
MD5sum: e9e08e4fb14a1f59cd87e0bb3100d10b
Description: Tcl library for XML parsing - development files
 TclXML provides event-based parsing of XML documents.  The
 application may register callback scripts for certain document
 features, and when the parser encounters those features while parsing
 the document the callback is evaluated.
 .
 This package contains files needed for C development with tclxml.

Package: tcm
Version: 2.20+TSQD-4.2
Architecture: armhf
Maintainer: Otavio Salvador 
Installed-Size: 2221
Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6, libxt6, transfig
Suggests: xfonts-scalable
Priority: optional
Section: graphics
Filename: pool/main/t/tcm/tcm_2.20+TSQD-4.2_armhf.deb
Size: 897738
SHA256: 3d6ace32caa886134504b20d2b22d193a39b39fbd2eca183f68a4cb5071c00fa
SHA1: 8678161289a0791890b5b604b4e88a3d46d4c15b
MD5sum: c10a711696e7fe96dbadc55aba33c0ac
Description: Toolkit for Conceptual Modeling (TCM)
 The Toolkit for Conceptual Modeling is a collection of software tools
 to present conceptual models of software systems in the form of
 diagrams, tables, trees, and the like. A conceptual model of a system
 is a structure used to represent the requirements or architecture of
 the system. TCM is meant to be used for specifying and maintaining
 requirements for desired systems, in which a number of techniques and
 heuristics for problem analysis, function refinement, behavior
 specification, and architecture specification are used.  TCM takes
 the form of a suite of graphical editors that can be used in these
 design tasks. These editors can be categorized
 into:
 .
  * Generic editors for generic diagrams, generic tables and generic
    trees.
  * Structured Analysis (SA) editors for entity-relationship diagrams,
    data and event flow diagrams, state transition diagrams, function
    refinement trees, transaction-use tables and function-entity type
    tables.
  * Unified Modeling Language (UML) editors for static structure
    diagrams, use-case diagrams, activity diagrams, state charts, message
    sequence diagrams, collaboration diagrams, component diagrams and
    deployment diagrams (only the first three UML and last two editors
    are functional at this moment).
  * Miscellaneous editors such as for JSD (process structure and
    network diagrams), recursive process graphs and transaction decomposition
    tables.
 .
 TCM supports constraint checking for single documents (e.g. name
 duplication and cycles in is-a relationships). TCM distinguishes
 built-in constraints (of which a violation cannot even be attempted)
 from immediate constraints (of which an attempted violation is
 immediately prevented) and soft constraints (against which the editor
 provides a warning when it checks the drawing). TCM is planned to
 support hierarchic graphs, so that it can handle for example
 hierarchic statecharts. Features to be added later
 include constraint checking across documents and executable models.

Package: tcm-doc
Source: tcm
Version: 2.20+TSQD-4.2
Installed-Size: 4676
Maintainer: Otavio Salvador 
Architecture: all
Size: 3045072
SHA256: b8b39a6edf095ded1bff1b9876f242d24882978c237effe36ee667a27cbdab1a
SHA1: 37a0b705ed4d18598e9535b3332791a67f25ba4e
MD5sum: 69f3a72dcbe87852c1097454c6db4491
Description: Documentation for Toolkit for Conceptual Modeling (TCM)
 The Toolkit for Conceptual Modeling is a collection of software tools
 to present conceptual models of software systems in the form of
 diagrams, tables, trees, and the like. A conceptual model of a system
 is a structure used to represent the requirements or architecture of
 the system. TCM is meant to be used for specifying and maintaining
 requirements for desired systems, in which a number of techniques and
 heuristics for problem analysis, function refinement, behavior
 specification, and architecture specification are used.  TCM takes
 the form of a suite of graphical editors that can be used in these
 design tasks. These editors can be categorized
 into:
 .
  * Generic editors for generic diagrams, generic tables and generic
    trees.
  * Structured Analysis (SA) editors for entity-relationship diagrams,
    data and event flow diagrams, state transition diagrams, function
    refinement trees, transaction-use tables and function-entity type
    tables.
  * Unified Modeling Language (UML) editors for static structure
    diagrams, use-case diagrams, activity diagrams, state charts, message
    sequence diagrams, collaboration diagrams, component diagrams and
    deployment diagrams (only the first three UML and last two editors
    are functional at this moment).
  * Miscellaneous editors such as for JSD (process structure and
    network diagrams), recursive process graphs and transaction decomposition
    tables.
 .
 TCM supports constraint checking for single documents (e.g. name
 duplication and cycles in is-a relationships). TCM distinguishes
 built-in constraints (of which a violation cannot even be attempted)
 from immediate constraints (of which an attempted violation is
 immediately prevented) and soft constraints (against which the editor
 provides a warning when it checks the drawing). TCM is planned to
 support hierarchic graphs, so that it can handle for example
 hierarchic statecharts. Features to be added later
 include constraint checking across documents and executable models.
 .
 This package contains all the documentation that comes in the original
 tarball.
Tag: devel::doc, made-of::html, made-of::pdf, made-of::postscript,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/tcm/tcm-doc_2.20+TSQD-4.2_all.deb

Package: tcng
Version: 10b-4
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 1152
Depends: libc6 (>= 2.13-28), iproute, cpp
Priority: extra
Section: net
Filename: pool/main/t/tcng/tcng_10b-4_armhf.deb
Size: 838588
SHA256: 81b491cef6dc82d95b3ad89cd2a81862ee39b1b10fb8eb8416752c9eb22df862
SHA1: a9f0768b73062d8517f0a953292f3492aa1f404b
MD5sum: 482ee50b48185dc112aca7c018d9f1ce
Description: Linux traffic control language interpreter
 Using /sbin/tc (to configure Linux network traffic flow control) is
 painful. This package attempts to reduce the pain with two new input
 languages (one for humans, and XML ;-). The output is XML, or /sbin/tc
 commands to configure the kernel.
 .
 The "tcsim" traffic simulator is not included in Debian.

Package: tcos
Version: 0.89.90
Installed-Size: 90
Maintainer: Mario Izquierdo (mariodebian) 
Architecture: all
Depends: initramfs-tools-tcos (>= 0.84.5), python, python-configobj
Recommends: tcos-configurator, dnsmasq | tcos-tftp-dhcp, tcosmonitor, tcosconfig, ltspfs, fuse-utils, pulseaudio-esound-compat | esound
Size: 46724
SHA256: 597a880a97593bc476c4b8f44be562cfb2067abf58d63da50d4a1e56565257dc
SHA1: e8c68358bfac0c280fd5751536f991f5a9d01e31
MD5sum: 563a0bb7bbcdb3ebd44dc1828d229b7c
Description: Base package for install a TCOS (Thin client) server
 This is a system for generating thin client hosts using initramfs.
 TCOS is a set of build tools to prepare an initramfs image to boot
 thin client terminals.
 TCOS support dhcp, sound (alsa & esound | pulseaudio ), ltspfs,
 Xorg and more utils.
 .
 This package install and recommends all required packages to make a
 TCOS thin client server.
Homepage: http://www.tcosproject.org
Section: net
Priority: extra
Filename: pool/main/t/tcos/tcos_0.89.90_all.deb

Package: tcos-configurator
Version: 1.23
Installed-Size: 280
Maintainer: Mario Izquierdo (mariodebian) 
Architecture: all
Depends: tcosconfig, gksu, python, python-gtk2, python-glade2, python-netifaces, python-configobj
Size: 42764
SHA256: b1c5af5113af596803c8c0aa3aa319b9e1537d3b9f024c55da3e8a253a57e803
SHA1: b97f4fdfc06d732892f136c5a4363ada2a55d36f
MD5sum: 2e2e2f92535421c9bfc8e9b2a7975f3c
Description: PyGTK tool to configure some needed services to get a TCOS server
 This tool configure dnsmasq or isc-dhcp-server to boot TCOS thin clients.
 .
 You can create users, configure autologin or launch TcosConfig too.
Homepage: http://www.tcosproject.org
Section: gnome
Priority: optional
Filename: pool/main/t/tcos-configurator/tcos-configurator_1.23_all.deb

Package: tcos-core
Source: tcos
Version: 0.89.90
Architecture: armhf
Maintainer: Mario Izquierdo (mariodebian) 
Installed-Size: 712
Depends: busybox (>= 1:1.13.3) | busybox-static (>= 1:1.13.3) | udhcpc, adduser, xterm, x11vnc, xtightvncviewer, dosfstools, scrot, eject, netpipes, libnotify-bin, libasound2-plugins, iproute, xdg-utils, pulseaudio-utils, python, python-utmp, python-dbus, python-ipaddr, python-ipy, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.14.0), libimlib2, libx11-6, libxaw7, libxext6, libxmlrpc-core-c3, libxt6
Recommends: vlc, stunnel4, openssl, ethtool
Homepage: http://www.tcosproject.org
Priority: extra
Section: net
Filename: pool/main/t/tcos/tcos-core_0.89.90_armhf.deb
Size: 407404
SHA256: e4cd9faa4d1524eb55061990aef9f36ac040eaab561d4c8ebcbb1ba3ef9e5e15
SHA1: e9211e784217ebaa8ad679e563954bd06fb13293
MD5sum: 32fc47a4620e5431f2ca0386f1c8a7d5
Description: Common scripts and bins for generating a TCOS thin client bootable image
 This package contain common scripts, libs, bins and dependencies to
 generate network images or be used in standalone hosts.

Package: tcos-standalone
Source: tcos
Version: 0.89.90
Installed-Size: 247
Maintainer: Mario Izquierdo (mariodebian) 
Architecture: all
Depends: tcos-core (>= 0.88.0), tcosmonitor-common, openssl, gksu, python, python-eggtrayicon | python-gnome2-extras, python-gtk2, python-glade2
Recommends: ethtool
Size: 58758
SHA256: 2a3d67851abce9119516a616adbec904a1535cf4891a6c7c9e3b5ba206482f38
SHA1: 8a3d0d6321f533c5fec648e424bdf9f76fd4a059
MD5sum: 9b87cbbf8729baff4f228b6451aa7bd6
Description: TCOS GUI configurator for standalone hosts
 This package contains a PyGTK+ gui configurator and dependencies
 to install and configure a standalone TCOS host.
  .
 With this package you can control installed clients from tcosmonitor.
Homepage: http://www.tcosproject.org
Section: net
Priority: optional
Filename: pool/main/t/tcos/tcos-standalone_0.89.90_all.deb

Package: tcos-tftp-dhcp
Source: tcos
Version: 0.89.90
Installed-Size: 63
Maintainer: Mario Izquierdo (mariodebian) 
Architecture: all
Depends: atftpd, isc-dhcp-server | dhcp3-server | dhcp-server
Size: 39898
SHA256: 1499df276298ef7409ca228f1b22611482d425781178339bbd4361690eea52dc
SHA1: e318bda48be1a7c0306abe7fa2f2319fc9bbf10d
MD5sum: df454e24e3455160853eb5f60c89278b
Description: Metapackage to install TCOS with atftp and DHCP server
 This metapackage depends on atftp and a DHCP server to provide needed
 daemons needed to run a TCOS server.
Homepage: http://www.tcosproject.org
Section: net
Priority: optional
Filename: pool/main/t/tcos/tcos-tftp-dhcp_0.89.90_all.deb

Package: tcosconfig
Version: 0.3.33
Installed-Size: 708
Maintainer: Mario Izquierdo (mariodebian) 
Architecture: all
Depends: initramfs-tools-tcos (>= 0.89.70), gksu | kdebase-bin, file, python (>= 2.6.6-7~), python-gtk2, python2.7 | python2.6, python (<< 2.8)
Size: 159870
SHA256: 9e82ace797aefc1cd288e18bd74205b2c98fffbdf1ed16659e22439fc6b5360a
SHA1: 183f5a52d706382616cf3616dd03146fd61cecad
MD5sum: 446888e0f732b029ba8278d6657e78ed
Description: Small Python GUI to configure and create TCOS boot images
 TCOS, thin Client Operating System is a method to create small embedded os
 based on Debian/Ubuntu with normal Debian kernel's.
 .
 tcosconfig is the frontend of gentcos/tcos-buildchroot image generator.
Homepage: http://www.tcosproject.org
Section: gnome
Priority: optional
Filename: pool/main/t/tcosconfig/tcosconfig_0.3.33_all.deb

Package: tcosmonitor
Version: 0.2.48
Installed-Size: 1068
Maintainer: Mario Izquierdo (mariodebian) 
Architecture: all
Depends: python (>= 2.6.6-7~), tcosmonitor-common (>= 0.2.39), python-gtk-vnc, gksu | kdebase-bin, nmap, python2.7 | python2.6, python (<< 2.8)
Breaks: initramfs-tools-tcos (<= 0.88.16)
Size: 310990
SHA256: a52263d47627d6afa556a4b9f9a2c345196dd2afee802759fe1ba70d852fbd19
SHA1: 3eadb720323c827f36d2d8bcec2143ca33686b93
MD5sum: 0287180a32d12d6748390b660b17cc2f
Description: Thin client monitor for TCOS
 TCOS, thin Client Operating System is a method to create small embedded os
 based on Debian/Ubuntu with normal Debian kernel's.
 .
 tcosmonitor is a small pygtk app to monitor thin clients
Homepage: http://www.tcosproject.org
Section: gnome
Priority: extra
Filename: pool/main/t/tcosmonitor/tcosmonitor_0.2.48_all.deb

Package: tcosmonitor-common
Source: tcosmonitor
Version: 0.2.48
Installed-Size: 617
Maintainer: Mario Izquierdo (mariodebian) 
Architecture: all
Depends: python (>= 2.6.6-7~), python-utmp, python-dbus, python-gtk2, python-dns, python-netifaces, python-notify, python-xlib, python-ipaddr, python-ipy, python-eggtrayicon | python-gnome2-extras, python-dateutil, zenity, dbus, x11vnc, lsb-base (>= 3.0-6), notification-daemon | xfce4-notifyd, libnotify-bin, python-m2crypto, python-medusa, tcos-core (>= 0.89.44), consolekit, python2.7 | python2.6, python (<< 2.8)
Breaks: initramfs-tools-tcos (<= 0.88.16), tcosmonitor (<= 0.2.39)
Size: 168588
SHA256: c6bddc02a04faf085615d47a2653b05640f384c0d14a5936b0c6c16860e60d76
SHA1: dc54a8f878326ff975aa5f7b74e5ed40b475e048
MD5sum: 60370bff287e947a8d7c99e675ffbce7
Description: Thin client monitor for TCOS (common files)
 TCOS, thin Client Operating System is a method to create small embedded os
 based on Debian/Ubuntu with normal Debian kernel's.
 .
 This package contains common files of tcosmonitor (for standalone)
Homepage: http://www.tcosproject.org
Section: gnome
Priority: optional
Filename: pool/main/t/tcosmonitor/tcosmonitor-common_0.2.48_all.deb

Package: tcpd
Source: tcp-wrappers
Version: 7.6.q-24
Architecture: armhf
Maintainer: Marco d'Itri 
Installed-Size: 91
Depends: libc6 (>= 2.13-28), libwrap0 (>= 7.6-4~)
Replaces: libwrap0 (<< 7.6-8)
Multi-Arch: foreign
Priority: optional
Section: net
Filename: pool/main/t/tcp-wrappers/tcpd_7.6.q-24_armhf.deb
Size: 26348
SHA256: b618f3dab37ef3264454508cc775d17aa27ff7ad52571a9709df2303203559c9
SHA1: 16a882843906c1927e47019ffab5f211546de3ec
MD5sum: 02edf434b8e49048239b5501b655e846
Description: Wietse Venema's TCP wrapper utilities
 Wietse Venema's network logger, also known as TCPD or LOG_TCP.
 .
 These programs log the client host name of incoming telnet,
 ftp, rsh, rlogin, finger etc. requests.
 .
 Security options are:
  - access control per host, domain and/or service;
  - detection of host name spoofing or host address spoofing;
  - booby traps to implement an early-warning system.

Package: tcpdump
Version: 4.9.0-1~deb7u2
Architecture: armhf
Maintainer: Romain Francoise 
Installed-Size: 1005
Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 1.2.1), libssl1.0.0 (>= 1.0.0)
Multi-Arch: foreign
Homepage: http://www.tcpdump.org/
Priority: optional
Section: net
Filename: pool/main/t/tcpdump/tcpdump_4.9.0-1~deb7u2_armhf.deb
Size: 482718
SHA256: ee4f67d638b95c8dc39ef8cb75f21682a9383e84dfd0e7617b7150990aaf8af1
SHA1: d265be8d754165ce20955049d0123842134c4fad
MD5sum: 9ecf291dfca4c0c7342aa8eb50a6209b
Description: command-line network traffic analyzer
 This program allows you to dump the traffic on a network. tcpdump
 is able to examine IPv4, ICMPv4, IPv6, ICMPv6, UDP, TCP, SNMP, AFS
 BGP, RIP, PIM, DVMRP, IGMP, SMB, OSPF, NFS and many other packet
 types.
 .
 It can be used to print out the headers of packets on a network
 interface, filter packets that match a certain expression. You can
 use this tool to track down network problems, to detect attacks
 or to monitor network activities.

Package: tcpflow
Version: 0.21.ds1-7
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 83
Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8)
Suggests: tcpdump
Homepage: http://www.circlemud.org/~jelson/software/tcpflow/
Priority: optional
Section: net
Filename: pool/main/t/tcpflow/tcpflow_0.21.ds1-7_armhf.deb
Size: 26410
SHA256: 793dede972dc1fcfce9b0a5782db82d22c702f251e5704eff3c6dbf3ad91ffa0
SHA1: 13aa3d551f3f5f1fa3a9042e7f404b45d360880b
MD5sum: af943b925809fea78ee103c5c45f1678
Description: TCP flow recorder
 tcpflow is a program that captures data transmitted as part of TCP
 connections (flows), and stores the data in a way that is convenient
 for protocol analysis or debugging. A program like 'tcpdump' shows a
 summary of packets seen on the wire, but usually doesn't store the
 data that's actually being transmitted. In contrast, tcpflow
 reconstructs the actual data streams and stores each flow in a
 separate file for later analysis.
 .
 tcpflow understands sequence numbers and will correctly reconstruct
 data streams regardless of retransmissions or out-of-order delivery.
 However, it currently does not understand IP fragments; flows
 containing IP fragments will not be recorded properly.
 .
 tcpflow is based on the LBL Packet Capture Library and therefore
 supports the same rich filtering expressions that programs like
 'tcpdump' support. tcpflow can also rebuild flows from data captured
 with 'tcpdump -w'.

Package: tcpick
Version: 0.2.1-6
Architecture: armhf
Maintainer: William Vera 
Installed-Size: 99
Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8)
Homepage: http://tcpick.sourceforge.net
Priority: optional
Section: net
Filename: pool/main/t/tcpick/tcpick_0.2.1-6_armhf.deb
Size: 36784
SHA256: 64725cb4e8e7b187372792c1afcf682d1960746407a61ed37e49c4818e2b997d
SHA1: fe02e2930f8d904749922cb5bebbeedbaa7c7398
MD5sum: 1b1b3ff80562c572814250b4d5fabd37
Description: TCP stream sniffer and connection tracker
 This libpcap-based textmode sniffer can:
  * track, reassemble and reorder TCP streams
  * save the captured flows in different files or display them in the terminal
  * display all the stream on the terminal with different display modes like
    hexdump, hexdump + ascii, only printable characters, raw mode, colorized
    mode ...
  * handle several network interface types, including ethernet cards and PPP
    interfaces

Package: tcpreen
Version: 1.4.4-2
Architecture: armhf
Maintainer: Alberto Capella Silva 
Installed-Size: 124
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0)
Homepage: http://www.remlab.net/tcpreen/
Priority: optional
Section: net
Filename: pool/main/t/tcpreen/tcpreen_1.4.4-2_armhf.deb
Size: 43208
SHA256: 1a15f50364f7e6707b55614cc673f1ddec22bae95d1a221ec52667c847231137
SHA1: 1fb4b6ffee3adf6b26c799ae1748e0c812550a13
MD5sum: 97aa0db54c0cf4a74776ee797ab81b9a
Description: Simple TCP re-engineering tool
 TCPreen is a simple tool to monitor and analyze data transmitted
 between clients and servers through connection-oriented streams
 data such as a TCP sessions; it supports TCP over either IPv4 or IPv6.

Package: tcpreplay
Version: 3.4.3-2+wheezy2
Architecture: armhf
Maintainer: Noël Köthe 
Installed-Size: 865
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcap0.8 (>= 0.9.8)
Homepage: http://tcpreplay.synfin.net/
Priority: optional
Section: net
Filename: pool/main/t/tcpreplay/tcpreplay_3.4.3-2+wheezy2_armhf.deb
Size: 437514
SHA256: 985d5cc938d454f13f1bb0fb06f14bda303256d4488642cf313e520f6330b37a
SHA1: 9c08ad2d2e5057dece2695c5f6eaac3a92f17f80
MD5sum: 9bb41313b9da8902ab5ce477d2183007
Description: Tool to replay saved tcpdump files at arbitrary speeds
 Tcpreplay is aimed at testing the performance of a NIDS by
 replaying real background network traffic in which to hide
 attacks. Tcpreplay allows you to control the speed at which the
 traffic is replayed, and can replay arbitrary tcpdump traces. Unlike
 programmatically-generated artificial traffic which doesn't
 exercise the application/protocol inspection that a NIDS performs,
 and doesn't reproduce the real-world anomalies that appear on
 production networks (asymmetric routes, traffic bursts/lulls,
 fragmentation, retransmissions, etc.), tcpreplay allows for exact
 replication of real traffic seen on real networks.

Package: tcpser
Version: 1.0rc12-1
Architecture: armhf
Maintainer: Peter Collingbourne 
Installed-Size: 85
Depends: libc6 (>= 2.13-28)
Homepage: http://www.jbrain.com/pub/linux/serial/
Priority: optional
Section: net
Filename: pool/main/t/tcpser/tcpser_1.0rc12-1_armhf.deb
Size: 29948
SHA256: 70a3ca31c551d9856fe37ca03c6004d0e400eacefbcac137551acdb4f0fe1c1e
SHA1: c069db6944d107cca1535de359677672b1af4d33
MD5sum: fd11d03543409f670d4a96ae542c3ee4
Description: emulate a Hayes compatible modem
 TCPSER turns a PC serial port into an emulated Hayes compatible modem that
 uses TCP/IP for incoming and outgoing connections.  It can be used to allow
 older applications and systems designed for modem use to operate on the
 Internet.  TCPSER supports all standard Hayes commands, and understands
 extended and vendor proprietary commands (though it does not implement
 many of them).  TCPSER can be used for both inbound and outbound connections.

Package: tcpslice
Version: 1.2a3-4
Architecture: armhf
Maintainer: Jochen Friedrich 
Installed-Size: 60
Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8)
Priority: optional
Section: net
Filename: pool/main/t/tcpslice/tcpslice_1.2a3-4_armhf.deb
Size: 18170
SHA256: c2a987850b0031c3246f3e9beb0cd7836d609c5abfa37a796228127ce7340730
SHA1: fc467027ffc9b39ebe0d18a1588d77a03899674f
MD5sum: d23f0316845f053485e6d87c44339ff3
Description: extract pieces of and/or glue together tcpdump files
 Tcpslice is a program for extracting portions of packet-trace files
 generated using tcpdump(l)'s -w flag.
 It can also be used to glue together several such files.

Package: tcpspy
Version: 1.7d-4
Architecture: armhf
Maintainer: Pablo Lorenzzoni 
Installed-Size: 112
Depends: libc6 (>= 2.13-28)
Priority: optional
Section: net
Filename: pool/main/t/tcpspy/tcpspy_1.7d-4_armhf.deb
Size: 37034
SHA256: 266d23eeed0c2c662112c51d9ae784e09c88369c4b65162a99699d7c65fb7379
SHA1: 0aec334dc5738c5d2bfe6193056df7da858f9439
MD5sum: 5578265707e4b693e23d84a4cf7fbcdd
Description: Incoming and Outgoing TCP/IP connections logger
 tcpspy is an administrator's tool that logs information
 about incoming and outgoing TCP/IP connections. It's
 written in C and uses no libpcap functions, unlike tcpdump.
 .
 Connections are selected for logging with rules, similarly
 to the filter expressions accepted by tcpdump. The
 following information is logged: username, local address
 and port, remote address and port, and, optionally, the
 executable filename.
 .
 At present, only the IPv4 protocol is supported.
 .
 The current URL for this project is
 http://the.wiretapped.net/security/network-monitoring/tcpspy/

Package: tcpstat
Version: 1.5-7
Architecture: armhf
Maintainer: Rafael Cunha de Almeida 
Installed-Size: 95
Depends: libc6 (>= 2.13-28), libdb5.1, libpcap0.8 (>= 0.9.8)
Recommends: tcpdump
Homepage: http://www.frenchfries.net/paul/tcpstat/
Priority: extra
Section: net
Filename: pool/main/t/tcpstat/tcpstat_1.5-7_armhf.deb
Size: 35944
SHA256: 8980f128ced4b8631103212db2602c9e901e4c97d60a7b3e6c98760185436d09
SHA1: 2cd0339bced272172b856b11f6f0552ec148c114
MD5sum: eac67a2b04fdcd3dd7a66c807353317c
Description: network interface statistics reporting tool
 tcpstat reports certain network interface statistics much like vmstat
 does for system statistics. tcpstat gets its information by either
 monitoring a specific interface, or by reading previously saved tcpdump
 data from a file.

Package: tcptrace
Version: 6.6.7-4.1
Architecture: armhf
Maintainer: Noah Meyerhans 
Installed-Size: 356
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcap0.8 (>= 0.9.8)
Recommends: tcpdump, xplot-xplot.org
Homepage: http://www.tcptrace.org/
Priority: optional
Section: net
Filename: pool/main/t/tcptrace/tcptrace_6.6.7-4.1_armhf.deb
Size: 165286
SHA256: 987069bb4e513f8594a5eaa96df7f46d3762b500643a7ebb4db56aa6b6cb9b6a
SHA1: 19d2c8145777ec3760d4aa01159dfb4238c2a61e
MD5sum: c09116e72fcc898810aeb566ca76e4a4
Description: Tool for analyzing tcpdump output
 Tcptrace is a tool for analyzing and reporting on tcpdump (or other
 libpcap) dump files.  It can summarize the data or generate graph
 data for use with the gnuplot tool from the gnuplot package.
 Graph data can be created for throughput, RTT, time sequences,
 segment size, and cwin.

Package: tcptraceroute
Version: 1.5beta7+debian-4
Architecture: armhf
Maintainer: Giuseppe Iuculano 
Installed-Size: 94
Depends: libc6 (>= 2.13-28), libnet1 (>= 1.1.2.1), libpcap0.8 (>= 0.9.8)
Homepage: http://michael.toren.net/code/tcptraceroute/
Priority: optional
Section: net
Filename: pool/main/t/tcptraceroute/tcptraceroute_1.5beta7+debian-4_armhf.deb
Size: 31662
SHA256: 94243d4393ec439fe8156a4cc02c3ee9c1364e3c8261051b2b3357f5915c52ff
SHA1: 9e41c7fadaa39e79c07c799cbacf9a718e3935d0
MD5sum: 968d24338d50475df14be81be8246292
Description: traceroute implementation using TCP packets
 The more traditional traceroute(8) sends out either UDP or ICMP ECHO packets
 with a TTL of one, and increments the TTL until the destination has been
 reached. By printing the gateways that generate ICMP time exceeded messages
 along the way, it is able to determine the path packets are taking to reach the
 destination.
 .
 The problem is that with the widespread use of firewalls on the modern
 Internet, many of the packets that traceroute(8) sends out end up being
 filtered, making it impossible to completely trace the path to the destination.
 However, in many cases, these firewalls will permit inbound TCP packets to
 specific ports that hosts sitting behind the firewall are listening for
 connections on. By sending out TCP SYN packets instead of UDP or ICMP ECHO
 packets, tcptraceroute is able to bypass the most common firewall filters.

Package: tcptrack
Version: 1.4.2-1
Architecture: armhf
Maintainer: Chow Loong Jin 
Installed-Size: 97
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libpcap0.8 (>= 0.9.8), libstdc++6 (>= 4.6), libtinfo5
Homepage: http://www.rhythm.cx/~steve/devel/tcptrack/
Priority: optional
Section: net
Filename: pool/main/t/tcptrack/tcptrack_1.4.2-1_armhf.deb
Size: 33876
SHA256: 3ee68c67cac5eb5ecefbe0590b15fde7378126a9c16493b3045359742c389fb0
SHA1: 3e0f8e6a9675da512da77ef9104c2a498b704944
MD5sum: 08682d70c0c4b4941041dba23a77331a
Description: TCP connection tracker, with states and speeds
 tcptrack is a sniffer which displays information about TCP connections
 it sees on a network interface. It passively watches for connections
 on the network interface, keeps track of their state and displays a
 list of connections in a manner similar to the unix 'top' command. It
 displays  source and destination addresses and ports, connection
 state, idle time, and bandwidth usage.

Package: tcputils
Version: 0.6.2-9
Architecture: armhf
Maintainer: Joel Rosdahl 
Installed-Size: 77
Depends: libc6 (>= 2.4)
Priority: optional
Section: net
Filename: pool/main/t/tcputils/tcputils_0.6.2-9_armhf.deb
Size: 19072
SHA256: 8fbcd9b9ce69fc0096445aa8683659e54955dd7e1f59cb75cca446614565b296
SHA1: 0cad2f5f7d01ffe76edf5248ee64cb4bb079079a
MD5sum: 4c078c60414c2f35a56bc0fa50d61d56
Description: Utilities for TCP programming in shell-scripts
 This is a collection of programs to facilitate TCP programming
 in shell-scripts.  There is also a small library which makes it
 somewhat easier to create TCP/IP sockets.
 .
 The programs included in this release are:
 .
     mini-inetd  - small TCP/IP connection dispatcher
     tcpbug      - TCP/IP connection bugging device
     tcpconnect  - general TCP/IP client
     tcplisten   - general TCP/IP server
     getpeername - get name of connected TCP/IP peer

Package: tcpwatch-httpproxy
Version: 1.3b-3
Installed-Size: 100
Maintainer: Toni Mueller 
Architecture: all
Depends: python, python-tk
Recommends: funkload
Size: 17310
SHA256: c58b278302f94ddeea4deb3dc9698a7cd75a65b0e14ad9db02bdac29af8b3052
SHA1: a3eadf144fcc1a84037f5b35e7ec28a915ace764
MD5sum: ad2bad5b98d092e477d3fbf6902a7ca7
Description: TCP monitoring and logging tool with support for HTTP 1.1
 This program allows recording of HTTP sessions by being used as
 a proxy server. It writes out the requests you send to a file.
 Its recommended usage is as a plugin to funkload.
 .
Tag: role::plugin, works-with::network-traffic
Section: net
Priority: extra
Filename: pool/main/t/tcpwatch-httpproxy/tcpwatch-httpproxy_1.3b-3_all.deb

Package: tcpxtract
Version: 1.0.1-8
Architecture: armhf
Maintainer: Nico Golde 
Installed-Size: 65
Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8)
Homepage: http://tcpxtract.sf.net
Priority: optional
Section: net
Filename: pool/main/t/tcpxtract/tcpxtract_1.0.1-8_armhf.deb
Size: 15110
SHA256: 67e8f9efca45bf639f551cf4bbdb5580e8f4da1429759f3e9028676b74faba91
SHA1: 2bd4c7b3241168596aafb22962c76dc3b48c4925
MD5sum: 643fab34ad0dfb43811a500c595f8f1b
Description: extracts files from network traffic based on file signatures
 tcpxtract is a fast console tool to extract files from network traffic
 based on file headers and footers (so called carving).
 26 file formats are supported out of the box by tcpxtract but new formats
 can be added without problems.
 Foremost configurations are simple to convert to tcpxtracts configuration
 files.
 .
 It uses libpcap and it can be used with tcpdump files.

Package: tcs
Version: 1-11
Architecture: armhf
Maintainer: Frederic Peters 
Installed-Size: 296
Depends: libc6 (>= 2.4)
Priority: optional
Section: text
Filename: pool/main/t/tcs/tcs_1-11_armhf.deb
Size: 137320
SHA256: 93cde3b866da2cc57de16d8ca3c211f01eabd7b882c25bdb137359f99f095877
SHA1: c7663b0226bb58d181177ee30fe6345d014883d3
MD5sum: 0fe21f3dc148bbb3fb2d2f7d64d45b7b
Description: character set translator
 tcs translates character sets from one encoding to another.
 .
 Supported encodings include utf (ISO utf-8), ascii, ISO 8859-[123456789],
 koi8, jis-kanji, ujis, ms-kanji, jis, gb, big5, unicode, tis, msdos, and
 atari.

Package: tcsh
Version: 6.18.01-2+rpi1
Architecture: armhf
Maintainer: Thomas Lange 
Installed-Size: 1312
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libtinfo5
Provides: c-shell
Homepage: http://www.tcsh.org/
Priority: optional
Section: shells
Filename: pool/main/t/tcsh/tcsh_6.18.01-2+rpi1_armhf.deb
Size: 554586
SHA256: ef78b94378b21c7c23bde63be39ae5d242f7161ef1773b6e0e215e548f433c7b
SHA1: c625443a2b8d556020a23dac2846bf2f6a508980
MD5sum: fa7cfe63111cced98ce1fa1ffddfd5ea
Description: TENEX C Shell, an enhanced version of Berkeley csh
 The TENEX C Shell is an enhanced version of the Berkeley Unix C shell.
 It includes all features of 4.4BSD C shell, plus a command-line editor,
 programmable word completion, spelling correction and more.

Package: td2planet
Version: 0.2.0-2
Installed-Size: 160
Maintainer: Yukiharu YABUKI 
Architecture: all
Depends: ruby1.8
Recommends: tdiary-theme
Size: 13120
SHA256: 59eb64a07148d2af1e6a8e6693f9d06e5d9a8ad436f35b2fee5bb50159d8a3a5
SHA1: e2812e5c8538b461e00d846ec6879bdbfb96fe43
MD5sum: 0c8fa0314f03cdb2407568923b18584a
Description: Ruby-based server-side blog aggregator
 This package is a server-side blog aggregator (commonly called a
 'planet') which is well-suited for aggregating tDiary-based blogs.
 See /usr/share/doc/td2planet/README.
Homepage: http://rubyforge.org/projects/td2planet/
Tag: implemented-in::ruby, interface::web, network::client, protocol::http,
 role::program, use::browsing, web::application,
 works-with-format::xml:rss
Section: web
Priority: extra
Filename: pool/main/t/td2planet/td2planet_0.2.0-2_all.deb

Package: tdb-tools
Source: tdb
Version: 1.2.10-2
Architecture: armhf
Maintainer: Jelmer Vernooij 
Installed-Size: 82
Depends: libc6 (>= 2.13-28), libtdb1 (>= 1.2.9)
Homepage: http://tdb.samba.org/
Priority: optional
Section: utils
Filename: pool/main/t/tdb/tdb-tools_1.2.10-2_armhf.deb
Size: 25940
SHA256: 5e4247a50f361c6edefeec270f72f131b8f234e97945b1f179c00e26a6894836
SHA1: caa709116b6de6b38515708e444be4f7acf2ad5c
MD5sum: 5d3b5ae2e8d5f7e11e6479a9af4785b4
Description: Trivial Database - bundled binaries
 This is a simple database API. It is modelled after the structure
 of GDBM. TDB features, unlike GDBM, multiple writers support with
 appropriate locking and transactions.
 .
 This package contains bundled test and utility binaries

Package: tdc
Version: 1.2-1
Architecture: armhf
Maintainer: Michael Lustfield 
Installed-Size: 56
Depends: libc6 (>= 2.13-28), libx11-6, libxft2 (>> 2.1.1), bsdmainutils
Homepage: https://launchpad.net/tdc
Priority: optional
Section: x11
Filename: pool/main/t/tdc/tdc_1.2-1_armhf.deb
Size: 12360
SHA256: 5b3497b4ddb81d125a0a8728eec69d7d4eb3b3e8e9b0ec7aa220b972ca84b143
SHA1: 7cd2b999dad2b43f98558260b7326ce5e66d299e
MD5sum: ccfa6987610528f343f22d8c28f83a3a
Description: Tiny Dockable Clock (tdc) is a simple and tiny dockable clock
 Tiny Dockable Clock (tdc) is a simple and tiny dockable clock. It is a very
 simple tool especially helpful for those that are using openbox. It
 can use various colors, sizes, formats, etc. It can accept any POSIX
 date format.
 .
 Window managers that are reported to work well with tdc:
  - FVWM
  - OpenBox
  - Enlightenment
  - ion3

Package: tdfsb
Version: 0.0.10-1.1
Architecture: armhf
Maintainer: Aurélien GÉRÔME 
Installed-Size: 121
Depends: freeglut3, libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libsmpeg0, libx11-6, libxext6, libxi6, libxmu6
Homepage: http://www.determinate.net/webdata/seg/tdfsb.html
Priority: optional
Section: games
Filename: pool/main/t/tdfsb/tdfsb_0.0.10-1.1_armhf.deb
Size: 45782
SHA256: 381fa6d94b2a78927ec86260445fae84bfe78f494e8e28f714095a93c58c8bf5
SHA1: dd8a872cf7cc41e1a329533a0c8c595dfddea8eb
MD5sum: 112421f5fedbac5337cb8d3d12c30094
Description: 3D filesystem browser
 TDFSB reads directory information and displays it as a 3D
 world. Changing into another directory is possible by simply walking
 into the assigned sphere. It also reads images and text files,
 and displays their contents.

Package: tdiary
Version: 3.1.3-3
Installed-Size: 646
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-uconv, rdtool, ruby-debian, ruby-json, ruby-redcarpet
Recommends: tdiary-theme (= 3.1.3-3), tdiary-plugin (= 3.1.3-3), httpd
Suggests: tdiary-mode, tdiary-contrib (>= 3.1), tdiary-contrib (<< 3.2), httpd-cgi
Conflicts: tdiary-theme (<< 3.1.3-1)
Breaks: tdiary-theme (<< 3.1.3-1)
Size: 184740
SHA256: 3e61fc041f15e1c494ef1fde29e82ab3fa15f63886d4e88120d1950de0dc0610
SHA1: 4493d6f3b6806e34f86bc7233f62bd7415e95518
MD5sum: ddfcaaa370bb6a7a8546c89075e9fe5d
Description: Communication-friendly weblog system
 tDiary is a weblog system, which makes your weblog communication-friendly for
 the writer (you) and readers. It consists of Ruby scripts for CGI (Common
 Gateway Interface). The followings are the main features:
 .
  * Comments: readers can post comments to each entry like message boards.
  * Links: Each entry can show referers from which readers come so that you
    can see others write about the entry.
  * Trackback: tDiary can send and receive trackbacks.
  * Theme: You can easily change the design called `theme' of your weblog.
    Many themes are included in `tdiary-theme' package.
  * Plugin: You can add many functionalities called `plugins' to tDiary. Many
    plugins are included in `tdiary-plugin' package.
  * Pages for Handhelds: tDiary is able to serve especially small and
    terse documents for PDAs and mobile phones.
  * Writing Style: HTML is not required to write entries. Plain text is
    converted to HTML by tDiary. The converting grammars are called `styles'.
    Many styles are available.
Homepage: http://www.tdiary.org/
Tag: implemented-in::ruby, interface::web, role::program, web::blog
Section: web
Priority: optional
Filename: pool/main/t/tdiary/tdiary_3.1.3-3_all.deb

Package: tdiary-contrib
Version: 3.1.20120506-3
Installed-Size: 1404
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, tdiary (>= 3.1), tdiary (<< 3.2), curl
Suggests: libestraier-ruby1.8, hyperestraier
Size: 382960
SHA256: 5ed2b9eada74dbaf1c8b0366079f111836ae0f68389fb47ba26e92012932eecf
SHA1: 1ddada37574a40834811c1094ea753124f52d9c2
MD5sum: 86c07be89886a767607046ddfa451b61
Description: Plugins of tDiary to add functionalities
 This package includes optional utilities and plugins for tDiary. They are
 valuable but not so useful for general users. Some can not be completely
 internationalized.
Homepage: http://www.tdiary.org/
Tag: implemented-in::ruby, role::plugin, web::blog
Section: web
Priority: extra
Filename: pool/main/t/tdiary-contrib/tdiary-contrib_3.1.20120506-3_all.deb

Package: tdiary-mode
Source: tdiary-contrib
Version: 3.1.20120506-3
Installed-Size: 100
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: emacs23 | emacsen, apel, psgml
Suggests: tdiary
Size: 15146
SHA256: 35c135c3dae132a57b9ab90a7fa592966fc0ca7c99c374d30f6cdaee23c88a13
SHA1: 351c67ef2b98033b1f4dd582e6a103768d8c158c
MD5sum: f7de7e87604ec30fc297fa1757784c2e
Description: tDiary editing mode for Emacsen
 This package provides Emacs major mode to edit and post entries to tDiary.
 You can edit/post your article to tDiary easily using this package.
Homepage: http://www.tdiary.org/
Tag: implemented-in::lisp, role::plugin, suite::emacs, use::editing,
 web::blog
Section: web
Priority: extra
Filename: pool/main/t/tdiary-contrib/tdiary-mode_3.1.20120506-3_all.deb

Package: tdiary-plugin
Source: tdiary
Version: 3.1.3-3
Installed-Size: 800
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, tdiary (= 3.1.3-3), ruby-nora, ruby-imagesize
Conflicts: tdiary (<< 3.1.3-1)
Breaks: tdiary (<< 3.1.3-1)
Size: 257836
SHA256: 18271e1f4c772ddee49d3cbec67a6ed7cb61da4e66a8d34a1404f175ceb994d5
SHA1: 3a8ecd4bf93b1c4edff3f26aa5aa15fea9b143f9
MD5sum: e220f43e9b28012bcd46098fae7b5ac1
Description: Plugins of tDiary to add functionalities
 This package includes a lot of plugins that add functionalities to tDiary; for
 instance there are calendar, categories, footnotes, images, RSS (RDF Site
 Summary), search form, title lists, TODOs, what's new and so on.
Homepage: http://www.tdiary.org/
Tag: implemented-in::ruby, role::plugin, web::blog
Section: web
Priority: optional
Filename: pool/main/t/tdiary/tdiary-plugin_3.1.3-3_all.deb

Package: tdiary-theme
Source: tdiary
Version: 3.1.3-3
Installed-Size: 7656
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Suggests: tdiary
Conflicts: tdiary (<< 3.1.3-1)
Breaks: tdiary (<< 3.1.3-1)
Size: 3866232
SHA256: 949d7a770f697ee49685c60d5c32112b5dc3f8ae9e6f0d4f59eb1799e294083c
SHA1: ece30e6b2efd0d1e26ccb50a9c463d0eeeec0213
MD5sum: daa117d10e66f9dcba4f0ecb0c44153f
Description: Themes of tDiary to change the design
 This package includes a lot of Cascading Style Sheets, which change the design
 of tDiary. You can choose a theme at the configuration page.
Homepage: http://www.tdiary.org/
Tag: role::app-data, web::blog
Section: web
Priority: optional
Filename: pool/main/t/tdiary/tdiary-theme_3.1.3-3_all.deb

Package: tdl
Version: 1.5.2-3.1
Architecture: armhf
Maintainer: Pedro Zorzenon Neto 
Installed-Size: 97
Depends: libc6 (>= 2.7), libreadline6 (>= 6.0)
Homepage: http://www.rc0.org.uk/tdl/
Priority: extra
Section: utils
Filename: pool/main/t/tdl/tdl_1.5.2-3.1_armhf.deb
Size: 37984
SHA256: a12ec9591c44100952a190c57fe89f7a7a0e8f4d5091661b5958988c07a42669
SHA1: ea20146429191a590a6d9c856631bed530b30e4d
MD5sum: ce7afe73518696d1c5673f3e4985c82d
Description: To-do list manager
 tdl is a lightweight program for managing a 'to-do' list of pending
 jobs that you have. It is command line driven.
 .
 You can see a screenshot at tdl homepage.

Package: tdom
Version: 0.8.3~20080525-3+nmu2
Architecture: armhf
Maintainer: Tcl/Tk Debian Packagers 
Installed-Size: 545
Depends: tcl (>= 8.5.0-1) | tclsh, libc6 (>= 2.13-28), libexpat1 (>= 2.0.1)
Homepage: http://www.tdom.org
Priority: optional
Section: libs
Filename: pool/main/t/tdom/tdom_0.8.3~20080525-3+nmu2_armhf.deb
Size: 248584
SHA256: 8be80dbbf3d4927bc5fef53f5b37f6f6536f6dddb4ee7c9a3267b8353ae6ce7b
SHA1: 00168296dcbf38e4eac80672d4653d11c6ab3192
MD5sum: a742225a29b1f3f5d8197d0fee4c1413
Description: A fast XML/DOM/XPath/XSLT extension for Tcl written in C
 tDOM takes advantage of Expat, the XML parser from James Clark,
 including namesspace and DTD support. It includes a modified version
 of Tclexpat, the Tcl interface to Expat, and comes with a (partial)
 DOM-I and DOM-II implementation in C for maximum performance and minimum
 memory need. A very complete, compliant and fast XPath implementation
 is provided.  A fast XSLT implementation in C completes the feature
 set. Documentation is provided in TMML, HTML and nroff formats.

Package: tdom-dev
Source: tdom
Version: 0.8.3~20080525-3+nmu2
Architecture: armhf
Maintainer: Tcl/Tk Debian Packagers 
Installed-Size: 105
Depends: tdom (= 0.8.3~20080525-3+nmu2)
Homepage: http://www.tdom.org
Priority: optional
Section: libdevel
Filename: pool/main/t/tdom/tdom-dev_0.8.3~20080525-3+nmu2_armhf.deb
Size: 40670
SHA256: b6c964707fe2206fdd1de62fe4f159c3c28fce1bb499796e5aa5e695f31d1eb1
SHA1: 971a99aadcb778a953cc5b1c7aab2ee9be3b3717
MD5sum: 136163e8d63d55a6af7636f0d939690d
Description: A fast XML/DOM/XPath/XSLT extension for Tcl written in C - development files
 This package contains the header files and development libraries for
 tDOM, a fast XML/DOM/XPath/XSLT extension for Tcl. tDOM takes
 advantage of Expat, the XML parser from James Clark. It comes with a
 (partial) DOM-I and DOM-II implementation in C for maximum performance
 and minimum memory need. A very complete, compliant and fast XPath
 implementation is provided.  A fast XSLT implementation in C
 completes the feature set.

Package: tdsodbc
Source: freetds
Version: 0.91-2+deb7u1
Architecture: armhf
Maintainer: Steve Langasek 
Installed-Size: 427
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnutls26 (>= 2.12.17-0), odbcinst1debian2 (>= 2.2.11-3), debconf (>= 0.5) | debconf-2.0, freetds-common
Recommends: libodbc1
Conflicts: freetds0, libct0, unixodbc (<< 2.1.1-2)
Breaks: libiodbc2 (<< 3.52.7-2+deb7u1), odbcinst1debian2 (<< 2.2.14p2-3), unixodbc (<< 2.2.14p2-3)
Replaces: freetds0, libct0, libct1
Multi-Arch: same
Homepage: http://www.freetds.org/
Priority: optional
Section: libs
Filename: pool/main/f/freetds/tdsodbc_0.91-2+deb7u1_armhf.deb
Size: 217816
SHA256: 1d3c5ec6d37498dd415920d3387d0758821eafa2a09e683119a7a53f7f1ecc9d
SHA1: 885ee4803ee328e24bdfc3339b755a5ae6ac42e0
MD5sum: 401afc6b77206035d9d704d7fbf68a46
Description: ODBC driver for connecting to MS SQL and Sybase SQL servers
 FreeTDS is an implementation of the Tabular DataStream protocol, used for
 connecting to MS SQL and Sybase servers over TCP/IP.
 .
 This package includes the ODBC driver for FreeTDS, for use with
 UnixODBC or iODBC.

Package: tea
Version: 33.1.0-1
Architecture: armhf
Maintainer: Tobias Quathamer 
Installed-Size: 1102
Depends: libaspell15 (>= 0.60.7~20110707), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhunspell-1.3-0, libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), tea-data (= 33.1.0-1)
Recommends: bzip2, antiword, aspell, hunspell
Homepage: http://tea-editor.sourceforge.net/
Priority: optional
Section: editors
Filename: pool/main/t/tea/tea_33.1.0-1_armhf.deb
Size: 505428
SHA256: d22a77b67c91eacb2ede5f262dd290cb8da971cf9f3fafa89cf594d783740ecf
SHA1: e74f1f33c1cd42f10af89459a43d1165e9faf860
MD5sum: 5f54c7dbb33fdd9201137dce8fe8909e
Description: text editor with syntax highlighting & UTF support
 TEA provides you hundreds of functions. Want some tea?
 .
 TEA features are:
  * Spell checker (using aspell and hunspell)
  * Tabbed layout engine
  * Support for multiple encodings
  * Syntax highlighting
  * Code snippets and templates support
  * Wikipedia, DocBook, LaTeX, and Lout editing support
  * Reading of OpenDocument Text, SWX (old OpenOffice.org format),
    KWord, AbiWord, DOCX, Scribus, RTF, FB2
  * Hotkeys customizations
  * "Open at cursor"-function for HTML-files and images
  * Miscalleneous HTML tools
  * Preview in external browsers
  * String-handling functions such as sorting, reverse, format killing,
    trimming, filtering, conversions etc.
  * Bookmarks
  * Drag'n'drop support (with text files and pictures)
  * Built-in image viewer (PNG, JPEG, GIF, WBMP, BMP, SVG)

Package: tea-data
Source: tea
Version: 33.1.0-1
Installed-Size: 201
Maintainer: Tobias Quathamer 
Architecture: all
Recommends: tea
Size: 63142
SHA256: e989ef5eb81c14a96bbe3415f8979d6236c0cf1dc07eceabf03ca815b4971a5e
SHA1: 67a92138678b2e038b289c51835d195010f73404
MD5sum: 3d99fc5e16e220cec239f2c83558fd49
Description: text editor with syntax highlighting & UTF support (data files)
 TEA provides you hundreds of functions. Want some tea?
 .
 This package contains static data for the tea package. You can safely remove
 it when removing tea.
Homepage: http://tea-editor.sourceforge.net/
Tag: made-of::html, role::app-data
Section: editors
Priority: optional
Filename: pool/main/t/tea/tea-data_33.1.0-1_all.deb

Package: tecnoballz
Version: 0.92-5
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 423
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmikmod2 (>= 3.1.10), libogg0 (>= 1.0rc3), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libsmpeg0, libstdc++6 (>= 4.4.0), libtinyxml2.6.2, libvorbisfile3 (>= 1.1.2), tecnoballz-data
Homepage: http://linux.tlk.fr/games/TecnoballZ/
Priority: optional
Section: games
Filename: pool/main/t/tecnoballz/tecnoballz_0.92-5_armhf.deb
Size: 126196
SHA256: b756da71d638c21f125a9adce0bf426f3785aab402c8eb89a96c4be597a38e0f
SHA1: fdcf46d7f8145cbec7c3a396b888891aba470bc0
MD5sum: 57607867d035f0dabda8b1b9d40d26f9
Description: breaking block game ported from the Amiga platform
 This is a Breakout or Arkanoid like game with a lot of bonus
 stages. You can buy weapons and bonus between stages.
 Sometimes you have to defeat a guardian.
 This game is written in C++ and uses the SDL library.

Package: tecnoballz-data
Source: tecnoballz
Version: 0.92-5
Installed-Size: 2752
Maintainer: Debian Games Team 
Architecture: all
Size: 1545428
SHA256: 9e72690cceed34ad455deaf9f2d59cc1d564e6e1b3838569704586df730cb3ba
SHA1: c5528d014946032976622aedff2e18018ee61ba7
MD5sum: 4a1046468afd8c206076c436df70fca1
Description: graphic, sound and music files for the game tecnoballz
 This is a Breakout or Arkanoid like game with a lot of bonus
 stages. You can buy weapons and bonus between stages.
 Sometimes you have to defeat a guardian.
 .
 This package provides all graphics, sound, music, and text data files.
Homepage: http://linux.tlk.fr/games/TecnoballZ/
Tag: game::arcade, role::app-data, uitoolkit::sdl, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/t/tecnoballz/tecnoballz-data_0.92-5_all.deb

Package: tedia2sql
Version: 1.2.12-3
Installed-Size: 304
Maintainer: Leo Costela 
Architecture: all
Depends: perl, libxml-dom-perl
Suggests: dia | dia-gnome
Size: 77826
SHA256: 57aac6201edc87d2175d989074c72f1a0a5266c86002a357f669d2013b476e3e
SHA1: fd73ae87ef250071463084a3daa63beaec3b6b68
MD5sum: 72fb3fc76b394220bd5b8fa46101001e
Description: Converts a Dia diagram to various SQL dialects
 tedia2sql reads a Dia diagram file (in plain or gzipped format) and
 writes to a file containing SQL commands responsible for creating
 Tables, Views, Indexes and Constraints. It's capable of writing SQL for
 the following database engines:
  * MySQL (MyISAM)
  * MySQL (InnoDB)
  * MS-SQL
  * Sybase
  * Postgres
  * Oracle
  * DB/2
  * Informix
  * Ingress
  * SAS
Homepage: http://tedia2sql.tigris.org/
Tag: devel::lang:sql, implemented-in::perl, interface::commandline,
 role::program, scope::utility, use::converting, works-with::db,
 works-with::image, works-with::image:vector
Section: graphics
Priority: optional
Filename: pool/main/t/tedia2sql/tedia2sql_1.2.12-3_all.deb

Package: teem-apps
Source: teem
Version: 1.11.0~svn5226-1
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 208
Depends: libteem2 (= 1.11.0~svn5226-1), libbz2-1.0, libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4)
Homepage: http://teem.sourceforge.net/
Priority: optional
Section: libdevel
Filename: pool/main/t/teem/teem-apps_1.11.0~svn5226-1_armhf.deb
Size: 86824
SHA256: 683eb5ddfeab6486556990086dbb5080e514ce4c6386585ed6f6e748e7dd99eb
SHA1: 74a4c401bc2f0dd848d17cace55b1d53210ec354
MD5sum: 54044f387fc0bc0ef4358230a486a234
Description: Tools to process and visualize scientific data and images - command line tools
 Teem is a coordinated group of libraries for representing, processing, and
 visualizing scientific raster data. Teem includes command-line tools that
 permit the library functions to be quickly applied to files and streams,
 without having to write any code. The most important and useful libraries in
 Teem are:
 .
  * Nrrd (and the unu command-line tool on top of it) supports a range of
    operations for transforming N-dimensional raster data (resample, crop,
    slice, project, histogram, etc.), as  well as the NRRD file format for
    storing arrays and their meta-information.
  * Gage: fast convolution-based measurements at arbitrary point locations in
    volume datasets (scalar, vector, tensor, etc.)
  * Mite: a multi-threaded ray-casting volume render with transfer functions
    based on any quantity Gage can measure
  * Ten: for estimating, processing, and visualizing diffusion tensor fields,
    including fiber tractography methods.
 .
 This package contains some simple command-line tools which provide fast and
 easy access to the functionality in the various libraries.

Package: teeworlds
Version: 0.6.1+dfsg-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 687
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), libwavpack1 (>= 4.40.0), zlib1g (>= 1:1.2.0), teeworlds-data (= 0.6.1+dfsg-1)
Homepage: http://www.teeworlds.com/
Priority: optional
Section: games
Filename: pool/main/t/teeworlds/teeworlds_0.6.1+dfsg-1_armhf.deb
Size: 328990
SHA256: 2cf1ea0e33f0ac00ac23123a98f9368ba8273b4ae404322b29b177911ed36b57
SHA1: 262026faa0b80aa6c31ee51a8b5f4413dcf489e5
MD5sum: 13dd56a38660a0c6ccf308a1c777fa58
Description: online multi-player platform 2D shooter
 This package contains the client binary for Teeworlds.
 .
 The game features cartoon-themed graphics and physics, and relies heavily
 on classic shooter weaponry and gameplay.
 The controls are heavily inspired by the FPS genre of computer games.

Package: teeworlds-data
Source: teeworlds
Version: 0.6.1+dfsg-1
Installed-Size: 7704
Maintainer: Debian Games Team 
Architecture: all
Depends: ttf-dejavu-core
Suggests: teeworlds-server, teeworlds
Size: 6436572
SHA256: 13a6b29a9433cf28fa9c1138fdb26f682a11dfc042d51b9163fd358b55e5537d
SHA1: e82477d01c7efc5b300bb58f42cb41621742bb0e
MD5sum: a790b8ee82ff8c07cc5b406cdb6d4965
Description: data for Teeworlds; an online multi-player platform 2D shooter
 This package contains the static data for Teeworlds.
 .
 The game features cartoon-themed graphics and physics, and relies heavily
 on classic shooter weaponry and gameplay.
 The controls are heavily inspired by the FPS genre of computer games.
Homepage: http://www.teeworlds.com/
Tag: game::arcade, made-of::audio, made-of::font, role::app-data,
 use::gameplaying
Section: games
Priority: optional
Filename: pool/main/t/teeworlds/teeworlds-data_0.6.1+dfsg-1_all.deb

Package: teeworlds-server
Source: teeworlds
Version: 0.6.1+dfsg-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 259
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.2.0), teeworlds-data (= 0.6.1+dfsg-1)
Homepage: http://www.teeworlds.com/
Priority: optional
Section: games
Filename: pool/main/t/teeworlds/teeworlds-server_0.6.1+dfsg-1_armhf.deb
Size: 118058
SHA256: 63a11393361073667f067ba8efb2dcccb25da2bf905669a4de2627863fcd78be
SHA1: 6dab87dfd141695bb74068f7fd0617eb8751e0ec
MD5sum: 6e323e4885812defc87959ea54cbcbac
Description: server for Teeworlds; an online multi-player platform 2D shooter
 This package contains the server binary for Teeworlds.
 .
 The game features cartoon-themed graphics and physics, and relies heavily
 on classic shooter weaponry and gameplay.
 The controls are heavily inspired by the FPS genre of computer games.

Package: teg
Version: 0.11.2+debian-3
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 3064
Depends: gconf-service, libc6 (>= 2.13-28), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgtk2.0-0 (>= 2.8.0), libreadline6 (>= 6.0), libxml2 (>= 2.7.4), gconf2 (>= 2.28.1-2)
Recommends: yelp
Homepage: http://teg.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/t/teg/teg_0.11.2+debian-3_armhf.deb
Size: 2447234
SHA256: 715a6e97455a3be6d2527a9933d0e9716d2e122102ac95f4f3a310130a17413e
SHA1: 39de50e1e1ace6cdc73c013149be8df1f2bedf92
MD5sum: e98d24eb95c641179ccb6ceabc2122d8
Description: Turn based strategy game
 Tenes Empanadas Graciela is a clone of Plan Tactico y Estrategico
 de la Guerra, based on Risk.
 .
 Teg is a multiplayer game (it can be played across the internet)
 and it comes with a server, a GNOME client and a robot.
 It has support for IPv6, too.

Package: tegaki-recognize
Version: 0.3.1.2-1
Installed-Size: 116
Maintainer: LI Daobing 
Architecture: all
Depends: python, python-gtk2, python-tegaki-gtk (>= 0.3.1)
Suggests: tegaki-zinnia-simplified-chinese, tegaki-zinnia-japanese
Size: 13342
SHA256: fbb140a06a714431d7f7983ac7027a78cd77550a447519e511013f58c5b5242b
SHA1: e58b122a3286403266bcdbe0b3e3a5d6b764302a
MD5sum: c3333d7f5d2e5569d2e361989cb58003
Description: handwriting recognition application
 Tegaki is an ongoing project which aims to develop a free and open-source
 modern implementation of handwriting recognition software, that is suitable
 for both the desktop and mobile devices, and that is designed from the ground
 up to work well with Chinese and Japanese.
 .
 This package provides a standalone handwriting recognition application.
 .
 You also need install tegaki-zinnia-simplified-chinese and/or
 tegaki-zinnia-japanese.
Homepage: http://tegaki.sourceforge.net/
Tag: accessibility::input, implemented-in::python, role::program
Section: utils
Priority: optional
Filename: pool/main/t/tegaki-recognize/tegaki-recognize_0.3.1.2-1_all.deb

Package: tegaki-train
Version: 0.3.1-1
Installed-Size: 116
Maintainer: LI Daobing 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-tegaki (>= 0.3), python-tegaki-gtk (>= 0.3)
Size: 11716
SHA256: 08aca3dafbda3eb6e1fac424adeeceebe2353d1b2f2bb5cdbae9c624ad4232fd
SHA1: d047d72e40ca8e92b28ef0ca1eba5454fedd9bb0
MD5sum: a639dfbdc658b081f33c9e128f3b1a61
Description: train tegaki with your own handwriting
 Tegaki is an ongoing project which aims to develop a free and open-source
 modern implementation of handwriting recognition software, that is suitable
 for both the desktop and mobile devices, and that is designed from the ground
 up to work well with Chinese and Japanese.
 .
 This package provide a program to train tegaki with your own handwriting.
Homepage: http://www.tegaki.org/
Tag: accessibility::input, implemented-in::python, role::program
Section: python
Priority: optional
Filename: pool/main/t/tegaki-train/tegaki-train_0.3.1-1_all.deb

Package: tegaki-zinnia-japanese
Version: 0.3-1
Installed-Size: 25544
Maintainer: LI Daobing 
Architecture: all
Size: 17263894
SHA256: 0fab69a58e93543f4837a799abcb8975005915051d3ea40f00a0bf5a80d8da59
SHA1: 5a9e0cca6fa549ff5f7170db12e4a5a5cf11d888
MD5sum: 293d030f51fbcdef1607ad141141d6c7
Description: Japanese handwriting model for Zinnia
 Zinnia is a simple, customizable and portable online hand recognition
 system based on Support Vector Machines. Zinnia simply receives user pen
 strokes as a sequence of coordinate data and outputs n-best characters
 sorted by SVM confidence. To keep portability, Zinnia doesn't have any
 rendering functionality. In addition to recognition, Zinnia provides
 training module that allows us to create any hand-written recognition
 systems with low-cost.
 .
 This package provide a data model for Zinnia. With this model, Zinnia can
 recognize Japanese character.
 .
 This data model is derived from tomoe project: http://tomoe.sourceforge.jp
Homepage: http://tegaki.sourceforge.net/
Tag: role::app-data
Section: localization
Priority: optional
Filename: pool/main/t/tegaki-zinnia-japanese/tegaki-zinnia-japanese_0.3-1_all.deb

Package: tegaki-zinnia-simplified-chinese
Version: 0.3-1
Installed-Size: 26292
Maintainer: LI Daobing 
Architecture: all
Size: 17793432
SHA256: 42b436c54956ab01883c8912fa47bd5088ee366376f5beb4130e2b9d84e09bd8
SHA1: dd585a8d9fdde7183a2ed8ed631660e5ebd68b0e
MD5sum: 973ad800f5ce70d62c26d51afb1acc26
Description: Simplified Chinese handwriting model for Zinnia
 Zinnia is a simple, customizable and portable online hand recognition
 system based on Support Vector Machines. Zinnia simply receives user pen
 strokes as a sequence of coordinate data and outputs n-best characters
 sorted by SVM confidence. To keep portability, Zinnia doesn't have any
 rendering functionality. In addition to recognition, Zinnia provides
 training module that allows us to create any hand-written recognition
 systems with low-cost.
 .
 This package provide a data model for Zinnia. With this model, Zinnia can
 recognize Simplified Chinese character.
 .
 This data model is derived from tomoe project: http://tomoe.sourceforge.jp
Homepage: http://tegaki.sourceforge.net/
Tag: role::app-data
Section: localization
Priority: optional
Filename: pool/main/t/tegaki-zinnia-simplified-chinese/tegaki-zinnia-simplified-chinese_0.3-1_all.deb

Package: tekka
Source: sushi
Version: 1.4.0+dfsg-1
Installed-Size: 1646
Maintainer: Devid Antonio Filoni 
Architecture: all
Depends: python, python-support (>= 0.90.0), maki, python-dbus, python-gobject, python-gtk2, python-xdg
Recommends: sushi-plugins (= 1.4.0+dfsg-1)
Size: 237176
SHA256: 26f3f3b07a6e9c5a84d6aaa8478b248388a6194970983e63b33a7095c5e90c5b
SHA1: c380119ba2e69ec6c7d031b829e18e60369ee1dc
MD5sum: b9f55b0efc654aa30fb8764746fccea9
Description: D-Bus-based IRC suite (graphical client)
 The sushi IRC suite consists of a central daemon and several clients, which
 communicate via DBus. DBus methods and signals are provided by the daemon to
 abstract the IRC protocol. Clients can use these methods and signals to
 easily interact with IRC.
 .
 tekka is a GTK-driven client for maki and is written in Python. It uses Glade
 to describe the interface, supports plugins and tries to stick to the GNOME
 Human Interface (HIG) guidelines.
Homepage: http://redmine.ikkoku.de/projects/sushi/wiki
Tag: interface::x11, role::program, uitoolkit::gtk, use::chatting,
 x11::application
Section: net
Priority: extra
Filename: pool/main/s/sushi/tekka_1.4.0+dfsg-1_all.deb

Package: telak
Version: 0.6-1
Architecture: armhf
Maintainer: Julien Danjou 
Installed-Size: 64
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libfreetype6 (>= 2.2.1), libgcrypt11 (>= 1.4.5), libimlib2, libx11-6, libxext6, zlib1g (>= 1:1.1.4)
Priority: optional
Section: x11
Filename: pool/main/t/telak/telak_0.6-1_armhf.deb
Size: 13116
SHA256: 9bc3c91c5b5970a703e77ccc8c14ad73a98b154f20cb66be7a0c1507a34a7c40
SHA1: b131c97d2374d8a9cc3e71904961fc2ff5798e19
MD5sum: ff00a1aa24189dc8778b5a0496c6dbc9
Description: display remote or local pictures on your desktop
 This is a small tool to draw local or remote pictures on your root window.
 This is very useful if you want to have webcam, graphs or something like
 this drawn above your wallpaper.

Package: telegnome
Version: 0.1.1-5
Architecture: armhf
Maintainer: Colin Watson 
Installed-Size: 450
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgnome2-0 (>= 2.17.3), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.12.0)
Homepage: http://telegnome.sourceforge.net/
Priority: optional
Section: gnome
Filename: pool/main/t/telegnome/telegnome_0.1.1-5_armhf.deb
Size: 127932
SHA256: 31ed490b236d51dec3f7e7e51deddde8345dec959296960ea3ad910cecb26175
SHA1: 36ae922161b2684206e74559f7ccfb84cfe9eef3
MD5sum: c3b6949ed6d8f2d60955b8727f24c264
Description: graphical teletext viewer
 TeleGNOME is a program to display teletext pages over the internet. As of
 this moment, the teletext feeds from the Netherlands, Hungary, Denmark,
 Finland, Turkey, Armenia, the Czech Republic, and the United Kingdom are
 known to work.
 .
 The program is kept quite simple, but it is very useful, hopefully. Never
 load up that large slow web browser to view the teletext pages, just use
 this nifty little piece of software.

Package: telepathy-butterfly
Version: 0.5.15-2.1
Installed-Size: 488
Maintainer: Debian Telepathy maintainers 
Architecture: all
Provides: telepathy-connection-manager
Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-dbus, python-gobject, python-telepathy (>= 0.15.19), python-papyon (>= 0.5.3)
Recommends: python-libproxy (>= 0.3.1)
Breaks: empathy (<< 2.30)
Size: 81516
SHA256: 211c3c4b1345939fa5da5496f1594a57338d93762dd9bda02f77b86c45526366
SHA1: 753d50e696e43317adcf7f1ce745b75ed06fe888
MD5sum: 7008a1897164f9462d5e386bd3f88d3b
Description: MSN connection manager for Telepathy
 MSN connection manager for telepathy that handles presence, personal
 messages, conversations, avatars, and groups.
Tag: implemented-in::python, interface::daemon, network::client,
 protocol::msn-messenger, role::program, use::chatting, works-with::im
Section: net
Priority: optional
Filename: pool/main/t/telepathy-butterfly/telepathy-butterfly_0.5.15-2.1_all.deb

Package: telepathy-gabble
Version: 0.16.7-0+deb7u1
Architecture: armhf
Maintainer: Debian Telepathy maintainers 
Installed-Size: 1755
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), libdbus-glib-1-2 (>= 0.88), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0), libnice10 (>= 0.1.0), libsoup2.4-1 (>= 2.4.0), libsqlite3-0 (>= 3.5.9), libtelepathy-glib0 (>= 0.18.0), libxml2 (>= 2.7.4)
Breaks: telepathy-mission-control-5 (<< 1:5.5)
Provides: telepathy-connection-manager
Homepage: http://telepathy.freedesktop.org/wiki/
Priority: optional
Section: net
Filename: pool/main/t/telepathy-gabble/telepathy-gabble_0.16.7-0+deb7u1_armhf.deb
Size: 759890
SHA256: 934ccad078e2a5d16bd657ea4160cda30437f7389dde02a31a829493feae674d
SHA1: 2a4b281d2bf580c9839afaa2f2afe3d20e733509
MD5sum: 0f1655cb012fd226df20666eee034337
Description: Jabber/XMPP connection manager
 Gabble is a Jabber/XMPP connection manager for the Telepathy framework,
 currently supporting single user chats, multi user chats and voice/video
 calls. Install this package to use Telepathy instant messaging clients
 with Jabber/XMPP servers, including Google Talk and Facebook Chat.

Package: telepathy-gabble-dbg
Source: telepathy-gabble
Version: 0.16.7-0+deb7u1
Architecture: armhf
Maintainer: Debian Telepathy maintainers 
Installed-Size: 1813
Depends: telepathy-gabble (= 0.16.7-0+deb7u1)
Homepage: http://telepathy.freedesktop.org/wiki/
Priority: extra
Section: debug
Filename: pool/main/t/telepathy-gabble/telepathy-gabble-dbg_0.16.7-0+deb7u1_armhf.deb
Size: 1428128
SHA256: 6f702ffdac5310d3385a8bc22d01ed00c40e80866354443add8ca05970671d4d
SHA1: 71e50b7d6576de3994649820905b2ce9c0908840
MD5sum: 383d0ff41393f8cf614cbd2a48babcc6
Description: Jabber/XMPP connection manager (debug symbols)
 Gabble is a Jabber/XMPP connection manager for the Telepathy framework.
 This package contains debug symbols necessary to debug crashes in Gabble.

Package: telepathy-haze
Version: 0.6.0-1
Architecture: armhf
Maintainer: Debian Telepathy maintainers 
Installed-Size: 268
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libglib2.0-0 (>= 2.24.0), libpurple0 (>= 2.7.0), libtelepathy-glib0 (>= 0.15.1)
Provides: telepathy-connection-manager
Priority: optional
Section: net
Filename: pool/main/t/telepathy-haze/telepathy-haze_0.6.0-1_armhf.deb
Size: 136966
SHA256: 11840de48b88c6947453f113f8ba7c485a5d3ce6fbcf122222e49be973b3f96a
SHA1: ba6520cf49c2fe0b09ada1567dddc89c36e5e134
MD5sum: 1f6c2cdaa8403d4384e862b1f395d44e
Description: Telepathy connection manager that uses libpurple
 Haze is a Telepathy connection manager based on libpurple.
 This allows Telepathy based applications to connect to all protocols
 supported by libpurple (pidgin).
 .
 Libpurple is supporting many different protocols, including:
 AIM, Windows Live (MSN), Yahoo! Gadu-Gadu, Groupwise and ICQ.

Package: telepathy-idle
Version: 0.1.11-2+deb7u1
Architecture: armhf
Maintainer: Debian Telepathy maintainers 
Installed-Size: 228
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libglib2.0-0 (>= 2.28.0), libssl1.0.0 (>= 1.0.0), libtelepathy-glib0 (>= 0.15.9)
Provides: telepathy-connection-manager
Homepage: http://sourceforge.net/projects/telepathy-idle
Priority: optional
Section: net
Filename: pool/main/t/telepathy-idle/telepathy-idle_0.1.11-2+deb7u1_armhf.deb
Size: 110856
SHA256: 4416d0763fc85783494df57f89ff970c4f28a5e760b31d89c63f5431536aa2a4
SHA1: a9b4e3ad50a0adf5311f7cae0f801b187e816b6c
MD5sum: 5222897e090fdf3119b9e870938d9de4
Description: IRC connection manager for Telepathy
 A full-featured IRC connection manager for the Telepathy project.

Package: telepathy-inspector
Version: 0.5.3-2
Architecture: armhf
Maintainer: Debian Telepathy maintainers 
Installed-Size: 443
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libtelepathy-glib0 (>= 0.11.13), libxml2 (>= 2.6.27)
Homepage: http://telepathy.freedesktop.org/wiki/TelepathyInspector
Priority: optional
Section: devel
Filename: pool/main/t/telepathy-inspector/telepathy-inspector_0.5.3-2_armhf.deb
Size: 136936
SHA256: fd6a710fdbd2f90c3db27acdbea07fafd3c9440850caeafa31e01d7f37bba004
SHA1: 30001d49c725cffdda4b475d2f93e4ad9777586c
MD5sum: 9786f82fcc4fe44e6d6b7199b9db363f
Description: debugging tool for Telepathy developers
 Telepathy Inspector is a Gtk+ client for Telepathy whose objective is to
 expose all interfaces and functionalities implemented by a given
 connection manager along with its connections, channels, etc.
 .
 The idea is to enable the user (likely to be a Telepathy developer) to
 easily view and access all methods and interfaces of all Telepathy
 objects (CMs, connections, etc), which could not be easily achieved
 using a regular Telepathy client since it would (and should!) hide all
 Telepathy logic behind a pleasant, usability oriented, GUI.

Package: telepathy-logger
Version: 0.4.0-1
Architecture: armhf
Maintainer: Debian Telepathy maintainers 
Installed-Size: 135
Depends: libtelepathy-logger2 (= 0.4.0-1), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.25.11), libsqlite3-0 (>= 3.5.9), libtelepathy-glib0 (>= 0.18), libxml2 (>= 2.6.27), dconf-gsettings-backend | gsettings-backend
Homepage: http://telepathy.freedesktop.org/wiki/
Priority: optional
Section: utils
Filename: pool/main/t/telepathy-logger/telepathy-logger_0.4.0-1_armhf.deb
Size: 73924
SHA256: f105bf03c314950020e147147e7b282d271cf8318e7045748e9d16cc37e4abb0
SHA1: 3a089efabd7488394c52aa48f443ce9d9ea78bf5
MD5sum: ab2aa993cd84dcf57c4e0bc3c41d619e
Description: Telepathy logger service - Daemon
 This package contains the Telepathy Logger daemon.
 .
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for
 applications.

Package: telepathy-mission-control-5
Version: 1:5.12.3-1
Architecture: armhf
Maintainer: Debian Telepathy maintainers 
Installed-Size: 560
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libglib2.0-0 (>= 2.30.0), libgnome-keyring0 (>= 3.2.2-2~), libmission-control-plugins0 (= 1:5.12.3-1), libnm-glib4 (>= 0.7.999), libtelepathy-glib0 (>= 0.17.5), libupower-glib1 (>= 0.9.11), dconf-gsettings-backend | gsettings-backend
Suggests: telepathy-haze
Conflicts: telepathy-mission-control
Homepage: http://telepathy.freedesktop.org/wiki/
Priority: optional
Section: net
Filename: pool/main/t/telepathy-mission-control-5/telepathy-mission-control-5_5.12.3-1_armhf.deb
Size: 292728
SHA256: 442aeecc03388a76655595e3876f5e494a09758daf4984fc804916f213ebfa8b
SHA1: 38afc564a92261f206527112374a9ed4d33fc210
MD5sum: 0bf3d43af9ad57adace3755e1d9d409d
Description: management daemon for Telepathy real-time communication framework
 Telepathy Mission Control 5 is an account manager and channel dispatcher for
 the Telepathy framework, allowing user interfaces and other clients
 to share connections to real-time communication services without conflicting.
 It implements the AccountManager and ChannelDispatcher D-Bus APIs as described
 by telepathy-spec.
 .
 The account manager part stores real time communication account details,
 connects to the stored accounts on request, and sets the accounts' presence,
 nickname and avatar according to requests from Telepathy user interfaces and
 other components.
 .
 The channel dispatcher part responds to incoming communication channels
 (message streams, voice/video calls, file transfers etc.) by dispatching
 them to suitable user interfaces, and requests outgoing communication
 channels according to requests from a Telepathy UI.
 .
 This is not a compatible replacement for Mission Control 4 (in the
 telepathy-mission-control package), but they can be installed in parallel.

Package: telepathy-mission-control-5-dbg
Source: telepathy-mission-control-5
Version: 1:5.12.3-1
Architecture: armhf
Maintainer: Debian Telepathy maintainers 
Installed-Size: 554
Depends: telepathy-mission-control-5 (= 1:5.12.3-1)
Homepage: http://telepathy.freedesktop.org/wiki/
Priority: extra
Section: debug
Filename: pool/main/t/telepathy-mission-control-5/telepathy-mission-control-5-dbg_5.12.3-1_armhf.deb
Size: 388784
SHA256: b505c3ad61f09defdb3beadff3c84667978a781f2e26ff6f69f296d7c0e9da82
SHA1: 5e863cf2e7d858fa5c267be0d4fad8d9b810f78f
MD5sum: c98847ec377f499d33046ac324de313d
Description: management daemon for Telepathy (debug symbols)
 Telepathy Mission Control 5 is an account manager and channel dispatcher for
 the Telepathy framework. This package contains debug symbols which can be
 used to debug Mission Control.

Package: telepathy-rakia
Version: 0.7.4-1
Architecture: armhf
Maintainer: Debian Telepathy maintainers 
Installed-Size: 350
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.30.0), libsofia-sip-ua-glib3 (>= 1.12.10), libsofia-sip-ua0 (>= 1.12.11), libtelepathy-glib0 (>= 0.17.7)
Breaks: telepathy-sofiasip (<< 0.7.3)
Replaces: telepathy-sofiasip (<< 0.7.3)
Provides: telepathy-connection-manager, telepathy-sofiasip
Homepage: http://telepathy.freedesktop.org/
Priority: optional
Section: net
Filename: pool/main/t/telepathy-rakia/telepathy-rakia_0.7.4-1_armhf.deb
Size: 197436
SHA256: d3440c45780f509476769a8545bdfe3c3d1724ac09ff19f4b21703508f531b91
SHA1: 7e2fddace1d3cc2c88e9c5de2f24fd32b5cd2bca
MD5sum: f88c8a12b2634155321f6864f682a597
Description: SIP connection manager for the Telepathy framework
 telepathy-rakia is a SIP connection manager for the Telepathy framework
 (http://telepathy.freedesktop.org) based on the SofiaSIP-stack.

Package: telepathy-salut
Version: 0.8.1-1
Architecture: armhf
Maintainer: Debian Telepathy maintainers 
Installed-Size: 1007
Depends: libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-gobject0 (>= 0.6.22), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.1), libdbus-glib-1-2 (>= 0.88), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.28.0), libgnutls26 (>= 2.12.17-0), libsoup2.4-1 (>= 2.4.1), libsqlite3-0 (>= 3.5.9), libtelepathy-glib0 (>= 0.17.1), libuuid1 (>= 2.16), libxml2 (>= 2.7.4), avahi-daemon
Provides: telepathy-connection-manager
Homepage: http://telepathy.freedesktop.org/wiki/
Priority: optional
Section: net
Filename: pool/main/t/telepathy-salut/telepathy-salut_0.8.1-1_armhf.deb
Size: 431834
SHA256: ac45a061ec39146f3bca6fa8da4adbfa702947ae6a67a06330a10b943ef42c19
SHA1: b286f6cde4ba248bcb2c123e0a306f0cc0c4a681
MD5sum: 0bffd5a2ffa52f9c224b1265b161261f
Description: Link-local XMPP connection manager for the Telepathy framework
 Telepathy is a real time communications framework. Salut provides Link-local
 Jabber functionality for Telepathy.

Package: telepathy-sofiasip
Source: telepathy-rakia
Version: 0.7.4-1
Installed-Size: 154
Maintainer: Debian Telepathy maintainers 
Architecture: all
Depends: telepathy-rakia
Size: 131492
SHA256: e07f95dad62732400d114db991cb67ce64233f50b2099c63dece09950dfe21f0
SHA1: 07f1b0d734ec9f2aed61a47bd51bdde073cf8677
MD5sum: acc578436821201ade304a04eea4bd72
Description: Transitional package for telepathy-rakia
 This is a transitional package to ease upgrades to the telepathy-rakia
 package. It can safely be removed.
Homepage: http://telepathy.freedesktop.org/
Tag: role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/t/telepathy-rakia/telepathy-sofiasip_0.7.4-1_all.deb

Package: telepathy-specification
Source: telepathy-spec
Version: 0.26.0-1
Installed-Size: 3898
Maintainer: Debian Telepathy maintainers 
Architecture: all
Recommends: libjs-jquery
Suggests: doc-base
Enhances: libtelepathy-dev, libtelepathy-glib-doc
Size: 800362
SHA256: 8c8571e777c857471db59e0d642b000472e1db9746c0c4954b3f1f0d47b3a3f8
SHA1: 2b2898b82641c5358c8c9e5555415a48f538ba4d
MD5sum: 37e8566664fbeed678a06c42c1f271b2
Description: Telepathy D-Bus specification
 Telepathy is a D-Bus framework for unifying real time communication,
 including instant messaging, voice calls and video calls. It abstracts
 differences between protocols to provide a unified interface for
 applications.
 .
 The specification can be browsed with the devhelp documentation
 browser, or with a regular web browser.
Homepage: http://telepathy.freedesktop.org/wiki/
Tag: devel::doc, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/telepathy-spec/telepathy-specification_0.26.0-1_all.deb

Package: teleport
Version: 0.34-7
Architecture: armhf
Maintainer: Moray Allan 
Installed-Size: 78
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgpewidget1 (>= 0.88), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libsqlite0 (>= 2.8.17), libx11-6
Priority: optional
Section: x11
Filename: pool/main/t/teleport/teleport_0.34-7_armhf.deb
Size: 16124
SHA256: c40a60318c868ceb4151b2f5fa7d1c30a9d403279b4c77a33c71bbe8f3c30b45
SHA1: c73411512300a185ee528d5e2483b64bc15cd4ea
MD5sum: 9c0cc443160d8afcaa4cf05c3a5379ec
Description: moves running applications between displays
 Teleport allows some applications to be moved between X displays without
 being closed and restarted. It uses X properties to request that applications
 which support the display migration protocol move to another display.
 .
 Note that few applications or toolkits currently support the display
 migration protocol.

Package: tellico
Version: 2.3.5+dfsg.1-4
Architecture: armhf
Maintainer: Regis Boudin 
Installed-Size: 2865
Depends: tellico-data (= 2.3.5+dfsg.1-4), tellico-scripts, kde-runtime, libc6 (>= 2.13-28), libexempi3 (>= 2.2.0), libgcc1 (>= 1:4.4.0), libkabc4 (>= 4:4.3.4), libkcal4 (>= 4:4.3.4), libkcddb4 (>= 4:4.3.4), libkdecore5 (>= 4:4.7), libkdeui5 (>= 4:4.7.0), libkhtml5 (>= 4:4.7), libkio5 (>= 4:4.7), libknewstuff3-4 (>= 4:4.7), libkparts4 (>= 4:4.7), libkresources4 (>= 4:4.3.4), libksane0 (>= 4:4.3.4), libkxmlrpcclient4 (>= 4:4.3.4), libnepomuk4 (>= 4:4.7), libpoppler-qt4-3 (>= 0.18), libqimageblitz4 (>= 1:0.0.4), libqjson0 (>= 0.7.1), libqt4-dbus (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libsolid4 (>= 4:4.7), libstdc++6 (>= 4.6), libtag1c2a (>= 1.5), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), libyaz4 (>= 4.0.1)
Recommends: khelpcenter4
Replaces: tellico-data (<= 1.2.14-1)
Homepage: http://tellico-project.org/
Priority: optional
Section: kde
Filename: pool/main/t/tellico/tellico_2.3.5+dfsg.1-4_armhf.deb
Size: 1123568
SHA256: 6fabef0ba6172efe25b4126771536499ece54b4853806a82e65540ca68e59803
SHA1: 79690ab73d6f5d465eb21c1d859d27adcae20613
MD5sum: 85180d2ee9a5f9d59336a0a008766b75
Description: Collection manager for books, videos, music, etc
 Tellico is a collection manager for KDE. It includes default collections for
 books, bibliographies, comic books, videos, music, coins, stamps, trading
 cards, and wines.
 .
 Tellico capabilities include:
 .
   * creation of user-defined custom collections with unlimited fields,
   * automatically formatted names,
   * sorting and filtering by any field or property,
   * ISBN validation,
   * full customization for printing or display through XSLT files,
   * import and export of CSV, RIS, BibTeX and BibTeXML files,
   * import data from Amazon, IMDb, CDDB or any US-MARC compliant z39.50 server.
 .
 Tellico files are stored in XML format, avoiding the need for a database
 server, and allowing other software applications to use the data.

Package: tellico-data
Source: tellico
Version: 2.3.5+dfsg.1-4
Installed-Size: 8922
Maintainer: Regis Boudin 
Architecture: all
Replaces: tellico (<< 1.1.6-1)
Depends: kdelibs5-data
Recommends: tellico
Suggests: python
Breaks: tellico (<< 1.1.6-1)
Size: 4758942
SHA256: 70cc6e21c11bcdf926aa9b480c7199a74e9958fa814f51bceb6f4f1268e9be31
SHA1: 3d5010e82f245f00604783992a5fcae3a5b44567
MD5sum: b49af5de36c32f3239462b6fbc82a3f1
Description: Collection manager for books, videos, music, etc [data]
 Tellico is a collection manager for KDE. It includes default collections for
 books, bibliographies, comic books, videos, music, coins, stamps, trading
 cards, and wines.
 .
 Tellico capabilities include:
 .
   * creation of user-defined custom collections with unlimited fields,
   * automatically formatted names,
   * sorting and filtering by any field or property,
   * ISBN validation,
   * full customization for printing or display through XSLT files,
   * import and export of CSV, RIS, BibTeX and BibTeXML files,
   * import data from Amazon, IMDb, CDDB or any US-MARC compliant z39.50 server.
 .
 Tellico files are stored in XML format, avoiding the need for a database
 server, and allowing other software applications to use the data.
 .
 This package contains the architecture independent files, such data files and
 documentation.
Homepage: http://tellico-project.org/
Tag: role::app-data, role::documentation
Section: kde
Priority: optional
Filename: pool/main/t/tellico/tellico-data_2.3.5+dfsg.1-4_all.deb

Package: tellico-scripts
Source: tellico
Version: 2.3.5+dfsg.1-4
Installed-Size: 202
Maintainer: Regis Boudin 
Architecture: all
Replaces: tellico-data (<= 1.3.1-2)
Recommends: tellico
Suggests: python, ruby
Breaks: tellico-data (<= 1.3.1-2)
Size: 64502
SHA256: f93e83dc6aa3dbc10c56174f6413c9c89f1671e08b330e05189842a206e58929
SHA1: 7de6f9ac67b57d40ed321df9519bf3de8535ef47
MD5sum: 733a93440f08df47fb05a6dacc883cad
Description: Collection manager for books, videos, music, etc [scripts]
 Tellico is a collection manager for KDE. It includes default collections for
 books, bibliographies, comic books, videos, music, coins, stamps, trading
 cards, and wines.
 .
 Tellico capabilities include:
 .
   * creation of user-defined custom collections with unlimited fields,
   * automatically formatted names,
   * sorting and filtering by any field or property,
   * ISBN validation,
   * full customization for printing or display through XSLT files,
   * import and export of CSV, RIS, BibTeX and BibTeXML files,
   * import data from Amazon, IMDb, CDDB or any US-MARC compliant z39.50 server.
 .
 Tellico files are stored in XML format, avoiding the need for a database
 server, and allowing other software applications to use the data.
 .
 This package contains the scripts to import data from external sources, such
 as websites. As the format of the data may change, these scripts are provided
 as a separate package which can be updated through debian-volatile.
Homepage: http://tellico-project.org/
Tag: implemented-in::python, implemented-in::ruby, role::app-data,
 role::program, use::organizing, works-with::file
Section: kde
Priority: optional
Filename: pool/main/t/tellico/tellico-scripts_2.3.5+dfsg.1-4_all.deb

Package: telnet
Source: netkit-telnet
Version: 0.17-36
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 140
Depends: netbase, libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Replaces: netstd
Provides: telnet-client
Priority: standard
Section: net
Filename: pool/main/n/netkit-telnet/telnet_0.17-36_armhf.deb
Size: 65778
SHA256: d4a6a2120194c0d2605b2511a17eda6d2c38a5cc2c3b69705f25085982797f18
SHA1: fb9f48c7b47c6088e5b865096bccf43df100da14
MD5sum: bc2139f39c833b711ea4301716cf3273
Description: The telnet client
 The telnet command is used for interactive communication with another host
 using the TELNET protocol.

Package: telnet-ssl
Source: netkit-telnet-ssl
Version: 0.17.24+0.1-23
Architecture: armhf
Maintainer: Ian Beckwith 
Installed-Size: 183
Depends: libc6 (>= 2.4), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libtinfo5
Conflicts: telnet
Provides: telnet-client
Priority: extra
Section: net
Filename: pool/main/n/netkit-telnet-ssl/telnet-ssl_0.17.24+0.1-23_armhf.deb
Size: 86428
SHA256: 116b16f160ffb191402ed8b1fc8faf24864c07b82a8b5a2e8c82160127ede0d9
SHA1: f6c33bf01d3833186b467ac4ac8122e4a7a6638f
MD5sum: 990249f5eaccb8ef087a275c3a14ecec
Description: telnet client with SSL encryption support
 The telnet command is used for interactive communication with another host
 using the TELNET protocol.
 .
 SSL telnet replaces normal telnet using SSL authentication and
 encryption. It interoperates with normal telnetd in both directions.
 It checks if the other side is also talking SSL, if not it falls back
 to normal telnet protocol.
 .
 Advantages over normal telnet: Your passwords and the data you send
 will not go in cleartext over the line. Nobody can get it with
 tcpdump or similar tools. With SSLtelnet you can also connect to
 https-server like https://www.mozilla.org. Just do
 'telnet -z ssl www.mozilla.org 443'

Package: telnetd
Source: netkit-telnet
Version: 0.17-36
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 106
Depends: adduser, openbsd-inetd | inet-superserver, passwd, libc6 (>= 2.4)
Conflicts: suidmanager (<< 0.50)
Replaces: netstd
Provides: telnet-server
Priority: optional
Section: net
Filename: pool/main/n/netkit-telnet/telnetd_0.17-36_armhf.deb
Size: 41312
SHA256: fca9ded70e05ab0884b682635f74059f31d15324f9ff4329df25a0d0369df99b
SHA1: 39e02e47f71e2958eb8652a50c615ff47eae6e33
MD5sum: 0ab9431af3adc0ed610d6e063360b065
Description: The telnet server
 The in.telnetd program is a server which supports the DARPA telnet interactive
 communication protocol.

Package: telnetd-ssl
Source: netkit-telnet-ssl
Version: 0.17.24+0.1-23
Architecture: armhf
Maintainer: Ian Beckwith 
Installed-Size: 159
Depends: adduser, openbsd-inetd | inet-superserver, passwd, openssl (>= 0.9.8g-9), libc6 (>= 2.4), libssl1.0.0 (>= 1.0.0)
Conflicts: telnetd
Provides: telnet-server
Priority: extra
Section: net
Filename: pool/main/n/netkit-telnet-ssl/telnetd-ssl_0.17.24+0.1-23_armhf.deb
Size: 60238
SHA256: abc9db85fffc5db7baff29cab6949a4c6d41e69e090c1f06130686bdec9c530b
SHA1: 70c8cd0d23220b46ab3635985a56d5b91c4823b7
MD5sum: 668f300265403bb5ead454a252e041bc
Description: telnet server with SSL encryption support
 The in.telnetd program is a server which supports the DARPA telnet interactive
 communication protocol.
 .
 SSL telnetd replaces normal telnetd using SSL authentication and
 encryption. It interoperates with normal telnetd in both directions.
 It checks if the other side is also talking SSL, if not it falls back
 to normal telnet protocol.
 .
 Advantages over normal telnetd: Your passwords and the data you send
 will not go in cleartext over the line. Nobody can get it with
 tcpdump or similar tools.

Package: tempest-for-eliza
Version: 1.0.5-1
Architecture: armhf
Maintainer: Luke Faraone 
Installed-Size: 74
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.1.1)
Suggests: xvidtune
Homepage: http://www.erikyyy.de/tempest/
Priority: optional
Section: sound
Filename: pool/main/t/tempest-for-eliza/tempest-for-eliza_1.0.5-1_armhf.deb
Size: 24760
SHA256: 7f89ff61383972ff3b6989b1a6a6d83a9a03567fe5b375069b54f1a7bc189dd6
SHA1: 09707d3be9bffe2ff9bcef6db1942fb3a6fc95e6
MD5sum: 79c93968de75e157bc9026a65da5107b
Description: demostrate electromagnetic emissions from computer systems
 Tempest for Eliza uses a computer monitor to send out AM radio signals.
 This transmission can then be played as audio via a radio.
 .
 All electronic devices send out eletromagnetic waves, monitors included,
 at frequencies high enough for a short-wave AM radio.
 .
 Tempest for Eliza displays pictures on a computer screen, one for each
 note in the song.

Package: tenace
Version: 0.12-1
Architecture: armhf
Maintainer: Christoph Berg 
Installed-Size: 445
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgomp1 (>= 4.2.1), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0), gnome-cards-data, librsvg2-common
Suggests: gnome-games-extra-data
Homepage: http://www.df7cb.de/bridge/tenace/
Priority: extra
Section: games
Filename: pool/main/t/tenace/tenace_0.12-1_armhf.deb
Size: 132846
SHA256: 4bf4376f8b4f13d95d1434593c2dcca1e6efcbae4578689b6ad3ea56a9b6dd89
SHA1: 36c91705dc1d550aca857be2f64ef8d8d5209f5b
MD5sum: 9e709345a49fa5fb3bc56a5eedd902d8
Description: Bridge hand viewer and editor
 Tenace is a Bridge hand viewer and editor. Hand records are read using
 BBO's .lin format. The board editor allows to easily move cards around to
 simulate different distributions. The double dummy solver computes optimal
 lines of play and par scores.
 .
 Bridge is an intellectually challenging card game for four players.

Package: tendra-doc
Version: 4.1.2-8
Installed-Size: 2520
Maintainer: Mark Brown 
Architecture: all
Suggests: lynx | www-browser
Size: 766912
SHA256: c9f288f2b307397847eae2b4ba4158629299fcc335093e749dd1d5a2212bdb43
SHA1: 3a2a018cbeac2725a729ca9b5ffbad1e4a674259
MD5sum: caea0b366c9d63f0ee450b40a2d17604
Description: Documentation for the TenDRA C/C++ compiler
 This package contains a variety of reference material for the TenDRA
 compiler suite and the TDF/ANDF formats it works with.  Everything is
 in HTML format, with only a few images.
Tag: devel::compiler, devel::lang:c, devel::lang:c++, made-of::html,
 role::documentation
Section: doc
Priority: extra
Filename: pool/main/t/tendra-doc/tendra-doc_4.1.2-8_all.deb

Package: tenmado
Version: 0.10-1
Architecture: armhf
Maintainer: Oohara Yuuma 
Installed-Size: 414
Depends: libc6 (>= 2.13-28), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11)
Homepage: http://www.interq.or.jp/libra/oohara/tenmado/index.html
Priority: optional
Section: games
Filename: pool/main/t/tenmado/tenmado_0.10-1_armhf.deb
Size: 191814
SHA256: c1ecdc0558aada6f088c88ff901e82da653c462f7d877b6fe83de228d553abaa
SHA1: 5b5f48d04b0656c4378df8e80c70066095106246
MD5sum: 987eff28b696d5bf365238c650ced562
Description: hard-core shoot 'em up game in blue-or-red world
 tenmado is a vertically scrolling, late 1990s style (that is, a massive
 number of enemy shots against a smaller-than-it-looks spaceship) shoot 'em
 up game.  A very accurate collision detection makes it a game of
 dexterity.  If something looks like a triangle, it is a triangle, not
 a rectangle of similar size.
 .
 However, surviving is only 20% of the game.  The main feature of tenmado
 is the "color chain bonus".  You can get a very big score (about 100 times
 bigger than a normal enemy-destruction point) by destroying enemies of
 the same color successively.  It is easy or difficult depending on how
 greedy you are.

Package: tennix
Version: 1.1-2
Architecture: armhf
Maintainer: Andrea Colangelo 
Installed-Size: 2055
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpython2.7 (>= 2.7), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-net1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0)
Homepage: http://icculus.org/tennix
Priority: optional
Section: games
Filename: pool/main/t/tennix/tennix_1.1-2_armhf.deb
Size: 1915546
SHA256: edd6b1b3625b5e2e64df08b79071f11de74a55bd946105038b8377c8025eef77
SHA1: 843b1a6650b6d6cf2308630ab1182f0dd1761bda
MD5sum: 5031aa335b1c2acc58afc88a272f4d76
Description: 2D tennis game
 Tennix is a funny tennis game in 2D. You can play against the computer or
 against another player using the keyboard. The game runs in-window at 640x480
 resolution or fullscreen.
 .
 Refer to manpage tennix(6) for gameplay instructions and command-line options.

Package: tenshi
Version: 0.13-2+deb7u1
Installed-Size: 145
Maintainer: Ignace Mouzannar 
Architecture: all
Depends: perl, adduser, libio-bufferedselect-perl
Size: 34190
SHA256: 8adbbf4222414ad31b7eb9b2f255efc4b4f11ea939962f9aaf9a4643865d3193
SHA1: dc819e4cd2076fe381430bcf98d38d6bd3aa5dcc
MD5sum: 2652880edd6b32afc9bc88de9f7d313d
Description: log monitoring and reporting tool
 Tenshi is a log monitoring program, designed to watch one or more log files
 for lines matching user defined regular expressions and report on the matches.
 The regular expressions are assigned to queues which have an alert interval
 and a list of mail recipients.
 .
 Queues can be set to send a notification as soon as there is a log line
 assigned to it, or to send periodic reports.
 .
 Additionally, uninteresting fields in the log lines (such as PID numbers) can
 be masked with the standard regular expression grouping operators ( ).
 This allows cleaner and more readable reports. All reports are separated by
 hostname and all messages are condensed when possible.
 .
 The program reads a configuration file and then forks a daemon for monitoring
 the specified log files.
Homepage: http://dev.inversepath.com/trac/tenshi
Section: admin
Priority: optional
Filename: pool/main/t/tenshi/tenshi_0.13-2+deb7u1_all.deb

Package: terminal.app
Version: 0.9.4+cvs20051125-6.1
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 402
Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1)
Conflicts: terminal
Replaces: terminal
Provides: x-terminal-emulator
Homepage: http://www.nongnu.org/backbone/
Priority: optional
Section: x11
Filename: pool/main/t/terminal.app/terminal.app_0.9.4+cvs20051125-6.1_armhf.deb
Size: 111082
SHA256: f51f111763defabe8b82d78294a2a37665258ac902505edb64164f047381a0c7
SHA1: 96bf266a8b91fde84472b27ad0c4ca405c5fb1d1
MD5sum: a2423f77f9eaf56792dbe4a5b823f1d4
Description: Terminal Emulator for GNUstep
 This provides terminal emulation in a GNUstep environment. It gives
 colorized terminals with configurable fonts, and also allows users to
 configure shell utilities as GNUstep services.

Package: terminator
Version: 0.95-1
Installed-Size: 1884
Maintainer: Nicolas Valcárcel Scerpella 
Architecture: all
Provides: x-terminal-emulator
Depends: python (>= 2.5), python-support (>= 0.90.0), python-vte, python-gobject, python-gtk2 (>= 2.14.0), gconf2, libgtk2.0-bin
Recommends: xdg-utils, python-gnome2, python-keybinder
Size: 235666
SHA256: afe9ce1e5773f8047b58825de645089c1c65f3b1586488b31e48ca0de5724708
SHA1: fd4922a91728b07db237f4e6344c13429de58b1f
MD5sum: 74aede3317b911a38ebe27367ceaf49d
Description: multiple GNOME terminals in one window
 Terminator is a little project to produce an efficient way of
 filling a large area of screen space with terminals.
 .
 The user can have multiple terminals in one window and use
 key bindings to switch between them. See the manpage for
 details.
Homepage: http://www.tenshu.net/terminator/
Tag: implemented-in::python, role::program, suite::gnome, uitoolkit::gtk,
 x11::application
Section: misc
Priority: optional
Filename: pool/main/t/terminator/terminator_0.95-1_all.deb

Package: terminatorx
Version: 3.84-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 1234
Depends: ladspa-sdk, mpg321, sox, vorbis-tools, debconf (>= 0.5) | debconf-2.0, libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libaudiofile1 (>= 0.3.4), libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblrdf0, libmad0 (>= 0.15.1b-3), libstdc++6 (>= 4.6), libvorbisfile3 (>= 1.1.2), libx11-6, libxi6, libxml2 (>= 2.7.4), libxxf86dga1, zlib1g (>= 1:1.1.4)
Suggests: ladspa-plugin, yelp
Homepage: http://www.terminatorx.org/
Priority: optional
Section: sound
Filename: pool/main/t/terminatorx/terminatorx_3.84-2_armhf.deb
Size: 361148
SHA256: ae8ee828269b43eb335cd1f57bb34ff3c0813d4ee6fd4702c07ddba6f793e0b4
SHA1: d1f327c128106c1d8fe0ed74fe4e3478551ca4f1
MD5sum: a729c224ba79e7b4bf7ed9f97eb61deb
Description: realtime audio synthesizer
 terminatorX is a realtime audio synthesizer that allows you to
 "scratch" on digitally sampled audio data (*.wav, *.au, *.mp3, etc.)
 the way hiphop-DJs scratch on vinyl records. It features multiple
 turntables, realtime effects (built-in as well as LADSPA plugin
 effects), a sequencer and an easy-to-use GTK+ GUI.

Package: termit
Version: 2.9.4-2
Architecture: armhf
Maintainer: Thomas Koch 
Installed-Size: 228
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.16.0), liblua5.2-0, libpango1.0-0 (>= 1.14.0), libvte9 (>= 1:0.28.0), libx11-6
Provides: x-terminal-emulator
Homepage: https://github.com/nonstop/termit/wiki
Priority: optional
Section: x11
Filename: pool/main/t/termit/termit_2.9.4-2_armhf.deb
Size: 52988
SHA256: e5d63316576234d1742ae535cf2d029fdf7568f72a6269759623df31d23612d1
SHA1: bd2d3438cf2356dd9521a0633cc416ff9cb80566
MD5sum: 4e5a01943235f81bde6e689169173a1f
Description: Simple terminal emulator based on vte library, embedded lua
 Support features like multiple tabs, switching encodings, sessions,
 configurable keybindings, embedded Lua language and xterm-like dynamic
 window title

Package: termsaver
Version: 0.1.1-1
Installed-Size: 251
Maintainer: Bruno Braga 
Architecture: all
Depends: python (>= 2.6.6-7~)
Size: 38566
SHA256: 1921889c1ce2f8faefcf6f1e186db5d65c6b3e9a334ff27db59efb0c640fa4b2
SHA1: a589a794b7d22bb41c4df1630182d8e2c8ccdf0a
MD5sum: b778ed3c55b8d6a8c71e05694dea2417
Description: simple text-based terminal screensaver
 termsaver is a very simple project that aims at bringing
 the feel of a screensaver feature in text-based terminal
 environment.
 .
 there are many screens that can be chosen and customized
 with command-line options, and the application has been
 developed to accept future plugins to additional screens.
Homepage: http://termsaver.info
Section: misc
Priority: optional
Filename: pool/main/t/termsaver/termsaver_0.1.1-1_all.deb

Package: terraintool
Version: 1.12a-1
Installed-Size: 1828
Maintainer: Wookey 
Architecture: all
Depends: default-jre | java6-runtime, jarwrapper (>= 0.5)
Size: 325942
SHA256: 11353de196d25c0b43e7ba4d99c64f7b0b164cc1d7d2fd05770d91595b771c50
SHA1: bb8eb3a3f186d75f5e13354f06cd43356f5982f4
MD5sum: ab7be6e6fd763e878881b45d0cd49d98
Description: Generates survex format terrain models from SRTM and ASTER data
 This tool generates terrain meshes for cave survey tools from online
 datasets. It uses the NASA SRTM and European ASTER datasets. The
 relevant data is downloaded and excepted, geolocated to the desired
 co-ordinate system, resampled to a mesh grid, an offset to local grid
 added if required, the result displayed, and saved in survex (.svx) format.
 It is written in java.
Homepage: http://www.ubss.org.uk/terraintool/terraintool.php
Tag: field::geography, implemented-in::java, interface::x11, role::program,
 scope::utility, use::converting, use::filtering, works-with::3dmodel,
 x11::application
Section: science
Priority: extra
Filename: pool/main/t/terraintool/terraintool_1.12a-1_all.deb

Package: teseq
Version: 1.0.0-2.1
Architecture: armhf
Maintainer: Ryan Niebur 
Installed-Size: 130
Depends: libc6 (>= 2.13-28), dpkg (>= 1.15.4) | install-info
Homepage: http://www.gnu.org/software/teseq/
Priority: optional
Section: devel
Filename: pool/main/t/teseq/teseq_1.0.0-2.1_armhf.deb
Size: 53454
SHA256: ca1839357760aab542b4883d2a06cc3d6e88c223acbaa4060c0e6272d5ee2821
SHA1: 06ab906c18f2ea5656bab1f7fe5f18f0efe1de63
MD5sum: b7d3b7353b8c8cd0619c0d407b913134
Description: utility for rendering terminal typescripts human-readable
 GNU Teseq is a tool for analyzing files that contain control
 characters and terminal control sequences. It is intended to be
 useful for diagnosing terminal emulators, and programs that make
 heavy use of terminal features (such as those based on the Curses
 library).
 .
 It is primarily targeted at individuals who possess a basic
 understanding of terminal control sequences, especially CSI
 sequences; however, by default Teseq will try to identify and
 describe the sequences that it encounters, and the behavior they
 might produce in a terminal.

Package: tessa
Version: 0.3.1-6
Architecture: armhf
Maintainer: Josselin Mouette 
Installed-Size: 175
Depends: libc6 (>= 2.13-28), libfftw3-3, libhdf5-7, zlib1g (>= 1:1.1.4), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-tables
Priority: optional
Section: science
Filename: pool/main/t/tessa/tessa_0.3.1-6_armhf.deb
Size: 57732
SHA256: 2964fb3c51379f90966c30856c682a34ab200a182136da6ad235847af3b9b90f
SHA1: 4c70feae542d52f3665f0338cce06b3bada378f1
MD5sum: 12122806d6911d703df5a6c03438d8e0
Description: simulation of 3D optical systems with the FDTD method
 Tessa is a three-dimensional simulation software for optical systems at
 the wavelength scale, based on the finite differences time-domain method
 (FDTD). It focuses on simulating large, resonating structures, but can
 also be used to study propagating beams. It can simulate arbitrary
 dielectric and absorbing materials, and can be used on single
 workstations as well as clusters.
 .
 Tessa is believed to be terribly efficient.
 .
 URL: http://alioth.debian.org/projects/tessa/

Package: tessa-mpi
Source: tessa
Version: 0.3.1-6
Architecture: armhf
Maintainer: Josselin Mouette 
Installed-Size: 115
Depends: libc6 (>= 2.13-28), libfftw3-3, libhdf5-7, libopenmpi1.3, zlib1g (>= 1:1.1.4), tessa (= 0.3.1-6), mpi-default-bin
Priority: extra
Section: science
Filename: pool/main/t/tessa/tessa-mpi_0.3.1-6_armhf.deb
Size: 48756
SHA256: d8b6386c7cd69cdbc6644817518548c1f39744a4e5d1bed9f7018d0f3526d18f
SHA1: 951887c5bd30595ba8aadd5fa084ac6b884cd646
MD5sum: a4dd84f219faf92962d798f39718c907
Description: simulation of 3D optical systems using FDTD on MPI clusters
 Tessa is a three-dimensional simulation software for optical systems at
 the wavelength scale, based on the finite differences time-domain method
 (FDTD). It focuses on simulating large, resonating structures, but can
 also be used to study propagating beams. It can simulate arbitrary
 dielectric and absorbing materials, and can be used on single
 workstations as well as clusters.
 .
 This package is built with MPI support.
 .
 URL: http://alioth.debian.org/projects/tessa/

Package: tesseract-ocr
Source: tesseract
Version: 3.02.01-6
Architecture: armhf
Maintainer: Jeffrey Ratcliffe 
Installed-Size: 413
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), liblept3, libstdc++6 (>= 4.4.0), libtesseract3, tesseract-ocr-eng (>= 3.01~), tesseract-ocr-osd, tesseract-ocr-equ
Replaces: tesseract-ocr-data
Homepage: http://code.google.com/p/tesseract-ocr/
Priority: optional
Section: graphics
Filename: pool/main/t/tesseract/tesseract-ocr_3.02.01-6_armhf.deb
Size: 145360
SHA256: 7b4a27416f5ee7a2d9ea160aacfa65d76d6e6cea9a85a6180778b890a9397a75
SHA1: ba4278c2a73ee561d56403dad6b411cf48a2f831
MD5sum: db47fd17462f531787036922ef625a12
Description: Command line OCR tool
 The Tesseract OCR engine was one of the top 3 engines in the 1995
 UNLV Accuracy test. Between 1995 and 2006 it had little work done on
 it, but since then it has been improved extensively by Google and is
 probably one of the most accurate open source OCR engines
 available. It can read a wide variety of image formats and convert
 them to text in over 40 languages.

Package: tesseract-ocr-afr
Source: tesseract-afr
Version: 3.02-2
Installed-Size: 2604
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1081622
SHA256: de1bd675a00a17068efa682e961032fa4da5211eda888a59ee7d259e626c652b
SHA1: 27ed7b48660226f1cd930c7ad2df4fb070910d9e
MD5sum: 758d1b54bde50463de9278f558a9b855
Description: tesseract-ocr language files for Afrikaans
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-afr/tesseract-ocr-afr_3.02-2_all.deb

Package: tesseract-ocr-ara
Source: tesseract-ara
Version: 3.02-2
Installed-Size: 27900
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 6445952
SHA256: 320821a76b363da04dce18110ffaa0af9a47ccd8a75dc3001478cd923d4d0435
SHA1: d974ba91726f2556a55576b4d5f4a216b6760fd1
MD5sum: 93c1f702659826a1cbe95a42e3926df0
Description: tesseract-ocr language files for Arabic
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-ara/tesseract-ocr-ara_3.02-2_all.deb

Package: tesseract-ocr-aze
Source: tesseract-aze
Version: 3.02-2
Installed-Size: 3451
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1423386
SHA256: 930e2863e7367597ef6d6f55c8cb4a33bd92472e4b8be82147f0d6968d9aec92
SHA1: 94272cc16faef7466f0c7b6b0dfd0ca25f0a9cc7
MD5sum: b6237ebefd7eb3f7d37dbad832ebce29
Description: tesseract-ocr language files for Azerbaijani
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-aze/tesseract-ocr-aze_3.02-2_all.deb

Package: tesseract-ocr-bel
Source: tesseract-bel
Version: 3.02-2
Installed-Size: 3248
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1278940
SHA256: d0e86f672fe8ee98238b7ff5474f65bb80146a31dbef83f5e52298199f9fe721
SHA1: cbfe24084d333eba50c3f9154abd4c5dd67f92e8
MD5sum: 8b3f833ce136031e10eaebf07bd53848
Description: tesseract-ocr language files for Belarusian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-bel/tesseract-ocr-bel_3.02-2_all.deb

Package: tesseract-ocr-ben
Source: tesseract-ben
Version: 3.02-2
Installed-Size: 15296
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 6773700
SHA256: b4aabb44fc6a2d171b125e5f35f6103ec9e6900da86aa0662e7e518ef3869a16
SHA1: 0b080493357f9a263bf25e6e9f8bb763d0be3cc6
MD5sum: 58389fd28372860147d693cf93e9bd8c
Description: tesseract-ocr language files for Bengali
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-ben/tesseract-ocr-ben_3.02-2_all.deb

Package: tesseract-ocr-bul
Source: tesseract-bul
Version: 3.02-2
Installed-Size: 4005
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1607696
SHA256: 538b9f865e00f77116940bcea3ef5eb61c5fa8cdb87466858474e8f2cd8150cd
SHA1: 45afe98cdcecafd4db575eb191dfbe15492c5e26
MD5sum: 619b5f4e4e3cc9f21017beeff5399c12
Description: tesseract-ocr language files for Bulgarian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-bul/tesseract-ocr-bul_3.02-2_all.deb

Package: tesseract-ocr-cat
Source: tesseract-cat
Version: 3.02-2
Installed-Size: 4059
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1654370
SHA256: caa1bd3dfd46c6956c747d5a481a747c1bb739be5cab90b8fcc3617527c5573b
SHA1: 94dded2490c197428a31723f945069124975a74b
MD5sum: cd0a899f741d47f72270297c80f8cdeb
Description: tesseract-ocr language files for Catalan
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-cat/tesseract-ocr-cat_3.02-2_all.deb

Package: tesseract-ocr-ces
Source: tesseract-ces
Version: 3.02-2
Installed-Size: 2566
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1037526
SHA256: fa94867dd48a6123adce9c8069955957183ec2ccd0af506587075761d0a3ad73
SHA1: 4d3cb59d27bba7109d5ca4dd0bc502703bb00cd1
MD5sum: ca292244b529eec318c3512e6e239b5c
Description: tesseract-ocr language files for Czech
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-ces/tesseract-ocr-ces_3.02-2_all.deb

Package: tesseract-ocr-chi-sim
Source: tesseract-chi-sim
Version: 3.02-1
Installed-Size: 39071
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-1)
Size: 17713020
SHA256: 88711323e903f31fbb0d142d52986f6b870a08778018e5b700bbe7fe01c1fff1
SHA1: ca0bd2156e74444b95a89c0545273bcba1b24a78
MD5sum: 675511e8e2da6a489bb95eca606bbcbf
Description: tesseract-ocr language files for Simplified Chinese
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-chi-sim/tesseract-ocr-chi-sim_3.02-1_all.deb

Package: tesseract-ocr-chi-tra
Source: tesseract-chi-tra
Version: 3.02-1
Installed-Size: 53109
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-1)
Size: 24720522
SHA256: 279439214a4459f156d3a6030b7799d7c292e3701abca5415fde9dca0a45625e
SHA1: b2db5df26453d883f587d6be07a15e1de76f5670
MD5sum: 7414759f28bebbd04507cb934728b42d
Description: tesseract-ocr language files for Traditional Chinese
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-chi-tra/tesseract-ocr-chi-tra_3.02-1_all.deb

Package: tesseract-ocr-chr
Source: tesseract-chr
Version: 3.02-2
Installed-Size: 869
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 322432
SHA256: 67147bc649226b1e7e07976418a6d84020407b17841f81c9e90ac4888c6ef900
SHA1: 2d8dcac30c226bac4e265d6915fc31f55d7519bb
MD5sum: 53976061e3aa3b9d79cbb8e560e15669
Description: tesseract-ocr language files for Cherokee
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-chr/tesseract-ocr-chr_3.02-2_all.deb

Package: tesseract-ocr-dan
Source: tesseract-dan
Version: 3.02-2
Installed-Size: 4770
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1975274
SHA256: e094a9be0a89ea884b9be9183ca7179992312ede36e23bd1a2b5e82da520f7ab
SHA1: 759c210400f03b418f769e8861023a0d8191a4b7
MD5sum: e4277cc5f1838c8270dda04ac0865999
Description: tesseract-ocr language files for Danish
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-dan/tesseract-ocr-dan_3.02-2_all.deb

Package: tesseract-ocr-deu
Source: tesseract-deu
Version: 3.02-2
Installed-Size: 2415
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 993298
SHA256: afb4cf9348f2a7bf18496e2f059465e6322e18e6bfa3db17829e7e04f85321be
SHA1: da0cdbb7b9add1573e6e9b0a2c0a3ace58ad0fa4
MD5sum: 5840b03bd7ea6310f5fb0602adcd6541
Description: tesseract-ocr language files for German
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Tag: accessibility::ocr, culture::german, role::app-data
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-deu/tesseract-ocr-deu_3.02-2_all.deb

Package: tesseract-ocr-deu-frak
Source: tesseract-deu-frak
Version: 3.02-4
Installed-Size: 1966
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2), tesseract-ocr-deu-f
Breaks: tesseract-ocr (<< 3.02-4)
Size: 825158
SHA256: 94eab617e82d370baf618398757cba528e92fffd6cb15b0cc87eef85e0c24079
SHA1: bbb113b06cf93d255694c62ccfdf768b01607c2a
MD5sum: 29d682f6eb16e88af1a52a6f5cee2afb
Description: tesseract-ocr language files for German Fraktur
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-deu-frak/tesseract-ocr-deu-frak_3.02-4_all.deb

Package: tesseract-ocr-dev
Source: tesseract
Version: 3.02.01-6
Installed-Size: 35
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Depends: libtesseract-dev
Size: 11218
SHA256: bc22513ee1d93e742fd6f367f994a2fa1773cd3eb21c0c96b6e89160bac8067f
SHA1: 6b2a72966b1b967dfb7ef79c0f8de64846abb586
MD5sum: bdbb0ecd94e900374efc5c0afeb3b416
Description: transitional dummy package
 This is a transitional dummy package.
Homepage: http://code.google.com/p/tesseract-ocr/
Tag: accessibility::ocr, devel::library, role::devel-lib
Section: oldlibs
Priority: optional
Filename: pool/main/t/tesseract/tesseract-ocr-dev_3.02.01-6_all.deb

Package: tesseract-ocr-ell
Source: tesseract-ell
Version: 3.02-2
Installed-Size: 2310
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 861766
SHA256: 9418d781c6ecff45c4bbb2bb07381831aa4915e85b7dce17c8ca9ec17d5606c5
SHA1: 655ef22fe1de9129a56996f37c176e0e6dc9ca25
MD5sum: 78b2695c079739f01822c40bf602931f
Description: tesseract-ocr language files for Greek
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-ell/tesseract-ocr-ell_3.02-2_all.deb

Package: tesseract-ocr-eng
Source: tesseract-eng
Version: 3.02-2
Installed-Size: 58870
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 21997836
SHA256: 282d38451fb72b6f01de08375039e150776441cbdc4611f676d8f171f0b73f78
SHA1: e2442d37ab23df5bd0c3dbcd89df0b7720226fd5
MD5sum: 68ade883b147cf86d589701b8a5e57ec
Description: tesseract-ocr language files for English
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Tag: accessibility::ocr, role::app-data
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-eng/tesseract-ocr-eng_3.02-2_all.deb

Package: tesseract-ocr-enm
Source: tesseract-enm
Version: 3.02-2
Installed-Size: 1492
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 621370
SHA256: e4e8792ab8dd30ec6fe3af22c33142e9cdbd2db3e4f3e7807e2c8ea6ff1800a5
SHA1: 368a9a624f69bc30a2e99c1fedf3fa2468e1e829
MD5sum: fdce6de2831e5b5945ffea44c1932a66
Description: tesseract-ocr language files for Middle English
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-enm/tesseract-ocr-enm_3.02-2_all.deb

Package: tesseract-ocr-epo
Source: tesseract-epo
Version: 3.02-2
Installed-Size: 2962
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1243566
SHA256: 8e65a59cd094cd19b01838296d0d5a3159cb25baa5c5546a9342d735078fecba
SHA1: 0344e460eb5df209971aa2fb99464806d7c292a9
MD5sum: b9690702ea4fe27dd4a99d7c2f7f5e63
Description: tesseract-ocr language files for Esperanto
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-epo/tesseract-ocr-epo_3.02-2_all.deb

Package: tesseract-ocr-equ
Source: tesseract-equ
Version: 3.02-2
Installed-Size: 2233
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 823446
SHA256: 73a9a796dc574312b3221c210bd22d20e44d71da33e95fc5ed6bff061a26f0e8
SHA1: 8433ffdc110ffbc18a84d657dbc3197fbe0d44f4
MD5sum: f8959fda598299b5776f1ef5aa42cdc4
Description: tesseract-ocr language files for equations
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images of mathematics.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-equ/tesseract-ocr-equ_3.02-2_all.deb

Package: tesseract-ocr-est
Source: tesseract-est
Version: 3.02-2
Installed-Size: 4653
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1907110
SHA256: 631c3aedd9172b55c5c5ceb7dc12a49352d9aca053e4e725f8e455455c50fa3f
SHA1: bb663eb0c8634240ded879fc3da6b35dcc785387
MD5sum: a7093263d5c9359aa332c347d99a1197
Description: tesseract-ocr language files for Estonian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-est/tesseract-ocr-est_3.02-2_all.deb

Package: tesseract-ocr-eus
Source: tesseract-eus
Version: 3.02-2
Installed-Size: 3989
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1643398
SHA256: 89f452743d7444a0f9fc77746ae39548022fd8e103b14eb7f44521014b374985
SHA1: 0b62c76cde7869a2ef8cd83a84588e673cc18135
MD5sum: 71be59ae4946eb0f8981eb75ed82b88e
Description: tesseract-ocr language files for Basque
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-eus/tesseract-ocr-eus_3.02-2_all.deb

Package: tesseract-ocr-fin
Source: tesseract-fin
Version: 3.02-2
Installed-Size: 2454
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 980836
SHA256: 6328f68d79a759be0c610a55aeff485067a324bedbde250a84da2042eb3b6bf0
SHA1: 94919595915dd08683e7d5ddeee5718dc9bb80c5
MD5sum: a4d76eb0a8f394580a3b0e794f5abde1
Description: tesseract-ocr language files for Finnish
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-fin/tesseract-ocr-fin_3.02-2_all.deb

Package: tesseract-ocr-fra
Source: tesseract-fra
Version: 3.02-2
Installed-Size: 26243
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 4636858
SHA256: 7811d9f99537db26d98600284417478febbec4d91f09f632642d0c83e0e4a3f5
SHA1: 2cd5af2bef3b724f0ce0f6ed42e9cc4909688bf6
MD5sum: 18577029f005ba03a0a2c5ae6e3af337
Description: tesseract-ocr language files for French
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Tag: accessibility::ocr, culture::french, role::app-data
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-fra/tesseract-ocr-fra_3.02-2_all.deb

Package: tesseract-ocr-frk
Source: tesseract-frk
Version: 3.02-2
Installed-Size: 13361
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 5915002
SHA256: 0a056950a30b0b80c696e06070fbcaa08d35828a95b09bc91357ab089bb0c426
SHA1: c3cd57af0c5e3a8d08e2ace071754f3758e5e582
MD5sum: cad0bffe24c87f94fdd8371798e9e2cb
Description: tesseract-ocr language files for Frankish
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-frk/tesseract-ocr-frk_3.02-2_all.deb

Package: tesseract-ocr-frm
Source: tesseract-frm
Version: 3.02-2
Installed-Size: 11673
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 5148686
SHA256: 85f141a4c613ee24c820bab920d3901b857519a5ee863b5186d40e9910be4b2e
SHA1: 23fd07f259279340cac2ba81e0eaf23e27d9bcb6
MD5sum: d85191e81e4e8db1ee4b7906db64daa2
Description: tesseract-ocr language files for Middle French
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-frm/tesseract-ocr-frm_3.02-2_all.deb

Package: tesseract-ocr-glg
Source: tesseract-glg
Version: 3.02-2
Installed-Size: 4096
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1677670
SHA256: 053c6f8b4f5747f4dd8a8e0f4f121ee8950c0a8464eaacb2e0fd607aeda2535d
SHA1: a822691b739bf32f6aef357ca30d97e0591d74e1
MD5sum: c8c4d30935033d52e471164af790f372
Description: tesseract-ocr language files for Galician
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-glg/tesseract-ocr-glg_3.02-2_all.deb

Package: tesseract-ocr-heb
Source: tesseract-heb
Version: 3.02-2
Installed-Size: 2819
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1053952
SHA256: d33991b88ed7fabb37a1e4bc90279aa393d4df90090db71ebb30069bace5fa0b
SHA1: 7f081497a9b6fa4d9ff691b09c9148e068fdc2fc
MD5sum: 2c19d4190b3e4b86202f3b5f01d7ea4a
Description: tesseract-ocr language files for Hebrew
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-heb/tesseract-ocr-heb_3.02-2_all.deb

Package: tesseract-ocr-hin
Source: tesseract-hin
Version: 3.02-2
Installed-Size: 22219
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 10041454
SHA256: c42b598331d2e9c940d3d8d06fa169ffe528edc74436394cd823693f119bc073
SHA1: 7fed7b0c43896c276af4d5654340f0486ab8f8e1
MD5sum: bf7eda355e91c3f0fce0a34443eeca8b
Description: tesseract-ocr language files for Hindi
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-hin/tesseract-ocr-hin_3.02-2_all.deb

Package: tesseract-ocr-hrv
Source: tesseract-hrv
Version: 3.02-2
Installed-Size: 4757
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1929620
SHA256: d0a645f11f469b27a0ab19fe2d110139500cc3e7e0f0e43c8b3b79a5352588c9
SHA1: 969e75337a4cfa85016887209c9a581bfdb01f5a
MD5sum: 7c151ba1015c4de648e0547607588ac0
Description: tesseract-ocr language files for Croatian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-hrv/tesseract-ocr-hrv_3.02-2_all.deb

Package: tesseract-ocr-hun
Source: tesseract-hun
Version: 3.02-2
Installed-Size: 8430
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 3076872
SHA256: 7da79f6263cf3edc96dd1e2982d9f3ac10bbce1dd1b1e2abc61df76cdb41127f
SHA1: 7f10027736589ca0304ee1a661db12bc910f1814
MD5sum: 0fd9b51e2352c279be04443f458d255a
Description: tesseract-ocr language files for Hungarian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-hun/tesseract-ocr-hun_3.02-2_all.deb

Package: tesseract-ocr-ind
Source: tesseract-ind
Version: 3.02-2
Installed-Size: 4547
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1877190
SHA256: 7cee994c85d8a7bdb616876032fe1e6550c68482daafc81119c8cb41725e55e6
SHA1: 9cc736cf9753087da64f3f7ce01dad8ecd384051
MD5sum: b02b67a6e59e34ac88654d9029a53542
Description: tesseract-ocr language files for Indonesian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-ind/tesseract-ocr-ind_3.02-2_all.deb

Package: tesseract-ocr-isl
Source: tesseract-isl
Version: 3.02-2
Installed-Size: 3951
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1636206
SHA256: fc179e77dba2e23a6a7d94e3d4e0bbd61e73ffaa69a8568e9896820011ee3449
SHA1: e23eac84785fa70247650284dd52bce5eb04f7e3
MD5sum: 4171a76bd6c1b515ac83d824c63caaf2
Description: tesseract-ocr language files for Icelandic
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-isl/tesseract-ocr-isl_3.02-2_all.deb

Package: tesseract-ocr-ita
Source: tesseract-ita
Version: 3.02-2
Installed-Size: 20565
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 3688516
SHA256: 0e2403d3b85d4d855ab6e5f63e0d9d82d330b7dfe8bce2b63c756f88285edf75
SHA1: d439fe4ec7066305a7f35231af996618d48bfdb4
MD5sum: 59d701eb96a088ed7ca306d5ace63db0
Description: tesseract-ocr language files for Italian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Tag: accessibility::ocr, culture::italian, role::app-data
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-ita/tesseract-ocr-ita_3.02-2_all.deb

Package: tesseract-ocr-ita-old
Source: tesseract-ita-old
Version: 3.02-1
Installed-Size: 8181
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-1)
Size: 3438528
SHA256: d2da0ed12a00ca9e56290eb3e5fbb136838c5cb7e39c24796625a012215b1936
SHA1: ee1fc7c1a2ba8b44fbd4209142363c8ab60d3595
MD5sum: b23b775e498b9453439ab50bf8551814
Description: tesseract-ocr language files for Old Italian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-ita-old/tesseract-ocr-ita-old_3.02-1_all.deb

Package: tesseract-ocr-jpn
Source: tesseract-jpn
Version: 3.02-2
Installed-Size: 30211
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 13510512
SHA256: 5a87da9266d09992f208869b20912762e58c1d0215f73efb043e84c666084737
SHA1: 1bb8e9ccd0591a08c279ec14d825843be5da93b7
MD5sum: 7c1a396b4961ac9d23e309ccb843a435
Description: tesseract-ocr language files for Japanese
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-jpn/tesseract-ocr-jpn_3.02-2_all.deb

Package: tesseract-ocr-kan
Source: tesseract-kan
Version: 3.02-2
Installed-Size: 9520
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 4393024
SHA256: 3c993a0e1a3d4e7776ee5ec64ac7e50e1002583fe1ddf7b8e9d93a79550eba5d
SHA1: 5164e3fc12d2ffb746cd1b8ed68b67899b62af02
MD5sum: caf17f3609c6c510941f882d2636da6f
Description: tesseract-ocr language files for Kannada
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-kan/tesseract-ocr-kan_3.02-2_all.deb

Package: tesseract-ocr-kor
Source: tesseract-kor
Version: 3.02-2
Installed-Size: 13032
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 5356246
SHA256: 8b99d639dcee00164595423bfb31a99f21257ac4701213aca2b3b98138b3da09
SHA1: 384153eadc6b1aac4d6c7118db5d6c706e70182e
MD5sum: 8df1da1e1bc7a6fcc42da4c49a95b41c
Description: tesseract-ocr language files for Korean
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-kor/tesseract-ocr-kor_3.02-2_all.deb

Package: tesseract-ocr-lav
Source: tesseract-lav
Version: 3.02-2
Installed-Size: 4501
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1846870
SHA256: c3105e5f2b3da59d45d374579c17632c95d07973335b5e8b0a158bfbbf66c4bd
SHA1: 3ae3320548554a039026ca034c3e453e647aef06
MD5sum: b2385547bc9cbb694eeefce2654daecb
Description: tesseract-ocr language files for Latvian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-lav/tesseract-ocr-lav_3.02-2_all.deb

Package: tesseract-ocr-lit
Source: tesseract-lit
Version: 3.02-2
Installed-Size: 4409
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1781522
SHA256: a015d6da8596557a4d3054a95b4d9e45cbfff651e4be69889867d8c57b88208f
SHA1: e6b5447dfac8a4a2b58f4037c42dd2c27b8e3f65
MD5sum: fdc489eb886eb9d62bdea79c81db201d
Description: tesseract-ocr language files for Lithuanian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-lit/tesseract-ocr-lit_3.02-2_all.deb

Package: tesseract-ocr-mal
Source: tesseract-mal
Version: 3.02-2
Installed-Size: 12483
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 5968986
SHA256: 472f8d378c9affdfa4be37a33185b07ee8dd61ea853ad23b7c401250f854f430
SHA1: 87788644a67bb8c74d1a18b9a9f69178041fbfc1
MD5sum: 4bb911c17bcec8e842f8495ca4c4b210
Description: tesseract-ocr language files for Malayalam
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-mal/tesseract-ocr-mal_3.02-2_all.deb

Package: tesseract-ocr-mkd
Source: tesseract-mkd
Version: 3.02-2
Installed-Size: 2994
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1165612
SHA256: 23b139b0b70750674369ce16d3dd299b7f96a6f301ba5b6a23e55036caa7f1f4
SHA1: b81f88a0ffdf03c1608686c3c714bf77e335ac7c
MD5sum: 3777df4b3e1195bb30bdc03a9d4bd688
Description: tesseract-ocr language files for Macedonian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-mkd/tesseract-ocr-mkd_3.02-2_all.deb

Package: tesseract-ocr-mlt
Source: tesseract-mlt
Version: 3.02-2
Installed-Size: 3526
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1464838
SHA256: 18308b989c6ee2a20cc66a22b4921a6b8d7b552d478519302d5ee51cfe6bd31c
SHA1: 002a6a120442ddae8f3249c43c8a36ede6a6aec0
MD5sum: 12bdf2f8a95c3a2fd348b2fc15b22196
Description: tesseract-ocr language files for Maltese
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-mlt/tesseract-ocr-mlt_3.02-2_all.deb

Package: tesseract-ocr-msa
Source: tesseract-msa
Version: 3.02-2
Installed-Size: 4058
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1667924
SHA256: 284b0605d663f1965b0071fa133d8fcea5774df9e0489efcc5ad488d7fc6f35d
SHA1: 704dc642660bdf03c534e9151f4acff62f89af5a
MD5sum: a23d06e3cba9d3a2b0f3c33df3ec495a
Description: tesseract-ocr language files for Malay
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-msa/tesseract-ocr-msa_3.02-2_all.deb

Package: tesseract-ocr-nld
Source: tesseract-nld
Version: 3.02-2
Installed-Size: 2692
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1137114
SHA256: 073f8dbd10cca53d8c929b74f5ee45c395fa02cd4bff37f7922bf34de190efca
SHA1: fe4d18110785ed8c1f6a46f6a21e252699307139
MD5sum: 3d7d4d8b9703c0dc12d694d2b9345e4f
Description: tesseract-ocr language files for Dutch
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Tag: accessibility::ocr, culture::dutch, role::app-data
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-nld/tesseract-ocr-nld_3.02-2_all.deb

Package: tesseract-ocr-nor
Source: tesseract-nor
Version: 3.02-2
Installed-Size: 5253
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 2194142
SHA256: 459171cdb3931e98a3ac1695c20d5a289369e37c391040141899e673407dce01
SHA1: 3a99b94d5ac4e177360c6332a698dade8c08c52c
MD5sum: 1df82cbdd11fb925d06e6ceabfa3c5f7
Description: tesseract-ocr language files for Norwegian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-nor/tesseract-ocr-nor_3.02-2_all.deb

Package: tesseract-ocr-osd
Source: tesseract-osd
Version: 3.02-2
Installed-Size: 10349
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 4276408
SHA256: 12a20aefe4274a451f4342ef09fb8b00729079397407a4530ce96b13e1e85b8a
SHA1: b71d659b04dfed2b5a21b97ca4c3ffd03faa760f
MD5sum: 4a0a0e3cbaf3a551cd410b7fe26030c8
Description: tesseract-ocr language files for script and orientation
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for identifying script and orientation.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-osd/tesseract-ocr-osd_3.02-2_all.deb

Package: tesseract-ocr-pol
Source: tesseract-pol
Version: 3.02-2
Installed-Size: 16614
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 7026892
SHA256: 36b17f05c9b34f4e69d92e876e6a04d251d8ace1b36b7766b4382607d446c5db
SHA1: 23b2d08dc2e7cfb186f17ef717854f9ebc5ec21d
MD5sum: 815c241a1b31681df7a38efa695f9aa7
Description: tesseract-ocr language files for Polish
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-pol/tesseract-ocr-pol_3.02-2_all.deb

Package: tesseract-ocr-por
Source: tesseract-por
Version: 3.02-2
Installed-Size: 2221
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 911646
SHA256: 051b2fe6fa02e7fc2f3b51d14bf8db15b2d1bc3be0fdf88a13d147cbed60aae2
SHA1: d0f94fa049f83c30a86e643ef4b370dea0ff9485
MD5sum: ae13ba0ee9c5a95b7ac40019ffb4a032
Description: tesseract-ocr language files for Portuguese
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Tag: accessibility::ocr, culture::brazilian, culture::portuguese,
 role::app-data
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-por/tesseract-ocr-por_3.02-2_all.deb

Package: tesseract-ocr-ron
Source: tesseract-ron
Version: 3.02-2
Installed-Size: 2270
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 917562
SHA256: 96316eb0d54afa1f225251b8c779265daf886d08bd886be5e392ef77ed7d768a
SHA1: ac923284456ee3970bc5216e5c87afe4a08b8a01
MD5sum: 72fa2a075be564ecc3137866fe901a76
Description: tesseract-ocr language files for Romanain
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-ron/tesseract-ocr-ron_3.02-2_all.deb

Package: tesseract-ocr-rus
Source: tesseract-rus
Version: 3.02-2
Installed-Size: 29477
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 5529030
SHA256: 0cbf20f3d93406673850f3983d15055216e1cc98dabb1f110b0fd0261a520ffe
SHA1: 49bf92bfcb55cf137906048d3c6afae2f5ab2bea
MD5sum: e647cd261ecf3228d9d050b8770aab07
Description: tesseract-ocr language files for Russian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-rus/tesseract-ocr-rus_3.02-2_all.deb

Package: tesseract-ocr-slk
Source: tesseract-slk
Version: 3.02-2
Installed-Size: 5245
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 2219320
SHA256: fc56a7008a8e83ee2e64396886731fa74a54b1a467a96b4f58a4fe41980d876a
SHA1: 32af1ed539bb6e75dfde28f53a50e3288b0a9ff6
MD5sum: 97509d3590075501353f712257da340c
Description: tesseract-ocr language files for Slovak
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-slk/tesseract-ocr-slk_3.02-2_all.deb

Package: tesseract-ocr-slk-frak
Source: tesseract-slk-frak
Version: 3.02-2
Installed-Size: 859
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 292254
SHA256: a72be338f8c5f151fbf25dab467e793c029d4edd1107a2c04cc744cfcd115e1e
SHA1: 5569d0efe8f95a8b2e31b033cd964313b5741f8e
MD5sum: 5a9d619bb2aa3d184f462e8fc5cd0815
Description: tesseract-ocr language files for Slovak Fractur
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-slk-frak/tesseract-ocr-slk-frak_3.02-2_all.deb

Package: tesseract-ocr-slv
Source: tesseract-slv
Version: 3.02-2
Installed-Size: 3974
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1613076
SHA256: 45782a9e4fcd2b3470dce613de8d93c43246a96bf63e97794b3f6e3ab087bfef
SHA1: dcbaa1446c67aa0c5b58b4aa6169fa97a5406d3a
MD5sum: 6d2ac6b13dbc850851e46e776e4b5fe2
Description: tesseract-ocr language files for Slovenian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-slv/tesseract-ocr-slv_3.02-2_all.deb

Package: tesseract-ocr-spa
Source: tesseract-spa
Version: 3.02-2
Installed-Size: 24874
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 4123854
SHA256: 9cdb82025005059c233cfcc8c5733f4c7becc2a2833ade461167878b5c2a21b1
SHA1: c128c85d385049eecec3eb4bfad14c78218f294e
MD5sum: c7a1ea8814d881df2ba6ac31f0bd6ac3
Description: tesseract-ocr language files for Spanish
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Tag: accessibility::ocr, culture::spanish, role::app-data
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-spa/tesseract-ocr-spa_3.02-2_all.deb

Package: tesseract-ocr-spa-old
Source: tesseract-spa-old
Version: 3.02-1
Installed-Size: 12997
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-1)
Size: 5649320
SHA256: 4fb499d18207232a285bce653c05afa156be26337330c3f122b753130a2db967
SHA1: 0cbe68b16c3cd931f658341cb12e1f3da4660989
MD5sum: 18546b8b31640a53597309bf2f494c90
Description: tesseract-ocr language files for Old Spanish
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-spa-old/tesseract-ocr-spa-old_3.02-1_all.deb

Package: tesseract-ocr-sqi
Source: tesseract-sqi
Version: 3.02-2
Installed-Size: 4121
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1668946
SHA256: dda724b50e017675befc5c846f8472ec7b31ce52dbd2bf3b0ec4651080f1171b
SHA1: 69d8b6d5a9de05c9aa33d688495d10e387cd7a4d
MD5sum: 430bc8452ee0b2e7eb6836bb81e2d11f
Description: tesseract-ocr language files for Albanian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-sqi/tesseract-ocr-sqi_3.02-2_all.deb

Package: tesseract-ocr-srp
Source: tesseract-srp
Version: 3.02-2
Installed-Size: 4343
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1771972
SHA256: 1893bddba7ffa192e1a98638d52d94053fad43b1b08b76faf5b4d440190f4229
SHA1: 8149dea185165d2c65c7762211a48ef5e0176528
MD5sum: 12c1a5c20ec0544b5575e0164e4cc022
Description: tesseract-ocr language files for Serbian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-srp/tesseract-ocr-srp_3.02-2_all.deb

Package: tesseract-ocr-swa
Source: tesseract-swa
Version: 3.02-2
Installed-Size: 1852
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 760932
SHA256: 99e103428d48260e21660d73be269d2b8faaa70286f5ae3ad9660946ed5d8aad
SHA1: ebe0b4af076d8c7429921a4e90f280f3b7881d94
MD5sum: 63089b84df7587ae1feb63102bc6f289
Description: tesseract-ocr language files for Swahili
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-swa/tesseract-ocr-swa_3.02-2_all.deb

Package: tesseract-ocr-swe
Source: tesseract-swe
Version: 3.02-2
Installed-Size: 5844
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 2454068
SHA256: e6c19fcd9f63d313562c536e375e7f8ca87e8bd41d584fe19024c7b4fd5bed38
SHA1: 270334f9239c95cd37103fe1e643c104907147a3
MD5sum: 64e78f074a8ee62b26fdccccdf2df8b4
Description: tesseract-ocr language files for Swedish
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-swe/tesseract-ocr-swe_3.02-2_all.deb

Package: tesseract-ocr-tam
Source: tesseract-tam
Version: 3.02-2
Installed-Size: 7556
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 3501428
SHA256: 68327ca18b61dff287909e8b26890ca30bfb358ac66d689c05699ed4a4769cef
SHA1: 1fbc416044a124790f8ec06ff7d074180d69f1b8
MD5sum: 9cb694a27d392059817730095f57eea9
Description: tesseract-ocr language files for Tamil
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-tam/tesseract-ocr-tam_3.02-2_all.deb

Package: tesseract-ocr-tel
Source: tesseract-tel
Version: 3.02-2
Installed-Size: 12825
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 5798030
SHA256: 7a6cce71ef21442a7d522c6b4c90281d354ebb001b0df8eccb5c03fa52232ac2
SHA1: 508b039dac6d3bda12d225fe5712200cd22e6b37
MD5sum: ae0c79938d040ce5fc1eace8f7cdee17
Description: tesseract-ocr language files for Telugu
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-tel/tesseract-ocr-tel_3.02-2_all.deb

Package: tesseract-ocr-tgl
Source: tesseract-tgl
Version: 3.02-2
Installed-Size: 3672
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1498166
SHA256: 8e98dee809b1878f374542d48aaf9606c9a0d723123d3266d4a432ce4b407f7a
SHA1: 96aee42068655af82f604eda0b612fdaea0f60c3
MD5sum: 9b35328ff3b179c76b34207cb5b23eea
Description: tesseract-ocr language files for Tagalog
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-tgl/tesseract-ocr-tgl_3.02-2_all.deb

Package: tesseract-ocr-tha
Source: tesseract-tha
Version: 3.02-2
Installed-Size: 8637
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 3812996
SHA256: fb3f3db8da276a6cdd6ff12bc861792a15ae8f2e265a5bb00cc597a7cbdbf65a
SHA1: b0d81f1cde0e0ad4bb85bcc70382a4c13d7f8b06
MD5sum: 58c43754e8fde45f24cbe08d7ba219ad
Description: tesseract-ocr language files for Thai
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-tha/tesseract-ocr-tha_3.02-2_all.deb

Package: tesseract-ocr-tur
Source: tesseract-tur
Version: 3.02-2
Installed-Size: 8073
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 3565352
SHA256: eacfc5201623d6f6f853f3575f657178ad339b209785bec77d0bf604cecd6097
SHA1: bc73a39438631d79592b095fd8fe86b2a779d2ae
MD5sum: ab9e43fdd7d850cb0e07b09c51cd4de0
Description: tesseract-ocr language files for Turkish
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-tur/tesseract-ocr-tur_3.02-2_all.deb

Package: tesseract-ocr-ukr
Source: tesseract-ukr
Version: 3.02-2
Installed-Size: 2431
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 939714
SHA256: 56a3045c55859c870d9822b6d92ce1c1d9749c893f99b0397aa19a87951c1173
SHA1: af96268ec545a98d8c5f57d468f1f9bd9ceaeb28
MD5sum: 53b5059dc4de0c7da64c0797ed7916df
Description: tesseract-ocr language files for Ukranian
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-ukr/tesseract-ocr-ukr_3.02-2_all.deb

Package: tesseract-ocr-vie
Source: tesseract-vie
Version: 3.02-2
Installed-Size: 3272
Maintainer: Jeffrey Ratcliffe 
Architecture: all
Replaces: tesseract-ocr-data (<< 2)
Breaks: tesseract-ocr (<< 3.02-2)
Size: 1379206
SHA256: bedb695db05df9c0067543ae4195a8648283ccd7cbc2766c57e0f526c63f3d7f
SHA1: ed2571c03e42f39bd396e6eb9c59964957a3dce2
MD5sum: f7c99baeaff8eaf4c87c7925ce5070c2
Description: tesseract-ocr language files for Vietnamese
 A commercial quality OCR engine originally developed at HP between 1985
 and 1995. In 1995, this engine was among the top 3 evaluated by UNLV. It
 was open-sourced by HP and UNLV in 2005. This package contains the data
 needed for processing images in a particular language.
Tag: accessibility::ocr, role::app-data
Section: graphics
Priority: optional
Filename: pool/main/t/tesseract-vie/tesseract-ocr-vie_3.02-2_all.deb

Package: testdisk
Version: 6.13-1
Architecture: armhf
Maintainer: Jean-Michel Kelbert 
Installed-Size: 1012
Depends: e2fslibs (>= 1.41.0), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libncursesw5 (>= 5.6+20070908), libntfs10 (>= 2.0.0), libtinfo5, libuuid1 (>= 2.16), zlib1g (>= 1:1.1.4)
Priority: optional
Section: admin
Filename: pool/main/t/testdisk/testdisk_6.13-1_armhf.deb
Size: 513834
SHA256: a790c3d7c37ede4570cb6ad102fd682fb038858a1713190cb20b188558a25eab
SHA1: 698fe3ebd097bb2f17fa8333f8ff28d06109d81c
MD5sum: f3b7177833ab241c3a7345d8ec02da47
Description: Partition scanner and disk recovery tool
 TestDisk checks the partition and boot sectors of your disks.
 It is very useful in recovering lost partitions.
 It works with :
  * DOS/Windows FAT12, FAT16 and FAT32
  * NTFS ( Windows NT/2K/XP )
  * Linux Ext2 and Ext3
  * BeFS ( BeOS )
  * BSD disklabel ( FreeBSD/OpenBSD/NetBSD )
  * CramFS (Compressed File System)
  * HFS and HFS+, Hierarchical File System
  * JFS, IBM's Journaled File System
  * Linux Raid
  * Linux Swap (versions 1 and 2)
  * LVM and LVM2, Linux Logical Volume Manager
  * Netware NSS
  * ReiserFS 3.5 and 3.6
  * Sun Solaris i386 disklabel
  * UFS and UFS2 (Sun/BSD/...)
  * XFS, SGI's Journaled File System
  .
 PhotoRec is file data recovery software designed to recover
 lost pictures from digital camera memory or even Hard Disks.
 It has been extended to search also for non audio/video headers.
 It searches for following files and is able to undelete them:
  * Sun/NeXT audio data (.au)
  * RIFF audio/video (.avi/.wav)
  * BMP bitmap (.bmp)
  * bzip2 compressed data (.bz2)
  * Source code written in C (.c)
  * Canon Raw picture (.crw)
  * Canon catalog (.ctg)
  * FAT subdirectory
  * Microsoft Office Document (.doc)
  * Nikon dsc (.dsc)
  * HTML page (.html)
  * JPEG picture (.jpg)
  * MOV video (.mov)
  * MP3 audio (MPEG ADTS, layer III, v1) (.mp3)
  * Moving Picture Experts Group video (.mpg)
  * Minolta Raw picture (.mrw)
  * Olympus Raw Format picture (.orf)
  * Portable Document Format (.pdf)
  * Perl script (.pl)
  * Portable Network Graphics (.png)
  * Raw Fujifilm picture (.raf)
  * Contax picture (.raw)
  * Rollei picture (.rdc)
  * Rich Text Format (.rtf)
  * Shell script (.sh)
  * Tar archive (.tar )
  * Tag Image File Format (.tiff)
  * Microsoft ASF (.wma)
  * Sigma/Foveon X3 raw picture (.x3f)
  * zip archive (.zip)

Package: testdisk-dbg
Source: testdisk
Version: 6.13-1
Architecture: armhf
Maintainer: Jean-Michel Kelbert 
Installed-Size: 4080
Depends: testdisk (= 6.13-1)
Suggests: libc6-dbg, e2fslibs-dbg, libcomerr2-dbg, libjpeg-dbg, libncursesw5-dbg, libssl0.9.8-dbg, zlib1g-dbg
Priority: extra
Section: debug
Filename: pool/main/t/testdisk/testdisk-dbg_6.13-1_armhf.deb
Size: 1143688
SHA256: 7fb8b1a032c15d9c5777cc7911c3ff7e01bcc2ce53e8d2ce1e8478c0a8743ac9
SHA1: 9c24df2f71c594e29d67fca251c365aa791ccfbf
MD5sum: b4d90c6c17a5d1d0c765d5b5d40efef2
Description: Partition scanner and disk recovery tool
 TestDisk checks the partition and boot sectors of your disks.
 It is very useful in recovering lost partitions.
 .
 PhotoRec is file data recovery software designed to recover
 lost pictures from digital camera memory or even Hard Disks.
 It has been extended to search also for non audio/video headers.
 .
 This package includes the debugging symbols.

Package: testng
Version: 5.11+dfsg-3
Installed-Size: 1486
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: default-jre-headless | java2-runtime-headless, bsh, libqdox-java (>= 1.9)
Recommends: libbackport-util-concurrent-java
Suggests: ant, ant-optional, testng-doc
Size: 1119200
SHA256: e6149aa0df86fb4a21311cc66d022736e571834aacbf05af5ca9acdfbd83700a
SHA1: ad683f14f7ffb3ddc12b7aabff6bdb62502627bc
MD5sum: 32547cf6794294f67f482b61f8dacc78
Description: testing framework for Java
 TestNG is a testing framework inspired by JUnit and NUnit but
 introducing some new functionalities that make it more powerful and
 easier to use, such as:
 .
     * JDK 5 annotations
     * Flexible test configuration
     * Support for data-driven testing
     * Support for parameters
     * Distribution of tests on slave machines
     * Powerful execution model (no more TestSuite)
     * Embeds BeanShell for further flexibility
 .
 TestNG is designed to cover all categories of tests, including unit,
 functional, end-to-end and integration tests.
Homepage: http://testng.org
Tag: devel::testing-qa, implemented-in::java, role::program
Section: java
Priority: optional
Filename: pool/main/t/testng/testng_5.11+dfsg-3_all.deb

Package: testng-doc
Source: testng
Version: 5.11+dfsg-3
Installed-Size: 1930
Maintainer: Debian Java Maintainers 
Architecture: all
Suggests: testng
Size: 162486
SHA256: 6f47400b920c4161e6741ba625928675f2b8b9e174308d39831d14beb0d6be7c
SHA1: 24ec42a341b52d0e7146a82210b6f9c882b33321
MD5sum: 0025c46f3c43c7604d0ed5470aa8610b
Description: documentation for TestNG
 This package contains the API documentation and samples for TestNG.
 .
 TestNG is a testing framework inspired by JUnit and NUnit but
 introducing some new functionalities that make it more powerful and
 easier to use.
Homepage: http://testng.org
Tag: devel::doc, devel::lang:java, devel::testing-qa, made-of::html,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/testng/testng-doc_5.11+dfsg-3_all.deb

Package: testrepository
Version: 0.0.5-1.1
Installed-Size: 60
Maintainer: Robert Collins 
Architecture: all
Depends: python, python-testrepository (>= 0.0.5-1.1)
Size: 9566
SHA256: 7fc964469ac945f75e3f2ba7a4d4890b2da97c026cc563f4b7e164d614f8f2cf
SHA1: dc39721b05f58ccebe2fa55f28d4afce4a7b3718
MD5sum: 8b397fb0122d02b4995d3d14a8a00a71
Description: Test result manager
 Testrepository provides a database of test results and supports easy workflows
 to be built on top of that database. For instance, running just failing tests
 or getting the last test run back to examine again (without running the tests
 again). Testrepository is compatible with any test suite that can output
 subunit. This includes any TAP test suite and any pyunit compatible test
 suite.
 .
 The testrepository package contains the CLI front end.
Homepage: https://launchpad.net/testrepository
Python-Version: all
Tag: implemented-in::python, role::program
Section: python
Priority: optional
Filename: pool/main/t/testrepository/testrepository_0.0.5-1.1_all.deb

Package: tetex-brev
Version: 4.22.6+nmu1
Installed-Size: 94
Maintainer: Petter Reinholdtsen 
Architecture: all
Depends: dpkg (>= 1.14.18), tex-common (>= 3), texlive-latex-base, texlive-latex-recommended
Size: 16314
SHA256: 429609ec27c197257ec484f47c6e6215a966db8017e7fd47d74c5412ea999815
SHA1: 358e50572c73977097f912dda3df7bb751d2f11d
MD5sum: 5d2d7062d2d28606153755ee901aa9e8
Description: Norwegian A4 letter style for LaTeX
 A little LaTeX letter class for personal letters.  It is called ``brev''
 because that is the Norwegian name for letter, and for avoiding name
 clashes with the standard LaTeX letter class.
Tag: culture::norwegian, interface::commandline, role::plugin, use::editing,
 use::typesetting, works-with-format::tex, works-with::text
Section: tex
Priority: optional
Filename: pool/main/t/tetex-brev/tetex-brev_4.22.6+nmu1_all.deb

Package: tetex-frogg
Version: 0.4-3
Installed-Size: 164
Maintainer: Mickael Profeta 
Architecture: all
Depends: texlive-latex-base | tetex-bin
Suggests: tetex-frogg-doc
Size: 26506
SHA256: d1a4043c9e7ca7f91760a1abacf6eea0e29752e5fbd8ac065c54b161661000bd
SHA1: 931eae2f60c344ce2c323ec85a1711ba09855c7d
MD5sum: e470a01326e9aab22ed392085a5872b6
Description: Little collection of French LaTeX/BiBTeX styles
 Contains:
    - bibtex style file(s)
      fr-plain.bst to write bibliography in French documents
    - latex styles
      frmath.sty to write math in French typography
    - class lettre from "Observatoire de Geneve"
      to write and personalize letters with French presentation
Tag: culture::french, role::plugin, use::editing, use::typesetting,
 works-with-format::tex, works-with::text
Section: tex
Priority: optional
Filename: pool/main/t/tetex-frogg/tetex-frogg_0.4-3_all.deb

Package: tetex-frogg-doc
Source: tetex-frogg
Version: 0.4-3
Installed-Size: 2244
Maintainer: Mickael Profeta 
Architecture: all
Recommends: tetex-frogg
Size: 2100686
SHA256: b804daed859595edc3f059f239ff5fa44ba88d7251fe1b43d3e45879e810e3b0
SHA1: 24eac217d0381a59e1da84fba175dacd4086bf58
MD5sum: bbb10a9eeeb58674967dc19ad8e8ed34
Description: Documentation for tetex-frogg
 Contains Documentation of the class lettre provided in tetex-frogg
 This documentation includes:
    - a detailed documentation of the class
    - tex and ps examples of letters and fax
Tag: culture::french, made-of::postscript, made-of::tex, role::documentation,
 use::editing, use::typesetting, works-with-format::tex,
 works-with::text
Section: doc
Priority: optional
Filename: pool/main/t/tetex-frogg/tetex-frogg-doc_0.4-3_all.deb

Package: tetradraw
Version: 2.0.3-8.2
Architecture: armhf
Maintainer: Gerfried Fuchs 
Installed-Size: 355
Depends: libc6 (>= 2.4), libncursesw5 (>= 5.6+20070908), libtinfo5
Priority: optional
Section: editors
Filename: pool/main/t/tetradraw/tetradraw_2.0.3-8.2_armhf.deb
Size: 102768
SHA256: 421b822f63343076fed4d469036a7339b3cc9f025e4dd8468afb0d5bb59129e9
SHA1: 71c8dac11ac3df0fc9f711455f5dd6323ff5d924
MD5sum: fe1162af0c809cb1b2324f76c2f8e144
Description: ANSI drawing and viewing utility
 tetradraw is an easy to use drawing utility that gives you an ease access to
 the high characters like smileys, card signs and the well known single and
 double frame line characters but much more. Also the changing of foreground
 and background colors is not hard to do.
 .
 tetraview is the viewer for such produced images.

Package: tetraproc
Version: 0.8.2-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 183
Depends: libc6 (>= 2.13-28), libclthreads2, libclxclient3, libfftw3-3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpng12-0 (>= 1.2.13-4), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.3.0), libx11-6, libxft2 (>> 2.1.1)
Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/index.html
Priority: optional
Section: sound
Filename: pool/main/t/tetraproc/tetraproc_0.8.2-2_armhf.deb
Size: 71054
SHA256: 2bada4828e961a856878786a01173bd36b913b134e8d107f6e9a6de1960399b1
SHA1: 4376af2aa5bec8099e673114a9a5e641f6401efe
MD5sum: f6c0a0f747e5bba5123a3ec8190ff94c
Description: Tetrahedral Microphone Processor for Ambisonic Recording
 TetraProc converts the A-format signals from a tetrahedral Ambisonic
 microphone into B-format signals ready for recording. Main features:
 .
  * A-B conversion using a classic scalar matrix and minimum phase
    filters, or
  * A-B conversion using a 4 by 4 convolution matrix using measured
    or computed impulse responses, or a combination of both.
  * Individual microphone calibration facilities.
  * 24 dB/oct higpass filters.
  * Metering, monitoring and test facilities.
  * Virtual stereo mic for stereo monitoring or recording.
  * Unlimited number of stored configurations.
  * Jack client with graphical user interface.

Package: tetrinet-client
Source: tetrinet
Version: 0.11+CVS20070911-1
Architecture: armhf
Maintainer: Gerfried Fuchs 
Installed-Size: 104
Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5
Priority: optional
Section: games
Filename: pool/main/t/tetrinet/tetrinet-client_0.11+CVS20070911-1_armhf.deb
Size: 46528
SHA256: c137f993b7d2b604ea71da8df9f63c01848f3e5268446910a680bb952eeeea99
SHA1: 3e5b6493657a661423ec94446af824d4330a0408
MD5sum: e45de7acfac648bc1473c7d16ae1494a
Description: textmode client for tetrinet, a multiplayer tetris-like game
 tetrinet-client is a textmode client for the multiplayer tetris version called
 tetrinet. This client is able to play both in tetrifast and the original
 version of the game. Please notice that you need at least 50 lines to be able
 to play it.

Package: tetrinet-server
Source: tetrinet
Version: 0.11+CVS20070911-1
Architecture: armhf
Maintainer: Gerfried Fuchs 
Installed-Size: 60
Depends: libc6 (>= 2.4)
Suggests: tetrinet-client
Priority: optional
Section: games
Filename: pool/main/t/tetrinet/tetrinet-server_0.11+CVS20070911-1_armhf.deb
Size: 17080
SHA256: a80562c89b08834d458c8629b141a84843865ee74ec73b9c6b3e4195ef887dcb
SHA1: 6e8044dc11d9ee9580e0b6b398afcc10b8cd8a98
MD5sum: f7ae859bbcee1c5142acd3f40964d2df
Description: server for tetrinet, a multiplayer tetris-like game
 tetrinet-server is a small limited server binary for the multiplayer tetris
 version called tetrinet. It supports only one playfield so at most 6 players,
 but it is good enough for a fast game and can be configured throughly through
 a ~/.tetrinet file (default written on first start).

Package: tetrinetx
Version: 1.13.16-14
Architecture: armhf
Maintainer: Julien Danjou 
Installed-Size: 208
Depends: libadns1 (>= 1.4), libc6 (>= 2.13-28)
Suggests: gtetrinet
Homepage: http://tetrinetx.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/t/tetrinetx/tetrinetx_1.13.16-14_armhf.deb
Size: 69376
SHA256: 55dddaffb70f7a23eebf9633e40bd2a365ed9dedf87e4eface5e7300ee7dc625
SHA1: 5f20b3da40b550c17fdce99a2675347239725d63
MD5sum: 54380d2a12f5a7735c6d4f9b828b371c
Description: game server for Tetrinet
 Provides a server for hosting Tetrinet games. Tetrinet is a variant of
 Tetris played over the internet. Up to six people may simultaneously connect
 to a server to participate in a game.
 .
 For more information about the Tetrinet game, visit http://www.tetrinet.us/

Package: tetzle
Version: 2.0.1-1
Architecture: armhf
Maintainer: Bart Martens 
Installed-Size: 555
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libqt4-opengl (>= 4:4.7.0~beta1), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0)
Suggests: jhead
Homepage: http://gottcode.org/tetzle/
Priority: optional
Section: games
Filename: pool/main/t/tetzle/tetzle_2.0.1-1_armhf.deb
Size: 251970
SHA256: fdaf5e955819bf0618db48de952d40195d74ad74230825f3810a2b20c9f1a88c
SHA1: 707137dc201c7acbb9a68501acf252653426ffcd
MD5sum: 24cbdf8e26b433de9261329103f39d65
Description: Jigsaw puzzle game
 Any image can be imported and used to create puzzles with a wide range of
 sizes. Games are saved automatically, and you can select between currently
 in progress games.

Package: tex-common
Version: 3.15
Installed-Size: 1066
Maintainer: Debian TeX maintainers 
Architecture: all
Replaces: dvipdfmx, tetex-base (<= 3.0-10)
Depends: debconf (>= 0.5) | debconf-2.0, ucf, debconf (>= 1.4.69) | cdebconf (>= 0.39), dpkg (>= 1.14.18)
Suggests: debhelper (>= 7.0.8)
Conflicts: context (<= 2011.05.18.20110627-1), tetex-base (<< 2007), texlive-common (<< 2009)
Breaks: cm-super (<= 0.3.4-4), cm-super-minimal (<= 0.3.4-4), gregoriotex (<= 2.0-1.1), itrans (<= 5.3-10), jadetex (<= 3.13-12), ko.tex-base (<= 0.1.0+20071012-1), ko.tex-extra (<= 0.1.0+20071012-1), latex-cjk-chinese (<< 4.8.2+git20111216-2), latex-cjk-chinese-arphic-bkai00mp (<= 1.21+nmu1), latex-cjk-chinese-arphic-bsmi00lp (<= 1.21+nmu1), latex-cjk-chinese-arphic-gbsn00lp (<= 1.21+nmu1), latex-cjk-chinese-arphic-gkai00mp (<= 1.21+nmu1), latex-cjk-japanese-wadalab (<= 0.20050817-15), latex-cjk-thai (<= 4.8.2+git20111216-1), latex-fonts-sipa-arundina (<= 0.2.0-1), latex-fonts-thai-tlwg (<= 1:0.5.0-1), latex-sanskrit (<= 2.2-8), lmodern (<= 2.004.1-3.1), luatex (<< 0.70.1), musixtex (<= 1:0.115-2), scalable-cyrfonts-tex (<= 4.15), tex-gyre (<= 2.004.1-2.1), texlive-common (<< 2010), texlive-lang-arab (<< 2012), tipa (<= 2:1.3-15)
Size: 640362
SHA256: 3bebf1fb3510c74ccfe2839d77c4a820031cd1f4ecb283d23c98a43fd1718b9d
SHA1: ab6de4e406260edcc9504322f55ba05bb8f24e63
MD5sum: 227690b6ebba2d50a0eb865a03238522
Description: common infrastructure for building and installing TeX
 This package contains a number of scripts and common configuration
 files that are needed to install a TeX System.
 .
 It also contains debhelper-like programs useful for building TeX
 packages.
Tag: role::TODO, works-with-format::tex, works-with::text
Section: tex
Priority: optional
Filename: pool/main/t/tex-common/tex-common_3.15_all.deb

Package: tex-gyre
Version: 2.004.1-4
Installed-Size: 30774
Maintainer: Debian TeX maintainers 
Architecture: all
Depends: tex-common (>= 3), xfonts-utils
Pre-Depends: dpkg (>= 1.15.7.2)
Size: 16089838
SHA256: 861fdf109aef1e1a5088d1eef6d96f490b59db17ab22f93e4323be956208db17
SHA1: ffcf92ec90a3cae52748f10954c17c5d26ab2802
MD5sum: 3a799f93f842e3a463b9ebe216ee71ae
Description: scalable PostScript and OpenType fonts based on URW Fonts
 The TeX Gyre project, following the Latin Modern project, aims at providing
 a rich collection of diacritical characters in the attempt to cover as many
 Latin-based scripts as possible.
 .
 The TeX-GYRE bundle consists of seven font families:
 .
 The TeX Gyre Adventor family of fonts is based on the URW Gothic L
 family (designed by Herb Lubalin and Tom Carnase).
 .
 The TeX Gyre Bonum family of fonts is based on the URW Bookman L family
 (designed by Alexander Phemister).
 .
 The TeX Gyre Chorus font is based on URW Chancery L Medium Italic
 (designed by Hermann Zapf (ITC Zapf Chancery(R), 1979)).
 .
 The TeX Gyre Cursor family is based on the URW Nimbus Mono L family
 (designed by Howard G. (Bud) Kettler in 1955 for the IBM corporation).
 .
 The TeX Gyre Heros family of fonts is based on the URW Nimbus Sans L
 (prepared by Max Miedinger, with Eduard Hoffmann).
 .
 The TeX Gyre Pagella family of fonts is based on the URW Palladio L
 family (designed by Hermann Zapf).
 .
 The TeX Gyre Schola family of fonts is based on the URW Century
 Schoolbook L family (designed by Morris Fuller Benton).
 .
 The TeX Gyre Termes is based on the URW Nimbus Roman No9 L family
 (designed by Stanley Morison together with Starling Burgess and
 Victor Lardent).
 .
 The constituent 4 standard faces of each family have been greatly
 extended, and contain nearly 1200 glyphs each.  Each family is
 available in Adobe Type 1 and Open Type formats, and LaTeX support
 (for use with a variety of encodings) is provided.  Vietnamese
 characters were added by Han The Thanh.
Homepage: http://www.gust.org.pl/projects/e-foundry/tex-gyre/
Tag: made-of::font, role::app-data
Section: tex
Priority: optional
Filename: pool/main/t/tex-gyre/tex-gyre_2.004.1-4_all.deb

Package: tex4ht
Source: tex4ht (20090611-1.1)
Version: 20090611-1.1+b1
Architecture: armhf
Maintainer: Kapil Hari Paranjape 
Installed-Size: 504
Depends: texlive-base-bin, tex4ht-common (= 20090611-1.1), libc6 (>= 2.13-28), libkpathsea6
Homepage: http://www.cse.ohio-state.edu/~gurari/TeX4ht/
Priority: optional
Section: tex
Filename: pool/main/t/tex4ht/tex4ht_20090611-1.1+b1_armhf.deb
Size: 195800
SHA256: e1de17227e003cd03190df0527901e138828ed191e8bdf8ff4b2f53da7c3108f
SHA1: c5f8312696923017fba559834247d035dab45f16
MD5sum: e176e7fd3f7eadc5c29e124aab2d9cb0
Description: LaTeX and TeX for Hypertext (HTML) - executables
 TeX4ht is a highly configurable TeX-based authoring system for producing
 hypertext. It interacts with TeX-based applications through style files and
 postprocessors, leaving the processing of the source files to the native TeX
 compiler. Consequently, TeX4ht can handle the features of TeX-based systems
 in general, and of LaTeX in particular.
 .
 TeX4ht can be used both for authoring HTML using TeX/LaTeX input files, or
 for converting existing TeX input files (in any format) into HTML, with
 (usually) only minor modifications.  Other varieties of hypertext can also be
 produced, including XML, XHTML, MathML and the Openoffice.org format of XML.
 .
 There are a number of different ways to convert glyphs and graphics
 in the DVI files into PNG, GIF or JPEG. The default is to use dvipng.
 Alternatives using ghostscript, imagemagick, netpbm and/or pstoedit are
 also possible.
 .
 This package contains the architecture dependent executables for
 TeX4ht.

Package: tex4ht-common
Source: tex4ht
Version: 20090611-1.1
Installed-Size: 27312
Maintainer: Kapil Hari Paranjape 
Architecture: all
Replaces: tex4ht (<< 20051106.1516)
Depends: texlive-base-bin
Recommends: tex4ht, dvipng, zip
Suggests: imagemagick | netpbm, ghostscript (>= 8.62), libxml2-utils, openjdk-6-jre-headless | java5-runtime-headless
Conflicts: tex4ht (<< 20051106.1516)
Size: 2078600
SHA256: 2a7ed8bd572cc10a63dbfa756998bebf49a2dcb29900262339002bbe71fe4a33
SHA1: 574e59ed9e43e495ba21751e89ec1905c06cd3c7
MD5sum: b6c56cfc715af9eb9924ea88299f88be
Description: LaTeX and TeX for Hypertext (HTML) - support files
 TeX4ht is a highly configurable TeX-based authoring system for producing
 hypertext. It interacts with TeX-based applications through style files and
 postprocessors, leaving the processing of the source files to the native TeX
 compiler. Consequently, TeX4ht can handle the features of TeX-based systems
 in general, and of LaTeX in particular.
 .
 TeX4ht can be used both for authoring HTML using TeX/LaTeX input files, or
 for converting existing TeX input files (in any format) into HTML, with
 (usually) only minor modifications.  Other varieties of hypertext can also be
 produced, including XML, XHTML, MathML and the Openoffice.org format of XML.
 .
 There are a number of different ways to convert glyphs and graphics
 in the DVI files into PNG, GIF or JPEG. The default is to use dvipng.
 Alternatives using ghostscript, imagemagick, netpbm and/or pstoedit are
 also possible.
 .
 This package contains only the architecture independent files for
 TeX4ht. You should install the tex4ht package to use these files.
Homepage: http://www.cse.ohio-state.edu/~gurari/TeX4ht/
Tag: role::app-data, works-with-format::html, works-with-format::tex,
 works-with::text
Section: tex
Priority: optional
Filename: pool/main/t/tex4ht/tex4ht-common_20090611-1.1_all.deb

Package: texi2html
Version: 1.82+dfsg1-1
Installed-Size: 1808
Maintainer: Debian QA Group 
Architecture: all
Depends: perl, dpkg (>= 1.15.4) | install-info
Suggests: latex2html
Size: 446838
SHA256: c3a1906f43847ba84ee6a6a0f85e6298f933c41e73b52a73e8257f77ff43616c
SHA1: 574502de904325f9607e69e18a5b718365e75cd6
MD5sum: c13929c1f0795c729243c5d667e5734c
Description: Convert Texinfo files to HTML
 texi2html is a Perl script that converts Texinfo files to HTML.
 .
 The program takes Texinfo files (not info ones) and produces a set of
 HTML files.  The quality of the output is close to the printed output
 and is much better than an info->HTML gateway.
 .
 Compared to the output of `makeinfo --html', texi2html's output is
 more customizable and (subjectively) produces better output by default.
Homepage: http://www.nongnu.org/texi2html/
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility, use::converting, works-with-format::html,
 works-with-format::tex, works-with::text
Section: text
Priority: optional
Filename: pool/main/t/texi2html/texi2html_1.82+dfsg1-1_all.deb

Package: texify
Version: 1.20-2
Installed-Size: 62
Maintainer: Thorsten Alteholz 
Architecture: all
Depends: perl
Suggests: texlive-base-bin
Size: 13128
SHA256: ead4db998dfdc63677ffe56033d9eb6e3f4ef255b0936a9c32d17c54d9c0cab7
SHA1: 50a8aaab8a58038428b57e61c1676cda440b2e1f
MD5sum: a78918afe4d99f16fc50dcdb56ea9065
Description: Beautify source code for use with LaTeX
 Texify is a perl script that translates source code written in any of
 several different languages to LaTeX source files.  The purpose is to
 make source code embedded in documents more readable, by performing
 syntax highlighting.
 .
 The supported languages are ABEL, Ada, Assembly, B, BETA, Bison, C,
 C++, OMG/CORBA IDL, Java, Lex, Lisp, LOGLA, MATLAB, ML, Perl, Promela,
 Python, Scheme, SIMULA, SQL, Ruby and VHDL.
Tag: devel::prettyprint, implemented-in::perl, interface::commandline,
 role::program, scope::utility, use::converting, use::printing,
 works-with-format::tex, works-with::software:source, works-with::text
Section: utils
Priority: optional
Filename: pool/main/t/texify/texify_1.20-2_all.deb

Package: texinfo
Version: 4.13a.dfsg.1-10
Architecture: armhf
Maintainer: Debian TeX maintainers 
Installed-Size: 2323
Depends: libc6 (>= 2.13-28)
Suggests: texlive-base, texlive-latex-base, texlive-generic-recommended, texinfo-doc-nonfree
Breaks: ja-trans (<= 0.7-3.1), tetex-base (<< 3.0), tetex-bin (<< 3.0)
Replaces: tetex-base (<< 1.0.2+20000804-9), tetex-bin (<< 3.0)
Multi-Arch: foreign
Priority: standard
Section: text
Filename: pool/main/t/texinfo/texinfo_4.13a.dfsg.1-10_armhf.deb
Size: 959994
SHA256: ae0cd7d4d8f8ebfb7f5d111896e64f38f1103fd2c4ba15665160a6c1f5a1bd9f
SHA1: 262d290eea0a85e4f57bc14a1a913f3114ba1e96
MD5sum: 8815968210f1e0d2100f694f4ec0a9b5
Description: Documentation system for on-line information and printed output
 Texinfo is a documentation system that uses a single source file to
 produce both on-line information and printed output.
 .
 Using Texinfo, you can create a printed document with the normal features
 of a book, including chapters, sections, cross references, and indices.
 From the same Texinfo source file, you can create a menu-driven, on-line
 Info file with nodes, menus, cross references, and indices.

Package: texlive
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 104
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-latex-base (>= 2012.20120516), texlive-fonts-recommended (>= 2012.20120516), texlive-latex-recommended (>= 2012.20120516)
Suggests: texlive-doc-en
Size: 37066
SHA256: 2f5ff279de02c1bc0d81d8c8bbc5172506486300f690246fb2de77cb35ba3b2f
SHA1: 67b5d0676957a600805f31580166f5a26a2336ec
MD5sum: daa5e6bdcefc7f06122a33ab9fa097f6
Description: TeX Live: A decent selection of the TeX Live packages
 The TeX Live software distribution offers a complete TeX system.
 It encompasses programs for typesetting, previewing and printing
 of TeX documents in many different languages, and a large collection
 of TeX macros and font libraries.
 .
 This metapackage provides a decent selection of the TeX Live packages
 which should suffice for the most common tasks.
 .
 The distribution also includes extensive general documentation about
 TeX, as well as the documentation accompanying the included software
 packages.
Homepage: http://www.tug.org/texlive/
Section: tex
Priority: optional
Filename: pool/main/t/texlive-base/texlive_2012.20120611-5+deb7u1_all.deb

Package: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 35460
Maintainer: Debian TeX Maintainers 
Architecture: all
Replaces: dvipdfmx, luatex (<< 0.45.0), tex-common (<< 3), texlive-binaries (<< 2011)
Provides: dvipdfmx
Depends: texlive-doc-base (>= 2012.20120516), texlive-binaries (>= 2012.20120516), xdg-utils, ucf, libpaper-utils, texlive-common (>= 2012.20120516), debconf (>= 0.5) | debconf-2.0, dpkg (>= 1.14.18), dpkg (>= 1.15.4) | install-info, tex-common (>= 3), luatex (>= 0.70.1)
Suggests: perl-tk, xpdf-reader | pdf-viewer, ghostscript, gv | postscript-viewer
Conflicts: dvipdfmx, luatex (<< 0.46.0-5), texinfo (<< 4.8)
Size: 14214704
SHA256: 587c6a3f0b931c85e48c30ac541d7f30b9fc9b887295af3a3b11a2788da81a1c
SHA1: 677b11fc0ee7bb33e13c194296da9ba8ab0c4e25
MD5sum: d0ffe6543c86ffdfef87dcd6f01a546f
Description: TeX Live: Essential programs and files
 These files are regarded as basic for any TeX system, covering plain TeX
   macros, Computer Modern fonts, and configuration for common drivers; no
   LaTeX.
 .
 This package includes the following CTAN packages:
  amsfonts -- TeX fonts from the American Mathematical Society.
  bibtex -- Process bibliographies for LaTeX, etc.
  cm -- Computer Modern fonts.
  dvipdfm -- A DVI driver to produce PDF directly.
  dvipdfmx -- An extended version of dvipdfm.
  dvipdfmx-def --
  dvips -- A DVI to PostScript driver.
  enctex -- A TeX extension that translates input on its way into TeX.
  etex -- An extended version of TeX, from the NTS project.
  etex-pkg -- E-TeX support package.
  glyphlist --
  gsftopk -- Convert "ghostscript fonts" to PK files.
  hyph-utf8 -- Hyphenation patterns expressed in UTF-8.
  hyphen-base --
  ifluatex -- Provides the \ifluatex switch.
  ifxetex -- Am I running under XeTeX?
  kpathsea -- Path searching library for TeX-related files.
  lua-alt-getopt -- Process application arguments the same way as
   getopt_long.
  makeindex -- Process index output to produce typesettable code.
  metafont -- A system for specifying fonts.
  mflogo -- LaTeX support for MetaFont logo fonts.
  mfware -- Supporting tools for use with Metafont.
  misc --
  pdftex -- A TeX extension for direct creation of PDF.
  plain --
  tetex -- scripts and files originally written for or included in teTeX
  tex -- A sophisticated typesetting engine.
  texconfig --
  xdvi -- A DVI previewer for the X Window System.
  texdoc -- Documentation access for TeX distributions.
Homepage: http://www.tug.org/texlive/
Recommends: lmodern (>= 0.93.3)
Section: tex
Priority: optional
Filename: pool/main/t/texlive-base/texlive-base_2012.20120611-5+deb7u1_all.deb

Package: texlive-bibtex-extra
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 42584
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-binaries (>= 2012-0), texlive-latex-base (>= 2012.20120516)
Size: 25448932
SHA256: 2293800085f7d225e581b31cc79665d1813b15f40dc6b4c6ba47aca2e01035fa
SHA1: 7691ea8ddeed38d93f8a6b98e4a6a5037c3952cf
MD5sum: 45ddf462762f342840928589869253df
Description: TeX Live: Extra BibTeX styles
 Additional BibTeX styles and bibliography databases, including BibLaTeX.
 .
 This package includes the following CTAN packages:
  aichej -- Bibliography style file for the AIChE Journal.
  amsrefs -- A LaTeX-based replacement for BibTeX.
  apacite -- Citation style following the rules of the APA.
  apalike2 -- Bibliography style that approaches APA requirements.
  beebe --
  bibarts -- "Arts"-style bibliographical information.
  bibexport -- Extract a BibTeX file based on a .aux file.
  bibhtml -- BibTeX support for HTML files.
  biblatex -- Bibliographies in LaTeX using BibTeX for sorting only.
  biblatex-apa -- Biblatex citation and reference style for APA.
  biblatex-bwl --
  biblatex-chem -- Chemistry styles for biblatex.
  biblatex-chicago -- Chicago style files for biblatex.
  biblatex-dw -- Humanities styles for biblatex.
  biblatex-fiwi -- Biblatex styles for use in German humanities.
  biblatex-historian -- A Biblatex style.
  biblatex-ieee -- Ieee style files for biblatex.
  biblatex-juradiss -- Biblatex stylefiles for German law thesis.
  biblatex-luh-ipw -- Biblatex styles for social sciences.
  biblatex-mla -- MLA style files for biblatex.
  biblatex-musuos -- A biblatex style for citations in musuos.cls.
  biblatex-nature -- Biblatex support for Nature.
  biblatex-nejm -- Biblatex style for the New England Journal of Medicine
   (NEJM).
  biblatex-philosophy -- Styles for using biblatex for work in philosophy.
  biblatex-science -- Biblatex support for Science.
  biblatex-swiss-legal -- Bibliography and citation styles following Swiss
   legal practice.
  biblist -- Print a BibTeX database.
  bibtopic -- Include multiple bibliographies in a document.
  bibtopicprefix -- Prefix references to bibliographies produced by bibtopic.
  bibunits -- Multiple bibliographies in one document.
  breakcites -- Ensure that multiple citations may break at line end.
  cell -- Bibliography style for Cell.
  chbibref -- Change the Bibliography/References title.
  chicago -- A "Chicago" bibliography style.
  chicago-annote -- Chicago-based annotated BibTeX style.
  chembst -- A collection of BibTeX files for chemistry journals.
  chscite -- Bibliography style for Chalmers University of Technology.
  collref -- Collect blocks of references into a single reference.
  compactbib -- Multiple thebibliography environments.
  custom-bib -- Customised BibTeX styles.
  din1505 -- Bibliography styles for German texts.
  dk-bib -- Danish variants of standard BibTeX styles.
  doipubmed -- Special commands for use in bibliographies.
  fbs -- BibTeX style for Frontiers in Bioscience.
  figbib -- Organize figure databases with BibTeX.
  footbib -- Bibliographic references as footnotes.
  geschichtsfrkl -- BibLaTeX style for historians.
  harvard -- Harvard citation package for use with LaTeX 2e.
  harvmac -- Macros for scientific articles.
  historische-zeitschrift -- Biblatex style for the journal 'Historische
   Zeitschrift'
  ijqc -- BibTeX style file for the Intl. J. Quantum Chem.
  inlinebib -- Citations in footnotes.
  iopart-num -- Numeric citation style for IOP journals.
  jneurosci -- BibTeX style for the Journal of Neuroscience.
  jurabib -- Extended BibTeX citation support for the humanities and legal
   texts.
  ksfh_nat --
  listbib -- Lists contents of BibTeX files.
  logreq -- Support for automation of the LaTeX workflow.
  margbib -- Display bibitem tags in the margins.
  multibib -- Multiple bibliographies within one document.
  munich -- An alternative authordate bibliography style.
  notes2bib -- Integrating notes into the bibliography.
  perception -- BibTeX style for the journal Perception.
  pnas2009 -- Bibtex style for PNAS.
  rsc -- BibTeX style for use with RSC journals.
  showtags -- Print the tags of bibliography entries.
  sort-by-letters -- Bibliography styles for alphabetic sorting.
  splitbib -- Split and reorder your bibliography.
  uni-wtal-ger --
  urlbst -- Web support for BibTeX.
  usebib -- A simple bibloography processor.
  vak -- BibTeX style for Russian Theses, books, etc.
  xcite -- Use citation keys from a different document.
Homepage: http://www.tug.org/texlive/
Tag: made-of::tex, role::app-data, suite::TODO, use::typesetting,
 works-with-format::bib, works-with-format::tex, works-with::text
Section: tex
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-bibtex-extra_2012.20120611-2_all.deb

Package: texlive-binaries
Source: texlive-bin
Version: 2012.20120628-4
Architecture: armhf
Maintainer: Debian TeX Maintainers 
Installed-Size: 43459
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgraphite3 (>= 1:2.3), libgs9 (>= 8.61.dfsg.1), libkpathsea6, libpng12-0 (>= 1.2.13-4), libpoppler19 (>= 0.18.4), libptexenc1, libstdc++6 (>= 4.6), libx11-6, libxaw7, libxmu6, libxpm4, libxt6, zlib1g (>= 1:1.1.4), texlive-common (>= 2011), tex-common (>= 3), ed, perl, dpkg (>= 1.15.4) | install-info
Recommends: texlive-base, luatex, python, ruby, wish
Conflicts: jmpost, makejvf, mendexk
Breaks: jtex-bin, multex-bin, texlive-base (<< 2010)
Replaces: jmpost, mendexk, ptex-bin, texlive-base (<< 2010), texlive-metapost (<< 2010)
Provides: jmpost, makejvf, mendexk, texlive-base-bin
Homepage: http://www.tug.org/texlive/
Priority: optional
Section: tex
Filename: pool/main/t/texlive-bin/texlive-binaries_2012.20120628-4_armhf.deb
Size: 16835736
SHA256: da5c61a6e1e8b1a2e2f7a95ffebf8badb2b2ce6455a6dbd2264dfbe7ae9fdc8a
SHA1: 0caa794dc93b6b6cc76270caefdd2239b6955cc9
MD5sum: 8b03dd374df75b4cfbfa060624ab5326
Description: Binaries for TeX Live
 This package contains all the binaries of TeX Live packages.
 .
 Note that this package alone has hardly any functionality.  Rather,
 choose the texlive-* packages you want to use, e.g.
 texlive-latex-recommended or context

Package: texlive-common
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 644
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: tex-common (>= 3)
Conflicts: pdfjam (<< 2012.20120516), ptex-bin (<< 2012.20120516), texlive (<< 2012.20120516), texlive-base (<< 2012.20120516), texlive-bibtex-extra (<< 2012.20120516), texlive-binaries (<< 2012-0), texlive-common (<< 2012.20120516), texlive-doc-ar (<< 2012.20120516), texlive-doc-base (<< 2012.20120516), texlive-doc-bg (<< 2012.20120516), texlive-doc-cs+sk (<< 2012.20120516), texlive-doc-de (<< 2012.20120516), texlive-doc-en (<< 2012.20120516), texlive-doc-es (<< 2012.20120516), texlive-doc-fi (<< 2012.20120516), texlive-doc-fr (<< 2012.20120516), texlive-doc-it (<< 2012.20120516), texlive-doc-ja (<< 2012.20120516), texlive-doc-ko (<< 2012.20120516), texlive-doc-mn (<< 2012.20120516), texlive-doc-nl (<< 2012.20120516), texlive-doc-pl (<< 2012.20120516), texlive-doc-pt (<< 2012.20120516), texlive-doc-rs (<< 2012.20120516), texlive-doc-ru (<< 2012.20120516), texlive-doc-si (<< 2012.20120516), texlive-doc-th (<< 2012.20120516), texlive-doc-tr (<< 2012.20120516), texlive-doc-uk (<< 2012.20120516), texlive-doc-vi (<< 2012.20120516), texlive-doc-zh (<< 2012.20120516), texlive-extra-utils (<< 2012.20120516), texlive-font-utils (<< 2012.20120516), texlive-fonts-extra (<< 2012.20120516), texlive-fonts-extra-doc (<< 2012.20120516), texlive-fonts-recommended (<< 2012.20120516), texlive-fonts-recommended-doc (<< 2012.20120516), texlive-formats-extra (<< 2012.20120516), texlive-full (<< 2012.20120516), texlive-games (<< 2012.20120516), texlive-generic-extra (<< 2012.20120516), texlive-generic-recommended (<< 2012.20120516), texlive-humanities (<< 2012.20120516), texlive-humanities-doc (<< 2012.20120516), texlive-lang-african (<< 2012.20120516), texlive-lang-all (<< 2012.20120516), texlive-lang-arabic (<< 2012.20120516), texlive-lang-armenian (<< 2012.20120516), texlive-lang-cjk (<< 2012.20120516), texlive-lang-croatian (<< 2012.20120516), texlive-lang-cyrillic (<< 2012.20120516), texlive-lang-czechslovak (<< 2012.20120516), texlive-lang-danish (<< 2012.20120516), texlive-lang-dutch (<< 2012.20120516), texlive-lang-english (<< 2012.20120516), texlive-lang-finnish (<< 2012.20120516), texlive-lang-french (<< 2012.20120516), texlive-lang-german (<< 2012.20120516), texlive-lang-greek (<< 2012.20120516), texlive-lang-hebrew (<< 2012.20120516), texlive-lang-hungarian (<< 2012.20120516), texlive-lang-indic (<< 2012.20120516), texlive-lang-italian (<< 2012.20120516), texlive-lang-latin (<< 2012.20120516), texlive-lang-latvian (<< 2012.20120516), texlive-lang-lithuanian (<< 2012.20120516), texlive-lang-mongolian (<< 2012.20120516), texlive-lang-norwegian (<< 2012.20120516), texlive-lang-other (<< 2012.20120516), texlive-lang-polish (<< 2012.20120516), texlive-lang-portuguese (<< 2012.20120516), texlive-lang-spanish (<< 2012.20120516), texlive-lang-swedish (<< 2012.20120516), texlive-lang-tibetan (<< 2012.20120516), texlive-lang-vietnamese (<< 2012.20120516), texlive-latex-base (<< 2012.20120516), texlive-latex-base-doc (<< 2012.20120516), texlive-latex-extra (<< 2012.20120516), texlive-latex-extra-doc (<< 2012.20120516), texlive-latex-recommended (<< 2012.20120516), texlive-latex-recommended-doc (<< 2012.20120516), texlive-latex3 (<< 2012.20120516), texlive-luatex (<< 2012.20120516), texlive-math-extra (<< 2012.20120516), texlive-metapost (<< 2012.20120516), texlive-metapost-doc (<< 2012.20120516), texlive-music (<< 2012.20120516), texlive-omega (<< 2012.20120516), texlive-pictures (<< 2012.20120516), texlive-pictures-doc (<< 2012.20120516), texlive-plain-extra (<< 2012.20120516), texlive-pstricks (<< 2012.20120516), texlive-pstricks-doc (<< 2012.20120516), texlive-publishers (<< 2012.20120516), texlive-publishers-doc (<< 2012.20120516), texlive-science (<< 2012.20120516), texlive-science-doc (<< 2012.20120516), texlive-xetex (<< 2012.20120516), texpower (<< 2012.20120516)
Size: 200446
SHA256: 0256fff17d9b44df4184e62ff8ed584a1abe619c20058693315b82560ba06b54
SHA1: 60f4724f0a1923ce467ca8e38a2f88ed340a5531
MD5sum: e40763b57a165daceccc8a80e382c846
Description: TeX Live: Base component
 The TeX Live software distribution offers a complete TeX system.
 It encompasses programs for typesetting, previewing and printing
 of TeX documents in many different languages, and a large collection
 of TeX macros and font libraries.
 .
 The distribution also includes extensive general documentation about
 TeX, as well as the documentation accompanying the included software
 packages.
 .
 This package provides a common base for all TeX Live packages.
Homepage: http://www.tug.org/texlive/
Section: tex
Priority: optional
Filename: pool/main/t/texlive-base/texlive-common_2012.20120611-5+deb7u1_all.deb

Package: texlive-doc-ar
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 1342
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 841810
SHA256: 7cff71b120fdcdf113d7e0a582e73a9fb1b4584f632f4f110a8f5e91527e4557
SHA1: a20a5b103657d24a377f7b05a7fc43a7906ccaa5
MD5sum: b2d9cdacb7b345cef7f9cb49c9f18907
Description: TeX Live: Arabic documentation
 This package includes the following CTAN packages:
  lshort-persian -- Persian (Farsi) introduction to LaTeX.
Homepage: http://www.tug.org/texlive/
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-ar_2012.20120611-1_all.deb

Package: texlive-doc-base
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 3546
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 1650654
SHA256: f82d066d4459f291ef486ac1022d28eeee9a34feee17686ef2040ff14dfa8e22
SHA1: 445c696d07bc4fd5a431e65fad01cc087bf95691
MD5sum: 56755d14e2a70996b5460a250edb6d9b
Description: TeX Live: TeX Live documentation
 This package includes the following CTAN packages:
  texlive-docindex -- top-level TeX Live doc.html, etc.
  texlive-en -- TeX Live manual (English)
Homepage: http://www.tug.org/texlive/
Tag: made-of::html, made-of::pdf, role::documentation, suite::TODO,
 use::typesetting
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-base_2012.20120611-1_all.deb

Package: texlive-doc-bg
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 3141
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 2288416
SHA256: 734d712efc4e5057696ee2631210fbce995ba378d2f61fe99a37fea244122060
SHA1: 4760a11794910dcf702fb4f810744451f0c1effa
MD5sum: 7f1b01fe63883c61b7fbadd0920bb936
Description: TeX Live: Bulgarian documentation
 This package includes the following CTAN packages:
  lshort-bulgarian -- Bulgarian translation of the "Short Introduction to
   LaTeX2e".
  pst-eucl-translation-bg -- Bulgarian translation of the pst-eucl
   documentation.
Homepage: http://www.tug.org/texlive/
Tag: culture::bulgarian, made-of::pdf, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-bg_2012.20120611-1_all.deb

Package: texlive-doc-cs+sk
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 4537
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 3323714
SHA256: 3e3cf728dbeadd91b5f58d3b2793dfb8c2aa00ff88f57d6ccd2473c2d5489c6a
SHA1: 4adcc2e4b7a0c9328a6fa760e313be8efd1ec9e2
MD5sum: 4a052713b0fd6d777792b3aca206d2b6
Description: TeX Live: Czech/Slovak documentation
 This package includes the following CTAN packages:
  lshort-czech -- Czech translation of the "Short Introduction to LaTeX2e".
  lshort-slovak -- Slovak introduction to LaTeX.
  texlive-cz -- TeX Live manual (Czech/Slovak)
Homepage: http://www.tug.org/texlive/
Tag: made-of::html, made-of::pdf, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-cs+sk_2012.20120611-1_all.deb

Package: texlive-doc-de
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 20105
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 12692314
SHA256: b83ec1758918a522c558e202f23f1725e379aac8c3f61dc3fabf16e50d4ce268
SHA1: 01b83ed10f9b8fc3892ece5a1c25f08cdc8407a1
MD5sum: 04838763a4abf763c90ed3c6361a39f1
Description: TeX Live: German documentation
 This package includes the following CTAN packages:
  einfuehrung -- Examples from the book Einfuhrung in LaTeX.
  fifinddo-info -- German HTML beamer presentation on nicetext and morehype.
  kopka --
  l2picfaq -- LaTeX pictures "how-to" (German).
  l2tabu -- Obsolete packages and commands.
  latex-bib-ex -- Examples for the book Bibliografien mit LaTeX.
  latex-referenz -- Examples from the book "LaTeX Referenz".
  latex-tabellen -- LaTeX Tabellen.
  lshort-german -- German version of A Short Introduction to LaTeX2e:
   LaTeX2e-Kurzbeschreibung.
  presentations -- Examples from the book Presentationen mit LaTeX.
  pstricks-examples -- PSTricks examples.
  templates-fenn -- Templates for TeX usage.
  templates-sommer -- Templates for TeX usage.
  texlive-de -- TeX Live manual (German)
  translation-arsclassica-de -- German version of arsclassica.
  translation-biblatex-de -- German translation of the documentation of
   biblatex.
  translation-chemsym-de -- German version of chemsym.
  translation-ecv-de -- German version of evc.
  translation-enumitem-de -- Enumitem documentation, in German.
  translation-europecv-de -- German version of europecv.
  translation-filecontents-de -- German version of filecontents.
  translation-moreverb-de -- German version of moreverb.
  voss-de --
Homepage: http://www.tug.org/texlive/
Tag: culture::german, made-of::html, made-of::pdf, made-of::postscript,
 made-of::tex, role::documentation, works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-de_2012.20120611-1_all.deb

Package: texlive-doc-en
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 75944
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), dpkg (>= 1.15.4) | install-info, tex-common (>= 3)
Size: 54346220
SHA256: d48b41657b5baea1eca6888c4abd7fbfd0b177d96c8d0094c3529cd9e909503d
SHA1: be8b85106dbbd07d536e25c83a4967a7ed1eee6f
MD5sum: 63437170917c4bf8d47728b7346fc720
Description: TeX Live: English documentation
 This package includes the following CTAN packages:
  FAQ-en -- A compilation of Frequently Asked Questions with answers.
  MemoirChapStyles -- Chapter styles in memoir class.
  Type1fonts -- Font installation guide.
  amslatex-primer -- Getting up and running with AMS-LaTeX.
  around-the-bend -- Typeset exercises in TeX, with answers.
  ascii-chart -- An ASCII wall chart.
  components-of-TeX -- Components of TeX.
  comprehensive -- Symbols accessible from LaTeX.
  dtxtut -- Tutorial on writing .dtx and .ins files
  first-latex-doc -- A document for absolute LaTeX beginners.
  gentle -- A Gentle Introduction to TeX.
  guide-to-latex --
  happy4th -- A firework display in obfuscated TeX.
  impatient -- Free edition of the book "TeX for the Impatient"
  intro-scientific -- Introducing scientific/mathematical documents using
   LaTeX.
  knuth -- Knuth's published errata.
  l2tabu-english -- English translation of "Obsolete packages and commands".
  latex-course -- A LaTeX course as a projected presentation.
  latex-doc-ptr -- A direction-finder for LaTeX documentation.
  latex-graphics-companion -- Examples from The LaTeX Graphics Companion.
  latex-veryshortguide -- The Very Short Guide to LaTeX.
  latex-web-companion -- Examples from The LaTeX Web Companion.
  latex2e-help-texinfo -- Unoffical reference manual covering LaTeX2e.
  latex4wp -- A LaTeX guide specifically designed for word processor users.
  latexcheat -- A LaTeX cheat sheet.
  latexfileinfo-pkgs -- A comparison of packages showing LaTeX file
   information.
  lshort-english -- A (Not So) Short Introduction to LaTeX2e.
  macros2e -- A list of internal LaTeX2e macros.
  math-e -- Examples from the book Typesetting Mathematics with LaTeX.
  mathmode -- A comprehensive review of mathematics in (La)TeX.
  memdesign -- Notes on book design
  metafont-beginners -- An introductory tutorial for MetaFont.
  metapost-examples -- Example drawings using MetaPost.
  mil3 -- Samples from Math into LaTeX, third edition.
  patgen2-tutorial -- A tutorial on the use of Patgen 2.
  pictexsum -- A summary of PicTeX commands.
  plain-doc -- A list of plain.tex cs names.
  pstricks-examples-en -- Examples from PSTricks book (English edition).
  pstricks-tutorial --
  simplified-latex -- A Simplified Introduction to LaTeX.
  svg-inkscape -- How to include an SVG image in LaTeX using Inkscape.
  tabulars-e -- Examples from the book "Typesetting tables with LaTeX".
  tamethebeast -- A manual about bibliographies and especially BibTeX.
  tds -- The TeX Directory Structure standard.
  tex-font-errors-cheatsheet -- Cheat sheet outlining the most common TeX
   font errors.
  tex-overview -- An overview of the development of TeX.
  tex-refs -- References for TeX and Friends
  texbytopic -- Freed version of the book TeX by Topic.
  titlepages -- Sample titlepages, and how to code them.
  tlc2 -- Examples from "The LaTeX Companion", second edition.
  visualfaq -- A Visual LaTeX FAQ.
  webguide -- Brief Guide to LaTeX Tools for Web publishing.
  xetexref -- Reference documentation of XeTeX.
Homepage: http://www.tug.org/texlive/
Tag: culture::TODO, made-of::html, made-of::pdf, made-of::postscript,
 made-of::tex, role::documentation, works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-en_2012.20120611-1_all.deb

Package: texlive-doc-es
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 5332
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), dpkg (>= 1.15.4) | install-info, tex-common (>= 3)
Size: 3580990
SHA256: 83ad73efeda8db62327bbe39f00403037fe62fe19e3c917eb654ef475742e34e
SHA1: 173c2d642fd3128faf69af70344909c327a26f96
MD5sum: 5e641567db33cf322a7842628f3c9fb0
Description: TeX Live: Spanish documentation
 This package includes the following CTAN packages:
  es-tex-faq -- CervanTeX (Spanish TeX Group) FAQ
  l2tabu-spanish -- Spanish translation of "Obsolete packages and commands".
  latex2e-help-texinfo-spanish --
  latexcheat-esmx -- A LaTeX cheat sheet, in Spanish.
  lshort-spanish -- Short introduction to LaTeX, Spanish translation.
Homepage: http://www.tug.org/texlive/
Tag: culture::spanish, made-of::html, made-of::pdf, made-of::sgml,
 made-of::tex, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-es_2012.20120611-1_all.deb

Package: texlive-doc-fi
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 2237
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 1728762
SHA256: aa2f26dc6f317798823b90e7048a7586ec36057b3da7304006865b79b8d89c26
SHA1: 71e64e8189798a3a86230ad0eba0526ac2dfd5bf
MD5sum: b0f5d1958e7a3e9a5710ead3e0f33a87
Description: TeX Live: Finnish documentation
 This package includes the following CTAN packages:
  lshort-finnish -- Finnish introduction to LaTeX.
Homepage: http://www.tug.org/texlive/
Tag: culture::finnish, made-of::pdf, made-of::tex, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-fi_2012.20120611-1_all.deb

Package: texlive-doc-fr
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 13615
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 10683868
SHA256: ca77cdf07ce0ce698afeb736405344f8f8b33f6a5e19f20472f7f5ed9056bb94
SHA1: fe57e5135d5feff07e361a2b583db09c881e4039
MD5sum: 47be5d72775f1e72258820c765e35911
Description: TeX Live: French documentation
 This package includes the following CTAN packages:
  apprends-latex -- Apprends LaTeX!
  epslatex-fr -- French version of "graphics in LaTeX".
  impatient-fr -- Free edition of the book "TeX for the Impatient"
  l2tabu-french -- French translation of l2tabu.
  lshort-french -- Short introduction to LaTeX, French translation.
  texlive-fr -- TeX Live manual (French)
  translation-array-fr -- French translation of the documentation of array.
  translation-dcolumn-fr -- French translation of the documentation of
   dcolumn.
  translation-natbib-fr -- French translation of the documentation of natbib.
  translation-tabbing-fr -- French translation of the documentation of
   Tabbing.
Homepage: http://www.tug.org/texlive/
Tag: culture::french, made-of::pdf, made-of::tex, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-fr_2012.20120611-1_all.deb

Package: texlive-doc-it
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 4706
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 3421024
SHA256: 7db99e192d7c8983c2840f7236c5facfe7ac60cdd4be7802795d21ab44328c86
SHA1: 1c6f8ca18f65fd81889245ba367e5941e63eeea8
MD5sum: 7da0c3f0d7ec9054a3c1f20b6f65ee66
Description: TeX Live: Italian documentation
 This package includes the following CTAN packages:
  amsldoc-it --
  amsmath-it -- Italian translations of some old AMSmath documents.
  amsthdoc-it --
  fancyhdr-it -- Italian translation of fancyhdr documentation.
  l2tabu-italian -- Italian Translation of Obsolete packages and commands
  latex4wp-it --
  lshort-italian -- Introduction to LaTeX in Italian.
  psfrag-italian -- PSfrag documentation in Italian.
  texlive-it -- TeX Live manual (Italian)
Homepage: http://www.tug.org/texlive/
Tag: culture::italian, made-of::pdf, made-of::tex, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-it_2012.20120611-1_all.deb

Package: texlive-doc-ja
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 1428
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 572010
SHA256: 52aba3d339c4058ad282bb106be459994d131e3aaea96959735c978610c74d31
SHA1: 39ddb04935eca1a6d010208dc42579b4c19757f1
MD5sum: ddfe0ebb3c74f54dd6f8a5fe1464aa1e
Description: TeX Live: Japanese documentation
 This package includes the following CTAN packages:
  lshort-japanese -- Japanese version of A Short Introduction to LaTeX2e
Homepage: http://www.tug.org/texlive/
Tag: culture::japanese, made-of::pdf, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-ja_2012.20120611-1_all.deb

Package: texlive-doc-ko
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 1641
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 1112378
SHA256: 2daab71ed0523c57dcecf15a5ea01ff7b532ff2ff13f7d46e0256f9220993675
SHA1: f0341479c86a3438435e46adbf3f28628d4c06f4
MD5sum: 455a30c6131e9b84db3fb354a774463a
Description: TeX Live: Korean documentation
 This package includes the following CTAN packages:
  lshort-korean -- Korean introduction to LaTeX.
Homepage: http://www.tug.org/texlive/
Tag: culture::korean, made-of::pdf, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-ko_2012.20120611-1_all.deb

Package: texlive-doc-mn
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 2346
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 1738338
SHA256: b245be73a72e098d07a0edf353dce5391fd51e2f14d314b799993f1f670cdecf
SHA1: 93c185b38069abba6b857acf21db4d1d3b67a6fa
MD5sum: 4b55da6b5c7c44ab7f6d09c11d805bea
Description: TeX Live: Mongolian documentation
 This package includes the following CTAN packages:
  lshort-mongol -- Short introduction to LaTeX, in Mongolian.
Homepage: http://www.tug.org/texlive/
Tag: culture::mongolian, made-of::pdf, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-mn_2012.20120611-1_all.deb

Package: texlive-doc-nl
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 1196
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 797632
SHA256: fad8ae50384686764da0595d21942f125d70fa33d92571c6fd3de977c6f57803
SHA1: 381174e0656188623b6c968ab702729d5dd8a132
MD5sum: a673fcc3b132df737c9659f5fc329ab2
Description: TeX Live: Dutch documentation
 This package includes the following CTAN packages:
  lshort-dutch -- Introduction to LaTeX in Dutch.
Homepage: http://www.tug.org/texlive/
Tag: culture::dutch, made-of::html, made-of::pdf, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-nl_2012.20120611-1_all.deb

Package: texlive-doc-pl
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 5619
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 3313806
SHA256: 38c205519a768dd29deb93e5b2f88a810cd2ea89263cc32ee988cb0425b402dd
SHA1: ccd716676d5862f7ef33d89e4ed8e54cd293d926
MD5sum: c290f0843991c696b178c995e96d43a4
Description: TeX Live: Polish documentation
 This package includes the following CTAN packages:
  lshort-polish -- Introduction to LaTeX in Polish.
  tex-virtual-academy-pl --
  texlive-pl -- TeX Live manual (Polish)
Homepage: http://www.tug.org/texlive/
Tag: culture::polish, made-of::html, made-of::pdf, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-pl_2012.20120611-1_all.deb

Package: texlive-doc-pt
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 13112
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 9671564
SHA256: 4408b9a719f332210f65b2d8cbc2dfe3f9a2df39e37a28a7f74480313089431e
SHA1: ccdefd6f7c50b1f4aa116158fbcb6deb719fd06b
MD5sum: 281cbbf9a47128dbd7f57d551007f219
Description: TeX Live: Portuguese documentation
 This package includes the following CTAN packages:
  beamer-tut-pt -- An introduction to the Beamer class, in Portuguese.
  cursolatex -- A LaTeX tutorial.
  latexcheat-ptbr -- A LaTeX cheat sheet, in Brazilian Portuguese.
  lshort-portuguese -- Introduction to LaTeX in Portuguese.
  xypic-tut-pt -- A tutorial for XY-pic, in Portuguese.
Homepage: http://www.tug.org/texlive/
Tag: culture::portuguese, made-of::pdf, made-of::postscript,
 role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-pt_2012.20120611-1_all.deb

Package: texlive-doc-rs
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 1350
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 839896
SHA256: dddf21b647b0d3bf74c70b30f77b99d6f97a4a4b5cf8f052dfbbf2532f3687c4
SHA1: faeb1f94db56bd16c4dc0f82238bb044eb974526
MD5sum: d38a286f65190e85db13916c282d9005
Description: TeX Live: Serbian documentation
 This package includes the following CTAN packages:
  texlive-sr -- TeX Live manual (Serbian)
Homepage: http://www.tug.org/texlive/
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-rs_2012.20120611-1_all.deb

Package: texlive-doc-ru
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 4965
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 3332218
SHA256: 9c67bf424db194bd4e203cfa2768b38ed10c638022a5d059f0f5d87fe675c5c3
SHA1: 6fa7558d07f8df2987e69803f09166bc31e6cf89
MD5sum: 724333c024283ae9ad9a777fc1e8e305
Description: TeX Live: Russian documentation
 This package includes the following CTAN packages:
  lshort-russian -- Russian introduction to LaTeX.
  mpman-ru -- A Russian translation of the MetaPost manual.
  texlive-ru -- TeX Live manual (Russian)
Homepage: http://www.tug.org/texlive/
Tag: culture::russian, made-of::html, made-of::pdf, made-of::tex,
 role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-ru_2012.20120611-1_all.deb

Package: texlive-doc-si
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 1915
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 1431450
SHA256: f6c170cd9c7874b91834d81135f292bffec3c5458c1a1a0f4d67b7e70d4e1aa0
SHA1: a15c21145fbee5a8269e6893ac990027275aabed
MD5sum: 10f9582b68f2e0a61bbc600ba158b88c
Description: TeX Live: Slovenian documentation
 This package includes the following CTAN packages:
  lshort-slovenian -- Slovenian translation of lshort.
Homepage: http://www.tug.org/texlive/
Tag: culture::TODO, made-of::pdf, made-of::tex, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-si_2012.20120611-1_all.deb

Package: texlive-doc-th
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 647
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 495464
SHA256: a6f531f48a206c02015416bca1337ac13670d6c94b981fa918959fe31be2132f
SHA1: 9a4b5684b606358ac56ab98cedfc63ffc6d01818
MD5sum: bb1a35c4c250368a43880ccfc66b6eb0
Description: TeX Live: Thai documentation
 This package includes the following CTAN packages:
  lshort-thai -- Introduction to LaTeX in Thai.
Homepage: http://www.tug.org/texlive/
Tag: culture::thai, made-of::pdf, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-th_2012.20120611-1_all.deb

Package: texlive-doc-tr
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 1895
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 1448352
SHA256: 5bf9e51ced4714858c74c5eb3a5da143edee26f92a03a3ee6ecb049b2c83dac2
SHA1: ed448b3ba6f62cb8511ad91a9ec734f8822b61e4
MD5sum: d8cfbf9c42186f9c129ffcfb33c654c7
Description: TeX Live: Turkish documentation
 This package includes the following CTAN packages:
  lshort-turkish -- Turkish introduction to LaTeX.
Homepage: http://www.tug.org/texlive/
Tag: culture::turkish, made-of::pdf, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-tr_2012.20120611-1_all.deb

Package: texlive-doc-uk
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 2665
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 2106078
SHA256: 77dd80b90511f0c314a50c5fbe21ad83511f285c748dc135b42d7c9270ef7cdd
SHA1: c1139b43a34d3891477dec375e2b89dbdde53c64
MD5sum: e4a90f75f43dac7ab5bc19e95b8e22a6
Description: TeX Live: Ukrainian documentation
 This package includes the following CTAN packages:
  lshort-ukr -- Ukrainian version of the LaTeX introduction.
Homepage: http://www.tug.org/texlive/
Tag: culture::ukrainian, made-of::pdf, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-uk_2012.20120611-1_all.deb

Package: texlive-doc-vi
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 4063
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 3166434
SHA256: 8f942b23a053be25fff9cfff8fb6ea412796de315d75c6fa90ae4e4abac90440
SHA1: a49041a824c6659cf36a7befbda2fbc45cf4a3b8
MD5sum: 3e548dfbc29c38acb279446aa9edbe03
Description: TeX Live: Vietnamese documentation
 This package includes the following CTAN packages:
  amsldoc-vn -- Vietnamese documentation.
  lshort-vietnamese -- vietnamese version of the LaTeX introduction.
  ntheorem-vn --
Homepage: http://www.tug.org/texlive/
Tag: culture::TODO, made-of::pdf, made-of::tex, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-vi_2012.20120611-1_all.deb

Package: texlive-doc-zh
Source: texlive-doc
Version: 2012.20120611-1
Installed-Size: 7514
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-doc-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 5394692
SHA256: 8490a357008b943162f9fc8ea83c79fe3ea5bf4a7760685454636f521b797b86
SHA1: 443df742fbaa0f1b82fba7003c108683b861684c
MD5sum: 01d4975d03e163a41550405fb22a7b7e
Description: TeX Live: Chinese documentation
 This package includes the following CTAN packages:
  asymptote-faq-zh-cn -- Asymptote FAQ (Chinese translation).
  asymptote-by-example-zh-cn -- Asymptote by example.
  asymptote-manual-zh-cn -- A Chinese translation of the asymptote manual.
  ctex-faq -- LaTeX FAQ by the Chinese TeX Society (ctex.org).
  latex-notes-zh-cn -- Chinese Introduction to TeX and LaTeX.
  lshort-chinese -- Introduction to LaTeX, in Chinese.
  texlive-zh-cn -- TeX Live manual (Chinese)
Homepage: http://www.tug.org/texlive/
Tag: culture::chinese, made-of::pdf, role::documentation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-doc/texlive-doc-zh_2012.20120611-1_all.deb

Package: texlive-extra-utils
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 4194
Maintainer: Debian TeX Maintainers 
Architecture: all
Replaces: pdfjam
Provides: pdfjam
Depends: python, texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), dpkg (>= 1.15.4) | install-info, tex-common (>= 3), texlive-base (>= 2012.20120516), texlive-binaries (>= 2012-0)
Recommends: ruby | ruby-interpreter, ghostscript
Suggests: purifyeps, chktex, latexmk, dvipng, xindy, dvidvi, fragmaster, lacheck, latexdiff
Conflicts: pdfjam (<< 2011)
Size: 2547680
SHA256: a867fd15870e853973d225f08a1b1e1a08a33da8be015ed1da2784fa6f3ad82a
SHA1: 6da32daf614d84a6c80f6f6c384de509bc35abec
MD5sum: c031dad6b4e222d070b3f4c9ec44f27f
Description: TeX Live: TeX auxiliary programs
 Various useful, but non-essential, support programs. Includes programs and
   macros for DVI file manipulation, literate programming, patgen, and the
   TeX Works Editor.
 .
 This package includes the following CTAN packages:
  a2ping -- Advanced PS, PDF, EPS converter.
  bibtex8 -- A fully 8-bit adaptation of BibTeX 0.99.
  bibtexu --
  bundledoc -- Bundle together all the files needed to build a LaTeX
   document.
  ctanify -- Prepare a package for upload to CTAN.
  ctanupload -- Support for users uploading to CTAN.
  ctie -- C version of tie (merging Web change files).
  cweb -- A Web system in C.
  de-macro -- Expand private macros in a document.
  detex -- Strip TeX from a source file.
  dtl -- Tools to dis-assemble and re-assemble DVI files.
  dvi2tty -- Produce ASCII from DVI.
  dviasm -- A utility for editing DVI files.
  dvicopy -- Copy DVI files, flattening VFs.
  dviljk -- DVI to Laserjet output.
  dvipos --
  dvisvgm -- Converts DVI files to Scalable Vector Graphics format (SVG).
  findhyph -- Find hyphenated words in a document.
  hyphenex -- Generate a hyphenation exceptions file.
  installfont -- A bash script for installing a LaTeX font family.
  latex2man -- Translate LaTeX-based manual pages into Unix man format.
  latexfileversion -- Prints the version and date of a LaTeX class or style
   file.
  listings-ext -- Automated input of source.
  match_parens -- Easily detect mismatched parens.
  mkjobtexmf -- Generate a texmf tree for a particular job.
  patgen -- Generate hyphenation patterns.
  pdfcrop -- Crop PDF graphics.
  pdfjam -- Shell scripts interfacing to pdfpages.
  pdftools -- PDF-related utilities, including PostScript-to-PDF conversion
  pkfix -- Replace pk fonts in PostScript with Type 1 fonts.
  pkfix-helper -- Make PostScript files accessible to pkfix.
  seetexk -- Utilities for manipulating DVI files.
  sty2dtx -- Create a .dtx file from a .sty file.
  synctex --
  texcount -- Count words in a LaTeX document.
  texdef -- Display the definitions of TeX commands.
  texdiff -- Compare documents and produce tagged merge.
  texdirflatten -- Collect files related to a LaTeX job in a single
   directory.
  texliveonfly -- On-the-fly download of missing TeX live packages.
  texloganalyser -- Analyse TeX logs.
  texware -- Utility programs for use with TeX.
  tie -- Allow multiple web change files.
  tpic2pdftex -- Use tpic commands in PDFTeX.
  typeoutfileinfo -- Display class/package/file information.
  web -- original web programs tangle and weave
Homepage: http://www.tug.org/texlive/
Tag: devel::docsystem, interface::commandline, role::program, scope::utility,
 use::typesetting, works-with-format::dvi, works-with-format::tex,
 works-with::font, works-with::text
Section: tex
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-extra-utils_2012.20120611-2_all.deb

Package: texlive-font-utils
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 4229
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-binaries (>= 2012-0), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), dpkg (>= 1.15.4) | install-info, tex-common (>= 3), texlive-base (>= 2012.20120516)
Recommends: ps2eps, ghostscript
Suggests: psutils, t1utils
Size: 1697050
SHA256: c0a2e2ce5a4412cf6911a2bc4ed6eba7440482e4df24bb243d8ee637c5f843d0
SHA1: 15d2f2cbe9a7ea32e3aa49ea1c01156de8dda1c6
MD5sum: f03d01e0a5ad64254e79e08dbc5e09ba
Description: TeX Live: Graphics and font utilities
 Programs for conversion between font formats, testing fonts, virtual fonts,
   .gf and .pk manipulation, mft, fontinst, etc. Manipulating OpenType,
   TrueType, PostScript Type 1, and PostScript and other images.
 .
 This package includes the following CTAN packages:
  accfonts -- Utilities to derive new fonts from existing ones.
  afm2pl -- AFM font metrics to TeX pl converter.
  dosepsbin -- Deal with DOS binary EPS files.
  epstopdf --
  fontware --
  ps2pkm -- Generate a PK font from an Adobe Type 1 font.
  pstools -- Produce Encapsulated PostScript from PostScript.
  dvipsconfig -- Collection of dvips PostScript headers.
  fontinst -- Help with installing fonts for TeX and LaTeX.
  fontools -- Tools to simplify using fonts (especially TT/OTF ones).
  mf2pt1 -- Produce PostScript Type 1 fonts from Metafont source.
  ttfutils --
Homepage: http://www.tug.org/texlive/
Tag: role::program, scope::utility, works-with::font
Section: tex
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-font-utils_2012.20120611-2_all.deb

Package: texlive-fonts-extra
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 399871
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: fonts-junicode, ttf-dejavu-core, fonts-gfs-complutum, fonts-gfs-artemisia, otf-freefont, fonts-gfs-neohellenic, fonts-gfs-didot, ttf-freefont, fonts-sil-gentium-basic, fonts-gfs-olga, fonts-inconsolata, dpkg (>= 1.14.18), tex-common (>= 3), fonts-comfortaa, fonts-linuxlibertine, texlive-base (>= 2012.20120516), fonts-gfs-solomos, ttf-dejavu-extra, fonts-oflb-asana-math, fonts-sil-gentium, fonts-stix, texlive-common (>= 2012.20120516), fonts-droid
Recommends: texlive-fonts-extra-doc
Suggests: cm-super (>= 0.3.3-3)
Conflicts: scalable-cyrfonts-tex, texpower (<< 2011)
Size: 129923864
SHA256: ea86649e54d73481a6e64383cb65e134c797e2baa5d0a29eaec8ee3baeb47f1e
SHA1: eea28e7defdefa03f22f51d8534f5ae7b9244cfd
MD5sum: 4d7453cd6023c586f2fbbd25ff15b909
Description: TeX Live: Extra fonts
 This package includes the following CTAN packages:
  Asana-Math -- A font to typeset maths in Xe(La)TeX and Lua(La)TeX.
  adforn -- OrnementsADF font with TeX/LaTeX support
  adfsymbols -- SymbolsADF with TeX/LaTeX support.
  allrunes -- Fonts and LaTeX package for almost all runes.
  antiqua -- URW Antiqua condensed font, for use with TeX.
  antt -- Antykwa Torunska: a Type 1 family of a Polish traditional type.
  archaic -- A collection of archaic fonts.
  arev -- Fonts and LaTeX support files for Arev Sans.
  ascii -- Support for IBM "standard ASCII" font.
  aspectratio -- Capital A and capital R ligature for Aspect Ratio.
  astro -- Astronomical (planetary) symbols.
  augie -- Calligraphic font for typesetting handwriting.
  auncial-new -- Artificial Uncial font and LaTeX support macros.
  aurical -- Calligraphic fonts for use with LaTeX in T1 encoding.
  b1encoding -- LaTeX encoding tools for Bookhands fonts.
  barcodes -- Fonts for making barcodes.
  baskervald -- Baskervald ADF fonts collection with TeX/LaTeX support.
  bbding -- A symbol (dingbat) font and LaTeX macros for its use.
  bbm -- "Blackboard-style" cm fonts.
  bbm-macros -- LaTeX support for "blackboard-style" cm fonts.
  bbold -- Sans serif blackboard bold.
  bbold-type1 -- An Adobe Type 1 format version of the bbold font.
  belleek -- Free replacement for basic MathTime fonts.
  bera -- Bera fonts.
  berenisadf -- Berenis ADF fonts and TeX/LaTeX support.
  blacklettert1 -- T1-encoded versions of Haralambous old German fonts.
  boisik -- A font inspired by Baskerville design.
  bookhands -- A collection of book-hand fonts.
  boondox -- Mathematical alphabets derived from the STIX fonts.
  braille -- Support for braille.
  brushscr -- A handwriting script font.
  calligra -- Calligraphic font.
  calligra-type1 -- Type 1 version of Caliigra.
  cantarell -- LaTeX support for the Cantarell font family.
  carolmin-ps -- Adobe Type 1 format of Carolingian Minuscule fonts.
  ccicons --
  cfr-lm -- Enhanced support for the Latin Modern fonts.
  cherokee -- A font for the Cherokee script.
  cm-lgc -- Type 1 CM-based fonts for Latin, Greek and Cyrillic.
  cm-unicode -- Computer Modern Unicode font family.
  cmbright -- Computer Modern Bright fonts.
  cmll -- Symbols for linear logic.
  cmpica -- A Computer Modern Pica variant.
  cmtiup -- Upright punctuation with CM slanted.
  comfortaa -- Sans serif font, with LaTeX support.
  concmath-fonts -- Concrete mathematics fonts.
  cookingsymbols --
  countriesofeurope -- A font with the images of the countries of Europe.
  courier-scaled -- Provides a scaled Courier font.
  cryst -- Font for graphical symbols used in crystallography.
  cyklop -- The Cyclop typeface.
  dancers -- Font for Conan Doyle's "The Dancing Men".
  dejavu -- LaTeX support for the fonts DejaVu.
  dice -- A font for die faces.
  dictsym -- DictSym font and macro package
  dingbat -- Two dingbat symbol fonts.
  doublestroke -- Typeset mathematical double stroke symbols.
  dozenal -- Typeset documents using base twelve numbering (also called
   "dozenal")
  droid -- LaTeX support for the Droid font families.
  duerer -- Computer Duerer fonts.
  duerer-latex -- LaTeX support for the Duerer fonts.
  dutchcal -- A reworking of ESSTIX13, adding a bold version.
  ean -- Macros for making EAN barcodes.
  ecc -- Sources for the European Concrete fonts.
  eco -- Oldstyle numerals using EC fonts.
  eiad -- Traditional style Irish fonts.
  eiad-ltx -- LaTeX support for the eiad font.
  electrum -- Electrum ADF fonts collection.
  elvish -- Fonts for typesetting Tolkien Elvish scripts.
  epigrafica -- A Greek and Latin font.
  epsdice -- A scalable dice "font".
  esstix -- PostScript versions of the ESSTIX, with macro support.
  esvect -- Vector arrows.
  eulervm -- Euler virtual math fonts.
  euxm --
  fdsymbol -- A maths symbol font.
  feyn -- A font for in-text Feynman diagrams.
  fge -- A font for Frege's Grundgesetze der Arithmetik.
  foekfont -- The title font of the Mads Fok magazine.
  fonetika -- Support for the danish "Dania" phonetic system.
  fourier -- Using Utopia fonts in LaTeX documents.
  fouriernc -- Use New Century Schoolbook text with Fourier maths fonts.
  frcursive -- French cursive hand fonts.
  genealogy -- A compilation genealogy font.
  gentium -- Gentium font and support files.
  gfsartemisia -- A modern Greek font design.
  gfsbodoni -- A Greek and Latin font based on Bodoni.
  gfscomplutum -- A Greek font with a long history.
  gfsdidot -- A Greek font based on Didot's work.
  gfsneohellenic -- A Greek font in the Neo-Hellenic style.
  gfssolomos -- A Greek-alphabet font.
  gillcm -- Alternative unslanted italic Computer Modern fonts.
  gnu-freefont -- A Unicode font, with rather wide coverage.
  gothic -- A collection of old German-style fonts.
  greenpoint -- The Green Point logo.
  grotesq -- URW Grotesq font pack for LaTeX.
  hands -- Pointing hand font.
  hfbright -- The hfbright fonts.
  hfoldsty -- Old style numerals with EC fonts.
  ifsym -- A collection of symbols.
  inconsolata -- A monospaced font, with support files for use with TeX.
  initials -- Adobe Type 1 decorative initial fonts.
  iwona -- A two-element sans-serif font.
  jablantile -- Metafont version of tiles in the style of Slavik Jablan.
  jamtimes -- Expanded Times Roman fonts.
  junicode -- A TrueType font for mediaevalists.
  kixfont -- A font for KIX codes.
  knuthotherfonts --
  kpfonts -- A complete set of fonts for text and mathematics.
  kurier -- A two-element sans-serif typeface.
  lato -- Lato font fanily and LaTeX support.
  lfb -- A Greek font with normal and bold variants.
  libertineotf -- Linux Libertine fonts for use with lua(La)TeX and
   xe(La)TeX.
  libertine-legacy -- Linux Libertine fonts for TeX and pfdTeX users.
  libris -- Libris ADF fonts, with LaTeX support.
  linearA -- Linear A script fonts.
  lxfonts -- Set of slide fonts based on CM.
  ly1 -- Support for LY1 LaTeX encoding.
  mathabx -- Three series of mathematical symbols.
  mathabx-type1 -- Outline version of the mathabx fonts.
  mathdesign -- Mathematical fonts to fit with particular text fonts.
  mdputu -- Upright digits in Adobe Utopia Italic.
  mdsymbol -- Symbol fonts to match Adobe Myriad Pro.
  mnsymbol -- Mathematical symbol font for Adobe MinionPro.
  newtx -- Alternative uses of the TX fonts, with improved metrics.
  nkarta -- A "new" version of the karta cartographic fonts.
  ocherokee -- LaTeX Support for the Cherokee language.
  ocr-b -- Fonts for OCR-B.
  ocr-b-outline -- OCR-B fonts in Type 1 and OpenType.
  ogham -- Fonts for typesetting Ogham script.
  oinuit -- LaTeX Support for the Inuktitut Language.
  oldlatin -- Compute Modern like font with long s.
  oldstandard -- Old Standard: A Unicode Font for Classical and Medieval
   Studies.
  opensans -- The Open Sans font family, and LaTeX support.
  orkhun -- A font for orkhun script.
  pacioli -- Fonts designed by Fra Luca de Pacioli in 1497.
  paratype -- LaTeX support for free fonts by ParaType.
  phaistos -- Disk of Phaistos font.
  phonetic -- MetaFont Phonetic fonts, based on Computer Modern.
  pigpen -- A font for the pigpen (or masonic) cipher.
  poltawski -- Antykwa Poltawskiego Family of Fonts.
  prodint -- A font that provides the product integral symbol.
  psafm --
  pxtxalfa -- Virtual maths alphabets based on pxfonts and txfonts.
  punk -- Donald Knuth's punk font.
  punknova -- OpenType version of Knuth's Punk font.
  recycle -- A font providing the "recyclable" logo.
  romande -- Romande ADF fonts and LaTeX support.
  rsfso -- A mathematical calligraphic font based on rsfs.
  sansmathaccent -- Correct placement of accents in sans-serif maths.
  sauter -- Wide range of design sizes for CM fonts.
  sauterfonts -- Use sauter fonts in LaTeX.
  semaphor -- Semaphore alphabet font.
  skull -- A font to draw a skull.
  staves -- Typeset Icelandic staves and runic letters.
  starfont -- The StarFont Sans astrological font.
  stix -- OpenType Unicode maths fonts.
  tapir -- A simple geometrical font.
  tengwarscript -- LaTeX support for using Tengwar fonts.
  tfrupee -- A font offering the new (Indian) Rupee symbol.
  tpslifonts -- A LaTeX package for configuring presentation fonts.
  trajan -- Fonts from the Trajan column in Rome.
  txfontsb -- Extensions to txfonts, using GNU Freefont.
  umtypewriter -- Fonts to typeset with the xgreek package.
  universa -- Herbert Bayer's 'universal' font.
  urwchancal -- Use URW's clone of Zapf Chancery as a maths alphabet.
  venturisadf -- Venturis ADF fonts collection.
  wsuipa -- International Phonetic Alphabet fonts.
  xits -- A Scientific Times-like font with support for mathematical
   typesetting.
  yfonts -- Support for old German fonts.
Homepage: http://www.tug.org/texlive/
Tag: made-of::font, role::app-data, use::typesetting, works-with-format::tex,
 works-with::text
Section: fonts
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-fonts-extra_2012.20120611-2_all.deb

Package: texlive-fonts-extra-doc
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 68807
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: dpkg (>= 1.14.18), tex-common (>= 3), texlive-common (>= 2012.20120516)
Size: 56988464
SHA256: 68336deffa0e5c3d6bab7bd56f90410bd2e1fc5b49c07977fe05935d20a8c014
SHA1: 2f2b60db9248135b577c2c93cb0b370b4eb8063b
MD5sum: a444afaf933e6f8a0abb984979062fb9
Description: TeX Live: Documentation files for texlive-fonts-extra
 This package provides the documentation for texlive-fonts-extra
Homepage: http://www.tug.org/texlive/
Tag: made-of::pdf, made-of::tex, role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-fonts-extra-doc_2012.20120611-2_all.deb

Package: texlive-fonts-recommended
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 16125
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: ttf-marvosym, texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 5489426
SHA256: a114dfcae4da323c94d996dc83e8971bee8026283380c9faaf2788473e9bbe83
SHA1: 19893374c46cf314f34b791ceb40690a97688285
MD5sum: 3f53b10778a4a3768ff516ef2ab290c5
Description: TeX Live: Recommended fonts
 Recommended fonts, including the base 35 PostScript fonts, Latin Modern, TeX
   Gyre, and T1 and other encoding support for Computer Modern, in outline
   form.
 .
 This package includes the following CTAN packages:
  avantgar -- URW "Base 35" font pack for LaTeX.
  bookman -- URW "Base 35" font pack for LaTeX.
  charter -- Charter fonts.
  cmextra --
  courier -- URW "Base 35" font pack for LaTeX.
  euro -- Provide Euro values for national currency amounts.
  euro-ce -- Euro and CE sign font.
  eurosym -- MetaFont and macros for Euro sign.
  fpl -- SC and OsF fonts for URW Palladio L
  helvetic -- URW "Base 35" font pack for LaTeX.
  marvosym -- Martin Vogel's Symbols (marvosym) font.
  mathpazo -- Fonts to typeset mathematics to match Palatino.
  ncntrsbk -- URW "Base 35" font pack for LaTeX.
  palatino -- URW "Base 35" font pack for LaTeX.
  pxfonts -- Palatino-like fonts in support of mathematics.
  rsfs -- Ralph Smith's Formal Script font.
  symbol -- URW "Base 35" font pack for LaTeX.
  tex-gyre-math -- Maths fonts to match tex-gyre text fonts.
  times -- URW "Base 35" font pack for LaTeX.
  txfonts -- Times-like fonts in support of mathematics.
  utopia -- Adobe Utopia fonts.
  wasy -- The wasy fonts (Waldi symbol fonts).
  wasysym -- LaTeX support file to use the WASY2 fonts
  zapfchan -- URW "Base 35" font pack for LaTeX.
  zapfding -- URW "Base 35" font pack for LaTeX.
Homepage: http://www.tug.org/texlive/
Recommends: texlive-fonts-recommended-doc, tex-gyre, tipa (>= 2:1.2-2.1)
Section: tex
Priority: optional
Filename: pool/main/t/texlive-base/texlive-fonts-recommended_2012.20120611-5+deb7u1_all.deb

Package: texlive-fonts-recommended-doc
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 4616
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 3914342
SHA256: 3a69392050b1963fde2b586d07e7af776239f746e937b76b99addc9d836a67df
SHA1: f033430343809b6fcf34051f28facc8d7de05092
MD5sum: e7d7bd4361e595fb62b3d78cb9e49b03
Description: TeX Live: Documentation files for texlive-fonts-recommended
 This package provides the documentation for texlive-fonts-recommended
Homepage: http://www.tug.org/texlive/
Section: doc
Priority: optional
Filename: pool/main/t/texlive-base/texlive-fonts-recommended-doc_2012.20120611-5+deb7u1_all.deb

Package: texlive-formats-extra
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 4078
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-binaries (>= 2012-0), texlive-latex-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), dpkg (>= 1.15.4) | install-info, tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 1945408
SHA256: 3072804149df886cdebab380c58752f98aa54e7b89a83d61b1e74bb9e5c936d9
SHA1: 70f3ceb4ad622282577fcb376bcc4ee07200397f
MD5sum: 2f1312fc2031481cb1ba919e580b0a14
Description: TeX Live: Extra formats
 Collected TeX `formats', i.e., large-scale macro packages designed to be
   dumped into .fmt files, other than most common ones, such as latex and
   context.
 .
 This package includes the following CTAN packages:
  edmac -- Typeset scholarly edition.
  eplain -- Extended plain tex macros.
  mltex -- The MLTeX system.
  psizzl -- A TeX format for physics papers.
  startex -- An XML-inspired format for student use.
  texsis -- Plain TeX macros for Physicists.
Homepage: http://www.tug.org/texlive/
Tag: made-of::tex, role::app-data, use::typesetting, works-with-format::tex,
 works-with::text
Section: tex
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-formats-extra_2012.20120611-2_all.deb

Package: texlive-full
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 104
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: purifyeps, texlive-doc-ja (>= 2012.20120516), texlive-latex-base (>= 2012.20120516), latex-xcolor (>= 2.09), texlive-fonts-recommended-doc (>= 2012.20120516), texlive-plain-extra (>= 2012.20120516), texlive-lang-czechslovak (>= 2012.20120516), texlive-doc-base (>= 2012.20120516), dvidvi, texlive-latex-extra-doc (>= 2012.20120516), texlive-base (>= 2012.20120516), texlive-lang-hebrew (>= 2012.20120516), texlive-fonts-recommended (>= 2012.20120516), texlive-generic-extra (>= 2012.20120516), tex4ht (>= 20051214), texlive-fonts-extra (>= 2012.20120516), texlive-doc-tr (>= 2012.20120516), texlive-lang-other (>= 2012.20120516), texlive-doc-th (>= 2012.20120516), texlive-music (>= 2012.20120516), texlive-extra-utils (>= 2012.20120516), texlive-pictures-doc (>= 2012.20120516), texlive-doc-nl (>= 2012.20120516), texlive-pstricks (>= 2012.20120516), texlive-lang-vietnamese (>= 2012.20120516), texlive-common (>= 2012.20120516), texlive-lang-cjk (>= 2012.20120516), texlive-fonts-extra-doc (>= 2012.20120516), texlive-lang-arabic (>= 2012.20120516), tipa (>= 2:1.2-2.1), latex-cjk-all (>= 4.6.0+cvs20060714-2), pgf (>= 1.01.dfsg.1), texlive-formats-extra (>= 2012.20120516), texlive-lang-latvian (>= 2012.20120516), texlive-doc-it (>= 2012.20120516), psutils, texlive-latex-recommended-doc (>= 2012.20120516), lcdf-typetools, chktex, latex-sanskrit, texlive-lang-french (>= 2012.20120516), texlive-font-utils (>= 2012.20120516), texlive-humanities (>= 2012.20120516), texlive-lang-armenian (>= 2012.20120516), texlive-latex-recommended (>= 2012.20120516), texlive-doc-vi (>= 2012.20120516), texlive-metapost-doc (>= 2012.20120516), texlive-lang-indic (>= 2012.20120516), texlive-xetex (>= 2012.20120516), texlive-doc-fi (>= 2012.20120516), texlive-lang-german (>= 2012.20120516), texlive-latex-extra (>= 2012.20120516), texlive-lang-dutch (>= 2012.20120516), t1utils, texlive-metapost (>= 2012.20120516), texlive-doc-cs+sk (>= 2012.20120516), tex-gyre, texlive-bibtex-extra (>= 2012.20120516), texlive-science-doc (>= 2012.20120516), texlive-math-extra (>= 2012.20120516), texlive-doc-es (>= 2012.20120516), texlive-games (>= 2012.20120516), texlive-publishers-doc (>= 2012.20120516), texlive-publishers (>= 2012.20120516), texlive-pstricks-doc (>= 2012.20120516), feynmf, texlive-lang-tibetan (>= 2012.20120516), latexmk, texlive-doc-de (>= 2012.20120516), texlive-lang-italian (>= 2012.20120516), dvipng, texlive-lang-hungarian (>= 2012.20120516), fragmaster, lacheck, prosper (>= 1.00.4+cvs.2006.10.22), texlive-doc-si (>= 2012.20120516), texlive-lang-polish (>= 2012.20120516), texlive-lang-danish (>= 2012.20120516), texlive-doc-ar (>= 2012.20120516), texlive-doc-rs (>= 2012.20120516), cm-super (>= 0.3.3-3), texlive-generic-recommended (>= 2012.20120516), texlive-lang-greek (>= 2012.20120516), thailatex, texlive-doc-bg (>= 2012.20120516), texlive-lang-spanish (>= 2012.20120516), texlive-lang-latin (>= 2012.20120516), texlive-doc-en (>= 2012.20120516), texlive-latex-base-doc (>= 2012.20120516), texlive-lang-mongolian (>= 2012.20120516), texlive-science (>= 2012.20120516), texlive-lang-norwegian (>= 2012.20120516), texlive-doc-mn (>= 2012.20120516), texlive-luatex (>= 2012.20120516), info (>= 4.8), texlive-doc-uk (>= 2012.20120516), texlive-humanities-doc (>= 2012.20120516), texlive-binaries (>= 2012-0), texlive-doc-pl (>= 2012.20120516), texlive-doc-ko (>= 2012.20120516), texlive-omega (>= 2012.20120516), texlive-lang-cyrillic (>= 2012.20120516), texlive-doc-pt (>= 2012.20120516), texlive-lang-swedish (>= 2012.20120516), latex-beamer (>= 3.06.dfsg.1-0.1), context, lmodern (>= 0.93.3), texlive-lang-african (>= 2012.20120516), texlive-pictures (>= 2012.20120516), texlive-doc-ru (>= 2012.20120516), texlive-lang-english (>= 2012.20120516), xindy, texinfo (>= 4.8), texlive-doc-fr (>= 2012.20120516), texlive-lang-finnish (>= 2012.20120516), latexdiff, texlive-lang-portuguese (>= 2012.20120516), texlive-lang-croatian (>= 2012.20120516), texlive-doc-zh (>= 2012.20120516), texlive-lang-lithuanian (>= 2012.20120516)
Suggests: passivetex (>> 1.25-2), jadetex (>= 3.13-7.1), xmltex (>> 1.9-11.1)
Size: 37538
SHA256: abf332bc8f8547118d7362af74a4c33b043d102caf5e51f20b3ce7710cbf892b
SHA1: 45c5dfa52e7086ec919a4a18cad0fd44ff24c66d
MD5sum: 9de17981a1647b388331fafa369414a4
Description: TeX Live: metapackage pulling in all components of TeX Live
 The TeX Live software distribution offers a complete TeX system.
 It encompasses programs for typesetting, previewing and printing
 of TeX documents in many different languages, and a large collection
 of TeX macros and font libraries.
 .
 The distribution also includes extensive general documentation about
 TeX, as well as the documentation accompanying the included software
 packages.
Homepage: http://www.tug.org/texlive/
Section: tex
Priority: optional
Filename: pool/main/t/texlive-base/texlive-full_2012.20120611-5+deb7u1_all.deb

Package: texlive-games
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 6603
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-latex-base (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-common (>= 2012.20120516)
Size: 3108832
SHA256: cdbfaa7b45f8c115c9afd7019df4916b631a4d4d9f18f904ced2e2f6e209f90f
SHA1: 07290040f8a2e821c0ef031fbcebc5365e32352c
MD5sum: 354e1b92a21fce0412b8ff84ff1f2f48
Description: TeX Live: Games typesetting
 Setups for typesetting various games, including chess.
 .
 This package includes the following CTAN packages:
  bartel-chess-fonts -- A set of fonts supporting chess diagrams.
  chess -- Fonts for typesetting chess boards.
  chess-problem-diagrams -- A package for typesetting chess problem diagrams.
  chessboard -- Print chess boards.
  chessfss -- A package to handle chess fonts.
  crossword -- Typeset crossword puzzles.
  crosswrd -- Macros for typesetting crossword puzzles.
  egameps -- LaTeX package for typesetting extensive games.
  gamebook -- Typeset gamebooks and other interactive novels.
  go -- Fonts and macros for typesetting go games.
  hanoi -- Tower of Hanoi in TeX.
  hexgame -- Provide an environment to draw a hexgame-board.
  othello -- Create othello boards in LaTeX.
  othelloboard -- Typeset Othello (Reversi) diagrams of any size, with
   annotations.
  psgo -- Typeset go diagrams with PSTricks.
  reverxii -- Playing Reversi in TeX.
  schwalbe-chess -- Typeset the German chess magazine "Die Schwalbe"
  sgame -- LaTeX style for typesetting strategic games.
  skak -- Fonts and macros for typesetting chess games.
  skaknew -- The skak chess fonts redone in Adobe Type 1.
  sudoku -- Create sudoku grids.
  sudokubundle -- A set of sudoku-related packages.
  xq -- Support for writing about xiangqi.
  xskak -- An extension to the skak package for chess typesetting.
Homepage: http://www.tug.org/texlive/
Tag: game::board, game::board:chess, game::puzzle, implemented-in::TODO,
 made-of::font, made-of::tex, role::app-data, use::gameplaying,
 use::typesetting, works-with::graphs, works-with::image
Section: tex
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-games_2012.20120611-2_all.deb

Package: texlive-generic-extra
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 13145
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 7233086
SHA256: dba2765e45fe412fc1c085b538b0327519faf8f4f52e0bd717bb2ff57f1bfbe9
SHA1: 7bb6693b4f60addb57fdddb2fa51ee3a8d73c148
MD5sum: 22e5d8de67b62851ec277ae0819f58b2
Description: TeX Live: Extra generic packages
 Extra packages that work with multiple formats, typically both TeX and
   LaTeX.
 .
 This package includes the following CTAN packages:
  abbr -- Simple macros supporting abreviations for Plain and LaTeX.
  abstyles -- Adaptable BibTeX styles.
  barr -- Diagram macros by Michael Barr.
  bitelist -- Split list, in TeX's mouth.
  borceux -- Diagram macros by Francois Borceux.
  c-pascal -- Typeset Python, C and Pascal programs.
  chronosys -- Drawing time-line diagrams.
  colorsep -- Color separation.
  dinat -- Bibliography style for German texts.
  dirtree -- Display trees in the style of windows explorer.
  dowith --
  eijkhout -- Victor Eijkhout's packages.
  encxvlna -- Insert nonbreakable spaces, using encTeX.
  epigram -- Display short quotations.
  fenixpar -- One-shot changes to token registers such as \everypar.
  fltpoint -- Simple floating point arithmetic.
  fntproof -- A programmable font test pattern generator.
  gates -- Support for writing modular and customisable code.
  ifetex -- Provides \ifetex switch.
  iftex -- Am I running under pdfTeX, XeTeX or LuaTeX?
  insbox -- A TeX macro for inserting pictures/boxes into paragraphs.
  lecturer -- On-screen presentations for (almost) all formats.
  librarian -- Tools to create bibliographies in TeX.
  mathdots -- Commands to produce dots in math that respect font size.
  metatex -- Incorporate MetaFont pictures in TeX source.
  midnight -- A set of useful macro tools.
  multi --
  navigator -- PDF features across formats and engines.
  ofs -- Macros for managing large font collections.
  pdf-trans -- A set of macros for various transformations of TeX boxes.
  shade -- Shade pieces of text.
  systeme -- Format systems of equations.
  tabto-generic -- "Tab" to a measured position in the line.
  texapi -- Macros to write format-independent packages.
  upca --
  xlop -- Calculates and displays arithmetic operations.
  yax -- Yet Another Key System.
Homepage: http://www.tug.org/texlive/
Tag: made-of::font, made-of::tex, role::app-data, works-with-format::pdf,
 works-with-format::postscript
Section: tex
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-generic-extra_2012.20120611-2_all.deb

Package: texlive-generic-recommended
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 5751
Maintainer: Debian TeX Maintainers 
Architecture: all
Replaces: texlive-latex-extra-doc (<< 2010)
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), dpkg (>= 1.15.4) | install-info, tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 2139414
SHA256: 60a53389a524131f713fe165db8fd03928d9e97e3927033ba838ad5f5decdeed
SHA1: 989eb15224bcae2bd342b0f8a7cdfd198e3d97ac
MD5sum: 244913a05af5c50e8d260d3904aa98cd
Description: TeX Live: Recommended generic packages
 Recommended packages that work with multiple formats.
 .
 This package includes the following CTAN packages:
  epsf -- Simple macros for EPS inclusion.
  fontname -- Scheme for naming fonts in TeX.
  genmisc --
  kastrup --
  multido -- A loop facility for Generic TeX.
  path -- Typeset paths, making them breakable.
  tex-ps -- TeX to PostScript generic macros and add-ons.
  ulem -- Package for underlining.
Homepage: http://www.tug.org/texlive/
Section: tex
Priority: optional
Filename: pool/main/t/texlive-base/texlive-generic-recommended_2012.20120611-5+deb7u1_all.deb

Package: texlive-humanities
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 1609
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-latex-base (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-common (>= 2012.20120516)
Recommends: texlive-humanities-doc
Size: 316112
SHA256: 17631ab319a4729752c83ef3426670691e81946d4e0e3751c9cb22905b2bde87
SHA1: f02eabd281895f1b603f8b338e2089a1369e8ca0
MD5sum: 87dd5bfac2167254ab9cc09058f52a69
Description: TeX Live: Humanities packages
 Packages for law, linguistics, the social sciences, the humanities, etc.
 .
 This package includes the following CTAN packages:
  alnumsec -- Alphanumeric section numbering.
  arydshln -- Horizontal and vertical dashed lines in arrays and tabulars.
  bibleref -- Format bible citations.
  bibleref-lds -- Bible references, including those to the scriptures of the
   Church of Jesus Christ of Latter Day Saints.
  bibleref-mouth -- Consistent formatting of Bible references.
  bibleref-parse -- Specify Bible passages in human-readable format.
  covington -- Linguistic support.
  dramatist -- Typeset dramas, both in verse and in prose.
  ecltree -- Trees using epic and eepic macros.
  edfnotes -- Critical annotations to footnotes with ednotes.
  ednotes -- Typeset scholarly editions.
  gb4e -- Linguistic tools.
  gmverse -- a package for typesetting (short) poems.
  jura -- A document class for German legal texts.
  juraabbrev -- Abbreviations for typesetting (German) juridical documents.
  juramisc -- Typesetting German juridical documents.
  jurarsp -- Citations of judgements and official documents in (German)
   juridical documents.
  ledmac -- Typeset scholarly editions in parallel texts.
  lexikon -- Macros for a two language dictionary.
  lineno -- Line numbers on paragraphs.
  linguex -- Format linguists' examples.
  liturg -- Support for typesetting Catholic liturgical texts.
  parallel -- Typeset parallel texts.
  parrun -- Typesets (two) streams of text running parallel.
  plari -- Typesetting stageplay scripts.
  play -- Typeset drama using LaTeX.
  poemscol -- Typesetting Critical Editions of Poetry.
  qobitree -- LaTeX macros for typesetting trees.
  qtree -- Draw tree structures.
  rtklage -- A package for German lawyers
  screenplay -- A class file to typeset screenplays.
  sides -- A LaTeX class for typesetting stage plays.
  stage -- A LaTeX class for stage plays
  tree-dvips -- Trees and other linguists' macros.
  verse -- Aids for typesetting simple verse.
  xyling -- Draw syntactic trees, etc., for linguistics literature, using xy-
   pic.
Homepage: http://www.tug.org/texlive/
Section: tex
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-humanities_2012.20120611-2_all.deb

Package: texlive-humanities-doc
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 13570
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: dpkg (>= 1.14.18), tex-common (>= 3), texlive-common (>= 2012.20120516)
Size: 10821504
SHA256: be96782351ee85341d053df4badc822ff21691546429c6fd1678b9bf1c80e41d
SHA1: 73f159082210b6c5d5ff5231ecbc55db76d60d65
MD5sum: e3913f1d6a9e7cee87cb66af18cae8ec
Description: TeX Live: Documentation files for texlive-humanities
 This package provides the documentation for texlive-humanities
Homepage: http://www.tug.org/texlive/
Tag: made-of::pdf, made-of::tex, role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-humanities-doc_2012.20120611-2_all.deb

Package: texlive-lang-african
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 8212
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 5908024
SHA256: 5deeb065c99bd5ba1e5f8f319977b5a682845e50baa42b88b4cd489a0c82dfd4
SHA1: 20ea36782c302c1fe8afa136ded303f96240e6e3
MD5sum: 33a652a0209f58f3626b789636b0060b
Description: TeX Live: African scripts
 Support for typesetting some African scripts
 .
 This package includes the following CTAN packages:
  ethiop -- LaTeX macros and fonts for typesetting Amharic.
  ethiop-t1 -- Type 1 versions of Amharic fonts.
  fc -- Fonts for African languages.
  hyphen-ethiopic -- Hyphenation patterns for Ethiopic scripts.
Homepage: http://www.tug.org/texlive/
Tag: culture::TODO, made-of::font, role::app-data, use::typesetting
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-african_2012.20120611-2_all.deb

Package: texlive-lang-all
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 97
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-lang-latvian (>= 2012.20120516), texlive-lang-tibetan (>= 2012.20120516), texlive-lang-french (>= 2012.20120516), texlive-lang-cyrillic (>= 2012.20120516), texlive-lang-italian (>= 2012.20120516), texlive-lang-swedish (>= 2012.20120516), texlive-lang-czechslovak (>= 2012.20120516), texlive-lang-armenian (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-lang-hungarian (>= 2012.20120516), texlive-lang-polish (>= 2012.20120516), texlive-lang-hebrew (>= 2012.20120516), texlive-lang-danish (>= 2012.20120516), texlive-lang-african (>= 2012.20120516), texlive-lang-indic (>= 2012.20120516), texlive-lang-other (>= 2012.20120516), texlive-lang-german (>= 2012.20120516), texlive-lang-greek (>= 2012.20120516), texlive-lang-spanish (>= 2012.20120516), texlive-lang-dutch (>= 2012.20120516), texlive-lang-latin (>= 2012.20120516), texlive-lang-vietnamese (>= 2012.20120516), texlive-lang-english (>= 2012.20120516), texlive-lang-mongolian (>= 2012.20120516), texlive-lang-cjk (>= 2012.20120516), texlive-lang-arabic (>= 2012.20120516), texlive-lang-finnish (>= 2012.20120516), texlive-lang-portuguese (>= 2012.20120516), texlive-lang-norwegian (>= 2012.20120516), texlive-lang-croatian (>= 2012.20120516), texlive-lang-lithuanian (>= 2012.20120516)
Size: 24806
SHA256: b4ceadfd7df0a2d6ef5a1ec8154c6dfcb9e9cdfe6b6b4ef5955c1b369aad109a
SHA1: 8c183fed557c07fdad02041d982f6de950ffdc48
MD5sum: 47a7349d273d3bad8ce57ee33976d161
Description: TeX Live: metapackage depending on all TeX Live language packages
 This package pulls in all texlive-lang-*
 packages.
Homepage: http://www.tug.org/texlive/
Tag: role::dummy
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-all_2012.20120611-2_all.deb

Package: texlive-lang-arabic
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 14402
Maintainer: Debian TeX Maintainers 
Architecture: all
Replaces: arabtex, texlive-lang-arab
Provides: arabtex, texlive-lang-arab
Depends: fonts-hosny-amiri, texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Conflicts: arabtex, texlive-lang-arab
Size: 8299724
SHA256: 4d76eb293715e7eaa4685f21d1cc1d5fdfd8d6ea5e0bff0461c72287751a51e8
SHA1: e8f4a4159559c6ef14a295f86e6de508d37b10e2
MD5sum: 8db35af7fad333d4fe9ce90633a753cb
Description: TeX Live: Arabic
 Support for typesetting Arabic.
 .
 This package includes the following CTAN packages:
  amiri -- A classical Arabic typeface, Naskh style.
  arabi -- (La)TeX support for Arabic and Farsi, compliant with Babel.
  arabtex -- Macros and fonts for typesetting Arabic.
  bidi -- Support for bidirectional typesetting in plain TeX and LaTeX.
  hyphen-arabic -- (No) Arabic hyphenation patterns.
  hyphen-farsi -- (No) Persian hyphenation patterns.
  persian-bib -- Persian translations of classic BibTeX styles.
  persian-modern -- The "Persian Modern" family of fonts.
Homepage: http://www.tug.org/texlive/
Tag: culture::arabic, culture::farsi, role::app-data
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-arabic_2012.20120611-2_all.deb

Package: texlive-lang-armenian
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 97
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 24624
SHA256: 3040bacdd5167a4de9cc6c4b218a613df11ee1109bb46e527cbf4357a13787a6
SHA1: 1772d4a4088506e395f04460addecff24f5399f5
MD5sum: bc7a9016286e8cee806ed7b8869eb2ec
Description: TeX Live: Armenian
 Support for typesetting Armenian.
Homepage: http://www.tug.org/texlive/
Tag: culture::TODO, role::app-data
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-armenian_2012.20120611-2_all.deb

Package: texlive-lang-cjk
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 241029
Maintainer: Debian TeX Maintainers 
Architecture: all
Replaces: mendexk, ptex-bin
Provides: okumura-clsfiles, ptex-jisfonts, vfdata-morisawa5
Depends: fonts-ipafont-mincho, fonts-ipaexfont-mincho, texlive-binaries (>= 2012-0), fonts-ipaexfont-gothic, texlive-latex-base (>= 2012.20120516), texlive-doc-zh (>= 2012.20120516), fonts-ipafont-gothic, texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Recommends: ruby | ruby-interpreter, thailatex, latex-cjk-all (>= 4.6.0+cvs20060714-2)
Conflicts: okumura-clsfiles, ptex-base, ptex-bin (<< 2011), ptex-jisfonts, vfdata-morisawa5
Size: 15222320
SHA256: 6e68c51953971c5e7549ab58b182fa3328b072574aa2f8e8f64a7380df7b4df0
SHA1: 691ef5ed630813ca4734a09e9af07762788e1c6d
MD5sum: 67a2e52d86913f6b1463ef4ef4eab7e2
Description: TeX Live: Chinese, Japanese, Korean
 CJK (Chinese, Japanese, Korean) macros, fonts, documentation, also Thai
   since there is some overlap in the fonts.
 .
 This package includes the following CTAN packages:
  adobemapping -- Adobe cmap and pdfmapping files
  c90 --
  cns --
  ctex -- LaTeX classes and packages for Chinese typesetting.
  hyphen-chinese -- Chinese pinyin hyphenation patterns.
  ipaex -- IPA and IPAex fonts from Information-technology Promotion Agency,
   Japan.
  japanese -- A substitute for a babel package for Japanese.
  japanese-otf -- Advanced font selection for platex and its friends.
  japanese-otf-uptex -- Support for Japanese OTF files in upLaTeX.
  jfontmaps -- Font maps for Japanese fonts.
  jsclasses -- Classes tailored for use with Japanese.
  luatexja -- Typesest Japanese with lua(la)tex.
  ptex -- A TeX system for publishing in Japanese.
  uhc -- Fonts for the Korean language.
  uptex --
  zhmetrics -- TFM subfont files for using Chinese fonts in 8-bit TeX.
  zhnumber --
  zhspacing -- Spacing for mixed CJK-English documents in XeTeX.
Homepage: http://www.tug.org/texlive/
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-cjk_2012.20120611-2_all.deb

Package: texlive-lang-croatian
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 329
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 126290
SHA256: cac6df258e05e32bd1bcb86b5f89b824e54cdd5a4735f9c3f7f665bb8bc9838a
SHA1: 9ea3c1dc7815223c38fbd78ea9937b09d4393795
MD5sum: c7b41044f50596de13f9ff05be98bcb3
Description: TeX Live: Croatian
 Support for typesetting Croatian.
 .
 This package includes the following CTAN packages:
  hrlatex -- LaTeX support for Croatian documents.
  hyphen-croatian -- Croatian hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::croatian, role::plugin, use::typesetting,
 works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-croatian_2012.20120611-2_all.deb

Package: texlive-lang-cyrillic
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 13320
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-binaries (>= 2012-0), texlive-latex-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 4695878
SHA256: 6b7e1d57617907a67c639b3add06fd477d1ca840dc9da5d38f69d2a83f0e19ee
SHA1: d3d6788b59b6e693f2bef8e48a73aa14de72e2c6
MD5sum: 6572a5d070ceaade425fa9e63182ec6d
Description: TeX Live: Cyrillic
 Support for typesetting Cyrillic.
 .
 This package includes the following CTAN packages:
  cmcyr -- Computer Modern fonts with cyrillic extensions.
  cyrillic -- Support for Cyrillic fonts in LaTeX.
  cyrillic-bin -- Cyrillic bibtex and makeindex.
  cyrplain --
  disser -- Class and templates for typesetting dissertations in Russian.
  eskd -- Modern Russian typesetting.
  eskdx -- Modern Russian typesetting.
  gost -- BibTeX styles to format according to GOST.
  lcyw -- Make Classic Cyrillic CM fonts accessible in LaTeX.
  lh -- Cyrillic fonts that support LaTeX standard encodings.
  lhcyr -- A non-standard Cyrillic input scheme.
  ruhyphen -- Russian hyphenation.
  russ -- LaTeX in Russian, without babel.
  t2 -- Support for using T2 encoding.
  ukrhyph -- Hyphenation Patterns for Ukrainian.
  hyphen-bulgarian -- Bulgarian hyphenation patterns.
  hyphen-russian -- Russian hyphenation patterns.
  hyphen-ukrainian -- Ukrainian hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: made-of::font, made-of::tex, role::app-data, suite::TODO,
 use::typesetting, works-with-format::tex, works-with::text
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-cyrillic_2012.20120611-2_all.deb

Package: texlive-lang-czechslovak
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 3221
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-binaries (>= 2012-0), texlive-latex-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 2199784
SHA256: 0b033f81373a9d18b8fbe1617b828341a90a32c002d30af4481f24eff546eb65
SHA1: 7fe7e17297ea3a7ad3c19d9c154d29b7e54d166c
MD5sum: 49709013bb0ab274d8f4dec7c20e0205
Description: TeX Live: Czech/Slovak
 Support for typesetting Czech/Slovak.
 .
 This package includes the following CTAN packages:
  cs --
  csbulletin -- LaTeX class for articles submitted to the CSTUG Bulletin
   (Zpravodaj).
  cslatex -- LaTeX support for Czech/Slovak typesetting.
  csplain -- Plain TeX support for Czech/Slovak typesetting.
  vlna --
  hyphen-czech -- Czech hyphenation patterns.
  hyphen-slovak -- Slovak hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::czech, culture::slovak, made-of::font, made-of::tex,
 role::app-data, use::typesetting, works-with::text
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-czechslovak_2012.20120611-2_all.deb

Package: texlive-lang-danish
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 126
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 25192
SHA256: 877e3ee2e1c98b6149b2fa2f03353c7793ced71b71ec3220b9decf3b82954f0e
SHA1: 1142b7a53c64efff6ec3ecd912beb6eca9a5d6b4
MD5sum: 03eab3ea3771b58612ee4695735ac416
Description: TeX Live: Danish
 Support for typesetting Danish.
 .
 This package includes the following CTAN packages:
  hyphen-danish -- Danish hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::danish, role::plugin, use::typesetting, works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-danish_2012.20120611-2_all.deb

Package: texlive-lang-dutch
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 126
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 25194
SHA256: d445bf373b420935b35ff37a0dc2e6677f62354d414d4452c9d0564d0f1f67c1
SHA1: b37ddcfbee2c102bd27ae6545ab8326a1ddf5b0b
MD5sum: bd63f22d3734675763fadbd20fb0ece5
Description: TeX Live: Dutch
 Support for typesetting Dutch.
 .
 This package includes the following CTAN packages:
  hyphen-dutch -- Dutch hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::dutch, role::plugin, use::typesetting, works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-dutch_2012.20120611-2_all.deb

Package: texlive-lang-english
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 126
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 25156
SHA256: 1e58561294eb4c0d3aae1108f4ae198a6d0b037ce324752a1f6a30fe9e135aba
SHA1: 6037f9b4ac8d53a704517806f90cedf624347305
MD5sum: 53b869b9c917159b64c86de5c671f901
Description: TeX Live: US and UK English
 Support for typesetting US and UK English.
 .
 This package includes the following CTAN packages:
  hyphen-english -- English hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-english_2012.20120611-2_all.deb

Package: texlive-lang-finnish
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 167
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 30068
SHA256: 7b7fc4e4e4cec0b6eb94220a1f6025f06629ad0786acdaa554a1009a088efbf8
SHA1: 47af4fcc706a48fbbdc31d967b0a230f5b51be72
MD5sum: 503982b9ceffea2ddc55d7af622aa07a
Description: TeX Live: Finnish
 Support for typesetting Finnish.
 .
 This package includes the following CTAN packages:
  finbib -- A Finnish version of plain.bst.
  hyphen-finnish -- Finnish hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::finnish, role::plugin, use::typesetting, works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-finnish_2012.20120611-2_all.deb

Package: texlive-lang-french
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 4893
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 3650078
SHA256: ebc890090e76b7d3b4f9ee30d3208bb9c9220bf30d9ab49fd73f71aadefe5d76
SHA1: c6a8513d0e153e109e20176ba0f299530fe96948
MD5sum: 836b6751df7a2977888270867cc1fd95
Description: TeX Live: French
 Support for typesetting French and Basque.
 .
 This package includes the following CTAN packages:
  aeguill -- Add several kinds of guillemets to the ae fonts.
  basque-date -- Print the date in Basque.
  bib-fr -- French translation of classical BibTeX styles
  bibleref-french -- French translations for bibleref.
  booktabs-fr -- French translation of booktabs documentation.
  droit-fr -- Document class and bibliographic style for French law.
  facture -- Generate an invoice.
  frenchle -- French macros, usable stand-alone or with Babel.
  frletter -- Typeset letters in the French style.
  impnattypo -- Support typography of l'Imprimerie Nationale FranASSaise.
  mafr -- Mathematics in accord with French usage.
  tabvar -- Typesetting tables showing variations of functions.
  tdsfrmath -- Macros for French teachers of mathematics.
  variations -- Typeset tables of variations of functions.
  hyphen-basque -- Basque hyphenation patterns.
  hyphen-french -- French hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::french, role::plugin, use::typesetting, works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-french_2012.20120611-2_all.deb

Package: texlive-lang-german
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 10151
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 8253760
SHA256: 9cd31e8363031f439c27c861ce9402ca7f9f73f039d01f7eb6fd10cfaabbb32b
SHA1: bd04c94deec822eb3f6f125093dd4280bd02fbb1
MD5sum: 6757ad3f113f7b5d6c2a49ae29ee4b72
Description: TeX Live: German
 Support for typesetting German.
 .
 This package includes the following CTAN packages:
  bibleref-german -- German adaptation of bibleref.
  dehyph-exptl -- Experimental hyphenation patterns for the German language.
  dhua -- German abbreviations using thin space.
  booktabs-de -- German version of booktabs.
  csquotes-de -- German translation of csquotes documentation.
  etoolbox-de -- German translation of documentation of etoolbox.
  geometry-de -- German translation of the geometry package.
  german -- Support for German typography.
  germbib -- German variants of standard BibTeX styles.
  germkorr -- Change kerning for german quotation marks.
  hausarbeit-jura -- Class for writing "juristiche Hausarbeiten" at German
   Universities.
  microtype-de -- Translation into German of the documentation of microtype.
  r_und_s -- Chemical hazard codes.
  tipa-de -- German translation of tipa documentation.
  umlaute -- German input encodings in LaTeX.
  hyphen-german -- German hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::german, field::biology, field::chemistry, role::app-data
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-german_2012.20120611-2_all.deb

Package: texlive-lang-greek
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 86913
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: fonts-gfs-baskerville, fonts-gfs-porson, texlive-binaries (>= 2012-0), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 72935894
SHA256: 4a39f7b3872cff9e89cad954e3affdb83a3a6598e4d33aa61ab54f592e02e4d6
SHA1: 995cabe069989967b757e0f86dd68be44eb6ca3f
MD5sum: bfa2f740f45ff16f782b182961969949
Description: TeX Live: Greek
 Support for typesetting Greek.
 .
 This package includes the following CTAN packages:
  betababel -- Insert ancient greek text coded in Beta Code.
  bgreek -- Using Beccari's fonts in betacode for classical Greek.
  cbfonts -- Complete set of Greek fonts.
  gfsbaskerville -- A Greek font, from one such by Baskerville.
  gfsporson -- A Greek font, originally from Porson.
  greek-inputenc -- Greek encoding support for inputenc.
  greekdates -- Provides ancient Greek day and month names, dates, etc.
  greektex -- Fonts for typesetting Greek/English documents.
  grverb -- Typesetting Greek verbatim.
  ibycus-babel -- Use the Ibycus 4 Greek font with Babel
  ibygrk -- Fonts and macros to typeset ancient Greek.
  kerkis -- Kerkis (Greek) font family.
  levy -- Fonts for typesetting classical greek.
  lgreek -- LaTeX macros for using Silvio Levy's Greek fonts.
  lgrx --
  mkgrkindex -- Makeindex working with Greek.
  teubner -- Philological typesetting of classical Greek.
  xgreek -- XeLaTeX package for typesetting Greek language documents (beta
   release).
  yannisgr -- Greek fonts by Yannis Haralambous.
  hyphen-greek -- Modern Greek hyphenation patterns.
  hyphen-ancientgreek -- Ancient Greek hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::greek, role::plugin, use::typesetting, works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-greek_2012.20120611-2_all.deb

Package: texlive-lang-hebrew
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 415
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 161672
SHA256: 98ed79533518f987be739297df265af629041da48eb3fa0591fafd3557fa133f
SHA1: 0d6e48e1d70bb296aed2950d33a3e4f34fde2581
MD5sum: 99c42af8bcbb5808f31fd834501e876b
Description: TeX Live: Hebrew
 Support for typesetting Hebrew.
 .
 This package includes the following CTAN packages:
  cjhebrew -- Typeset Hebrew with LaTeX.
Homepage: http://www.tug.org/texlive/
Tag: culture::hebrew, role::app-data
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-hebrew_2012.20120611-2_all.deb

Package: texlive-lang-hungarian
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 341
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 196564
SHA256: 8a43a52261dffc89afc3d8abac372dbc22d7b184c79f1a9b16a205f0c84e1fd7
SHA1: 07a600b15a7ac16b49a886353c9eed7d1c721054
MD5sum: 31535eca8c5dd1281e88f4c275ec5777
Description: TeX Live: Hungarian
 Support for typesetting Hungarian.
 .
 This package includes the following CTAN packages:
  magyar -- Hungarian language definition for Babel.
  hyphen-hungarian -- Hungarian hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::hungarian, role::plugin, use::typesetting,
 works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-hungarian_2012.20120611-2_all.deb

Package: texlive-lang-indic
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 8645
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: python, texlive-binaries (>= 2012-0), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Recommends: latex-sanskrit (>= 2.2)
Size: 5927820
SHA256: 4f0610dcbb9f1897d11fb7c095b272c1d831b4a92aabd7266e5ab14dee8ec570
SHA1: efffedc99d6ec7d0c8653ad193efd4176462345e
MD5sum: cf67d96eaead43d04d929627083d2191
Description: TeX Live: Indic scripts
 Support for typesetting some Indic scripts.
 .
 This package includes the following CTAN packages:
  bangtex -- Writing Bangla and Assamese with LaTeX.
  bengali -- Support for the Bengali language.
  burmese -- Basic Support for Writing Burmese.
  devnag -- Typeset Devanagari.
  ebong -- Utility for writing Bengali in Rapid Roman Format.
  hyphen-indic -- Indic hyphenation patterns.
  hyphen-sanskrit -- Sanskrit hyphenation patterns.
  malayalam -- LaTeX for Malayalam.
  velthuis -- Typeset Devanagari.
  wnri -- Ridgeway's fonts.
  wnri-latex -- LaTeX support for wnri fonts.
  xetex-devanagari -- XeTeX input map for Unicode Devanagari.
Homepage: http://www.tug.org/texlive/
Tag: culture::TODO, culture::bengali, culture::tamil, implemented-in::c,
 made-of::font, made-of::tex, role::app-data, use::text-formatting,
 use::typesetting, works-with::text
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-indic_2012.20120611-2_all.deb

Package: texlive-lang-italian
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 2110
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 1771952
SHA256: 3b82b7129df20b6a5d4f1c9955801a983f78f3a94fb1e2c412e068ba33f13070
SHA1: 8e2d8da958cd6ebc2bb38fa14544eacb4a7b66fa
MD5sum: acf42680b077dd40c22935bb1d0c1b3a
Description: TeX Live: Italian
 Support for typesetting Italian.
 .
 This package includes the following CTAN packages:
  codicefiscaleitaliano -- Test the consistency of the Italian personal
   Fiscal Code.
  fixltxhyph -- Allow hyphenation of partially-emphasised substrings.
  hyphen-italian -- Italian hyphenation patterns.
  frontespizio -- Create a frontispiece for Italian theses.
  itnumpar -- Spell numbers in words (Italian).
  layaureo -- A package to improve the A4 page layout.
Homepage: http://www.tug.org/texlive/
Tag: culture::italian, role::plugin, use::typesetting, works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-italian_2012.20120611-2_all.deb

Package: texlive-lang-latin
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 126
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 25194
SHA256: a7d7107164ed9c45aac91d7f9637467d6e608c070fc13d5134e3ec7fded29236
SHA1: 3abd94ce5b0f3fecfba980142903c5d5a5333f3f
MD5sum: 1d7e5bedaa097919c7b985c4c063cca3
Description: TeX Live: Latin
 Support for typesetting Latin.
 .
 This package includes the following CTAN packages:
  hyphen-latin -- Latin hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::TODO, role::plugin, use::typesetting, works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-latin_2012.20120611-2_all.deb

Package: texlive-lang-latvian
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 126
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 25116
SHA256: 1e8fc1c58f6cd88b969ae20e912dbc22f140c7968deea0bd1945b0f985ad4167
SHA1: 6c6d43e768cae6f686c5d18aa2efcce0a02c4b5c
MD5sum: 265079161c18efb7a559c94f71fcf443
Description: TeX Live: Latvian
 Support for typesetting Latvian.
 .
 This package includes the following CTAN packages:
  hyphen-latvian -- Latvian hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::latvian, role::app-data
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-latvian_2012.20120611-2_all.deb

Package: texlive-lang-lithuanian
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 570
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 165674
SHA256: 628931783f480bdf62896618498c66b5fbbdb78182179808ae461495ee968342
SHA1: 75dc290ed51ef84960db7a9711d7d258d8dc9cca
MD5sum: 9a0c30960cdffa5df2e17a7dfdfe51bd
Description: TeX Live: Lithuanian
 Support for typesetting Lithuanian.
 .
 This package includes the following CTAN packages:
  lithuanian -- Lithuanian language support.
  hyphen-lithuanian -- Lithuanian hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::TODO, role::app-data
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-lithuanian_2012.20120611-2_all.deb

Package: texlive-lang-mongolian
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 4981
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 2483108
SHA256: e71d82d4588028ffa6072458758ee1b757b16d168ed2726f18a8a6b4acc155eb
SHA1: d68a617b40fda604e5d64c3f19b3f54283380d50
MD5sum: 7a91939ddb275bd51f999927d9bb87ef
Description: TeX Live: Mongolian
 Support for typesetting Mongolian.
 .
 This package includes the following CTAN packages:
  hyphen-mongolian -- Mongolian hyphenation patterns in Cyrillic script.
  mongolian-babel -- A language definition file for Mongolian in Babel.
  montex -- Mongolian LaTeX.
Homepage: http://www.tug.org/texlive/
Tag: culture::mongolian, role::plugin, use::typesetting,
 works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-mongolian_2012.20120611-2_all.deb

Package: texlive-lang-norwegian
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 127
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 25242
SHA256: 100d5b0683481bb62e0294497211665bb1ae35a061a7622107995b2fa9a8fdef
SHA1: a2f1a5cd95c2254573927d32e8a593a2c70816dd
MD5sum: af90b2494ecb3108190f0d90dc450d78
Description: TeX Live: Norwegian
 Support for typesetting Norwegian.
 .
 This package includes the following CTAN packages:
  hyphen-norwegian -- Norwegian Bokmal and Nynorsk hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::norwegian, role::plugin, use::typesetting,
 works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-norwegian_2012.20120611-2_all.deb

Package: texlive-lang-other
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 128
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 25666
SHA256: 569eca8331ffdadb8434f91c3979a35f1baabd34b77c07b8b8bd813f374e293f
SHA1: 8534cf92e31a30067f2fad4ca3ce325b3c24a8a6
MD5sum: ef508fa376dd4fe7a3704280aac1943d
Description: TeX Live: Other hyphenation patterns
 Hyphenation patterns for languages without (much) other support.
 .
 This package includes the following CTAN packages:
  hyphen-afrikaans -- Afrikaans hyphenation patterns.
  hyphen-armenian -- Armenian hyphenation patterns.
  hyphen-coptic -- Coptic hyphenation patterns.
  hyphen-esperanto -- Esperanto hyphenation patterns.
  hyphen-estonian -- Estonian hyphenation patterns.
  hyphen-friulan -- Friulan hyphenation patterns.
  hyphen-icelandic -- Icelandic hyphenation patterns.
  hyphen-indonesian -- Indonesian hyphenation patterns.
  hyphen-interlingua -- Interlingua hyphenation patterns.
  hyphen-irish -- Irish hyphenation patterns.
  hyphen-kurmanji -- Kurmanji hyphenation patterns.
  hyphen-romanian -- Romanian hyphenation patterns.
  hyphen-romansh -- Romansh hyphenation patterns.
  hyphen-serbian -- Serbian hyphenation patterns.
  hyphen-slovenian -- Slovenian hyphenation patterns.
  hyphen-turkish -- Turkish hyphenation patterns.
  hyphen-uppersorbian -- Upper Sorbian hyphenation patterns.
  hyphen-welsh -- Welsh hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::TODO, culture::esperanto, culture::estonian,
 culture::icelandic, culture::romanian, culture::serbian,
 culture::turkish, culture::welsh, role::plugin, use::typesetting,
 works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-other_2012.20120611-2_all.deb

Package: texlive-lang-polish
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 6127
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-binaries (>= 2012-0), texlive-latex-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Suggests: texlive-fonts-extra
Size: 3538658
SHA256: 69976571ae72a10a46d7068a22a98d7ab89ed164275c76fe3e0695feb1d8cbed
SHA1: 0efaa5988dec062625ac01c7853a2b0c3a945148
MD5sum: 78762d0fe8069d40975f2c7156ba6a13
Description: TeX Live: Polish
 Support for typesetting Polish.
 .
 This package includes the following CTAN packages:
  cc-pl -- Polish extension of Computer Concrete fonts.
  gustlib -- Polish oriented macros.
  gustprog --
  mex -- Polish formats for TeX.
  mwcls -- Polish-oriented document classes.
  pl -- Polish extension of CM fonts in Type 1 format.
  polski -- Typeset Polish documents with LaTeX and Polish fonts.
  przechlewski-book -- Examples from Przechlewski's LaTeX book.
  qpxqtx --
  tap -- TeX macros for typesetting complex tables.
  utf8mex --
  hyphen-polish -- Polish hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::polish, made-of::font, role::app-data, use::typesetting
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-polish_2012.20120611-2_all.deb

Package: texlive-lang-portuguese
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 432
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 285148
SHA256: 761c312168d75cd5bbac915966a55ec1c4fd26cee501d12c0fbd7cd1883b9e1b
SHA1: 0dbfb67148c13b9c6f13aeaced06362772487193
MD5sum: 332ac724b48bc5423599461ee5c906ef
Description: TeX Live: Portuguese
 Support for typesetting Portuguese.
 .
 This package includes the following CTAN packages:
  ordinalpt -- Counters as ordinal numbers in Portuguese.
  hyphen-portuguese -- Portuguese hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::portuguese, role::plugin, use::typesetting,
 works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-portuguese_2012.20120611-2_all.deb

Package: texlive-lang-spanish
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 371
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 212352
SHA256: 1de63f5032ed1dc2afa542c61638687995ce8043930940af35de2d7158adcb05
SHA1: 7e11eb8c5da8264f225d215fe481dc8ff661f5e5
MD5sum: 0c3dcea154c799eb7eeb5a02fec1cc63
Description: TeX Live: Spanish
 Support for typesetting Spanish.
 .
 This package includes the following CTAN packages:
  hyphen-spanish -- Spanish hyphenation patterns.
  hyphen-catalan -- Catalan hyphenation patterns.
  hyphen-galician -- Galician hyphenation patterns.
  spanish -- Spanish in Babel.
  spanish-mx -- Typeset Spanish as in Mexico.
Homepage: http://www.tug.org/texlive/
Tag: culture::spanish, role::plugin, use::typesetting, works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-spanish_2012.20120611-2_all.deb

Package: texlive-lang-swedish
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 263
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 31908
SHA256: ed8e308b710a0c1692394cf6f75098fc3a5bee11188d9c74b928fddc33adc330
SHA1: b077ec612491f73669d79d9957cc47a6464b6955
MD5sum: 2232cc432bfb4431ed914d9be9331976
Description: TeX Live: Swedish
 Support for typesetting Swedish.
 .
 This package includes the following CTAN packages:
  swebib -- Swedish bibliography styles.
  hyphen-swedish -- Swedish hyphenation patterns.
Homepage: http://www.tug.org/texlive/
Tag: culture::swedish, role::plugin, use::typesetting, works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-swedish_2012.20120611-2_all.deb

Package: texlive-lang-tibetan
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 2093
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 405278
SHA256: 68543d0e3de6cc1f009173b649e0f91b871cec4917d8a344ab09ffeec827367f
SHA1: 9c914fec71c33e8ef376bf40231de751f2cd6b63
MD5sum: 4eeaa4bf4d595ae3acd3422d5aae7c21
Description: TeX Live: Tibetan
 Support for typesetting Tibetan.
 .
 This package includes the following CTAN packages:
  ctib -- Tibetan for TeX and LATeX2e.
  otibet --
Homepage: http://www.tug.org/texlive/
Tag: culture::TODO, role::app-data, use::typesetting
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-tibetan_2012.20120611-2_all.deb

Package: texlive-lang-vietnamese
Source: texlive-lang
Version: 2012.20120611-2
Installed-Size: 10342
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 6011396
SHA256: f0095f25e43e0086f1c9c4c866b6d07ae9e2c483f41c8ee886b4bfc61ffab1a2
SHA1: e135def7b05acc5413705f929b8d4bdfc07c78cd
MD5sum: bbe727322246c03d6d6672e4cb480657
Description: TeX Live: Vietnamese
 Support for typesetting Vietnamese.
 .
 This package includes the following CTAN packages:
  vntex -- Support for Vietnamese.
Homepage: http://www.tug.org/texlive/
Tag: culture::TODO, role::plugin, use::typesetting, works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-lang/texlive-lang-vietnamese_2012.20120611-2_all.deb

Package: texlive-latex-base
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 8228
Maintainer: Debian TeX Maintainers 
Architecture: all
Replaces: context (<< 2011)
Depends: texlive-binaries (>= 2012-0), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Conflicts: ivritex (<= 1.1.1-5)
Breaks: context (<< 2011)
Size: 894532
SHA256: f8f0eabfa747b44aed1cf2fb9898a03ff6299a0cff3b08a172501d20d8966f6f
SHA1: dffb1458cd7b840ce2f7c8d9ffd3a1e38e55c639
MD5sum: 7265abcfa6dda91788a44cc2a49825c2
Description: TeX Live: Basic LaTeX packages
 These packages are mandated by the core LaTeX team, or at least very
   strongly recommended.
 .
 This package includes the following CTAN packages:
  ae -- Virtual fonts for T1 encoded CMR-fonts.
  amscls -- AMS document classes for LaTeX.
  amsmath -- AMS mathematical facilities for LaTeX.
  babel -- Multilingual support for Plain TeX or LaTeX.
  babelbib -- Multilingual bibliographies.
  carlisle -- David Carlisle's small packages.
  colortbl -- Add colour to LaTeX tables.
  fancyhdr -- Extensive control of page headers and footers in LaTeX2e.
  fix2col -- Fix miscellaneous two column mode features.
  geometry -- Flexible and complete interface to document dimensions.
  graphics -- Standard LaTeX graphics.
  hyperref -- Extensive support for hypertext in LaTeX.
  latex -- A TeX macro package that defines LaTeX.
  latex-bin -- LaTeX executables and man pages.
  latex-fonts -- A collection of fonts used in LaTeX distributions.
  latexconfig --
  ltxmisc -- Miscellaneous LaTeX packages, etc.
  mfnfss -- Packages to typeset oldgerman and pandora fonts in LaTeX.
  mptopdf -- mpost to PDF, native MetaPost graphics inclusion
  natbib -- Flexible bibliography support.
  oberdiek -- A bundle of packages submitted by Heiko Oberdiek.
  pdftex-def -- Colour and Graphics support for PDFTeX.
  pslatex -- Use PostScript fonts by default.
  psnfss -- Font support for common PostScript fonts.
  pspicture -- PostScript picture support.
  tools -- The LaTeX standard tools bundle.
Homepage: http://www.tug.org/texlive/
Recommends: texlive-latex-base-doc
Section: tex
Priority: optional
Filename: pool/main/t/texlive-base/texlive-latex-base_2012.20120611-5+deb7u1_all.deb

Package: texlive-latex-base-doc
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 44418
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 38676914
SHA256: ab41b8b242244bff9e999216a426310204bbb05c8311904fb3a0e7550750f7d1
SHA1: 9aff3b836c94e5713336fa5c0393c12225091c48
MD5sum: bce2e31e399d9c0f87ddb99d8bd97bb1
Description: TeX Live: Documentation files for texlive-latex-base
 This package provides the documentation for texlive-latex-base
Homepage: http://www.tug.org/texlive/
Section: doc
Priority: optional
Filename: pool/main/t/texlive-base/texlive-latex-base-doc_2012.20120611-5+deb7u1_all.deb

Package: texlive-latex-extra
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 31690
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-binaries (>= 2012-0), preview-latex-style, texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-latex-recommended (>= 2012.20120516), texlive-pictures (>= 2012.20120516)
Recommends: texlive-latex-extra-doc
Suggests: libfile-which-perl
Conflicts: texpower (<< 2011)
Size: 6397004
SHA256: 36dbadd165a62b39a2f5341a9fb334d5ecf9d978cc9e3e364f14c70afc9ffb34
SHA1: 4f16b412eb98bb1b91eebe55d332485ff2c4c0ff
MD5sum: c94e115f366fa1497e95a9e7ce1535da
Description: TeX Live: LaTeX supplementary packages
 A large collection of add-on packages for LaTeX.
 .
 This package includes the following CTAN packages:
  2up --
  ESIEEcv -- Curriculum vitae for French use.
  HA-prosper -- Patches and improvements for prosper.
  Tabbing -- Tabbing with accented letters.
  a0poster -- Support for designing posters on large paper.
  a4wide -- "Wide" a4 layout.
  a5comb -- Support for a5 paper sizes.
  abstract -- Control the typesetting of the abstract environment.
  achemso -- Support for American Chemical Society journal submissions.
  acronym -- Expand acronyms at least once.
  acroterm -- Manage and index acronyms and terms.
  addlines -- A user-friendly wrapper around \enlargethispage.
  adjmulticol -- Adjusting margins for multicolumn and single column output.
  adrconv -- BibTeX styles to implement an address database.
  advdate -- Print a date relative to "today".
  akktex -- A collection of packages and classes.
  akletter -- Comprehensive letter support.
  alterqcm -- Multiple choice questionnaires in two column tables.
  altfont -- Alternative font handling in LaTeX.
  amsaddr -- Alter the position of affiliations in amsart.
  animate --
  anonchap -- Make chapters be typeset like sections.
  answers -- Setting questions (or exercises) and answers.
  anyfontsize -- Select any font size in LaTeX.
  appendix -- Extra control of appendices.
  appendixnumberbeamer -- Manage frame numbering in appendixes in beamer.
  arcs -- Draw arcs over and under text
  arrayjobx -- Array data structures for (La)TeX.
  assignment -- A class file for typesetting homework and lab assignments
  attachfile -- Attach arbitrary files to a PDF document
  authoraftertitle -- Make author, etc., available after \maketitle.
  authorindex -- Index citations by author names.
  autonum -- Automatic equation references.
  background -- Placement of background material on pages of a document.
  bashful -- Invoke bash commands from within LaTeX.
  bchart -- Draw simple bar charts in LaTeX
  beamer2thesis -- Thesis presentations using beamer.
  beameraudience -- Assembling beamer frames according to audience
  beamerposter -- Extend beamer and a0poster for custom sized posters.
  beamersubframe -- Reorder frames in the PDF file.
  beamerthemejltree -- Contributed beamer theme.
  beamerthemenirma -- A Beamer theme for academic presentations.
  begriff -- Typeset Begriffschrift.
  beton -- Use Concrete fonts.
  bez123 -- Support for Bezier curves.
  bezos -- Packages by Javier Bezos.
  bhcexam -- A LaTeX document class specially designed for High School Math
   Teachers in China.
  bigfoot -- Footnotes for critical editions.
  bigints -- Writing big integrals.
  bizcard -- Typeset business cards.
  blindtext -- Producing 'blind' text for testing.
  blkarray -- Extended array and tabular.
  block -- A block letter style for the letter class.
  blowup -- Upscale or downscale all pages of a document.
  boites -- Boxes that may break across pages
  bold-extra -- Use bold small caps and typewriter fonts.
  bookest -- Extended book class.
  booklet -- Aids for printing simple booklets.
  boolexpr -- A boolean expression evaluator and a switch command.
  bophook -- Provides an At-Begin-Page hook.
  boxedminipage -- A package for producing framed minipages.
  boxhandler -- Flexible Captioning and Deferred Box/List Printing.
  bracketkey -- Produce bracketed identification keys.
  braket -- Dirac bra-ket and set notations.
  breakurl -- Line-breakable \url-like links in hyperref when compiling via
   dvips/ps2pdf.
  bullcntr -- Display list item counter as regular pattern of bullets.
  bussproofs -- Proof trees in the style of the sequent calculus.
  calctab -- Language for numeric tables.
  calrsfs -- Copperplate calligraphic letters in LaTeX.
  cals -- Multipage tables with wide range of features.
  calxxxx-yyyy -- Print a calendar for a group of years.
  cancel -- Place lines through maths formulae.
  canoniclayout -- Create canonical page layouts with memoir.
  capt-of -- Captions on more than floats.
  captcont -- Retain float number across several floats.
  captdef -- Declare free-standing \caption commands.
  cases -- Numbered cases environment
  casyl -- Typeset Cree/Inuktitut in Canadian Aboriginal Syllabics.
  catchfilebetweentags -- Catch text delimited by docstrip tags.
  catechis -- Macros for typesetting catechisms.
  catoptions -- Preserving and recalling standard catcodes.
  cbcoptic -- Coptic fonts and LaTeX macros for general usage and for
   philology.
  ccaption -- Continuation headings and legends for floats.
  cclicenses -- Typeset Creative Commons licence logos.
  cd -- Typeset CD covers.
  cd-cover -- Typeset CD covers.
  cdpbundl -- Business letters in the Italian style.
  cellspace -- Ensure minimal spacing of table cells.
  censor -- Facilities for controlling restricted text in a document.
  changebar -- Generate changebars in LaTeX documents.
  changelayout -- Change the layout of individual pages and their text.
  changepage -- Margin adjustment and detection of odd/even pages.
  changes -- Manual change markup.
  chappg -- Page numbering by chapter.
  chapterfolder -- Package for working with complicated folder structures.
  chet -- LaTeX class inspired by harvmac.
  chextras -- A companion package for the Swiss typesetter.
  chletter -- Class for typesetting letters to Swiss rules.
  chngcntr -- Change the resetting of counters.
  chronology -- Provides a horizontal timeline.
  circ -- Macros for typesetting circuit diagrams.
  clefval -- Key/value support with a hash.
  cleveref -- Intelligent cross-referencing.
  clock -- Graphical and textual clocks for TeX and LaTeX.
  cmdstring -- Get command name reliably.
  cmsd -- Interfaces to the CM Sans Serif Bold fonts.
  codedoc -- LaTeX code and documentation in LaTeX-format file.
  codepage -- Support for variant code pages.
  collcell -- Collect contents of a tabular cell as argument to a macro.
  collectbox --
  colordoc -- Coloured syntax highlights in documentation.
  colorinfo -- Retrieve colour model and values for defined colours.
  colortab -- Shade cells of tables and halign.
  colorwav -- Colours by wavelength of visible light.
  colourchange -- colourchange
  combelow -- Typeset "comma-below" letters, as in Romanian.
  combine -- Bundle individual documents into a single document.
  comma -- Formats a number by inserting commas.
  comment -- Selectively include/excludes portions of text.
  concprog -- Concert programmes.
  constants -- Automatic numbering of constants.
  contour -- Print a coloured contour around text.
  cooking -- Typeset recipes.
  cool -- COntent-Oriented LaTeX.
  coollist -- Manipulate COntent Oriented LaTeX Lists.
  coolstr -- String manipulation in LaTeX.
  coolthms -- Reference items in a theorem environment.
  cooltooltips -- Associate a pop-up window and tooltip with PDF hyperlinks.
  coordsys -- Draw cartesian coordinate systems.
  copyrightbox -- Provide copyright notices for images in a document.
  coseoul -- Context sensitive outline elements
  courseoutline -- Prepare university course outlines.
  coursepaper -- Prepare university course papers.
  coverpage -- Automatic cover page creation for scientific papers (with
   BibTeX data and copyright notice).
  cprotect -- Allow verbatim, etc., in macro arguments.
  crbox -- Boxes with crossed corners.
  crossreference -- Crossreferences within documents.
  csquotes -- Context sensitive quotation facilities.
  csvsimple -- Simple CSV file processing.
  csvtools -- Reading data from CSV files.
  cuisine -- Typeset recipes.
  currfile -- Provide file name and path of input files.
  currvita -- Typeset a curriculum vitae.
  cutwin -- Cut a window in a paragraph, typeset material in it.
  cv -- A package for creating a curriculum vitae.
  cweb-latex -- A LaTeX version of CWEB.
  dashbox -- Draw dashed boxes.
  dashrule -- Draw dashed rules.
  dashundergaps -- Underline with dotted or dashed lines.
  datatool -- Tools to load and manipulate data.
  dateiliste -- Extensions of the \listfiles concept.
  datenumber -- Convert a date into a number and vice versa.
  datetime -- Change format of \today with commands for current time.
  dblfloatfix -- Fixes for twocolumn floats.
  decimal -- LaTeX package for the English raised decimal point.
  decorule -- Decorative swelled rule using font character.
  delim --
  delimtxt -- Read and parse text tables.
  diagbox -- Table heads with diagonal lines.
  diagnose -- A diagnostic tool for a TeX installation.
  dichokey -- Construct dichotomous identification keys.
  dinbrief -- German letter DIN style.
  directory -- An address book using BibTeX.
  dirtytalk --
  dlfltxb -- Macros related to "Introdktion til LaTeX".
  dnaseq -- Format DNA base sequences.
  docmfp -- Document non-LaTeX code.
  docmute -- Input files ignoring LaTeX preamble, etc.
  documentation -- Documentation support for C, Java and assembler code.
  doi -- Create correct hyperlinks for DOI numbers.
  dotarrow -- Extendable dotted arrows.
  dotseqn -- Flush left equations with dotted leaders to the numbers.
  dox -- Extend the doc package.
  dpfloat -- Support for double-page floats.
  dprogress -- LaTeX-relevant log information for debugging.
  drac -- Declare active character substitution, robustly.
  draftcopy -- Identify draft copies.
  draftwatermark -- Put a grey textual watermark on document pages.
  dtk -- Document class for the journal of DANTE.
  dtxgallery -- A small collection of minimal DTX examples.
  dvdcoll -- A class for typesetting DVD archives
  dynblocks -- A simple way to create dynamic blocks for Beamer.
  easy -- A collection of easy-to-use macros.
  easy-todo -- To-do notes in a document.
  easyfig -- Simplifying the use of common figures.
  easylist -- Lists using a single active character.
  ean13isbn -- Print EAN13 for ISBN.
  ebezier -- Device independent picture environment enhancement.
  ecclesiastic -- Typesetting Ecclesiastic Latin.
  ecv -- A fancy Curriculum Vitae class.
  ed -- Editorial Notes for LaTeX documents.
  edmargin -- Multiple series of endnotes for critical editions.
  eemeir -- Adjust the gender of words in a document.
  egplot -- Encapsulate Gnuplot sources in LaTeX documents.
  ellipsis -- Fix uneven spacing around ellipses in LaTeX text mode.
  elmath -- Mathematics in Greek texts.
  elpres -- A simple class for electronic presentations
  emarks -- Named mark registers with e-TeX.
  emptypage -- Make empty pages really empty.
  emulateapj -- Produce output similar to that of APJ.
  endfloat -- Move floats to the end, leaving markers where they belong.
  endheads -- Running headers of the form "Notes to pp.xx-yy"
  endnotes -- Place footnotes at the end.
  engpron -- Helps to type the pronunciation of English words.
  engrec -- Enumerate with lower- or uppercase Greek letters.
  enumitem -- Control layout of itemize, enumerate, description.
  enumitem-zref -- Extended references to items for enumitem package.
  envbig -- Printing addresses on envelopes.
  environ -- A new interface for environments in LaTeX.
  envlab -- Addresses on envelopes or mailing labels.
  epigraph -- A package for typesetting epigraphs.
  epiolmec -- Typesetting the Epi-Olmec Language.
  eqell -- Sympathetically spaced ellipsis after punctuation.
  eqlist -- Description lists with equal indentation.
  eqname -- Name tags for equations.
  eqparbox -- Create equal-widthed parboxes.
  errata -- Error markup for LaTeX documents.
  esdiff -- Simplify typesetting of derivatives.
  esint -- Extended set of integrals for Computer Modern.
  esint-type1 -- Font esint10 in Type 1 format
  etaremune -- Reverse-counting enumerate environment.
  etextools -- e-TeX tools for LaTeX users and package writers.
  etoolbox -- Tool-box for LaTeX programmers using e-TeX.
  eukdate -- UK format dates, with weekday.
  europecv -- Unofficial class for European curricula vitae.
  everyhook -- Hooks for standard TeX token lists.
  everypage -- Provide hooks to be run on every page of a document.
  exam -- Package for typesetting exam scripts.
  examdesign -- LaTeX class for typesetting exams.
  examplep -- Verbatim phrases and listings in LaTeX.
  exceltex -- Get data from Excel files into LaTeX.
  excludeonly -- Prevent files being \include-ed.
  exercise -- Typeset exercises, problems, etc. and their answers
  exp-testopt -- Expandable \@testopt (and related) macros.
  expdlist -- Expanded description environments.
  export -- Import and export values of LaTeX registers.
  extract -- Extract parts of a document and write to another document.
  facsimile -- Document class for preparing faxes.
  fancynum -- Typeset numbers.
  fancypar -- Decoration of individual paragraphs.
  fancytabs -- Fancy page border tabs.
  fancytooltips -- Include a wide range of material in PDF tooltips.
  figsize -- Auto-size graphics.
  filecontents -- Extended filecontents and filecontents* environments
  filehook -- Hooks for input files.
  fileinfo -- Enhanced display of LaTeX File Information.
  filemod -- Provide file modification times, and compare them.
  fink -- The LaTeX2e File Name Keeper.
  finstrut -- Adjust behaviour of the ends of footnotes.
  fixfoot -- Multiple use of the same footnote text.
  fixme -- Insert "fixme" notes into draft documents.
  fjodor -- A selection of layout styles.
  flabels -- Labels for files and folders.
  flacards -- Generate flashcards for printing.
  flagderiv -- Flag style derivation package
  flashcards -- A class for typesetting flashcards.
  flashmovie -- Directly embed flash movies into PDF files.
  flipbook -- Typeset flipbook animations, in the corners of documents.
  flippdf -- Horizontal flipping of pages with pdfLaTeX.
  floatflt -- Wrap text around floats.
  floatrow -- Modifying the layout of floats.
  flowfram -- Create text frames for posters, brochures or magazines.
  fmp -- Include Functional MetaPost in LaTeX.
  fmtcount -- Display the value of a LaTeX counter in a variety of formats.
  fn2end -- Convert footnotes to endnotes.
  fnbreak -- Warn for split footnotes.
  fncychap -- Seven predefined chapter heading styles.
  fncylab -- Alter the format of \label references.
  fnpara -- Footnotes in paragraphs.
  fnpct -- Manage footnote marks' interaction with punctuation.
  foilhtml -- Interface between foiltex and LaTeX2HTML.
  fontaxes -- Additional font axes for LaTeX.
  fonttable -- Print font tables from a LaTeX document.
  footmisc -- A range of footnote options.
  footnoterange -- References to ranges of footnotes.
  footnpag -- Per-page numbering of footnotes.
  forarray -- Using array structures in LaTeX.
  forloop -- Iteration in LaTeX.
  formlett -- Letters to multiple recipients.
  formular -- Create forms containing field for manual entry.
  fragments -- Fragments of LaTeX code.
  frame -- Framed boxes for Plain TeX.
  framed -- Framed or shaded regions that can break across pages.
  frankenstein -- A collection of LaTeX packages.
  friulan -- Babel/Polyglossia support for Friulan(Furlan).
  ftcap -- Allows \caption at the beginning of a table-environment.
  ftnxtra -- Extends the applicability of the \footnote command.
  fullblck -- Left-blocking for letter class.
  fullwidth -- Adjust margins of text block.
  fundus-calligra -- Support for the calligra font in LaTeX documents.
  fundus-cyr -- Support for Washington University Cyrillic fonts.
  fundus-sueterlin -- Sutterlin
  fwlw -- Get first and last words of a page.
  g-brief -- Letter document class.
  gauss -- A package for Gaussian operations.
  gcard -- Arrange text on a sheet to fold into a greeting card.
  gcite -- Citations in a reader-friendly style.
  genmpage -- Generalization of LaTeX's minipages.
  getfiledate -- Find the date of last modification of a file.
  ghab -- Typeset ghab boxes in LaTeX.
  ginpenc -- Modification of inputenc for German.
  gitinfo -- Access metadata from the git distributed version control system.
  gloss -- Create glossaries using BibTeX.
  glossaries --
  gmdoc -- Documentation of LaTeX packages.
  gmdoc-enhance -- Some enhancements to the gmdoc package.
  gmeometric -- Change page size wherever it's safe
  gmiflink -- Simplify usage of \hypertarget and \hyperlink.
  gmutils -- Support macros for other packages.
  gmverb -- A variant of LaTeX \verb, verbatim and shortvrb.
  graphicx-psmin -- Reduce size of PostScript files by not repeating images.
  grfpaste -- Include fragments of a dvi file.
  grid -- Grid typesetting in LaTeX.
  gridset -- Grid, a.k.a. in-register, setting.
  guitlogo -- Macros for typesetting the GuIT logo.
  hanging -- Hanging paragraphs.
  hardwrap -- Hard wrap text to a certain character length.
  harnon-cv --
  harpoon -- Extra harpoons, using the graphics package.
  hc -- Replacement for the LaTeX classes.
  he-she -- Alternating pronouns to aid to gender-neutral writing.
  hhtensor -- Print vectors, matrices, and tensors.
  histogr -- Draw histograms with the LaTeX picture environment.
  hitec -- Class for documentation.
  hletter -- Flexible letter typesetting with flexible page headings.
  hpsdiss -- A dissertation class.
  hrefhide -- Suppress hyper links when printing.
  hvindex -- Support for indexing.
  hypdvips -- Hyperref extensions for use with dvips.
  hyper -- Hypertext cross referencing.
  hypernat -- Allow hyperref and natbib to work together.
  hyperref-docsrc --
  hyperxmp -- Embed XMP metadata within a LaTeX document.
  hyphenat -- Disable/enable hypenation.
  idxlayout -- Configurable index layout, responsive to KOMA-Script and
   memoir.
  ifmslide -- Presentation slides for screen and printouts.
  ifmtarg -- If-then-else command for processing potentially empty arguments.
  ifnextok -- Utility macro: peek ahead without ignoring spaces.
  ifoddpage --
  ifplatform -- Conditionals to test which platform is being used.
  ifthenx -- Extra tests for \ifthenelse.
  image-gallery -- Create an overview of pictures from a digital camera or
   from other sources.
  imakeidx -- A package for producing multiple indexes.
  import -- Establish input relative to a directory.
  inlinedef -- Inline expansions within definitions.
  inputtrc -- Trace which file loads which.
  interactiveworkbook -- latex-based interactive PDF on the web
  interfaces -- Set parameters for other packages, conveniently.
  inversepath -- Calculate inverse file paths.
  invoice -- Generate invoices.
  iso -- Generic ISO standards typesetting macros.
  iso10303 -- Typesetting the STEP standards.
  isodate -- Tune the output format of dates according to language.
  isonums -- Display numbers in maths mode according to ISO 31-0.
  isodoc -- A LaTeX class for the preparation of letters and invoices.
  isorot -- Rotation of document elements.
  isotope -- A package for typesetting isotopes
  issuulinks -- Produce external links instead of internal ones.
  iwhdp -- Halle Institute for Economic Research (IWH) Discussion Papers.
  jlabels -- Make letter-sized pages of labels.
  jvlisting -- A replacement for LaTeX's verbatim package.
  kantlipsum -- Generate sentences in Kant's style.
  kerntest -- Print tables and generate control files to adjust kernings.
  keycommand -- Simple creation of commands with key-value arguments.
  keyreader -- A robust interface to xkeyval.
  keystroke -- Graphical representation of keys on keyboard.
  keyval2e -- A lightweight and robust key-value parser.
  kix -- Typeset KIX codes.
  koma-moderncvclassic -- Makes the style and command of moderncv (style
   classic) available for koma-classes and thus compatible with biblatex.
  koma-script-sfs -- Koma-script letter class option for Finnish.
  labbook -- Typeset laboratory journals.
  labelcas -- Check the existence of labels, and fork accordingly.
  labels -- Print sheets of sticky labels.
  lastpage -- Reference last page for Page N of M type footers.
  latex-tds -- A structured copy of the LaTeX distribution.
  layouts -- Display various elements of a document's layout.
  lazylist -- Lists in TeX's "mouth".
  lcd -- Alphanumerical LCD-style displays.
  lcg -- Generate random integers.
  leading -- Define leading with a length.
  leaflet -- Create small handouts (flyers).
  leftidx -- Left and right subscripts and superscripts in math mode.
  lettre -- Letters and faxes in French.
  lettrine -- Typeset dropped capitals.
  lewis -- Draw Lewis structures.
  lhelp -- Miscellaneous helper packages.
  libgreek -- Use Libertine or Biolinum Greek glyphs in mathematics.
  limap -- Typeset maps and blocks according to the Information Mapping
   method.
  linegoal -- A "dimen" that returns the space left on the line.
  lipsum -- Easy access to the Lorem Ipsum dummy text.
  listing -- Produce formatted program listings.
  listofsymbols -- Create and manipulate lists of symbols
  listliketab -- Typeset lists as tables.
  lkproof -- LK Proof figure macros.
  lmake -- Process lists to do repetitive actions.
  locality -- Various macros for keeping things local.
  localloc -- Macros for localizing TeX register allocations.
  logbox -- e-TeX showbox facilities for exploration purposes.
  logical-markup-utils -- Packages for language-dependent inline quotes and
   dashes.
  logpap -- Generate logarithmic graph paper with LaTeX.
  longnamefilelist -- Tidy \listfiles with long file names.
  lsc -- Typesetting Live Sequence Charts.
  lstaddons -- Add-on packagvess for listings: autogobble and line
   background.
  ltabptch -- Bug fix for longtable.
  ltxdockit -- Documentation support.
  ltxindex -- A LaTeX package to typeset indices with GNU's Texindex.
  ltxkeys -- A robust key parser for LaTeX.
  ltxnew -- A simple means of creating commands.
  ltxtools -- A collection of LaTeX API macros.
  magaz -- Magazine layout.
  mailing -- Macros for mail merging.
  mailmerge -- Repeating text field substitution.
  makebarcode -- Print various kinds 2/5 and Code 39 bar codes.
  makebox -- Defines a \makebox* command.
  makecell -- Tabular column heads and multilined cells.
  makecirc -- A MetaPost library for drawing electrical circuit diagrams.
  makecmds -- The new \makecommand command always (re)defines a command.
  makedtx -- Perl script to help generate dtx and ins files
  makeglos -- Include a glossary into a document.
  manfnt -- LaTeX support for the TeX book symbols.
  manuscript -- Emulate look of a document typed on a typewriter.
  marginfix -- Patch \marginpar to avoid overfull margins.
  marginnote -- Notes in the margin, even where \marginpar fails
  mathalfa -- General package for loading maths alphabets in LaTeX.
  mathastext -- Use the text font in simple mathematics.
  mathexam -- Package for typesetting exams.
  maybemath -- Make math bold or italic according to context.
  mbenotes -- Notes in tables or images.
  mcaption -- Put captions in the margin.
  mceinleger -- Creating covers for music cassettes.
  mcite -- Multiple items in a single citation.
  mciteplus -- Enhanced multiple citations.
  mdframed -- Framed environments that can split at page boundaries.
  media9 -- Multimedia inclusion package with Adobe Reader-9/X compatibility.
  meetingmins -- Format written minutes of meetings.
  memexsupp -- Experimental memoir support.
  menu -- Typesetting menus.
  menukeys -- Format menu sequences, paths and keystrokes from lists.
  method -- Typeset method and variable declarations.
  metre -- Support for the work of classicists
  mftinc -- Pretty-print Metafont source.
  midpage -- Environment for vertical centring.
  minibox -- A simple type of box for LaTeX.
  minipage-marginpar -- Minipages with marginal notes.
  minitoc -- Produce a table of contents for each chapter, part or section.
  minted -- Highlighted source code for LaTeX.
  minutes -- Typeset the minutes of meetings.
  misc209 --
  mla-paper -- Proper MLA formatting.
  mlist -- Logical markup for lists.
  mmap -- Include CMap resources in PDF files from PDFTeX.
  moderncv -- A modern curriculum vitae class.
  moderntimeline -- Timelines for use with moderncv.
  modref -- Customisation of cross-references in LaTeX.
  modroman -- Write numbers in lower case roman numerals.
  monofill -- Alignment of plain text.
  moreenum -- More enumeration options.
  morefloats -- Increase the number of simultaneous LaTeX floats.
  morehype -- Hypertext tools for use with LaTeX.
  moresize -- Allows font sizes up to 35.83pt.
  moreverb -- Extended verbatim.
  morewrites -- Always room for a new write stream.
  movie15 -- Multimedia inclusion package.
  mparhack -- A workaround for a LaTeX bug in marginpars.
  msc -- Draw MSC diagrams.
  msg -- A package for LaTeX localisation.
  mslapa -- Michael Landy's APA citation style.
  mtgreek -- Use italic and upright greek letters with mathtime.
  multenum -- Multi-column enumerated lists.
  multibbl -- Multiple bibliographies.
  multicap -- Format captions inside multicols
  multienv --
  multirow -- Create tabular cells spanning multiple rows.
  mversion -- Keeping track of document versions.
  mwe -- Packages and image files for MWEs.
  mycv -- A list-driven CV class, allowing TikZ decorations.
  mylatexformat -- Build a format based on the preamble of a LaTeX file.
  nag -- Detecting and warning about obsolete LaTeX commands
  nameauth -- Name authority mechanism for consistency in body text and
   index.
  namespc -- Rudimentary c++-like namespaces in LaTeX.
  ncclatex -- An extended general-purpose class
  ncctools -- A collection of general packages for LaTeX
  needspace -- Insert pagebreak if not enough space.
  newcommand -- Generate new LaTeX command definitions.
  newfile -- User level management of LaTeX input and output.
  newlfm -- Write letters, facsimiles, and memos.
  newspaper -- Typeset newsletters to resemble newspapers.
  newunicodechar -- Definitions of the meaning of Unicode characters.
  newvbtm -- Define your own verbatim-like environment.
  newverbs -- Define new versions of \verb, including short verb versions.
  nextpage -- Generalisations of the page advance commands.
  nfssext-cfr -- Extensions to the LaTeX NFSS.
  nicefilelist --
  niceframe -- Support for fancy frames.
  nicetext -- Minimal markup for simple text (Wikipedia style) and
   documentation.
  nlctdoc --
  noitcrul -- Improved underlines in mathematics.
  nolbreaks -- No line breaks in text.
  nomencl -- Produce lists of symbols as in nomenclature.
  nomentbl -- Nomenclature typeset in a longtable
  nonfloat -- Non-floating table and figure captions.
  nonumonpart -- Prevent page numbers on part pages.
  nopageno -- No page numbers in LaTeX documents.
  notes -- Mark sections of a document.
  notoccite -- Prevent trouble from citations in table of contents, etc.
  nowidow -- Avoid widows.
  ntheorem -- Enhanced theorem environment.
  numname -- Convert a number to its English expression.
  numprint -- Print numbers with separators and exponent if necessary.
  ocgtools -- Manipulate OCG layers in PDF presentations.
  ocr-latex -- LaTeX support for ocr fonts.
  octavo -- Typeset books following classical design and layout.
  oldstyle -- Old style numbers in OT1 encoding.
  onlyamsmath -- Inhibit use of non-amsmath mathematics markup when using
   amsmath.
  opcit -- Footnote-style bibliographical references.
  optional -- Facilitate optional printing of parts of a document.
  outline -- List environment for making outlines.
  outliner -- Change section levels easily.
  outlines -- Produce "outline" lists.
  overpic -- Combine LaTeX commands over included graphics.
  pagecolor -- Interrogate page colour.
  pagecont -- Page numbering that continues between documents.
  pagenote -- Notes at end of document.
  pagerange -- Flexible and configurable page range typesetting.
  pageslts -- Variants of last page labels.
  paper -- Versions of article class, tuned for scholarly publications.
  papercdcase -- Origami-style folding paper CD case.
  papermas -- Compute the mass of a printed version of a document.
  papertex -- Class for newspapers, etc.
  paracol -- Multiple columns with texts "in parallel".
  paralist -- Enumerate and itemize within paragraphs.
  paresse -- Defines simple macros for greek letters.
  parnotes -- Notes after every paragraph (or elsewhere).
  parselines -- Apply a macro to each line of an environment.
  patch -- Patch loaded packages, etc..
  patchcmd -- Change the definition of an existing command.
  pauldoc -- German LaTeX package documentation.
  pawpict -- Using graphics from PAW.
  pax -- Extract and reinsert PDF annotations with pdfTeX.
  pbox -- A variable-width \parbox command.
  pbsheet -- Problem sheet class.
  pdf14 -- Restore PDF 1.4 to a TeX live 2010 format.
  pdfcomment -- A user-friendly interface to pdf annotations.
  pdfcprot -- Activating and setting of character protruding using pdflatex.
  pdfmarginpar -- Generate marginpar-equivalent PDF annotations.
  pdfscreen -- Support screen-based document design.
  pdfslide -- Presentation slides using pdftex.
  pdfsync -- Provide links between source and PDF.
  pdfwin --
  pdfx -- PDF/X-1a and PDF/A-1b support for pdfTeX.
  pecha -- Print Tibetan text in the classic pecha layout style.
  perltex -- Define LaTeX macros in terms of Perl code
  permute -- Support for symmetric groups.
  petiteannonce -- A class for small advertisements.
  philex -- Cross references for named and numbered environments.
  photo -- A float environment for photographs.
  piff -- Macro tools by Mike Piff.
  pittetd -- Electronic Theses and Dissertations at Pitt.
  placeins -- Control float placement.
  plates -- Arrange for "plates" sections of documents.
  plantslabels -- Write labels for plants.
  plweb -- Literate Programming for Prolog with LaTeX.
  polyglot --
  polynom -- Macros for manipulating polynomials.
  polynomial -- Typeset (univariate) polynomials.
  polytable -- Tabular-like environments with named columns.
  postcards -- Facilitates mass-mailing of postcards (junkmail).
  poster-mac -- Make posters and banners with TeX.
  ppr-prv -- Prosper preview.
  preprint -- A bundle of packages provided "as is".
  prettyref -- Make label references "self-identify".
  printlen -- Print lengths using specified units.
  probsoln -- Generate problem sheets and their solution sheets.
  program -- Typesetting programs and algorithms.
  progress -- Creates an overview of a document's state.
  progressbar -- Visualize shares of total amounts in the form of a
   (progress-)bar.
  properties -- Load properties from a file.
  protex -- Literate programming package.
  protocol -- A class for minutes of meetings.
  psfragx -- A psfrag eXtension.
  pst-pdf -- Make PDF versions of graphics by processing between runs.
  pstool -- Support for psfrag within pdfLaTeX.
  pxgreeks -- Shape selection for PX fonts Greek letters.
  qcm -- A LaTeX2e class for making multiple choice questionnaires
  quoting -- Consolidated environment for displayed text.
  qstest -- Bundle for unit tests and pattern matching.
  qsymbols -- Maths symbol abbreviations.
  quotchap -- Decorative chapter headings.
  quotmark -- Consistent quote marks.
  randtext -- Randomise the order of characters in strings.
  rccol -- Decimal-centered optionally rounded numbers in tabular.
  rcs-multi -- Typeset RCS version control in multiple-file documents.
  rcsinfo -- Support for the revision control system.
  realboxes -- Variants of common box-commands that read their content as
   real box and not as macro argument.
  recipe -- A LaTeX class to typeset recipes.
  recipecard -- Typeset recipes in note-card-sized boxes.
  rectopma -- Recycle top matter.
  refcheck -- Check references (in figures, table, equations, etc).
  refman -- Format technical reference manuals.
  refstyle -- Advanced formatting of cross references.
  regcount -- Display the allocation status of the TeX registers.
  regexpatch -- High level patching of commands.
  register -- Typeset programmable elements in digital hardware (registers).
  regstats -- Information about register use.
  relenc -- A "relaxed" font encoding.
  relsize -- Set the font size relative to the current font size.
  repeatindex -- Repeat items in an index after a page or column break
  rjlparshap --
  rlepsf -- Rewrite labels in EPS graphics.
  rmpage -- A package to help change page layout parameters in LaTeX.
  robustcommand -- Declare robust command, with \newcommand checks.
  robustindex -- Create index with pagerefs.
  romanbar -- Write roman number with "bars".
  romanneg -- Roman page numbers negative.
  romannum -- Generate roman numerals instead of arabic digits.
  romansh -- Babel/Polyglossia support for the Romansh language.
  rotfloat -- Rotate floats.
  rotpages -- Typeset sets of pages upside-down and backwards.
  rtkinenc -- Input encoding with fallback procedures.
  rvwrite -- Increase the number of available output streams in LaTeX.
  sauerj -- A bundle of utilities by Jonathan Sauer.
  savefnmark -- Save name of the footnote mark for reuse.
  savesym -- Redefine symbols where names conflict.
  savetrees -- Pack as much as possible onto each page of a LaTeX document.
  scale -- Scale document by sqrt(2) or magstep(2).
  scalebar -- Create scalebars for maps, diagrams or photos.
  sdrt -- Macros for Segmented Discourse Representation Theory.
  secdot -- Section numbers with trailing dots.
  sectionbox -- Create fancy boxed ((sub)sub)sections.
  sectsty -- Control sectional headers.
  selectp -- Select pages to be output.
  semantic -- Help for writing programming language semantics.
  semioneside -- Put only special contents on left-hand pages in two sided
   layout.
  sepfootnotes -- Define the texts of footnotes defined before their marks.
  seqsplit -- Split long sequences of characters in a neutral way.
  serbian-apostrophe -- Commands for Serbian words with apostrophes.
  serbian-date-lat -- Updated date typesetting for Serbian.
  serbian-def-cyr --
  serbian-lig -- Control ligatures in Serbian.
  serbianc -- Babel module to support Serbian Cyrillic.
  sf298 -- Standard form 298.
  sffms -- Typesetting science fiction/fantasy manuscripts.
  sfmath -- Sans-serif mathematics.
  shadow -- Shadow boxes.
  shadowtext -- shadowtext
  shadethm -- Theorem environments that are shaded
  shapepar -- A macro to typeset paragraphs in specific shapes.
  shipunov -- A collection of LaTeX packages and classes.
  shorttoc -- Table of contents with different depths.
  show2e -- Variants of \show for LaTeX2e.
  showexpl -- Typesetting LaTeX source code.
  showlabels -- Show label commands in the margin.
  sidecap -- Typeset captions sideways.
  sidenotes --
  silence -- Selective filtering of error messages and warnings.
  simplecd -- Simple CD, DVD covers for printing.
  simplecv -- A simple class for writing curricula vitae.
  simplewick -- Simple Wick contractions.
  sitem -- Save the optional argument of \item.
  skb -- Tools for a repository of long-living documents.
  skeycommand -- Create commands using parameters and keyval in parallel.
  skeyval -- Extensions to xkeyval.
  slantsc -- Access different-shaped small-caps fonts.
  smalltableof -- Create listoffigures etc. in a single chapter.
  smartref -- Extend LaTeX's \ref capability.
  snapshot -- List the external dependencies of a LaTeX document.
  soul -- Hyphenation for letterspacing, underlining, and more.
  spanglish -- Simplified Spanish support for Babel.
  sparklines -- Drawing sparklines: intense, simple, wordlike graphics.
  sphack -- Patch LaTeX kernel spacing macros.
  spreadtab -- Spreadsheet features for LaTeX tabular environments.
  spverbatim -- Allow line breaks within \verb and verbatim output.
  splitindex -- Unlimited number of indexes.
  spot -- Spotlight highlighting for Beamer.
  spotcolor -- Spot colours for pdfLaTeX.
  srbook-mem --
  srcltx -- Jump between DVI and TeX files.
  sseq -- Spectral sequence diagrams.
  stack -- Tools to define and use stacks.
  standalone -- Compile TeX pictures stand-alone or as part of a document.
  statistik -- Store statistics of a document.
  stdclsdv -- Provide sectioning information for package writers.
  stdpage -- Standard pages with n lines of at most m characters each.
  stex -- An Infrastructure for Semantic Preloading of LaTeX Documents.
  storebox -- Storing information for reuse.
  storecmd -- Store the name of a defined command in a container.
  stringstrings -- String manipulation for cosmetic and programming
   application.
  sttools -- Various macros.
  stubs -- Create tear-off stubs at the bottom of a page.
  subdepth -- Unify maths subscript height.
  subeqn -- Package for subequation numbering.
  subeqnarray -- Equation array with sub numbering.
  subfigmat -- Automates layout when using the subfigure package.
  subfigure -- Deprecated: Figures divided into subfigures.
  subfiles --
  subfloat -- Sub-numbering for figures and tables.
  substitutefont -- Easy font substitution.
  substr -- Deal with substrings in strings.
  supertabular -- A multi-page tables package.
  svgcolor -- Define SVG named colours.
  svn -- Typeset Subversion keywords.
  svn-multi -- Subversion keywords in multi-file LaTeX documents
  svn-prov -- Subversion variants of \Provides... macros.
  svninfo -- Typeset Subversion keywords.
  syntax -- Creation of syntax diagrams.
  syntrace -- Labels for tracing in a syntax tree.
  synttree -- Typeset syntactic trees.
  tabfigures -- Maintain vertical alignment of figures.
  tableaux -- Construct tables of signs and variations.
  tablefootnote -- Permit footnotes in tables.
  tablists -- Tabulated lists of short items.
  tabls -- Better vertical spacing in tables and arrays.
  tabto-ltx -- "Tab" to a measured position in the line.
  tabu -- Flexible LaTeX tabulars.
  tabularborder -- Correct index entries for chemical compounds.
  tabularcalc -- Calculate formulas in a tabular environment.
  tabularew -- A variation on the tabular environment.
  tabulary -- Tabular with variable width columns balanced.
  tagging -- Document configuration with tags.
  talk -- A LaTeX class for presentations.
  tcldoc -- Doc/docstrip for tcl.
  tcolorbox -- Coloured boxes, for LaTeX examples and theorems, etc.
  tdclock -- A ticking digital clock package for PDF output.
  technics -- A package to format technical documents.
  ted -- A (primitive) token list editor.
  termcal -- Print a class calendar.
  termlist -- Label any kind of term with a continuous counter.
  tex-label -- Place a classification on each page of a document
  texlogos -- Ready-to-use LaTeX logos.
  texmate -- Comprehensive chess annotation in LaTeX.
  texments -- Using the Pygments highlighter in LaTeX.
  texpower -- Create dynamic online presentations with LaTeX.
  texshade -- Package for setting nucleotide and peptide alignments.
  textfit -- Fit text to a desired size.
  textgreek -- Upright greek letters in text.
  textmerg -- Merge text in TeX and LaTeX.
  textpos -- Place boxes at arbitrary positions on the LaTeX page.
  theoremref -- References with automatic theorem names.
  threeparttable -- Tables with captions and notes all the same width.
  threeparttablex -- Notes in longtables.
  thinsp -- A stretchable \thinspace for LaTeX.
  thmtools -- Extensions to theorem environments.
  thumb -- Thumb marks in documents.
  thumbs -- Create thumb indexes.
  thumby -- Create thumb indexes for printed books.
  ticket -- Make labels, visting-cards, pins with LaTeX.
  timesht --
  titlefoot -- Add special material to footer of title page.
  titlepic -- Add picture to title page of a document.
  titleref -- A "\titleref" command to cross-reference section titles.
  titlesec -- Select alternative section titles.
  titling -- Control over the typesetting of the \maketitle command.
  tocbibind -- Add bibliography/index/contents to Table of Contents.
  tocloft -- Control table of contents, figures, etc.
  tocvsec2 -- Section numbering and table of contents control.
  todo -- Make a to-do list for a document.
  todonotes -- Marking things to do in a LaTeX document.
  tokenizer -- A tokenizer.
  toolbox -- Macros for writing indices, glossaries.
  topfloat -- Move floats to the top of the page.
  totcount -- Find the last value of a counter.
  totpages -- Count pages in a document, and report last page number.
  tram -- Typeset tram boxes in LaTeX.
  trfsigns -- Typeset transform signs.
  trimspaces -- Trim spaces around an argument or within a macro.
  trsym -- Symbols for transformations.
  trivfloat -- Quick float definitions in LaTeX.
  truncate -- Truncate text to a specified width.
  tucv -- Support for typesetting a CV or resumee.
  turnthepage -- Provide "turn page" instructions.
  twoinone -- Print two pages on a single page.
  twoup -- Print two virtual pages on each physical page.
  txgreeks -- Shape selection for TX fonts Greek letters.
  type1cm -- Arbitrary size font selection in LaTeX.
  typogrid -- Print a typographic grid.
  ucs -- Extended UTF-8 input encoding support for LaTeX.
  uebungsblatt -- A LaTeX class for writing exercise sheets.
  umoline -- Underline text allowing line breaking.
  underlin -- Underlined running heads.
  undolabl -- Override existing labels.
  units -- Typeset units.
  upmethodology -- Writing specification such as for UP-based methodologies.
  upquote -- Show "realistic" quotes in verbatim.
  uri --
  ushort -- Shorter (and longer) underlines and underbars.
  varindex -- Luxury frontend to the \index command.
  varsfromjobname -- Extract variables from the name of the LaTeX file.
  varwidth -- A variable-width minipage.
  verbasef -- VERBatim Automatic Splitting of External Files.
  verbatimbox -- Deposit verbatim text in a box.
  verbatimcopy -- Make copies of text documents from within LaTeX.
  verbdef -- Define commands which expand to verbatim text
  verbments -- Syntax highlighting of source code in LaTeX documents.
  version -- Conditionally include text.
  versions -- Optionally omit pieces of text.
  vertbars -- Mark vertical rules in margin of text.
  vhistory -- Support for creating a change log.
  vmargin -- Set various page dimensions.
  volumes -- Typeset only parts of a document, with complete indexes etc.
  vpe -- Source specials for PDF output.
  vruler -- Numbering text.
  vwcol -- Variable-width multiple text columns.
  wallpaper -- Easy addition of wallpapers (background images) to LaTeX
   documents, including tiling.
  warning -- Global warnings at the end of the logfile.
  warpcol -- Relative alignment of rows in numeric columns in tabulars.
  was -- A collection of small packages by Walter Schmidt.
  widetable -- An environment for typesetting tables of specified width
  williams -- Miscellaneous macros by Peter Williams.
  wordlike -- Simulating word processor layout.
  wrapfig -- Produces figures which text can flow around.
  xargs -- Define commands with many optional arguments.
  xbmc --
  xcomment -- Allows selected environments to be included/excluded.
  xhfill -- Extending \hrulefill.
  xtab -- Break tables across pages.
  xdoc -- Extending the LaTeX doc system.
  xfor -- A reimplimentation of the LaTeX for-loop macro.
  xifthen -- Extended conditional commands.
  xmpincl -- Include eXtensible Metadata Platform data in PDFLaTeX.
  xnewcommand -- Define \global and \protected commands with \newcommand.
  xoptarg -- Expandable macros that take an optional argument.
  xpatch -- Extending etoolbox patching commands.
  xpunctuate --
  xstring -- String manipulation for (La)TeX.
  xwatermark -- Graphics and text watermarks on selected pages.
  xytree -- Tree macros using XY-Pic.
  yafoot -- A bundle of miscellaneous footnote packages.
  yagusylo -- A symbol loader.
  ydoc -- Macros for documentation of LaTeX classes and packages.
  yplan -- Daily planner type calendar.
  zed-csp -- Typesetting Z and CSP format specifications.
  ziffer -- Conversion of punctuation in maths mode.
  zwgetfdate -- Get package or file date.
  zwpagelayout --
  xmlplay -- Typeset Shakespeare's plays as marked up by Bosak.
Homepage: http://www.tug.org/texlive/
Tag: made-of::font, made-of::tex, role::app-data, use::typesetting,
 works-with-format::pdf, works-with-format::tex, works-with::font,
 works-with::text
Section: tex
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-latex-extra_2012.20120611-2_all.deb

Package: texlive-latex-extra-doc
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 377071
Maintainer: Debian TeX Maintainers 
Architecture: all
Replaces: texlive-math-extra (<< 2011)
Depends: dpkg (>= 1.14.18), tex-common (>= 3), texlive-common (>= 2012.20120516)
Size: 292440028
SHA256: 3465bc45a6291101b0ef288faddc292e86b8ef81a4d75e90d1eb7954eced48d0
SHA1: db453cbf83dec5c64136d18cfe13b73ac80e9ac0
MD5sum: 269c214ac4a9f2966f2fbc6b9a976989
Description: TeX Live: Documentation files for texlive-latex-extra
 This package provides the documentation for texlive-latex-extra
Homepage: http://www.tug.org/texlive/
Tag: made-of::pdf, made-of::tex, role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-latex-extra-doc_2012.20120611-2_all.deb

Package: texlive-latex-recommended
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 17864
Maintainer: Debian TeX Maintainers 
Architecture: all
Replaces: texlive-latex3
Provides: texlive-latex3
Depends: texlive-binaries (>= 2012-0), texlive-latex-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Conflicts: texlive-latex3 (<< 2011)
Size: 6841968
SHA256: df4cf2fa9de32c87216fbe6d75768b088ecc70415b5bd9e94d3dd7e100b131c3
SHA1: 74d356d85e3574b71cee2b9b6dbbb5e63ac765e8
MD5sum: e92e5d1cfb87776fe080e8811a745922
Description: TeX Live: LaTeX recommended packages
 A collection of recommended add-on packages for LaTeX which have widespread
   use.
 .
 This package includes the following CTAN packages:
  anysize -- A simple package to set up document margins.
  booktabs -- Publication quality tables in LaTeX
  caption -- Customising captions in floating environments.
  cite -- Improved citation handling in LaTeX.
  cmap --
  crop -- Support for cropmarks.
  ctable -- Easily typeset centered tables.
  ec -- Computer modern fonts in T1 and TS1 encodings.
  eso-pic -- Add picture commands (or backgrounds) to every page.
  euler -- Use AMS Euler fonts for math.
  extsizes -- Extend the standard classes' size options.
  fancybox -- Variants of \fbox and other games with boxes.
  fancyref -- A LaTeX package for fancy cross-referencing.
  fancyvrb -- Sophisticated verbatim text.
  float -- Improved interface for floating objects.
  fontspec -- Advanced font selection in XeLaTeX and LuaLaTeX.
  fp -- Fixed point arithmetic.
  index -- Extended index for LaTeX including multiple indexes.
  jknapltx -- Miscellaneous packages by Joerg Knappen.
  koma-script -- A bundle of versatile classes and packages
  l3kernel -- LaTeX3 programming conventions.
  l3packages -- High-level LaTeX3 concepts.
  l3experimental -- Experimental LaTeX3 concepts.
  listings -- Typeset source code listings using LaTeX.
  mdwtools -- Miscellaneous tools by Mark Wooding.
  memoir -- Typeset fiction, non-fiction and mathematical books.
  metalogo -- Extended TeX logo macros.
  mh -- The MH bundle
  microtype -- An interface to the micro-typographic features of pdfTeX.
  ms -- Various LaTeX packages by Martin Schroder.
  ntgclass -- "European" versions of standard classes.
  parskip -- Layout with zero \parindent, non-zero \parskip.
  pdfpages -- Include PDF documents in LaTeX.
  powerdot -- A presentation class.
  psfrag -- Replace strings in encapsulated PostScript figures.
  rcs -- Use RCS (revision control system) tags in LaTeX documents.
  rotating -- Rotation tools, including rotated full-page floats.
  sansmath -- Maths in a sans font.
  section -- Modifying section commands in LaTeX.
  seminar -- Make overhead slides.
  sepnum -- Print numbers in a "friendly" format.
  setspace -- Set space between lines.
  subfig -- Figures broken into subfigures
  textcase -- Case conversion ignoring mathematics, etc.
  thumbpdf -- Thumbnails for pdfTeX and dvips/ps2pdf.
  typehtml -- Typeset HTML directly from LaTeX.
  underscore -- Control the behaviour of "_" in text.
  url -- Verbatim with URL-sensitive line breaks.
  xkeyval -- Extension of the keyval package.
Homepage: http://www.tug.org/texlive/
Recommends: latex-xcolor (>= 2.09), texlive-latex-recommended-doc, latex-beamer (>= 3.06.dfsg.1-0.1), prosper (>= 1.00.4+cvs.2006.10.22)
Section: tex
Priority: optional
Filename: pool/main/t/texlive-base/texlive-latex-recommended_2012.20120611-5+deb7u1_all.deb

Package: texlive-latex-recommended-doc
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 40249
Maintainer: Debian TeX Maintainers 
Architecture: all
Replaces: texlive-latex-extra-doc (<< 2010), texlive-latex3 (<< 2010)
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 34876610
SHA256: 255afea290477f710d299f95e2937bfa2f425d982ef008e41e9530e27cdf1be2
SHA1: e41ac5ce1c35758409e749deb79ac087791a5c00
MD5sum: 46a34a66026ffb5c48f5bf46e1509681
Description: TeX Live: Documentation files for texlive-latex-recommended
 This package provides the documentation for texlive-latex-recommended
Homepage: http://www.tug.org/texlive/
Section: doc
Priority: optional
Filename: pool/main/t/texlive-base/texlive-latex-recommended-doc_2012.20120611-5+deb7u1_all.deb

Package: texlive-latex3
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 122
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-latex-recommended (>= 2011), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 50252
SHA256: 5007a69f171b0e81ab8399443ffdfd08ae0d68b310d1f6c0e0635fe0e56af71d
SHA1: defc0f5a786dbbd66c3b443f7bb235210c1416d1
MD5sum: 47dfb5faba242a2769d805dc7bec6a0e
Description: TeX Live: transitional dummy package
 This is a transitional package for texlive-latex3 to ensure proper
 upgrade to texlive-latex-recommended. It can be safely removed after the
   installation is complete.
Homepage: http://www.tug.org/texlive/
Tag: role::app-data
Section: oldlibs
Priority: extra
Filename: pool/main/t/texlive-extra/texlive-latex3_2012.20120611-2_all.deb

Package: texlive-luatex
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 8160
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-binaries (>= 2012-0), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516), luatex (>= 0.70.1)
Size: 5677742
SHA256: 3d2809fe8865dda892dce3b01cfb1d3035444ec5ac948e33c82c48ee3c60ac02
SHA1: a4d860c813309ffa8a350bed15899de8df357cbe
MD5sum: 7aeffe7cbf47a5eecef126cdd53cb625
Description: TeX Live: LuaTeX packages
 Packages for LuaTeX, a Unicode-aware extension of pdfTeX, using Lua as an
   embedded scripting and extension language. http://luatex.org/
 .
 This package includes the following CTAN packages:
  checkcites -- Check citation commands in a document.
  chickenize -- Use lua callbacks for "interesting" textual effects.
  interpreter -- Translate input files on the fly.
  lua-visual-debug -- Visual debugging with LuaLaTeX.
  luabibentry -- Repeat BibTeX entries in a LuaLaTeX document body.
  luacode -- Helper for executing lua code from within TeX.
  luaindex -- Create index using lualatex.
  luainputenc -- Replacing inputenc for use in LuaTeX.
  lualatex-doc -- A guide to use of LaTeX with LuaTeX.
  lualatex-math -- Fixes for mathematics-related LuaLaTeX issues.
  lualibs -- Additional Lua functions for LuaTeX macro programmers.
  luamplib -- Use LuaTeX's built-in MetaPost interpreter.
  luaotfload -- OpenType layout system for Plain TeX and LaTeX.
  luapersian -- Persian for LaTeX in LuaTeX.
  luasseq -- Drawing spectral sequences in LuaLaTeX.
  luatexbase -- Basic resource management for LuaTeX code.
  luatextra -- Additional macros for Plain TeX and LaTeX in LuaTeX.
  showhyphens -- Show all possible hyphenations in LuaLaTeX.
Homepage: http://www.tug.org/texlive/
Section: tex
Priority: optional
Filename: pool/main/t/texlive-base/texlive-luatex_2012.20120611-5+deb7u1_all.deb

Package: texlive-math-extra
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 16411
Maintainer: Debian TeX Maintainers 
Architecture: all
Replaces: texlive-latex-extra-doc (<< 2011)
Depends: texlive-binaries (>= 2012-0), texlive-latex-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-fonts-recommended (>= 2012.20120516)
Size: 11890936
SHA256: 0f84a56706041dc9bee099fea14fb5d6f9e21fa852cb101d5180d7fd7354e20b
SHA1: 839b567168b40eabff99298df0bc0eff56cf4f41
MD5sum: 5f288530918950ede9a072a649843005
Description: TeX Live: Advanced math typesetting
 Extra math
 .
 This package includes the following CTAN packages:
  12many -- Generalising mathematical index sets.
  amstex -- American Mathematical Society plain TeX macros.
  binomexp -- Calculate Pascal's triangle.
  boldtensors -- Bold latin and greek characters through simple prefix
   characters.
  bosisio -- A collection of packages by Francesco Bosisio.
  ccfonts -- Support for Concrete text and math fonts in LaTeX.
  commath -- Mathematics typesetting support.
  concmath -- Concrete Math fonts.
  concrete -- Concrete Roman fonts.
  eqnarray -- More generalised equation arrays with numbering.
  extarrows -- Extra Arrows beyond those provided in AMSmath
  extpfeil -- Extensible arrows in mathematics.
  faktor -- Typeset quotient structures with LaTeX.
  ionumbers -- Restyle numbers in maths mode.
  isomath -- Mathematics "for scientists" (conformant to ISO 31).
  mathcomp -- Text symbols in maths mode.
  mattens -- Matrices/tensor typesetting.
  mhequ -- Multicolumn equations, tags, labels, sub-numbering.
  multiobjective -- Symbols for multibojective optimisation etc.
  nath -- Natural mathematics notation.
  ot-tableau -- Optimality Theory tableaux in LaTeX.
  oubraces -- Braces over and under a formula.
  proba -- Shortcuts commands to symbols used in probability texts.
  rec-thy -- Commands to typeset recursion theory papers.
  shuffle -- A symbol for the shuffle product.
  statex -- Statistics style.
  statex2 -- Statistics style.
  stmaryrd -- St Mary Road symbols for theoretical computer science.
  subsupscripts -- A range of sub- and superscript commands.
  susy -- Macros for SuperSymmetry-related work.
  syllogism -- Typeset syllogisms in LaTeX.
  synproof -- Easy drawing of syntactic proofs.
  tablor -- Create tables of signs and of variations.
  tensor -- Typeset tensors.
  tex-ewd -- Macros to typeset calculational proofs and programs in
   Dijkstra's style.
  thmbox -- Decorate theorem statements.
  turnstile -- Typeset the (logic) turnstile notation.
  unicode-math -- Unicode mathematics support for XeTeX and LuaTeX.
  venn -- Creating Venn diagrams with MetaPost.
  yhmath -- Extended maths fonts for LaTeX.
  ytableau -- Many-featured Young tableaux and Young diagrams.
Homepage: http://www.tug.org/texlive/
Tag: field::mathematics, made-of::tex, role::app-data, role::plugin,
 use::typesetting, works-with-format::tex, works-with::text
Section: tex
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-math-extra_2012.20120611-2_all.deb

Package: texlive-metapost
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 2323
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-binaries (>= 2012-0), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 417546
SHA256: e3db873d831ff27789f7b3b18dc7d1089147c5a64e61e758d474640fa3b6a1a1
SHA1: dd24b89da33b18e6bb69e221abf229a126682327
MD5sum: 702dc8257eaaad7e3e524c9fb09bca67
Description: TeX Live: MetaPost (and Metafont) drawing packages
 This package includes the following CTAN packages:
  automata -- Finite state machines, graphs and trees in MetaPost.
  bbcard -- Bullshit bingo, calendar and baseball-score cards.
  blockdraw_mp -- Block diagrams and bond graphs, with MetaPost.
  bpolynomial -- Drawing polynomial functions of up to order 3.
  cmarrows -- MetaPost arrows and braces in the Computer Modern style.
  drv -- Derivation trees with MetaPost.
  dviincl -- Include a DVI page into MetaPost output.
  emp -- "Encapsulate" MetaPost figures in a document.
  epsincl -- Include EPS in MetaPost figures.
  expressg -- Diagrams consisting of boxes, lines, and annotations.
  exteps -- Include EPS figures in MetaPost.
  featpost -- MetaPost macros for 3D.
  garrigues -- MetaPost macros for the reproduction of Garrigues' Easter
   nomogram.
  gmp -- Allow integration between MetaPost pictures and LaTeX.
  hatching -- MetaPost macros for hatching interior of closed paths.
  latexmp -- Interface for LaTeX-based typesetting in MetaPost
  metago -- MetaPost output of Go positions.
  metaobj -- MetaPost package providing high-level objects.
  metaplot -- Plot-manipulation macros for use in Metapost.
  metapost -- A development of Metafont for creating graphics.
  metauml -- MetaPost library for typesetting UML diagrams.
  mfpic -- Draw MetaFont/Post pictures from (La)TeX commands.
  mfpic4ode -- Macros to draw direction fields and solutions of ODEs.
  mp3d -- 3D animations.
  mpcolornames -- XXXX
  mpgraphics -- Process and display MetaPost figures inline.
  mpattern -- Patterns in MetaPost.
  piechartmp -- Draw pie-charts using MetaPost.
  roex --
  slideshow -- Generate slideshow with MetaPost.
  splines -- MetaPost macros for drawing cubic spline interpolants.
  suanpan -- MetaPost macros for drawing Chinese and Japanese abaci.
  textpath -- Setting text along a path with MetaPost.
  threeddice -- Create images of dice with one, two, or three faces showing,
   using MetaPost.
Homepage: http://www.tug.org/texlive/
Recommends: texlive-metapost-doc, feynmf
Section: tex
Priority: optional
Filename: pool/main/t/texlive-base/texlive-metapost_2012.20120611-5+deb7u1_all.deb

Package: texlive-metapost-doc
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 27468
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 23852074
SHA256: e9d36b77de87223bdea0c9837d49e646464af2547de79ebcdbb66c32da18909c
SHA1: 8b642ae35ba99f229675c0fa7ed31fab4e5380c4
MD5sum: 67606c0d5d5954fff827c6e485c047b9
Description: TeX Live: Documentation files for texlive-metapost
 This package provides the documentation for texlive-metapost
Homepage: http://www.tug.org/texlive/
Section: doc
Priority: optional
Filename: pool/main/t/texlive-base/texlive-metapost-doc_2012.20120611-5+deb7u1_all.deb

Package: texlive-music
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 8179
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: musixtex (>= 1:0.114-2), texlive-latex-base (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), m-tx, pmx, texlive-common (>= 2012.20120516)
Size: 6750178
SHA256: c8150687e341d21f083b733ed475d7277be8262d549562635aef4bca1b5716c8
SHA1: dfc4c3ddd07e00c07eb9691e0aeaa9174b0e5230
MD5sum: 5a231b622ccc3a5bdd90366716efc252
Description: TeX Live: Music typesetting
 Music-related fonts and packages.
 .
 This package includes the following CTAN packages:
  abc -- Support ABC music notation in LaTeX.
  figbas -- Mini-fonts for figured-bass notation in music.
  gchords -- Typeset guitar chords.
  gtrcrd -- Add chords to lyrics.
  guitar -- Guitar chords and song texts.
  harmony -- Typeset harmony symbols, etc., for musicology.
  musixguit -- Easy notation for guitar music, in MusixTeX.
  musixtex-fonts -- Fonts used by MusixTeX.
  songbook -- Package for typesetting song lyrics and chord books.
Homepage: http://www.tug.org/texlive/
Tag: made-of::pdf, made-of::tex, role::app-data, use::typesetting,
 works-with::music-notation
Section: tex
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-music_2012.20120611-2_all.deb

Package: texlive-omega
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 7423
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-binaries (>= 2012-0), texlive-latex-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 1825486
SHA256: 8ee69cc3cfd1fcdac9836fda4bfbc2031ea3b9191c52cb7ca740ebdb87d89c44
SHA1: 9ed183f168adea223e4e5165817acad11ce7a966
MD5sum: 460f7db96d8441c5a061d0703395be70
Description: TeX Live: Omega packages
 Omega, a 16-bit extended TeX by John Plaice and Yannis Haralambous.
 .
 This package includes the following CTAN packages:
  antomega -- Alternative language support for Omega/Lambda.
  lambda -- LaTeX format based on the Omega engine.
  mxedruli -- A pair of Georgian fonts.
  omega -- A wide-character-set extension of TeX.
  aleph -- Extended TeX.
  omegaware --
Homepage: http://www.tug.org/texlive/
Section: tex
Priority: optional
Filename: pool/main/t/texlive-base/texlive-omega_2012.20120611-5+deb7u1_all.deb

Package: texlive-pictures
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 9076
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: luatex, texlive-binaries (>= 2012-0), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Suggests: dot2tex
Size: 2274904
SHA256: a2a84b6e4a99f8679030563277b7ce7eaa7a9e434bca8bd12d03ee03d39e4f4c
SHA1: cbdfcf27ac1141f5416fd5063cce6c80f7af1962
MD5sum: 79bb6b5fac202ba789fc6a0c8303b11b
Description: TeX Live: Graphics packages and programs
 This package includes the following CTAN packages:
  adjustbox --
  asyfig -- Commands for using Asymptote figures.
  autoarea -- Automatic computation of bounding boxes with PiCTeX.
  bardiag -- LateX package for drawing bar diagrams.
  bloques -- Generate control diagrams.
  bodegraph -- Draw Bode, Nyquist and Black plots with gnuplot and TikZ.
  bondgraph -- Create bond graph figures in LaTeX documents.
  braids -- Draw braid diagrams with PGF/TikZ.
  cachepic -- Convert document fragments into graphics.
  chemfig -- Draw molecules with easy syntax.
  combinedgraphics -- Include graphic (EPS or PDF)/LaTeX combinations.
  circuitikz -- Draw electrical networks with TikZ.
  curve -- A class for making curriculum vitae.
  curve2e -- Extensions for package pict2e.
  curves -- Curves for LaTeX picture environment
  dcpic -- Commutative diagrams in a LaTeX and TeX documents.
  diagmac2 -- Diagram macros, using pict2e.
  doc-pictex -- A summary list of PicTeX documentation.
  dottex -- Use dot code in LaTeX.
  dot2texi -- Create graphs within LaTeX using the dot2tex tool.
  dratex -- General drawing macros.
  drs -- Typeset Discourse Representation Structures (DRS).
  duotenzor -- Drawing package for circuit and duotensor diagrams.
  eepic -- Extensions to epic and the LaTeX drawing tools.
  epspdf -- Converter for PostScript, EPS and PDF.
  epspdfconversion -- On-the-fly conversion of EPS to PDF.
  esk -- Package to encapsulate Sketch files in LaTeX sources.
  fig4latex -- Management of figures for large LaTeX documents.
  gincltex -- Include TeX files as graphics (.tex support for
   \includegraphics).
  gnuplottex -- Embed Gnuplot commands in LaTeX documents.
  gradientframe -- Simple gradient frames around objects.
  grafcet -- Draw Grafcet/SFC with TikZ.
  here -- Emulation of obsolete package for "here" floats.
  hvfloat -- Rotating caption and object of floats independently.
  knitting -- Produce knitting charts, in Plain TeX or LaTeX.
  knittingpattern -- Create knitting patterns.
  lapdf -- PDF drawing directly in TeX documents.
  lpic -- Put LaTeX material over included graphics.
  mathspic -- A Perl filter program for use with PiCTeX.
  miniplot -- A package for easy figure arrangement.
  modiagram -- Drawing molecular orbital diagrams.
  numericplots -- Plot numeric data (including Matlab export) using PSTricks.
  pb-diagram -- A commutative diagram package using LAMSTeX or Xy-pic fonts.
  petri-nets -- A set TeX/LaTeX packages for drawing Petri nets.
  pgf-blur -- PGF/TikZ package for "blurred" shadows.
  pgf-soroban -- Create images of the soroban using TikZ/PGF.
  pgf-umlsd -- Draw UML Sequence Diagrams.
  pgfgantt -- Draw Gantt charts with TikZ.
  pgfkeyx -- Extended and more robust version of pgfkeys.
  pgfmolbio -- Draw graphs typically found in molevular biology texts.
  pgfopts -- LaTeX package options with pgfkeys.
  pgfplots -- Create normal/logarithmic plots in two and three dimensions.
  piano -- Typeset a basic 2-octave piano diagram.
  picinpar -- Insert pictures into paragraphs.
  pict2e -- New implementation of picture commands.
  pictex -- Picture drawing macros for TeX and LaTeX.
  pictex2 -- Adds relative coordinates and improves the \plot command.
  pinlabel -- A TeX labelling package.
  pmgraph -- "Poor man's" graphics.
  prerex -- Interactive editor and macro support for prerequisite charts.
  productbox -- Typeset a three-dimensional product box.
  randbild -- Marginal pictures.
  randomwalk -- Random walks using TikZ.
  reotex -- Draw Reo Channels and Circuits.
  roundbox -- Round boxes in LaTeX.
  rviewport -- Relative Viewport for Graphics Inclusion.
  schemabloc -- Draw block diagrams, using Tikz.
  swimgraf -- Graphical/textual representations of swimming performances
  texdraw -- Graphical macros, using embedded PostScript.
  tikz-cd -- Create commutative diagrams with TikZ
  tikz-3dplot -- Coordinate transformation styles for 3d plotting in TikZ.
  tikz-dependency -- A library for drawing dependency graphs.
  tikz-inet -- Draw interaction nets with TikZ
  tikz-qtree -- Use existing qtree syntax for trees in TikZ.
  tikz-timing -- Easy generation of timing diagrams as tikz pictures.
  tikzpagenodes -- Create commutative diagrams with TikZ
  tikzpfeile -- Draw arrows using PGF/TikZ.
  tqft -- Drawing TQFT diagrams with TikZ/PGF.
  tkz-base -- Tools for drawing with a cartesian coordinate system.
  tkz-berge -- Macros for drawing graphs of graph theory.
  tkz-doc -- Documentation macros for the TKZ series of packages.
  tkz-euclide -- Tools for drawing euclidean geometry.
  tkz-fct -- Tools for drawing graphs of functions.
  tkz-graph -- Draw graph-theory graphs.
  tkz-kiviat -- Draw Kiviat graphs.
  tkz-linknodes -- Link nodes in mathematical environments.
  tkz-orm -- Create Object-Role Model (ORM) diagrams,
  tkz-tab -- Tables of signs and variations using PGF/TikZ.
  tsemlines -- Support for the ancient \emline macro.
  tufte-latex -- Document classes inspired by the work of Edward Tufte.
  xypic --
Homepage: http://www.tug.org/texlive/
Recommends: ruby | ruby-interpreter, texlive-pictures-doc, wish, pgf (>= 1.01.dfsg.1)
Section: tex
Priority: optional
Filename: pool/main/t/texlive-base/texlive-pictures_2012.20120611-5+deb7u1_all.deb

Package: texlive-pictures-doc
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 50800
Maintainer: Debian TeX Maintainers 
Architecture: all
Replaces: texlive-latex-extra-doc (<< 2010)
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), dpkg (>= 1.15.4) | install-info, tex-common (>= 3)
Size: 41860824
SHA256: 86079400140526db25e61b04f42b14bb1ee19f1ba8f763b67deea1e25d97ad7e
SHA1: d185b9a26393257c11db56139e2f70843f1a9ea7
MD5sum: 7bbdb1e22f471359d6cccc2a0b36155a
Description: TeX Live: Documentation files for texlive-pictures
 This package provides the documentation for texlive-pictures
Homepage: http://www.tug.org/texlive/
Section: doc
Priority: optional
Filename: pool/main/t/texlive-base/texlive-pictures-doc_2012.20120611-5+deb7u1_all.deb

Package: texlive-plain-extra
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 5241
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516)
Size: 2738568
SHA256: 30b344653adc3edfadd71b318c1319ee5c59a82da56c5400d220cb5c58c902d0
SHA1: 107e3bf0eee947fda960f404666b585fc0954670
MD5sum: fc78e89b7ce589d8cb34611dcea2f9cb
Description: TeX Live: Plain TeX supplementary packages
 Add-on packages and macros that work with plain TeX.
 .
 This package includes the following CTAN packages:
  figflow -- Flow text around a figure.
  fixpdfmag -- Fix magnification in PDFTeX.
  font-change -- Macros to Change Text and Math fonts in plain TeX.
  fontch -- Changing fonts, sizes and encodings in Plain TeX.
  getoptk -- Define macros with sophisticated options.
  graphics-pln -- LaTeX-style graphics for Plain TeX users.
  hyplain -- Basic support for multiple languages in Plain TeX.
  js-misc -- Miscellaneous macros from Joachim Schrod.
  mkpattern -- A utility for making hyphenation patterns.
  newsletr -- Macros for making newsletters with Plain TeX.
  pitex -- Documentation macros.
  placeins-plain -- Insertions that keep their place.
  plnfss -- Font selection for Plain TeX.
  present -- Presentations with Plain TeX.
  resumemac -- Plain TeX macros for resumes.
  timetable -- Generate timetables.
  treetex -- Draw trees.
  varisize -- Change font size in Plain TeX.
Homepage: http://www.tug.org/texlive/
Section: tex
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-plain-extra_2012.20120611-2_all.deb

Package: texlive-pstricks
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 32383
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: dpkg (>= 1.14.18), tex-common (>= 3), texlive-base (>= 2012.20120516), texlive-binaries (>= 2012-0), texlive-common (>= 2012.20120516), texlive-generic-recommended (>= 2012.20120516)
Recommends: texlive-extra-utils, texlive-font-utils, ps2eps, texlive-pstricks-doc
Size: 25478978
SHA256: e48c6c9f260936a544392692d2d45fc1977bf0c2b363da9a3abc9ff9cb7724a3
SHA1: 2bc74517bb6829f3da6955fb8c8c0acbd8fde2df
MD5sum: e622e66839ba2fbbe1a8543b03326c24
Description: TeX Live: PSTricks packages
 This package includes the following CTAN packages:
  auto-pst-pdf -- Wrapper for pst-pdf (with some psfrag features).
  bclogo -- Creating colourful boxes with logos.
  makeplot -- Easy plots from Matlab in LaTeX.
  pdftricks -- Support for pstricks in pdfTeX.
  pedigree-perl -- Generate TeX pedigree files from CSV files.
  psbao -- Draw Bao diagrams.
  pst-2dplot -- A PSTricks package for drawing 2D curves.
  pst-3d -- A PSTricks package for tilting and other pseudo-3D tricks.
  pst-3dplot -- Draw 3d curves and graphs using PSTricks.
  pst-abspos -- Put objects at an absolute position.
  pst-am -- Simulation of modulation and demodulation.
  pst-asr -- Typeset autosegmental representations for linguists.
  pst-bar -- Produces bar charts using pstricks.
  pst-barcode -- Print barcodes using PostScript.
  pst-bezier -- Draw Bezier curves.
  pst-blur -- PSTricks package for "blurred" shadows.
  pst-bspline -- Draw cubic Bspline curves and interpolations.
  pst-calendar -- Plot calendars in "fancy" ways.
  pst-circ -- PSTricks package for drawing electric circuits.
  pst-coil -- A PSTricks package for coils, etc.
  pst-cox -- Drawing regular complex polytopes with PSTricks.
  pst-dbicons -- Support for drawing ER diagrams.
  pst-diffraction -- Print diffraction patterns from various apertures.
  pst-electricfield -- Draw electric field and equipotential lines with
   PStricks.
  pst-eps -- Create EPS files from PSTricks figures.
  pst-eucl -- Euclidian geometry with pstricks.
  pst-exa -- Typeset PSTricks examples, with code.
  pst-fill -- Fill or tile areas with PSTricks.
  pst-fr3d -- Draw 3-dimensional framed boxes using PSTricks.
  pst-fractal -- Draw fractal sets using PSTricks.
  pst-fun -- Draw "funny" objects with PSTricks.
  pst-func -- PSTricks package for plotting mathematical functions.
  pst-gantt -- Draw GANTT charts with pstricks.
  pst-geo -- Geographical Projections
  pst-ghsb --
  pst-gr3d -- Three dimensional grids with PSTricks.
  pst-grad -- Filling with colour gradients, using PStricks.
  pst-graphicx -- A pstricks-compatible graphicx for use with Plain TeX.
  pst-infixplot -- Using pstricks plotting capacities with infix expressions
   rather than RPN
  pst-jtree -- Typeset complex trees for linguists.
  pst-knot -- PSTricks package for displaying knots.
  pst-labo -- Draw objects for Chemistry laboratories.
  pst-layout -- Page layout macros based on PStricks packages.
  pst-lens -- Lenses with PSTricks.
  pst-light3d -- 3D lighting effects for pstricks.
  pst-magneticfield -- Plotting a magnetic field with PSTricks.
  pst-math -- Enhancement of PostScript math operators to use with pstricks
  pst-mirror -- Images on a spherical mirror.
  pst-node -- Draw connections using pstricks.
  pst-ob3d -- Three dimensional objects using PSTricks.
  pst-optexp -- Drawing optical experimental setups.
  pst-optic -- Drawing optics diagrams.
  pst-osci -- Oscgons with PSTricks.
  pst-pad -- Draw simple attachment systems with PSTricks.
  pst-pdgr -- Draw medical pedigrees using pstricks.
  pst-platon -- Platonic solids in PSTricks.
  pst-plot -- Plot data using PSTricks.
  pst-poly -- Polygons with PSTricks.
  pst-pulley -- Plot pulleys, using pstricks.
  pst-qtree -- Simple syntax for trees.
  pst-rubans -- Draw three-dimensional ribbons.
  pst-sigsys -- Support of signal processing-related disciplines.
  pst-slpe -- Sophisticated colour gradients.
  pst-solarsystem -- Plot the solar system for a specific date.
  pst-spectra -- Draw continuum, emission and absorption spectra with
   PSTricks.
  pst-solides3d -- Draw perspective views of 3D solids.
  pst-soroban -- Draw a Soroban using PSTricks.
  pst-stru -- Civil engineering diagrams, using pstricks.
  pst-support -- Assorted support files for use with PStricks.
  pst-text -- Text and character manipulation in PSTricks.
  pst-thick -- Drawing very thick lines and curves.
  pst-tools -- PStricks support functions.
  pst-tree -- Trees, using pstricks.
  pst-tvz -- Draw trees with more than on root node, using PSTricks.
  pst-uml -- UML diagrams with PSTricks.
  pst-vowel -- Enable arrows showing diphthongs on vowel charts.
  pst-vue3d -- Draw perspective views of three dimensional objects.
  pst2pdf -- A script to compile pstricks documents via pdftex.
  pstricks -- PostScript macros for TeX.
  pstricks-add -- A collection of add-ons and bugfixes for PSTricks.
  pstricks_calcnotes --
  uml -- UML diagrams in LaTeX.
  vaucanson-g -- PSTricks macros for drawing automata
  vocaltract -- Visualise the vocal tract using LaTeX and PStricks.
Homepage: http://www.tug.org/texlive/
Tag: role::plugin, use::typesetting, works-with-format::postscript,
 works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-pstricks_2012.20120611-2_all.deb

Package: texlive-pstricks-doc
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 86446
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: dpkg (>= 1.14.18), tex-common (>= 3), texlive-common (>= 2012.20120516)
Size: 69889388
SHA256: e82db676463f81899207d4bb3ff67446ad4dcbbb23606cf6a70c12bc851ba99d
SHA1: cd5f410b89bb43e624f689b9294ff2eb5ce88b51
MD5sum: edae742ac2f1c92fbacd4c8eae93060d
Description: TeX Live: Documentation files for texlive-pstricks
 This package provides the documentation for texlive-pstricks
Homepage: http://www.tug.org/texlive/
Tag: made-of::pdf, made-of::tex, role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-pstricks-doc_2012.20120611-2_all.deb

Package: texlive-publishers
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 12281
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-latex-base (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-common (>= 2012.20120516)
Recommends: texlive-publishers-doc
Size: 1568046
SHA256: 587b3b9a986a3ef8f9066eaf24d21c8da8c1be0008c671ee81c0942a97c8b71e
SHA1: 3854cfc3337d487794142c1c3220615c8536bbb0
MD5sum: 853098e2117577f9f3d9ef9a12417173
Description: TeX Live: Support for publishers, theses, standards, conferences, etc.
 This package includes the following CTAN packages:
  IEEEconf -- Macros for IEEE conference proceedings.
  IEEEtran -- Document class for IEEE Transactions journals and conferences.
  aastex -- Macros for Manuscript Preparation for AAS Journals.
  acmconf -- Class for ACM conference proceedings.
  active-conf -- Class for typesetting ACTIVE conference papers.
  adfathesis -- Australian Defence Force Academy thesis format.
  afthesis -- Air Force Institute of Technology thesis class.
  aguplus -- Styles for American Geophysical Union.
  aiaa -- Typeset AIAA conference papers.
  ametsoc -- Official American Meteorological Society Latex Template.
  anufinalexam -- LaTeX document shell for ANU final exam
  aomart -- Typeset articles for the Annals of Mathematics.
  apa -- American Psychological Association format.
  apa6 -- Format documents in APA style (6th edition).
  apa6e -- Format manuscripts to APA 6th edition guidelines.
  arsclassica -- A different view of the ClassicThesis package.
  articleingud -- LaTeX class for articles published in INGENIERIA review.
  asaetr -- Transactions of the ASAE.
  ascelike -- Bibliography style for the ASCE.
  beamer-FUBerlin -- Beamer, using the style of FU Berlin.
  bgteubner -- Class for producing books for the publisher "Teubner Verlag".
  cascadilla -- Typeset papers conforming to the stylesheet of the Cascadilla
   Proceedings Project.
  chem-journal -- Various BibTeX formats for journals in Chemistry.
  classicthesis -- A "classically styled" thesis package.
  cmpj -- Style for the journal Condensed Matter Physics.
  confproc -- A set of tools for generating conference proceedings.
  ebsthesis -- Typesetting theses for economics
  economic -- BibTeX support for submitting to Economics journals.
  ejpecp -- Class for EJP and ECP.
  elbioimp -- A LaTeX document class for the Journal of Electrical
   Bioimpedance.
  elsarticle -- Class for articles for submission to Elsevier journals.
  elteikthesis -- Thesis class for ELTE University Informatics wing.
  erdc -- Style for Reports by US Army Corps of Engineers.
  estcpmm -- Style for Munitions Management Project Reports.
  fbithesis -- Computer Science thesis class for University of Dortmund.
  fcltxdoc -- Macros for use in the author's documentation.
  gaceta -- A class to typeset La Gaceta de la RSME.
  gatech-thesis -- Georgia Institute of Technology thesis class
  har2nat -- Replace the harvard package with natbib.
  hobete -- Unofficial beamer theme for the University of Hohenheim.
  icsv -- Class for typesetting articles for the ICSV conference.
  ieeepes -- IEEE Power Engineering Society Transactions.
  ijmart -- LaTeX Class for the Israel Journal of Mathematics.
  imac -- International Modal Analysis Conference format.
  imsproc -- Typeset IMS conference proceedings.
  imtekda -- IMTEK thesis class.
  jmlr -- Class files for the Journal of Machine Learning Research.
  jpsj -- Document Class for Journal of the Physical Society of Japan.
  kdgdocs -- Document classes for Karel de Grote University College.
  kluwer --
  lps -- Class for "Logic and Philosophy of Science".
  macqassign -- Typeset assignments for Macquarie University.
  mentis -- A basis for books to be published by Mentis publishers.
  msu-thesis -- Class for Michigan State University Master's and PhD theses.
  musuos -- Typeset papers for the department of music, Osnabruck.
  muthesis -- Classes for University of Manchester Dept of Computer Science.
  nature -- Prepare papers for the journal Nature.
  nddiss -- Notre Dame Dissertation format class.
  nih -- A class for NIH grant applications.
  nostarch -- LaTeX class for No Starch Press.
  nrc -- Class for the NRC technical journals.
  onrannual -- Class for Office of Naval Research Ocean Battlespace Sensing
   annual report.
  philosophersimprint -- Typesetting articles for "Philosophers' Imprint".
  powerdot-FUBerlin -- Powerdot, using the style of FU Berlin.
  pracjourn -- Typeset articles for PracTeX.
  procIAGssymp -- Macros for IAG symposium papers.
  ptptex -- Macros for 'Progress of Theoretical Physics'.
  psu-thesis -- Package for writing a thesis at Penn State University.
  revtex -- Styles for various Physics Journals.
  revtex4 --
  ryethesis -- Class for Ryerson Unversity Graduate School requirements.
  sageep -- Format papers for the annual meeting of EEGS.
  sapthesis -- Typeset theses for Sapienza-University, Rome.
  seuthesis -- LaTeX template for theses at Southeastern University.
  soton -- University of Southampton-compliant slides.
  spie -- Support for formatting SPIE Proceedings manuscripts.
  stellenbosch -- Stellenbosch thesis bundle.
  suftesi -- A document class for typesetting theses, books and articles.
  sugconf -- SAS(R) user group conference proceedings document class.
  texilikechaps -- Format chapters with a texi-like format.
  texilikecover -- A cover-page package, like TeXinfo.
  thesis-titlepage-fhac -- Little style to create a standard titlepage for
   diploma thesis
  thuthesis -- Thesis template for Tsinghua University.
  toptesi -- Bundle of files for typsetting theses.
  tugboat -- LaTeX macros for TUGboat articles.
  tugboat-plain --
  tui -- Thesis style for the University of the Andes, Colombia.
  uaclasses -- University of Arizona thesis and dissertation format.
  uafthesis -- Document class for theses at University of Alaska Fairbanks.
  ucdavisthesis -- A thesis/dissertation class for University of California
   Davis.
  ucthesis -- University of California thesis format.
  uiucthesis -- UIUC thesis class.
  umthesis -- Dissertations at the University of Michigan.
  umich-thesis -- University of Michigan Thesis LaTeX class.
  unamthesis -- Style for Universidad Nacional Autonoma de Mexico theses.
  ut-thesis -- University of Toronto thesis style.
  uothesis -- Class for dissertations and theses at the University of Oregon.
  uowthesis -- Document class for dissertations at the University of
   Wollongong.
  uwthesis -- University of Washington thesis class.
  vancouver -- Bibliographic style file for Biomedical Journals.
  york-thesis -- A thesis class file for York University, Toronto.
Homepage: http://www.tug.org/texlive/
Tag: role::plugin, use::typesetting, works-with-format::tex
Section: tex
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-publishers_2012.20120611-2_all.deb

Package: texlive-publishers-doc
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 66144
Maintainer: Debian TeX Maintainers 
Architecture: all
Replaces: texlive-latex-extra-doc (<< 2011)
Depends: dpkg (>= 1.14.18), tex-common (>= 3), texlive-common (>= 2012.20120516)
Size: 49071190
SHA256: c5dcd5955ced889cae7f833464dc73ecb575678734fa16f7175125a135b5ddd7
SHA1: b658cc21d86668ef6a7dd68503f0c12c59e76b8b
MD5sum: 4425110e0f7d7957fcea8fdbe6b06ef2
Description: TeX Live: Documentation files for texlive-publishers
 This package provides the documentation for texlive-publishers
Homepage: http://www.tug.org/texlive/
Tag: made-of::pdf, made-of::postscript, made-of::tex, role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-publishers-doc_2012.20120611-2_all.deb

Package: texlive-science
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 8119
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-latex-base (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), texlive-binaries (>= 2012-0), texlive-common (>= 2012.20120516)
Recommends: texlive-science-doc
Size: 2059414
SHA256: 6a13b81bd7eee518ee61ab53f96f5e8f3c8b6ce38823c61af6a373fb005b29ae
SHA1: d64f2f18e7ee297ca8087649b23e90e4a3598036
MD5sum: 932e78c1bbcda9e732d8f573c01fe409
Description: TeX Live: Typesetting for natural and computer sciences
 Typesetting for natural and computer sciences
 .
 This package includes the following CTAN packages:
  SIstyle -- Package to typeset SI units, numbers and angles.
  SIunits -- International System of Units.
  alg -- LaTeX environments for typesetting algorithms.
  algorithm2e -- Floating algorithm environment with algorithmic keywords.
  algorithmicx -- The algorithmic style you always wanted.
  algorithms -- A suite of tools for typesetting algorithms in pseudo-code.
  biocon -- Typesetting biological species names
  bpchem -- Typeset chemical names, formulae, etc.
  bytefield -- Create illustrations for network protocol specifications.
  chemarrow -- Arrows for use in chemistry.
  chemcompounds -- Simple consecutive numbering of chemical compounds.
  chemcono -- Support for compound numbers in chemistry documents.
  chemexec -- Creating (chemical) exercise sheets.
  chemmacros -- A collection of macros to support typesetting chemistry
   documents.
  chemnum -- A method of numbering chemical compounds.
  chemstyle -- Writing chemistry with style.
  clrscode -- Typesets pseudocode as in Introduction to Algorithms.
  complexity -- Computational complexity class names.
  computational-complexity --
  digiconfigs -- Writing "configurations"
  drawstack -- Draw execution stacks.
  dyntree -- Construct Dynkin tree diagrams.
  eltex -- Simple circuit diagrams in LaTeX picture mode.
  engtlc -- Support for users in Telecommunications Engineering.
  fouridx -- Left sub- and superscripts in maths mode.
  functan -- Macros for functional analysis and PDE theory
  galois -- Typeset Galois connections.
  gastex -- Graphs and Automata Simplified in TeX.
  gene-logic -- Typeset logic formulae, etc.
  gu -- Typeset crystallographic group-subgroup-schemes.
  hep -- A "convenience wrapper" for High Energy Physics packages.
  hepnames -- Pre-defined high energy particle names.
  hepparticles -- Macros for typesetting high energy physics particle names.
  hepthesis -- A class for academic reports, especially PhD theses.
  hepunits -- A set of units useful in high energy physics applications.
  karnaugh -- Typeset Karnaugh-Veitch-maps.
  mhchem -- Typeset chemical formulae/equations and Risk and Safety phrases.
  miller -- Typeset miller indices.
  mychemistry -- Create reaction schemes with LaTeX and ChemFig.
  nuc -- Notation for nuclear isotopes.
  objectz -- Macros for typesetting Object Z.
  physymb -- Assorted macros for Physicists.
  pseudocode -- LaTeX environment for specifying algorithms in a natural way.
  sasnrdisplay -- Typeset SAS or R code or output.
  sciposter -- Make posters of ISO A3 size and larger.
  sfg -- Draw signal flow graphs.
  siunitx -- A comprehensive (SI) units package.
  steinmetz -- Print Steinmetz notation.
  struktex -- Draw Nassi-Schneidermann charts
  t-angles -- Draw tangles, trees, Hopf algebra operations and other
   pictures.
  textopo -- Annotated membrane protein topology plots.
  ulqda -- Support of Qualitative Data Analysis.
  unitsdef -- Typesetting units in LaTeX.
  youngtab -- Typeset Young-Tableaux.
Homepage: http://www.tug.org/texlive/
Tag: field::TODO, field::biology, field::chemistry, field::electronics,
 field::mathematics, field::physics, made-of::tex, role::app-data,
 science::publishing, use::typesetting, works-with-format::tex,
 works-with::graphs, works-with::text
Section: tex
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-science_2012.20120611-2_all.deb

Package: texlive-science-doc
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 22784
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: dpkg (>= 1.14.18), tex-common (>= 3), texlive-common (>= 2012.20120516)
Size: 18712910
SHA256: 62dee555ae2c0435b5d5dadb0eb1748f1aa201d6d22177146552c92ec88583d3
SHA1: 794d6bf9e5517ed4dcb1011bb9b0c824786f9b27
MD5sum: d20389bad1dc222ea81348157a63be14
Description: TeX Live: Documentation files for texlive-science
 This package provides the documentation for texlive-science
Homepage: http://www.tug.org/texlive/
Tag: made-of::pdf, made-of::postscript, made-of::tex, role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/texlive-extra/texlive-science-doc_2012.20120611-2_all.deb

Package: texlive-xetex
Source: texlive-base
Version: 2012.20120611-5+deb7u1
Installed-Size: 10029
Maintainer: Debian TeX Maintainers 
Architecture: all
Replaces: texlive-math-extra (<= 2011.20120322)
Depends: texlive-binaries (>= 2012-0), texlive-latex-base (>= 2012.20120516), texlive-common (>= 2012.20120516), dpkg (>= 1.14.18), tex-common (>= 3), tipa (>= 2:1.2-2.1), texlive-base (>= 2012.20120516)
Breaks: texlive-math-extra (<= 2011.20120322)
Size: 6582268
SHA256: cf2033bed2e1532a48b803081ef1921c72184e7e36923d7ac5e098f3cb822784
SHA1: b69a022443c0afd3b079f9524c25f3a79cacc246
MD5sum: dd057342e3e70eefb932620ce9dffb60
Description: TeX Live: XeTeX packages
 Packages for XeTeX, the Unicode/OpenType-enabled TeX by Jonathan Kew,
   http://tug.org/xetex.
 .
 This package includes the following CTAN packages:
  arabxetex -- An ArabTeX-like interface for XeLaTeX.
  euenc -- Unicode font encoding definitions for XeTeX.
  fixlatvian -- Improve Latvian language support in XeLaTeX.
  fontbook -- Generate a font book.
  fontwrap -- Bind fonts to specific unicode blocks.
  mathspec -- Specify arbitrary fonts for mathematics in XeTeX.
  philokalia -- A font to typeset the Philokalia Books.
  polyglossia -- Modern multilingual typesetting with XeLaTeX.
  realscripts -- Access OpenType subscript and superscript glyphs.
  unisugar -- Define syntactic sugar for Unicode LaTeX.
  xecjk --
  xecolor --
  xecyr -- Using Cyrillic languages in XeTeX.
  xeindex -- Automatic index generation for XeLaTeX.
  xepersian -- Persian for LaTeX, using XeTeX.
  xesearch -- A string finder for XeTeX.
  xetex -- Unicode and OpenType-enabled TeX engine.
  xetex-def -- Colour and graphics support for XeTeX.
  xetex-itrans -- Itrans input maps for use with XeLaTeX.
  xetex-pstricks -- Running PStricks under XeTeX.
  xetexconfig -- Configuration files for XeTeX.
  xetexfontinfo -- Report font features in XeTeX.
  xltxtra -- "Extras" for LaTeX users of XeTeX.
  xunicode -- Generate Unicode characters from accented glyphs.
Homepage: http://www.tug.org/texlive/
Recommends: lmodern
Section: tex
Priority: optional
Filename: pool/main/t/texlive-base/texlive-xetex_2012.20120611-5+deb7u1_all.deb

Package: texmacs
Version: 1:1.0.7.15-2
Architecture: armhf
Maintainer: Atsuhito KOHDA 
Installed-Size: 5840
Depends: guile-1.8-libs, libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgmp10, libltdl7 (>= 2.4.2), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), texmacs-common (= 1:1.0.7.15-2), texlive-base, texlive-extra-utils, texlive-font-utils, texlive-math-extra, x11-apps, x11-session-utils, x11-utils, ghostscript-x, groff, mlocate | slocate | locate | findutils (<< 4.2.31-2)
Recommends: netpbm, libjpeg-progs | libjpeg-mmx-progs, libtiff-tools, ispell, librsvg2-bin, xfig, imagemagick
Suggests: wget, python
Enhances: axiom, maxima, octave, pari-gp, r-base, yacas
Homepage: http://www.texmacs.org
Priority: optional
Section: editors
Filename: pool/main/t/texmacs/texmacs_1.0.7.15-2_armhf.deb
Size: 2125470
SHA256: 4fbbced574febf754a51c4fd9333fee2eff519044971ca9107315596b3896a2f
SHA1: ac9e4dc0c3e5b8c1c6de804d211b7802f5f2ac3a
MD5sum: 599e69f781064c929404249517871356
Description: WYSIWYG mathematical text editor using TeX fonts
 GNU TeXmacs is a free scientific text editor, which was both inspired
 by TeX and GNU Emacs.
 .
 The editor allows you to write structured documents via a WYSIWYG
 (what-you-see-is-what-you-get) and a user friendly interface. New
 styles may be created by the user. The program implements
 high-quality typesetting algorithms and TeX fonts, which help you to
 produce professionally looking documents.
 .
 The high typesetting quality still goes through for automatically
 generated formulas, which makes TeXmacs suitable as an interface for
 computer algebra systems. TeXmacs also supports the Guile/Scheme
 extension language, so that you may customize the interface and write
 your own extensions to the editor.
 .
 This package contains the architecture dependent files.

Package: texmacs-common
Source: texmacs
Version: 1:1.0.7.15-2
Installed-Size: 27902
Maintainer: Atsuhito KOHDA 
Architecture: all
Replaces: texmacs (<= 1.0.3-4)
Depends: gnome-icon-theme
Recommends: texmacs (= 1:1.0.7.15-2)
Conflicts: texmacs-extra-fonts (<= 0.2)
Size: 12940704
SHA256: 1c10c16c99771e213aa2c4e2f0fa3ff2a6d052091f15f7fcf2dd641475f36e2e
SHA1: 1ca680d05edeb13c566c00c829841d0a69ea9042
MD5sum: ee785ae5118afe62d12e9fd581bab077
Description: WYSIWYG mathematical text editor using TeX fonts
 GNU TeXmacs is a free scientific text editor, which was both inspired
 by TeX and GNU Emacs.
 .
 This package contains the architecture-independent files, including
 the documentation.
Homepage: http://www.texmacs.org
Tag: field::mathematics, interface::commandline, interface::text-mode,
 role::app-data, suite::gnu, use::editing, use::text-formatting,
 use::typesetting, works-with-format::tex, works-with::text
Section: editors
Priority: optional
Filename: pool/main/t/texmacs/texmacs-common_1.0.7.15-2_all.deb

Package: texmacs-extra-fonts
Version: 0.2
Installed-Size: 7732
Maintainer: Debian QA Group 
Architecture: all
Enhances: texmacs
Size: 5673632
SHA256: 9712810e3b7c66416c62c93d4ce0b4e66714a254546ee4409c62142aea7ab952
SHA1: 124a960ef09116bb11eb169840ff5319b01f8094
MD5sum: a28fdd19aee50de4b53eb799248d9f19
Description: extra fonts for the mathematical text editor TeXmacs
 This package contains a reasonably complete set of Type 1 fonts for
 basic use of the mathematical text editor TeXmacs. Type 1 fonts may
 yield better printing results and are preferred by publishers.
 .
 TeXmacs also uses Type 1 fonts from the TeTeX distribution, but
 without a more complete set of Type 1 fonts, TeXmacs falls back to
 the Metafont system and needs to autogenerate fonts from a LaTeX
 distribution on demand, which takes a lot of time during editing.
 .
 This package brings the pregenerated Type 1 fonts that are part of
 the distribution of TeXmacs for Microsoft Windows and can't be found
 in other Debian packages.
 .
  Homepage: http://www.texmacs.org/
Tag: made-of::font, role::app-data
Section: fonts
Priority: optional
Filename: pool/main/t/texmacs-extra-fonts/texmacs-extra-fonts_0.2_all.deb

Package: texmaker
Version: 3.3.4-1
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 4160
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpoppler-qt4-3 (>= 0.18), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), texmaker-data (= 3.3.4-1)
Recommends: aspell, ghostscript, netpbm, psutils, texlive-latex-extra, hunspell-dictionary | openoffice.org-spellcheck-de-de | myspell-dictionary | hunspell-en-us, asymptote, ibus-qt4
Homepage: http://www.xm1math.net/texmaker/
Priority: optional
Section: tex
Filename: pool/main/t/texmaker/texmaker_3.3.4-1_armhf.deb
Size: 2019104
SHA256: db3cf7708db194fb817765eaaeb204a24623e74f71e3023257d508d31d7464de
SHA1: 927184c1a87e62e24260c350577e192ec85d2695
MD5sum: b19155894f31e2d099ebcd35fe6ebdfe
Description: cross-platform LaTeX editor
 Texmaker is a clean, highly configurable LaTeX editor with good hot key
 support and extensive LaTeX documentation. Texmaker integrates many tools
 needed to develop documents with LaTeX, in just one application. It has
 some nice features such as syntax highlighting, insertion of 370 mathematical
 symbols with only one click, and "structure view" of the document for easier
 navigation.

Package: texmaker-data
Source: texmaker
Version: 3.3.4-1
Installed-Size: 3897
Maintainer: Debian Science Maintainers 
Architecture: all
Replaces: texmaker (<< 3.3.4-1)
Size: 1854078
SHA256: 1d588ef83a75e1cb9c8d0657c61809db649c3c996d86514955adbe336aa2a26b
SHA1: 3f027f22d6d170568e3cea660ba80203e11e933e
MD5sum: 43c64015f542d82c8470cb89d98acdc6
Description: Texmaker LaTeX editor -- arch-independent files
 Texmaker is a clean, highly configurable LaTeX editor with good hot key
 support and extensive LaTeX documentation.
 .
 This package contains documentation and arch-independent files for Texmaker.
Homepage: http://www.xm1math.net/texmaker/
Tag: role::app-data
Section: tex
Priority: optional
Filename: pool/main/t/texmaker/texmaker-data_3.3.4-1_all.deb

Package: texpower
Source: texlive-extra
Version: 2012.20120611-2
Installed-Size: 122
Maintainer: Debian TeX Maintainers 
Architecture: all
Depends: texlive-latex-extra (>= 2011), texlive-fonts-extra (>= 2011), dpkg (>= 1.14.18), tex-common (>= 3)
Size: 50256
SHA256: 904d5c1cb02461753a56ede5fd97bc7088cece32ad337f8134a3f696e61a8632
SHA1: f5888b49c21127dc1bb8d1983235b9bc5e1a7091
MD5sum: e877640921ee51d2400b566c986e9e1b
Description: TeX Live: transitional dummy package
 This is a transitional package for texpower to ensure proper
 upgrade to texlive-latex-extra. It can be safely removed after the
   installation is complete.
Homepage: http://www.tug.org/texlive/
Tag: office::presentation, role::plugin, use::editing, use::typesetting,
 use::viewing, works-with-format::pdf, works-with-format::tex,
 works-with::text
Section: oldlibs
Priority: extra
Filename: pool/main/t/texlive-extra/texpower_2012.20120611-2_all.deb

Package: texpower-examples
Source: texpower
Version: 0.2-7.1
Installed-Size: 1815
Maintainer: Rene Engelhard 
Architecture: all
Replaces: texpower (<= 0.0.8h-7)
Depends: xpdf | pdf-viewer
Recommends: texpower
Size: 1812970
SHA256: 7225cdda6490918f01fd916668a2c7c6a6d2552c6f224afc659b0660ce08729b
SHA1: 105a35f98e8787d07590db8dc1f7065a7b674699
MD5sum: ac582fa374056caa43286a2f4c76881b
Description: TeXpower examples
 TeXPower is a bundle of style and class files for creating dynamic
 online presentations with LaTeX.
 .
 It is the most complete macro package in this way and therefore in its
 features quite unique.
 .
 This package contains the examples - LaTeX source and resulting PDF's.
Tag: devel::examples, made-of::pdf, made-of::tex, role::documentation,
 use::editing, use::typesetting, use::viewing, works-with-format::pdf,
 works-with-format::tex, works-with::text
Section: doc
Priority: optional
Filename: pool/main/t/texpower/texpower-examples_0.2-7.1_all.deb

Package: texpower-manual
Source: texpower
Version: 0.2-7.1
Installed-Size: 319
Maintainer: Rene Engelhard 
Architecture: all
Depends: xpdf | pdf-viewer
Recommends: texpower
Size: 294626
SHA256: c12f967dc118157fb6cc9a9fb19301f74c4b7eaf4a6e54270424cdcc080cd813
SHA1: de34181ff4cabe6353f93e5568eec7d4eea4b4aa
MD5sum: 2ac15362337e438d3e1ab8dcd7053b1e
Description: manual for the TeXpower macro bundle
 TeXPower is a bundle of style and class files for creating dynamic
 online presentations with LaTeX.
 .
 It is the most complete macro package in this way and therefore in its
 features quite unique.
 .
 This package contains the manual.
Tag: made-of::pdf, made-of::tex, role::documentation, use::editing,
 use::typesetting, use::viewing, works-with-format::pdf,
 works-with-format::tex, works-with::text
Section: doc
Priority: optional
Filename: pool/main/t/texpower/texpower-manual_0.2-7.1_all.deb

Package: texstudio
Version: 2.3+debian-3
Architecture: armhf
Maintainer: Tom Jampen 
Installed-Size: 8479
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhunspell-1.3-0, libpoppler-qt4-3 (>= 0.18), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-test (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4)
Recommends: texlive-base, texlive-latex-base, texlive-latex-recommended
Suggests: hunspell-dictionary, latex-beamer, mythes-thesaurus, texlive-fonts-recommended, texlive-latex-extra
Homepage: http://texstudio.sf.net/
Priority: optional
Section: editors
Filename: pool/main/t/texstudio/texstudio_2.3+debian-3_armhf.deb
Size: 3601676
SHA256: de6a6dc2bbbf20d915a459223e55973c8b3dfbf036a00451b8796e7f0c62a010
SHA1: fb68252d7e53935e5d847915ce6684f614fd7d9d
MD5sum: 7afc871f97fc4fd9489877c6d1d03206
Description: Latex Editor
 TeXstudio is a program based on Texmaker, which integrates many tools needed
 to develop documents with LaTeX in just one application. Using its editor you
 can write your documents with the help of interactive spell checking, syntax
 highlighting, code completion and more...

Package: texstudio-dbg
Source: texstudio
Version: 2.3+debian-3
Architecture: armhf
Maintainer: Tom Jampen 
Installed-Size: 21317
Depends: texstudio (= 2.3+debian-3)
Homepage: http://texstudio.sf.net/
Priority: extra
Section: debug
Filename: pool/main/t/texstudio/texstudio-dbg_2.3+debian-3_armhf.deb
Size: 20734466
SHA256: 3a66bd45e79c689686edc420466aa61acb0741be9bcb08699a08457c6aba4c0a
SHA1: ed69cb62893d67a0a704d5317135c62f0996b6bb
MD5sum: 3482c19d6e69246a1d42db744cc33ce6
Description: Latex Editor (debug)
 TeXstudio is a program based on Texmaker, which integrates many tools needed
 to develop documents with LaTeX in just one application. Using its editor you
 can write your documents with the help of interactive spell checking, syntax
 highlighting, code completion and more...
 .
 This package contains the debugging symbols.

Package: textdraw
Version: 0.2-2
Architecture: armhf
Maintainer: Rene Engelhard 
Installed-Size: 64
Depends: libc6 (>= 2.7), libncurses5 (>= 5.5-5~), libtinfo5
Priority: optional
Section: graphics
Filename: pool/main/t/textdraw/textdraw_0.2-2_armhf.deb
Size: 12882
SHA256: 74c72e1fc433efd078b02fbb154d68c1755390d961182c5cd61a0bd48d939e23
SHA1: e76863390faa7191522d3087cf1e4a3d1febad12
MD5sum: 5168b6bd3eec6e9e4cd9391aaa5adb0e
Description: Tool to draw/modify/move geometric figures & text for ASCII art
 Textdraw (td) is a small utility that allows do draw (ascii-based)
 line-, rectangle-, ellipse- and text-objects with copy/paste/move features.
 .
 It completes existing console-based software to a 'textbased only office'
 and offers a simple and easy way to draw ascii graphics for documentations,
 presentations, mails and much more.

Package: textedit.app
Version: 4.0+20061029-3.4
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 459
Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.6)
Recommends: aspell, latex.service
Conflicts: textedit
Replaces: textedit
Homepage: http://www.nongnu.org/backbone/apps.html
Priority: optional
Section: editors
Filename: pool/main/t/textedit.app/textedit.app_4.0+20061029-3.4_armhf.deb
Size: 133812
SHA256: 89fefc75ea002cd6df765b66ee7745e49191771f5e40970cb0849fb46618b8e9
SHA1: 362f0c7901d31c820cc4553271957a9463f70bd7
MD5sum: ca9158ed628f00559afa134b458ce244
Description: Text editor for GNUstep
 TextEdit is a relatively basic text editor. It handles plain text, RTF, and
 RTFD  has a nice "Wrap to Page" mode, has search/replace functionality, and
 can display any file as text.

Package: texworks
Version: 0.5~svn1007-1
Architecture: armhf
Maintainer: Atsuhito KOHDA 
Installed-Size: 3292
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhunspell-1.3-0, libpoppler-qt4-3 (>= 0.16), libqt4-dbus (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqt4-scripttools (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4)
Recommends: texlive-latex-base, texworks-help-en
Suggests: texlive-xetex, texworks-scripting-lua, texworks-scripting-python
Homepage: http://www.tug.org/texworks/
Priority: extra
Section: editors
Filename: pool/main/t/texworks/texworks_0.5~svn1007-1_armhf.deb
Size: 2333556
SHA256: 62dad5080bfb024589b68a1ad985f70d737c3916d3fa8c5502d997237f0c9147
SHA1: 4ddb98968bf0a1c6ba27c89bd8e5882884961dd1
MD5sum: 66115656315e06d69ce2cec9a2e1adf6
Description: Environment for authoring TeX (LaTeX, ConTeXt, etc) documents
 An environment for authoring TeX (LaTeX, ConTeXt, etc) documents, with
 a Unicode-based, TeX-aware editor, integrated PDF viewer, and a clean,
 simple interface accessible to casual and non-technical users.
 .
 TeXworks is inspired by Dick Koch's award-winning TeXShop program for
 Mac OS X, which has made quality typesetting through TeX accessible to
 a wider community of users, without a technical or intimidating face.
 The goal of TeXworks is to deliver a similarly integrated, easy-to-use
 environment for users on other platforms, especially GNU/Linux and Windows.

Package: texworks-help-en
Source: texworks
Version: 0.5~svn1007-1
Installed-Size: 2480
Maintainer: Atsuhito KOHDA 
Architecture: all
Recommends: texworks
Size: 1927104
SHA256: fef4581499dafee6b4526f9e57d3b06ed215d9ece3d57ae786bd42cafee0c3d3
SHA1: 2522fafa85cd72c6c1280e70571771b6a253d35e
MD5sum: 84154535f5593b6fb6cdcbec0e0a748e
Description: English help files for TeXworks
 For furter information, see http://tug.org/texworks/
Homepage: http://www.tug.org/texworks/
Tag: role::documentation
Section: editors
Priority: extra
Filename: pool/main/t/texworks/texworks-help-en_0.5~svn1007-1_all.deb

Package: texworks-scripting-lua
Source: texworks
Version: 0.5~svn1007-1
Architecture: armhf
Maintainer: Atsuhito KOHDA 
Installed-Size: 761
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblua5.1-0, libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), texworks
Homepage: http://www.tug.org/texworks/
Priority: extra
Section: editors
Filename: pool/main/t/texworks/texworks-scripting-lua_0.5~svn1007-1_armhf.deb
Size: 266512
SHA256: 1d5be0802dc54d8eab0343db553edcf100d3e985c5d7d6dafdc2f7b72a65a592
SHA1: bf716614383b148ec3262370d7c3beb80e825b58
MD5sum: e939c9585685627f806a2bdc76484cf0
Description: Plugin to bring Lua scripting to TeXworks
 .
 For further information, see http://tug.org/texworks/

Package: texworks-scripting-python
Source: texworks
Version: 0.5~svn1007-1
Architecture: armhf
Maintainer: Atsuhito KOHDA 
Installed-Size: 765
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpython2.7 (>= 2.7), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), texworks
Homepage: http://www.tug.org/texworks/
Priority: extra
Section: editors
Filename: pool/main/t/texworks/texworks-scripting-python_0.5~svn1007-1_armhf.deb
Size: 268590
SHA256: 3e9f41c55ce7f71245400a456212d7c94c178aa41b7b858ab4fc939b68ff0582
SHA1: 311ae70257274bc5873cf9127a7b9dc467ba04c1
MD5sum: 1443610ee7454d541fd264fc30cc1242
Description: Plugin to bring Python scripting to TeXworks
 .
 For further information, see http://tug.org/texworks/

Package: tf
Version: 1:4.0s1-17
Architecture: armhf
Maintainer: Jan Niehusmann 
Installed-Size: 707
Depends: libc6 (>= 2.7), libtinfo5, zlib1g (>= 1:1.1.4)
Priority: optional
Section: games
Filename: pool/main/t/tf/tf_4.0s1-17_armhf.deb
Size: 267562
SHA256: 3c4c145109dbe093c050cdfe8bb6718eb0962dab27939820134db9b1ca5b7ec9
SHA1: 4233b34d1a5d514616a6f49d10ea9bcd0eaaaea0
MD5sum: a3c86fa5c7a4e31c17b4ad84bcbc276f
Description: Tinyfugue MUD client for TinyMUDs, DikuMUDs, and LPMUDs
 TinyFugue (also known as "Fugue" or "TF") is a line-based client
 designed for connecting to MUD servers (note: LP, Diku, and other
 servers which use prompts require "/lp on"; see /help prompts).
 .
 TinyFugue is larger than most MUD clients, but has many more features
 and is much more flexible. The goal is to provide the most
 functionality in a client that still maintains the user-friendliness
 of Tinytalk.

Package: tf5
Source: tf5 (5.0beta8-4)
Version: 5.0beta8-4+b1
Architecture: armhf
Maintainer: Russ Allbery 
Installed-Size: 1092
Depends: libc6 (>= 2.13-28), libgnutls-openssl27, libpcre3 (>= 8.10), libtinfo5, zlib1g (>= 1:1.1.4)
Suggests: spell
Homepage: http://tinyfugue.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/t/tf5/tf5_5.0beta8-4+b1_armhf.deb
Size: 418796
SHA256: 83167fb6d992ba4b76837baa658e7e774c4382723787b7eb2b6f371c76e0fb28
SHA1: 18e2d9fad9184da34efd7196b391b8ff38bfe960
MD5sum: 5e6138d447a126c43e24c90e6052b827
Description: text-based MU* and chatserver client
 TinyFugue is a text-based, line-based client designed for connecting to
 most flavors of MU* servers (TinyMUSH, TinyMUX, LP, Diku, etc.) or any
 telnet-based chatserver.  It includes support for 256-color terminals,
 MCCP versions 1 and 2, a powerful trigger and scripting language, and
 many other features.
 .
 This package is the current development version of TinyFugue (major
 version 5).  For the current stable version (major version 4), install
 the "tf" package instead.

Package: tfdocgen
Version: 1.0-1
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 51
Depends: libc6 (>= 2.4), libglib2.0-0 (>= 2.12.0)
Priority: extra
Section: devel
Filename: pool/main/t/tfdocgen/tfdocgen_1.0-1_armhf.deb
Size: 9482
SHA256: d8e8ad400719f373eacdef31ba7ac5637298430182566b2d0b3087a8c4e9a1cd
SHA1: e88564f87e2125c0d514c2ca9603519816a22ceb
MD5sum: e910b1980575281f5895dcd2189731b6
Description: TiLP framework documentation generator
 The tfdocgen program is a program used by the libti*2 libraries to generate
 their HTML documentation from sources and misc files. You don't need this
 package unless you want to develop on the libti*2 libraries.

Package: tftp
Source: netkit-tftp
Version: 0.17-18
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 60
Depends: netbase, libc6 (>= 2.4)
Replaces: netstd
Priority: optional
Section: net
Filename: pool/main/n/netkit-tftp/tftp_0.17-18_armhf.deb
Size: 17776
SHA256: fff58e0decac5bc13680b5efeabe8b34c97ab3105e67dd4bb487f80e42f45f65
SHA1: 986e90f67ceca10d8b93530a8571731c1bdcf4e5
MD5sum: d2ce9906c7a87f8f0b315d4bc64e1caf
Description: Trivial file transfer protocol client
 Tftp is the user interface to the Internet TFTP (Trivial File Transfer
 Protocol), which allows users to transfer files to and from a remote machine.
 The remote host may be specified on the command line, in which case tftp uses
 host as the default host for future transfers.

Package: tftp-hpa
Version: 5.2-4
Architecture: armhf
Maintainer: Daniel Baumann 
Installed-Size: 74
Depends: libc6 (>= 2.13-28)
Conflicts: tftp
Homepage: http://www.kernel.org/pub/software/network/tftp/
Priority: extra
Section: net
Filename: pool/main/t/tftp-hpa/tftp-hpa_5.2-4_armhf.deb
Size: 26212
SHA256: 378b8c0fbb82f372d9713286f48efff229894f591959c37c6c9d7915e051ec92
SHA1: 0dd39f4e1605da91e66729e7bc6f384a01d31534
MD5sum: 38905c87fc4d7f71c4cadbe45dfb2f65
Description: HPA's tftp client
 Trivial File Transfer Protocol (TFTP) is a file transfer protocol, mainly to
 serve boot images over the network to other machines (PXE).
 .
 tftp-hpa is an enhanced version of the BSD TFTP client and server. It
 possesses a number of bugfixes and enhancements over the original.
 .
 This package contains the client.

Package: tftpd
Source: netkit-tftp
Version: 0.17-18
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 61
Depends: openbsd-inetd | inet-superserver, libc6 (>= 2.4)
Replaces: netstd
Priority: optional
Section: net
Filename: pool/main/n/netkit-tftp/tftpd_0.17-18_armhf.deb
Size: 16588
SHA256: c29549a8473c5c8fd60e0cc51ca6eb671701dd199b8c4017905eeaa0331752d0
SHA1: b0778784a60750f52801353f1521e4c15fa7c98c
MD5sum: 687c469c8f093fd69134975a7e16ff38
Description: Trivial file transfer protocol server
 Tftpd is a server which supports the Internet Trivial File Transfer Protocol
 (RFC 783).  The TFTP server operates at the port indicated in the `tftp'
 service description; see services(5).  The server is normally started by
 inetd(8).
 Tftpd is not suitable for use with the PXE bootloader; for that,
 use atftpd or tftpd-hpa.

Package: tftpd-hpa
Source: tftp-hpa
Version: 5.2-4
Architecture: armhf
Maintainer: Daniel Baumann 
Installed-Size: 139
Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libwrap0 (>= 7.6-4~), adduser
Suggests: syslinux-common
Conflicts: atftpd, tftpd
Homepage: http://www.kernel.org/pub/software/network/tftp/
Priority: extra
Section: net
Filename: pool/main/t/tftp-hpa/tftpd-hpa_5.2-4_armhf.deb
Size: 46072
SHA256: d0891a2707f564e7cddf0edda4d34de7a0fb3e0818dd6219a53dee196796b5c9
SHA1: d484d7612fd362e85ca3ed511c7f3c48b2c008a4
MD5sum: 826d4a00ec525e50945b62381b0c76bc
Description: HPA's tftp server
 Trivial File Transfer Protocol (TFTP) is a file transfer protocol, mainly to
 serve boot images over the network to other machines (PXE).
 .
 tftp-hpa is an enhanced version of the BSD TFTP client and server. It
 possesses a number of bugfixes and enhancements over the original.
 .
 This package contains the server.

Package: tgif
Version: 1:4.2.5-1.2
Architecture: armhf
Maintainer: Carlo Segre 
Installed-Size: 3019
Depends: debconf (>= 0.5) | debconf-2.0, gettext-base, libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libidn11 (>= 1.13), libsm6, libx11-6, libxext6, libxmu6, libxt6, zlib1g (>= 1:1.1.4), netpbm, ghostscript, python-uniconvertor, texlive-latex-base
Recommends: xfonts-75dpi
Homepage: http://bourbon.usc.edu/tgif/
Priority: optional
Section: graphics
Filename: pool/main/t/tgif/tgif_4.2.5-1.2_armhf.deb
Size: 1471342
SHA256: 8f53c40bcc5baf2cc9044e0f8afde3a1de0a004070d396b97c20534e03c3da3a
SHA1: 697da8478bc48b2a6498ed40ff2dfb28420ce981
MD5sum: 0dc8446967863f0d3a5679f03256f7a5
Description: 2D vector graphic drawing tool using Xlib
 Tgif (originally the "Tangram Graphic Interface Facility") is a
 classic drawing program for 2D vector graphics. Image objects can
 be hierarchically constructed out of primitives such as polygons,
 text, and splines (though the splines Tgif draws are not Bézier
 curves).
 .
 It natively supports PostScript formats suitable for LaTeX, as well
 as X11 bitmap or (version 1) pixmap formats. Other vector and raster
 image formats such as SVG and PNG can be handled via filters.
 .
 Tgif stores drawings as .obj files and individual building-block
 objects as .sym files, both in Prolog-compatible fact file format.

Package: tgn
Source: netexpect
Version: 0.22-2~wheezy+rpi1
Architecture: armhf
Maintainer: Eloy Paris 
Installed-Size: 208
Depends: libc6 (>= 2.13-28), libdumbnet1 (>= 1.8), libglib2.0-0 (>= 2.12.0), libpcap0.8 (>= 0.9.8)
Homepage: http://www.netexpect.org
Priority: optional
Section: net
Filename: pool/main/n/netexpect/tgn_0.22-2~wheezy+rpi1_armhf.deb
Size: 69734
SHA256: f210d27feb91b6357dd4b70239d38fc56f314966bf00ea5e16f001661c5612f8
SHA1: 4892eac72c6c2d743fca6f4b10be0f896e50ac98
MD5sum: 9fa2eda6f30ef69ff8ac751b21876c37
Description: A command-line based network traffic generator
 TGN (Traffic GeNerator) is a command-line based network traffic
 generator that allows to easily craft and inject network traffic.
 It has the same packet crafting and injection capabilities provided
 by the Network Expect framework but does not have the bigger
 dependencies that Network Expect has. The Protocol Data Unit (PDU)
 to send is defined in a simple way, without the use of complicated
 command-line switches that are hard to remember.
 .
 A TGN invokation may look like:
 .
 shell# tgn "ip(proto = 0..255, src = 'random', dst = 192.168.1.1)/icmp-echo()"
 .
 Visit http://www.netexpect.org for more information.

Package: tgt
Version: 1:1.0.17-1
Architecture: armhf
Maintainer: Debian Kernel Team 
Installed-Size: 502
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libibverbs1 (>= 1.1.2), librdmacm1 (>= 1.0.7), libconfig-general-perl, sg3-utils
Homepage: http://stgt.berlios.de/
Priority: optional
Section: net
Filename: pool/main/t/tgt/tgt_1.0.17-1_armhf.deb
Size: 228610
SHA256: 92fc4aeedd26cde48d6ad6852e8e1fb9670707c2e4c2d737390e2e8038888613
SHA1: 150ab183897d85972434f7df65f8a5d6f38ae9d1
MD5sum: 332a8efbd141b90321dce0b3a41d7b39
Description: Linux SCSI target user-space tools
 The Linux target framework (tgt) allows a Linux system to provide SCSI
 devices (targets) over networked SCSI transports.
 .
 Tgt consists of kernel modules, user-space daemon, and user-space
 This package contains the user-space daemon and tools; a recent Linux
 kernel is required for the modules.
 .
 This package includes drivers for:
 .
  - FCoE (Fibre Channel over Ethernet)
  - iSCSI (SCSI over IP)
  - iSER (iSCSI over RDMA, using Infiniband)

Package: thailatex
Version: 0.5.0-3
Installed-Size: 590
Maintainer: Theppitak Karoonboonyanan 
Architecture: all
Depends: texlive-latex-base, dpkg (>= 1.14.18), tex-common (>= 3)
Recommends: latex-fonts-thai-tlwg, swath, texlive-latex-extra
Suggests: latex-fonts-sipa-arundina
Breaks: latex-fonts-sipa-arundina (<< 0.2.0~), latex-fonts-thai-tlwg (<< 0.5.0~)
Size: 287712
SHA256: 7934a3b7fdc1a28570c2d3f5c42d24490cd4d818733abe959a48c1a8669db508
SHA1: 6966a2d219038462aa9db43aa020b33a6dc6de17
MD5sum: b43f9d2833c6d9126d83d4185f3abd59
Description: Thai support for LaTeX
 This package provides a Thai language add-on for LaTeX. It is based on
 the Babel package which comes with the TeX Live distribution.
 .
 This package needs a Thai word separator such as swath, in order for
 LaTeX to be able to break sentences.
Homepage: http://linux.thai.net/projects/thailatex
Tag: culture::thai, role::plugin, use::editing, use::typesetting,
 works-with-format::tex, works-with::text
Section: tex
Priority: optional
Filename: pool/main/t/thailatex/thailatex_0.5.0-3_all.deb

Package: the
Version: 3.3~rc1-2
Architecture: armhf
Maintainer: Alen Zekulic 
Installed-Size: 953
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libregina3 (>= 3.5), libtinfo5
Suggests: the-doc
Homepage: http://hessling-editor.sourceforge.net
Priority: optional
Section: editors
Filename: pool/main/t/the/the_3.3~rc1-2_armhf.deb
Size: 389992
SHA256: 7c45fcd9fd51b641a4b608c4b38b681e8cc54cc0229eabd3ae34ec7075f0bea4
SHA1: 56843f60e2eb44e38ce75c44c15c01d0d4806c7a
MD5sum: 23dd68933fda26c8f62d42886a96a706
Description: Full-screen character mode text editor
 THE (The Hessling Editor) is a text editor that uses both command
 line commands and key bindings to operate. It is intended to be
 similar to the VM/CMS System Product Editor, XEDIT and to KEDIT
 from Mansfield Software.

Package: the-doc
Source: the
Version: 3.3~rc1-2
Installed-Size: 889
Maintainer: Alen Zekulic 
Architecture: all
Suggests: the
Size: 288808
SHA256: 7f3c2a546fbac20ae6f60c46b04320f6b05ae020680c4c19a3666eccb69af999
SHA1: f037e3c627bced7a3f18c731c4cc75a0fe95ddb9
MD5sum: 44601d5fa94a6eb9f14acecbfa9ba14c
Description: Reference Manual for The Hessling Editor
 The Hessling Editor was originally written to be used by people already
 familiar with the VM/CMS System Product Editor XEDIT and KEDIT from
 Mansfield Software. For this reason, THE Reference Manual provides
 limited information on using THE, and concentrates more on reference
 material, such as command syntax and configuration.
Homepage: http://hessling-editor.sourceforge.net
Tag: interface::text-mode, made-of::html, role::documentation,
 uitoolkit::ncurses, use::editing, works-with::text
Section: doc
Priority: optional
Filename: pool/main/t/the/the-doc_3.3~rc1-2_all.deb

Package: themole
Version: 0.3-1
Installed-Size: 312
Maintainer: Raúl Benencia 
Architecture: all
Depends: python3 (>= 3.1.3-13~), python3-lxml, python3-chardet
Size: 44990
SHA256: d5b6b084e727ffa369518cfa049e7348ed463995736b4f6e0fad5f94b58a1405
SHA1: 4ed9d7cd612d6783ad84e6c70842ed42182f8cca
MD5sum: 0e5cb55d36d07f0240d07fb0220d287d
Description: automatic SQL injection exploitation tool
 The Mole is an automatic SQL Injection exploitation tool.
 Only by providing a vulnerable URL and a valid string on the
 site it can detect the injection and exploit it, either by
 using the union technique or a boolean query based technique.
 .
 The Mole uses a command based interface, allowing the user
 to indicate the action he wants to perform easily. The CLI also
 provides auto-completion on both commands and command arguments,
 making the user type as less as possible.
Homepage: http://themole.nasel.com.ar
Section: web
Priority: optional
Filename: pool/main/t/themole/themole_0.3-1_all.deb

Package: themonospot
Version: 0.7.3.1-6
Installed-Size: 341
Maintainer: Debian CLI Applications Team 
Architecture: all
Depends: mono-runtime (>= 2.10.1), libglade2.0-cil (>= 2.12.10), libglib2.0-cil (>= 2.12.10), libgtk2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.1)
Size: 90952
SHA256: 1e5526c0e8926376e8d9fb48fa03ea2543de8ca9a185c7fa0872e36afe474798
SHA1: f953ac9eb8ad2d6d8df34cec08c4d2ff4bfaed7d
MD5sum: 1c491fe498674206d961c935b2778065
Description: application to scan video files
 Themonospot is a simple application that can be used to scan an avi and
 matroska (.mkv) file and extract some informations about audio and video
 data flow:
 .
  - Video codec used
  - Frame size
  - Average video bitrate
  - File size
  - Total time
  - Frame rate
  - Total frames
  - Info data
  - Packet Bitstream
  - User data (in MOVI chunk)
  - Audio codec used
  - Average audio bitrate
  - Audio channelss
Homepage: http://www.integrazioneweb.com/themonospot
Tag: implemented-in::c-sharp, role::program, uitoolkit::gtk,
 works-with::video
Section: graphics
Priority: optional
Filename: pool/main/t/themonospot/themonospot_0.7.3.1-6_all.deb

Package: thepeg
Version: 1.8.0-1
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 518
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgsl0ldbl (>= 1.9), libreadline6 (>= 6.0), libstdc++6 (>= 4.4.0), libthepeg15, zlib1g (>= 1:1.1.4)
Homepage: http://projects.hepforge.org/thepeg/
Priority: optional
Section: science
Filename: pool/main/t/thepeg/thepeg_1.8.0-1_armhf.deb
Size: 115068
SHA256: 8e2f11c61b8bea6f1aed116fdbd27698149e68ccfd1b368f8707c84685bb44fc
SHA1: e6cedc9233b5e439f232b2a1318219178823014e
MD5sum: 5154398949a7c34d25b74e254efcf794
Description: Toolkit for High Energy Physics Event Generation
 ThePEG is a general toolkit for implementing physics models of event
 generation in high energy particle collisions. It defines a general
 structure of event generation in terms of abstract base classes for e.g.
 hard partonic matrix elements, parton showers and the decay of unstable
 particles. Different models are then implemented by creating classes which
 inherits from these base classes and implements sets of pre-defined virtual
 functions.
 .
 This package provides program files of ThePEG.

Package: thepeg-gui
Source: thepeg
Version: 1.8.0-1
Installed-Size: 118
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: thepeg (>= 1.8.0-1), default-jre-headless | default-jre
Size: 118014
SHA256: e78758861ea5025f1013bc9077a96b1869f702d5a17758d9847f6c26f067acd5
SHA1: 9b22f7ed2b7cae938c5fd4fef42f160fe25b4d69
MD5sum: 5255b5e3224a119e02bb6778d1a9af3b
Description: Java GUI of ThePEG
 ThePEG is a general toolkit for implementing physics models of event
 generation in high energy particle collisions. It defines a general
 structure of event generation in terms of abstract base classes for e.g.
 hard partonic matrix elements, parton showers and the decay of unstable
 particles. Different models are then implemented by creating classes which
 inherits from these base classes and implements sets of pre-defined virtual
 functions.
 .
 This package provides Java GUI of ThePEG.
Homepage: http://projects.hepforge.org/thepeg/
Section: science
Priority: optional
Filename: pool/main/t/thepeg/thepeg-gui_1.8.0-1_all.deb

Package: thepeg-reference
Source: thepeg
Version: 1.8.0-1
Installed-Size: 47748
Maintainer: Debian Science Maintainers 
Architecture: all
Size: 6143722
SHA256: 2a36cfc4b1a727a9e6ab185e8a89d5327783d9502d3894a19873596f154b0990
SHA1: 9f9e133ebd0279e1ccd4be14bd389f864f613571
MD5sum: ef4b2c41c4783bc92daa2dddac895c60
Description: Code reference of ThePEG
 ThePEG is a general toolkit for implementing physics models of event
 generation in high energy particle collisions. It defines a general
 structure of event generation in terms of abstract base classes for e.g.
 hard partonic matrix elements, parton showers and the decay of unstable
 particles. Different models are then implemented by creating classes which
 inherits from these base classes and implements sets of pre-defined virtual
 functions.
 .
 This package provides code reference of ThePEG generated by Doxygen.
Homepage: http://projects.hepforge.org/thepeg/
Section: science
Priority: optional
Filename: pool/main/t/thepeg/thepeg-reference_1.8.0-1_all.deb

Package: therion
Version: 5.3.9-4
Architecture: armhf
Maintainer: Wookey 
Installed-Size: 4048
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), tcl8.5 | wish, texlive-base, texlive-metapost, bwidget
Recommends: evince | pdf-viewer, libtk-img, therion-viewer, survex
Suggests: survex-aven, texlive-lang-czechslovak, texlive-lang-cyrillic
Priority: extra
Section: science
Filename: pool/main/t/therion/therion_5.3.9-4_armhf.deb
Size: 1145066
SHA256: 02cc47c687c061c226f31d8a7a49e2c1af536e25f7dd31523438281419ac6aec
SHA1: d8b9870d112cbe6582625c26f2fe2cd2c75cfa24
MD5sum: a0db4d7c142abdfde560aee7e93dc82a
Description: Cave surveying - 2D and 3D drawing software
 Therion aids the process of drawing up cave surveys (maps). Drawings are
 done over scans and can be distorted to fit the centreline data. Output is
 in single sheet or atlas style PDF or SVG files. All data is stored in text
 files and a map  editor (xtherion) is provided to aid in the creation of the
 files. A wide range of co-ordinate systems is supported.
 .
 Therion can also produce 3D models, generated from walls and
 passage-heights.  Output formats supported are Survex .3d files, VRML, DXF,
 SVG, shapefiles, and the native .lox. Scanned map overlays and relief data
 can be incorporated into models. Therion is compatible with Survex, and
 centreline data can be stored in Survex or Therion form. If survex is
 installed it is used for loop closures. Centreline data and sketches can be
 imported from PocketTopo, allowing full paperless surveying.
 .
 TeX and metapost are used to generate the images. libtk-img is needed to use
 scans in formats other than GIF and PNM (such as PNG and JPEG).
 Survex will be used for loop-closure if installed, but is not required.
 .
 You will need appropriate TeX language packages to process therion files which
 specify those character sets: Texlive-lang-czechslovak is needed to process
 the examples, and texlive-lang-cyrillic is also suggested. See README.Debian
 for details

Package: therion-doc
Source: therion
Version: 5.3.9-4
Installed-Size: 6167
Maintainer: Wookey 
Architecture: all
Replaces: therion (<< 0.5.0)
Recommends: therion
Size: 5949032
SHA256: 0b4d864a7a39f305d13d20527dae21e0a25f8bdffbb3fb7c5ffb017d1ea27976
SHA1: 06aab2c28c6699c8b054a6671c906acbb2ad3f8a
MD5sum: 9793f8c2ad60af7dba89e47745e5a46e
Description: Documentation for Therion Cave surveying software
 Therion is cave-survey drawing and modelling software. This package
 includes the thbook which is the specification for the therion data
 description language. This is not the easiest way to get started;
 there is much more documentation in the Therion wiki:
 http://therion.speleo.sk/wiki/doku.php with introductory guides, FAQs
 and examples. Read those first to get an understanding of how it is
 used.
Tag: role::documentation
Section: doc
Priority: extra
Filename: pool/main/t/therion/therion-doc_5.3.9-4_all.deb

Package: therion-viewer
Source: therion
Version: 5.3.9-4
Architecture: armhf
Maintainer: Wookey 
Installed-Size: 2300
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), libvtk5.8, libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libx11-6, zlib1g (>= 1:1.1.4), therion
Priority: extra
Section: science
Filename: pool/main/t/therion/therion-viewer_5.3.9-4_armhf.deb
Size: 517752
SHA256: 97fdd4f2c2c91e77acfc1adf47731169b9c00cf521bcbf81305292b1153ccc8e
SHA1: 24623c78b80117463fce78372555505fd875ae8a
MD5sum: ac2ce2341030913699703289979e2e9f
Description: Cave surveying - 3D viewer for therion models
 Therion is cave-survey drawing and modelling software. This package contains
 the 3D model viewer for therion models produced by version 0.4 or later.

Package: theseus
Version: 1.6.2-2
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 1668
Depends: libc6 (>= 2.13-28), libgsl0ldbl (>= 1.9), muscle
Suggests: clustlaw, mafft, t-coffee, kalign, dialign
Homepage: http://www.theseus3d.org
Priority: optional
Section: science
Filename: pool/main/t/theseus/theseus_1.6.2-2_armhf.deb
Size: 1185774
SHA256: 5797e6d464417d97afb18cc860e3049ceb677ab019930062000b8dfc7511be61
SHA1: d329966a27bdcede459cb4ba9bf4d64057ecc7ea
MD5sum: f8ba08cb8a3d206523c33c35e1b27144
Description: superimpose macromolecules using maximum likelihood
 Theseus is a program that simultaneously superimposes multiple
 macromolecular structures. Theseus finds the optimal solution to the
 superposition problem using the method of maximum likelihood. By
 down-weighting variable regions of the superposition and by correcting for
 correlations among atoms, the ML superposition method produces very
 accurate structural alignments.
 .
 When macromolecules with different residue sequences are superimposed,
 other programs and algorithms discard residues that are aligned with
 gaps. Theseus, however, uses a novel superimposition algorithm that
 includes all of the data.

Package: thewidgetfactory
Version: 0.2.1-2
Architecture: armhf
Maintainer: Ross Burton 
Installed-Size: 64
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0)
Priority: optional
Section: gnome
Filename: pool/main/t/thewidgetfactory/thewidgetfactory_0.2.1-2_armhf.deb
Size: 16570
SHA256: 09823e41b5c7840faab0ddc62116a15813f8867fed559b14a0455d45b452cb3f
SHA1: 331d4d5b228949ad131617262e9d1889d4ad9f2f
MD5sum: 68fedc8e8f55489e900ebc4808ff7939
Description: a showcase for GTK+ widgets
 The Widget Factory is a showcase tool for GTK+ widgets, designed for testing
 new themes.

Package: thin
Version: 1.3.1-3
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 282
Depends: libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter, ruby-rack (>= 1.0.0), ruby-eventmachine (>= 0.12.10), ruby-daemons (>= 1.0.9)
Breaks: thin1.8 (<< 1.3.1-1)
Replaces: thin1.8 (<< 1.3.1-1)
Provides: thin1.8
Homepage: http://code.macournoyer.com/thin/
Priority: optional
Section: ruby
Filename: pool/main/t/thin/thin_1.3.1-3_armhf.deb
Size: 61386
SHA256: 9f422df0f5e46f10d8f18d65b706baa42e17e4f38a57b13feddd159cbc4c194b
SHA1: 873150aa81620b1d5e612a36661bbb50708dc7b5
MD5sum: 73948d994cc0b6cdbd0bc1d99d422293
Description: fast and very simple Ruby web server
 Thin is a Ruby web server that glues together 3 of the best Ruby
 libraries in web history:
   * the Mongrel parser, the root of Mongrel's speed and security
   * Event Machine, a network I/O library with extremely high
     scalability, performance and stability
   * Rack, a minimal interface between webservers and Ruby frameworks
 .
 Which makes it, with all humility, the most secure, stable, fast and
 extensible Ruby web server bundled in an easy to use package for your own
 pleasure.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: thin1.8
Source: thin
Version: 1.3.1-3
Installed-Size: 36
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: thin (>= 1.3.1-1)
Size: 11066
SHA256: 8060dba8645845771d0e86f0f56a2cc75045e0bc4dba6d966f38f901d085bce0
SHA1: 4b50c511833d5350baa95cefea4708adf655800e
MD5sum: 92d27aeaa0c88abb61fb15ae8e0aaf2a
Description: Transitional package for thin
 This is a transitional package to ease upgrades to the thin
 package. It can safely be removed.
Homepage: http://code.macournoyer.com/thin/
Tag: implemented-in::ruby, role::program, web::server
Section: web
Priority: optional
Filename: pool/main/t/thin/thin1.8_1.3.1-3_all.deb

Package: thinkfan
Version: 0.8.1-1
Architecture: armhf
Maintainer: Evgeni Golov 
Installed-Size: 118
Depends: libc6 (>= 2.13-28)
Homepage: http://sourceforge.net/projects/thinkfan/
Priority: extra
Section: misc
Filename: pool/main/t/thinkfan/thinkfan_0.8.1-1_armhf.deb
Size: 32588
SHA256: 4c25301e3f2d5f48d0eca1fc0b7c49066f4e2b78fc5a05d9efc174bfffad15a0
SHA1: 2831be8318b6150aaeeb8354515470d92afc156e
MD5sum: 07243e0a688ee1ede14c8bfb7c465155
Description: simple and lightweight fan control program
 Some hardware has a kind of broken fan-control and lets the fan run
 faster than really needed. Thinkfan will prevent this by controlling
 the fan on its own (the fan speed for each temperature interval can be
 adjusted in the configuration file).
 .
 Originally designed specifically for IBM/Lenovo Thinkpads,
 it supports any kind of system via the sysfs hwmon interface.
 It is designed to eat as little CPU power as possible.

Package: threadscope
Version: 0.2.1-1
Architecture: armhf
Maintainer: Debian Haskell Group 
Installed-Size: 13287
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.6.0), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgmp10, libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.18.0), zlib1g (>= 1:1.1.4)
Homepage: http://hackage.haskell.org/package/threadscope
Priority: extra
Section: haskell
Filename: pool/main/t/threadscope/threadscope_0.2.1-1_armhf.deb
Size: 2744864
SHA256: 54d8d14bdfe7d04543cb4575c9697efb7e95157cec6bf37fb8ba7a29a5e8e0fe
SHA1: aeb1ae48c7b06cd493f4b6254ce740db890aef0f
MD5sum: 16a866122b089523cace03c82956c933
Description: graphical thread profiler for Haskell programs
 Threadscope is a graphical thread profiler for Haskell programs.
 It parses and displays the content of .eventlog files emitted by the
 GHC 6.12.1 and later runtimes, showing a timeline of spark creation,
 spark-to-thread promotions and garbage collections.
 .
 This helps debugging the parallel performance of Haskell programs,
 making easier to check that work is well balanced across the available
 processors and spot performance issues relating to garbage collection
 or poor load balancing.

Package: thrust
Version: 0.89c-3.5
Architecture: armhf
Maintainer: RISKO Gergely 
Installed-Size: 339
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6
Conflicts: suidmanager (<< 0.50)
Priority: optional
Section: games
Filename: pool/main/t/thrust/thrust_0.89c-3.5_armhf.deb
Size: 114696
SHA256: ec74d9d8bbb62d28565f10ad050fbb4e5acaad7e6efbdec7b2ed0831201f029f
SHA1: 1314f709754a391ab92c70e481930ba38c1b8d03
MD5sum: 8d1eaee3aa391499a7c22954b82e91bc
Description: a port of the classic Commodore 64 game
 The object of the game is to lift the Klystron Pod from
 the bottom of a cave and return it safely to space. The
 Pod is very heavy (compared to the ship) and this makes it
 tougher than it may seem.
 .
 You must be careful not to run out of fuel, crash into the
 cave walls, or let the enemy artillery outposts shoot you
 down.

Package: thuban
Version: 1.2.2-3
Architecture: armhf
Maintainer: Debian GIS Project 
Installed-Size: 3519
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdal1 (>= 1.8.0), libproj0, libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), gdal-bin, python-wxgtk2.8, python-sqlite
Recommends: python-psycopg, python-gdal, python-mapscript
Homepage: http://thuban.intevation.org
Priority: extra
Section: graphics
Filename: pool/main/t/thuban/thuban_1.2.2-3_armhf.deb
Size: 972686
SHA256: b6fcf77b70520157eed746f881182051e635064fbd4e7146a2b5354a5c821b21
SHA1: fd137945a27d3524e24e93c93adc53e28ff1ef5f
MD5sum: d3e9e643267e386cc789dac53599e924
Description: An interactive geographic data viewer
 Thuban can read geographic data in the shapefile format. Main features of
 thuban are the layer management and the possibility to navigate on the
 map, to control the visual appearance of objects, to identify and edit
 attributes by object selection and to print and export the resulting maps
 for further processing.

Package: thunar
Version: 1.2.3-4
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 726
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libexo-1-0 (>= 0.5.0), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libgudev-1.0-0 (>= 146), libice6 (>= 1:1.0.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libsm6, libthunarx-2-0 (>= 1.1.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), thunar-data (= 1.2.3-4), desktop-file-utils, shared-mime-info, exo-utils
Recommends: dbus-x11, libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), xfce4-panel (>= 4.7.7), thunar-volman, tumbler, xdg-user-dirs, gvfs
Suggests: thunar-archive-plugin, thunar-media-tags-plugin
Breaks: thunar-data (<< 1.2.3-3)
Replaces: thunar-data (<< 1.2.3-3)
Homepage: http://thunar.xfce.org
Priority: optional
Section: xfce
Filename: pool/main/t/thunar/thunar_1.2.3-4_armhf.deb
Size: 266692
SHA256: 26c64daf416cb3b385cc226e7dbed38aef2bc2dbc3753657c503eb25f848cf98
SHA1: aa91aefc60660072bbb33ac3ad1e6f9540d45929
MD5sum: c4cda1bc5158eee7db61d9009db916f7
Description: File Manager for Xfce
 Thunar is the file manager designed to be the default file manager of Xfce 4.6
 It has been designed to be fast and easy to use.
 .
 An Xfce plugin can manages the trash, if xfce4-panel is installed as well.
 Please read README.Debian for volume management stuff.

Package: thunar-archive-plugin
Version: 0.3.0-4
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 511
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexo-1-0 (>= 0.5.0), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0), libthunarx-2-0 (>= 1.1.0), libxfce4util4 (>= 4.3.99.2), thunar (>= 0.5.0rc2-1)
Recommends: squeeze (>= 0.2.3-5) | xarchiver (>= 0.3.9.2beta2-2) | ark | file-roller
Priority: optional
Section: xfce
Filename: pool/main/t/thunar-archive-plugin/thunar-archive-plugin_0.3.0-4_armhf.deb
Size: 49692
SHA256: 8211aa0d4ae17f64e59777ec7485e803362768587b5c8da8df296a19d3ffe735
SHA1: 4679136ea39592b5719bf88987a04462f65382eb
MD5sum: cd687f1ed1e9c98f5eb24918326719c6
Description: Archive plugin for Thunar file manager
 This plugin allows one to extract and create archive from inside the Thunar
 file manager. At the moment it uses file-roller but will use xarchiver in the
 future.

Package: thunar-data
Source: thunar
Version: 1.2.3-4
Installed-Size: 8818
Maintainer: Debian Xfce Maintainers 
Architecture: all
Size: 3766690
SHA256: a699ccefdfabefa08a5b35f79e9e0b5caeda0c0546c1ce7d7c46010f469c5450
SHA1: 33538370d1344f71087ac5c4ff1fa7b81ca86620
MD5sum: 3b89f1314a5169a3702f1485b119f37b
Description: Provides thunar documentation, icons and translations
 This package contains architecture-independent files for thunar.
Homepage: http://thunar.xfce.org
Tag: interface::x11, role::app-data, suite::xfce, uitoolkit::gtk
Section: xfce
Priority: optional
Filename: pool/main/t/thunar/thunar-data_1.2.3-4_all.deb

Package: thunar-dbg
Source: thunar
Version: 1.2.3-4
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 1661
Depends: thunar (= 1.2.3-4)
Homepage: http://thunar.xfce.org
Priority: extra
Section: debug
Filename: pool/main/t/thunar/thunar-dbg_1.2.3-4_armhf.deb
Size: 1384732
SHA256: 115890ed304c31c8e56ddcb274c383c5be006726e00a5bf370ba7e2d56ba950b
SHA1: 17cb52a3ee6c8c88489aa2a958a6b1268cecd5c6
MD5sum: 8904d4ebbeb135fac020134c0f5bd6cf
Description: debugging informations for thunar
 This package contains debugging symbols for thunar and libthunarx, the file
 manager and file management libraries for Xfce Desktop Environment.

Package: thunar-gtkhash
Source: gtkhash
Version: 0.6.0-4
Architecture: armhf
Maintainer: Mònica Ramírez Arceda 
Installed-Size: 78
Depends: gtkhash, gtkhash-common (= 0.6.0-4), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.16.0), libmhash2, libpango1.0-0 (>= 1.14.0), libthunarx-2-0 (>= 1.1.0), zlib1g (>= 1:1.1.4)
Homepage: http://gtkhash.sourceforge.net
Priority: optional
Section: utils
Filename: pool/main/g/gtkhash/thunar-gtkhash_0.6.0-4_armhf.deb
Size: 20914
SHA256: 67f879d7044b7cde01969a8772439d3ce2d3aa72c142a78a1525fd5a2302fa7f
SHA1: b54ab8db2b7788e2a06fe12d32b7b32dfbc4a80d
MD5sum: 6df9cb6093281571afc94dccf3436f39
Description: thunar extension for computing checksums and more using gtkhash
 The GtkHash extension for thunar which allows users to compute
 message digests or checksums using the mhash library.
 Currently supported hash functions include MD5, MD6, SHA1,
 SHA256, SHA512, RIPEMD, TIGER and WHIRLPOOL.

Package: thunar-media-tags-plugin
Version: 0.2.0-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 535
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexo-1-0 (>= 0.5.0), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libtag1c2a (>= 1.5), libtagc0 (>= 1.5), libthunarx-2-0 (>= 1.1.0), libxfce4util4 (>= 4.3.99.2), thunar (>= 1.1.0)
Priority: optional
Section: xfce
Filename: pool/main/t/thunar-media-tags-plugin/thunar-media-tags-plugin_0.2.0-1_armhf.deb
Size: 66862
SHA256: 49d03a42b99e24f78f0bb77b01a688c337086679e44cef6d7fc1ab3f4c43015b
SHA1: 5428bd9ea1c0ddce165778e56825e486fb7aa77d
MD5sum: 45a17d0bbb258b9de1abd8dbc30fe553
Description: Media tags plugin for Thunar file manager
 This plugin allows tags editing from Thunar file manager  and tags-based file
 renaming from inside Thunar Bulk Renamer

Package: thunar-vcs-plugin
Version: 0.1.4-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 668
Depends: libapr1 (>= 1.2.7), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexo-1-0 (>= 0.5.0), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0), libsvn1 (>= 1.6), libthunarx-2-0 (>= 1.1.0), libxfce4util4 (>= 4.3.99.2), thunar (>= 0.5.0rc2-1)
Priority: optional
Section: xfce
Filename: pool/main/t/thunar-vcs-plugin/thunar-vcs-plugin_0.1.4-1_armhf.deb
Size: 154820
SHA256: 2a58eb5aed9097dca6b61b52b0f2b7ff866e9432531df7aad212575c459496f4
SHA1: c42f27972a3413b6cf3969544a92b9a4918fe592
MD5sum: 7ffff2f0be722f7c033157fb6c74b4cd
Description: VCS plugin for Thunar file manager
 Git and subversion integration into the Thunar file manager.
 The current features are:
   * most of the svn action: add, blame, checkout, cleanup, commit, copy,
     delete, export, import, lock, log, move, properties, relocate, resolved,
     revert, status, switch, unlock, update.
   * subversion info in file properties dialog.
   * Basic git actions: add, blame, branch, clean, clone, log, move, reset,
     stash, status.

Package: thunar-volman
Version: 0.6.1-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 968
Depends: libc6 (>= 2.13-28), libexo-1-0 (>= 0.5.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.14.0), libgudev-1.0-0 (>= 146), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), libxfconf-0-2 (>= 4.6.0), thunar (>= 1.1.1), exo-utils
Homepage: http://goodies.xfce.org/projects/thunar-plugins/thunar-volman
Priority: optional
Section: xfce
Filename: pool/main/t/thunar-volman/thunar-volman_0.6.1-1_armhf.deb
Size: 164026
SHA256: e8cf459b8965e46105410dbdd837e8580ca194a7e4ddf49fb12b3453d6766de8
SHA1: 50e98c07d5cc3d4488aba05b08b4df207983e44e
MD5sum: efe733dec1568c91afc126dabe5db368
Description: Thunar extension for volumes management
 The Thunar Volume Manager is an extension for the Thunar file manager, which
 enables automatic management of removable drives and media.

Package: thunderbird
Source: icedove
Version: 1:45.8.0-3~deb7u1
Architecture: armhf
Maintainer: Christoph Goehre 
Installed-Size: 88410
Depends: debianutils (>= 1.16), fontconfig, psmisc, x11-utils, libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.10.2-2~), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libevent-2.0-5 (>= 2.0.10-stable), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.3.9), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.22.0), libgtk2.0-0 (>= 2.24.0), libhunspell-1.3-0, libpango1.0-0 (>= 1.14.0), libpixman-1-0 (>= 0.19.6), libstartup-notification0 (>= 0.8), libstdc++6 (>= 4.6), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxrender1, libxt6, zlib1g (>= 1:1.2.0)
Recommends: lightning (= 1:45.8.0-3~deb7u1), myspell-en-us | hunspell-dictionary | myspell-dictionary
Suggests: apparmor, fonts-lyx, libgssapi-krb5-2
Breaks: enigmail (<< 2:1.8), hunspell-ar (<< 0.0.0+20080110-1.1), hunspell-da (<< 1:3.1.0-3), hunspell-de-at (<< 20071211-2), hunspell-de-ch (<< 20071211-2), hunspell-de-de (<< 20071211-2), hunspell-de-med (<< 20090825-1), hunspell-en-ca (<< 1:3.1.0-3), hunspell-en-us (<< 20070829-3), hunspell-fr (<< 1:3.1.0-3), hunspell-gl-es (<< 2.2a-6), hunspell-hu (<< 1:3.1.0-3), hunspell-ko (<< 0.3.3-1), hunspell-ne (<< 1:3.1.0-3), hunspell-se (<< 1.0~beta6.20081222-1.1), hunspell-sh (<< 1:3.1.0-3), hunspell-sr (<< 1:3.1.0-3), hunspell-uz (<< 0.6-3.1), hunspell-vi (<< 1:3.1.0-3), icedove (<< 1:45.6.0-2.1~), lightning (<< 1:45.8.0-3~deb7u1), myspell-af (<< 1:3.1.0-3), myspell-ca (<< 0.6-8), myspell-cs-cz (<< 20040229-4.1), myspell-da (<< 1.6.18-1.1), myspell-de-at (<< 20071211-2), myspell-de-ch (<< 20071211-2), myspell-de-de (<< 20071211-2), myspell-de-de-oldspell (<< 1:2-25.1), myspell-el-gr (<< 0.3-1.1), myspell-en-au (<< 2.1-5), myspell-en-gb (<< 1:3.1.0-3), myspell-en-us (<< 1:3.1.0-3), myspell-en-za (<< 1:3.1.0-3), myspell-eo (<< 2.1.2000.02.25-41), myspell-es (<< 1.10-7), myspell-et (<< 1:20030606-12.1), myspell-fa (<< 0.20070816-2), myspell-fi (<< 0.7-17.2), myspell-fo (<< 0.2.36-3), myspell-fr (<< 1.4-25), myspell-fr-gut (<< 1:1.0-26.1), myspell-ga (<< 2.0-19), myspell-gd (<< 0.50-7), myspell-gv (<< 0.50-8), myspell-hr (<< 20060617-2), myspell-hu (<< 0.99.4-1.2), myspell-hy (<< 0.10.1-1.1), myspell-it (<< 1:3.1.0-3), myspell-ku (<< 0.20.0-1.1), myspell-lv (<< 0.7.3-3.1), myspell-nb (<< 2.0.10-3.1), myspell-ne (<< 1.0-4.1), myspell-nl (<< 1:1.10-3), myspell-nn (<< 2.0.10-3.1), myspell-pl (<< 20090830-1), myspell-pt-br (<< 2009.03.30-1.1), myspell-pt-pt (<< 20090309-1.1), myspell-ru (<< 0.99g5-8.1), myspell-sk (<< 0.5.5a-2.1), myspell-sv-se (<< 1.3.8-6-2.2), myspell-sw (<< 1:3.1.0-3), myspell-th (<< 1:3.1.0-3), myspell-tl (<< 0.4-0-5), xul-ext-compactheader (<< 2.1.0~), xul-ext-foxyproxy-standard (<< 4.5.6-debian-2~)
Replaces: icedove (<< 1:45.6.0-2.1~)
Provides: icedove, mail-reader
Homepage: http://www.mozilla.org/thunderbird/
Priority: optional
Section: mail
Filename: pool/main/i/icedove/thunderbird_45.8.0-3~deb7u1_armhf.deb
Size: 41327546
SHA256: fca49ff2e96409fe5845b9fc60250758f28fad17ffa4d5ac07aae50eb91c450a
SHA1: 639a3f943ebc87112963d51510e0ef3439e0b497
MD5sum: e57498e8cb4d526ee6dfc5e3df6a9cb3
Description: mail/news client with RSS, chat and integrated spam filter support
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 It supports different mail accounts, no matter of the used protocol like
 POP(s) or IMAP(s), has an integrated learning Spam filter, and offers easy
 organization of mails with tagging and virtual folders. Also, more features
 can be added by installing extensions.
 .
 The goal of Thunderbird is to produce a cross platform standalone mail
 application using the XUL user interface language.
Xul-Appid: {3550f703-e582-4d05-9a08-453d09bdfdc6}

Package: thunderbird-dbg
Source: icedove
Version: 1:45.8.0-3~deb7u1
Architecture: armhf
Maintainer: Christoph Goehre 
Installed-Size: 362856
Depends: thunderbird (= 1:45.8.0-3~deb7u1)
Breaks: icedove-dbg (<< 1:45.6.0-2.1~)
Replaces: icedove-dbg (<< 1:45.6.0-2.1~)
Provides: icedove-dbg
Homepage: http://www.mozilla.org/thunderbird/
Priority: extra
Section: debug
Filename: pool/main/i/icedove/thunderbird-dbg_45.8.0-3~deb7u1_armhf.deb
Size: 343100726
SHA256: 6c378de8a35432a615fe268ca8ab519f7e2145fc2e6fe8a8ba42f50bdebd024d
SHA1: 732c49d3c1468305684aefe6aaacbd21af96764f
MD5sum: 46f0289079c74c41d5f8421be93e030f
Description: Debug Symbols for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 It supports different mail accounts, no matter of the used protocol like
 POP(s) or IMAP(s), has an integrated learning Spam filter, and offers easy
 organization of mails with tagging and virtual folders. Also, more features
 can be added by installing extensions.
 .
 This package contains the debug symbols for Thunderbird. Install this package
 if you need to debug such a program or if you need a useful backtrace of a
 crash.

Package: thunderbird-dev
Source: icedove
Version: 1:45.8.0-3~deb7u1
Architecture: armhf
Maintainer: Christoph Goehre 
Installed-Size: 62287
Depends: thunderbird (= 1:45.8.0-3~deb7u1), libnspr4-dev, libnss3-dev, python, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.10.2-1.1~), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.22.0), libgtk2.0-0 (>= 2.18), libpango1.0-0 (>= 1.14.0), libstartup-notification0 (>= 0.2), libstdc++6 (>= 4.4.0)
Breaks: icedove-dev (<< 1:45.6.0-2.1~)
Replaces: icedove-dev (<< 1:45.6.0-2.1~)
Provides: icedove-dev
Homepage: http://www.mozilla.org/thunderbird/
Priority: optional
Section: mail
Filename: pool/main/i/icedove/thunderbird-dev_45.8.0-3~deb7u1_armhf.deb
Size: 13189600
SHA256: df3fe0d5cee028571c39d82e267c89882ef255c5960e021ed7419fee020efe02
SHA1: 131fd890db8985b5d213df02ab96e6d525c514c3
MD5sum: 6fa248eb4879dcf6781e8bb70d71a168
Description: Development files for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 It supports different mail accounts, no matter of the used protocol like
 POP(s) or IMAP(s), has an integrated learning Spam filter, and offers easy
 organization of mails with tagging and virtual folders. Also, more features
 can be added by installing extensions.
 .
 This package contains the development headers, idl files and static libraries
 needed to develop applications using Thunderbird.

Package: thunderbird-l10n-all
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 256
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-all (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-all
Depends: thunderbird-l10n-ar (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-ast (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-be (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-bg (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-bn-bd (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-br (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-ca (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-cs (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-da (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-de (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-dsb (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-el (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-en-gb (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-es-ar (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-es-es (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-et (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-eu (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-fi (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-fr (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-fy-nl (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-ga-ie (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-gd (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-gl (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-he (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-hr (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-hsb (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-hu (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-hy-am (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-id (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-is (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-it (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-ja (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-kab (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-ko (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-lt (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-nb-no (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-nl (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-nn-no (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-pa-in (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-pl (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-pt-br (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-pt-pt (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-rm (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-ro (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-ru (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-si (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-sk (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-sl (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-sq (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-sr (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-sv-se (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-ta-lk (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-tr (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-uk (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-vi (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-zh-cn (>= 1:52.8.0-1~deb7u1), thunderbird-l10n-zh-tw (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-all (<< 1:45.6.0-2.1~)
Size: 97444
SHA256: de40c5ffdd55697ee5459843b982dd41ed95d8b6d07c21cc8260d8079e84946f
SHA1: 7d0383483b330ed8578fd901c6680baa731c8e9e
MD5sum: d596b3b27c4207e7a1b635c69f460cdd
Description: All language packages for Thunderbird (meta)
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package is a metapackage depending on all available localization of
 Thunderbird.
Homepage: http://www.mozilla.org/thunderbird/
Section: metapackages
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-all_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-ar
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 902
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-ar (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-ar
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-ar (<< 1:45.6.0-2.1~)
Size: 647090
SHA256: e3abaedd6ec1a635cf7c2e491fee17e5fb16e332e696e736963fa6a78117f740
SHA1: fd5f10a68d2c1196b7f45ec0a96b66372f52d01d
MD5sum: 363f3ed85290ef8d78289858a0562ca2
Description: Arabic language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Arabic.
Homepage: https://wiki.mozilla.org/L10n:Teams:ar
Recommends: hunspell-ar
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-ar_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-ast
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 841
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-ast (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-ast
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-ast (<< 1:45.6.0-2.1~)
Size: 581288
SHA256: e944090cdb54527fb9841242120fc160ed0a8f0c8af8beaea9b5f8f0badf5db9
SHA1: fe2c2787dffbe79c6a3e97d9a813576eeb960037
MD5sum: b000e8f1d660cb9b7cf3451a5ef4c031
Description: Asturian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Asturian.
Homepage: https://wiki.mozilla.org/L10n:Teams:ast
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-ast_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-be
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 869
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-be (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-be
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-be (<< 1:45.6.0-2.1~)
Size: 612734
SHA256: 53e20cb59f9a8a5336713639787ce3ff334b8d76f12875db858c0ff73db63756
SHA1: 01e769a7350db5b3534d1bb63338ee6cdbaf5771
MD5sum: 91d94259e92164c401f0cd278fe65e45
Description: Belarusian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Belarusian.
Homepage: https://wiki.mozilla.org/L10n:Teams:be
Recommends: hunspell-be
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-be_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-bg
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 932
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-bg (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-bg
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-bg (<< 1:45.6.0-2.1~)
Size: 677632
SHA256: ecbc9bedc332bb907dc1c9f0d55a9421b27c9e1ca7d26eef70ab51b214a4d615
SHA1: 1aeca3b1eb71fede0b8fa0a32d47b7fc7fd929e9
MD5sum: bf2353e9ad00a58638f3172c9b9afd3c
Description: Bulgarian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Bulgarian.
Homepage: https://wiki.mozilla.org/L10n:Teams:bg
Recommends: hunspell-bg | myspell-bg
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-bg_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-bn-bd
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 975
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-bn-bd (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-bn-bd
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-bn-bd (<< 1:45.6.0-2.1~)
Size: 715312
SHA256: 1bf208b1f2a4e009fea764171580a7c6425fb85687590f413603745f1c4fc263
SHA1: 50091b8f0f00a49250546c44d5bb6c4b35ff1319
MD5sum: 1a1c56aaeed14f4f51d199cf93c77299
Description: Bengali language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Bengali.
Homepage: https://wiki.mozilla.org/L10n:Teams:bd-BD
Recommends: hunspell-bn
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-bn-bd_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-br
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 858
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-br (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-br
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-br (<< 1:45.6.0-2.1~)
Size: 601182
SHA256: f7245f4c68d9bfd53eba5a151ddd2f66286da5802106510d06ac5cf02570cbdc
SHA1: abe67748e38c4f08541b9176ee70d1d4f8b022f2
MD5sum: ebe3a7d96f4e9842ea9d617c88f5daca
Description: Breton language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Breton.
Homepage: https://wiki.mozilla.org/L10n:Teams:br
Recommends: hunspell-br
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-br_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-ca
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 868
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-ca (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-ca
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-ca (<< 1:45.6.0-2.1~)
Size: 612414
SHA256: bbddc3591a208ea19d931763e1175cb9240fc688f5ba6533c417793525a7dafa
SHA1: d0e436f6f2d426a879721009ecfcc05347b3c3cf
MD5sum: c1cbe98c6acd9ee8f3084968ab72a988
Description: Catalan/Valencian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Catalan/Valencian.
Homepage: https://wiki.mozilla.org/L10n:Teams:ca
Recommends: hunspell-ca | myspell-ca
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-ca_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-cs
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 878
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-cs (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-cs
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-cs (<< 1:45.6.0-2.1~)
Size: 620710
SHA256: c6da8379d037c20085a079a1a9204699a7ef61a163f88cd77355e0c83b59e25a
SHA1: 037dc3a1d4ed00ca0bb670477519ef09504a5746
MD5sum: 73d0be0e9e0f044bcf73d3db4bde97f1
Description: Czech language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Czech.
Homepage: https://wiki.mozilla.org/L10n:Teams:cs
Recommends: hunspell-cs | myspell-cs-cz
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-cs_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-da
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 842
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-da (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-da
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-da (<< 1:45.6.0-2.1~)
Size: 585596
SHA256: 8dd5039e72b7f616bf273153b55dbdf50e9f2e43c55b18bfd4025b2a756b34fc
SHA1: ce32369da1ade4a26863a29ab37cec4c31842e82
MD5sum: 020a417235a2a336d3cb417641c7fc1e
Description: Danish language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Danish.
Homepage: https://wiki.mozilla.org/L10n:Teams:da
Recommends: myspell-da | hunspell-da
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-da_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-de
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 855
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-de (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-de
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-de (<< 1:45.6.0-2.1~)
Size: 597972
SHA256: ba854ea773a936905aed98034f3a218ccc183f6ce8a53b50e073bd2066a00d5f
SHA1: 8bbca4eba05391a5db8bd1dc1e693f65a4834fa4
MD5sum: 9e19d3fcfb0f322bbe9f2431b013305e
Description: German language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in German.
Homepage: https://wiki.mozilla.org/L10n:Teams:de
Recommends: hunspell-de-de | hunspell-de-at | hunspell-de-ch | myspell-de-de | myspell-de-at | myspell-de-ch
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-de_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-dsb
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 883
Maintainer: Carsten Schoenert 
Architecture: all
Provides: icedove-l10n-dsb
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Size: 625124
SHA256: fe14762d8b6acff18ce3c1227faaf6b1b1aa6c4e021e05d7e444e4bd0159486a
SHA1: ce31b97579401f826602e18f0e346f695d33be48
MD5sum: d611d7355163b494b3bc87b4f24f6931
Description: Lower Sorbian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Lower Sorbian.
Homepage: https://wiki.mozilla.org/L10n:Teams:dsb
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-dsb_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-el
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 960
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-el (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-el
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-el (<< 1:45.6.0-2.1~)
Size: 705250
SHA256: 91ea30c3dd81c35222eda6b75d77193049d15786623927c8463475fc447dc19a
SHA1: 245309ea169f05239ab83124d5a60b4a6c51bcb7
MD5sum: d8ac4b5c1cdbe74fee4a5797a5a63b49
Description: Greek language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Greek.
Homepage: https://wiki.mozilla.org/L10n:Teams:el
Recommends: hunspell-el | myspell-el-gr
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-el_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-en-gb
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 831
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-en-gb (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-en-gb
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-en-gb (<< 1:45.6.0-2.1~)
Size: 567004
SHA256: dc70efbbad3390a167280dd00c1c3e59063e5580e42d1d5639116cd826638845
SHA1: 09ffd51c10f0f2efe4e2b30edcb3b084c8d9e665
MD5sum: 0883e2cadb9b89e3ec52298ee410744d
Description: English (Great Britain) language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in English (Great
 Britain).
Homepage: https://wiki.mozilla.org/L10n:Teams:en-GB
Recommends: hunspell-en-gb | hunspell-en-au | hunspell-en-ca | hunspell-en-za
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-en-gb_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-es-ar
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 865
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-es-ar (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-es-ar
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-es-ar (<< 1:45.6.0-2.1~)
Size: 602716
SHA256: 3f0db6208a9f9b69db345d93f261fa05795c1b8b22cfe4db75cf348298fc86db
SHA1: 267090efeda5360f15fc1c395f33d2b81a22e803
MD5sum: 7b74087bcf3f2bf31ed6aba67b28f7e7
Description: Spanish (Argentina) language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Spanish (Argentina).
Homepage: https://wiki.mozilla.org/L10n:Teams:es-AR
Recommends: hunspell-es | myspell-es
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-es-ar_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-es-es
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 747
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-es-es (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-es-es
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-es-es (<< 1:45.6.0-2.1~)
Size: 480964
SHA256: 3bec6054c3752f3edc1256b9481cd1c58acda3f1724be785bc3ae47436543c54
SHA1: d8f8189855b5563217539b051c72601081c31629
MD5sum: 686d9648afcf1e015235fb92eb8f4057
Description: Spanish (Spain) language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Spanish (Spain).
Homepage: https://wiki.mozilla.org/L10n:Teams:es-ES
Recommends: hunspell-es | myspell-es
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-es-es_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-et
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 851
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-et (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-et
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-et (<< 1:45.6.0-2.1~)
Size: 593870
SHA256: 49ce907d8be0f2d900e6c2a1eda25cabd9fcd20669e621f15e040f1caae7df50
SHA1: 8facb6c77d937ee91f9bbf4c0511d4ec7d245380
MD5sum: f4ca7b87cbd80e91b4019ac60b6d4555
Description: Estonian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Estonian.
Homepage: https://wiki.mozilla.org/L10n:Teams:et
Recommends: myspell-et
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-et_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-eu
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 854
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-eu (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-eu
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-eu (<< 1:45.6.0-2.1~)
Size: 597730
SHA256: ac19e0e97fd8e41a72dbf00ed7abb829de3892f16c45441ec02d58051385771f
SHA1: 48dcad069a05c17f2bc5dea02f9949058f8b8fa2
MD5sum: 119bd4ef530de3aeadadd519fbe78e5a
Description: Basque language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Basque.
Homepage: https://wiki.mozilla.org/L10n:Teams:eu
Recommends: hunspell-eu
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-eu_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-fi
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 850
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-fi (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-fi
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-fi (<< 1:45.6.0-2.1~)
Size: 592882
SHA256: fa5cbd182a0e5940e054514903e93d1b09391464fad8c53f8850000aa937de72
SHA1: f0c7c9898e79cfeff5a2c9fa26bd1a171d0dcd72
MD5sum: 599480d4c4d4a7506da18982a636ef93
Description: Finnish language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Finnish.
Homepage: https://wiki.mozilla.org/L10n:Teams:fi
Recommends: myspell-fi, xul-ext-mozvoikko
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-fi_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-fr
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 876
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-fr (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-fr
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-fr (<< 1:45.6.0-2.1~)
Size: 618988
SHA256: f52f5b54df076a17014175258d8a26c49f042159c2be98f389704788ffc57bf6
SHA1: 8f8fbe5af8c6f950343a3b5ae34aecfd4438e280
MD5sum: 8ba509c0f25501baf8736c4f4422b047
Description: French language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in French.
Homepage: https://wiki.mozilla.org/L10n:Teams:fr
Recommends: hunspell-fr | myspell-fr | myspell-fr-gut
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-fr_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-fy-nl
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 863
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-fy-nl (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-fy-nl
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-fy-nl (<< 1:45.6.0-2.1~)
Size: 599688
SHA256: 29a2e0be48b5bc74a765aafeac3c2e9a63e00e0bd52d7449730d7a518d6479b0
SHA1: de5f2bc3313cd50e4f9be02111060b947ec853e3
MD5sum: dcb08fde39ade7d6b6de6834478298f5
Description: Frisian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Frisian.
Homepage: https://wiki.mozilla.org/L10n:Teams:fy-NL
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-fy-nl_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-ga-ie
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 882
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-ga-ie (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-ga-ie
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-ga-ie (<< 1:45.6.0-2.1~)
Size: 619598
SHA256: 6b8e8c0a588887b68b0982cf8d0caf9db439be0a067768f27b2e3047eca8d3b5
SHA1: 4a58c5b9f16fda56422021f69531044e4648f8c3
MD5sum: 9fb5a4c715b9f59b044c7ad75aff020f
Description: Irish (Ireland) language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Irish (Ireland).
Homepage: https://wiki.mozilla.org/L10n:Teams:ga-IE
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-ga-ie_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-gd
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 872
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-gd (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-gd
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-gd (<< 1:45.6.0-2.1~)
Size: 616118
SHA256: 40fded7edcb045b3dc6c4622f38d68dcc6060f351e28ae95acf3a1f38382d2ba
SHA1: 4d4dea5b9694891742af8f77cc6dd277dc33a9d2
MD5sum: 4940ac3ab92d774632451be619e62acd
Description: Gaelic (Scottish) language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Gaelic (Scottish).
Homepage: https://wiki.mozilla.org/L10n:Teams:gd
Recommends: hunspell-gd | myspell-gd
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-gd_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-gl
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 874
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-gl (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-gl
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-gl (<< 1:45.6.0-2.1~)
Size: 617220
SHA256: 75c8b21ce1a84c6e6e1a73d4b6d84bfd559227d697f7232bb44cf69682edf269
SHA1: 9f3ea7b5df39c811d4f5fe5363e86d7aec751216
MD5sum: 3024a0890b58b20a983fee918f3ac502
Description: Galician language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Galician.
Homepage: https://wiki.mozilla.org/L10n:Teams:gl
Recommends: hunspell-gl | hunspell-gl-es
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-gl_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-he
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 891
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-he (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-he
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-he (<< 1:45.6.0-2.1~)
Size: 634762
SHA256: badd173921e630df432d87b0f37879e8a011850a625646a5a84a8f8c13a0e062
SHA1: b832599152f78ded9f03e7ea88f187887aae7c5b
MD5sum: 4ae031c9a7ee024322f13e82285b905a
Description: Hebrew language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Hebrew.
Homepage: https://wiki.mozilla.org/L10n:Teams:he
Recommends: hunspell-he | myspell-he
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-he_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-hr
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 864
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-hr (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-hr
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-hr (<< 1:45.6.0-2.1~)
Size: 606814
SHA256: 76a6f3a47a886973247be7f608bdaafa3d91cf16e7866b9ef66476d2ad25dd60
SHA1: 582a47c4bdc3572fd8cf0934ec3bec08258465d5
MD5sum: dbfb259fbcb1d571a18e277aac76c59d
Description: Croatian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Croatian.
Homepage: https://wiki.mozilla.org/L10n:Teams:hr
Recommends: hunspell-hr | myspell-hr
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-hr_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-hsb
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 881
Maintainer: Carsten Schoenert 
Architecture: all
Provides: icedove-l10n-hsb
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Size: 622238
SHA256: aacbcbf6f79ae1ffaf8c50cfec9606db12cf4c7214bf316833d0e548c3c00c40
SHA1: 9734977ab7593fd740cfb61c70b3d992d9ee30ef
MD5sum: e2d593c223e129f24baada8341ddbbc6
Description: Upper Sorbian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Upper Sorbian.
Homepage: https://wiki.mozilla.org/L10n:Teams:hsb
Recommends: aspell-hsb
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-hsb_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-hu
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 885
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-hu (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-hu
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-hu (<< 1:45.6.0-2.1~)
Size: 629332
SHA256: 0001ce44de127dd4691a340d93c8fb54e7c9694aabbb1fb6568af1e59b182bdd
SHA1: fe161136d18c280e9eac3e9d7dd444a4e30e8cd1
MD5sum: 89945ac7d39504b0093d58582fc505ee
Description: Hungarian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Hungarian.
Homepage: https://wiki.mozilla.org/L10n:Teams:hu
Recommends: hunspell-hu | myspell-hu
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-hu_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-hy-am
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 928
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-hy-am (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-hy-am
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-hy-am (<< 1:45.6.0-2.1~)
Size: 667140
SHA256: 6eca559adae43ef91ec94dddf7d461ce8951d20c92b47a46cbd3ae28e19e4772
SHA1: a46b2535a69a6292fb8a26b1d71bfa486fbca35e
MD5sum: 9865df4d825e1dcb828921f5cb31d41d
Description: Armenian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Armenian.
Homepage: https://wiki.mozilla.org/L10n:Teams:hy-AM
Recommends: myspell-hy
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-hy-am_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-id
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 845
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-id (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-id
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-id (<< 1:45.6.0-2.1~)
Size: 588364
SHA256: a210351ce940f541d6d5f0cbe07b609fd98ecd1957f3ac44f916cd5b75bb42bd
SHA1: b9e3f27fea8fb28475e9c5930c2075d46ecf208f
MD5sum: 780129ed74b936bca3dd3bfee921af11
Description: Indonesian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Indonesian.
Homepage: https://wiki.mozilla.org/L10n:Teams:id
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-id_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-is
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 854
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-is (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-is
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-is (<< 1:45.6.0-2.1~)
Size: 597940
SHA256: bc58c2bdfb97ed9a7a640362c0aa61ee5f742d02d54e3567ae42896a1141b62c
SHA1: f07c9d104f7eaace9712f7c2dc3848cfad234a24
MD5sum: 01609cc0b2b97fab8ed66d42f2669257
Description: Icelandic language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Icelandic.
Homepage: https://wiki.mozilla.org/L10n:Teams:is
Recommends: hunspell-is
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-is_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-it
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 756
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-it (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-it
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-it (<< 1:45.6.0-2.1~)
Size: 496372
SHA256: 22e92b95264ec70c1cabbcdc53df08d89a433d2235c769d31ef5c417d7af29a9
SHA1: 74fa13e2b8f3dbb2e7c9b832e37a876b9732282b
MD5sum: 71c3db5d30f14817667a813c06bbc243
Description: Italian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Italian.
Homepage: https://wiki.mozilla.org/L10n:Teams:it
Recommends: hunspell-it | myspell-it
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-it_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-ja
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 927
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-ja (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-ja
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-ja (<< 1:45.6.0-2.1~)
Size: 672370
SHA256: 5570d8acf5b10aa85f7302258c3c77e4fbdf4712e74c58d3fbf1ed6d66febad5
SHA1: 2ab921d023460d654d686153b86e0fd43eca01fe
MD5sum: fb6a55dbe9292d2f441530755a94a7ed
Description: Japanese language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Japanese.
Homepage: https://wiki.mozilla.org/L10n:Teams:ja
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-ja_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-kab
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 868
Maintainer: Carsten Schoenert 
Architecture: all
Provides: icedove-l10n-kab
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Size: 609286
SHA256: c4bdc83fd632e3f2202cdd6f2c351b941906b4b9c72baf07a38d95f3686e4cd2
SHA1: 82a6555a22381396ed09c453c98279ec744d0233
MD5sum: d09767b5697d90d42905f25f7d737925
Description: Kabyle language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Kabyle.
Homepage: https://wiki.mozilla.org/L10n:Teams:kab
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-kab_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-ko
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 894
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-ko (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-ko
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-ko (<< 1:45.6.0-2.1~)
Size: 637246
SHA256: 825aec33b995ace00637d4d12078ef854f2e148c312df9c49a912ee21bd53c18
SHA1: d0ecc1e0262bd81ad19e544303f147963d4c204d
MD5sum: 3201be6c4f8ea5705930af292293c9b3
Description: Korean language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Korean.
Homepage: https://wiki.mozilla.org/L10n:Teams:ko
Recommends: hunspell-ko
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-ko_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-lt
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 879
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-lt (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-lt
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-lt (<< 1:45.6.0-2.1~)
Size: 622826
SHA256: 59bb9becb34c71320f0262a1a2713035521396cef9fbf025908b7ac0af9e2588
SHA1: b8851836d35fbd19e57a05b4002f2efc93cd95a8
MD5sum: 5b0d9439d7cabfb0420b5ddc5f326766
Description: Lithuanian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Lithuanian.
Homepage: https://wiki.mozilla.org/L10n:Teams:lt
Recommends: hunspell-lt | myspell-lt
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-lt_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-nb-no
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 851
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-nb-no (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-nb-no
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-nb-no (<< 1:45.6.0-2.1~)
Size: 586560
SHA256: 00ae165fb0a174fb45d2b51a322bac367383cfc2e1cd7b54462a7a4b06539454
SHA1: 57a8dda4d9b7bc8482fb0d24358108015b60df77
MD5sum: 6daff10c24e377a90773a667124fdfd3
Description: Bokmaal (Norway) language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Bokmaal (Norway).
Homepage: https://wiki.mozilla.org/L10n:Teams:nb-NO
Recommends: hunspell-no | myspell-nb
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-nb-no_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-nl
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 857
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-nl (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-nl
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-nl (<< 1:45.6.0-2.1~)
Size: 599820
SHA256: e32d6d74cc2962ffa65ef14ad820d6ee5a11c0cdcffdbb5eb6d8405bdbf92e6f
SHA1: 8b663cb39dab8d6456851e1a7f053c456753080b
MD5sum: c57c265672d800d1572c15a80e153f30
Description: Dutch language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Dutch.
Homepage: https://wiki.mozilla.org/L10n:Teams:nl
Recommends: hunspell-nl | myspell-nl
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-nl_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-nn-no
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 851
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-nn-no (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-nn-no
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-nn-no (<< 1:45.6.0-2.1~)
Size: 587864
SHA256: 73fdbe66c9589722095b047bda824082f43579159ea9433a2354be7b89657efa
SHA1: 0d7daee5f77294c103314f057a605d28ab3f3b1b
MD5sum: 9a049655813ac756d12f7ac80c8871e3
Description: Nynorsk (Norway) language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Nynorsk (Norway).
Homepage: https://wiki.mozilla.org/L10n:Teams:nn-NO
Recommends: hunspell-no | myspell-nn
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-nn-no_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-pa-in
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 944
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-pa-in (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-pa-in
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-pa-in (<< 1:45.6.0-2.1~)
Size: 684280
SHA256: 04b024fe1ef110ca47a5a1b4ed7744122ed27913d9150b90e97c435b59d403c4
SHA1: cb1ddbdab217feb93ed476509ec9890c6ea70ccf
MD5sum: 5f8a73011af5e1413f6349e003387553
Description: Punjabi (India) language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Punjabi (India).
Homepage: https://wiki.mozilla.org/L10n:Teams:pa
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-pa-in_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-pl
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 770
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-pl (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-pl
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-pl (<< 1:45.6.0-2.1~)
Size: 510998
SHA256: 315ceed6235f2119fc46e9684a580c3dfc44d3c83d54dc953d3f3cf12b94c573
SHA1: 1f028059b7d023b20874aa63fc3e17ce84ef16e3
MD5sum: c455ba2e12df0317709be6c27e6c91de
Description: Polish language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Polish.
Homepage: https://wiki.mozilla.org/L10n:Teams:pl
Recommends: hunspell-pl | myspell-pl
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-pl_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-pt-br
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 858
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-pt-br (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-pt-br
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-pt-br (<< 1:45.6.0-2.1~)
Size: 595048
SHA256: 7b54247111235ca600b0d97e1f142c36b14c010aa8f2809be1bf5e84f9fb78de
SHA1: 3480d2d7badcec26e75744c1bb0b9ce8925b6343
MD5sum: f6d3dc03705aa0e14dbdaaf55933c330
Description: Portuguese (Brazil) language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Portuguese (Brazil).
Homepage: https://wiki.mozilla.org/L10n:Teams:pt-BR
Recommends: hunspell-pt-br | myspell-pt-br | myspell-pt
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-pt-br_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-pt-pt
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 865
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-pt-pt (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-pt-pt
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-pt-pt (<< 1:45.6.0-2.1~)
Size: 602066
SHA256: f8357576a1edb88c7f3ec3ab7954d74307a57a60591cdd3b53648eafe757b7a4
SHA1: c29f475f417d07a545d6134cdd3cb1d0850b407c
MD5sum: 0082eed3104406a3ba546ca58a1b1bf4
Description: Portuguese (Portugal) language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Portuguese (Portugal).
Homepage: https://wiki.mozilla.org/L10n:Teams:pt-PT
Recommends: hunspell-pt-pt | myspell-pt-pt | myspell-pt
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-pt-pt_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-rm
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 856
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-rm (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-rm
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-rm (<< 1:45.6.0-2.1~)
Size: 599550
SHA256: 4684fc3163c717a05797d3af1d1c934407a312f85e85aa4324460cc1c846b6e1
SHA1: b262c8daf640c0b0fbd80c0c92fad67fee9e8941
MD5sum: 719677b28d69ff5377bb1f71403a9897
Description: Romansh language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Romansh.
Homepage: https://wiki.mozilla.org/L10n:Teams:rm
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-rm_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-ro
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 869
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-ro (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-ro
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-ro (<< 1:45.6.0-2.1~)
Size: 613428
SHA256: 8bd6108ca1c404a0c14a318f7b61c36cda9cb1230f241fb56de385ad94a48f8c
SHA1: 4374fc74b08ef2c1fe6d417cec2abc1533be2c7e
MD5sum: 5a835fe82d28c5950c4bffc5b2b77ca9
Description: Romania language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Romania.
Homepage: https://wiki.mozilla.org/L10n:Teams:ro
Recommends: hunspell-ro
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-ro_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-ru
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 830
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-ru (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-ru
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-ru (<< 1:45.6.0-2.1~)
Size: 571270
SHA256: a6054e81a141ad1c602257908394c3c117d45e2c7054fba6c2c1984cfff2e1fa
SHA1: e8289a493f010caabeb2460e9d13e00339eb7fa2
MD5sum: dea2f74b66066cf42f168fe7ac1fb790
Description: Russian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Russian.
Homepage: https://wiki.mozilla.org/L10n:Teams:ru
Recommends: hunspell-ru | myspell-ru
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-ru_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-si
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 947
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-si (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-si
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-si (<< 1:45.6.0-2.1~)
Size: 691940
SHA256: 70cf2f8e513b4f7fdfa8583a548f77b6208ad2f0403794dc935202d5e85882b9
SHA1: f3b2f04f3d7a2810ab03aeccce68f03a2c8a0a9b
MD5sum: c08d552d86ab84320466ecc2b9a3a98f
Description: Sinhala language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Sinhala.
Homepage: https://wiki.mozilla.org/L10n:Teams:si
Recommends: hunspell-si
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-si_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-sk
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 882
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-sk (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-sk
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-sk (<< 1:45.6.0-2.1~)
Size: 626238
SHA256: d5c864e03f800b7b36aefe1507d64866b985cda63b529fe58352ad4a1049261b
SHA1: 2f0a6ca1e55a5ee9a9928cc834ba140509cfe390
MD5sum: ef059df1ebe77bacc308005f095d391e
Description: Slovak language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Slovak.
Homepage: https://wiki.mozilla.org/L10n:Teams:sk
Recommends: hunspell-sk | myspell-sk
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-sk_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-sl
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 862
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-sl (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-sl
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-sl (<< 1:45.6.0-2.1~)
Size: 604716
SHA256: 1d9eef8c7af8b0ced0123377ef62629834f5591a51d2f6264818ac4626792af2
SHA1: edccda921579e48179ac9af52a7bef1af0ca2826
MD5sum: ef70e85ae91e05dd989fff70ef745368
Description: Slovenian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Slovenian.
Homepage: https://wiki.mozilla.org/L10n:Teams:sl
Recommends: hunspell-sl | myspell-sl
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-sl_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-sq
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 869
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-sq (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-sq
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-sq (<< 1:45.6.0-2.1~)
Size: 613414
SHA256: 924ed6691cf2b369e0e69a664f7bfbffa1b13a4005302b2f3e7eed6c133edc05
SHA1: 849bea0762b2cf462e606b03193c48de90e3313a
MD5sum: c4a829c9a93d19a21561aeecfa1ede59
Description: Albanian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Albanian.
Homepage: https://wiki.mozilla.org/L10n:Teams:sq
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-sq_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-sr
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 907
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-sr (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-sr
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-sr (<< 1:45.6.0-2.1~)
Size: 651148
SHA256: d3506f172abf979197cf668531571e9de52d79d2c6647b5b00fd6a1e41a9a689
SHA1: 74b0ce3835b3bc880bec5927f82159726b39fed9
MD5sum: 4b2aa5d5e88009770a50ec08223d2ba1
Description: Serbian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Serbian.
Homepage: https://wiki.mozilla.org/L10n:Teams:sr
Recommends: hunspell-sr
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-sr_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-sv-se
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 865
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-sv-se (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-sv-se
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-sv-se (<< 1:45.6.0-2.1~)
Size: 601960
SHA256: e4c6ad87fc7c8cd6e98d4a8642ebcd926d05582cc99f74587a3e0d88bdc973d7
SHA1: 1daffdfdd1a85b6d4b5f0ade1f7f73815af1beaa
MD5sum: ac8f586edfc3788d356bb6db778e3165
Description: Swedish (Sweden) language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Swedish (Sweden).
Homepage: https://wiki.mozilla.org/L10n:Teams:sv-SE
Recommends: hunspell-sv | myspell-sv-se
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-sv-se_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-ta-lk
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 973
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-ta-lk (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-ta-lk
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-ta-lk (<< 1:45.6.0-2.1~)
Size: 713822
SHA256: 42affd5b14ca792121c03726d6dcef26fffeb4485204d6a3e65aae8d51e8e092
SHA1: 167a7693833f99cfdc6bde0b2f0200131b7457e5
MD5sum: beb59d93fdc9483efa4317df9dea4e27
Description: Tamil language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Tamil.
Homepage: https://wiki.mozilla.org/L10n:Teams:ta-LK
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-ta-lk_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-tr
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 868
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-tr (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-tr
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-tr (<< 1:45.6.0-2.1~)
Size: 613124
SHA256: 3edbcac18c9855c1571cb3d64e9d164b227af5ab9b6048ee0da153ace2938bff
SHA1: 44c91328036fe0f70b88721d0c34c79efe478727
MD5sum: 4090167cd9e7e2cc95d27151aa68963a
Description: Turkish language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Turkish.
Homepage: https://wiki.mozilla.org/L10n:Teams:tr
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-tr_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-uk
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 950
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-uk (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-uk
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-uk (<< 1:45.6.0-2.1~)
Size: 695928
SHA256: 7a3a3fed25311e7f58c90f798071268b76fe60ff63da99334631b0e9c38bef83
SHA1: 620099ee4929bc5269806245693106f70064ca13
MD5sum: d757b5245f758e5b4934aacea7922385
Description: Ukrainian language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Ukrainian.
Homepage: https://wiki.mozilla.org/L10n:Teams:uk
Recommends: hunspell-uk | myspell-uk
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-uk_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-vi
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 934
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-vi (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-vi
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-vi (<< 1:45.6.0-2.1~)
Size: 679868
SHA256: 420aaa3a688e5a08763bac6b49eca2409391aa434dbfff23fbe3fa755f82df63
SHA1: 1206972251bbe30b4dedef94a5ee7f3253e4b815
MD5sum: be5a7a24abe0e222bc4d533aa0d7670d
Description: Vietnamese language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Vietnamese.
Homepage: https://wiki.mozilla.org/L10n:Teams:vi
Recommends: hunspell-vi
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-vi_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-zh-cn
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 892
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-zh-cn (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-th-cn
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-zh-cn (<< 1:45.6.0-2.1~)
Size: 630482
SHA256: 22d99ee2e2ef72dfb7d51875df2f2d18441fc3621283df858c304502258e6e8b
SHA1: 27d508380d0537797eb59eb74bb3d78596ec199b
MD5sum: be743b383705cd0dce407535bed42a5c
Description: Chinese (China) language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Chinese (China).
Homepage: https://wiki.mozilla.org/L10n:Teams:zh-CN
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-zh-cn_52.8.0-1~deb7u1_all.deb

Package: thunderbird-l10n-zh-tw
Source: thunderbird
Version: 1:52.8.0-1~deb7u1
Installed-Size: 894
Maintainer: Carsten Schoenert 
Architecture: all
Replaces: icedove-l10n-zh-tw (<< 1:45.6.0-2.1~)
Provides: icedove-l10n-zh-tw
Depends: thunderbird (<< 1:52.8.0-1~deb7u1.1~), thunderbird (>= 1:52.8.0-1~deb7u1)
Breaks: icedove-l10n-zh-tw (<< 1:45.6.0-2.1~)
Size: 632002
SHA256: 331846d1f903c6e357dfd119af5fa0d5fec3096c31fe4067d2080aa05f1f0750
SHA1: ec51895ded87bc74f8c1ede4a675a3e6993948be
MD5sum: 73f4f8abf0d61928c2e7f6a1ea2c92c4
Description: Chinese (Taiwan) language package for Thunderbird
 Thunderbird is an mail client suitable for free distribution. The goal of
 Thunderbird is to produce a cross platform stand-alone mail application using
 the XUL user interface language.
 .
 This package contains the localization of Thunderbird in Chinese (Taiwan).
Homepage: https://wiki.mozilla.org/L10n:Teams:zh-TW
Section: localization
Priority: optional
Filename: pool/main/t/thunderbird/thunderbird-l10n-zh-tw_52.8.0-1~deb7u1_all.deb

Package: ticgit
Version: 1.0.2.11-2
Installed-Size: 129
Maintainer: Michael Schutte 
Architecture: all
Depends: ruby1.8, ruby-git
Recommends: git-core
Suggests: ticgitweb
Size: 28262
SHA256: 1a6c0e5834f4eaf6cdf0172ba0eb92a9d7dc58c96071b2a2fdd47177be245c30
SHA1: 8e50036328f9363f745e27b35918f5c0b63f5889
MD5sum: bcdaa9d54bdbe5a791e5683b6a2c4d94
Description: ticketing system built on Git
 ticgit is an issue tracking system based in the Git revision control
 system. Tickets are stored in a separate branch called “ticgit” within
 a Git repository that can be made available on a public server along
 with the project’s source code. The idea is to keep tickets close to a
 project without touching its source tree.
Homepage: http://github.com/jeffWelling/ticgit/
Ruby-Versions: ruby1.8
Tag: implemented-in::ruby, role::program
Section: devel
Priority: optional
Filename: pool/main/t/ticgit/ticgit_1.0.2.11-2_all.deb

Package: ticgitweb
Source: ticgit
Version: 1.0.2.11-2
Installed-Size: 48
Maintainer: Michael Schutte 
Architecture: all
Depends: ruby1.8, ticgit, ruby-sinatra, libhaml-ruby1.8
Size: 7768
SHA256: b665ef8e8ee36bb590698bcfdfc6758b88357f311a9fb57da0e7062f6241d1b2
SHA1: f0dcabd192df8cbed6ae47c91053dc13e4c3a8bb
MD5sum: 8bd949a5fd7292c8b67c6e4303cd8322
Description: web interface to ticgit
 ticgit is an issue tracking system based in the Git revision control
 system. This package contains the web frontend.
 .
 The command-line interface can be found in the ticgit package.
Homepage: http://github.com/jeffWelling/ticgit/
Section: devel
Priority: optional
Filename: pool/main/t/ticgit/ticgitweb_1.0.2.11-2_all.deb

Package: ticker
Version: 1.9
Architecture: armhf
Maintainer: Joey Hess 
Installed-Size: 55
Depends: libc6 (>= 2.4), libslang2 (>= 2.0.7-1)
Recommends: perl
Homepage: http://kitenet.net/~joey/code/ticker/
Priority: optional
Section: utils
Filename: pool/main/t/ticker/ticker_1.9_armhf.deb
Size: 10758
SHA256: 16a7fa558ac2aac75db06cdbde6c7beccb518ab5b48142f63cc7ec055cd598ef
SHA1: 2f2b0d5bfb869ccf6118e0cb645f6239f569e9b3
MD5sum: e5ae3581b044ad2728f1e150f2d5e174
Description: configurable text scroller
 Ticker is a simple program to scroll text across a line of the display, in
 a manner similar to a stock ticker. In fact, since ticker supports
 communicating with a program that changes the text periodically, it could
 be used to implement a stock ticker.

Package: tickr
Version: 0.6.1-1
Architecture: armhf
Maintainer: Emmanuel Thomas-Maurin 
Installed-Size: 259
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.20.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4)
Homepage: http://www.newsrssticker.com
Priority: optional
Section: net
Filename: pool/main/t/tickr/tickr_0.6.1-1_armhf.deb
Size: 124290
SHA256: 7973ffecba99623ac4f01b7ba179954bfb33d004d4d16d3f99d2af501dfc635e
SHA1: 40549fb1fb5d999fb797e5b1f8e6e55f5a772bf2
MD5sum: aa1caaaa32c0f8787177e7289fb6f077
Description: GTK-based highly graphically-customizable Feed Ticker
 Tickr is a GTK-based RSS/Atom Reader that displays feeds as a smooth
 scrolling line on your Desktop, as known from TV stations. Open feed
 links in your favourite Browser. Graphics are highly customizable.

Package: tictactoe-ng
Version: 0.3.2.1-1
Installed-Size: 152
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python-cairo (>= 1.4.12), python-dbus (>= 0.83), python-gobject (>= 2.16.1), python-gtk2 (>= 2.14.1), python-telepathy (>= 0.15.7), python-xdg (>= 0.15), python (>= 2.4), python-support (>= 0.90.0)
Recommends: empathy
Size: 27254
SHA256: e3f746ef8267eac6ba88265d7b54a8caf4f2616c5088627ed554bad89bcc3e04
SHA1: 66ba13bf9fac1dca711a39fcf9cc30db379a842a
MD5sum: aacaef9e0b4651ff8c0ddcb95b48872d
Description: fun, simple, tic tac toe game
 Tic Tac Toe (naughts & crosses) is a simple two player game
 where the object is to place your shapes on the game board so
 that you get three in a row either horizontally, vertically, or
 across one of the diagonals while trying to block your opponent
 from doing the same.
Homepage: http://launchpad.net/tictactoe
Tag: game::board, role::program, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/t/tictactoe-ng/tictactoe-ng_0.3.2.1-1_all.deb

Package: tidy
Version: 20091223cvs-1.2+deb7u1
Architecture: armhf
Maintainer: Jason Thomas 
Installed-Size: 39
Depends: libc6 (>= 2.13-28), libtidy-0.99-0 (>= 20091223cvs-1.2+deb7u1)
Suggests: tidy-doc
Homepage: http://tidy.sourceforge.net/
Priority: optional
Section: web
Filename: pool/main/t/tidy/tidy_20091223cvs-1.2+deb7u1_armhf.deb
Size: 27794
SHA256: ddc6dbfa248665a7e3e7cc2988170fdee75e244a6ad9d7bd87a8a89e8363d7e5
SHA1: 2a1d491c6338320f4113e8b6db88cbcd91d3e8f8
MD5sum: ab07cc0dd07b5e9e868b5257bbe0538f
Description: HTML syntax checker and reformatter
 Corrects markup in a way compliant with the latest standards, and
 optimal for the popular browsers.  It has a comprehensive knowledge
 of the attributes defined in the HTML 4.0 recommendation from W3C,
 and understands the US ASCII, ISO Latin-1, UTF-8 and the ISO 2022
 family of 7-bit encodings.  In the output:
 .
  * HTML entity names for characters are used when appropriate.
  * Missing attribute quotes are added, and mismatched quotes found.
  * Tags lacking a terminating '>' are spotted.
  * Proprietary elements are recognized and reported as such.
  * The page is reformatted, from a choice of indentation styles.
 .
 Tidy is a product of the World Wide Web Consortium.

Package: tidy-doc
Source: tidy
Version: 20091223cvs-1.2+deb7u1
Installed-Size: 233
Maintainer: Jason Thomas 
Architecture: all
Suggests: tidy
Conflicts: tidy (<< 20030716-1)
Size: 101014
SHA256: 8052f1009ec05b5ff0e2eaa096e147fc0f4e64eee24cd717785945c9ebd54eda
SHA1: 9957c3737986c5a8f74ba8941697574c2c806339
MD5sum: 19a0800e3b08f36f71068d125b024171
Description: HTML syntax checker and reformatter - documentation
 Corrects markup in a way compliant with the latest standards, and
 optimal for the popular browsers.  It has a comprehensive knowledge
 of the attributes defined in the HTML 4.0 recommendation from W3C,
 and understands the US ASCII, ISO Latin-1, UTF-8 and the ISO 2022
 family of 7-bit encodings.  In the output:
 .
  * HTML entity names for characters are used when appropriate.
  * Missing attribute quotes are added, and mismatched quotes found.
  * Tags lacking a terminating '>' are spotted.
  * Proprietary elements are recognized and reported as such.
  * The page is reformatted, from a choice of indentation styles.
 .
 Tidy is a product of the World Wide Web Consortium.
Homepage: http://tidy.sourceforge.net/
Section: web
Priority: optional
Filename: pool/main/t/tidy/tidy-doc_20091223cvs-1.2+deb7u1_all.deb

Package: tidy-proxy
Version: 0.97-4
Installed-Size: 92
Maintainer: Jonas Meurer 
Architecture: all
Depends: tidy | wdg-html-validator, libhttp-daemon-perl | libwww-perl (<< 6), libhtml-tree-perl
Suggests: libemail-simple-perl (>= 2.100) | libemail-simple-creator-perl
Size: 11124
SHA256: 1500f60e18c7f78f4f44c3b474116a6bde7a1f879e07704be4031f69e15b06dc
SHA1: dadb31b1b86aefeae3977780ec1b3275ee3a2d13
MD5sum: 0d3a9ef1ce071bf491fc9d2c2238d6dc
Description: small http proxy which tidies html
 Tidy-proxy is a small http proxy server written in perl. Using this proxy
 web-pages are checked for HTML errors with Tidy or Validate while they are
 downloaded. The results are displayed on top of that page.
 Tidy-Proxy is mainly intended for developing dynamic web-pages.
Homepage: http://tidy-proxy.freesources.org/
Tag: implemented-in::perl, interface::daemon, network::server,
 protocol::http, role::program, use::checking, use::proxying,
 works-with-format::html, works-with::text
Section: net
Priority: optional
Filename: pool/main/t/tidy-proxy/tidy-proxy_0.97-4_all.deb

Package: tiemu
Version: 3.02-1.2
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 3549
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0), libsdl1.2debian (>= 1.2.11), libticables2-1, libticalcs2-7, libticonv3, libtifiles2-5, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4), iceweasel | www-browser
Suggests: tiemu-skinedit
Conflicts: gtktiemu, tiemu-gdb
Replaces: gtktiemu
Homepage: http://lpg.ticalc.org/prj_tiemu/
Priority: optional
Section: math
Filename: pool/main/t/tiemu/tiemu_3.02-1.2_armhf.deb
Size: 1782040
SHA256: 5a6276bf78fc90ba904fea37e017f56c9a02b303b3ffd860d0ace21cfdbb1e10
SHA1: d8753b90253931d3c2095f56831ba751f598cdc4
MD5sum: 3b8e08fc32d85aff764c480f975d2480
Description: Texas Instruments calculators emulator (without GDB)
 TiEmu emulates Texas Instruments calculators TI-89/92/92+/V200PLT.
 .
 It is based on XTiger, the original TI emulator for Linux, which
 uses the 68k emulation core from UAE (The Ultimate Amiga Emulator).
 .
 You need to either dump the ROM of your calculator (you can do so
 with TiLP), or get a FLASH upgrade from Texas Instrument (see the software
 section concerning your calculator, then download the archive and
 extract the file) to use this emulator. There are *no* TI ROMs provided
 in this package, as they are copyrighted by Texas Instruments, Inc.
 .
 However, a free (as in speech) ROM is now provided with TiEmu, namely
 PedRom. Try it out!
 .
 This version includes a VTI-style assembly debugger.
 .
 Please note it exists another version (tiemu-gdb) not provided on Debian
 itself which includes a GDB-style assembly debugger for use with TIGCC
 and/or KTIGCC.

Package: tiemu-skinedit
Source: skinedit
Version: 1.27-2
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 163
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0)
Suggests: tiemu
Homepage: http://lpg.ticalc.org/prj_tiemu/
Priority: optional
Section: math
Filename: pool/main/s/skinedit/tiemu-skinedit_1.27-2_armhf.deb
Size: 49462
SHA256: 8d2879392e000dd36b3fca2b053f8b0ed5b8d29482fa619e065b65f6fc6c2236
SHA1: ca68f1f9e09f9b425e8de0a1efe7102340eb8399
MD5sum: 63129daae0744362a43ca77faa672bca
Description: skin editor for TiEmu
 skinedit is the skin editor that accompagnies TiEmu, the Texas Instruments
 calculators emulator. By using a different skin, you change the appearance
 of the emulator.
 .
 skinedit can handle VTi (another emulator, running on Windows) skins too,
 allowing you to convert the skins between the VTi formats and the TiEmu
 format.

Package: tifffile
Version: 20120421-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 205
Depends: python-numpy (>= 1:1.6.1), python-numpy-abi9, python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), python-matplotlib, python-tk
Homepage: http://www.lfd.uci.edu/~gohlke/
Priority: optional
Section: python
Filename: pool/main/t/tifffile/tifffile_20120421-1_armhf.deb
Size: 40278
SHA256: 20a4c18302d5139231b6ee5fc9cd9c1d4a48dbfade4014ff7ba5d5bd5a62c9a2
SHA1: a426bdd88de0fbf1b4982baee6c6e50f4f795237
MD5sum: d103097cdcfbe236398f7a5e43afbcb4
Description: read image and metadata from TIFF, STK, LSM, OME-TIFF and FluoView files
 Only a subset of the TIFF specification is supported, mainly uncompressed and
 losslessly compressed 1-32 bit integer as well as 32 and 64-bit float images,
 which are commonly used in scientific imaging.
 .
 This tool is implemented to support TIFF with custom extensions, namely:
  * STK (MetaMorph)
  * LSM (Carl Zeiss MicroImaging)
 .
 Currently only primary info records are read for STK, FluoView, and NIH image
 formats.

Package: tig
Version: 1.0-2
Architecture: armhf
Maintainer: Sebastian Harl 
Installed-Size: 507
Depends: git (>= 1:1.7.0.4-2~) | git-core (>= 1:1.5.4), libc6 (>= 2.13-28), libncursesw5 (>= 5.6+20070908), libtinfo5
Homepage: http://jonas.nitro.dk/tig/
Priority: optional
Section: vcs
Filename: pool/main/t/tig/tig_1.0-2_armhf.deb
Size: 230936
SHA256: 3ecaa96a56f5106543742cbb37c27722552da3adae1f53effa784600d15aa789
SHA1: e1085d2d2ac3c5cb0437c4ccb11e243bc82fd13a
MD5sum: 3e574cb88b208234b2cbd781b483f613
Description: ncurses-based Git repository browser
 This package contains a text-mode interface for the version control system
 Git. It may be used to browse the history and contents of a repository.
 .
 The following main features are supported:
  - View revision logs, commit messages, diffstats, diffs, archive trees and
    file contents.
  - Visualize revision graphs.
  - Stage / unstage changes and add untracked files.
  - Merge files.
  - Cherry-pick commits.
 .
 tig may also be used as a pager. It reads input from stdin and colorizes it.

Package: tiger
Version: 1:3.2.3-10
Architecture: armhf
Maintainer: Javier Fernandez-Sanguino Pen~a 
Installed-Size: 2352
Depends: net-tools, binutils, bsdmainutils, debconf (>= 0.5) | debconf-2.0, ucf, libc6 (>= 2.13-28)
Recommends: sendmail | mail-transport-agent, john, chkrootkit, tripwire | aide
Suggests: lsof
Homepage: http://savannah.nongnu.org/projects/tiger/
Priority: optional
Section: admin
Filename: pool/main/t/tiger/tiger_3.2.3-10_armhf.deb
Size: 615748
SHA256: f21b6b0ddebf33c3d36671a9c1e24a0ab92cb0c83cf2f5829fe56a624f612bf5
SHA1: 596799c1f85e81084d0a4a38acc486f4a8a3751a
MD5sum: 0dd8f3748967124bf6c95eae40a1fe45
Description: Report system security vulnerabilities
 TIGER, or the 'tiger' scripts, is a set of Bourne shell scripts, C programs
 and data files which are used to perform a security audit of different
 operating systems. The tools can be both run altogether once to generate an
 audit report of the system and they can also be run periodically to
 provide information on changes to the system's security once a
 security baseline has been defined. Consequently, they can be used
 also as a host intrusion detection mechanism.
 .
 The tools rely on specialised external security tools such as
 John the Ripper, Chkroot and integrity check tools (like Tripwire,
 Integrit or Aide) for some of the tasks. The periodic review
 mechanism relies on the use of the cron task scheduler and an email
 delivery system.
 .
 TIGER has one primary goal: report ways the system's security can be
 compromised.
 .
 Debian's TIGER incorporates new checks primarily oriented towards
 Debian distribution including: md5sums checks of installed files,
 location of files not belonging to packages, check of security
 advisories and analysis of local listening processes.
 .
 This package provides all the security scripts and data files.

Package: tiger-otheros
Source: tiger
Version: 1:3.2.3-10
Architecture: armhf
Maintainer: Javier Fernandez-Sanguino Pen~a 
Installed-Size: 2486
Depends: tiger
Homepage: http://savannah.nongnu.org/projects/tiger/
Priority: optional
Section: admin
Filename: pool/main/t/tiger/tiger-otheros_3.2.3-10_armhf.deb
Size: 492556
SHA256: b6253b83a6e7e0a671b20d59a6cc86ffd7f3b8ed5a71459d4030e7ed7e9577a3
SHA1: 76a0ba2e9227231d50e737b4061fe5c859297570
MD5sum: 6df6bf18d252b94fe3288220a8491249
Description: Scripts to run Tiger in other operating systems
 TIGER, or the 'tiger' scripts, is a set of Bourne shell scripts, C programs
 and data files which are used to perform a security audit of different
 operating systems. The tools can be both run altogether once to generate an
 audit report of the system and they can also be run periodically to
 provide information on changes to the system's security once a
 security baseline has been defined. Consequently, they can be used
 also as a host intrusion detection mechanism.
 .
 This package provides all the scripts for operating systems other
 than Linux provided for in the Tiger distribution. It is provided
 in the hope it will be useful for admins that wish to run tiger
 in a distributed environment sharing this files through the network
 (e.g. NFS).

Package: tightvncserver
Source: tightvnc
Version: 1.3.9-6.4
Architecture: armhf
Maintainer: Ola Lundqvist 
Installed-Size: 1474
Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), libx11-6, libxext6, zlib1g (>= 1:1.1.4), perl, x11-common | xserver-common, x11-utils, xauth
Recommends: xfonts-base, x11-xserver-utils
Suggests: tightvnc-java
Provides: vnc-server, xserver
Homepage: http://www.tightvnc.com
Priority: optional
Section: x11
Filename: pool/main/t/tightvnc/tightvncserver_1.3.9-6.4_armhf.deb
Size: 786048
SHA256: fde4d00d4a807e6eeb4e5de31ffe2f59c29a33938c86120b7cc2ab19ebd14ba3
SHA1: feda6e81aea80495e554aae183e311d0ca0a3d44
MD5sum: c3309e34b2e14f4970917bb7202f6169
Description: virtual network computing server software
 VNC stands for Virtual Network Computing. It is, in essence, a remote
 display system which allows you to view a computing `desktop' environment
 not only on the machine where it is running, but from anywhere on the
 Internet and from a wide variety of machine architectures.
 .
 This package provides a server to which X clients can connect and the
 server generates a display that can be viewed with a vncviewer.
 .
 The difference between the tightvncserver and the normal vncserver is the
 data encoding, optimized for low bandwidth connections. If the client do not
 support jpeg or zlib encoding it can use the default one. Later versions of
 vncserver (> 3.3.3r2) support a new automatic encoding that should be equally
 good as the tightvnc encoding.
 .
 Note: This server does not support or need a display. You need a vncviewer to
 see something. However, this viewer may also be on a computer running other
 operating systems in the local net.

Package: tigr-glimmer
Version: 3.02-2
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 754
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Homepage: http://www.cbcb.umd.edu/software/glimmer
Priority: optional
Section: science
Filename: pool/main/t/tigr-glimmer/tigr-glimmer_3.02-2_armhf.deb
Size: 405176
SHA256: 9e24cb15dd42a3c716ecd21292b5136421ab7548b68f638c1509eff67d2c131f
SHA1: 57de6fb0e7202d2eb089a6efec4f7d1d0ba0b908
MD5sum: 6ce2a805364bd06022fc605145e81591
Description: Gene detection in archea and bacteria
 Developed by the TIGR institute this software detects coding sequences in
 bacteria and archea.
 .
 Glimmer is a system for finding genes in microbial DNA, especially the
 genomes of bacteria and archaea. Glimmer (Gene Locator and Interpolated
 Markov Modeler) uses interpolated Markov models (IMMs) to identify the
 coding regions and distinguish them from noncoding DNA.

Package: tijmp
Version: 0.8+dfsg-6
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 178
Depends: libc6 (>= 2.13-28), default-jre | java2-runtime
Homepage: http://www.khelekore.org/jmp/tijmp/
Priority: extra
Section: devel
Filename: pool/main/t/tijmp/tijmp_0.8+dfsg-6_armhf.deb
Size: 115580
SHA256: 841257160cadfe7e986282f8db45be55bac2cab219e839cf925e28bbe781a735
SHA1: 235fbe62b1ad1b1e5a75a8aadf6d5a5a54a88c1e
MD5sum: d3a3c91cf1779a43bb48364234d49e1c
Description: Profiler for Java to trace object and method timings
 TIJMP is a memory profiler for Java 6 or later. It is similar to the jmp memory
 profiler, but unlike it, works with Java 6 (using JVMTI).
 .
 It is written to be fast and have small memory footprint. The user
 interface uses swing.

Package: tilda
Version: 0.09.6-2
Architecture: armhf
Maintainer: Davide Truffa 
Installed-Size: 516
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libconfuse0 (>= 2.5), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libvte9 (>= 1:0.24.0), libx11-6, libxml2 (>= 2.6.27)
Provides: x-terminal-emulator
Homepage: http://tilda.sourceforge.net
Priority: optional
Section: x11
Filename: pool/main/t/tilda/tilda_0.09.6-2_armhf.deb
Size: 84132
SHA256: 1746d907db39a684d8ec81a42cb336fbc50ddce51a858b9d1f34f45dcda2ec23
SHA1: c02e5cd24df5903384826d661a208b1b0f93c30b
MD5sum: a911ec9063e843b2b50b57bc16c9716d
Description: terminal emulator with first person shooter console likeness
 Terminal taking after the likeness of many classic terminals from first
 person shooter games, Quake, Doom and Half-Life (to name a few), where
 the terminal has no border and is hidden from the desktop until a key
 is pressed.

Package: tilecache
Version: 2.11-2
Installed-Size: 624
Maintainer: Debian GIS Project 
Architecture: all
Depends: python (>= 2.1), python-support (>= 0.90.0), libjs-jquery
Recommends: python-imaging
Suggests: python-mapscript (>= 4.10), python-mapnik, memcached, python-memcache, python-boto, python-flup, python-paste, python-wsgiref
Size: 106726
SHA256: 35cdf670d3671d35ada3beec2412901ed60dec10d49573ee8176a1deac5b0132
SHA1: d63d3fa05dc4df394a913486c8ac19c102699d42
MD5sum: cb075d9c7db410043bcbd6690aa2a24f
Description: a web map tile caching system
 TileCache is an implementation of a WMS-C compliant server made available by
 MetaCarta. TileCache provides a Python-based WMS/TMS server, with pluggable
 caching mechanisms and rendering backends. In the simplest use case, TileCache
 requires only write access to a disk, the ability to run Python CGI scripts,
 and a WMS you want to be cached. With these resources, you can create your own
 local disk-based cache of any WMS server, and use the result in any WMS-C
 supporting client, like OpenLayers, or any TMS supporting client, like
 OpenLayers and worldKit.
Homepage: http://tilecache.org/
Tag: network::server, role::program
Section: web
Priority: extra
Filename: pool/main/t/tilecache/tilecache_2.11-2_all.deb

Package: tiled
Source: tiled-qt
Version: 0.8.1-1
Architecture: armhf
Maintainer: Ying-Chun Liu (PaulLiu) 
Installed-Size: 2002
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4)
Homepage: http://www.mapeditor.org/
Priority: optional
Section: utils
Filename: pool/main/t/tiled-qt/tiled_0.8.1-1_armhf.deb
Size: 939832
SHA256: 4d578e6c954819014dae7e347d745c5b07863721fb26b3dcff157164e51783bb
SHA1: 7661f156ede65cbd6bb41702fbe4f262be34dca4
MD5sum: 659e6169b6aa8a91a093555711a31889
Description: general purpose tile map editor
 Tiled is a general purpose tile map editor. It's built to be easy to use,
 yet capable of catering to a host of varying game engines, whether your game
 is an RPG, platformer or Breakout clone. Tiled supports plugins to read and
 write map formats, in addition to its map format, to support map formats in
 use by engines.

Package: tilelite
Version: 0.1.5-2
Installed-Size: 459
Maintainer: Debian GIS Project 
Architecture: all
Provides: python-tilelite
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-mapnik2
Recommends: python-werkzeug
Size: 367278
SHA256: 6b886aefa3e02fb60e0108dd8f33077c960f71f0246d1514af7700c69e14e2fe
SHA1: 0b176e574841a2faabbf264fb439c9151d1e5740
MD5sum: 733dad686a3cc8e2b34e9209d0d764b5
Description: lightweight Mapnik tile-server
 TileLite is a lightweight Mapnik tile-server written as a WSGI
 application, designed to serve tiles in the OSM (OpenStreetMap)-scheme
 or the Google-scheme.
Homepage: https://bitbucket.org/springmeyer/tilelite/wiki/Home
Section: web
Priority: extra
Filename: pool/main/t/tilelite/tilelite_0.1.5-2_all.deb

Package: tilestache
Version: 1.31.0-1
Installed-Size: 786
Maintainer: Debian GIS Project 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-modestmaps (>= 1.3.0), python-werkzeug, ttf-dejavu-core
Recommends: python-mapnik
Size: 150724
SHA256: c676180aebdd646c335e1dd0c5ee0b438a305101f9a9b70fa056af8c102cfb9c
SHA1: 02e112cbbcb5d91e3c45f683182562ee111cf29a
MD5sum: 1a294e47c5a4144c6745c47f01c3f222
Description: map tiles caching system
 TileStache is a Python-based server application that can serve up map tiles
 based on rendered geographic data.
Homepage: http://tilestache.org
Tag: field::geography, network::server, role::program
Section: web
Priority: extra
Filename: pool/main/t/tilestache/tilestache_1.31.0-1_all.deb

Package: tilp
Source: tilp2 (1.12-1)
Version: 7.0-1
Installed-Size: 36
Maintainer: Krzysztof Burghardt 
Architecture: all
Depends: tilp2
Size: 26800
SHA256: 3849edb0762b2aa6d0669004c750e74a57a8fd0026f5a4c65d78b7b533391324
SHA1: 3e498c6b041a2196f8cc9fdf2b2d906cbc9bec2e
MD5sum: 5d4faaecfc75c6307979977ebe71bc33
Description: TI hand-helds <-> PC communication (transitional dummy package)
 This is a dummy package to ease transition to new package name.
 .
 It can be safely removed from your system.
Homepage: http://lpg.ticalc.org/prj_tilp/
Tag: field::mathematics, interface::x11, role::program, scope::application,
 uitoolkit::gtk, use::synchronizing, x11::application
Section: math
Priority: optional
Filename: pool/main/t/tilp2/tilp_7.0-1_all.deb

Package: tilp2
Version: 1.12-1
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 888
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.1.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.1.1), libticables2-1, libticalcs2-7, libticonv3, libtifiles2-5, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4)
Replaces: tilp
Provides: tilp
Homepage: http://lpg.ticalc.org/prj_tilp/
Priority: optional
Section: math
Filename: pool/main/t/tilp2/tilp2_1.12-1_armhf.deb
Size: 381852
SHA256: e862ecc8911d2894e70827a91206566989941699387c6ea95485542c6121273e
SHA1: 620caccb55bcc4917863c87308206a01f7795eb6
MD5sum: f4f622947826f4155d067d2c091092b3
Description: Texas Instruments hand-helds <-> PC communication program for X
 TiLP2 is a Texas Instruments hand-helds <-> PC communication program for
 Linux. It is able to use any type of link cable (Gray/Black/Silver/Direct
 Link) with any calculator. See http://lpg.ticalc.org/.
 .
 With TiLP, you can transfer files from your PC to your Texas Instruments
 calculator, and vice-versa. You can also make a screen dump, send/receive
 data, backup/restore contents, install FLASH applications or upgrade OS.

Package: timbl
Version: 6.4.2-1
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 156
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libtimbl3
Homepage: http://ilk.uvt.nl/timbl/
Priority: optional
Section: science
Filename: pool/main/t/timbl/timbl_6.4.2-1_armhf.deb
Size: 86678
SHA256: 407e1f677cdfec708947a74887d97800661c71741718c0a121f0582d7d1c9025
SHA1: 782218b6931bff81cf5844501ca185de9fb89973
MD5sum: 9aaa536252accbacf780c3499b23425d
Description: Tilburg Memory Based Learner
 Memory-Based Learning (MBL) is a machine-learning method applicable to a wide
 range of tasks in Natural Language Processing (NLP).
 .
 The Tilburg Memory Based Learner, TiMBL, is a tool for NLP research, and for
 many other domains where classification tasks are learned from examples.  It
 is an efficient implementation of k-nearest neighbor classifier.
 .
 TiMBL's features are:
  * Fast, decision-tree-based implementation of k-nearest neighbor
 classification;
  * Implementations of IB1 and IB2, IGTree, TRIBL, and TRIBL2 algorithms;
  * Similarity metrics: Overlap, MVDM, Jeffrey Divergence, Dot product, Cosine;
  * Feature weighting metrics: information gain, gain ratio, chi squared,
 shared variance;
  * Distance weighting metrics: inverse, inverse linear, exponential decay;
  * Extensive verbosity options to inspect nearest neighbor sets;
  * Server functionality and extensive API;
  * Fast leave-one-out testing and internal cross-validation;
  * and Handles user-defined example weighting.
 .
 TiMBL is a product of the ILK Research Group (Tilburg University, The
 Netherlands) and the CLiPS Research Centre (University of Antwerp, Belgium).
 .
 If you do scientific research in NLP, timbl will likely be of use to you.

Package: timblserver
Version: 1.4-2
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 93
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libtimbl3 (>= 6.4.2), libtimblserver2 (= 1.4-2)
Homepage: http://ilk.uvt.nl/timbl/
Priority: extra
Section: science
Filename: pool/main/t/timblserver/timblserver_1.4-2_armhf.deb
Size: 29658
SHA256: cdaf4bdf95663cac9d14424c43fdf95fc44111acce571c49e0640cc905b82ce8
SHA1: 5fc15bf7a6201e90e132dfd44ab267f7f6ca1053
MD5sum: fd207860fcdbdaacbd7a9d7af3320d8f
Description: Server extensions for Timbl
 timblserver is a TiMBL wrapper; it adds server functionality to TiMBL.  It
 allows TiMBL to run multiple experiments as a TCP server, optionally via HTTP.
 .
 The Tilburg Memory Based Learner, TiMBL, is a tool for Natural Language
 Processing research, and for many other domains where classification tasks are
 learned from examples.
 .
 TimblServer is a product of the ILK Research Group (Tilburg University, The
 Netherlands) and the CLiPS Research Centre (University of Antwerp, Belgium).
 .
 If you do scientific research in NLP, TimblServer will likely be of use to you.

Package: time
Version: 1.7-24
Architecture: armhf
Maintainer: Bob Proulx 
Installed-Size: 121
Depends: libc6 (>= 2.13-28), dpkg (>= 1.15.4) | install-info
Homepage: http://www.gnu.org/software/time
Priority: standard
Section: utils
Filename: pool/main/t/time/time_1.7-24_armhf.deb
Size: 34430
SHA256: fe8c63b0035f7b07436b6b789ed598a6841f23c285b84f9f07582bba2440e970
SHA1: d959c894d221eb0aab0f628b4b8ecd1d7dc928c4
MD5sum: 2c755832e65d2102072ee73e73d6cb41
Description: GNU time program for measuring CPU resource usage
 The 'time' command runs another program, then displays information
 about the resources used by that program, collected by the system while
 the program was running.  You can select which information is reported
 and the format in which it is shown, or have 'time' save the information
 in a file instead of display it on the screen.
 .
 The resources that 'time' can report on fall into the general
 categories of time, memory, I/O, and IPC calls.
 .
 The GNU version can format the output in arbitrary ways by using a
 printf-style format string to include various resource measurements.

Package: timelimit
Version: 1.8-1
Architecture: armhf
Maintainer: Peter Pentchev 
Installed-Size: 62
Depends: libc6 (>= 2.4)
Conflicts: netpipes (<< 4.2-6)
Homepage: http://devel.ringlet.net/sysutils/timelimit/
Priority: optional
Section: utils
Filename: pool/main/t/timelimit/timelimit_1.8-1_armhf.deb
Size: 13776
SHA256: 070100b5b9bbecb307338c8fcc9507f8b07e14ccfade53a26bffd7e28255c778
SHA1: ba5cd228c47dc01f6a4e1ad82ec24ae48771b8bf
MD5sum: ca97411885e65ca89a92fa98ba1bbd77
Description: simple utility to limit a process's absolute execution time
 The timelimit utility executes a command and terminates the spawned process
 after a given time with a given signal.  A "warning" signal is sent first,
 then, after a timeout, a "kill" signal, similar to the way init(8) operates
 on shutdown.

Package: timemachine
Version: 0.3.3-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 152
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpango1.0-0 (>= 1.14.0), libreadline6 (>= 6.0), libsndfile1 (>= 1.0.20), jackd (>= 0.80.0)
Homepage: http://plugin.org.uk/timemachine/
Priority: optional
Section: sound
Filename: pool/main/t/timemachine/timemachine_0.3.3-1_armhf.deb
Size: 86540
SHA256: 3d566ac0441f41fc032d2f93a59c1b9970806fdd067efd2507a47e9aa16cf1d5
SHA1: a24a46c0f366cc436c45f1a6a3e05178d1f7773f
MD5sum: 6ceb81302b6c1383c62a0c2836caa84f
Description: JACK audio recorder for spontaneous and conservatory use
 Timemachine writes the last 10 seconds of audio _before_ the button press
 and everything from now on up to the next button press into a WAV-file.
 .
 The idea is that you doodle away with whatever is kicking around in your
 studio and when you heard an interesting noise, you'd press record and
 capture it, without having to try and recreate it.
 .
 It uses the JACK audio connection kit, an API that lets audio application
 communicate with each other and share audio data in realtime.

Package: timemon.app
Version: 4.1-2
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 192
Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1)
Homepage: http://www.nongnu.org/gap/timemon/index.html
Priority: optional
Section: gnustep
Filename: pool/main/t/timemon.app/timemon.app_4.1-2_armhf.deb
Size: 49056
SHA256: 4406ce8f6e895ad74157c550c1b1b03b52be40aef13976785cd27a0d122a55b5
SHA1: 2ab55b30c32f868b01dd14b154e7fe3b18d08484
MD5sum: d0dffc6c5d2b2da215f3428c2eb48fdc
Description: CPU time usage monitor for GNUstep
 TimeMon gives a graphical representation of where the CPU cycles
 are going. It's coarse, but better than nothing. The best feature
 is that it runs in an icon on your dock, so that you never lose it.

Package: timidity
Version: 2.13.2-40.1
Architecture: armhf
Maintainer: Geoffrey Thomas 
Installed-Size: 1327
Depends: libasound2 (>= 1.0.16), libaudio2, libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libncurses5 (>= 5.5-5~), libogg0 (>= 1.0rc3), libpng12-0 (>= 1.2.13-4), libsm6, libtinfo5, libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libx11-6, libxaw7, libxext6, libxmu6, libxt6, zlib1g (>= 1:1.1.4), lsb-base (>= 3.2-13)
Recommends: freepats, timidity-daemon
Suggests: pmidi, fluid-soundfont-gm, fluid-soundfont-gs
Homepage: http://timidity.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/t/timidity/timidity_2.13.2-40.1_armhf.deb
Size: 635906
SHA256: 2a1aa139905e4f2d3d89a81d87c2c20a76427bf953bc9c80a2d7f1a92323e900
SHA1: fdca10e9d6313420d6d0af77648ed8e7b26e0daf
MD5sum: 1d411151c521c3c98504da14a13cd137
Description: Software sound renderer (MIDI sequencer, MOD player)
 TiMidity++ is a very high quality software-only MIDI sequencer and MOD player.
 It uses sound fonts (GUS-compatible or SF2-compatible) to render MIDI files,
 which are not included in this package.
 .
   * Plays MIDI files without any external MIDI instruments at all
   * Understands SMF, RCP/R36/G18/G36, MFI, RMI (MIDI)
   * Autodetects and supports GM/GS/XG MIDI
   * Understands MOD, XM, S3M, IT, 699, AMF, DSM, FAR, GDM,
     IMF, MED, MTM, STM, STX, ULT, UNI (MOD)
   * Does MOD to MIDI conversion (including playback)
   * Outputs audio into various audio file formats: WAV, au, AIFF,
     Ogg (Vorbis, FLAC, Speex)
   * Supports NAS, eSound, JACK, ALSA and OSS drivers
   * Uses Gravis Ultrasound compatible patch files and SoundFont2 patch
     files as the voice data for MIDI instruments
   * Supports playing from archives (zip, lzh, tar...) and playing remote
     data from the network
   * Timidity++ can be used as an ALSA sequencer device

Package: timidity-daemon
Source: timidity
Version: 2.13.2-40.1
Installed-Size: 54
Maintainer: Geoffrey Thomas 
Architecture: all
Replaces: timidity (<< 2.13.2-31)
Depends: timidity (>= 2.13.2-40.1), adduser
Size: 19090
SHA256: cee92f3cef51da0b1129041409ea3c38b8fffe5f717ab924d40934594efb37d7
SHA1: 337dec281298dd88ee12fb72e12009a2de8279f9
MD5sum: 320a9a7d3f0f4bc95a6960ef958ea80d
Description: runs TiMidity++ as a system-wide MIDI sequencer
 TiMidity++ is a very high quality software-only MIDI sequencer and MOD
 player.
 .
 This package provides TiMidity++ as a system-wide MIDI sequencer.
Homepage: http://timidity.sourceforge.net/
Tag: role::program, sound::midi
Section: sound
Priority: optional
Filename: pool/main/t/timidity/timidity-daemon_2.13.2-40.1_all.deb

Package: timidity-el
Source: timidity
Version: 2.13.2-40.1
Installed-Size: 105
Maintainer: Geoffrey Thomas 
Architecture: all
Depends: emacsen-common, timidity (>= 2.13.2-40.1)
Size: 23404
SHA256: 16e9dd8f23eece726e0847dfb500a77a5e634b0da5a830a61306a7467c0fe024
SHA1: 72907b99eaecc36ca2043f3cc27c13df3a515f56
MD5sum: 0eaa91544dbaec08ebb106246e048298
Description: Emacs front end to Timidity++
 The timidity.el Emacs-Lisp program is a front-end to the TiMidity++ software-
 only MIDI sequencer and MOD player.  You can play MIDI amd MOD files directly
 from Emacs with this package.
Homepage: http://timidity.sourceforge.net/
Tag: implemented-in::lisp, role::plugin, sound::midi, sound::player,
 suite::emacs, use::editing, works-with::audio
Section: lisp
Priority: optional
Filename: pool/main/t/timidity/timidity-el_2.13.2-40.1_all.deb

Package: timidity-interfaces-extra
Source: timidity
Version: 2.13.2-40.1
Architecture: armhf
Maintainer: Geoffrey Thomas 
Installed-Size: 232
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libslang2 (>= 2.2.4), libtinfo5, libx11-6, tcl8.4 (>= 8.4.16), tk8.4 (>= 8.4.16), timidity (= 2.13.2-40.1)
Replaces: timidity (<< 2.12.0)
Homepage: http://timidity.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/t/timidity/timidity-interfaces-extra_2.13.2-40.1_armhf.deb
Size: 94846
SHA256: e87472cc3ea44f70add9d76a1efeb24e7fe9ebb3f138361698266fb8a9573719
SHA1: 213819f6a2f2fa8d50fdcda2eded9882b0e77987
MD5sum: 69e8392b8fa58f1df916e1720c3dc12c
Description: TiMidity++ extra user interfaces
 TiMidity++ is a very high quality software-only MIDI sequencer and MOD
 player.
 .
 This package provides extra TiMidity++ user interfaces, which have
 limited functionality when compared to those in the main package.
 .
 Interfaces in this package: VT100, Tcl/Tk, S-Lang, XSkin, GTK2

Package: timps
Version: 0.25-4
Architecture: armhf
Maintainer: Eric Warmenhoven 
Installed-Size: 188
Depends: libc6 (>= 2.4), libnbio0
Priority: extra
Section: net
Filename: pool/main/t/timps/timps_0.25-4_armhf.deb
Size: 60562
SHA256: 4a47fb2cc9048a9ef75b9eed89bf68fbd2d5253c69767898b477597eab1d1254
SHA1: a28d7a873ac4b4503735d757e5087df2450ed757
MD5sum: f4190c1bdb966a63c307ab537e3a277a
Description: Transparent Instant Messaging Proxy Server
 timps is an (optionally transparent) proxy server targeted at instant
 messaging networks -- particularly AOL's Instant Messenger. One of the more
 important features is that when multiple users are connected through the
 proxy, messages between those users are kept in the local network and not
 routed across the internet. Multiple proxies can be connected together to form
 trusted networks for secure message routing. The proxy can also be used for
 connecting artificial users, such as interactive agents / bots without rate
 limiting. More generically, modules can be written that interact at any stage
 of message routing, creating a flexible system for developing applications
 with and on IM.
 .
 Since timps speaks the IM protocols natively (ie, it looks like an IM server),
 DNS rerouting or other tricks can be used to invisibly force users through the
 proxy. This is particularly useful for companies facing auditing compliance on
 employee communications (for example, Sarbanes-Oxley for financial companies,
 or normal policy for law firms).

Package: tin
Version: 1:2.1.1-1
Architecture: armhf
Maintainer: Marco d'Itri 
Installed-Size: 2039
Depends: libc6 (>= 2.13-28), libcanlock2 (>= 2b-1), libicu48 (>= 4.8-1), libncursesw5 (>= 5.6+20070908), libpcre3 (>= 8.10), libtinfo5, libuu0, debconf (>= 0.5) | debconf-2.0
Recommends: default-mta | mail-transport-agent
Suggests: gnupg, ispell
Provides: news-reader
Homepage: http://www.tin.org/
Priority: optional
Section: news
Filename: pool/main/t/tin/tin_2.1.1-1_armhf.deb
Size: 859218
SHA256: 3e6b3b396340e840bc16946f869868fa64b1b8a188c05b4c477d2ca47d7656f4
SHA1: a99b4049fa7fdde3055a8b5f1fd6b6f3ffd9b569
MD5sum: c2e864c08547406abb9fd1310be8fc0a
Description: A full-screen easy to use Usenet newsreader
 tin can read news locally (i.e. from /var/spool/news) or remotely (rtin or
 tin -r option) via an NNTP (Network News Transport Protocol) server.

Package: tina
Version: 0.1.11-3
Architecture: armhf
Maintainer: Peter Pentchev 
Installed-Size: 65
Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5
Multi-Arch: foreign
Homepage: http://devel.ringlet.net/misc/tina/
Priority: optional
Section: misc
Filename: pool/main/t/tina/tina_0.1.11-3_armhf.deb
Size: 16326
SHA256: 1b11a0085a7012289d4f0adb75d78ebb9868a3dd246b7bfdb598efef51fa5168
SHA1: cef982bf8f29693756a37d459ab0e414dd1ce2a0
MD5sum: 53ea21640ea3451cbbb83e9b6b6fa643
Description: text-based personal information manager
 Tina is a personal information manager with a curses interface.
 It allows the user to categorize short text items and to display the items
 in a particular category.

Package: tinc
Version: 1.0.19-3
Architecture: armhf
Maintainer: Guus Sliepen 
Installed-Size: 657
Depends: libc6 (>= 2.13-28), liblzo2-2, libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), dpkg (>= 1.15.4) | install-info
Homepage: http://www.tinc-vpn.org/
Priority: optional
Section: net
Filename: pool/main/t/tinc/tinc_1.0.19-3_armhf.deb
Size: 287488
SHA256: 00aa894a5c59b4747597a873e666c07a92c40ab8cc223cfb1621fccb48d01200
SHA1: 6197c300bca467057c75df6b9feb13d814bf34eb
MD5sum: 1bdab10909f341a05ff8dd67433348f9
Description: Virtual Private Network daemon
 tinc is a daemon with which you can create a virtual private network
 (VPN). One daemon can handle multiple connections, so you can
 create an entire (moderately sized) VPN with only one daemon per
 participating computer.

Package: tint
Version: 0.04+nmu1
Architecture: armhf
Maintainer: Mario Lang 
Installed-Size: 80
Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5
Priority: optional
Section: games
Filename: pool/main/t/tint/tint_0.04+nmu1_armhf.deb
Size: 16796
SHA256: 446f0cbef61333b4354cb3e41bd0782618a169fb563845196c39c34f8b41c5b9
SHA1: a3ffd1a859cd1ea86d2e3b2e9f243fb9f979e7d8
MD5sum: 33bfc22b606fe388356cc77121a227fa
Description: TINT Is Not Tetris(tm) ...at least the name isn't
 As the title suggests, this is a clone of the original tetris game
 written by Alexey Pajitnov, Dmitry Pavlovsky, and Vadim Gerasimov.
 .
 The game is as close to the original as possible, but there are a few
 differences.  Nevertheless, it's probably the closest to the original
 that you'll ever find in the UNIX world...

Package: tint2
Version: 0.11+svn20111022-3
Architecture: armhf
Maintainer: Sebastian Reichel 
Installed-Size: 441
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgtk2.0-0 (>= 2.14.0), libimlib2, libpango1.0-0 (>= 1.20.0), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxfixes3, libxinerama1, libxrandr2 (>= 2:1.2.99.2), libxrender1
Homepage: http://code.google.com/p/tint2/
Priority: optional
Section: x11
Filename: pool/main/t/tint2/tint2_0.11+svn20111022-3_armhf.deb
Size: 183008
SHA256: 01b92c068541894f40bf63fae1c5942838609dc9f978daacb7e6f7b034113a39
SHA1: 72fafdd9131e142b8af9efe4ab6a92a6bf36fe88
MD5sum: 0180f436f73d027438fed1c5c9c8ea37
Description: lightweight taskbar
 Tint is a simple panel/taskbar intentionally made for openbox3, but should
 also work with other window managers. The taskbar includes transparency and
 color settings for the font, icons, border, and background. It also supports
 multihead setups, customized mouse actions, and a built-in clock. Tint was
 originally based on ttm code. Since then, support has also been added
 for a battery monitor and system tray.
 .
 The goal is to keep a clean and unintrusive look with lightweight code and
 compliance with freedesktop specification.

Package: tint2-dbg
Source: tint2
Version: 0.11+svn20111022-3
Architecture: armhf
Maintainer: Sebastian Reichel 
Installed-Size: 475
Depends: tint2 (= 0.11+svn20111022-3)
Homepage: http://code.google.com/p/tint2/
Priority: extra
Section: debug
Filename: pool/main/t/tint2/tint2-dbg_0.11+svn20111022-3_armhf.deb
Size: 185656
SHA256: dcf7c30a97ee62aa6f30b9c987e0d6e4bbdc8f33f578fcf058800d30e8bfa7c7
SHA1: 333a723e943ba41fe8640f1198e3b25a5ed8d750
MD5sum: f8d543239da6c46bd2e216b050db3ae5
Description: lightweight taskbar (debug symbols)
 Tint is a simple panel/taskbar intentionally made for openbox3, but should
 also work with other window managers. The taskbar includes transparency and
 color settings for the font, icons, border, and background. It also supports
 multihead setups, customized mouse actions, and a built-in clock. Tint was
 originally based on ttm code. Since then, support has also been added
 for a battery monitor and system tray.
 .
 The goal is to keep a clean and unintrusive look with lightweight code and
 compliance with freedesktop specification.
 .
 This package contains the debug symbols for tint2.

Package: tintii
Version: 2.6.1-1
Architecture: armhf
Maintainer: Daniel Echeverry 
Installed-Size: 776
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1)
Homepage: http://www.indii.org/software/tintii/
Priority: optional
Section: graphics
Filename: pool/main/t/tintii/tintii_2.6.1-1_armhf.deb
Size: 373782
SHA256: cf100edce828f892dcee1e4ee243a656bc7fdadf765123fa9ac2a60b502e6b29
SHA1: 8b3d086233b7d2f3f3f363a804f1e5fbc2ed84fa
MD5sum: f17e2a233db9479a3125c19eb104518d
Description: Turns colour photos into b/w and highlights regions in colour
 tintii takes full colour photos and processes them into black and white with
 some select regions highlighted in colour. The technique is known as colour
 popping or selective colouring – tintii makes it easy.

Package: tintin++
Version: 2.00.8-1
Architecture: armhf
Maintainer: Ana Beatriz Guerrero Lopez 
Installed-Size: 530
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), zlib1g (>= 1:1.1.4)
Homepage: http://tintin.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/t/tintin++/tintin++_2.00.8-1_armhf.deb
Size: 175824
SHA256: 7c402509f08706d221bf3eb72322bcd3c0098f0ea3a2bbb07f9af199137a4e8e
SHA1: 99e0963b6ec66bf556f51c784303cea4e7b8d57b
MD5sum: 6fb83568213244661c03d89746cc0250
Description: classic text-based MUD client
 Tintin++ is telnet client specialized to play MUDs (Multi-User Dungeons).
 It has scripting support, tab-completion, internal chat, and takes
 advantage of the GNU readline library.
 .
 You can find a complete set of commands and features in the Tintin++ manual,
 in /usr/share/doc/tintin++.

Package: tinyca
Version: 0.7.5-4
Installed-Size: 648
Maintainer: Christoph Ulrich Scholler 
Architecture: all
Depends: libgtk2-perl, liblocale-gettext-perl, openssl (>> 0.9.7e)
Recommends: zip
Size: 130092
SHA256: bea7d7ee3a66913b3955d045097dccc269c7b80a0c97cb33a3656c62a0851e82
SHA1: 62c603b5afb0a244a432b8eec304b547db8fba7f
MD5sum: 8ac5c524da5f66120e62b6dda6b44da4
Description: simple graphical program for certification authority management
 TinyCA is a program with a simple graphical user interface that makes
 managing a small CA (Certification Authority) easy.  TinyCA works as
 a frontend for openssl and can deal with several independent CAs.
 .
 With TinyCA you can create and manage x509 and S/MIME server and
 client certificates.  You can choose between RSA and DSA keys, as
 well as between different digest algorithms.
 .
 The certificates can be exported as PEM, DER, TXT and PKCS#12 or as a
 convenient archive containing both key and certificate.  Certificates
 can be revoked by adding them to a certificate revocation list.
Homepage: http://tinyca.sm-zone.net/
Tag: role::program, scope::application, security::cryptography
Section: utils
Priority: optional
Filename: pool/main/t/tinyca/tinyca_0.7.5-4_all.deb

Package: tinycdb
Version: 0.78
Architecture: armhf
Maintainer: Michael Tokarev 
Installed-Size: 63
Depends: libc6 (>= 2.13-28), libcdb1
Priority: optional
Section: utils
Filename: pool/main/t/tinycdb/tinycdb_0.78_armhf.deb
Size: 18640
SHA256: 0a29e063c8e6d73cf6aed3c884dfcfdf7cbc1c32bfdd4d004c7730d1907343dc
SHA1: d5878f9b56acd90fa25164764389f01608e66412
MD5sum: ed1fff9364a78de1f98897771c40d5d1
Description: an utility to manipulate constant databases (cdb)
 tinycdb is a small, fast and reliable utility and subroutine
 library for creating and reading constant databases. The database
 structure is tuned for fast reading.
 .
 This package contains a command-line utility to create, analyze, dump
 and query cdb files.

Package: tinydyndns
Version: 0.4.2.debian1-1
Architecture: armhf
Maintainer: Gerrit Pape 
Installed-Size: 112
Depends: libc6 (>= 2.4)
Recommends: djbdns, mailfront, daemontools, ucspi-tcp, runit | daemontools-run, cvm, make
Priority: optional
Section: net
Filename: pool/main/t/tinydyndns/tinydyndns_0.4.2.debian1-1_armhf.deb
Size: 29040
SHA256: 8705b7f52e8a67f10ced3c7bdbe0f37a148e33345e92c84d4a70090a51791671
SHA1: 966a4c689d8b79cc2ac0c641b525e27cfdc45318
MD5sum: fbd918dd0b5f10d7833245d19dbfa627
Description: pop-before-dyndns service using djbdns
 tinydyndns is a simple but powerful dynamic DNS solution that uses
 djbdns.  It cooperates with the djbdns package to publish dynamic IP
 addresses authenticated through POP connections.  On successfully
 authenticated POP connections, the tinydyndns-update program manipulates
 tinydns' constant database "data.cdb" directly without rebuilding it;
 this makes the dynamic DNS solution use very few system resources.
 .
 Using a POP service for authentication saves the work for installing
 special client software, since POP clients are available for every
 common network-aware operating system.  To provide the DNS and POP
 services, tinydyndns cooperates with djbdns, mailfront, and cvm.
 .
 The POP service can easily be replaced with other services that provide
 authentication, such as APOP, IMAPS, ...

Package: tinyeartrainer
Version: 0.1.0-2
Architecture: armhf
Maintainer: Tiago Bortoletto Vaz 
Installed-Size: 145
Depends: python (<< 2.8), python (>= 2.6), python-support (>= 0.90.0), python-gtk2 (>= 2.10), fluidsynth (>= 1.0), fluid-soundfont-gm
Homepage: http://29a.ch/tinyeartrainer
Priority: optional
Section: gnome
Filename: pool/main/t/tinyeartrainer/tinyeartrainer_0.1.0-2_armhf.deb
Size: 30256
SHA256: 95813e11c3838b242ced4cd0629417cc7981ec42fbf1897e4e60ef4feb7aadfa
SHA1: 379d68cf9a02e3f8511a0b6d86250a2ef1b219aa
MD5sum: 116e8116d5bc5eedf5863e1dd659ef25
Description: A tool to learn recognizing musical intervals
 Tiny Ear Trainer is a tiny piece of software that helps you to recognize
 musical intervals.
 .
 Implemented features include associating colors to intervals and learning mode
 which plays interval together with color and name. Harmonic and melodic
 intervals are supported. It uses fluidsynth/soundfonts for playback. You may
 want to know that GNU Solfege is a far more powerful alternative to Tiny Ear
 Trainer.
 .
 Tiny Ear Trainer works with JACK Audio Connection Kit.

Package: tinyhoneypot
Source: thp
Version: 0.4.6-9
Architecture: armhf
Maintainer: Javier Fernandez-Sanguino Pen~a 
Installed-Size: 196
Depends: perl, adduser (>= 3.52)
Homepage: http://www.alpinista.org/thp/
Priority: extra
Section: admin
Filename: pool/main/t/thp/tinyhoneypot_0.4.6-9_armhf.deb
Size: 45160
SHA256: b055a99498c9547e64df0fc83f0d5d19ec79c484e2eb4f0910544c243fdfa733
SHA1: 89b82381551ee0ddcffaed69bc685e32bbe871ae
MD5sum: 3168e06b64c7a18f1fbbd6662e03b5e1
Description: Small honeypot to trap attackers
 Small honeypot provides an environment which can be used to
 to lure attackers into it. It provides sample responses that simulate
 a set of services (http, pop3, ftp, ssh, mssql and shell) and logs all the
 connections to these services for later auditing.

Package: tinyirc
Version: 1:1.1.dfsg.1-2
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 86
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5
Homepage: http://code.google.com/p/tinyirc/
Priority: optional
Section: net
Filename: pool/main/t/tinyirc/tinyirc_1.1.dfsg.1-2_armhf.deb
Size: 31106
SHA256: 78deff587185bf4509d022e70d557f43ef3fb20ccccf93f00aabedf0834ba471
SHA1: 7e1ea8c9405a4da69ef8ec2ea4269a7570a2d634
MD5sum: 27dad227aade2a2c39fe90ad46dc1894
Description: a tiny IRC client
 A very small, stripped down IRC client. It doesn't have most of the
 more advanced commands in the ircII family of IRC Clients, nor does
 it have any color, but it works, and it's tiny.

Package: tinymce
Version: 3.4.8+dfsg0-1
Installed-Size: 2199
Maintainer: Frank Habermann 
Architecture: all
Size: 528386
SHA256: 09d4bfe4f8a893b9c26d628bcd9094e094a828b193b0193a4daab7f4c7db173a
SHA1: dfdd3d5f1d1870af87de39f1e07549caea8a0b0e
MD5sum: e1f0d5c7d330a365f480c8ad93c58758
Description: platform independent web based Javascript/HTML WYSIWYG editor
 TinyMCE is a platform independent web based Javascript HTML WYSIWYG editor
 control released as Open Source under LGPL by Moxiecode Systems AB. It has the
 ability to convert HTML TEXTAREA fields or other HTML elements to editor
 instances. TinyMCE is very easy to integrate into other Content Management
 Systems.
 .
 TinyMCE provides the following features:
  * Easy to integrate, takes only two lines of code.
  * Customizable through themes and plugins.
  * Customizable XHTML 1.0 output.
  * Block invalid elements and force attributes.
  * International language support (Language packs)
  * Multiple browser support, Mozilla, MSIE, FireFox, Opera and Safari
Tag: implemented-in::ecmascript, interface::web, role::program, use::editing
Section: web
Priority: optional
Filename: pool/main/t/tinymce/tinymce_3.4.8+dfsg0-1_all.deb

Package: tinymce2
Version: 2.1.3-1.1
Installed-Size: 2072
Maintainer: Vincent Bernat 
Architecture: all
Size: 446072
SHA256: 65b8f382fb15a222a9e42647ad5fb74f9e3425a6a49ea566dbeddf45d0be6e05
SHA1: edf520343da517958a4d8572c76bbcda250afcbf
MD5sum: dd5b466eb27801ac9f18b091f49e1bf9
Description: platform independent web based Javascript/HTML WYSIWYG editor
 TinyMCE is a platform independent web based Javascript HTML WYSIWYG editor
 control released as Open Source under LGPL by Moxiecode Systems AB. It has the
 ability to convert HTML TEXTAREA fields or other HTML elements to editor
 instances. TinyMCE is very easy to integrate into other Content Management
 Systems.
 .
 TinyMCE provides the following features:
  * Easy to integrate, takes only two lines of code.
  * Customizable through themes and plugins.
  * Customizable XHTML 1.0 output.
  * Block invalid elements and force attributes.
  * International language support (Language packs)
  * Multiple browser support, Mozilla, MSIE, FireFox, Opera and Safari
 .
 This package provides version 2 of tinymce. If you want a more recent
 version of tinymce, look at tinymce package.
Homepage: http://tinymce.moxiecode.com/
Tag: implemented-in::ecmascript, interface::web, role::program, use::editing,
 web::scripting
Section: web
Priority: optional
Filename: pool/main/t/tinymce2/tinymce2_2.1.3-1.1_all.deb

Package: tinymux
Version: 2.6.5.28-1
Architecture: armhf
Maintainer: Ervin Hearn III 
Installed-Size: 1696
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Homepage: http://www.tinymux.org/
Priority: optional
Section: games
Filename: pool/main/t/tinymux/tinymux_2.6.5.28-1_armhf.deb
Size: 658040
SHA256: bef128ad11268b700c2b7fc4f8a867844aabe4c3fee597e9c9ee24c7a2c8393f
SHA1: b9a46e3c772dd126c10debc163a3eeb5b5f873a0
MD5sum: 5f2c5cbdcb3796e1481b885d88063895
Description: text-based multi-user virtual world server
 This is the TinyMUX flavor of mud servers of the MUSH branch. It
 provides a number of robust features to enable players to extend
 the virtual world. This is done by building new rooms and objects,
 and utilizing its internal programming language, MUSHcode.
 .
 TinyMUX doesn't provide the superset of features available in other
 MUSH flavors, but those it does implement provide a stable and
 robust environment that performs as well or better than that of its
 peers.

Package: tinyproxy
Version: 1.8.3-3
Architecture: armhf
Maintainer: Ed Boraas 
Installed-Size: 206
Depends: libc6 (>= 2.13-28), logrotate
Homepage: https://banu.com/tinyproxy/
Priority: optional
Section: web
Filename: pool/main/t/tinyproxy/tinyproxy_1.8.3-3_armhf.deb
Size: 83350
SHA256: 9157896f1df708fe27f480eb836a97ad30f03d222fe149062446d82f879b5cc7
SHA1: 8b733161a3e4a13511fc8a4cd275205ec12aea95
MD5sum: 3e17529cdcb874a79c1786ac1bd7487e
Description: A lightweight, non-caching, optionally anonymizing HTTP proxy
 An anonymizing HTTP proxy which is very light on system resources,
 ideal for smaller networks and similar situations where other proxies
 (such as Squid) may be overkill and/or a security risk. Tinyproxy can
 also be configured to anonymize HTTP requests (allowing for exceptions
 on a per-header basis).

Package: tinyscheme
Version: 1.37-3.1
Architecture: armhf
Maintainer: Panu Kalliokoski 
Installed-Size: 138
Depends: libc6 (>= 2.7)
Priority: optional
Section: interpreters
Filename: pool/main/t/tinyscheme/tinyscheme_1.37-3.1_armhf.deb
Size: 49320
SHA256: 40f7cfa5e33084a82d5a36e92542d28f6998cf73f5b297dfd5a09efff3c35355
SHA1: e81450d95305e414c76b5f16dfa18921ea7be12b
MD5sum: ac5e40e7937ada5d588c68596a683f60
Description: Very small scheme implementation
 TinyScheme is an implementation of the algorithmic language Scheme that
 aims to very small memory footprint while being as close to R5RS as
 practically feasible.  TinyScheme is also a good base for hacking,
 given the implementation's small size, easy gluing with C and code
 being fully reentrant.
 .
 TinyScheme is based on an s-expression evaluator.  This package
 distributes TinyScheme as a standalone executable.

Package: tinywm
Version: 1.3-9
Architecture: armhf
Maintainer: Nobuhiro Iwamatsu 
Installed-Size: 54
Depends: libc6 (>= 2.4), libx11-6
Provides: x-window-manager
Priority: optional
Section: x11
Filename: pool/main/t/tinywm/tinywm_1.3-9_armhf.deb
Size: 7298
SHA256: 93d6fb3f8c6b214c8825e77cea19256edde30da32f6855049f09e02dec10de70
SHA1: 335fe4e6e74e5ec6ebfc80ff6d81bb17a416b866
MD5sum: d1c234f4881ca3dd634fa285fc414b3e
Description: tiny window manager
 TinyWM is a small, simple window manager.  It has a minimal memory
 footprint, which makes it useful in embedded systems.  It features window
 move, resize, and raise operations, and supports "sloppy focus".
 .
 Due to its simplicity, its source code (in C and Python) can be used as a
 reference implementation for developers seeking to understand basic window
 manager programming.

Package: tiobench
Version: 0.3.3-5
Architecture: armhf
Maintainer: Peter Palfrader 
Installed-Size: 88
Depends: perl5, libc6 (>= 2.4)
Suggests: bonnie
Priority: extra
Section: utils
Filename: pool/main/t/tiobench/tiobench_0.3.3-5_armhf.deb
Size: 27558
SHA256: 4c0e3adb21e6c01ff86c3340d183eb19133e0c824eabbbbc7fa7516911a4ddd9
SHA1: e309e26e452b37645fbb48dc3ad51b1c9d8e7520
MD5sum: 19dfc16e255d7753702fea312110753f
Description: Threaded I/O bench for Linux
 Tiobench is a file system benchmark especially designed to test
 I/O performance with multiple running threads.

Package: tioga
Source: ruby-tioga
Version: 1.14-3
Installed-Size: 7
Maintainer: Vincent Fourmond 
Architecture: all
Depends: ruby-tioga
Size: 5140
SHA256: 7502e19989ef0ade7461c7388f15895d8e22fcef7857933b84e8fd666e2f3c60
SHA1: b54b8229ed78ee4d8b4b56c70924a456c719675d
MD5sum: 43fee5f700b5c0ebd4ee0af6d271ce99
Description: Ruby library for scientific graphs [transition package]
 This is a dependency package that can be safely removed once no
 package depend on it.
Homepage: http://tioga.rubyforge.org
Tag: devel::lang:ruby, devel::library, implemented-in::c,
 implemented-in::ruby, role::devel-lib, use::viewing
Section: graphics
Priority: optional
Filename: pool/main/r/ruby-tioga/tioga_1.14-3_all.deb

Package: tipa
Version: 2:1.3-19
Installed-Size: 4768
Maintainer: Debian TeX maintainers 
Architecture: all
Replaces: tipa-type1
Depends: texlive-latex-base, texlive-base-bin, dpkg (>= 1.14.18), tex-common (>= 3)
Conflicts: tipa-type1
Size: 3321900
SHA256: bfeaefa62d022e8f12d869e66547df6d398b6534f1333aebea28ec90a1d044e8
SHA1: 2ffdfd09e515fcebedea3580230b4eeb1c4bc5e5
MD5sum: 22d6b4c59395178153d1a5ef9f31b027
Description: system for processing phonetic symbols in LaTeX
 TIPA is a system for processing IPA (International Phonetic
 Alphabet) symbols in LaTeX written by Fukui Rei.  TIPA stands for
 either TeX IPA or Tokyo IPA and derived from the tsipa package, made
 in 1992 by Kobayashi Hajime, Fukui Rei and Shirakawa Shun.
 .
 Among many features of TIPA, the following are the new features as
 compared with tsipa or any other existing systems for processing IPA
 symbols:
 .
   * A new 256 character encoding for phonetic symbols (`T3'), which
     includes all the symbols and diacritics found in the recent
     versions of IPA and some non-IPA symbols.
   * Complete support of LaTeX2e.
   * Roman, slanted, bold, bold extended and sans serif font styles.
   * Easy input method in the IPA environment.
   * Extended macros for accents and diacritics.
   * A flexible system of macros for `tone letters'.
   * An optional package (vowel.sty) for drawing vowel diagrams.
   * A slightly modified set of fonts that go well when used with
     Times Roman and Helvetica fonts.
 .
 Type 1 fonts for TIPA are also included in this package (to make them
 available in X11 applications other than LaTeX, please install the
 xfonts-tipa package).
Homepage: http://www.ctan.org/tex-archive/fonts/tipa/
Tag: field::linguistics, made-of::font, role::app-data, use::typesetting,
 works-with-format::tex, works-with::text
Section: tex
Priority: optional
Filename: pool/main/t/tipa/tipa_1.3-19_all.deb

Package: tipa-doc
Source: tipa
Version: 2:1.3-19
Installed-Size: 4611
Maintainer: Debian TeX maintainers 
Architecture: all
Size: 4659520
SHA256: ee1a311d796eda0a4b6db5d9d30d0ff2f58df7f8b17fa804c3e13a06f47e4213
SHA1: 1d475182021788d1868db9e702d14322fb803fd8
MD5sum: d34ef65f38072dd2b3fc8d669bffcad4
Description: documentation for the TIPA LaTeX font
 TIPA is a system for processing IPA (International Phonetic
 Alphabet) symbols in LaTeX written by Fukui Rei.  TIPA stands for
 either TeX IPA or Tokyo IPA and derived from the tsipa package, made
 in 1992 by Kobayashi Hajime, Fukui Rei and Shirakawa Shun.
 .
 This package contains the documentation for the TIPA fonts for LaTeX.
Homepage: http://www.ctan.org/tex-archive/fonts/tipa/
Tag: made-of::pdf, made-of::tex, role::documentation
Section: doc
Priority: extra
Filename: pool/main/t/tipa/tipa-doc_1.3-19_all.deb

Package: tircd
Version: 0.21.2-2
Installed-Size: 160
Maintainer: Michael Stapelberg 
Architecture: all
Depends: adduser, libjson-any-perl, libwww-perl, libpoe-perl, liburi-perl, libhtml-parser-perl, libnet-twitter-lite-perl, libpoe-filter-ircd-perl
Size: 35096
SHA256: 90b4357979453df7855e9df2dcc4a4ee6b205afd70168adf3efa37c6fb36a718
SHA1: 7fb60f37b468c38a80fb914dd5f900f853155776
MD5sum: 053efba15a34e371a240c8b2ba1f4934
Description: ircd proxy to the twitter API
 tircd presents Twitter as an IRC channel. You can connect to tircd
 with any IRC client, and Twitter as if you were on IRC.
 .
 To update your status on Twitter, send a message to the #twitter
 channel. When users you follow update their status, tircd will be sent
 to the channel as a message from them. Other actions are similarly
 mapped to the equivalent IRC commands and events.
Homepage: http://code.google.com/p/tircd/
Tag: implemented-in::perl, role::program, web::microblog
Section: net
Priority: optional
Filename: pool/main/t/tircd/tircd_0.21.2-2_all.deb

Package: titanion
Version: 0.3.dfsg1-4
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 635
Depends: titanion-data (= 0.3.dfsg1-4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4)
Homepage: http://www.asahi-net.or.jp/~cs8k-cyu/windows/ttn_e.html
Priority: extra
Section: games
Filename: pool/main/t/titanion/titanion_0.3.dfsg1-4_armhf.deb
Size: 205708
SHA256: f9db27c6457b678b960abbca432a14bc10a624ed38b4909fa847067d898e758b
SHA1: 21f8c8fe20ac6d4fd7500ed5a014e80a38fb4e4e
MD5sum: 2f943d7d3a5335f45074971670b5f8e4
Description: strike down super high-velocity swooping insects
 Titanion is an abstract shooter game. While we fire with one button, the
 other one acts as a tractor ray to attract the enemies and take them to
 the combat line. The game in itself is quite simple, but with such
 graphics and playability that it's worth a try.
 .
 Titanion is another gem among many by Kenta Cho.

Package: titanion-data
Source: titanion
Version: 0.3.dfsg1-4
Installed-Size: 4970
Maintainer: Debian Games Team 
Architecture: all
Recommends: titanion
Size: 4981034
SHA256: 6393ec248b4f0ca3d2142a582f4628850150758322e65609f606ede3df1323f1
SHA1: 239deaf0b5e39919200c6b7200a2e22d67454ed3
MD5sum: 4e942c7c7709bc15c32bea2f9044c87b
Description: strike down super high-velocity swooping insects - game data
 Titanion is an abstract shooter game. While we fire with one button, the
 other one acts as a tractor ray to attract the enemies and take them to
 the combat line. The game in itself is quite simple, but with such
 graphics and playability that it's worth a try.
 .
 This package includes the architecture-independent data for the game Titanion.
Homepage: http://www.asahi-net.or.jp/~cs8k-cyu/windows/ttn_e.html
Tag: game::arcade, made-of::audio, role::app-data, use::gameplaying
Section: games
Priority: extra
Filename: pool/main/t/titanion/titanion-data_0.3.dfsg1-4_all.deb

Package: tix
Version: 8.4.3-4
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 1112
Depends: tcl8.5 (>= 8.5.1) | tclsh, libc6 (>= 2.13-28), libx11-6
Conflicts: python2.1-tk (<< 2.1.3-12), python2.2-tk (<< 2.2.2-2), python2.3-tk (<< 2.2.94), tix8.1
Replaces: tix8.1
Priority: optional
Section: libs
Filename: pool/main/t/tix/tix_8.4.3-4_armhf.deb
Size: 322754
SHA256: 0c1290a536905399422552ccc83766afabd764db09a6bb9d78a1327d3e2710a1
SHA1: 6205112e04558183a556b03b7bcdec70b4edacb2
MD5sum: 45f67f046f8237022a6a663068ab13b2
Description: The Tix library for Tk -- runtime package
 The Tix library for Tk extends Tk with new widgets like:
    o panned windows,
    o hierarchical lists,
    o folders,
    o combo boxes,
    o help balloons,
    o and many others.
 .
 The Tix look and feel is really good. Additionally, Tix eases construction
 of mega-widget and has a full-fledged C interface as well.

Package: tix-dev
Source: tix
Version: 8.4.3-4
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 1010
Depends: tix (= 8.4.3-4), tk8.5-dev (>= 8.5.1), tcl8.5-dev
Conflicts: tix8.1-dev
Replaces: tix8.1-dev
Priority: optional
Section: devel
Filename: pool/main/t/tix/tix-dev_8.4.3-4_armhf.deb
Size: 531446
SHA256: 98a5860988ad959845e5a1780be67788157f6a129410847d64ceaa6d5cf365e3
SHA1: cdc1e92f7ac729f0dbba08acf3429320e4ff2bdf
MD5sum: 1c0464ca1ed72170058b01fac191a4fe
Description: The Tix library for Tk -- development package
 The Tix library for Tk extends Tk with new widgets.
 .
 This package includes files, static libraries, man pages for Tix.

Package: tk
Source: tcltk-defaults
Version: 8.5.0-2.1
Installed-Size: 7
Maintainer: Debian Tcl/Tk Packagers 
Architecture: all
Depends: tk8.5 (>= 8.5.0-1), tcl (= 8.5.0-2.1)
Conflicts: tk8.3 (<< 8.3.5-11), tk8.4 (<< 8.4.16-2), tk8.5 (<< 0.b1-2)
Size: 4792
SHA256: b32d7c8c37d9a3efea776dc0561e2b5e84d7718c04d2ccb923eea1659eaee399
SHA1: 6c788dee9a44f1f1383389fea4c96b266a8ea448
MD5sum: 5eba5a5632932e12a9c66d0b1c9627e5
Description: The Tk toolkit for Tcl and X11 (default version) - run-time files
 Tk is a cross-platform graphical toolkit which provides the Motif
 look-and-feel and is implemented using the Tcl scripting language.
 .
 This package is a dependency package, which depends on Debian's default
 Tk version (currently 8.5).
Tag: devel::lang:tcl, role::metapackage, uitoolkit::tk
Section: interpreters
Priority: optional
Filename: pool/main/t/tcltk-defaults/tk_8.5.0-2.1_all.deb

Package: tk-brief
Version: 5.9-1.1
Installed-Size: 220
Maintainer: Yven Johannes Leist 
Architecture: all
Depends: tk8.3 | wish, texlive, texlive-latex-extra, texlive-latex-recommended, xterm | x-terminal-emulator
Recommends: lpr, ispell
Size: 92974
SHA256: 827c2deecc1666da078da6df3a4d986e318b4bca877e852f18db13d3d7fb3c70
SHA1: b97a3c8a99f4520d0d53f89e363d8cb3260fe391
MD5sum: 2f279869ed48130e7ac8ae158734f639
Description: GUI for easily writing letters with LaTeX
 tk_Brief is a TK GUI for easily writing letters and even multiple letters
 with LaTeX
 .
 The following LaTeX letter classes are supported:
  - g-brief
  - dinbrief
  - letter
  - KOMA
  - brief
Tag: interface::x11, role::program, scope::application, uitoolkit::tk,
 use::editing, use::text-formatting, works-with-format::tex,
 works-with::text, x11::application
Section: tex
Priority: optional
Filename: pool/main/t/tk-brief/tk-brief_5.9-1.1_all.deb

Package: tk-dev
Source: tcltk-defaults
Version: 8.5.0-2.1
Installed-Size: 6
Maintainer: Debian Tcl/Tk Packagers 
Architecture: all
Depends: tk8.5-dev (>= 8.5.0-1), tk (= 8.5.0-2.1), tcl-dev (= 8.5.0-2.1)
Suggests: tk-doc
Size: 4502
SHA256: e37feff938983499b86a1d9cd9a3024255cda7e9bcc6ecdd8fb98e3613a18f4b
SHA1: ea2cc240df2fd14967017e4356c0b27ca7d7f19c
MD5sum: 5f586d015f9e86aa926715f9cf01fbba
Description: The Tk toolkit for Tcl and X11 (default version) - development files
 Tk is a cross-platform graphical toolkit which provides the Motif
 look-and-feel and is implemented using the Tcl scripting language.
 .
 This package is a dependency package, which depends on Debian's default
 Tk version (currently 8.5).
Tag: devel::library, role::dummy, role::metapackage
Section: devel
Priority: optional
Filename: pool/main/t/tcltk-defaults/tk-dev_8.5.0-2.1_all.deb

Package: tk-doc
Source: tcltk-defaults
Version: 8.5.0-2.1
Installed-Size: 1
Maintainer: Debian Tcl/Tk Packagers 
Architecture: all
Depends: tcl-doc (= 8.5.0-2.1)
Recommends: tk8.5-doc (>= 8.5.0-1)
Suggests: tk
Size: 870
SHA256: 0fd570b0dfcd5be84a2c2c367d95f23e5d9046322fdc65d4393f483a8f95dfc4
SHA1: 6f57faf89189c21c5e85bd37de72b203b7ed11a9
MD5sum: f41c1e21ff30a4473d2846d5021b4c3f
Description: The Tk toolkit for Tcl and X11 (default version) - manual pages
 Tk is a cross-platform graphical toolkit which provides the Motif
 look-and-feel and is implemented using the Tcl scripting language.
 .
 This package is a dependency package, which depends on Debian's default
 Tk version (currently 8.5).
Tag: devel::doc, devel::lang:tcl, role::metapackage
Section: doc
Priority: optional
Filename: pool/main/t/tcltk-defaults/tk-doc_8.5.0-2.1_all.deb

Package: tk-html3
Version: 3.0~fossil20110109-2
Architecture: armhf
Maintainer: Ole Streicher 
Installed-Size: 534
Depends: tk (>= 8.5.0-1), libc6 (>= 2.13-28), libx11-6
Homepage: http://tkhtml.tcl.tk/index.html
Priority: extra
Section: interpreters
Filename: pool/main/t/tk-html3/tk-html3_3.0~fossil20110109-2_armhf.deb
Size: 217532
SHA256: f883d22cea53261d196d6394a8ca58c7622f795f94d10abaa89a39abe05231dd
SHA1: bd8f773003a2ee845deb69a4a55673c5d1371b15
MD5sum: 3332172c75b9320d435bd8ebc545ad9e
Description: Render HTML and CSS content with tk
 Tkhtml3 is a Tk widget that displays content formatted according to
 the HTML and CSS standards. Tkhtml3 is not an end-user application, it
 is for Tcl programmers who wish to embed a standards-compliant
 HTML/CSS implementation in their applications.
 .
 This package contains the Tkhtml3 widget and the Hv3 mega-widget.

Package: tk-table
Version: 2.10-1
Architecture: armhf
Maintainer: Ole Streicher 
Installed-Size: 305
Depends: tk (>= 8.5.0-1), libc6 (>= 2.13-28), libx11-6
Conflicts: libtktable2.9
Replaces: libtktable2.9
Provides: libtktable2.9
Homepage: http://tktable.sourceforge.net/
Priority: optional
Section: libs
Filename: pool/main/t/tk-table/tk-table_2.10-1_armhf.deb
Size: 126486
SHA256: cec3a3ba3d3f916f4c6e22062c3a63b2ee5110597deaf618e6b69f80383f5482
SHA1: c02b73195e96c442feb6d6472172b2b0d70f03b9
MD5sum: f2f6f095513399482d3d8d851224581f
Description: Table extension for Tcl/Tk
 Provides support for tables and matrices in Tcl/Tk. The basic features of the
 widget are:
  * multi-line cells
  * support for embedded windows (one per cell)
  * row & column spanning
  * variable width columns / height rows (interactively resizable)
  * row and column titles
  * multiple data sources ((Tcl array || Tcl command) &| internal caching)
  * supports standard Tk reliefs, fonts, colors, etc.
  * x/y scrollbar support
  * 'tag' styles per row, column or cell to change visual appearance
  * in-cell editing - returns value back to data source
  * support for disabled (read-only) tables or cells (via tags)
  * multiple selection modes, with "active" cell
  * multiple drawing modes to get optimal performance for larger tables
  * optional 'flashes' when things update
  * cell validation support
  * Works everywhere Tk does (including Windows and Mac!)
 .
 This package is sufficient to run and link against tkTable.

Package: tk-tktray
Source: tktray
Version: 1.3.9-2
Architecture: armhf
Maintainer: Sergei Golovan 
Installed-Size: 89
Depends: libc6 (>= 2.13-28), libx11-6, tk | wish
Conflicts: tktray
Replaces: tktray
Provides: tktray
Homepage: http://code.google.com/p/tktray/
Priority: optional
Section: libs
Filename: pool/main/t/tktray/tk-tktray_1.3.9-2_armhf.deb
Size: 24888
SHA256: 44eccb8780ecd49d75e4561e0dfb1e8e4c4be7a5eaeb3ae4a585628a30fdd2ad
SHA1: dce60923ca3cc8abb117a8af9edc64338d600d88
MD5sum: afa90d3a537923bcb1173653c8aa4fec
Description: Freedesktop system tray icon support for Tcl/Tk on X11
 Tktray is an extension that is able to create system tray icons.
 It follows http://www.freedesktop.org specifications. This
 protocol is supported by modern versions of KDE and GNOME panels,
 and by some other panel-like application.

Package: tk2
Version: 1.1-9.1
Installed-Size: 320
Maintainer: Debian Hamradio Maintainers 
Architecture: all
Depends: tk8.4 | tk8.3
Size: 58742
SHA256: bac86e31e0a21bb0982c9ada8ca997edef09c80fba4b83b9e66d2ecf0e2a4775
SHA1: 68bfd9b0502cf4994bcbb6c9ff0213c6376df612
MD5sum: 88d59fdc7f73a54357ce7b24e9101314
Description: Tk GUI for the ICOM IC-R2 receiver
 The current, experimental version of tk2 works with IC-R2 models which
 employ 10 kHz or 9 kHz spacing in the AM Broadcast Band (e.g., USA,
 Japanese, European, and other models). It permits expanded .005 -
 1599.995 MHz frequency coverage (except cellular bands) for memory
 channels and can:
 .
 Read a memory image from an IC-R2 receiver or a disk file.
 Display data from a memory image and let a user change various settings.
 Add descriptive labels to memory channels and banks.
 Sort memory channels by frequency or label.
 Swap pairs of memory banks.
 Import memory channel data from a csv or ICF file.
 Export memory channel data to a csv file.
 Write the results back to the radio.
Tag: role::program
Section: hamradio
Priority: optional
Filename: pool/main/t/tk2/tk2_1.1-9.1_all.deb

Package: tk5
Version: 0.6-6.1
Installed-Size: 356
Maintainer: Debian Hamradio Maintainers 
Architecture: all
Depends: tk8.4 | tk8.3
Size: 66878
SHA256: e7e1e8542453f96d034a54e916125a55441f378130094400e13aeb841e1660ef
SHA1: 09e7d4a8c7d9d2f454d64ee3df19c8fa0a7044e9
MD5sum: b8d9ddcf1dbab4c82e1750a4b00ee435
Description: Experimental Software for the ICOM IC-R5 Receiver
 tk5 is open source software designed for the  ICOM  IC-R5 receiver.
 The current version can:
 .
 Read a memory image from an IC-R5 receiver, an ICF file, an IC5 file, or a
 native tr5 file.
 Using a graphical interface, display data from a memory image and let a user
 change the limit search bank, television bank, and most other settings.
 Import memory channel data from a csv (comma-separated values) file.
 Export memory channel data to a csv file.
 Enable a hidden 70-channel Television bank.
 Write the results back to the radio.
Tag: hardware::hamradio, role::program
Section: hamradio
Priority: optional
Filename: pool/main/t/tk5/tk5_0.6-6.1_all.deb

Package: tk707
Version: 0.7.21-9.1
Architecture: armhf
Maintainer: Sam Hocevar (Debian packages) 
Installed-Size: 629
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libx11-6, tcl8.4 (>= 8.4.16), tk8.4 (>= 8.4.16)
Recommends: timidity
Priority: optional
Section: sound
Filename: pool/main/t/tk707/tk707_0.7.21-9.1_armhf.deb
Size: 140686
SHA256: 0f092ac2e5a1b6ded1e8c72bb935c202bc2513c200bdd49d093add412c22e8f4
SHA1: adde4eed1622753e80dbb666369db14be86e7eb6
MD5sum: d7dbf7d3e4607b6c414c1f9e50845c11
Description: drum sequencer for a sound card or MIDI device
 This program emulates the operation of Roland's TR-707 Rhythm
 Composer.
 .
 The output is to a MIDI device, sound card or file.  A
 Latin-percussion instrument map emulates the Roland TR-727 and
 the instrument map can be customized by the user. If you do not
 have a MIDI sound card, you should install the timidity package
 to emulate one..

Package: tk8.4
Version: 8.4.19-5
Architecture: armhf
Maintainer: Debian Tcl/Tk Packagers 
Installed-Size: 2263
Depends: libc6 (>= 2.13-28), libx11-6, tcl8.4 (>= 8.4.16)
Recommends: xterm | x-terminal-emulator
Conflicts: libtk-img (<< 1.2.5), tk40 (<= 4.0p3-2)
Provides: wish
Homepage: http://www.tcl.tk/
Priority: optional
Section: libs
Filename: pool/main/t/tk8.4/tk8.4_8.4.19-5_armhf.deb
Size: 1007008
SHA256: 7644fc86a21255d20f0cccdcad3c425da61dbd7b319476c558a816ce52960c7e
SHA1: 074b551b32d2011e45c8dfdd7b3fd852f0954b20
MD5sum: fb93cd3ef8d6a1a56df9da33998a219b
Description: Tk toolkit for Tcl and X11, v8.4 - run-time files
 Tk is a cross-platform graphical toolkit which provides the Motif
 look-and-feel and is implemented using the Tcl scripting language.
 This package contains everything you need to run Tk (wish) scripts
 and Tk-enabled apps.

Package: tk8.4-dev
Source: tk8.4
Version: 8.4.19-5
Architecture: armhf
Maintainer: Debian Tcl/Tk Packagers 
Installed-Size: 2135
Depends: x11proto-core-dev, libx11-dev, libxt-dev, tcl8.4-dev (>= 8.4.2), tk8.4 (= 8.4.19-5)
Suggests: tk8.4-doc
Homepage: http://www.tcl.tk/
Priority: optional
Section: devel
Filename: pool/main/t/tk8.4/tk8.4-dev_8.4.19-5_armhf.deb
Size: 826004
SHA256: 67fbe4d9e84708798938c403c8443b7a098edeba52a089b68da8a4249b9a821e
SHA1: 230e99c79e45df56c3efe7568d35481130944c41
MD5sum: f247116a584c02f06a6ffe609e54a297
Description: Tk toolkit for Tcl and X11, v8.4 - development files
 Tk is a cross-platform graphical toolkit which provides the Motif
 look-and-feel and is implemented using the Tcl scripting language.
 This package contains the headers and libraries needed to extend
 or embed Tk.

Package: tk8.4-doc
Source: tk8.4
Version: 8.4.19-5
Installed-Size: 797
Maintainer: Debian Tcl/Tk Packagers 
Architecture: all
Provides: tkdoc
Suggests: tk8.4
Conflicts: tk8.3-doc, tkdoc
Size: 812424
SHA256: 2dea5e67d63b9cb8fa135349549918187fa660a3de59c8f4bae87ec0a0e62e28
SHA1: 5348de8e85d7764995a7891e23741991200f6096
MD5sum: 771c6feebad63c46da6f98bd680839c5
Description: Tk toolkit for Tcl and X11, v8.4 - manual pages
 Tk is a cross-platform graphical toolkit which provides the Motif
 look-and-feel and is implemented using the Tcl scripting language.
 This package contains the manual pages for the Tk commands.
Homepage: http://www.tcl.tk/
Tag: devel::doc, devel::lang:tcl, made-of::man, role::documentation,
 uitoolkit::tk
Section: doc
Priority: optional
Filename: pool/main/t/tk8.4/tk8.4-doc_8.4.19-5_all.deb

Package: tk8.5
Version: 8.5.11-2
Architecture: armhf
Maintainer: Tcl/Tk Debian Packagers 
Installed-Size: 2683
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libx11-6, libxext6, libxft2 (>> 2.1.1), libxss1, tcl8.5 (>= 8.5.0)
Recommends: xterm | x-terminal-emulator
Conflicts: libtk-img (<< 1.2.5), tk40 (<= 4.0p3-2)
Provides: wish
Homepage: http://www.tcl.tk/
Priority: optional
Section: libs
Filename: pool/main/t/tk8.5/tk8.5_8.5.11-2_armhf.deb
Size: 1120804
SHA256: 0e616bc1f2f9475e3d63a63a58fe5b7e49e68fcc02a4892555a4f646d757f1c1
SHA1: c877543206586ace60d20544af1adb989d9c6947
MD5sum: 73bcf07167e2bcc1bd3a4e9e63446b3d
Description: Tk toolkit for Tcl and X11, v8.5 - run-time files
 Tk is a cross-platform graphical toolkit which provides the Motif
 look-and-feel and is implemented using the Tcl scripting language.
 This package contains everything you need to run Tk (wish) scripts
 and Tk-enabled apps.

Package: tk8.5-dev
Source: tk8.5
Version: 8.5.11-2
Architecture: armhf
Maintainer: Tcl/Tk Debian Packagers 
Installed-Size: 2509
Depends: x11proto-core-dev, libx11-dev, libxss-dev, libxext-dev, libxft-dev, tcl8.5-dev (>= 8.5.0), tk8.5 (= 8.5.11-2)
Suggests: tk8.5-doc
Homepage: http://www.tcl.tk/
Priority: optional
Section: devel
Filename: pool/main/t/tk8.5/tk8.5-dev_8.5.11-2_armhf.deb
Size: 933600
SHA256: 61c158339e310697ceb58976e9ee5512b7d36d2cf08f83959e09894a9e6a31ca
SHA1: 249663253e869b54402ff68289440f2bafe9b188
MD5sum: 81d6611dec0a922a618638405819a299
Description: Tk toolkit for Tcl and X11, v8.5 - development files
 Tk is a cross-platform graphical toolkit which provides the Motif
 look-and-feel and is implemented using the Tcl scripting language.
 This package contains the headers and libraries needed to extend
 or embed Tk.

Package: tk8.5-doc
Source: tk8.5
Version: 8.5.11-2
Installed-Size: 892
Maintainer: Tcl/Tk Debian Packagers 
Architecture: all
Provides: tkdoc
Suggests: tk8.5
Conflicts: tk8.3-doc, tk8.4-doc, tkdoc
Size: 907194
SHA256: 4ba802d4048ad4e08eeb847e16f6cbed4b9cb369ed89502f50b1be53411658ee
SHA1: 4cc8eac10a5c11c880dab1f3488a13b2d3b7262d
MD5sum: 133da079b7ef58334ad37a878ceb8697
Description: Tk toolkit for Tcl and X11, v8.5 - manual pages
 Tk is a cross-platform graphical toolkit which provides the Motif
 look-and-feel and is implemented using the Tcl scripting language.
 This package contains the manual pages for the Tk commands.
Homepage: http://www.tcl.tk/
Tag: devel::doc, devel::lang:tcl, made-of::man, role::documentation,
 uitoolkit::tk
Section: doc
Priority: optional
Filename: pool/main/t/tk8.5/tk8.5-doc_8.5.11-2_all.deb

Package: tkabber
Version: 0.11.1-3
Installed-Size: 3495
Maintainer: Konstantin Khomoutov 
Architecture: all
Depends: tk | wish, bwidget, tcllib
Recommends: tcl-tls, libtk-img, libudp-tcl
Suggests: tkabber-plugins (>= 0.11.1), libsnack2
Size: 1002466
SHA256: 7a182e8f87be9c3537d1ee5ee501faea9076462a70ced9e1ed017ce26f911361
SHA1: caa54424e59793c8c3d44ba2fb11d02f1a9a1fa2
MD5sum: daaee4be43453695b8beca2d7af228ed
Description: GUI client for XMPP (Jabber) instant messaging protocol
 Tkabber is a GUI client for XMPP (Jabber) instant messaging protocol.
 It provides full support of basic XMPP specifications as well as many
 protocol extensions (XEPs), such as multi-user conferencing,
 file transfers, extensive handling of privacy lists and much more.
 Tkabber is written in Tcl/Tk and thus is easily extensible.
 .
 Also a rich set of Tkabber external plugins is available
 in the package named "tkabber-plugins".
Homepage: http://tkabber.jabber.ru
Tag: implemented-in::tcl, interface::x11, network::client, protocol::jabber,
 protocol::ssl, role::program, uitoolkit::tk, use::chatting,
 works-with::text, works-with::unicode, x11::application
Section: net
Priority: optional
Filename: pool/main/t/tkabber/tkabber_0.11.1-3_all.deb

Package: tkabber-plugins
Version: 0.11.1-1
Installed-Size: 3676
Maintainer: Konstantin Khomoutov 
Architecture: all
Depends: tkabber (>= 0.11.1)
Suggests: imagemagick, texlive-latex-base, xosd-bin, x-terminal-emulator, www-browser
Size: 619320
SHA256: 1bc3bff115f6eebbda8692f4b7b6543e5ee0b0ff15ff6ea66fe1471c0f2dafef
SHA1: 3015018df712e5ffe6298b12bff41303eba39743
MD5sum: d45a3b08d4d90f081c160a0e5ad9dbca
Description: standard plugins for Tkabber, an XMPP (Jabber) client
 This package provides the set of standard plugins for Tkabber,
 a sophisticated XMPP (Jabber) GUI client.
 These plugins provide various functional enhancements for Tkabber,
 implement several board games for two players and much more.
 .
 Each plugin can be installed and uninstalled separately so that
 you can pick from this collection just what you want.
Homepage: http://tkabber.jabber.ru
Tag: role::plugin
Section: net
Priority: extra
Filename: pool/main/t/tkabber-plugins/tkabber-plugins_0.11.1-1_all.deb

Package: tkcon
Version: 2:2.5-1
Installed-Size: 484
Maintainer: Sergei Golovan 
Architecture: all
Depends: tk (>= 8.5.0-1) | wish
Size: 163380
SHA256: 52b93aebbb82ee6dbb9f8ad8ec7a29de7cec6a22aded33a32ff7de0865279c3f
SHA1: f4102756cc6d8a7599da73b3d1c242dfe2b31bfe
MD5sum: 894ba1ddefe95d363fac093a561a4213
Description: Enhanced interactive console for developing in Tcl
 TkCon is a Tcl shell and console, making it ideal for
 experimenting with Tcl and Tk programs interactively.
 .
 Features:
  Command history
  Path (Unix style) / Proc / Variable name expansion
  Multiple consoles, each with its own state (via multiple interpreters)
  Captures stdout and stderr to console window (puts overridden)
  Hot errors (click on error result to see stack trace)
  Electric character matching (a la emacs)
  Electric proc highlighting
  Communication between consoles and other Tk interpreters
   (including non-Tcl ones)
Homepage: http://tkcon.sourceforge.net/
Tag: devel::ide, devel::lang:tcl, implemented-in::tcl, interface::shell,
 role::program, scope::application, uitoolkit::tk
Section: interpreters
Priority: optional
Filename: pool/main/t/tkcon/tkcon_2.5-1_all.deb

Package: tkcvs
Version: 8.2.3-1
Installed-Size: 1400
Maintainer: Tim Cutts 
Architecture: all
Replaces: tkdiff
Provides: tkdiff
Depends: cvs | subversion, tk8.4 | tk8.5, ttf-dejavu
Recommends: xterm | x-terminal-emulator, dirdiff
Size: 454864
SHA256: 721663942b880825a3122a0cc3a74b99b6155c9f623afad84133b34bcfa6e980
SHA1: ecce7e08b0b101a4fd2b8a3fa0250b167f042edd
MD5sum: d92eb4092568fd668bf6beac2cd32619
Description: Graphical front-end to CVS and Subversion
 TkCVS is a Tk based graphical interface to the CVS and Subversion
 version control systems.  For CVS, it includes facilities for providing
 "user friendly" names to modules and directories within the repository,
 and provides a facility to interactively browse the repository looking for
 modules and directories.
 .
 Some of the features of TkCVS include:
 .
 File and directory browser, with optional display of hidden
 files, and display of the current directory's location within
 the CVS tree.
 .
 Push-button based check-in / check-out of CVS modules.  Ability
 to add and delete files from the repository also using push
 buttons.
 .
 Module tree browser, and reports showing the structure of the
 CVS modules tree.  Individual modules or entire directory trees
 may be checked out using the browser.
 .
 Updating of files from the repository when they change.
 .
 Tagging and branching of files from the file browser, and tagging
 and branching of modules from the module browser.
 .
 Exporting a CVS module or directory from the repository for
 delivery off-site.
 .
 Creation of patch files between two releases of a module, or
 between a release and the current (head) version.
 .
 Viewing of diff and status listings for currently checked out
 modules.
Homepage: http://www.twobarleycorns.net/tkcvs.html
Tag: devel::rcs, implemented-in::tcl, interface::x11, network::client,
 role::program, uitoolkit::tk, use::synchronizing, x11::application
Section: vcs
Priority: optional
Filename: pool/main/t/tkcvs/tkcvs_8.2.3-1_all.deb

Package: tkdesk
Version: 2.0-9.1
Architecture: armhf
Maintainer: Daniel Martin 
Installed-Size: 2233
Depends: blt (>= 2.4z-4.1), itcl3 (>= 3.4~), itcl3 (<< 3.5~), libc6 (>= 2.13-28), libfontconfig1 (>= 2.8.0), libx11-6, libxext6, libxft2 (>> 2.1.1), libxss1, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0)
Priority: extra
Section: x11
Filename: pool/main/t/tkdesk/tkdesk_2.0-9.1_armhf.deb
Size: 657114
SHA256: dfeb33afbe94c149c1ddacdfcf4d6e459ac11c061daf47b0144455ab2aee0489
SHA1: 628aafbc46686c95df4f95bc8634d1e5073342db
MD5sum: 45907dab8ebf5b6303825afa97b088ad
Description: Tk/tcl based X11 Desktop/File manager
 TkDesk is a graphical file manager for Unix (esp. Linux) and the X
 Window System.
 .
 Compared with other file managers available, it offers the most
 complete set of file operations and services, plus gives the user the
 ability to configure most every aspect of TkDesk in a powerful way.
 .
 TkDesk has been influenced by various other systems and file managers,
 such as NeXT, for laying out the file browser windows, Apple Finder,
 for the idea of file annotations and, shock horror, Windows 95, for
 some other inspirations.

Package: tkgate
Version: 1.8.7-4
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 590
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libx11-6, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), tkgate-data (= 1.8.7-4)
Recommends: tkgate-doc
Homepage: http://www.tkgate.org/
Priority: optional
Section: electronics
Filename: pool/main/t/tkgate/tkgate_1.8.7-4_armhf.deb
Size: 244416
SHA256: 2c6f82462fd966e7314a1b17640067e12a31e2934d3968f3de8a1d7b3f918028
SHA1: 93a589ae1d175345e3a7b2cc901830eabdf6a67c
MD5sum: aea343700ec49ea75435278d8ed7d84b
Description: Event driven digital circuit simulator with Tcl/Tk
 TkGate is a event driven digital circuit simulator with a Tcl/Tk-based
 graphical editor. TkGate supports a wide range of primitive circuit
 elements as well as user-defined modules for hierarchical design. The
 distribution comes with a number of tutorial and example circuits which
 can be loaded through the "Help" menu. The example circuits include a
 simple CPU, programmed to run the Animals game.

Package: tkgate-data
Source: tkgate
Version: 1.8.7-4
Installed-Size: 2176
Maintainer: Debian QA Group 
Architecture: all
Recommends: tkgate, tkgate-doc
Suggests: tcl8.5, tk8.5
Size: 532094
SHA256: 12e620813083f24f4dd9edef362474c1b8cb15c67fe41075c294dea4f93446a4
SHA1: 4e9dccda822efe2b00f7361119c5fd42b526580d
MD5sum: b14c3828a7da7b0984cc08c079873ec2
Description: Event driven digital circuit simulator with Tcl/Tk
 TkGate is a event driven digital circuit simulator with a Tcl/Tk-based
 graphical editor.
 .
 This package contains the architecture independent data files.
Homepage: http://www.tkgate.org/
Tag: field::electronics, role::app-data
Section: electronics
Priority: optional
Filename: pool/main/t/tkgate/tkgate-data_1.8.7-4_all.deb

Package: tkgate-doc
Source: tkgate
Version: 1.8.7-4
Installed-Size: 1005
Maintainer: Debian QA Group 
Architecture: all
Size: 656402
SHA256: 110184f3ef6ecf1ac057d729ba5392949db6ceb9c2a29c4bf7c53a3bcecbc851
SHA1: bd5483c725b8e70c385b96076475aea00ed5f83c
MD5sum: 1d284c9ec07fd07195cf85e4f27843d7
Description: Event driven digital circuit simulator with Tcl/Tk
 TkGate is a event driven digital circuit simulator with a Tcl/Tk-based
 graphical editor.
 .
 This package contains the documentation.
Homepage: http://www.tkgate.org/
Tag: field::electronics, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/tkgate/tkgate-doc_1.8.7-4_all.deb

Package: tkinfo
Version: 2.8-4
Installed-Size: 242
Maintainer: Michael Piefel 
Architecture: all
Provides: info-browser
Depends: tk8.5 | wish, install-info
Suggests: tkman
Size: 63404
SHA256: f83b3c60fc39956b7f1f509598984c8938a83b524c71743747557058db5847b4
SHA1: aa2d5ff63fdcee2cf85e03ab8e9037b529288b32
MD5sum: 04ecfaabbe7fd85f8fd6486c32f52cb9
Description: Tcl/Tk Info browser
 TkInfo is a Tcl/Tk script to read GNU Info files and display them.
 TkInfo can be used stand alone (via wish), or embedded within an
 application to provide integrated, on-line help.
Tag: implemented-in::tcl, interface::x11, role::program, scope::utility,
 uitoolkit::tk, use::viewing, works-with-format::info, works-with::text,
 x11::application
Section: doc
Priority: optional
Filename: pool/main/t/tkinfo/tkinfo_2.8-4_all.deb

Package: tkinspect
Version: 5.1.6p10-4
Installed-Size: 186
Maintainer: Sergei Golovan 
Architecture: all
Depends: tk | wish
Size: 61238
SHA256: 5d028c7f63b677b4e41ccd26aee2c951f71f00ad28ef593608d0d506337521d0
SHA1: 35067274d6c8c22eaf852fa33053da2f381a9023
MD5sum: 2848467ea71db89777cd0764e8f021c9
Description: Tk application inspector for developing in Tcl
 Tkinspect is a tool to permit one to inspect the contents of a
 separate running Tk application. It has views for the variables,
 arrays, procedures and other objects in the inspectee and
 communicates using the Tk send or tcllib comm commands.
Section: interpreters
Priority: optional
Filename: pool/main/t/tkinspect/tkinspect_5.1.6p10-4_all.deb

Package: tklib
Version: 0.5-3
Installed-Size: 2869
Maintainer: Sergei Golovan 
Architecture: all
Depends: tk (>= 8.5.0-1) | wish
Recommends: tcllib (>= 1.11)
Size: 814942
SHA256: a923b05788ed8c9eb97ff936736b19d3cce2e0790076f36b08aa6554beb03087
SHA1: 135bebe3cb518822008af63f0804774a3c808613
MD5sum: 8152b3a3c0ea1945d16e3c5ac0df4294
Description: standard Tk Library
 Tklib, the standard Tk library, is a collection of common utility
 functions and widgets all written in pure Tcl/Tk.
 .
 Modules included:
   autoscroll: automatically maps scrollbars when they are needed;
   canvas: provides a canvas with map background based on square tiles;
   chatwidget: a composite widget for chat applications;
   crosshair: provides commands to (de)activate and track crosshairs;
              on canvas widgets;
   ctext: a text widget with syntax highlighting support;
   cursor: provides a few cursor routines;
   datefield: an entry widget for the purpose of date entry;
   Diagrams: helps drawing diagrams, like flowcharts;
   getstring: a dialog which prompts for a string input;
   history: provides a history for mechanism for entry widgets;
   ico: provides functions for reading and writing windows icons;
   ipentry: a widget for the entering of an IP address;
   khim: provides key bindings for entering international
         characters on a keyboard that does not support them;
   ntext: provides alternative bindings for the Text widget;
   Plotchart: provides simple plotting and charting commands;
   style: provides simple theming using Tk options;
   swaplist: a dialog which allows one to move options between two lists;
   tablelist: a multicolumn listbox widget;
   tkpiechart: 2D or 3D pie chart object in a canvas;
   tooltip: provides tooltips for Tk widgets;
   widget: a set of megawidgets based on snit system.
Homepage: http://sourceforge.net/projects/tcllib/
Section: interpreters
Priority: optional
Filename: pool/main/t/tklib/tklib_0.5-3_all.deb

Package: tkmib
Source: net-snmp
Version: 5.4.3~dfsg-2.8+deb7u2
Installed-Size: 1025
Maintainer: Net-SNMP Packaging Team 
Architecture: all
Depends: libsnmp-perl (>= 5.4.3~dfsg-2.8+deb7u2), perl-tk
Size: 982486
SHA256: 2eb254d4f3ea3ee58c0a5316daa2fb29380e2ba8541333291a1ba4369f45857c
SHA1: dadeb5a58f2a7657b18fa4a9daf1458ac756bdd4
MD5sum: 5c9e43233751fead29b8dac216604afe
Description: SNMP (Simple Network Management Protocol) MIB browser
 The Simple Network Management Protocol (SNMP) provides a framework
 for the exchange of management information between agents (servers)
 and clients.
 .
 The Net-SNMP MIB (Management Information Base) Browser provides a
 graphical frontend for the Net-SNMP tools. It can be used to browse
 the MIB tree and interactively send requests to SNMP agents.
Homepage: http://net-snmp.sourceforge.net/
Section: net
Priority: optional
Filename: pool/main/n/net-snmp/tkmib_5.4.3~dfsg-2.8+deb7u2_all.deb

Package: tkpng
Version: 0.9-1
Architecture: armhf
Maintainer: Tcl/Tk Debian Packagers 
Installed-Size: 61
Depends: tk | wish, libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4)
Homepage: http://www.muonics.com/FreeStuff/TkPNG/
Priority: extra
Section: devel
Filename: pool/main/t/tkpng/tkpng_0.9-1_armhf.deb
Size: 18004
SHA256: 6d0d90c00ceea74a657d5e6a47e07e3a6fb0caef153d61d0c670d845be97fbed
SHA1: 8213819062e23166a4816500795764edec071398
MD5sum: 1aa6032478afa24c373e8b7af8fd4cba
Description: PNG photo image support to Tcl/Tk
 TkPNG is an open source binary package that adds PNG photo image support
 to Tcl/Tk. Although other extensions such as Img also add support for PNG
 images, this package was designed to be lightweight, not depending on
 libpng nor implementing other image formats, and suitable for inclusion in
 the Tk core.

Package: tkremind
Source: remind
Version: 03.01.12-1
Architecture: armhf
Maintainer: Ana Beatriz Guerrero Lopez 
Installed-Size: 169
Depends: remind (= 03.01.12-1), tk, tcllib
Homepage: http://www.roaringpenguin.com/products/remind/
Priority: optional
Section: utils
Filename: pool/main/r/remind/tkremind_03.01.12-1_armhf.deb
Size: 55422
SHA256: b7690cb6a266685509aa524fe71d3577fdc1b11419495104613fdfb0365f8d03
SHA1: 60366b1af6dc2f8e93c1d0af65b39a1558dbbf46
MD5sum: fdcc687287ee10f216c935253e12780d
Description: Tk GUI interface to remind
 Remind allows you to remind yourself of upcoming events and
 appointments.  Each reminder or alarm can consist of a message sent
 to standard output, or a program to be executed.
 .
 It also features: sophisticated date calculation, moon phases,
 sunrise/sunset, Hebrew calendar, alarms, PostScript output, tcl/tk
 front-end and proper handling of holidays.
 .
 Tkremind provides a GUI which allows viewing a calendar and adding or editing
 reminders without learning the syntax of Remind.

Package: tktreectrl
Version: 2.2.8-1
Architecture: armhf
Maintainer: Tcl/Tk Debian Packagers 
Installed-Size: 758
Depends: tk | wish, libc6 (>= 2.13-28), libx11-6
Homepage: http://tktreectrl.sourceforge.net/
Priority: extra
Section: devel
Filename: pool/main/t/tktreectrl/tktreectrl_2.2.8-1_armhf.deb
Size: 270110
SHA256: 656b9568aaa7a19a2d66b733d24ece8020df501db9eeb15f2642300263793453
SHA1: c7ef60541fb211f4d37524594c6015af87b4d65c
MD5sum: 104f6ed5d530bda804625b548f5a6625
Description: flexible listbox widget for Tcl/Tk
 TkTreeCtrl is a multi-column hierarchical listbox widget for the
 Tk GUI toolkit. It can display items that have a parent-child relationship
 with other itemsin a one- or two-dimensional arrangement. Items do also have
 a set of states, which are boolean properties.
 .
 Items may be  spread about one or more columns. For each column of an item
 there is a style associated, which determines how to display the item's
 column taking into account the item's current state set. One column can be
 defined to display the data in a hierarchical structure.

Package: tla
Version: 1.3.5+dfsg-18
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 739
Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), gawk, patch
Recommends: tla-doc
Suggests: gnupg, ssh
Priority: optional
Section: vcs
Filename: pool/main/t/tla/tla_1.3.5+dfsg-18_armhf.deb
Size: 336370
SHA256: 5421357c38b867af676c4ca79d91de5598dcbe1674475cdf9cbd6367a8b94c36
SHA1: fe20ebe4602ef4189ca643f1c4170714a11eb4ce
MD5sum: 843e1e2563756f6db814f3df97eb9887
Description: GNU Arch revision control system
 Arch is a modern replacement for CVS, specifically designed for the
 distributed development. It supports development on branches,
 distributed repositories, changeset-oriented project management,
 and of course, file and directory renaming.

Package: tla-doc
Source: tla
Version: 1.3.5+dfsg-18
Installed-Size: 243
Maintainer: Debian QA Group 
Architecture: all
Size: 51576
SHA256: 4a9ae51b12c726667eb411d400cc04207767929cca31f56f4f8b779f13209c3b
SHA1: c742a93e9fd5910f9e31aee20083e8a10e658d4e
MD5sum: a7dbfc0eed1ae98586cafb23158ab176
Description: GNU Arch revision control system (documentation)
 This package contains the documentation for the GNU arch revision
 control system.
Tag: devel::doc, devel::rcs, role::documentation, suite::gnu
Section: doc
Priority: optional
Filename: pool/main/t/tla/tla-doc_1.3.5+dfsg-18_all.deb

Package: tm-align
Version: 20120507-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 495
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6)
Suggests: pymol, rasmol
Enhances: t-coffee
Homepage: http://zhang.bioinformatics.ku.edu/TM-align/
Priority: optional
Section: science
Filename: pool/main/t/tm-align/tm-align_20120507-1_armhf.deb
Size: 65016
SHA256: 1804c3c610d7a69d8e0c0cf3b6e763c16853097c499dc7a66f5e97117c757815
SHA1: edfcf659d4f94216af3842a2fe7feead40507249
MD5sum: c9aa375a0f656f1d0558484bbae85a72
Description: structual alignment of proteins
 TM-align is a computer algorithm for protein structure alignment using
 dynamic programming. The scoring is performed by the TM-score rotation
 matrix. This is similar to the RMSD in that unaligned portions of the
 structure influence the scoring less than the more structurally conserved
 regions.

Package: tmake
Version: 1.8-1.1
Architecture: armhf
Maintainer: Jan Niehusmann 
Installed-Size: 564
Depends: perl
Suggests: libqt-dev
Priority: optional
Section: devel
Filename: pool/main/t/tmake/tmake_1.8-1.1_armhf.deb
Size: 69264
SHA256: 3b20a22335b8f9d4c949c63f184efc2b8ba459fd5604c4addd711db55cc784b5
SHA1: da4169976667b47d096aab6dc5bcb7c453f58af0
MD5sum: 3b33d636990112ab764306757676d209
Description: a cross-platform makefile tool
 tmake is an easy-to-use tool from Trolltech to create and maintain makefiles
 for software projects.  It can be a painful task to manage makefiles
 manually, especially if you develop for more than one platform or use more
 than one compiler.  tmake automates and streamlines this process and lets you
 spend your valuable time on writing code, not makefiles.
 .
 tmake is mainly used to generate makefiles for applications that use the Qt
 toolkit.

Package: tmexpand
Version: 0.1.2.0-3
Installed-Size: 232
Maintainer: Debian JED Group 
Architecture: all
Depends: jed | xjed
Size: 28162
SHA256: 19fe63935538258240242eaf924497471e5b61c450a652cc91767840b061cc61
SHA1: 17748711e1dd123d7e8bc06bee81479ffb8773e3
MD5sum: 0cc16b1deaf717d46ec004db5e7b189e
Description: text-macro processing script to create HTML and SGML documents
 tmexpand is a text-macro processing script written in S-Lang to
 facilitate the creation of text, HTML and SGML documents.
Tag: interface::commandline, role::program, scope::utility, use::typesetting,
 works-with::text
Section: text
Priority: optional
Filename: pool/main/t/tmexpand/tmexpand_0.1.2.0-3_all.deb

Package: tmispell-voikko
Version: 0.7.1-3
Architecture: armhf
Maintainer: Timo Jyrinki 
Installed-Size: 211
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libglibmm-2.4-1c2a (>= 2.31.22), libncursesw5 (>= 5.6+20070908), libstdc++6 (>= 4.6), libtinfo5, libvoikko1 (>= 2.1), voikko-fi
Suggests: ispell
Conflicts: tmispell, tmispell-soikko
Homepage: http://voikko.sourceforge.net/
Priority: optional
Section: text
Filename: pool/main/t/tmispell-voikko/tmispell-voikko_0.7.1-3_armhf.deb
Size: 84686
SHA256: d98206f551eb6eeb21ec6ecf61acc897790cb9f9430f1038e5dadc9c7d57a8db
SHA1: e3112ed545d04e21fa05e4ed321dc05b56f34c40
MD5sum: d3db9c6ed20411adcb38c476f0f99628
Description: Ispell wrapper which uses Voikko for spell-checking
 Voikko is a Finnish spell-checker and hyphenator based on Malaga and
 Suomi-Malaga.
 .
 Tmispell is an Ispell wrapper that provides an Ispell-like interface for other
 programs that need spelling checker. Many programs (e.g. mail clients, text
 editors, and word processors) use Ispell for spell-checking. This package
 makes it possible for such programs to use Voikko for Finnish spell-checking.
 .
 This package will set up Tmispell so that the command "ispell" actually starts
 "tmispell". The real Ispell will be launched only if Tmispell does not support
 the selected language.

Package: tmpreaper
Version: 1.6.13+nmu1
Architecture: armhf
Maintainer: Paul Slootman 
Installed-Size: 135
Depends: libc6 (>= 2.13-28), debconf (>= 0.5.0) | debconf-2.0
Conflicts: tmpwatch
Replaces: tmpwatch
Priority: optional
Section: admin
Filename: pool/main/t/tmpreaper/tmpreaper_1.6.13+nmu1_armhf.deb
Size: 49384
SHA256: e60170cf3be22e14938699fe8d0e1e9eda649f71d0a0aeeb0fcd9d89ff11cf33
SHA1: 84823474b8d4ef469dc24a2a81d353fb09cd671d
MD5sum: 28762f51a24f97840e495ca4583cec93
Description: cleans up files in directories based on their age
 This package provides a program that can be used to clean out temporary-file
 directories.  It recursively searches the directory, refusing to chdir()
 across symlinks, and removes files that haven't been accessed in a
 user-specified amount of time.  You can specify a set of files to protect
 from deletion with a shell pattern.  It will not remove files owned by the
 process EUID that have the `w' bit clear, unless you ask it to, much like
 `rm -f'.  `tmpreaper' will not remove symlinks, sockets, fifos, or special
 files unless given a command line option enabling it to.
 .
 WARNING:  Please do not run `tmpreaper' on `/'.  There are no protections
 against this written into the program, as that would prevent it from
 functioning the way you'd expect it to in a `chroot(8)' environment.
 .
 The daily tmpreaper run can be configured through /etc/tmpreaper.conf .

Package: tmux
Version: 1.6-2
Architecture: armhf
Maintainer: Karl Ferdinand Ebert 
Installed-Size: 435
Pre-Depends: dpkg (>= 1.15.7.2)
Depends: libc6 (>= 2.8), libevent-2.0-5 (>= 2.0.10-stable), libtinfo5
Homepage: http://tmux.sourceforge.net/
Priority: optional
Section: admin
Filename: pool/main/t/tmux/tmux_1.6-2_armhf.deb
Size: 224278
SHA256: c5cfc82af5c45b8be01107e3f446deb8e9db69b6da84d829c6e41516cba00071
SHA1: 4dda647a0cd7e74fc6bfa50262594260444109d6
MD5sum: a0a7f3736e4ccaf0331c474efbf22deb
Description: terminal multiplexer
 tmux enables a number of terminals (or windows) to be accessed and
 controlled from a single terminal like screen. tmux runs as a
 server-client system. A server is created automatically when necessary
 and holds a number of sessions, each of which may have a number of
 windows linked to it. Any number of clients may connect to a session,
 or the server may be controlled by issuing commands with tmux.
 Communication takes place through a socket, by default placed in /tmp.
 Moreover tmux provides a consistent and well-documented command
 interface, with the same syntax whether used interactively, as a key
 binding, or from the shell. It offers a choice of vim or Emacs key
 layouts.

Package: tmw
Version: 20110911-3
Installed-Size: 1197
Maintainer: Patrick Matthäi 
Architecture: all
Replaces: tmw-data
Depends: mana
Recommends: tmw-music
Conflicts: tmw-data, tmw-dbg
Size: 1067762
SHA256: 2fd67670908717a752f88605b2f522f8f8c699108717aeca29fca100568aec17
SHA1: 2594eb18f0a96567f9602b8c4cb6fb45f4228834
MD5sum: 76a8d2a0009e358007836a720b82b301
Description: The Mana World is a 2D MMORPG
 The Mana World (TMW for short) is an innovative, free and open source MMORPG.
 Besides the official game server, this client can connect to multiple
 community-grown servers, which provide varied environments and further
 challenge. In TMW, the players solve quests, fight monsters, practice skills
 and study magic. Social activities include parties, trading and limited PvP in
 designated areas. While there are no limits to solo play, collaborative
 behaviour such as healing others, fighting together and banding up against
 tougher monsters are rewarded in the game. The Mana World graphics have been
 inspired by 2D pixel art at its prime of the late 1990s, when many RPG
 classics, such as Secret of Mana for the Super Nintendo Entertainment System,
 were released.
Homepage: http://www.themanaworld.org/
Tag: game::mud, role::program, uitoolkit::sdl, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/t/tmw/tmw_20110911-3_all.deb

Package: tmw-music
Version: 0.3-3
Installed-Size: 24905
Maintainer: Patrick Matthäi 
Architecture: all
Recommends: tmw
Size: 25154940
SHA256: 5bf5f675293e887d91781e30ac4f7b7484ac641a528bc5342f9ddb9542b5c7ec
SHA1: d76614a692d0c0abe56a01038f097ffbc0f08f77
MD5sum: d12d60de7ed8dd5a2d70ae0886913777
Description: The Mana World is a 2D MMORPG (music files)
 The Mana World (short TMW) is a serious effort to create an innovative free
 and open source MMORPG. The Mana World uses 2D graphics and aims to create a
 large and diverse interactive world.
 The player's story is built with quests, interaction and fighting against
 monsters and in PvP mode against other players, too. Or you join other partys,
 clans or groups and will contribute them.
 .
 This package contains the music files.
Homepage: http://www.themanaworld.org/
Tag: made-of::audio, role::app-data
Section: games
Priority: optional
Filename: pool/main/t/tmw-music/tmw-music_0.3-3_all.deb

Package: tnat64
Version: 0.05-1
Architecture: armhf
Maintainer: Andrew O. Shadura 
Installed-Size: 107
Depends: libc6 (>= 2.12)
Homepage: http://bitbucket.org/andrew_shadoura/tnat64/
Priority: optional
Section: net
Filename: pool/main/t/tnat64/tnat64_0.05-1_armhf.deb
Size: 29106
SHA256: 994f51e19992bded0f77ad8fda66cf3c931fac196640f5322c1a93f0c82345e3
SHA1: 871bf4bb1fcca9cd6c28023ace9df01dfc0c4d70
MD5sum: 08d4da9fad1c44c8d3f290ea02098f88
Description: IPv4 to NAT64 redirector
 tnat64 provides transparent network access to IPv4 hosts via NAT64
 on IPv6-only hosts. tnat64 intercepts the calls applications make
 to establish TCP connections and transparently proxies them as necessary.
 This allows existing applications with no IPv6 support to still be
 able to reach the network with no need in modifications.

Package: tnef
Version: 1.4.9-1+deb7u3
Architecture: armhf
Maintainer: Kevin Coyner 
Installed-Size: 79
Depends: libc6 (>= 2.13-28)
Recommends: mime-support
Homepage: http://sourceforge.net/projects/tnef
Priority: optional
Section: text
Filename: pool/main/t/tnef/tnef_1.4.9-1+deb7u3_armhf.deb
Size: 51506
SHA256: e5806f029f5ecbd4ef31899eb02f802fa07e2cbe8250a3f34720dcd79be7b56d
SHA1: 651e332bde0cfec9e84116446dae54e84f7f6978
MD5sum: 3b1e5711a4e256c7fcc0edade8dc6ea5
Description: Tool to unpack MIME application/ms-tnef attachments
 TNEF is a program for unpacking MIME attachments of type
 "application/ms-tnef". This is typcially a Microsoft only attachment.
 .
 The TNEF program allows one to unpack the attachments which were
 encapsulated into the TNEF attachment, thus alleviating the need to use
 Microsoft Outlook to view the attachment.

Package: tnftp
Version: 20100108-3
Architecture: armhf
Maintainer: Anibal Monsalve Salazar 
Installed-Size: 351
Depends: libc6 (>= 2.13-28), libtinfo5
Conflicts: lukemftp
Replaces: lukemftp
Homepage: http://en.wikipedia.org/wiki/Tnftp
Priority: optional
Section: net
Filename: pool/main/t/tnftp/tnftp_20100108-3_armhf.deb
Size: 189796
SHA256: fa85e01779f06bd018f274764102f331ccd65fd80b943b6d4a8a40a47de3789d
SHA1: 7314be48725d882cf886c18417bcaf8d08e43d03
MD5sum: 79705f177abe9d6f2870ec4bdaada691
Description: enhanced ftp client
 tnftp is what many users affectionately call the enhanced ftp
 client in NetBSD (http://www.netbsd.org).
 .
 This package is a `port' of the NetBSD ftp client to other systems.
 .
 The enhancements over the standard ftp client in 4.4BSD include:
    * command-line editing within ftp
    * command-line fetching of URLS, including support for:
        - http proxies (c.f: $http_proxy, $ftp_proxy)
        - authentication
    * context sensitive command and filename completion
    * dynamic progress bar
    * IPv6 support (from the WIDE project)
    * modification time preservation
    * paging of local and remote files, and of directory listings
      (c.f: `lpage', `page', `pdir')
    * passive mode support, with fallback to active mode
    * `set option' override of ftp environment variables
    * TIS Firewall Toolkit gate ftp proxy support (c.f: `gate')
    * transfer-rate throttling (c.f: `-T', `rate')

Package: tntdb-mysql3
Source: tntdb (1.2-2)
Version: 1.2-2+b1
Architecture: armhf
Maintainer: Kari Pahula 
Installed-Size: 366
Depends: libc6 (>= 2.13-28), libcxxtools8, libgcc1 (>= 1:4.4.0), libmysqlclient18 (>= 5.5.24+dfsg-1), libstdc++6 (>= 4.4.0), libtntdb3
Homepage: http://www.tntnet.org/tntdb.html
Priority: optional
Section: libs
Filename: pool/main/t/tntdb/tntdb-mysql3_1.2-2+b1_armhf.deb
Size: 99818
SHA256: 084539647627eb9565afbbf4e5f8fdb2173bf92c5c0940ada0ac67f110e233e9
SHA1: 5b5594b374b36f702f62285e746794c82471e695
MD5sum: e1154fa33a661cdf81bf14b158cde3ee
Description: MySQL backend for tntdb database access library
 This library provides a thin, database independent layer over an SQL
 database.  It lacks complex features like schema queries or wrapper
 classes like active result sets or data bound controls.  Instead you
 get to access the database directly with SQL queries.  The library is
 suited for application programming, not for writing generic database
 handling tools.
 .
 This file has the necessary files for MySQL support.

Package: tntdb-postgresql3
Source: tntdb (1.2-2)
Version: 1.2-2+b1
Architecture: armhf
Maintainer: Kari Pahula 
Installed-Size: 326
Depends: libc6 (>= 2.13-28), libcxxtools8, libgcc1 (>= 1:4.4.0), libpq5, libstdc++6 (>= 4.4.0), libtntdb3
Homepage: http://www.tntnet.org/tntdb.html
Priority: optional
Section: libs
Filename: pool/main/t/tntdb/tntdb-postgresql3_1.2-2+b1_armhf.deb
Size: 88988
SHA256: b7a7f855051b42d2cfa1753a7a7a21f00a7efb1872a29c3d84767e2b81ceffa9
SHA1: 7452c2c81d6d758677933afd38bd17cc63b490a9
MD5sum: fe1c9a0935757fac30a3fe1aa8fe0c30
Description: PostgreSQL backend for tntdb database access library
 This library provides a thin, database independent layer over an SQL
 database.  It lacks complex features like schema queries or wrapper
 classes like active result sets or data bound controls.  Instead you
 get to access the database directly with SQL queries.  The library is
 suited for application programming, not for writing generic database
 handling tools.
 .
 This file has the necessary files for PostgreSQL support.

Package: tntdb-sqlite3
Source: tntdb (1.2-2)
Version: 1.2-2+b1
Architecture: armhf
Maintainer: Kari Pahula 
Installed-Size: 245
Depends: libc6 (>= 2.13-28), libcxxtools8, libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libtntdb3
Homepage: http://www.tntnet.org/tntdb.html
Priority: optional
Section: libs
Filename: pool/main/t/tntdb/tntdb-sqlite3_1.2-2+b1_armhf.deb
Size: 66874
SHA256: 2b8627053bb4e370840409b80bd8f6314f2cd8b7dd8b345a5ce03740802bf091
SHA1: 8558421ef451f900f50b9df1a1c4f00dd57cea33
MD5sum: 618ea5a4122727d8e4c9f0fc3c99151c
Description: SQLite backend for tntdb database access library
 This library provides a thin, database independent layer over an SQL
 database.  It lacks complex features like schema queries or wrapper
 classes like active result sets or data bound controls.  Instead you
 get to access the database directly with SQL queries.  The library is
 suited for application programming, not for writing generic database
 handling tools.
 .
 This file has the necessary files for SQLite support.

Package: tntnet
Version: 2.1-2+deb7u1
Architecture: armhf
Maintainer: Kari Pahula 
Installed-Size: 148
Depends: libc6 (>= 2.13-28), libcxxtools8, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libtntnet10, net-tools, tntnet-runtime (= 2.1-2+deb7u1)
Suggests: tntnet-doc, libtntnet-dev
Provides: httpd, httpd-cgi
Homepage: http://www.tntnet.org/
Priority: extra
Section: web
Filename: pool/main/t/tntnet/tntnet_2.1-2+deb7u1_armhf.deb
Size: 54010
SHA256: 390dd84d0a40a8342c86209553fccaa5a3589dfd371305826eac6baf29d0dc6e
SHA1: 23aac495d07e69aafa7c3f3dc997f63f7d585b74
MD5sum: bde091bcc39d4fcdfdeb13a11af246ba
Description: modular, multithreaded web application server for C++
 Tntnet has a template-language called ecpp similar to PHP, JSP or
 Mason, where you can embed c++ code inside a HTML page to generate
 active content. The ecpp files are precompiled to C++ classes called
 components and compiled and linked into a shared library. This process
 is done at compiletime. The web server Tntnet needs only the compiled
 component library.
 .
 Because the web applications are compiled into native code, they are
 very fast and compact.
 .
 Components can call other components. So you can create building blocks
 of HTML parts and call them in other pages like subprocesses.
 .
 Requests are parsed by tntnet and the request information is easily
 accessible to the components. It supports GET and POST parameters and
 MIME multipart requests for file upload.
 .
 The template language has also support for internationalized
 applications. You can easily create web applications for different
 languages.
 .
 Other features are: cookies, HTTP upload, automatic request parameter
 parsing and conversion, automatic session management, scoped variables
 (application, request and session), internationalisation and keep-alive.
 .
 Logging is done through cxxtools, which provides a unique API for
 log4cpp, log4cxx or simple logging to files or console.
 .
 Tntnet is fully multithreaded and much work has been gone into making
 it scalable. It uses a dynamic pool of worker threads, which answer
 requests from HTTP clients.

Package: tntnet-demos
Source: tntnet
Version: 2.1-2+deb7u1
Architecture: armhf
Maintainer: Kari Pahula 
Installed-Size: 697
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libtntnet10, libjs-jquery
Recommends: tntnet
Homepage: http://www.tntnet.org/
Priority: extra
Section: doc
Filename: pool/main/t/tntnet/tntnet-demos_2.1-2+deb7u1_armhf.deb
Size: 253324
SHA256: bcc0a3e6454c74aed2a677e0c7856bb66352107f7b0b42e5e6a00d8a5eb44991
SHA1: f7ce744f204c9d2ccdf2d764379fb8dddcc86b82
MD5sum: 9d8df6d48bfa82fcab807253d4dbb120
Description: demo web applications for Tntnet
 Tntnet has a template-language called ecpp similar to PHP, JSP or
 Mason, where you can embed c++ code inside a HTML page to generate
 active content. The ecpp files are precompiled to C++ classes called
 components and compiled and linked into a shared library. This process
 is done at compiletime. The web server Tntnet needs only the compiled
 component library.
 .
 Because the web applications are compiled into native code, they are
 very fast and compact.
 .
 Components can call other components. So you can create building blocks
 of HTML parts and call them in other pages like subprocesses.
 .
 Requests are parsed by tntnet and the request information is easily
 accessible to the components. It supports GET and POST parameters and
 MIME multipart requests for file upload.
 .
 The template language has also support for internationalized
 applications. You can easily create web applications for different
 languages.
 .
 Other features are: cookies, HTTP upload, automatic request parameter
 parsing and conversion, automatic session management, scoped variables
 (application, request and session), internationalisation and keep-alive.
 .
 Logging is done through cxxtools, which provides a unique API for
 log4cpp, log4cxx or simple logging to files or console.
 .
 Tntnet is fully multithreaded and much work has been gone into making
 it scalable. It uses a dynamic pool of worker threads, which answer
 requests from HTTP clients.

Package: tntnet-doc
Source: tntnet
Version: 2.1-2+deb7u1
Installed-Size: 1274
Maintainer: Kari Pahula 
Architecture: all
Suggests: tntnet-demos
Size: 1106844
SHA256: ea581f5725c31f56cc489d7b156c366b0b59e2669689c38d63c5a014098ec597
SHA1: 54ae3f74e94b5d718b5e0ef91f27bc5c9e29e229
MD5sum: b09833d408d5025805dee74f47823b32
Description: documentation for Tntnet
 Tntnet has a template-language called ecpp similar to PHP, JSP or
 Mason, where you can embed c++ code inside a HTML page to generate
 active content. The ecpp files are precompiled to C++ classes called
 components and compiled and linked into a shared library. This process
 is done at compiletime. The web server Tntnet needs only the compiled
 component library.
 .
 Because the web applications are compiled into native code, they are
 very fast and compact.
 .
 Components can call other components. So you can create building blocks
 of HTML parts and call them in other pages like subprocesses.
 .
 Requests are parsed by tntnet and the request information is easily
 accessible to the components. It supports GET and POST parameters and
 MIME multipart requests for file upload.
 .
 The template language has also support for internationalized
 applications. You can easily create web applications for different
 languages.
 .
 Other features are: cookies, HTTP upload, automatic request parameter
 parsing and conversion, automatic session management, scoped variables
 (application, request and session), internationalisation and keep-alive.
 .
 Logging is done through cxxtools, which provides a unique API for
 log4cpp, log4cxx or simple logging to files or console.
 .
 Tntnet is fully multithreaded and much work has been gone into making
 it scalable. It uses a dynamic pool of worker threads, which answer
 requests from HTTP clients.
Homepage: http://www.tntnet.org/
Tag: devel::doc, devel::examples, devel::lang:c++, devel::web,
 role::documentation
Section: doc
Priority: extra
Filename: pool/main/t/tntnet/tntnet-doc_2.1-2+deb7u1_all.deb

Package: tntnet-runtime
Source: tntnet
Version: 2.1-2+deb7u1
Architecture: armhf
Maintainer: Kari Pahula 
Installed-Size: 103
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libtntnet10
Conflicts: tntnet (<< 1.6.3-3)
Replaces: tntnet-dev (<< 1.6.3-3)
Homepage: http://www.tntnet.org/
Priority: extra
Section: web
Filename: pool/main/t/tntnet/tntnet-runtime_2.1-2+deb7u1_armhf.deb
Size: 38726
SHA256: 69c9e9e0d0fa30ca630c2faca5a8610c0e3fede527c6fa506ee447892036e2d3
SHA1: e62fef5e9d662b2ceb31012a7cbb824dbc59efe6
MD5sum: 66600eebd3906c334de0c130c0740046
Description: Tntnet runtime system
 This package has the runtime system for tntnet web server.

Package: tofrodos
Version: 1.7.9.debian.1-1
Architecture: armhf
Maintainer: Alexander Reichle-Schmehl 
Installed-Size: 64
Depends: libc6 (>= 2.4)
Conflicts: sysutils (<= 2.0.0-1)
Homepage: http://www.thefreecountry.com/tofrodos/index.shtml
Priority: optional
Section: utils
Filename: pool/main/t/tofrodos/tofrodos_1.7.9.debian.1-1_armhf.deb
Size: 21180
SHA256: 8f0650d05c8760080701f2c4935e45a914f5d5b98c9e8452704266098ea13a9a
SHA1: 4b505ad46e2806556742e4312ecd6d17a7ed79eb
MD5sum: d49ae18554c25dd46c09b3b2981d4ae1
Description: Converts DOS <-> Unix text files, alias tofromdos
 DOS text files traditionally have CR/LF (carriage return/line feed) pairs
 as their new line delimiters while Unix text files traditionally have
 LFs (line feeds) to terminate each line.
 .
 Tofrodos comprises one program, "fromdos" alias "todos", which converts
 text files to and from these formats. Use "fromdos" to convert DOS
 text files to the Unix format, and "todos" to convert Unix text files
 to the DOS format.

Package: toga2
Version: 1.4.1.1SE1-4
Architecture: armhf
Maintainer: Oliver Korff 
Installed-Size: 166
Depends: libc6 (>= 2.4)
Recommends: polyglot, xboard, scid
Homepage: http://alpha.uhasselt.be/Research/Algebra/Toga/posix_versions/
Priority: optional
Section: games
Filename: pool/main/t/toga2/toga2_1.4.1.1SE1-4_armhf.deb
Size: 84886
SHA256: ccd385482ef943872ddc0ac5343d0ca6b691891b59125ebb28d75521664adb57
SHA1: e2b3bd42928c9e57ad57ddb67cc1421c5abbe61d
MD5sum: 6cd3607d1af320836b5a20f9bbabab1a
Description: computer chess engine, calculates chess moves
 Advancement of the strong chess engine fruit, it is
 even stronger, and will be further developed. As communication
 protocol it uses the UCI (universal chess interface), so you
 need an UCI capable frontend to play against it. It has a Chess
 strength of about 2800 ELO.

Package: togl-demos
Source: togl
Version: 1.7-12
Installed-Size: 284
Maintainer: Christophe Trophime 
Architecture: all
Depends: libtogl1 (>= 1.7), libtogl1 (<< 1.7+1~)
Size: 73714
SHA256: ea932b192a70ba054782b0109999d478a87a93f267e5319ef1f2d3df7f471e30
SHA1: 34875fa45bf8ae7993e5b3ff2b6666144973426d
MD5sum: b1a19416f6f775b27bb080feb09d60cb
Description: a Tk OpenGL widget - demos files
 Togl is a Tk widget for OpenGL rendering. Togl was originally
 based on OGLTK, written by Benjamin Bederson at the University
 of New Mexico. Togl's main features
 are:
 .
  * unifies Microsoft Windows, X11 (Linux/IRIX/...), and Mac OS X
    Aqua support
  * support for requesting stencil, accumulation, alpha buffers, etc.
  * multiple OpenGL drawing windows
  * simple stereo rendering support
  * simple, portable font support
  * color-index mode support including color allocation functions
  * overlay plane support
  * OpenGL extension testing from Tcl
  * Tcl Extension Architecture (TEA) 3 compliant
 .
 This package contains demos.
Homepage: http://togl.sourceforge.net/
Section: debug
Priority: extra
Filename: pool/main/t/togl/togl-demos_1.7-12_all.deb

Package: toilet
Version: 0.3-1
Architecture: armhf
Maintainer: Sam Hocevar 
Installed-Size: 63
Depends: libc6 (>= 2.13-28), libcaca0 (>= 0.99.beta17-1), toilet-fonts
Suggests: figlet
Conflicts: figlet (<= 2.2.1-4)
Replaces: caca-utils
Priority: optional
Section: text
Filename: pool/main/t/toilet/toilet_0.3-1_armhf.deb
Size: 21894
SHA256: 6e75ca270a9ded3f45c420d2c832e78ff63deb728e0d1071f57eac47712180e7
SHA1: bc0310c9b931397594881826b64dae4a8b09d2b0
MD5sum: 19bfcd35674a61ac268a6d9ed517ef82
Description: display large colourful characters in text mode
 TOIlet prints text using large characters made of smaller characters.
 It is similar in many ways to FIGlet with additional features such as
 Unicode handling, colour fonts, filters and various export formats.
 .
 TOIlet can open FIGlet fonts and is mostly commandline-compatible with it.

Package: toilet-fonts
Source: toilet
Version: 0.3-1
Installed-Size: 799
Maintainer: Sam Hocevar 
Architecture: all
Replaces: caca-utils
Suggests: toilet
Size: 731090
SHA256: 6211552b00c3eeb690113b00e74934f24885fe41834cd21a5e3a4ae6f32aa386
SHA1: 07cfa8d1d7d8db7a56747fed4fb033056de2fb89
MD5sum: b973c071e81405051d2de4763e0481a2
Description: collection of TOIlet fonts
 TOIlet prints text using large characters made of smaller characters.
 It is similar in many ways to FIGlet with additional features such as
 Unicode handling, colour fonts, filters and various export formats.
 .
 This package contains a set of TOIlet-specific fonts.
Tag: made-of::font, role::app-data, role::data
Section: fonts
Priority: optional
Filename: pool/main/t/toilet/toilet-fonts_0.3-1_all.deb

Package: tokyocabinet-bin
Source: tokyocabinet
Version: 1.4.47-2
Architecture: armhf
Maintainer: Tobias Frost 
Installed-Size: 662
Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libtokyocabinet9 (>= 1.4.47), zlib1g (>= 1:1.1.4)
Homepage: http://fallabs.com/tokyocabinet/
Priority: optional
Section: utils
Filename: pool/main/t/tokyocabinet/tokyocabinet-bin_1.4.47-2_armhf.deb
Size: 308086
SHA256: aa23f78c66000ae898488e87f1e35f1fc1612f7ebd83d1f6c88bf4ded22f545c
SHA1: 5b0584a87ad7643b3b06fba4c9b229dee2500a01
MD5sum: 83815df8e41d0f1ef3a884d6cb5401c7
Description: Tokyo Cabinet Database Utilities
 Tokyo Cabinet is an efficient database library like GDBM and NDBM.
 It features hash database and B+ tree database and is developed as the
 successor of QDBM, for the purpose of the following three points: higher
 processing speed, smaller size of a database file, and simpler API.
 .
 This is the Tokyo Cabinet Database utilities package.

Package: tokyocabinet-doc
Source: tokyocabinet
Version: 1.4.47-2
Installed-Size: 1376
Maintainer: Tobias Frost 
Architecture: all
Size: 532750
SHA256: 10beb0a431d2fde3b43a97e734651884b431653e6e09df609700833dad6fa08c
SHA1: 30f378d46dd7872e30f7394b4ef5877acddf5001
MD5sum: e3a4ee1047a4d773d3ee7e4c187ab763
Description: Tokyo Cabinet Database Documentation
 Tokyo Cabinet is an efficient database library like GDBM and NDBM.
 It features hash database and B+ tree database and is developed as the
 successor of QDBM, for the purpose of the following three points: higher
 processing speed, smaller size of a database file, and simpler API.
 .
 This package contains documentation for all of the API's and utilities
 provided by Tokyo Cabinet.
Homepage: http://fallabs.com/tokyocabinet/
Tag: devel::doc, devel::lang:sql, made-of::html, made-of::pdf,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/tokyocabinet/tokyocabinet-doc_1.4.47-2_all.deb

Package: tokyotyrant
Version: 1.1.40-4.1
Architecture: armhf
Maintainer: Örjan Persson 
Installed-Size: 234
Depends: libc6 (>= 2.13-28), liblua5.1-0, libtokyocabinet9 (>= 1.4.47), libtokyotyrant3 (= 1.1.40-4.1), adduser, lsb-base (>= 3.0-6)
Recommends: tokyotyrant-utils
Homepage: http://1978th.net/tokyotyrant/
Priority: optional
Section: misc
Filename: pool/main/t/tokyotyrant/tokyotyrant_1.1.40-4.1_armhf.deb
Size: 72454
SHA256: 7075283036b2c03b5f525d4062aeb26696331426b538cf8a3965aee55acfb7ba
SHA1: 9899c8eed8258a547baff69f1c64a23db1347905
MD5sum: f7e482c91e4a1ea2c983e54b9b421e30
Description: Tokyo Tyrant: network interface to Tokyo Cabinet
 Tokyo Tyrant is a network interface to the DBM Tokyo Cabinet. You might bother
 in the case where multiple processes share the same database or where remote
 processes access the database. Thus, Tokyo Tyrant is provided for concurrent
 and remote connections to Tokyo Cabinet.
 .
 This is the Tokyo Tyrant server package.

Package: tokyotyrant-dbg
Source: tokyotyrant
Version: 1.1.40-4.1
Architecture: armhf
Maintainer: Örjan Persson 
Installed-Size: 613
Depends: tokyotyrant (= 1.1.40-4.1)
Homepage: http://1978th.net/tokyotyrant/
Priority: extra
Section: debug
Filename: pool/main/t/tokyotyrant/tokyotyrant-dbg_1.1.40-4.1_armhf.deb
Size: 236406
SHA256: 8dfca06a343d62248a6aaccaaa931e93c61ac8d11eb90fc91e1b3150f44f8190
SHA1: b2dce0a5b47af6a1b88cc2214f945ff1989709df
MD5sum: 0f79d6e0fb6403a39ac78065ea471ac8
Description: Tokyo Tyrant debugging symbols
 Tokyo Tyrant is a network interface to the DBM Tokyo Cabinet. You might bother
 in the case where multiple processes share the same database or where remote
 processes access the database. Thus, Tokyo Tyrant is provided for concurrent
 and remote connections to Tokyo Cabinet.
 .
 This is the debugging symbols for Tokyo Tyrant.

Package: tokyotyrant-doc
Source: tokyotyrant
Version: 1.1.40-4.1
Installed-Size: 180
Maintainer: Örjan Persson 
Architecture: all
Size: 30818
SHA256: 653a167859ddef6e2aa380cbc9a8cc7f6ce738e6aa9b2c45a1ca813f8f844394
SHA1: d13ea13df84aebc4f17ab793830699876c7f3bb4
MD5sum: 93b8c6c9f7ebadb96315efd591206329
Description: Tokyo Tyrant documentation
 Tokyo Tyrant is a network interface to the DBM Tokyo Cabinet. You might bother
 in the case where multiple processes share the same database or where remote
 processes access the database. Thus, Tokyo Tyrant is provided for concurrent
 and remote connections to Tokyo Cabinet.
 .
 This is the documentation for Tokyo Tyrant.
Homepage: http://1978th.net/tokyotyrant/
Tag: role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/tokyotyrant/tokyotyrant-doc_1.1.40-4.1_all.deb

Package: tokyotyrant-utils
Source: tokyotyrant
Version: 1.1.40-4.1
Architecture: armhf
Maintainer: Örjan Persson 
Installed-Size: 147
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblua5.1-0, libtokyocabinet9 (>= 1.4.47), libtokyotyrant3 (= 1.1.40-4.1)
Homepage: http://1978th.net/tokyotyrant/
Priority: optional
Section: utils
Filename: pool/main/t/tokyotyrant/tokyotyrant-utils_1.1.40-4.1_armhf.deb
Size: 57796
SHA256: 33dcb6afb6b47b3f2a83fc266c39d6ca484eaa2967c84d2ed47dbf8995aebeb4
SHA1: 5b93a8d9bf348c587c732a10526328056f5e352c
MD5sum: 6645563ded1f6cb8b32268662bef1246
Description: Tokyo Tyrant utilities
 Tokyo Tyrant is a network interface to the DBM Tokyo Cabinet. You might bother
 in the case where multiple processes share the same database or where remote
 processes access the database. Thus, Tokyo Tyrant is provided for concurrent
 and remote connections to Tokyo Cabinet.
 .
 This is the Tokyo Tyrant utility package. It contains tcrmgr and ttulmgr among
 others.

Package: tomatoes
Version: 1.55-5
Architecture: armhf
Maintainer: Bart Martens 
Installed-Size: 206
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), tomatoes-data (= 1.55-5)
Homepage: http://tomatoes.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/t/tomatoes/tomatoes_1.55-5_armhf.deb
Size: 83184
SHA256: 2e929b8d2afd8a2df575a4a7d0c1627067d4c762324ddccdd167911207115cd6
SHA1: b54948b4543cd69ac4cb495d376938b8eda354d4
MD5sum: f1a304ceb4388a11456a95c2374e5175
Description: I Have No Tomatoes - tomato smashing game
 I Have No Tomatoes is an extreme leisure time activity idea of which
 culminates in the following question: How many tomatoes can you smash in ten
 short minutes? If you have the time to spare, this game has the vegetables
 just waiting to be eliminated!

Package: tomatoes-data
Source: tomatoes
Version: 1.55-5
Installed-Size: 10465
Maintainer: Bart Martens 
Architecture: all
Size: 9150952
SHA256: 822616feb0de2e5540b955f726ceb7d492fc6710691e0fd8e8aa80f2902fffaa
SHA1: 8c2ce0574ade8e3d26a635f5721b4f994fe1ea83
MD5sum: e3b94ce48e6a398b2f03d4bdd62592eb
Description: I Have No Tomatoes - tomato smashing game
 I Have No Tomatoes is an extreme leisure time activity idea of which
 culminates in the following question: How many tomatoes can you smash in ten
 short minutes? If you have the time to spare, this game has the vegetables
 just waiting to be eliminated!
 .
 This package contains the architecture independent files.
Homepage: http://tomatoes.sourceforge.net/
Tag: role::app-data, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/t/tomatoes/tomatoes-data_1.55-5_all.deb

Package: tomboy
Version: 1.10.0-2
Architecture: armhf
Maintainer: Debian CLI Applications Team 
Installed-Size: 10480
Depends: mono-runtime (>= 2.10.1), libc6 (>= 2.13-28), libdbus-glib1.0-cil (>= 0.5), libdbus1.0-cil (>= 0.7), libgconf2.0-cil (>= 2.24.0), libglib2.0-0 (>= 2.32.3), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgmime2.6-cil (>= 2.6.0), libgtk2.0-0 (>= 2.24.0), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libgtkspell0, libmono-addins-gui0.2-cil (>= 0.6), libmono-addins0.2-cil (>= 0.6), libmono-cairo4.0-cil (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7), libproxy0 (>= 0.2.3), gconf2 (>= 2.28.1-2), libatk1.0-0 (>= 1.12.4), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6
Suggests: evolution, tasque
Homepage: http://www.gnome.org/projects/tomboy/
Priority: optional
Section: gnome
Filename: pool/main/t/tomboy/tomboy_1.10.0-2_armhf.deb
Size: 3657898
SHA256: dfd689ebe168869f48d3276636d21af9ced6adfc9e95492c297533a5758fa1ec
SHA1: bbcee4d86482f493351c5611dde5dec3fae9b762
MD5sum: eadbb32aa62cb47450b80f288a48d425
Description: desktop note taking program using Wiki style links
 Tomboy is a desktop note-taking application which is simple and easy to
 use. It lets you organise your notes intelligently by allowing you to
 easily link ideas together with Wiki style interconnects.

Package: tomboy-latex
Version: 0.5-4
Installed-Size: 18
Maintainer: Debian CLI Applications Team 
Architecture: all
Depends: libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-corlib4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.7), tomboy (>= 0.7), texlive-latex-base, texlive-base-bin, imagemagick
Size: 9732
SHA256: bd139cbe9d14c3fe398e10c65b356ecab2a67079d21f32f1612ff15e9a0788a2
SHA1: acdb98ec7279a5d3ab9349cb6b8759bfa5e0a9c7
MD5sum: acc52d82d3fe26cf519efd216f704653
Description: LaTeX plugin for Tomboy
 Tomboy-LaTeX is a plugin for the desktop note-taking application Tomboy.
 .
 It automatically converts LaTeX math code enclosed in \[...\] into inline
 images. Clicking on the image or moving the cursor over the image reveals the
 markup again.
Homepage: http://www.reitwiessner.de/programs/tomboy-latex.html
Tag: role::plugin, uitoolkit::gtk
Section: gnome
Priority: optional
Filename: pool/main/t/tomboy-latex/tomboy-latex_0.5-4_all.deb

Package: tomcat6
Version: 6.0.45+dfsg-1~deb7u5
Installed-Size: 366
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: tomcat6-common (>= 6.0.45+dfsg-1~deb7u5), ucf, adduser, debconf (>= 0.5) | debconf-2.0
Suggests: tomcat6-docs (>= 6.0.45+dfsg-1~deb7u5), tomcat6-admin (>= 6.0.45+dfsg-1~deb7u5), tomcat6-examples (>= 6.0.45+dfsg-1~deb7u5), tomcat6-user (>= 6.0.45+dfsg-1~deb7u5), libtcnative-1
Size: 52280
SHA256: 63d1e796868318832b6529c2bc650c7a17d789c106a5ad10ec0125d3dea6fd64
SHA1: 3f09d1ae746086141c97e516dd64d8bb79c37ffa
MD5sum: f1ddbb4b57711b4e22ca49d82137d436
Description: Servlet and JSP engine
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Sun Microsystems, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains only the startup scripts for the system-wide daemon.
 No documentation or web applications are included here, please install
 the tomcat6-docs and tomcat6-examples packages if you want them.
 Install the authbind package if you need to use Tomcat on ports 1-1023.
 Install tomcat6-user instead of this package if you don't want Tomcat to
 start as a service.
Homepage: http://tomcat.apache.org
Recommends: authbind
Section: web
Priority: optional
Filename: pool/main/t/tomcat6/tomcat6_6.0.45+dfsg-1~deb7u5_all.deb

Package: tomcat6-admin
Source: tomcat6
Version: 6.0.45+dfsg-1~deb7u5
Installed-Size: 186
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: tomcat6-common (>= 6.0.45+dfsg-1~deb7u5)
Size: 51418
SHA256: 372080b74692f6edaa72d05faa749ffeffba143952aad785e39541cae6dec5fc
SHA1: b52432450374f6080cd4ec7c4539056e5a47cd0e
MD5sum: 9bd9959a6682b8a5d78c2048914f00d0
Description: Servlet and JSP engine -- admin web applications
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Sun Microsystems, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains the administrative web interfaces.
Homepage: http://tomcat.apache.org
Section: web
Priority: optional
Filename: pool/main/t/tomcat6/tomcat6-admin_6.0.45+dfsg-1~deb7u5_all.deb

Package: tomcat6-common
Source: tomcat6
Version: 6.0.45+dfsg-1~deb7u5
Installed-Size: 128
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: libtomcat6-java (>= 6.0.45+dfsg-1~deb7u5), default-jre-headless | java7-runtime-headless | java7-runtime | java6-runtime-headless | java6-runtime | java5-runtime
Size: 58646
SHA256: 052eff666a75ca1ec597f80529dc9b0178b9dee7ba5d1f081cbdb802688c2deb
SHA1: 6169df3d19febdee57eb9579c620e76deb2811a3
MD5sum: 5bf8271b2e13f3b10e02c4fe594ecc11
Description: Servlet and JSP engine -- common files
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Sun Microsystems, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains common files needed by the tomcat6 and tomcat6-user
 packages (Tomcat 6 scripts and libraries).
Homepage: http://tomcat.apache.org
Section: web
Priority: optional
Filename: pool/main/t/tomcat6/tomcat6-common_6.0.45+dfsg-1~deb7u5_all.deb

Package: tomcat6-docs
Source: tomcat6
Version: 6.0.45+dfsg-1~deb7u5
Installed-Size: 3099
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: tomcat6-common (>= 6.0.45+dfsg-1~deb7u5)
Size: 605218
SHA256: 66320ca413df67f96fe38bd313e394dabc76279b309d45ebbf9d95521bbae8b6
SHA1: dbd3559a3c13515fec8c37504da0a0e50585c78d
MD5sum: 7f35da51030c71a86736dfa360ddb54b
Description: Servlet and JSP engine -- documentation
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Sun Microsystems, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains the online documentation web application.
Homepage: http://tomcat.apache.org
Section: doc
Priority: optional
Filename: pool/main/t/tomcat6/tomcat6-docs_6.0.45+dfsg-1~deb7u5_all.deb

Package: tomcat6-examples
Source: tomcat6
Version: 6.0.45+dfsg-1~deb7u5
Installed-Size: 893
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: tomcat6-common (>= 6.0.45+dfsg-1~deb7u5)
Size: 166398
SHA256: e19d801c883159e243c6c22e17f2451c9a10a254cb6988688d910c5e9d10c14e
SHA1: 2fcfd1bc0cc58c844e65e2494cc7aca792cc41a1
MD5sum: 863b5e17603de7c7fa420b3b9b386239
Description: Servlet and JSP engine -- example web applications
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Sun Microsystems, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains the default Tomcat example webapps.
Homepage: http://tomcat.apache.org
Section: web
Priority: optional
Filename: pool/main/t/tomcat6/tomcat6-examples_6.0.45+dfsg-1~deb7u5_all.deb

Package: tomcat6-extras
Source: tomcat6
Version: 6.0.45+dfsg-1~deb7u5
Installed-Size: 48
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: tomcat6-common (>= 6.0.45+dfsg-1~deb7u5)
Size: 16066
SHA256: 3a88e9b912559436b7732aac5d113b5caa9031390e567698eac14c88365b4532
SHA1: 1d469f13d55f5f8cbefabc3e38c25234191c3cc0
MD5sum: 2e0a168b956221d9efc9a9d3f5fed1b3
Description: Servlet and JSP engine -- additional components
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Sun Microsystems, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains additional ("extra") component libraries.
 (Currently only catalina-jmx-remote.jar.)
Homepage: http://tomcat.apache.org
Section: java
Priority: optional
Filename: pool/main/t/tomcat6/tomcat6-extras_6.0.45+dfsg-1~deb7u5_all.deb

Package: tomcat6-user
Source: tomcat6
Version: 6.0.45+dfsg-1~deb7u5
Installed-Size: 261
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: tomcat6-common (>= 6.0.45+dfsg-1~deb7u5), netcat
Suggests: tomcat6-docs (>= 6.0.45+dfsg-1~deb7u5), tomcat6-admin (>= 6.0.45+dfsg-1~deb7u5), tomcat6-examples (>= 6.0.45+dfsg-1~deb7u5), tomcat6 (>= 6.0.45+dfsg-1~deb7u5)
Size: 41936
SHA256: 57d609f497883645bae86771432640a2de09fecf4b67dc27aef8134640085585
SHA1: ab08dc251017dab93f6399b92fda735dc946ca92
MD5sum: 83ad6f9f361cdd273ae43c4784a11f64
Description: Servlet and JSP engine -- tools to create user instances
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Sun Microsystems, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains files needed to create a user Tomcat instance.
 This user Tomcat instance can be started and stopped using the scripts
 provided in the Tomcat instance directory.
Homepage: http://tomcat.apache.org
Section: web
Priority: optional
Filename: pool/main/t/tomcat6/tomcat6-user_6.0.45+dfsg-1~deb7u5_all.deb

Package: tomcat7
Version: 7.0.28-4+deb7u18
Installed-Size: 358
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: tomcat7-common (>= 7.0.28-4+deb7u18), ucf, adduser, debconf (>= 0.5) | debconf-2.0
Suggests: tomcat7-docs (>= 7.0.28-4+deb7u18), tomcat7-admin (>= 7.0.28-4+deb7u18), tomcat7-examples (>= 7.0.28-4+deb7u18), tomcat7-user (>= 7.0.28-4+deb7u18), libtcnative-1
Size: 55104
SHA256: c90b2939018e4192cf1afc7883bb66f45d5ec5a071945a0e923c35aab9a3de0e
SHA1: 1f96feb3f005b989c977d6919f37e714de5f9af4
MD5sum: 3b59d0aabee5e7e4d715fd25f44bbd82
Description: Servlet and JSP engine
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Sun Microsystems, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains only the startup scripts for the system-wide daemon.
 No documentation or web applications are included here, please install
 the tomcat7-docs and tomcat7-examples packages if you want them.
 Install the authbind package if you need to use Tomcat on ports 1-1023.
 Install tomcat7-user instead of this package if you don't want Tomcat to
 start as a service.
Homepage: http://tomcat.apache.org
Recommends: authbind
Section: java
Priority: optional
Filename: pool/main/t/tomcat7/tomcat7_7.0.28-4+deb7u18_all.deb

Package: tomcat7-admin
Source: tomcat7
Version: 7.0.28-4+deb7u18
Installed-Size: 191
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: tomcat7-common (>= 7.0.28-4+deb7u18)
Size: 55470
SHA256: f9e1d512f4df39d4ead75006890a8c4d78ef6f24549822a6b2a436bbc44584e1
SHA1: e6fcc40a16f3aad643a9a2f3cf8744cd72f67d20
MD5sum: 4643c902e6b65913f3ebcdde7f07a923
Description: Servlet and JSP engine -- admin web applications
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Sun Microsystems, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains the administrative web interfaces.
Homepage: http://tomcat.apache.org
Section: java
Priority: optional
Filename: pool/main/t/tomcat7/tomcat7-admin_7.0.28-4+deb7u18_all.deb

Package: tomcat7-common
Source: tomcat7
Version: 7.0.28-4+deb7u18
Installed-Size: 141
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: libtomcat7-java (>= 7.0.28-4+deb7u18), default-jre-headless | java6-runtime-headless | java6-runtime | java-6-runtime
Size: 67776
SHA256: e1504e75eb4d75cf56415e9f4f4b766d7246ed4385e5325759d382a9e898eb1c
SHA1: 78346135b56831de5b0683964e2f0c0182cab332
MD5sum: 6b27070d42cf5514fc1abb3a73a489e8
Description: Servlet and JSP engine -- common files
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Sun Microsystems, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains common files needed by the tomcat7 and tomcat7-user
 packages (Tomcat 6 scripts and libraries).
Homepage: http://tomcat.apache.org
Section: java
Priority: optional
Filename: pool/main/t/tomcat7/tomcat7-common_7.0.28-4+deb7u18_all.deb

Package: tomcat7-docs
Source: tomcat7
Version: 7.0.28-4+deb7u18
Installed-Size: 3370
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: tomcat7-common (>= 7.0.28-4+deb7u18)
Size: 657728
SHA256: ca204d6aa65a14aa4da8a74d9145df6d0368895f210a798124f6b12eb4fbd6cf
SHA1: ef20ee83ad5800c9503ebc813f1bbcedc2112e16
MD5sum: 947873f82abb314a10bd1b97fbe97250
Description: Servlet and JSP engine -- documentation
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Sun Microsystems, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains the online documentation web application.
Homepage: http://tomcat.apache.org
Section: doc
Priority: optional
Filename: pool/main/t/tomcat7/tomcat7-docs_7.0.28-4+deb7u18_all.deb

Package: tomcat7-examples
Source: tomcat7
Version: 7.0.28-4+deb7u18
Installed-Size: 1081
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: tomcat7-common (>= 7.0.28-4+deb7u18), libjstl1.1-java, libjakarta-taglibs-standard-java
Size: 208410
SHA256: aec9b07fddd2115139dca292900f58a8d50eb8d132f4cfe74bb34467fa319214
SHA1: 0a7dfab27afd0757724408c8717b2dc1d017cfc1
MD5sum: 8b139f6689f57d562f430b44fea731a7
Description: Servlet and JSP engine -- example web applications
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Sun Microsystems, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains the default Tomcat example webapps.
Homepage: http://tomcat.apache.org
Section: java
Priority: optional
Filename: pool/main/t/tomcat7/tomcat7-examples_7.0.28-4+deb7u18_all.deb

Package: tomcat7-user
Source: tomcat7
Version: 7.0.28-4+deb7u18
Installed-Size: 243
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: tomcat7-common (>= 7.0.28-4+deb7u18), netcat
Suggests: tomcat7-docs (>= 7.0.28-4+deb7u18), tomcat7-admin (>= 7.0.28-4+deb7u18), tomcat7-examples (>= 7.0.28-4+deb7u18), tomcat7 (>= 7.0.28-4+deb7u18)
Size: 42816
SHA256: a61421f49bf9f2c4ae8f61eb237deb529986aca688443cbda144f774063cb31b
SHA1: 4235af51eb94ac5560a65d25d9e32fd869325584
MD5sum: faceefa75dbc655fe4b910fb86ba79ef
Description: Servlet and JSP engine -- tools to create user instances
 Apache Tomcat implements the Java Servlet and the JavaServer Pages (JSP)
 specifications from Sun Microsystems, and provides a "pure Java" HTTP web
 server environment for Java code to run.
 .
 This package contains files needed to create a user Tomcat instance.
 This user Tomcat instance can be started and stopped using the scripts
 provided in the Tomcat instance directory.
Homepage: http://tomcat.apache.org
Section: java
Priority: optional
Filename: pool/main/t/tomcat7/tomcat7-user_7.0.28-4+deb7u18_all.deb

Package: tomoe-doc
Source: tomoe
Version: 0.6.0-1.3
Installed-Size: 275
Maintainer: NIIBE Yutaka 
Architecture: all
Size: 48706
SHA256: d068fcc6c8e36b75611bc7f625316b9cbaa2054175f32bf94c01bd54b6cb586c
SHA1: df3d691147468f8322dfe038a856e09ec66a1898
MD5sum: e512d6a4af66f449411b91f2d60881dd
Description: Handwriting recognition engine (documentation)
 Tomoe is a software which provides a handwriting recognition engine
 and its user interface on desktop environment.
 .
 This package give documentation for tomoe.
Homepage: http://tomoe.sourceforge.jp/
Tag: accessibility::ocr, devel::doc, made-of::html, role::documentation
Section: doc
Priority: extra
Filename: pool/main/t/tomoe/tomoe-doc_0.6.0-1.3_all.deb

Package: tomoyo-tools
Version: 2.5.0-20120414-2
Architecture: armhf
Maintainer: Hideki Yamane 
Installed-Size: 474
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5, libtomoyotools3 (>= 2.5.0-20120414)
Conflicts: tomoyo-ccstools, tomoyo-ccstools1.7
Replaces: tomoyo-ccstools, tomoyo-ccstools1.7
Homepage: http://tomoyo.sourceforge.jp/
Priority: extra
Section: admin
Filename: pool/main/t/tomoyo-tools/tomoyo-tools_2.5.0-20120414-2_armhf.deb
Size: 174572
SHA256: 3927a6b337f9fce15bde956c2d694bdca51b992a3f9349dbaf6250045bf950f8
SHA1: 30c022b273301c2d637c261c1bb26aadd7ecfc48
MD5sum: 8289c8967cd20ec6dd914d36de39abf7
Description: Lightweight and easy-use Mandatory Access Control for Linux
 TOMOYO Linux is Lightweight and Usable Mandatory Access Control with
  - "automatic policy configuring" feature by "LEARNING mode"
  - administrators friendly policy language
  - no need libselinux nor userland program modifications
 .
 TOMOYO Linux consists of patches to Linux kernel and administrative
 utilities, and this package contains its audit daemon and tools.

Package: toonloop
Version: 2.2.0-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 1046
Depends: libavc1394-0 (>= 0.5.3), libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libclutter-1.0-0 (>= 1.10.0), libclutter-gst-1.0-0 (>= 0.10.0), libcogl9 (>= 1.7.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgstreamer0.10-0 (>= 0.10.23), liblo7 (>= 0.26~repack), libraw1394-11, librtmidi1, libstdc++6 (>= 4.6), libxml2 (>= 2.7.4), gstreamer0.10-ffmpeg, gstreamer0.10-plugins-bad, gstreamer0.10-plugins-base, gstreamer0.10-plugins-good, gstreamer0.10-plugins-ugly (>= 0.10.14), gstreamer0.10-x, mencoder
Recommends: gstreamer0.10-tools
Homepage: http://www.toonloop.com/
Priority: extra
Section: video
Filename: pool/main/t/toonloop/toonloop_2.2.0-1_armhf.deb
Size: 351228
SHA256: 18b0d4487650c9451da8e1ce711187d37a5ca095f5ebd235ab88c3f9c15128a4
SHA1: b348ad1183d49e5ef1a2f59957a2f6429a6ec3f2
MD5sum: 474b50d154f660b2499b36fd4e6d9a72
Description: live animation editor
 Toonloop is a live stop-motion animation editor and player. It aims to
 show the creation process to the audience as well as the result of
 the creation. Frame by frame animations are made by adding frames
 one by one to a clip made of many frames. Clips are displayed in a
 never ending loop.
 .
 Toonloop can be controlled using MIDI input, or the Open Sound Control
 protocol. (OSC) Images are saved to the disk in the JPEG format, and
 the clips are saved to movie files.

Package: topal
Version: 75-1
Architecture: armhf
Maintainer: Phil Brooke 
Installed-Size: 1252
Depends: gnupg, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnat-4.6 (>= 4.6.3-4+rpi2), libreadline6 (>= 6.0), less
Recommends: alpine, mime-support, procmail, openssl, gpgsm
Suggests: metamail
Priority: optional
Section: mail
Filename: pool/main/t/topal/topal_75-1_armhf.deb
Size: 576198
SHA256: 63cb5869294a0d1a631d249c8ca8dd53ab0014e3a375784ddab1920402bd828b
SHA1: 5020443aa04a855bf44c289a2bf74898f34bed18
MD5sum: 2e4920563153398c606a644bef2ead61
Description: Links Pine and GnuPG together
 Topal is yet another program that links GnuPG and Pine/Alpine.  It
 offers facilities to encrypt, decrypt, sign, and verify messages.
 Multiple PGP blocks included in the text of a message are processed.
 Decryption and verification output can be cached to reduce the
 number of times the passphrase is entered.  RFC2015/3156 multipart
 messages can be sent and received with help from some scripts,
 procmail, and a patch to Pine/Alpine.  It includes basic support for
 verifying S/MIME multipart/signed messages.  There is a remote
 sending mode for reading email on a distant computer via SSH with
 secret keys on the local computer.  There is a high level of
 configurability.

Package: topgit
Version: 0.8-1.1
Installed-Size: 184
Maintainer: Debian TopGit maintainers 
Architecture: all
Depends: git-core
Suggests: git-email, make, quilt
Size: 39140
SHA256: 39af9c836d4588926e80024261f965aaea1d623e6d1ff8b58b987aaac3ad16d1
SHA1: 437eb68ea26383d6b5660d31ece6521a18792d9a
MD5sum: a1dee6e26f3b7e5ab7c18feb7c7d45f7
Description: a Git patch queue manager
 TopGit manages a patch queue using Git topic branches, one patch per
 branch. It allows for patch dependencies and can thus manage
 non-linear patch series.
 .
 TopGit is a minimal layer on top of Git, which does not limit use of
 Git's functionality (such as the index). It rigorously keeps history
 until a patch is accepted upstream. It is also fully usable across
 distributed repositories.
Homepage: http://repo.or.cz/w/topgit.git
Tag: devel::packaging, devel::rcs, implemented-in::shell,
 interface::commandline, role::program, scope::utility, works-with::vcs
Section: vcs
Priority: optional
Filename: pool/main/t/topgit/topgit_0.8-1.1_all.deb

Package: toppler
Version: 1.1.5-2
Architecture: armhf
Maintainer: Bill Allombert 
Installed-Size: 1865
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4)
Homepage: http://toppler.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/t/toppler/toppler_1.1.5-2_armhf.deb
Size: 1642756
SHA256: 794cd26595860a8731c93c01fda74888b5f71963575b0a4c4adac2e8e0401733
SHA1: 4f5b2965bb88643089ca042f2fa29e2129076d5c
MD5sum: 6d729571defaae3b5a1c79c78085da1b
Description: clone of the "Nebulus" game on old 8 and 16 bit machines
 Toppler is an almost complete reimplementation of the old game known as
 Tower Toppler or Nebulus.
 .
 The target of the game is to reach the target door of each of the  8 towers
 in currently 2 missions with this little green animal. This door is usually
 at the very top of the tower.
 .
 But finding the way by using elevators and walking through a maze of doors
 and platforms is not the only problem you have to solve. There are a bunch
 of other creatures living on the tower that will hinder you to reach your
 target by pushing you over the edge of the platforms.

Package: tor
Version: 0.2.4.29-1
Architecture: armhf
Maintainer: Peter Palfrader 
Installed-Size: 2609
Pre-Depends: dpkg (>= 1.15.7.2)
Depends: libc6 (>= 2.13-28), libevent-2.0-5 (>= 2.0.10-stable), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), adduser, lsb-base
Recommends: logrotate, tor-geoipdb, torsocks
Suggests: mixmaster, xul-ext-torbutton, socat, tor-arm, polipo (>= 1) | privoxy, apparmor-utils
Conflicts: libssl0.9.8 (<< 0.9.8g-9)
Homepage: https://www.torproject.org/
Priority: optional
Section: net
Filename: pool/main/t/tor/tor_0.2.4.29-1_armhf.deb
Size: 1317114
SHA256: 319368af57d8cd70c84880b96183bd90c5c312fcdb40f34061628b474cb2b6cb
SHA1: 58539dcfc375a47c39c37e949a3987b1f7efe76d
MD5sum: 436c460a42a1e55aac15bcaa86167f80
Description: anonymizing overlay network for TCP
 Tor is a connection-based low-latency anonymous communication system.
 .
 Clients choose a source-routed path through a set of relays, and
 negotiate a "virtual circuit" through the network, in which each relay
 knows its predecessor and successor, but no others. Traffic flowing
 down the circuit is decrypted at each relay, which reveals the
 downstream relay.
 .
 Basically, Tor provides a distributed network of relays. Users bounce
 their TCP streams (web traffic, ftp, ssh, etc) around the relays, and
 recipients, observers, and even the relays themselves have difficulty
 learning which users connected to which destinations.
 .
 This package enables only a Tor client by default, but it can also be
 configured as a relay and/or a hidden service easily.
 .
 Client applications can use the Tor network by connecting to the local
 socks proxy interface provided by your Tor instance. If the application
 itself does not come with socks support, you can use a socks client
 such as torsocks.
 .
 Note that Tor does no protocol cleaning on application traffic. There
 is a danger that application protocols and associated programs can be
 induced to reveal information about the user. Tor depends on Torbutton
 and similar protocol cleaners to solve this problem. For best
 protection when web surfing, the Tor Project recommends that you use
 the Tor Browser Bundle, a standalone tarball that includes static
 builds of Tor, Torbutton, and a modified Firefox that is patched to fix
 a variety of privacy bugs.

Package: tor-arm
Version: 1.4.5.0-1
Installed-Size: 1007
Maintainer: Ulises Vitulli 
Architecture: all
Depends: python (>= 2.6.6-7~), python-torctl
Suggests: tor
Size: 306998
SHA256: 3715c89554f0d9aab75a3b92e6a47dee15a5136a48e2d887ef393ab7516988ce
SHA1: d07939252d8410f0802f3df52edd34ce57c7c026
MD5sum: 8276cafb2e871eec300b5704956ea199
Description: terminal status monitor for tor
 The anonymizing relay monitor (arm) is a terminal status monitor for Tor
 relays, intended for command-line aficionados, ssh connections, and anyone
 stuck with a tty terminal. This works much like top does for system usage,
 providing real time statistics for:
 .
  - bandwidth, cpu, and memory usage
  - relay's current configuration
  - logged events
  - connection details (ip, hostname, fingerprint, and consensus data)
  - etc.
Homepage: http://www.atagar.com/arm/
Tag: implemented-in::python, role::program, use::monitor
Section: comm
Priority: extra
Filename: pool/main/t/tor-arm/tor-arm_1.4.5.0-1_all.deb

Package: tor-dbg
Source: tor
Version: 0.2.4.29-1
Architecture: armhf
Maintainer: Peter Palfrader 
Installed-Size: 3910
Depends: tor (= 0.2.4.29-1)
Suggests: gdb
Homepage: https://www.torproject.org/
Priority: extra
Section: debug
Filename: pool/main/t/tor/tor-dbg_0.2.4.29-1_armhf.deb
Size: 1643902
SHA256: cc6ed335b14d0d4fc8d9b954771a1b567b57a8c6a11ca2126de037a88a3897f9
SHA1: 4ebff5efd1205f556489ca85925627eded852120
MD5sum: d3e910f4d3fe5d4c41954d2c6a2ac59e
Description: debugging symbols for Tor
 This package provides the debugging symbols for Tor, The Onion Router.
 Those symbols allow your debugger to assign names to your backtraces, which
 makes it somewhat easier to interpret core dumps.

Package: tor-geoipdb
Source: tor
Version: 0.2.4.29-1
Installed-Size: 5743
Maintainer: Peter Palfrader 
Architecture: all
Replaces: tor (<< 0.2.4.8)
Depends: tor (>= 0.2.4.29-1)
Breaks: tor (<< 0.2.4.8)
Size: 1574908
SHA256: 02ab7d7d4a2aaed89657e9f1038cde275f11ef9fb0d4746042b091521e272c03
SHA1: 07fc1d8fd61549f1583aee98ee973b03f6bf3dd7
MD5sum: 66a8525a00505df4287f8abf5164c266
Description: GeoIP database for Tor
 This package provides a GeoIP database for Tor, i.e. it maps IPv4 addresses
 to countries.
 .
 Bridge relays (special Tor relays that aren't listed in the main Tor
 directory) use this information to report which countries they see
 connections from.  These statistics enable the Tor network operators to
 learn when certain countries start blocking access to bridges.
 .
 Clients can also use this to learn what country each relay is in, so
 Tor controllers like arm or Vidalia can use it, or if they want to
 configure path selection preferences.
Homepage: https://www.torproject.org/
Section: comm
Priority: extra
Filename: pool/main/t/tor/tor-geoipdb_0.2.4.29-1_all.deb

Package: tora
Version: 2.1.3-2
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 11510
Depends: libqt4-sql (>= 4:4.5.3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpq5, libqscintilla2-8, libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6)
Homepage: http://www.torasql.com
Priority: optional
Section: misc
Filename: pool/main/t/tora/tora_2.1.3-2_armhf.deb
Size: 8323208
SHA256: 4e23bf163428875acbc5807ca961ed31d222d5e1fd8310cf8c629d75b4ef7dc0
SHA1: 814d5a67dd6c4f86f727e6cb93ca66e81fad07db
MD5sum: d103522e7fa915075ac734c7e4e9b05d
Description: graphical toolkit for database developers and administrators
 Tora features a schema browser, SQL worksheet, PL/SQL editor & debugger,
 storage manager, rollback segment monitor, instance manager, and SQL output
 viewer. Via qt4 it can access PostgreSQL and MySQL directly. Any other
 database systems can be accessed via ODBC.

Package: tora-dbg
Source: tora
Version: 2.1.3-2
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 81016
Depends: tora
Homepage: http://www.torasql.com
Priority: extra
Section: debug
Filename: pool/main/t/tora/tora-dbg_2.1.3-2_armhf.deb
Size: 34827374
SHA256: df8413831044c7784a9440677b7f58a25c24be6fca8045b8e6152413ed94ff63
SHA1: 719915e39d2b0e59258368dc7c339aa66a58f4aa
MD5sum: a7c178818c2363df4be83740e5643181
Description: graphical toolkit for database developers and administrators - debugging symbols
 Tora features a schema browser, SQL worksheet, PL/SQL editor & debugger,
 storage manager, rollback segment monitor, instance manager, and SQL output
 viewer. Via qt4 it can access PostgreSQL and MySQL directly. Any other
 database systems can be accessed via ODBC.
 .
 This package provides the debugging symbols for tora.

Package: torchat
Version: 0.9.9.550-2
Installed-Size: 399
Maintainer: Ulises Vitulli 
Architecture: all
Depends: python (>= 2.6.6-7~), tor (>= 0.2.1.30-1), python-socksipy, python-wxgtk2.8
Size: 138844
SHA256: d19c0707054133b6c49163a3c41998b29e11068528e010fa861eddccbb9f1e97
SHA1: 579f1e6fb670d0cff876156f6335df212bc04df8
MD5sum: b49396c932bb44dfcc67a79a1342bc9b
Description: decentralized instant messenger built on top of the Tor Network
 TorChat is a peer to peer instant messenger with a completely decentralized
 design, built on top of Tor's location hidden services, providing strong
 anonymity while being very easy to use
 .
 Top most relevant feature TorChat claims, above from text messaging and file
 sending, rest on the difficulty someone would experiment trying to find out
 where you are communicating from
 .
 In the condition someone might be observing you and sniff your internet traffic
 connection, the person will find highly difficult to find out:
  - Where your contacts are located
  - To whom you are sending or receiving from
  - What you send or receive, as everything is end-to-end encrypted
Homepage: http://code.google.com/p/torchat
Section: web
Priority: optional
Filename: pool/main/t/torchat/torchat_0.9.9.550-2_all.deb

Package: torcs
Version: 1.3.3+dfsg-0.1
Architecture: armhf
Maintainer: Rudy Godoy 
Installed-Size: 1930
Depends: freeglut3, libalut0 (>= 1.0.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libopenal1, libplib1 (>= 1.8.5-1), libpng12-0 (>= 1.2.13-4), libsm6, libstdc++6 (>= 4.4.0), libvorbisfile3 (>= 1.2.0), libx11-6, libxext6, libxi6, libxmu6, libxrandr2, libxrender1, libxt6, libxxf86vm1, zlib1g (>= 1:1.1.4), torcs-data (>= 1.3.3)
Homepage: http://torcs.org
Priority: optional
Section: games
Filename: pool/main/t/torcs/torcs_1.3.3+dfsg-0.1_armhf.deb
Size: 847480
SHA256: be6920666bc7148c14ed6a6cbc1599ce72b562faa2195a27884afbba99e8455e
SHA1: 5f20c959e6b4abbb69331dbf0253a95057e61568
MD5sum: d0973a97edbaa31e927d388bf4bb2f16
Description: 3D racing cars simulator game using OpenGL
 TORCS, The Open Racing Car Simulator is a car racing simulation game
 which allows you to drive in races against opponents simulated by
 the computer.
 You can also develop your own computer-controlled driver (also called a
 robot) in C or C++. TORCS is GPL (version 2 or later).
 .
 Hardware requirements are at least 550MHZ CPU, 256MB RAM and 32 MB
 OpenGL 1.3 compatible graphics card (with 3D accel preferred) in order
 to fully enjoy the game.

Package: torcs-data
Source: torcs
Version: 1.3.3+dfsg-0.1
Installed-Size: 73068
Maintainer: Rudy Godoy 
Architecture: all
Replaces: torcs (<< 1.3.3), torcs-data-cars (<< 1.3.3)
Depends: torcs-data-tracks (>= 1.3.3), torcs-data-cars (>= 1.3.3)
Recommends: torcs (>= 1.3.3)
Breaks: torcs (<< 1.3.3)
Size: 42179020
SHA256: dcc85970ed00f168dbaf162b3878c3c9a6c05de02e47dda6da941bd816833bda
SHA1: 88b0798c6130ae9f0118ef422d40c90f03ca9c54
MD5sum: 56947484c71604d10a356e6e352abe58
Description: base data files for TORCS game
 TORCS, The Open Racing Car Simulator is a car racing simulation game
 which allows you to drive in races against opponents simulated by
 the computer.
 .
 This package includes basic data files for the game plus one car:
 Ferrari 360 Modena. For additional cars and tracks install the
 torcs-data-tracks and torcs-data-cars packages.
Homepage: http://torcs.org
Tag: made-of::TODO, made-of::xml, role::app-data
Section: games
Priority: optional
Filename: pool/main/t/torcs/torcs-data_1.3.3+dfsg-0.1_all.deb

Package: torcs-data-cars
Source: torcs
Version: 1.3.3+dfsg-0.1
Installed-Size: 75592
Maintainer: Rudy Godoy 
Architecture: all
Replaces: torcs-data (<< 1.3.3), torcs-data-cars-extra (<< 1.3.3)
Recommends: torcs (>= 1.3.3)
Conflicts: torcs-data-cars-extra
Breaks: torcs-data (<< 1.3.3)
Size: 22303082
SHA256: 7ea7f7f1a8633b2440c8481c4ef711cc0ef8cd24a903730d8944ee8eaa46c755
SHA1: 50b0d3644554a5ca66271bdb0c53153591e70e59
MD5sum: fb819e75d882535752d81db9541708bd
Description: data files for TORCS game - Cars set
 TORCS, The Open Racing Car Simulator is a car racing simulation game
 which allows you to drive in races against opponents simulated by
 the computer.
 .
 This package includes the following cars for the game.
  - Alfa Romeo 155 (DTM)
  - Acura NSX type S-Zero
  - Baja Bug
  - Buggy
  - Toyota 2000 GT
  - Bizzarrini 5300 GT
  - Alpine A110
  - Alfa Romeo TZ2
  - Austin-Healey 3000 Mk II
  - AC Cobra 427
  - Cobra Daytona Shelby
  - Opel GT Conrero
  - Corvette T-Top
  - Ferrari 365 Gtb4 Daytona
  - Aston Martin DB4 GT Zagato
  - Aston Martin DBS
  - Ferrari Dino 246 GT
  - Maserati Ghibli
  - Alfa Romeo Giulietta spider
  - Iso Grifo
  - Ford Gt40
  - Ferrari 250 GTO
  - Ferrari 330 P4
  - Peugeot 406
  - Peugeot 206 WRC
  - Peugeot 306 Maxi
  - Toyota Corolla WRC
  - Mitsubishi Lancer EVO VI WRC
  - Ford Focus WRC
  - Subaru Impreza WRC
  - and More!
Homepage: http://torcs.org
Tag: role::app-data
Section: games
Priority: extra
Filename: pool/main/t/torcs/torcs-data-cars_1.3.3+dfsg-0.1_all.deb

Package: torcs-data-tracks
Source: torcs
Version: 1.3.3+dfsg-0.1
Installed-Size: 295305
Maintainer: Rudy Godoy 
Architecture: all
Replaces: torcs-data-tracks (<< 1.3.3)
Recommends: torcs (>= 1.3.3)
Size: 195306534
SHA256: c50bb198e663b78b19bd433dbc737c032e2f65b41ef815fc5bb3795584a96537
SHA1: a7150b3e5b120c3a7e681585dab031bcfa5f2619
MD5sum: 214b8bab71dfd33e28d6ffd0d5c1268d
Description: data files for TORCS game - Tracks set
 TORCS, The Open Racing Car Simulator is a car racing simulation game
 which allows you to drive in races against opponents simulated by
 the computer.
 .
 This package includes the following tracks for the game.
  - 13 road tracks
  - 9 oval tracks
  - 8 dirt tracks
Homepage: http://torcs.org
Tag: made-of::TODO, made-of::xml, role::app-data
Section: games
Priority: extra
Filename: pool/main/t/torcs/torcs-data-tracks_1.3.3+dfsg-0.1_all.deb

Package: torque-client
Source: torque
Version: 2.4.16+dfsg-1+deb7u4
Architecture: armhf
Maintainer: Morten Kjeldgaard 
Installed-Size: 721
Depends: libc6 (>= 2.13-28), libreadline6 (>= 6.0), libtorque2 (>= 2.4.16+dfsg), perl, libcurses-perl, gawk, torque-common
Conflicts: gridengine-client, qterm
Homepage: http://www.clusterresources.com/pages/products/torque/
Priority: optional
Section: utils
Filename: pool/main/t/torque/torque-client_2.4.16+dfsg-1+deb7u4_armhf.deb
Size: 397902
SHA256: 38283f14740ce834ae5b0411e8a54be036c4f5e4f5d83c26cb5d534779e83e50
SHA1: 45f5a2d224c9b6cb899920c3191c92674d4b72ee
MD5sum: ea1a36241dcd6c2446c121e0b5b4f9a1
Description: command line interface to Torque server
 The TORQUE server dispatches jobs across physically separated
 machines. It may also be beneficial for single machines to organise
 the sequential execution of multiple jobs.
 .
 This package contains the command-line client programs. These should
 be installed on all hosts that should be able to submit jobs,
 query for their status or cancel their execution. The client
 contacts the server directly.

Package: torque-client-x11
Source: torque
Version: 2.4.16+dfsg-1+deb7u4
Architecture: armhf
Maintainer: Morten Kjeldgaard 
Installed-Size: 2106
Depends: libc6 (>= 2.13-28), libreadline6 (>= 6.0), libtorque2 (>= 2.4.16+dfsg), libx11-6, libxext6, libxss1, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), perl, libcurses-perl, gawk, torque-common
Conflicts: gridengine-client, qterm, torque-client
Replaces: torque-gui
Provides: torque-client
Homepage: http://www.clusterresources.com/pages/products/torque/
Priority: optional
Section: x11
Filename: pool/main/t/torque/torque-client-x11_2.4.16+dfsg-1+deb7u4_armhf.deb
Size: 645254
SHA256: 6bdd52f68d589a9718ca48093244940a3488f712c95639b1bfc7d5f98b956dae
SHA1: 4272c6e709a5e4b9d8c239bf09b37c804931b720
MD5sum: 48e4fb00df1b85b41a162c81047ff506
Description: GUI for torque clients
 The TORQUE server dispatches jobs across physically separated
 machines. It may also be beneficial for single machines to organise
 the sequential execution of multiple jobs.
 .
 These clients feature the same functionality as their regular
 counterparts and also allow for a graphical interaction.

Package: torque-common
Source: torque
Version: 2.4.16+dfsg-1+deb7u4
Architecture: armhf
Maintainer: Morten Kjeldgaard 
Installed-Size: 125
Recommends: torque-client | torque-server | torque-mom, openssh-client
Homepage: http://www.clusterresources.com/pages/products/torque/
Priority: optional
Section: utils
Filename: pool/main/t/torque/torque-common_2.4.16+dfsg-1+deb7u4_armhf.deb
Size: 42750
SHA256: f898eda0c7d94181ded6bf8ee966e196c82ab873a7f6ce87fbf2bd64f6881963
SHA1: fec47d79cd05729105578ae27c34c7222fa03715
MD5sum: 6a96af1d501ac87050cfe6cb5f77df8a
Description: Torque Queueing System shared files
 TORQUE (Tera-scale Open-source Resource and QUEue manager) is a resource
 manager providing control over batch jobs and distributed compute
 nodes. Torque is based on OpenPBS version 2.3.12 and incorporates
 scalability, fault tolerance, and feature extension patches provided by
 USC, NCSA, OSC, the U.S. Dept of Energy, Sandia, PNNL, U of Buffalo,
 TeraGrid, and many other leading edge HPC organizations.
 .
 This package contains a series of files and directories that are shared
 between client, server and mom, that can only be installed once.

Package: torque-mom
Source: torque
Version: 2.4.16+dfsg-1+deb7u4
Architecture: armhf
Maintainer: Morten Kjeldgaard 
Installed-Size: 426
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libtorque2 (>= 2.4.16+dfsg), lsb-base (>= 3.0-3), torque-common
Homepage: http://www.clusterresources.com/pages/products/torque/
Priority: optional
Section: utils
Filename: pool/main/t/torque/torque-mom_2.4.16+dfsg-1+deb7u4_armhf.deb
Size: 189936
SHA256: e54c98dec23c3d84e11e11c922a578d2e13a3ad9a3b45fc97f393aac7f028b95
SHA1: e3b6c4c8296b589174251d9e5bc3912fd7c20e06
MD5sum: 4bbda00a33e66585751bf456da2fb0ea
Description: job execution engine for Torque batch system
 The TORQUE server dispatches jobs across physically separated
 machines. It may also be beneficial for single machines to organise
 the sequential execution of multiple jobs.
 .
 The pbs_mom daemon is executed on those machines that perform
 the computations. This package should be installed on all these
 execute hosts. The mom, the client and the server can all be
 installed on the same machine.

Package: torque-pam
Source: torque
Version: 2.4.16+dfsg-1+deb7u4
Architecture: armhf
Maintainer: Morten Kjeldgaard 
Installed-Size: 75
Depends: libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1), torque-common
Recommends: torque-client | torque-client-x11
Homepage: http://www.clusterresources.com/pages/products/torque/
Priority: optional
Section: utils
Filename: pool/main/t/torque/torque-pam_2.4.16+dfsg-1+deb7u4_armhf.deb
Size: 38186
SHA256: 37ad05c8422bf9276793c2eceee52e4b0f76b6a9718e6d531f7ee4a832317226
SHA1: 82b5402f60cc0504497bb5b949f23b47ff36c227
MD5sum: 17959d21d8672cdc98e3a8b517871605
Description: PAM module for PBS MOM nodes
 The TORQUE server dispatches jobs across physically separated
 machines. It may also be beneficial for single machines to organise
 the sequential execution of multiple jobs.
 .
 A simple PAM module to authorize users on PBS MOM nodes with a running
 job.

Package: torque-scheduler
Source: torque
Version: 2.4.16+dfsg-1+deb7u4
Architecture: armhf
Maintainer: Morten Kjeldgaard 
Installed-Size: 222
Depends: libc6 (>= 2.13-28), libtorque2 (>= 2.4.16+dfsg), torque-common
Homepage: http://www.clusterresources.com/pages/products/torque/
Priority: optional
Section: net
Filename: pool/main/t/torque/torque-scheduler_2.4.16+dfsg-1+deb7u4_armhf.deb
Size: 92926
SHA256: 95d14b410fa0ccb737b4abd6e7ce16a2c9c71fd19dd75b84666d35b00f459eee
SHA1: ef9dc3e5d3c9004a0f0a4d4122147b2fb567c23a
MD5sum: e091467c662b46c3081ac5fa1c7f0810
Description: scheduler part of Torque
 The TORQUE server dispatches jobs across physically separated
 machines. It may also be beneficial for single machines to organise
 the sequential execution of multiple jobs.
 .
 This package contains the fifo C scheduler.

Package: torque-server
Source: torque
Version: 2.4.16+dfsg-1+deb7u4
Architecture: armhf
Maintainer: Morten Kjeldgaard 
Installed-Size: 430
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libtorque2 (>= 2.4.16+dfsg), lsb-base (>= 3.0-3), torque-common
Recommends: torque-scheduler, torque-client | torque-client-x11
Suggests: torque-mom
Conflicts: torque-client (<= 2.4)
Homepage: http://www.clusterresources.com/pages/products/torque/
Priority: optional
Section: utils
Filename: pool/main/t/torque/torque-server_2.4.16+dfsg-1+deb7u4_armhf.deb
Size: 180176
SHA256: 3894e813239c4c037fad008c87c9e2cc24d901fa392d2f92b5bf96a393c0a4a7
SHA1: f20cc0aaa1fb72e669248b2db5ee51454b1e1307
MD5sum: f2f24bcf2efc5993cffec58a1341f0ac
Description: PBS-derived batch processing server
 The TORQUE server dispatches jobs across physically separated
 machines. It may also be beneficial for single machines to organise
 the sequential execution of multiple jobs.
 .
 Torque stands for "Tera-scale Open-source Resource and QUEue manager".
 It is based on OpenPBS version 2.3.12 and incorporates scalability,
 fault tolerance, and feature extension patches provided by USC, NCSA,
 OSC, the U.S. Dept of Energy, Sandia, PNNL, U of Buffalo, TeraGrid,
 and many other leading edge HPC organizations.

Package: torrentflux
Version: 2.4-5.1
Installed-Size: 2784
Maintainer: Cameron Dale 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, libapache2-mod-php5 | libapache-mod-php5 | libapache2-mod-php4 (>= 4:4.1) | libapache-mod-php4 (>= 4:4.1) | php5-cgi | php4-cgi (>= 4:4.1), php5-mysql | php5-mysqli | php4-mysql, bittornado (>= 0.3.18-6), python, libphp-adodb, dbconfig-common, zip
Recommends: mysql-client, mysql-server
Size: 448804
SHA256: 4ceb8fdcdc2b2cef41ca4b2bb0b88d09baabfd7751e866d7bc9704a04ace8646
SHA1: d7fd98a306334d28bc1f98f12c5d59927cfd501f
MD5sum: 6484e51ff91220a7db770238e26520fc
Description: web based, feature-rich BitTorrent download manager
 TorrentFlux is a PHP based BitTorrent controller that runs on a web
 server. It can manage all of your BitTorrent downloads from anywhere
 through a convenient and easy-to-use web interface.
 .
 TorrentFlux uses a MySQL database to manage the downloads. This
 database may be automatically created and maintained for you
 (if you want), but that requires the recommended mysql-client
 package. If you do not have a remote database server to access,
 you will also need the recommended mysql-server package.
 (If you're not sure, then you probably need both.)
 .
 TorrentFlux enables you to run BitTorrent downloads unattended on a
 monitor-less or remote server 24 hours a day, while still maintaining
 complete control from any web browser. Now you can control your
 downloading on your firewall, or keep up with downloads while on
 vacation. It uses the BitTornado client to download files, and also
 requires a web server with PHP.
 .
 Some of the many features:
   * Upload Torrents via URL or File Upload
   * Start, Stop, and Delete Torrents with ease
   * Advanced Torrent start options (ports, speeds, etc.)
   * Multi-user interface
   * RSS Feeds, download Torrents files with a click
   * Run several torrents at once
   * View Download Progress of all torrents at a glance
   * View drive space at a glance
   * View Torrent file meta information
   * Built-in User management and Security
   * Private Messaging
   * Themes (selectable per user)
   * Upload History
   * Detailed User Administration
   * Admin Searchable Logs
   * Torrent Search (many popular sites)
   * Language Support
   * Make your own torrents
   * Add torrents to a download Queue
   * NFO / Text viewer
 .
 For a description of BitTorrent, see the bittornado package.
Homepage: http://www.torrentflux.com
Tag: implemented-in::php, interface::web, network::client, network::service,
 protocol::bittorrent, role::program, use::downloading,
 web::application, works-with::file
Section: web
Priority: optional
Filename: pool/main/t/torrentflux/torrentflux_2.4-5.1_all.deb

Package: torrus-apache2
Source: torrus
Version: 2.03-2+deb7u1
Installed-Size: 30
Maintainer: Torrus maintainers 
Architecture: all
Depends: torrus-common (= 2.03-2+deb7u1), apache2-mpm-prefork | apache2, libapache2-mod-perl2
Conflicts: apache2-mpm-event, apache2-mpm-worker
Size: 1972
SHA256: 7987c3536eeb29fd31091ab79a80f669e5b8b750dcd27a21e9432a9649cf2fed
SHA1: 7c68a1b87499424713b9e3cc0780c02af4f698fb
MD5sum: a48060d2f8293d715cd96156d6a66663
Description: Universal front-end for Round-Robin Databases (for apache 2.x) (deprecated)
 Installs torrus-common and configures it for operation together with
 the Apache 2.x web server and mod_perl. Apache 2.x will be restarted during
 installation of torrus-apache2.
 This method is deprecated, you should use torrus-common with the
 FastCGI-interface for new installations.
 .
 Torrus is designed to be a universal front-end framework for
 Round-Robin Databases using Tobias Oetiker's RRDtool. It may be configured
 to collect and monitor arbitrary data series from various data
 sources which can in turn be displayed on a web page served by the
 Apache web server.
 .
 One of the traditional applications of this functionality is the collection
 and visualization of network information using the Simple Network Management
 Protocol (SNMP) from SNMP-enabled devices.
 .
 Torrus has been formerly known as rrfw, round-robin database framework.
Homepage: http://torrus.org/
Section: net
Priority: extra
Filename: pool/main/t/torrus/torrus-apache2_2.03-2+deb7u1_all.deb

Package: torrus-common
Source: torrus
Version: 2.03-2+deb7u1
Installed-Size: 3246
Maintainer: Torrus maintainers 
Architecture: all
Depends: netbase, rrdtool, perl, librrds-perl, libberkeleydb-perl, libxml-libxml-perl, libproc-daemon-perl, libnet-snmp-perl, libapache-session-perl, libtemplate-perl, libtimedate-perl, libcgi-fast-perl, libjson-perl, liburi-perl, adduser, lsb-base, db-util
Pre-Depends: dpkg (>= 1.15.7.2)
Suggests: libapache2-mod-fcgid | libapache2-mod-fastcgi | lighttpd, libcrypt-des-perl, libdigest-hmac-perl, libio-socket-inet6-perl
Size: 689292
SHA256: 20b7ed34cb6ad80953b3b32b0ecb32557eb36b9caae809f0ab4e82f4552aacad
SHA1: 66631ff97085d933fe3071de873b4107425b3fc0
MD5sum: 1dd36a332d2f29a310294cae35189c81
Description: Universal front-end for Round-Robin Databases (common files)
 Core part of the Torrus suite, providing support files needed by the
 other Torrus packages. It can be installed directly and used with any
 FastCGI-compatible webserver package.
 .
 Torrus is designed to be a universal front-end framework for
 Round-Robin Databases using Tobias Oetiker's RRDtool. It may be configured
 to collect and monitor arbitrary data series from various data
 sources which can in turn be displayed on a web page.
 .
 One of the traditional applications of this functionality is the collection
 and visualization of network information using the Simple Network Management
 Protocol (SNMP) from SNMP-enabled devices.
 .
 You will need to install libcrypt-des-perl and libdigest-hmac-perl for SNMPv3
 support. SNMP over IPv6-transport is also supported after installing
 libio-socket-inet6-perl.
 .
 Torrus has been formerly known as rrfw, round-robin database framework.
Homepage: http://torrus.org/
Tag: admin::monitoring, implemented-in::c, implemented-in::perl,
 interface::web, protocol::snmp, role::program, scope::application,
 use::monitor, use::scanning, web::application, web::cgi,
 works-with::network-traffic
Section: net
Priority: extra
Filename: pool/main/t/torrus/torrus-common_2.03-2+deb7u1_all.deb

Package: torsocks
Version: 1.2-3
Architecture: armhf
Maintainer: intrigeri 
Installed-Size: 240
Depends: libc6 (>= 2.4)
Recommends: tor
Homepage: http://code.google.com/p/torsocks/
Priority: optional
Section: net
Filename: pool/main/t/torsocks/torsocks_1.2-3_armhf.deb
Size: 75034
SHA256: 7edaeb3a73042ae3af5a9244b140dcaf69e9ee03440feea65c43b781a9901dea
SHA1: 7132360b4831fb922d3851e11ec60838eb5a88ce
MD5sum: ae8389d39df03e7428910b52e529968f
Description: use SOCKS-friendly applications with Tor
 Torsocks allows you to use most SOCKS-friendly applications in a safe way with
 Tor. It ensures that DNS requests are handled safely and explicitly rejects
 UDP traffic from the application you're using.

Package: tortoisehg
Version: 2.4-2
Installed-Size: 10640
Maintainer: Ludovico Cavedon 
Architecture: all
Depends: mercurial (>= 2.1~), mercurial (<< 2.3~), python-gobject (>= 2.12.1), python-qt4 (>= 4.7), python-qscintilla2, python (>= 2.6.6-7~), python (<< 2.8)
Recommends: libjs-jquery, libjs-underscore, python-iniparse, python-pygments
Suggests: tortoisehg-nautilus
Size: 3701342
SHA256: d209c628a191152e6b7328ae7d5609d78fb8aacd2aa3a7b061430d29a4f59768
SHA1: bd0f5e1b55a1c2e1b3f4e1d90b8c118a130951c6
MD5sum: d32097c7bf4384036b7d4f4400dda5bd
Description: Graphical tool for working with Mercurial
 TortoiseHg provides a graphical tool for interacting with the distributed
 revision control system Mercurial.  GUI support is provided for over a dozen
 operations, including add files, commit changes, manage ignore filter, view
 change log, merge, recover/rollback, edit configuration, synchronize
 repository, and many others.   The highlight is the interactive commit tool
 which allows easy selection of diffs from multiple files and packaging into
 changesets, and which is more powerful and easier to use than available
 alternatives such as qct and hgct (commit-tool).
Homepage: http://tortoisehg.bitbucket.org/
Tag: devel::rcs, implemented-in::python, interface::x11, role::program,
 scope::application, uitoolkit::gtk, use::synchronizing, use::viewing,
 works-with::vcs, x11::application
Section: vcs
Priority: optional
Filename: pool/main/t/tortoisehg/tortoisehg_2.4-2_all.deb

Package: tortoisehg-nautilus
Source: tortoisehg
Version: 2.4-2
Installed-Size: 67
Maintainer: Ludovico Cavedon 
Architecture: all
Depends: tortoisehg (>= 2.4-2), python-nautilus (>= 1.1-2), python-gi
Recommends: ssh-askpass-gnome | ssh-askpass
Size: 14948
SHA256: 254c84effa914cc1f1b6f0d3f10db2f841ae39bee1a296795eb337a8fdc1eee6
SHA1: 15456b339b5d015cc7b555e90ef7e916aac9b89c
MD5sum: 449717364802c753550afc2448c95a9c
Description: Graphical tool for working with Mercurial (Nautilus extension)
 TortoiseHg provides a graphical tool for interacting with the distributed
 revision control system Mercurial.  GUI support is provided for over a dozen
 operations, including add files, commit changes, manage ignore filter, view
 change log, merge, recover/rollback, edit configuration, synchronize
 repository, and many others.   The highlight is the interactive commit tool
 which allows easy selection of diffs from multiple files and packaging into
 changesets, and which is more powerful and easier to use than available
 alternatives such as qct and hgct (commit-tool).
 .
 This package contains the Nautilus extension for TortoiseHg.
Homepage: http://tortoisehg.bitbucket.org/
Tag: devel::rcs, implemented-in::python, interface::x11, role::plugin,
 uitoolkit::gtk, use::synchronizing, use::viewing, works-with::vcs
Section: vcs
Priority: optional
Filename: pool/main/t/tortoisehg/tortoisehg-nautilus_2.4-2_all.deb

Package: torus-trooper
Version: 0.22.dfsg1-8
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 748
Depends: torus-trooper-data (= 0.22.dfsg1-8), libbulletml0d2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4)
Homepage: http://www.asahi-net.or.jp/~cs8k-cyu/windows/tt_e.html
Priority: extra
Section: games
Filename: pool/main/t/torus-trooper/torus-trooper_0.22.dfsg1-8_armhf.deb
Size: 208766
SHA256: 99eae8936683dc651f7d1bf546790ad47bcc9869e6dd1efdb6339059d768b329
SHA1: 709d93571de0aefbacbd6436f1822bf5695ebf30
MD5sum: a0ba4a56be2cad93e03839bd213975db
Description: speeding ship sailing through barrage
 Torus Trooper is a fast-paced abstract scrolling shooter game. It
 features 3D graphics and a style similar to that of games such as
 Tempest.
 .
 Torus Trooper is another gem among many by Kenta Cho.

Package: torus-trooper-data
Source: torus-trooper
Version: 0.22.dfsg1-8
Installed-Size: 5600
Maintainer: Debian Games Team 
Architecture: all
Recommends: torus-trooper
Size: 5660282
SHA256: 3b7035b78c29c0ef8fdaa81f197828102d3befbfafa5a179a26eb0c7b53674ac
SHA1: 5e58cb9b2668aa6bff31ec6a073b24c2a873b55f
MD5sum: 34366a3f8c82c79022ee6cd7581db1ab
Description: speeding ship sailing through barrage - game data
 Torus Trooper is a fast-paced abstract scrolling shooter game. It
 features 3D graphics and a style similar to that of games such as
 Tempest.
 .
 This package includes the architecture-independent data for Kenta Cho's game
 Torus Trooper.
Homepage: http://www.asahi-net.or.jp/~cs8k-cyu/windows/tt_e.html
Tag: made-of::audio, made-of::xml, role::app-data
Section: games
Priority: extra
Filename: pool/main/t/torus-trooper/torus-trooper-data_0.22.dfsg1-8_all.deb

Package: torus-trooper-pure
Source: torus-trooper
Version: 0.22.dfsg1-8
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 749
Depends: torus-trooper-data (= 0.22.dfsg1-8), libbulletml0d2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4)
Homepage: http://www.asahi-net.or.jp/~cs8k-cyu/windows/tt_e.html
Priority: extra
Section: games
Filename: pool/main/t/torus-trooper/torus-trooper-pure_0.22.dfsg1-8_armhf.deb
Size: 204292
SHA256: 7749207f13514f9aea577f14779af728b314f43e056473cc966aa42c86b21755
SHA1: ad97faceaca9610ac877241b5f52ce1193c69a19
MD5sum: 27d5bec0ea368acb78a2ccc07ce521df
Description: variant of torus-trooper
 Torus Trooper Pure is a fast-paced abstract scrolling shooter game.
 It features 3D graphics and a style similar to that of games such as
 Tempest.
 .
 Torus Trooper Pure is a variant of Torus Trooper. Compared to the
 original, it manages to make the gameplay feel even faster.

Package: totd
Version: 1.5.1-1.1
Architecture: armhf
Maintainer: Masahito Omote 
Installed-Size: 171
Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, perl
Suggests: resolvconf
Priority: extra
Section: net
Filename: pool/main/t/totd/totd_1.5.1-1.1_armhf.deb
Size: 53324
SHA256: a7c89aa4393564da4e19afdbf94775190411c0a9e6938eed194ef770b42384f0
SHA1: 987c047eb6583e73319a7b0405a4776b90b6bcad
MD5sum: 9e50b4c6c5d0926380840cc0d9c9d069
Description: Small DNS proxy that supports IPv6/IPv4 record translation
 totd is a small DNS proxy nameserver which supports IPv6 and enable IPv6
 only sites to access IPv4 sites by using some translation mechanism such
 as NAT-PT, KAME faith, etc...
 .
 You can also use totd as local DNS proxy server. It is convenient for some
 applications which call res_init(3) only once. These application cannot
 search new DNS server when resolv.conf is changed by DHCP or PPP. totd
 enables you to query DNS record to new DNS servers without restarting them.

Package: totem
Version: 3.0.1-8
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 1199
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgirepository-1.0-1 (>= 0.9.2), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.30), libgstreamer0.10-0 (>= 0.10.30), libgtk-3-0 (>= 3.0.0), libnautilus-extension1a (>= 2.91), libpango1.0-0 (>= 1.14.0), libpeas-1.0-0 (>= 1.0.0), libtotem-plparser17 (>= 2.32.4-2), libtotem0 (>= 3.0.1-8), libtotem0 (<< 3.1), libx11-6, libxml2 (>= 2.6.27), libxrandr2, libxtst6, libxxf86vm1, python (>= 2.6.6-7~), gstreamer0.10-plugins-base (>= 0.10.26), gstreamer0.10-plugins-good (>= 0.10.7), gstreamer0.10-x, gnome-icon-theme (>= 2.15.90), gnome-icon-theme-symbolic, totem-common (= 3.0.1-8)
Recommends: totem-plugins, gstreamer0.10-pulseaudio, gstreamer0.10-plugins-ugly, gstreamer0.10-plugins-bad, gstreamer0.10-ffmpeg
Suggests: gnome-codec-install, gstreamer0.10-pulseaudio (>= 0.10.16-5), totem-mozilla
Conflicts: gnome-control-center (<< 2.15.90), totem (<< 0.99.12-2), totem-gstreamer (<< 2.27.1), totem-mozilla (<< 2.20.0-3)
Homepage: http://www.gnome.org/projects/totem/
Priority: optional
Section: video
Filename: pool/main/t/totem/totem_3.0.1-8_armhf.deb
Size: 599154
SHA256: 8074e0815657cf289fbf7634a1cee5cdfedf3e0da05e291df4249d436420d8e1
SHA1: b7f16b8b4c9f3d24252583c3bfa661a21293e9f1
MD5sum: 43b143398ccae5b91a8dacb58afba03d
Description: Simple media player for the GNOME desktop based on GStreamer
 Totem is a simple yet featureful media player for GNOME which can read
 a large number of file formats. It features :
 .
    * Shoutcast, m3u, asx, SMIL and ra playlists support
    * DVD (with menus), VCD and Digital CD (with CDDB) playback
    * TV-Out configuration with optional resolution switching
    * 4.0, 5.0, 5.1 and stereo audio output
    * Full-screen mode (move your mouse and you get nice controls) with
      Xinerama, dual-head and RandR support
    * Aspect ratio toggling, scaling based on the video's original size
    * Full keyboard control
    * Simple playlist with repeat mode and saving feature
    * GNOME, Nautilus and GIO integration
    * Screenshot of the current movie
    * Brightness and Contrast control
    * Visualisation plugin when playing audio-only files
    * Video thumbnailer for nautilus
    * Nautilus properties page
    * Works on remote displays
    * DVD, VCD and OGG/OGM subtitles with automatic language selection
    * Extensible with plugins

Package: totem-common
Source: totem
Version: 3.0.1-8
Installed-Size: 8893
Maintainer: Debian GNOME Maintainers 
Architecture: all
Depends: dconf-gsettings-backend | gsettings-backend
Size: 3260242
SHA256: c692e936b465612e75b59891501e011119edaa6cc927387aa80518408792aba6
SHA1: 10cc86cef30bb387764c51459ce6c9dd73fb81ec
MD5sum: fef7096a43fe6afa4a02d6ba9dfb6dfc
Description: Data files for the Totem media player
 Totem is a simple yet featureful media player for GNOME which can read
 a large number of file formats.
 .
 This package contains common data files and translations.
Homepage: http://www.gnome.org/projects/totem/
Tag: role::app-data
Section: video
Priority: optional
Filename: pool/main/t/totem/totem-common_3.0.1-8_all.deb

Package: totem-dbg
Source: totem
Version: 3.0.1-8
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 4592
Depends: totem (= 3.0.1-8)
Conflicts: libtotem-plparser1-dbg, libtotem-plparser7-dbg
Homepage: http://www.gnome.org/projects/totem/
Priority: extra
Section: debug
Filename: pool/main/t/totem/totem-dbg_3.0.1-8_armhf.deb
Size: 1993694
SHA256: 2fb03aac1b0028dea88a257abdf160d13f47d3a1c0cac79ccd193e2ac1a44a05
SHA1: b838c52f35ca3f77218c141af89c2327f7f45d70
MD5sum: db20a9594e72b07e556c359cad0aecbb
Description: Debugging symbols for the Totem media player
 Totem is a simple yet featureful media player for GNOME which can read
 a large number of file formats.
 .
 This package contains detached debugging symbols.

Package: totem-mozilla
Source: totem
Version: 3.0.1-8
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 501
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.27.92), libstdc++6 (>= 4.3.0), libtotem-plparser17 (>= 2.32.4-2), libx11-6, totem (= 3.0.1-8), dbus-x11 (>= 0.61)
Recommends: epiphany-browser | www-browser
Homepage: http://www.gnome.org/projects/totem/
Priority: optional
Section: video
Filename: pool/main/t/totem/totem-mozilla_3.0.1-8_armhf.deb
Size: 294728
SHA256: 88520902012893f1fa17df8d0bdec9779ae2a4283c7aa5e7c2bac87f51a70dcc
SHA1: f5d1f4a7d2b90c3f16258b9e52c55b08d2e016ba
MD5sum: bddd6b61505f718bf70ac59e009bf2a9
Description: Totem Mozilla plugin
 This package contains the Totem Mozilla plugin, which will
 enhance your Gecko-based browser to be able to display movie
 clips.
 .
 This plugin should work for Iceweasel as well as XULRunner based browsers.

Package: totem-plugin-arte
Version: 3.1.2-1
Architecture: armhf
Maintainer: Nicolas Delvaux 
Installed-Size: 239
Depends: totem (>> 2.90), gstreamer0.10-plugins-bad (>= 0.10.19.3), gstreamer0.10-ffmpeg, gsettings-desktop-schemas, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libgdk-pixbuf2.0-0 (>= 2.22.0), libgirepository-1.0-1 (>= 0.9.2), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libpeas-1.0-0 (>= 1.0.0), libsoup2.4-1 (>= 2.4.0), libtotem-plparser17 (>= 2.30.3), libx11-6, dconf-gsettings-backend | gsettings-backend
Enhances: totem
Homepage: http://gitorious.org/totem-plugin-arte
Priority: extra
Section: video
Filename: pool/main/t/totem-plugin-arte/totem-plugin-arte_3.1.2-1_armhf.deb
Size: 69302
SHA256: c0be25e17be776dbcdb4f2c310e3c936d08b4532ec719379b561f7035026323e
SHA1: 127a48b61beeaf033f1d552101a21559854e159b
MD5sum: 445181c6144713bfa5e405edb46051ae
Description: Totem plugin to watch streams from arte.tv
 This plugin for Totem allows you to watch video streams from the Franco-German
 TV Channel Arte.
 .
 Sadly, this service is fully available only for IPs within Austria, France,
 Germany, Belgium and Switzerland.

Package: totem-plugins
Source: totem
Version: 3.0.1-8
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 946
Depends: totem (= 3.0.1-8), libatk1.0-0 (>= 1.12.4), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libepc-1.0-3 (>= 0.4.2), libepc-ui-1.0-3 (>= 0.4.2), libgcc1 (>= 1:4.4.0), libgdata13 (>= 0.8.1), libgdk-pixbuf2.0-0 (>= 2.23.0), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0), libgstreamer-plugins-base0.10-0 (>= 0.10.30), libgstreamer0.10-0 (>= 0.10.30), libgtk-3-0 (>= 3.0.0), liblircclient0, libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libtotem-plparser17 (>= 2.32.4-2), libtotem0 (>= 3.0.1-8), libtotem0 (<< 3.1), libtracker-sparql-0.14-0 (>= 0.10.0), libx11-6, libxml2 (>= 2.7.4), libxtst6, python (>= 2.6.6-7~), python2.7, gir1.2-totem-1.0 (= 3.0.1-8), gir1.2-gtk-3.0, gir1.2-gdkpixbuf-2.0, gir1.2-glib-2.0, gir1.2-pango-1.0, gir1.2-peas-1.0, python-gi (>= 2.90.3), python-xdg, python-httplib2, python-feedparser, python-beautifulsoup
Recommends: gnome-settings-daemon
Suggests: gromit
Homepage: http://www.gnome.org/projects/totem/
Priority: optional
Section: video
Filename: pool/main/t/totem/totem-plugins_3.0.1-8_armhf.deb
Size: 393772
SHA256: a979d4e118816f629c792518df81301f615f92cdfbca6b7c9c146bdb710dfa8b
SHA1: 21a697c3a43747d6959d239b166e90842b67b476
MD5sum: 839a0269bb56da07ce404cd7f09d502e
Description: Plugins for the Totem media player
 Totem is a simple yet featureful media player for GNOME which can read
 a large number of file formats.
 .
 This package contains a set of recommended plugins for Totem, which
 allow to:
 .
    * Annotate the screen with the Gromit tool
    * Control Totem with an Infrared remote control
    * Control Totem with the keyboard's media player keys
    * Keep the Totem window on top of the screen
    * Display movie properties
    * Deactivate the screensaver when a movie is playing
    * Skip to a defined time in the movie
    * Set the away status in the instant messenger when a movie is
      playing
    * Control totem with a mobile phone using the Bluetooth protocol
    * Share the current playlist via HTTP
    * Search, browse for and play videos from YouTube
    * Search for videos using tracker
 .
 Additional plugins can be written in C, Python or Vala.

Package: totem-plugins-dvb-daemon
Source: gnome-dvb-daemon
Version: 1:0.2.8-1
Installed-Size: 131
Maintainer: Sebastian Reichel 
Architecture: all
Depends: python (>= 2.6.6-7~), gir1.2-gtk-3.0, gir1.2-peas-1.0, gir1.2-totem-1.0, gnome-dvb-client (= 1:0.2.8-1), gnome-dvb-daemon (>= 1:0.2.8-1), python-gobject (>= 3.0.4), totem, totem-plugins
Size: 63394
SHA256: 21c4a215468a88d283d7528721805d8ea69ccb3e8bb1add32b15cc09391e9e04
SHA1: c768850cc488a2093800508dd752517638ef513c
MD5sum: 04cb7e4c06756e4b378ef109c479ac54
Description: totem plugin for gnome-dvb-daemon
 GNOME DVB Daemon is a GStreamer based daemon to setup your
 DVB devices, record and/or watch TV shows and browse EPG.
 .
 This package contains the Totem plugin.
Homepage: http://live.gnome.org/DVBDaemon
Tag: role::plugin, use::configuring
Section: video
Priority: optional
Filename: pool/main/g/gnome-dvb-daemon/totem-plugins-dvb-daemon_0.2.8-1_all.deb

Package: tourney-manager
Version: 20070820-4
Installed-Size: 140
Maintainer: Oliver Korff 
Architecture: all
Depends: xboard, libyaml-perl, perl
Size: 22284
SHA256: 76b073832c4a5f77c833c8190b5b81755d3cb2fdb22c4a4830a8443ad010202a
SHA1: 77a70d97496c34452ff1b136ec70aa272fb3f07d
MD5sum: e3b6315e989065f947b96c564c315ee2
Description: perl interface to run chess engine tournaments
 Let your chess engines play tournaments against each other.
 This program manages setup and automatic running engine vs.
 engine matches, it has an interactive commandline and can
 start and stop the tournament, show current state and a
 crosstable. Every game will be displayed in a xboard session
 and can be observed. All games are stored in portable chess
 game notation, pgn.
Tag: game::board, game::board:chess, implemented-in::perl, role::program,
 use::gameplaying
Section: games
Priority: optional
Filename: pool/main/t/tourney-manager/tourney-manager_20070820-4_all.deb

Package: tp-smapi-dkms
Source: tp-smapi
Version: 0.41-1
Installed-Size: 188
Maintainer: Evgeni Golov 
Architecture: all
Depends: dkms (>= 1.95)
Size: 46306
SHA256: c7e8d463ecd767c24c6b681808efaaeac279f9a878ce4d49a7b6cda7dfac7b7f
SHA1: e2b710dfc5172c997eadd23ce631e1b23d02880e
MD5sum: b36308f32603e6200de1bc7a16ba821e
Description: ThinkPad hardware/firmware access modules source - dkms version
 The tp_smapi kernel module exposes some features of the ThinkPad
 hardware/firmware via a sysfs interface. Currently, the main implemented
 functionality is control of battery charging and extended battery status.
 The underlying hardware interfaces are SMAPI and direct access to the
 embedded controller.
 .
 This package also brings the source for an improved version of HDAPS
 which should work on newer ThinkPads too (the stock kernel version does
 not).
 .
 This package contains the source to be built with dkms.
Homepage: http://tpctl.sourceforge.net/
Tag: admin::hardware, admin::kernel, admin::power-management,
 hardware::laptop, hardware::power, implemented-in::c, role::source
Section: kernel
Priority: optional
Filename: pool/main/t/tp-smapi/tp-smapi-dkms_0.41-1_all.deb

Package: tp-smapi-source
Source: tp-smapi
Version: 0.41-1
Installed-Size: 116
Maintainer: Evgeni Golov 
Architecture: all
Depends: debhelper (>= 5), module-assistant
Suggests: sysfsutils
Size: 59610
SHA256: 4df3b5c4010bdfb5f5ca639b4ac9342038e8b7016797718e742f35411901621b
SHA1: 643d0ab3d05edf9f13c3ef1c47377bf94b258c0d
MD5sum: 739168effd960b04f48dc6c0c3dd6444
Description: ThinkPad hardware/firmware access modules source
 The tp_smapi kernel module exposes some features of the ThinkPad
 hardware/firmware via a sysfs interface. Currently, the main implemented
 functionality is control of battery charging and extended battery status.
 The underlying hardware interfaces are SMAPI and direct access to the
 embedded controller.
 .
 This package also brings the source for an improved version of HDAPS
 which should work on newer ThinkPads too (the stock kernel version does
 not).
 .
 This package contains the source to be built with module-assistant or
 kernel-package.
Homepage: http://tpctl.sourceforge.net/
Tag: admin::hardware, admin::kernel, admin::power-management,
 hardware::input:joystick, hardware::laptop, hardware::power,
 implemented-in::c, role::kernel, role::source, use::driver,
 use::monitor
Section: kernel
Priority: optional
Filename: pool/main/t/tp-smapi/tp-smapi-source_0.41-1_all.deb

Package: tpclient-pywx
Version: 0.3.1.1-3.1
Installed-Size: 6492
Maintainer: Debian Games Team 
Architecture: all
Depends: python (>= 2.4), python-support (>= 0.90.0), python-numpy, python-wxgtk2.8, python-tp-netlib, python-tp-client, python-gconf
Recommends: python-imaging, python-openssl, python-psyco
Size: 3236690
SHA256: dffbbe38cb93aea3cfe9c6c2587973d11054393efa1b7d874358f65ccb1ce2b3
SHA1: 9f392dd8fae9002c1ac9d1bfce4633dc036f4f34
MD5sum: 7fb05a3f33549630c104b0cf81b0a287
Description: Thousand Parsec Python client
 A Python wxgtk client to connect to the Thousand Parsec game world.
 .
 Thousand Parsec is a framework for turn based space empire building games.
 .
 Thousand Parsec is not a game by itself, it is a frame work for creating
 a similar group of games. Some of the games, such as the first demo game
 MiniSec, are developed by the Thousand Parsec developers themselves.
 In the near future it is hoped that other developers will create their
 own games using Thousand Parsec.
Homepage: http://www.thousandparsec.net/tp/
Section: games
Priority: extra
Filename: pool/main/t/tpclient-pywx/tpclient-pywx_0.3.1.1-3.1_all.deb

Package: tpconfig
Version: 3.1.3-15
Architecture: armhf
Maintainer: Vincent Bernat 
Installed-Size: 159
Depends: libc6 (>= 2.4), debconf (>= 0.5) | debconf-2.0
Replaces: synaptics
Priority: optional
Section: utils
Filename: pool/main/t/tpconfig/tpconfig_3.1.3-15_armhf.deb
Size: 67154
SHA256: 373a115c5ddd25cc759211ddb9710d0c319f2c8d35c6b6c9d6c1efa7a2c9fe7c
SHA1: 823dc15920bb7b09b57e24af6e72b2ccea4375d9
MD5sum: efcdc28f70e238991225dfa00ad3ed27
Description: touchpad device configuration utility
 This package provides a program that can show or modify the configuration of
 various touchpad devices, including the Synaptics
 TouchPad and the ALPS Glidepad/Stickpointer.

Package: tpm-tools
Version: 1.3.7-1
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 689
Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), libtpm-unseal1, libtspi1 (>= 0.3.1), opencryptoki, trousers
Homepage: http://trousers.sourceforge.net/
Priority: optional
Section: admin
Filename: pool/main/t/tpm-tools/tpm-tools_1.3.7-1_armhf.deb
Size: 181858
SHA256: cf1a6388255c996fd3af838487968f7a293fb88b48786fa574d523b27fec660b
SHA1: bb248d53f639676d4c7753cc5a9a9b5440e15922
MD5sum: 885073dc5d00e3f6c10086e3f1d0ddb3
Description: Management tools for the TPM hardware (tools)
 tpm-tools is a group of tools to manage and utilize the Trusted Computing
 Group's TPM hardware. TPM hardware can create, store and use RSA keys securely
 (without ever being exposed in memory), verify a platform's software state
 using cryptographic hashes and more.
 .
 This package contains tools to allow the platform administrator the ability
 to manage and diagnose the platform's TPM. Additionally, the package contains
 commands to utilize some of the capabilities available in the TPM PKCS#11
 interface implemented in the openCryptoki project.

Package: tpm-tools-dbg
Source: tpm-tools
Version: 1.3.7-1
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 1417
Depends: tpm-tools (= 1.3.7-1), libtpm-unseal1 (= 1.3.7-1), libtpm-unseal-dev (= 1.3.7-1)
Homepage: http://trousers.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/t/tpm-tools/tpm-tools-dbg_1.3.7-1_armhf.deb
Size: 561316
SHA256: f567d79ef721c616cbd6b85cd4cd2156837aada0f870f06c1af1596edfa51408
SHA1: 45b1cf9f49678f0032121a10d8972f39e74b7d0f
MD5sum: 7f99714a5a2c8529778a86455baff617
Description: Management tools for the TPM hardware (debug)
 tpm-tools is a group of tools to manage and utilize the Trusted Computing
 Group's TPM hardware. TPM hardware can create, store and use RSA keys securely
 (without ever being exposed in memory), verify a platform's software state
 using cryptographic hashes and more.
 .
 This package contains the debugging symbols.

Package: tpp
Version: 1.3.1-2
Installed-Size: 204
Maintainer: Nico Golde 
Architecture: all
Depends: libncurses-ruby1.8, ruby (>= 1.8.0-1)
Suggests: figlet, texpower
Size: 38810
SHA256: 00a45ce7ab03d8730c5e4aa38cc5bc858364c4d402b2ebe04e9103641e0ad11a
SHA1: e5991e853e3d17566710e0cbc44f65b2b784397d
MD5sum: acb8a278057809172b1d1625f16c466d
Description: text presentation program
 Tpp stands for text presentation program and is an ncurses-based presentation
 tool. The presentation can be written with your favorite editor in a simple
 description format and then shown on any text terminal that is supported by
 ncurses - ranging from an old VT100 to the Linux framebuffer to an xterm.
 .
 It supports color, LaTeX output of presentation, sliding in text,
 a command prompt and additional cool features.
Homepage: http://www.ngolde.de/tpp/
Tag: implemented-in::ruby, interface::text-mode, role::program,
 scope::application, uitoolkit::ncurses, use::viewing, works-with::text
Section: graphics
Priority: optional
Filename: pool/main/t/tpp/tpp_1.3.1-2_all.deb

Package: tqsllib-dev
Source: tqsllib
Version: 2.2-5
Architecture: armhf
Maintainer: Debian Hamradio Maintainers 
Installed-Size: 1007
Depends: libtqsllib1 (= 2.2-5)
Homepage: http://sourceforge.net/trustedqsl/
Priority: optional
Section: libdevel
Filename: pool/main/t/tqsllib/tqsllib-dev_2.2-5_armhf.deb
Size: 216274
SHA256: f307cec1a48892c0ec3a532c6e230feca942d3b21b097cde793c1ee8dfb5153a
SHA1: 11331c86aa30f73514458ddb2f911640b961829e
MD5sum: 8bd50fde2be5a9dfd83a0d0e5888fd9b
Description: QSL signing library development files
 A QSL is a confirmation of contact between two amateur radio stations.
 This library provides functions for signing amateur radio QSL data to
 create tQSLs. tQSLs can be uploaded to the ARRL Logbook of the World
 project for credit towards amateur radio awards. This package contains
 the header files required to compile programs which use this library.

Package: trac
Version: 0.12.5-3~deb7u1
Installed-Size: 7519
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), python-pkg-resources, python-genshi (>= 0.6), python-setuptools (>= 0.6), libjs-jquery
Recommends: apache2 | httpd, python-subversion, python-pygments (>= 0.6), python-tz, python-babel (>= 0.9.5), python-docutils (>= 0.3.9)
Suggests: libapache2-mod-wsgi, python-textile (>= 2.0), trac-git, trac-spamfilter, trac-bzr (>= 0.2+bzr45), trac-mercurial (>= 0.11.0.4), trac-accountmanager, trac-email2trac, trac-xmlrpc, trac-wysiwyg, trac-mastertickets, trac-customfieldadmin, trac-bitten, trac-authopenid, trac-graphviz, trac-wikirename, trac-wikiprint, trac-ja-resource, python-psycopg2
Size: 2100174
SHA256: a59066324ed2dff07d001b082b223b2a82f5b5970a286523005ab57855e8058b
SHA1: cbe61c0cd61facf60028c22bc168cf90b72a8ed2
MD5sum: 7aed3fba0dca172cfade2ff77e1a6125
Description: Enhanced wiki and issue tracking system for software development projects
 Trac uses a minimalistic approach to web-based software project management.
 Its mission is to help developers write great software while staying out of
 the way. Trac should impose as little as possible on a team's established
 development process and policies.
 It provides an interface to Subversion (or other version control systems),
 an integrated Wiki and convenient reporting facilities.
 Trac allows wiki markup in issue descriptions and commit messages, creating
 links and seamless references between bugs, tasks, changesets, files and
 wiki pages. A timeline shows all current and past project events in order,
 making the acquisition of an overview of the project and tracking progress
 very easy. The roadmap shows the road ahead, listing the upcoming milestones.
Homepage: http://trac.edgewall.com
Tag: devel::bugtracker, devel::rcs, implemented-in::python, interface::web,
 role::program, scope::application, web::application, web::wiki,
 works-with::bugs, works-with::software:source
Section: web
Priority: optional
Filename: pool/main/t/trac/trac_0.12.5-3~deb7u1_all.deb

Package: trac-accountmanager
Version: 0.2.1+r7731-1
Installed-Size: 188
Maintainer: Leo Costela 
Architecture: all
Depends: python, python-support (>= 0.90.0), trac (>= 0.11), python-pkg-resources
Size: 25508
SHA256: 760d85e8b0b68a89e176ddd1e98ad70ab2a8c97e8add825f5ab2f1315fa131e1
SHA1: b96fc3b4102160b627ece5297e5048477f87b08c
MD5sum: 4a7093a7c25b93bd923277d2f1e832a5
Description: account management plugin for Trac
 Offers several features for managing user accounts on Trac:
  - allow users to register new accounts
  - login via an HTML form instead of using HTTP authentication
  - allow existing users to change their passwords or delete their accounts
Homepage: http://trac-hacks.org/wiki/AccountManagerPlugin
Python-Version: 2.5, 2.6
Tag: admin::user-management, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/trac-accountmanager/trac-accountmanager_0.2.1+r7731-1_all.deb

Package: trac-announcer
Version: 0.12.1+r10986-2
Installed-Size: 565
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-genshi, trac
Size: 68832
SHA256: 49ff6342239e5a137c38c6df32a67515e8e06b41d79959320c99a3154dbc2a36
SHA1: 2d822cc561839547816e34d1349ea6f8dd3526c7
MD5sum: 7134edf51ff886b290c17e94ee6e5c33
Description: enhanced e-mail notification system for Trac
 The AnnouncerPlugin is meant to provide an extensible,
 user-customizable notification system that can be used to
 completely replace Trac's default notifications. It allows
 easy and flexible notifications about tickets, wiki page
 changes, and even Bitten builds.
Homepage: http://trac-hacks.org/wiki/AnnouncerPlugin
Section: web
Priority: optional
Filename: pool/main/t/trac-announcer/trac-announcer_0.12.1+r10986-2_all.deb

Package: trac-authopenid
Version: 0.3.1-1
Installed-Size: 232
Maintainer: Jeremy Lainé 
Architecture: all
Depends: python, python-support (>= 0.90.0), trac (>= 0.11), python-openid
Size: 33670
SHA256: b7b04ed297142ce521f74e7c0e9e90d07199e9b4ab9e4ce6497c399570beca05
SHA1: a6436482517a99757b200e7bd5ef79ec15910a44
MD5sum: 88217c17b761f1583a74526377272594
Description: OpenID authentication plugin for Trac
 This plugin makes it possible to login to Trac using the OpenID
 decentralized identity system.
Homepage: http://bitbucket.org/Dalius/authopenid-plugin/
Tag: role::plugin, security::authentication
Section: python
Priority: optional
Filename: pool/main/t/trac-authopenid/trac-authopenid_0.3.1-1_all.deb

Package: trac-batchmodify
Version: 0.8.0+r10978-1
Installed-Size: 148
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), trac
Size: 12684
SHA256: acf467aaa03dd947419e77642c32ec14912c8b57dd06fd7b6d9efebce3ad8681
SHA1: 8bd0dcce43aa6bde3f331454a972c52c367109ad
MD5sum: 6ec33ba6f9602613dedc94477a1430c3
Description: modify several Trac tickets together in one shot
 This Trac plugins allows users with TICKET_BATCH_MODIFY permission
 to change properties of several tickets at once, e.g. status,
 milestone, owner etc.
Homepage: http://trac-hacks.org/wiki/BatchModifyPlugin
Section: web
Priority: optional
Filename: pool/main/t/trac-batchmodify/trac-batchmodify_0.8.0+r10978-1_all.deb

Package: trac-bitten
Version: 0.6+final-3
Installed-Size: 334
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), trac, trac-bitten-slave, python-pkg-resources, libjs-flot, libjs-excanvas
Size: 59904
SHA256: c2b9c19c322da9fcb2ce5c337ef860dc819f69ef1472b18b48f24a64e8492073
SHA1: c7de2d4638aa8c40ffc8e2c93f79d076c379cc24
MD5sum: cdbab2b2608ad30b335c5b43ec4faed1
Description: continuous integration plugin for Trac
 Bitten is a Trac extension for continuous integration. It uses
 a distributed build model, where one or more "slaves" run the
 actual tests, and a "master" gathers the results and displays
 them nicely on a web page.
 .
 Bitten is similar to BuildBot, Gump, Hudson, Jenkins, or
 Tinderbox, but integrated well into Trac.
 .
 This package contains the master, implemented as Trac plugin.
Homepage: http://bitten.edgewall.org/
Tag: devel::buildtools, implemented-in::python, interface::web, role::plugin,
 scope::utility, web::application, works-with::software:source
Section: web
Priority: optional
Filename: pool/main/t/trac-bitten/trac-bitten_0.6+final-3_all.deb

Package: trac-bitten-slave
Source: trac-bitten
Version: 0.6+final-3
Installed-Size: 295
Maintainer: Python Applications Packaging Team 
Architecture: all
Replaces: trac-bitten (<< 0.6+final-1)
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pkg-resources
Suggests: adduser
Breaks: trac-bitten (<< 0.6+final-1)
Size: 52226
SHA256: ef9c7c73090599bcbc5a5d32237e62231197bf6f2bc000be2040683fa48d11dc
SHA1: 1056c90c73361cde29d20de028e4266303a0a1b1
MD5sum: e2fb10c07e952c380b117b4d0ea3e9d7
Description: continuous integration plugin for Trac
 Bitten is a Trac extension for continuous integration. It uses
 a distributed build model, where one or more "slaves" run the
 actual tests, and a "master" gathers the results and displays
 them nicely on a web page.
 .
 Bitten is similar to BuildBot, Gump, Hudson, Jenkins, or
 Tinderbox, but integrated well into Trac.
 .
 This package contains the slave, which performs the actual build.
 It is recommended to run the slave on behalf of a non-privileged
 user. You may run the slave from e.g. from cron or as a daemon.
Homepage: http://bitten.edgewall.org/
Tag: devel::buildtools, implemented-in::python, interface::commandline,
 scope::utility, works-with::software:source
Section: web
Priority: optional
Filename: pool/main/t/trac-bitten/trac-bitten-slave_0.6+final-3_all.deb

Package: trac-bzr
Version: 0.4.2+bzr125-2
Installed-Size: 143
Maintainer: Debian QA Group 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), trac (>= 0.11), trac (<= 0.13~), python-bzrlib, python-pkg-resources
Suggests: bzr
Enhances: bzr, trac
Size: 37972
SHA256: 391e07b7cf91c7bae31a6f1564b102e951355734c8f7507f90c7f83ea452f714
SHA1: 5192c60c0b9445b8fc3f8b9d317c911a7d7cc128
MD5sum: 8b1ca4d3a9416a61ab0d6dc55f88441a
Description: Bazaar version control (bzr) backend for Trac
 A plugin that provides support for the Bazaar (bzr) source code
 management tool for Edgewall Software's Trac.
Homepage: https://launchpad.net/trac-bzr
Tag: devel::rcs, implemented-in::python, role::plugin, works-with::bugs
Section: vcs
Priority: optional
Filename: pool/main/t/trac-bzr/trac-bzr_0.4.2+bzr125-2_all.deb

Package: trac-customfieldadmin
Version: 0.2.6+r10460-1
Installed-Size: 134
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), trac
Size: 9460
SHA256: 7e443a9563a612d5b5f569fde6ff6c8e20003102efd6c7df0312cce753a10bf8
SHA1: 1b3481738578d390e6acfb6bdbfb8d15935a5382
MD5sum: dab0a521352fe6ce26e91979aa22973c
Description: panel for administrating custom ticket fields in Trac
 This Trac plugin is a web admin panel for administrating custom
 fields - adding, modifying and deleting them without editing
 the trac.ini file directly.
Homepage: http://trac-hacks.org/wiki/CustomFieldAdminPlugin
Tag: role::plugin
Section: web
Priority: optional
Filename: pool/main/t/trac-customfieldadmin/trac-customfieldadmin_0.2.6+r10460-1_all.deb

Package: trac-datefieldplugin
Version: 0.7782-3
Installed-Size: 128
Maintainer: Al Nikolov 
Architecture: all
Depends: python, python-support (>= 0.90.0), trac, libjs-jquery-ui
Size: 7072
SHA256: d4282ee29807d359b943d9b39dd67762af9d62fc986607d3f0fdf878976dd5d1
SHA1: ab6301ccf48c3ad66c4540f66a30485eceeb057d
MD5sum: f1ae91b2da95a076533a1f64c5e19e75
Description: Add custom date fields to Trac tickets
 This plugin validates custom fields as dates in Trac
 tickets and helps to input them using JQuery-UI.
Homepage: http://trac-hacks.org/wiki/DateFieldPlugin
Python-Version: 2.5, 2.6
Tag: devel::bugtracker, implemented-in::python, role::plugin
Section: python
Priority: extra
Filename: pool/main/t/trac-datefieldplugin/trac-datefieldplugin_0.7782-3_all.deb

Package: trac-diavisview
Version: 0.1+r11124-2
Installed-Size: 86
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), trac, dia, python-imaging
Size: 6812
SHA256: e78f1a535534be68fd38de488fbd2471a8b072e5ce573dbf43eb8e788dce243b
SHA1: e2800d5991d485b0305a43d700e9cf5adaafb02a
MD5sum: 07f43effa299ee74c856b0a51733b167
Description: Renders dia and vdx files in Trac
 DiaVisView allows embedding a dia and vdx file into the Trac wiki.
 The dia or vdx file is rendered and shown as PNG.
Homepage: http://trac-hacks.org/wiki/DiaVisViewPlugin
Section: web
Priority: optional
Filename: pool/main/t/trac-diavisview/trac-diavisview_0.1+r11124-2_all.deb

Package: trac-email2trac
Source: email2trac
Version: 2.4.7-1
Installed-Size: 145
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python, trac
Size: 40790
SHA256: c3362ca76f2fd3871ff0675c1e3bd5dfd9e82558b7ab85b363006a57f1246f53
SHA1: 2a049e32c41d96214f63cc5768625f20f04706de
MD5sum: da10ad3bb268cb3e8167b4719fa644d9
Description: Creates and amends Trac tickets from e-mail
 email2trac creates tickets for the issue tracking system Trac from e-mail.
 Is also amends existing tickets, if the subject contains the ticket number.
Homepage: https://subtrac.sara.nl/oss/email2trac
Tag: role::plugin, works-with::bugs, works-with::mail
Section: web
Priority: optional
Filename: pool/main/e/email2trac/trac-email2trac_2.4.7-1_all.deb

Package: trac-git
Version: 0.12.0.5+722342e-1
Installed-Size: 161
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), trac (>= 0.11), git-core
Size: 23256
SHA256: d24189d16053ed46b0ca9571487ef9c23000ec622fcde8cc1ade4e05984a30b8
SHA1: c9358f8a9b5e3ea20adaaec8009d35079e6b8620
MD5sum: c5e5808d1d397f7d433229154b688be6
Description: Git version control backend for Trac
 A plugin that provides support for the Git source code management
 tool for Edgewall Software's Trac.
Homepage: http://trac-hacks.org/wiki/GitPlugin
Tag: devel::rcs, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/trac-git/trac-git_0.12.0.5+722342e-1_all.deb

Package: trac-graphviz
Version: 0.7.5-1
Installed-Size: 200
Maintainer: Christoph Egger 
Architecture: all
Depends: python-support (>= 0.90.0), trac (>= 0.11.1), python-pygraphviz
Recommends: librsvg2-bin
Enhances: trac
Size: 22848
SHA256: 99147e3e6457f60cd277a49d24e2552cf61a4d61d96ad211c53ee112ce02c902
SHA1: 8c14e3d5a36ddbb77151883639c53e91cc8fd82f
MD5sum: bd8c01678c389dd8ddd8e2ddc80ac017
Description: Graphs printing plugin for Trac
 This is a Plugin for the Trac project management application. It
 allows drawing of diagrams in a extension to the wiki markup language
 and renders them using the graphviz programs into a form that is
 displayable in a webbrowser.
Homepage: http://trac-hacks.org/wiki/GraphvizPlugin
Tag: role::plugin
Section: python
Priority: optional
Filename: pool/main/t/trac-graphviz/trac-graphviz_0.7.5-1_all.deb

Package: trac-httpauth
Version: 1.1+r6675-1
Installed-Size: 79
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), trac-accountmanager, trac
Size: 4822
SHA256: 39290226269777102b0e385bc6fd6d972e06bc0a91b14295d1a641dbd7e245d0
SHA1: 5619fa0a39a322e4eb35ebcd3d42fcd225aa3af7
MD5sum: c02d95ea1917996419d7de09caf0b6f0
Description: Force HTTP authentication from within Trac
 Allows you to protect certain paths with HTTP authentication,
 while the AccountManagerPlugin is used to check passwords.
 Primarily this is meant to be used with the XmlRpcPlugin so
 it will work while using AccountManager's form-based logins.
Homepage: http://trac-hacks.org/wiki/HttpAuthPlugin
Section: web
Priority: optional
Filename: pool/main/t/trac-httpauth/trac-httpauth_1.1+r6675-1_all.deb

Package: trac-icalviewplugin
Version: 0.7889-1
Installed-Size: 104
Maintainer: Al Nikolov 
Architecture: all
Depends: python, python-central (>= 0.6.11), trac
Size: 6308
SHA256: ebdfc323729417b1a962f2a1daae1adcd4157bd8bf09caef59feb70cbad99d85
SHA1: 117e5fd526644508b929ea9f256d5e76e91979f6
MD5sum: aef32a8695a251373d80a10c3505af24
Description: Provides iCalendar feeds for ticket queries
 This plugin provides iCalendar feeds for ticket queries as standard
 roadmap module. It use 2 optional custom fields for event date and
 duration.
Homepage: http://trac-hacks.org/wiki/IcalViewPlugin
Python-Version: all
Tag: devel::bugtracker, implemented-in::python, role::plugin
Section: python
Priority: extra
Filename: pool/main/t/trac-icalviewplugin/trac-icalviewplugin_0.7889-1_all.deb

Package: trac-ja-resource
Version: 0.12.2.ja1-1
Installed-Size: 8984
Maintainer: Taku YASUI 
Architecture: all
Depends: trac (>= 0.12.2), trac (<< 0.13), python (>= 2.6.6-7~)
Size: 1972190
SHA256: 8a0833e3faa6f346928faee7eb65b5e71a68147f6f6fd2a5b783c63bdf3f2e90
SHA1: 2956f5290101fdf9221fd6b69536fbdd01c3f2df
MD5sum: 4c369c273e2cb56c546ac10a8b3891a8
Description: Japanese resources for trac
 This package includes following Japanese resource for trac.
 .
   * Japanese web template
   * Japanese default wiki page
 .
 Trac uses a minimalistic approach to web-based software project management.
 Our mission; to help developers write great software while staying out of
 the way. Trac should impose as little as possible on a team's established
 development process and policies.
Homepage: http://www.i-act.co.jp/project/products/products.html
Tag: culture::japanese, role::app-data
Section: web
Priority: optional
Filename: pool/main/t/trac-ja-resource/trac-ja-resource_0.12.2.ja1-1_all.deb

Package: trac-jsgantt
Version: 0.9+r11145-1
Installed-Size: 307
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), trac
Recommends: trac-mastertickets, trac-subtickets
Size: 49494
SHA256: ebe1b041092cc7b5dff0e7bf731e18e2d8e04a658693ff0dcb70d496e1377d9f
SHA1: be49b2ddee8d3b7d9f97981665f91223f9abd2d7
MD5sum: 57c6c80c5aa29f9165d13d19b8510177
Description: displays Trac tickets as a Gantt chart in a wiki page
 A plugin which allows Trac ticket data to be displayed in a
 jsGantt chart in a wiki page. Tasks and milestones are links to
 the corresponding ticket or milestone.
 .
 Configurable field names allow integration with other plugins
 such as trac-mastertickets (for dependencies), trac-subtickets
 (for parent/child relationships), and TimingAndEstimation
 (for estimated and total hours).
Homepage: http://trac-hacks.org/wiki/TracJsGanttPlugin
Section: web
Priority: optional
Filename: pool/main/t/trac-jsgantt/trac-jsgantt_0.9+r11145-1_all.deb

Package: trac-mastertickets
Version: 3.0.2+20111224-2
Installed-Size: 144
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), trac
Recommends: libjs-jquery, graphviz
Size: 15810
SHA256: 7250138c74989554d1de34030136b2c363a60b412641b219409cdba77d9498ad
SHA1: e9b37a6397befded36dd8ceb8e7763ff0732b53c
MD5sum: 44292b969decab38ba38a65095bfd56f
Description: adds inter-ticket dependencies to Trac
 This Trac plugin adds "blocks" and "blocked by" fields to each
 ticket, enabling you to express dependencies between tickets. It
 also provides a GraphvizPlugin-based dependency-graph feature
 for those tickets having dependencies specified, allowing you to
 visually understand the dependency tree.
Homepage: http://trac-hacks.org/wiki/MasterTicketsPlugin
Tag: role::plugin
Section: web
Priority: optional
Filename: pool/main/t/trac-mastertickets/trac-mastertickets_3.0.2+20111224-2_all.deb

Package: trac-mercurial
Version: 0.12.0.28-1
Installed-Size: 69
Maintainer: Christoph Egger 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), trac (>= 0.11), mercurial (>= 0.9.5)
Enhances: trac
Size: 23636
SHA256: 742ca3ac6598ebbb640a5448f7ae8e43a63b7a8487da3c3d9676a4822cfba0c6
SHA1: daa31dd0a903ec6be77caa45258f180231520e7b
MD5sum: f8184296dff8cb56d7066cd98a41e266
Description: Mercurial version control backend for Trac
 TracMercurial is a plugin for Edgewall Software's Trac that allows Trac to use
 Mercurial for version control instead of Subversion.
Homepage: http://trac.edgewall.org/wiki/TracMercurial
Tag: devel::rcs, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/trac-mercurial/trac-mercurial_0.12.0.28-1_all.deb

Package: trac-odtexport
Version: 0.6.0+svn10787-2
Installed-Size: 326
Maintainer: Daniel Kahn Gillmor 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), trac, python-utidylib, python-lxml, python-imaging
Size: 31508
SHA256: 065943bf7078fb968ab0aec6b32f8b0768082f83fccbef593435994d610ace1b
SHA1: d0801264b63e51d24500b8e1f2fb9e7411f2fd91
MD5sum: 281b46ebc8fe24095015622e8e5ef6e7
Description: Export Trac wiki pages as OpenDocument (ODT) files
 The Trac OdtExport plugin can convert a wiki page to an OpenDocument
 Text file (ODT).
 .
 The file can be opened with any compatible office suite, such as
 OpenOffice, KOffice, IBM Symphony, etc. Currently, it's only been
 tested with OpenOffice.
 .
 The XSLT stylesheets come from the XHTML2ODT project.
Homepage: http://trac-hacks.org/wiki/OdtExportPlugin
Tag: role::plugin, use::converting, works-with-format::odf
Section: web
Priority: extra
Filename: pool/main/t/trac-odtexport/trac-odtexport_0.6.0+svn10787-2_all.deb

Package: trac-privatetickets
Source: trac-privateticketsplugin
Version: 2.0.3-3
Installed-Size: 104
Maintainer: Francisco Manuel Garcia Claramonte 
Architecture: all
Replaces: trac-privateticketsplugin (<< 2.0.3-3)
Depends: python, python-support (>= 0.90.0), trac
Breaks: trac-privateticketsplugin (<< 2.0.3-3)
Size: 8900
SHA256: 6ebbe4828ac48e1079a2fb644f945ee9b079bbc74e0efdf912c555c6a1e9d6e4
SHA1: 64db22f9cd5ba6f62c861ae5d93c74321e040f4e
MD5sum: 7ce8b2163e91fe58bfbd227aaac91882
Description: Allows Trac users to only see tickets they are associated with
 Privateticketsplugin allows to config Trac in order to each user only may
 be able to see the tickets if (s)he is the person who appears as the owner,
 reporter or (s)he is included in the CC list.
 .
 This plugin manages Trac users and groups permissions.
Homepage: http://trac-hacks.org/wiki/PrivateTicketsPlugin
Section: web
Priority: optional
Filename: pool/main/t/trac-privateticketsplugin/trac-privatetickets_2.0.3-3_all.deb

Package: trac-privateticketsplugin
Version: 2.0.3-3
Installed-Size: 40
Maintainer: Francisco Manuel Garcia Claramonte 
Architecture: all
Depends: trac-privatetickets
Size: 5774
SHA256: 6cc08b13c021cf55e307465129b32848705362f9b92abb08aaf402703ebb0544
SHA1: cc32d4a27eaf5d5474161dac7a3ac53d560ea4d6
MD5sum: 81046c65bfdf27d2e8fd7976bc613148
Description: transitional dummy package for trac-privatetickets
 The package `trac-privateticketsplugin` has been renamed to
 `trac-prievatetickets`.
 .
 This is a dummy, transitional package which can be safely removed.
Homepage: http://trac-hacks.org/wiki/PrivateTicketsPlugin
Tag: role::plugin
Section: web
Priority: optional
Filename: pool/main/t/trac-privateticketsplugin/trac-privateticketsplugin_2.0.3-3_all.deb

Package: trac-roadmap
Version: 0.4.1+r11241-1
Installed-Size: 129
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), trac
Size: 7834
SHA256: c925cb07bf2ee07d72d181a4db2232acfb1298ef626022c50fb07946f6cbd618
SHA1: 74981a702154e06b83d0472401441b0e0f1a5a6d
MD5sum: 128e03c9e71b7c8b86376afef2f51aa0
Description: enhances the Trac roadmap with sorting and filtering
 This plugin for Trac adds simple milestone sorting and filtering
 options to the roadmap view.
Homepage: http://trac-hacks.org/wiki/RoadmapPlugin
Section: web
Priority: optional
Filename: pool/main/t/trac-roadmap/trac-roadmap_0.4.1+r11241-1_all.deb

Package: trac-sensitivetickets
Version: 0.21-1
Installed-Size: 85
Maintainer: Daniel Kahn Gillmor 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), trac (>= 0.11.6)
Size: 6430
SHA256: 504dc35f7fd92aa7f2f8a9c11dc30d8255248aae0568701ac3c7e0ff3193b0ae
SHA1: 25a807956eb9208cf9dab6b0d9743341943326ef
MD5sum: 81d1347d14e0c722da2bd49045b3c46e
Description: Plugin for Trac ticketing system to hide tickets marked as sensitive
 Extend the Trac ticketing system to be able to mark tickets as
 sensitive, restricting their visibility to users with SENSITIVE_VIEW
 privileges.
Homepage: http://trac-hacks.org/wiki/SensitiveTicketsPlugin
Section: web
Priority: extra
Filename: pool/main/t/trac-sensitivetickets/trac-sensitivetickets_0.21-1_all.deb

Package: trac-spamfilter
Version: 0.2.1+svn6871-4
Installed-Size: 256
Maintainer: Chris Lamb 
Architecture: all
Depends: python-support (>= 0.90.0), trac (>= 0.10), python-pkg-resources
Recommends: python-dnspython (>= 1.3.5), spambayes
Size: 26356
SHA256: 21e127015d0cf32b1c7b20047fe5e833cdfc3702a609b48a3c7aa7b6fdb9f81d
SHA1: a80b7022424c9eceb0752ed1a77597307e994eb0
MD5sum: 75c9b5beefdd20a45af62d25f0972eda
Description: Spam-prevention plugin for Trac
 This plugin attempts to reject contributions to Trac environments that contain
 spam. It can use the following techniques:
 .
  * Regular expressions
  * Akismet web service
  * IP throttling
  * IP blacklisting (requires python-dnspython package)
  * Bayesian filtering (requires spambayes package)
Homepage: http://trac.edgewall.org/wiki/SpamFilter
Tag: mail::filters, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/trac-spamfilter/trac-spamfilter_0.2.1+svn6871-4_all.deb

Package: trac-subtickets
Version: 0.1.1+253f019-1
Installed-Size: 175
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), trac
Size: 11164
SHA256: c05eaa3736dcf847715397b47fcb311d1daf0cfa9ec9d652ec8ff9c3d3db6b9b
SHA1: 7b459ea72ad1590d04b20211166f4e3601569aa8
MD5sum: da243b2e29474427fe4f92a27b26fc0d
Description: sub-ticket feature for Trac tickets
 This Trac plugin adds a sub-ticket feature to the Trac ticket
 tracker. Every ticket can have other tickets as parents or
 children.
Homepage: http://trac-hacks.org/wiki/SubticketsPlugin
Section: web
Priority: optional
Filename: pool/main/t/trac-subtickets/trac-subtickets_0.1.1+253f019-1_all.deb

Package: trac-tags
Version: 0.6.0+svn11105-1
Installed-Size: 208
Maintainer: Daniel Kahn Gillmor 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-genshi, trac, python-pkg-resources
Size: 29356
SHA256: f5cbff20c9ec8256293d94ddaafbf5ecfd51a94f15199da4d07a209b720c3b0e
SHA1: 247c8890dddbd01e3366ea8da2e901b32f674f6b
MD5sum: 92e434d98ee71a6f78ee567389095382
Description: Tagging plugin for Trac wiki and issue tracking system
 The Trac Tags plugin implements both a generic tagging engine, and
 frontends for the Wiki and ticket systems. An extra text entry box is
 added to the Wiki edit page for tagging Wiki pages, and ticket fields
 (you can configure which ones) are treated as tags for the ticket
 system.
Homepage: http://trac-hacks.org/wiki/TagsPlugin
Tag: role::plugin
Section: web
Priority: extra
Filename: pool/main/t/trac-tags/trac-tags_0.6.0+svn11105-1_all.deb

Package: trac-virtualticketpermissions
Version: 1.0.0+svn4153-1
Installed-Size: 188
Maintainer: Daniel Kahn Gillmor 
Architecture: all
Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), trac
Size: 6512
SHA256: b5d03962c3e6aff99be4fd433bceac3deadfec13dd67c95cd0260a73cf932bd4
SHA1: ff072f94153238efde08d8853d5dd23e3fc62e07
MD5sum: 83c00dd18cbe2433a18222f8f0754048
Description: Extended permissions plugin for Trac ticketing system
 Extend the Trac ticketing system to be able to provide different
 permissions for users who are associated with a specific ticket.  In
 particular, the ticket owner, ticket reporter, and members of the CC
 list are explicitly available.
Homepage: http://trac-hacks.org/wiki/VirtualTicketPermissionsPlugin
Tag: role::plugin
Section: web
Priority: extra
Filename: pool/main/t/trac-virtualticketpermissions/trac-virtualticketpermissions_1.0.0+svn4153-1_all.deb

Package: trac-wikiprint
Version: 1.9.2-1.1
Installed-Size: 154
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), trac, python-pisa, python-imaging, python-html5lib, python-reportlab
Size: 15394
SHA256: d904631c1ec97f40a042d6aa7c9e6c5424193c681b19de796d826cea45b40c49
SHA1: 7137cd14021e5833ea1e495b54a335fea19522ce
MD5sum: 05c8e4ca87d492bee67d84d691554dfc
Description: Make Trac wiki pages printable, exporting to PDF or printable HTML
 This Trac plugin allows export of one or multiple wiki pages in PDF
 or HTML format. It features:
  * Fully customizable header/footers for PDF
  * Syntax highlighting in exported format, using pygments
  * Customizable front page for PDF book format
  * Automatic creation of Table of Contents if [[PageOutline]]
    Macro is used
 The style of the resulting PDF or HTML can be fully customized using
 CSS files.
Homepage: http://trac-hacks.org/wiki/TracWikiPrintPlugin
Tag: role::plugin
Section: web
Priority: optional
Filename: pool/main/t/trac-wikiprint/trac-wikiprint_1.9.2-1.1_all.deb

Package: trac-wikirename
Version: 2.1.1-1
Installed-Size: 68
Maintainer: Alexander GQ Gerasiov 
Architecture: all
Depends: python, python-support (>= 0.90.0), trac (>= 0.11)
Size: 6964
SHA256: d5cb5ad504df1c7bc2cc9bee676257b7e031dd741e4e66229d65261c589033c7
SHA1: 1d22429d1bd5fcde13e2ddec833a6745fa5c30a6
MD5sum: 8a824642286457d7a8e9071ff4cdf9c6
Description: trac plugin which allows to rename wiki pages
 This plugin allows you to rename wiki pages. It will move a page and its
 history, and will rewrite explicit links ([wiki:PageName Label]) leading to it
 from other wiki pages. It will also move any attachments on the page.
Homepage: http://trac-hacks.org/wiki/WikiRenamePlugin
Tag: role::plugin
Section: python
Priority: optional
Filename: pool/main/t/trac-wikirename/trac-wikirename_2.1.1-1_all.deb

Package: trac-wikitablemacro
Version: 0.7785-1
Installed-Size: 108
Maintainer: Al Nikolov 
Architecture: all
Depends: python, python-central (>= 0.6.11), trac
Size: 4984
SHA256: 3b78bfb56bd10c48e8da57265541305ed12eaaa228698f883e9221e399d93e44
SHA1: c2ed7875e83ff7b3f0280207b365bf86e6df84e3
MD5sum: 58ba9b31bffed0c402e83d8ea90af74e
Description: Table from an arbitrary SQL for Trac
 This Trac Wiki macro draws a table from any raw SQL.
Homepage: http://trac-hacks.org/wiki/WikiTableMacro
Python-Version: all
Tag: devel::bugtracker, implemented-in::python, role::plugin
Section: python
Priority: extra
Filename: pool/main/t/trac-wikitablemacro/trac-wikitablemacro_0.7785-1_all.deb

Package: trac-wysiwyg
Version: 0.12.0.3+r10725-1
Installed-Size: 241
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), trac, libjs-jquery
Size: 36822
SHA256: b49207f011cdeba76a9cbf9d572c1645d8a6d912a864a6c5c863919fd0c56ec0
SHA1: cf4f46c71972c43ec9f3492581aa47c028e497b5
MD5sum: d2735d9235ea15608f18efa8ee1e102d
Description: WYSIWYG style editor for the Trac issue tracking system
 trac-wysiwyg allows the user to edit all textarea fields in Trac in a
 WYSIWYG style, e.g. in wiki pages, ticket descriptions, ticket
 comments and so on. Users may freely switch between the traditional
 and WYSIWYG editing mode during editing. Especially the aid in
 editing tables justifies the installation of this plugin.
Homepage: http://trac-hacks.org/wiki/TracWysiwygPlugin
Tag: role::plugin, use::editing
Section: web
Priority: optional
Filename: pool/main/t/trac-wysiwyg/trac-wysiwyg_0.12.0.3+r10725-1_all.deb

Package: trac-xmlrpc
Version: 1.1.2+r10706-1
Installed-Size: 179
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), trac
Size: 24558
SHA256: 96fac6799bbdbc8f5f92dd617f96a5f7012c2d842e89d7e5abf5e2c3bb452eee
SHA1: 6114490c82b96f0560a86f902440b832b84ed8d9
MD5sum: e7a7ceba70ebf1c5170bea644a6876a8
Description: XML-RPC interface to the Trac wiki and issue tracking system
 This plugin allows Trac plugins to export select parts of their
 interface via XML-RPC. It also includes some exported functions
 for manipulating tickets, with plans to include interfaces to
 other parts of Trac's API.
Homepage: http://trac-hacks.org/wiki/XmlRpcPlugin
Tag: role::plugin
Section: web
Priority: optional
Filename: pool/main/t/trac-xmlrpc/trac-xmlrpc_1.1.2+r10706-1_all.deb

Package: traceroute
Version: 1:2.0.18-3
Architecture: armhf
Maintainer: Daniel Baumann 
Installed-Size: 155
Depends: libc6 (>= 2.13-28)
Homepage: http://traceroute.sourceforge.net/
Priority: important
Section: net
Filename: pool/main/t/traceroute/traceroute_2.0.18-3_armhf.deb
Size: 46620
SHA256: e57c37892696b047632cba24b9c3fc306878601118ab68a60bfa540ce6caebaf
SHA1: 80eb63b19740ed43a805fc0b93987f9df53242d4
MD5sum: 5f89bdaaf9643e4c8ddda5fa09e6264a
Description: Traces the route taken by packets over an IPv4/IPv6 network
 The traceroute utility displays the route used by IP packets on their way to a
 specified network (or Internet) host. Traceroute displays the IP number and
 host name (if possible) of the machines along the route taken by the packets.
 Traceroute is used as a network debugging tool. If you're having network
 connectivity problems, traceroute will show you where the trouble is coming
 from along the route.
 .
 Install traceroute if you need a tool for diagnosing network connectivity
 problems.

Package: trackballs
Version: 1.1.4-4.1
Architecture: armhf
Maintainer: Ari Pollak 
Installed-Size: 456
Depends: trackballs-data, guile-1.8-libs, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4)
Recommends: trackballs-music
Homepage: http://trackballs.sf.net
Priority: extra
Section: games
Filename: pool/main/t/trackballs/trackballs_1.1.4-4.1_armhf.deb
Size: 164274
SHA256: 06ec411b23757ce9a77a32a40a34c4e866740b5b11de4f07b37c6547a4c0a68d
SHA1: a7ed52a65ca88a42e8138b95f903302260115354
MD5sum: ec9613e1474cfb2713404748c6fbd1a5
Description: An OpenGL-based game of marbles through a labyrinth
 Trackballs is a simple game similar to the classical game Marble Madness
 on the Amiga in the 80's. By steering a marble ball through a labyrinth
 filled with vicious hammers, pools of acid and other obstacles the
 player collects points. When the ball reaches the destination it continues
 at the next, more difficult level - unless the time runs out.
 .
 This game is not intended to be a replica of Marble Madness but rather
 inspired by it. The game is also highly configurable by using a
 scripting extension (Guile) and it provides a simple editor by which new
 levels can easily be created.
 .
 Install the trackballs-music package for a soundtrack to be played during
 gameplay. For more information and screenshots of the game please see
 .

Package: trackballs-data
Source: trackballs
Version: 1.1.4-4.1
Installed-Size: 12835
Maintainer: Ari Pollak 
Architecture: all
Enhances: trackballs
Size: 6792004
SHA256: cdb9aed555195d9fe17e2ca3133af2abba1fde1cb3204644e82de3e75c3506b0
SHA1: 816b30ee469d279f483ad2314b0ffc9e88cf7af6
MD5sum: 6ba13a7f7d345e9c3cf2bd685c68e076
Description: Data files for trackballs
 This package contains the necessary architecture-independent data files
 needed for running trackballs.
Homepage: http://trackballs.sf.net
Tag: game::puzzle, interface::3d, role::app-data, uitoolkit::sdl,
 use::gameplaying, x11::application
Section: games
Priority: extra
Filename: pool/main/t/trackballs/trackballs-data_1.1.4-4.1_all.deb

Package: trackballs-dbg
Source: trackballs
Version: 1.1.4-4.1
Architecture: armhf
Maintainer: Ari Pollak 
Installed-Size: 1379
Depends: trackballs (= 1.1.4-4.1)
Homepage: http://trackballs.sf.net
Priority: extra
Section: debug
Filename: pool/main/t/trackballs/trackballs-dbg_1.1.4-4.1_armhf.deb
Size: 498502
SHA256: 573ae5a452f3e184cb6d194f111160701c042137c45999c85727ee648568903e
SHA1: 3448269835754efb380fbd3fd3f0e99f8d40b9dd
MD5sum: 2aa45ac5760726cea1f912204ee43205
Description: Debugging symbols for Trackballs
 This package includes the debugging symbols useful for debugging
 the Trackballs game contained in the trackballs package. The debugging
 symbols are used for execution tracing and core dump analysis.

Package: trackballs-music
Version: 1.3-1
Installed-Size: 29096
Maintainer: Ari Pollak 
Architecture: all
Recommends: trackballs
Size: 29355646
SHA256: 23a3732ffaf3e041aaac23901e1b5f392f423b8870a997d79b571217e2c02be8
SHA1: 4e4ce42365659de3f58473f034943c1c9250bff9
MD5sum: 39dff8370f7946be7c5f92cfcad7f65e
Description: Soundtrack for Trackballs
 This contains the music files as a complement to the trackballs package.
 They have been packaged separately since the files are relatively large
 and are not usually updated with every new trackballs release.
 This has been put together from the free (GPLed) music files available on
 the trackballs website.
Tag: game::puzzle, interface::3d, role::app-data, uitoolkit::qt,
 uitoolkit::sdl, use::gameplaying, x11::application
Section: games
Priority: extra
Filename: pool/main/t/trackballs-music/trackballs-music_1.3-1_all.deb

Package: tracker
Version: 0.14.1-3
Architecture: armhf
Maintainer: Michael Biebl 
Installed-Size: 2598
Pre-Depends: dpkg (>= 1.15.7.2)
Depends: libc6 (>= 2.13-28), libexempi3 (>= 2.2.0), libglib2.0-0 (>= 2.31.8), libtracker-miner-0.14-0 (>= 0.10.22), libtracker-sparql-0.14-0 (= 0.14.1-3), dconf-gsettings-backend | gsettings-backend, shared-mime-info, dbus (>= 1.3.1)
Recommends: tracker-utils, tracker-gui, tracker-miner-fs
Breaks: rygel-tracker (<< 0.5)
Homepage: http://projects.gnome.org/tracker/
Priority: optional
Section: utils
Filename: pool/main/t/tracker/tracker_0.14.1-3_armhf.deb
Size: 697352
SHA256: e77198adffb8675293177f495141e88a5c45f3a09c4e6548c838e009e368be14
SHA1: 841b1e960b1aa1be1ac7be29322f6e1e4f127bf2
MD5sum: 3a5276884ecccd64c292d5cd16436b99
Description: metadata database, indexer and search tool
 Tracker is an advanced framework for first class objects with associated
 metadata and tags. It provides a one stop solution for all metadata, tags,
 shared object databases, search tools and indexing.

Package: tracker-dbg
Source: tracker
Version: 0.14.1-3
Architecture: armhf
Maintainer: Michael Biebl 
Installed-Size: 5058
Depends: tracker (= 0.14.1-3)
Homepage: http://projects.gnome.org/tracker/
Priority: extra
Section: debug
Filename: pool/main/t/tracker/tracker-dbg_0.14.1-3_armhf.deb
Size: 1589764
SHA256: 155afbb2b1ab3778e17059ad00ad5e1f8f0049f0cb64e2b87fa64ff81f979bd2
SHA1: 9b87992dc58f3b182da4bc575b43916d757e44be
MD5sum: 4b4ffe339699f90dd0d38072d6039ce3
Description: metadata database, indexer and search tool - debugging symbols
 This package includes the debugging symbols useful for debugging tracker and
 its utilities.
 .
 Tracker is an advanced framework for first class objects with associated
 metadata and tags. It provides a one stop solution for all metadata, tags,
 shared object databases, search tools and indexing.

Package: tracker-explorer
Source: tracker
Version: 0.14.1-3
Architecture: armhf
Maintainer: Michael Biebl 
Installed-Size: 336
Depends: libc6 (>= 2.13-28), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.0.0), tracker (= 0.14.1-3)
Homepage: http://projects.gnome.org/tracker/
Priority: optional
Section: gnome
Filename: pool/main/t/tracker/tracker-explorer_0.14.1-3_armhf.deb
Size: 275812
SHA256: 185bf784f222dbdb5ed2cfa6fbbe9c9c69e70bc080f3c24a4355a7894f88fc52
SHA1: 63c55aba88db0d1a12f53d46f76f91e42f3956d8
MD5sum: 8608e09116f148fb51ae8801a2212102
Description: metadata database, indexer and search tool - developer tool
 This package includes a graphical tool that allows developers to query the
 tracker database for object properties and relationships.
 .
 Tracker is an advanced framework for first class objects with associated
 metadata and tags. It provides a one stop solution for all metadata, tags,
 shared object databases, search tools and indexing.

Package: tracker-extract
Source: tracker
Version: 0.14.1-3
Architecture: armhf
Maintainer: Michael Biebl 
Installed-Size: 669
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgif4 (>= 4.1.4), libglib2.0-0 (>= 2.31.8), libgsf-1-114 (>= 1.14.8), libgstreamer-plugins-base0.10-0 (>= 0.10.31), libgstreamer0.10-0 (>= 0.10.31), libjpeg8 (>= 8c), libogg0 (>= 1.0rc3), libpng12-0 (>= 1.2.13-4), libpoppler-glib8 (>= 0.18), libtiff4 (>> 3.9.5-3~), libtotem-plparser17 (>= 2.30.3), libtracker-extract-0.14-0 (>= 0.12.4), libtracker-miner-0.14-0 (>= 0.8.0), libtracker-sparql-0.14-0 (= 0.14.1-3), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libxml2 (>= 2.7.4), tracker (= 0.14.1-3)
Homepage: http://projects.gnome.org/tracker/
Priority: optional
Section: utils
Filename: pool/main/t/tracker/tracker-extract_0.14.1-3_armhf.deb
Size: 347160
SHA256: e28b4bd2125c9f4f218649e1f3141feae8a9bb132bf236df418cafcc22c67483
SHA1: dc0ef0de636e30593fba6f08795d53e115b276cd
MD5sum: b78b1776136465977e6c7719b019b7a2
Description: metadata database, indexer and search tool - metadata extractors
 This package contains the metadata extractors.
 .
 Tracker is an advanced framework for first class objects with associated
 metadata and tags. It provides a one stop solution for all metadata, tags,
 shared object databases, search tools and indexing.

Package: tracker-gui
Source: tracker
Version: 0.14.1-3
Architecture: armhf
Maintainer: Michael Biebl 
Installed-Size: 874
Pre-Depends: dpkg (>= 1.15.7.2)
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.0.0), libnautilus-extension1a (>= 2.91), libpanel-applet-4-0 (>= 3.2.1), libpango1.0-0 (>= 1.14.0), libtracker-sparql-0.14-0 (= 0.14.1-3), tracker (= 0.14.1-3), gnome-icon-theme
Breaks: tracker (<< 0.8.1-1), tracker-search-tool (<< 0.8.1-1)
Replaces: tracker (<< 0.8.1-1), tracker-search-tool (<< 0.8.1-1)
Homepage: http://projects.gnome.org/tracker/
Priority: optional
Section: gnome
Filename: pool/main/t/tracker/tracker-gui_0.14.1-3_armhf.deb
Size: 376380
SHA256: e7da5fa0a38d0018eff3e6fd86865c9d571c9df21be9ae8612b26cbab3022658
SHA1: 3e7b386bb97298ad6a20802e7c6f1c37bd0839d0
MD5sum: 6d3ff8068e24e444850e57e733f13ba2
Description: metadata database, indexer and search tool - GNOME frontends
 Included in this package:
  * tracker-needle: standard search tool
  * tracker-search-bar: search applet for the GNOME panel
  * tracker-preferences: preferences editor
  * nautilus-extension: nautilus extension for tag editing
 .
 Tracker is an advanced framework for first class objects with associated
 metadata and tags. It provides a one stop solution for all metadata, tags,
 shared object databases, search tools and indexing.

Package: tracker-miner-fs
Source: tracker
Version: 0.14.1-3
Architecture: armhf
Maintainer: Michael Biebl 
Installed-Size: 456
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.28.0), libtracker-extract-0.14-0 (>= 0.12.8), libtracker-miner-0.14-0 (>= 0.14.0), libtracker-sparql-0.14-0 (= 0.14.1-3), libupower-glib1 (>= 0.9.0), tracker (= 0.14.1-3), tracker-extract (= 0.14.1-3), procps
Homepage: http://projects.gnome.org/tracker/
Priority: optional
Section: utils
Filename: pool/main/t/tracker/tracker-miner-fs_0.14.1-3_armhf.deb
Size: 303472
SHA256: 938fb377952a5445a4f8a52745779e3a0fbdc10ee761437ba2383f9b87cc954b
SHA1: b31704317f18893414d4a66fc5459e8f862f6fed
MD5sum: 1b3d6f0626b294ec614dcb8156a7bad4
Description: metadata database, indexer and search tool - filesystem indexer
 This package contains the tracker indexer for indexing your files and folders.
 .
 Tracker is an advanced framework for first class objects with associated
 metadata and tags. It provides a one stop solution for all metadata, tags,
 shared object databases, search tools and indexing.

Package: tracker-utils
Source: tracker
Version: 0.14.1-3
Architecture: armhf
Maintainer: Michael Biebl 
Installed-Size: 395
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.28.0), libtracker-sparql-0.14-0 (= 0.14.1-3), tracker (= 0.14.1-3)
Homepage: http://projects.gnome.org/tracker/
Priority: optional
Section: utils
Filename: pool/main/t/tracker/tracker-utils_0.14.1-3_armhf.deb
Size: 287708
SHA256: 45e9bbd27d6eac7b51290a0d57a95ff96bfc09c9382959129ca04ca317f2cf81
SHA1: 9f39efd8051c5765fab76d91bb000f369096cfa3
MD5sum: c09ea08b16fe29e8ac7d3b70db38f678
Description: metadata database, indexer and search tool - commandline tools
 Included utilities for Tracker:
  * tracker-import: import data using Turtle files
  * tracker-info: get information about files
  * tracker-search: this perfoms a google like search using SEARCHTERM to
    retrieve all matching files where SEARCHTERM appears in any searchable
    metadata
  * tracker-sparql: query or update Tracker database using SPARQL
  * tracker-stats: retrieve some statistics
  * tracker-tag: tool to manage tags on files
 .
 Tracker is an advanced framework for first class objects with associated
 metadata and tags. It provides a one stop solution for all metadata, tags,
 shared object databases, search tools and indexing.

Package: trafficserver
Version: 3.0.5-1
Architecture: armhf
Maintainer: Arno Töll 
Installed-Size: 7855
Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), tcl8.5 (>= 8.5.0), zlib1g (>= 1:1.2.0), lsb-base (>= 3.2-14), adduser
Homepage: http://trafficserver.apache.org/
Priority: extra
Section: web
Filename: pool/main/t/trafficserver/trafficserver_3.0.5-1_armhf.deb
Size: 3569816
SHA256: fea6c8f03dda1f89f15e55c51721c43475be2ae9e32190d020b61ad8e61ec9f6
SHA1: 760af8889c104bab4a83ef79f52471e2cc08f87b
MD5sum: 6921e2457b3f4d96672d12240643dd6b
Description: fast, scalable and extensible HTTP/1.1 compliant caching proxy server
 This package provides the Apache Traffic Server. A fast, scalable reverse
 proxy server which may operate as forward proxy as well. Apache Traffic Server
 supports:
 .
   * Caching: Improves response time by caching and reusing frequently-
     requested web content.
   * Proxying: Supports filtering, anonymization, load balancing and more.
   * Scaling: Scales well on modern SMP hardware.
   * Extensions: Use the API to modify anything from the HTTP headers to your
     own cache algorithm.

Package: trafficserver-dev
Source: trafficserver
Version: 3.0.5-1
Architecture: armhf
Maintainer: Arno Töll 
Installed-Size: 1144
Suggests: trafficserver (= 3.0.5-1)
Homepage: http://trafficserver.apache.org/
Priority: extra
Section: web
Filename: pool/main/t/trafficserver/trafficserver-dev_3.0.5-1_armhf.deb
Size: 382622
SHA256: 0a824028cdc22be3fe0ddfc65f190d6536efbb2eeafcb531f082927b18bda898
SHA1: f69c4413ca14479683d299ca4b46b3a1c343435f
MD5sum: ea8583a12f3f3e4c06ee0090d285033c
Description: Apache Traffic Server Software Developers Kit (SDK)
 This package provides the Apache Traffic Server Software  Developers Kit.
 This is a collection of development header and bindings for the C programming
 language, the tsxs linking helper and examples to write your own plug-ins for
 the Apache Traffic Server.

Package: trafficserver-plugin-conf-remap
Source: trafficserver
Version: 3.0.5-1
Architecture: armhf
Maintainer: Arno Töll 
Installed-Size: 74
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1), zlib1g (>= 1:1.1.4), trafficserver (= 3.0.5-1)
Homepage: http://trafficserver.apache.org/
Priority: extra
Section: web
Filename: pool/main/t/trafficserver/trafficserver-plugin-conf-remap_3.0.5-1_armhf.deb
Size: 27724
SHA256: 2b30e60c7d4e1eec61110c43e911e1f1bcf64eae388042f60413b906f1cc5cfc
SHA1: 7766887b6497d7f4f55231a28cde9a52cf7f68d9
MD5sum: bc4c6a272bc2552ea86b5c55b04dedd5
Description: Apache Traffic Server conf_remap plugin
 This package provides the conf_remap plugin for Apache Traffic Server.

Package: tralics
Version: 2.14.4-2
Architecture: armhf
Maintainer: Zbigniew Jędrzejewski-Szmek 
Installed-Size: 1639
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6)
Homepage: http://www-sop.inria.fr/miaou/tralics/
Priority: optional
Section: tex
Filename: pool/main/t/tralics/tralics_2.14.4-2_armhf.deb
Size: 703718
SHA256: b5c9f3abb00d35dc6b5bf7d97ff85202bd01c53eca63a9827d73a323501999f9
SHA1: 0f9857a817a2e68144a33e93002e1b24ada840fe
MD5sum: 155e4f334349e3bdb1de1fda21a0c373
Description: LaTeX to XML translator
 A configurable tool that processes LaTeX source, generating parseable
 XML. The XML is based on semantics of the text and can be used to
 process the document and convert into other format.
 .
 From: http://www-sop.inria.fr/apics/tralics/

Package: trang
Source: jing-trang
Version: 20091111-5
Installed-Size: 45
Maintainer: Debian XML/SGML Group 
Architecture: all
Depends: default-jre | java2-runtime, libtrang-java
Size: 6300
SHA256: 354616976b109bc3837d3c75aa33dd57fdff3428a1c7d50950a046b8bc845c67
SHA1: fd9cf7128f54d6edcc6a860c7a6f9bc0e39153f8
MD5sum: 4126c688cdaf41316820197de5bb56f9
Description: XML schema converter
 Trang converts between different schema languages for XML. It supports the
 following languages:
  - RELAX NG (XML syntax)
  - RELAX NG compact syntax
  - XML 1.0 DTDs
  - W3C XML Schema
Homepage: http://code.google.com/p/jing-trang/
Tag: implemented-in::java, interface::commandline, role::program,
 use::converting, works-with-format::xml, works-with::text
Section: text
Priority: extra
Filename: pool/main/j/jing-trang/trang_20091111-5_all.deb

Package: trans-de-en
Source: ding
Version: 1.7-2
Installed-Size: 12730
Maintainer: Roland Rosenfeld 
Architecture: all
Provides: translation-dictionary
Suggests: ding
Size: 3981572
SHA256: 5f98d0ad8508923522cc4d4ae5410162aabbd0711007d13eb393322844864f92
SHA1: da039f602507797edc96b41db733a5cfd343a1e1
MD5sum: a15274645dce701b768aee49dd4c06c0
Description: German-English translation dictionary
 A German-English dictionary with ca. 270,000 entries.
 .
 This dictionary was designed for the "ding" dictionary lookup
 program, but may be used by other clients, too.
 .
 The source of the database is available from
 http://dict.tu-chemnitz.de/
Homepage: http://www-user.tu-chemnitz.de/~fri/ding/
Tag: culture::TODO, culture::german, made-of::dictionary, role::app-data,
 use::converting
Section: text
Priority: optional
Filename: pool/main/d/ding/trans-de-en_1.7-2_all.deb

Package: transcalc
Version: 0.14-5
Architecture: armhf
Maintainer: Bhavani Shankar 
Installed-Size: 184
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0)
Homepage: http://transcalc.sourceforge.net/
Priority: optional
Section: science
Filename: pool/main/t/transcalc/transcalc_0.14-5_armhf.deb
Size: 78578
SHA256: 394fe86a46f3e9bc37bebd2c6bd65a1966f99e1b675558c9ceee00e1b6d8b8c9
SHA1: 49b5c10ea2a81d90095684b3a14697b99a95cfd6
MD5sum: de584b08191cd04b0ddb8110fe1a0882
Description: microwave and RF transmission line calculator
 Transcalc is an analysis and synthesis tool for calculating the
 electrical and physical properties of different kinds of RF and
 microwave transmission lines.
 .
 Transcalc is built using the GIMP toolkit (GTK+) for its GUI
 interface. For each type of transmission line, using dialog boxes,
 you can enter values for the various parameters, and either calculate
 its electrical properties (analyze), or use the given electrical
 requirements to synthesize physical parameters of the required
 transmission line.
 .
 Available transmission lines (this list will expand with subsequent
 releases): Microstrip, Rectangular Waveguide.

Package: transcend
Version: 0.3.dfsg2-2
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 444
Depends: freeglut3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libportaudio0, libstdc++6 (>= 4.4.0)
Homepage: http://transcend.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/t/transcend/transcend_0.3.dfsg2-2_armhf.deb
Size: 116464
SHA256: a38a8019574d2a575d6c1536922b984b713eb9565e769edb0bb63b9b58dc4fbd
SHA1: e30eabb7270f91b6a214d81da8e40f9fef6bfcda
MD5sum: 04cc3f5c9f9a1e09fef234521f773f50
Description: retro-style, abstract 2D shooter
 Transcend can best be described as retro-style, abstract 2-D shooter. The
 graphics are geometrical, and the pace is sometimes frenzied.
 .
 Two features set Transcend apart from other games. First, its dynamic
 graphical engine, which can smoothly morph from one complex shape to
 another, produces striking displays. Combining these dynamic shapes with
 subtle randomizations makes each play through a Transcend level visually
 different from the last. The second novel feature is Transcend's musical
 power-up system. As you play through a level, you are simultaneously
 assembling an abstract visual collage and arranging a unique piece of
 music. Transcend merges video games with pure art---it can be viewed either
 as a game or as a multimedia sculpture.

Package: transcode
Version: 3:1.1.7-3
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 3671
Depends: mawk | gawk, xterm | x-terminal-emulator, liba52-0.7.4, libasound2 (>= 1.0.16), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libc6 (>= 2.13-28), libdv4, libdvdread4, libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), liblzo2-2, libmagickcore5 (>= 8:6.7.7.10), libmagickwand5 (>= 8:6.7.7.10), libmp3lame0, libmpeg2-4, libogg0 (>= 1.0rc3), libpostproc52 (>= 5:0.8-2~), libquicktime2 (>= 2:1.2.2), libsdl1.2debian (>= 1.2.11), libsm6, libtheora0 (>= 0.0.0.alpha7.dfsg), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libx11-6, libxaw7, libxext6, libxml2 (>= 2.7.4), libxpm4, libxt6, libxv1, zlib1g (>= 1:1.1.4)
Recommends: sox, transcode-doc, twolame
Suggests: mjpegtools, xvid4conf
Replaces: transcode-utils
Homepage: http://www.transcoding.org/
Priority: optional
Section: video
Filename: pool/main/t/transcode/transcode_1.1.7-3_armhf.deb
Size: 1558478
SHA256: bfb748fd92f89c9015cc080ba788b5d71ee023c038b459e2b7e92e9e977e8127
SHA1: 72c36096a10695daf8b931739ec463e2894c2a96
MD5sum: ac32a9501a3e72bc542ad33253a570d6
Description: Text console video-stream processing tool
 Transcode is a text console video-stream processing tool. It supports
 elementary video and audio frame transformations. Some example modules are
 included to enable import of MPEG-1/2, Digital Video, and other formats. It
 also includes export modules for writing to AVI files with DivX, OpenDivX,
 XviD, Digital Video or other codecs. Direct DVD transcoding is also supported.
 A set of tools is available to extract and decode the sources into raw
 video/audio streams for import and to enable post-processing of AVI files.

Package: transcode-dbg
Source: transcode
Version: 3:1.1.7-3
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 7884
Depends: transcode (= 3:1.1.7-3)
Homepage: http://www.transcoding.org/
Priority: extra
Section: debug
Filename: pool/main/t/transcode/transcode-dbg_1.1.7-3_armhf.deb
Size: 3093114
SHA256: 7a5086a21e18cdcad12fd4c4f0da327167eda5a316feeede0079ff877205de9c
SHA1: c992015fc5f0ba9aeb8afd9ccf9650dd7d01d313
MD5sum: f4c052a990fb86c717731ac5eaa55809
Description: Text console video-stream processing tool - debugging symbols
 Transcode is a text console video-stream processing tool. It supports
 elementary video and audio frame transformations. Some example modules are
 included to enable import of MPEG-1/2, Digital Video, and other formats. It
 also includes export modules for writing to AVI files with DivX, OpenDivX,
 XviD, Digital Video or other codecs. Direct DVD transcoding is also supported.
 A set of tools is available to extract and decode the sources into raw
 video/audio streams for import and to enable post-processing of AVI files.
 .
 This package contains the debug symbols for the transcode programs.

Package: transcode-doc
Source: transcode
Version: 3:1.1.7-3
Installed-Size: 480
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Replaces: transcode (<= 2:0.6.2.20030123)
Suggests: doc-base
Size: 292160
SHA256: c8e0e0ae56e48d57ec7fed1489e05b114df183ade4c27c80c63d267c67433b92
SHA1: 730b8e453a74e83c449463723230e6c63568658a
MD5sum: 5d6b2650d4772a22098799f572d47c3b
Description: Text console video-stream processing tool (documentation)
 Transcode is a text console video-stream processing tool. It supports
 elementary video and audio frame transformations. Some example modules are
 included to enable import of MPEG-1/2, Digital Video, and other formats. It
 also includes export modules for writing to AVI files with DivX, OpenDivX,
 XviD, Digital Video or other codecs. Direct DVD transcoding is also supported.
 A set of tools is available to extract and decode the sources into raw
 video/audio streams for import and to enable post-processing of AVI files.
 .
 This package contains the documentation.
Homepage: http://www.transcoding.org/
Section: doc
Priority: optional
Filename: pool/main/t/transcode/transcode-doc_1.1.7-3_all.deb

Package: transfermii
Version: 1:0.6.1-2.1
Architecture: armhf
Maintainer: Romain Beauxis 
Installed-Size: 60
Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libcwiid1 (>= 0.6.00+svn184)
Priority: extra
Section: utils
Filename: pool/main/t/transfermii/transfermii_0.6.1-2.1_armhf.deb
Size: 12620
SHA256: d773beb2aa9db2b61efbc05e81f1fdb714775062d153bf16d998f0e156c3167f
SHA1: 0807c3187f67b1f6b905e058090ca9c8fa5cb455
MD5sum: f4da4191792c1cccc833c3c4bb0ac87b
Description: transfer your mii from and to your wiimotes
 transfermii is a program based on cwiid framework for using
 the wiimote under Linux.
 .
 It allows you to transfer your miis (the characters used
 in the wii) from your wiimote and vice-versa.
 .
 This packages provides the command-line program.

Package: transfermii-gui
Source: transfermii
Version: 1:0.6.1-2.1
Architecture: armhf
Maintainer: Romain Beauxis 
Installed-Size: 120
Depends: libatk1.0-0 (>= 1.12.4), libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcwiid1 (>= 0.6.00+svn184), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0)
Priority: extra
Section: utils
Filename: pool/main/t/transfermii/transfermii-gui_0.6.1-2.1_armhf.deb
Size: 32354
SHA256: ab50dc6715c1bebb54dacb7703c6c56088d3e0ce1026d1f3d4d568e23a13c11d
SHA1: 5623fd6481c7960fddfa47f9db7f93fa9cfcf003
MD5sum: b3a697aea422096b9fd0f1ad30ff15e2
Description: transfer your mii from and to your wiimotes -- GUI
 transfermii is a program based on cwiid framework for using
 the wiimote under Linux.
 .
 It allows you to transfer your miis (the characters used
 in the wii) from your wiimote and vice-versa.
 .
 This packages provides the GTK+ GUI program.

Package: transfig
Version: 1:3.2.5.d-3
Architecture: armhf
Maintainer: Roland Rosenfeld 
Installed-Size: 1258
Depends: libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4), libxpm4, x11-common, gawk
Recommends: netpbm (>= 2:10.0-4), ghostscript
Suggests: xfig
Homepage: http://www-epb.lbl.gov/xfig/
Priority: optional
Section: graphics
Filename: pool/main/t/transfig/transfig_3.2.5.d-3_armhf.deb
Size: 626454
SHA256: b6575e84962b5ba49fa1d3b4d127bed60df0c5356d4755c44ebf0e5c225c3862
SHA1: 730a26fe747b05e356f413b696d7476a3dd4646c
MD5sum: 092217f74d18a397fa25334a3280bd19
Description: Utilities for converting XFig figure files
 This package contains utilities (mainly fig2dev) to handle XFig
 (Facility for Interactive Generation of figures) files.
 .
 It can convert them to box, cgm, epic, eepic, eepicemu, emf, eps,
 gif, ibmgl, jpeg, latex, map (HTML image map), mf (MetaFont), mp
 (MetaPost), mmp (Multi-Meta-Post), pcx, pdf, pdftex, pdftex_t, pic,
 pictex, png, ppm, ps, pstex, pstex_t, ptk (Perl/tk), sld (AutoCad
 slide format), textyl, tiff, tk (Tcl/Tk), tpic, xbm and xpm.

Package: transgui
Version: 4.0.3-2
Architecture: armhf
Maintainer: Andreas Noteng 
Installed-Size: 3875
Depends: libssl1.0.0, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6
Homepage: http://code.google.com/p/transmisson-remote-gui/
Priority: optional
Section: net
Filename: pool/main/t/transgui/transgui_4.0.3-2_armhf.deb
Size: 1320278
SHA256: 62a3efe3fed815665ba60d04348ffe12c1979d0e78a00792daccb6c8bf70f289
SHA1: 7ebd01d66bae9c48394b071d3314f27a284bfc0c
MD5sum: efecde51c9b020f6f6ca88474d2d230d
Description: Front-end to remotely control Transmission
 This package contains Transmission Remote GUI, which is a feature rich,
 cross platform, front-end to remotely control Transmission daemon via its
 RPC protocol. It is faster and has more functionality than build-in
 Transmission web interface.

Package: transifex-client
Version: 0.8-2
Installed-Size: 195
Maintainer: Janos Guljas 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8)
Size: 30898
SHA256: b806b891a06508ddab3847e28b630926c3fc2e3ff587d83e1a8bf425efe01960
SHA1: 68a63ab65c52f9e7d6752e0683cc5741b21031eb
MD5sum: 21de3198e2fda93626d73b70bb7e12df
Description: Command line interface for Transifex
 Transifex Command-line Client is a command line tool that enables you to
 easily manage your translations within a project without the need of an
 elaborate UI system.
 .
 You can use the command line client to easily create new resources, map locale
 files to translations and synchronize your Transifex project with your local
 repository and vice versa. Translators and localization managers can also use
 it to handle large volumes of translation files easily and without much hassle.
Homepage: http://www.transifex.net/
Tag: devel::i18n, interface::commandline, role::program
Section: python
Priority: optional
Filename: pool/main/t/transifex-client/transifex-client_0.8-2_all.deb

Package: translate
Version: 0.6-11
Installed-Size: 32
Maintainer: Anibal Monsalve Salazar 
Architecture: all
Depends: trans-de-en (>= 1.4-1)
Suggests: xtranslate
Size: 6414
SHA256: 07f06b8422fdf5d1c15de37be282fc195fa29ccfc8ebe5c687c6d639a0afd6cf
SHA1: d093b2aba4a5684ccaf672d9544737e5cb0c0645
MD5sum: 2913ee48af7a3c174d5befdef8443769
Description: translates words from English into German or viceversa
 It looks up a word in a file with language-to-language translations
 (field separator should be \" :: \") and maintains local dictionaries.
 So it should be easy to add more languages, if you have such a dictionary.
Tag: culture::german, implemented-in::shell, interface::commandline,
 role::program, works-with::dictionary
Section: text
Priority: optional
Filename: pool/main/t/translate/translate_0.6-11_all.deb

Package: translate-docformat
Version: 0.6-5
Installed-Size: 60
Maintainer: Felipe Augusto van de Wiel (faw) 
Architecture: all
Depends: debiandoc-sgml, docbook-dsssl, jadetex, linuxdoc-tools, lynx | w3m | w3mmee, sgmltools-lite, tetex-bin | texlive-latex-base, texi2html, texinfo
Size: 5720
SHA256: c230cdf25956b371fe0fdfa2df1b583d0a04b0e4006c0b0b8a8492d5d58f622b
SHA1: fc90a0bf397a6308ae27bfe68878aca94c985493
MD5sum: a37f312c1581c6bbde9308c96ef3edd4
Description: any-to-any document translation system
 This is a command-line front end to various document format translation
 programs to facilitate the translation of documents from one format
 to another.
 .
 Currently it supports major formats like docbook, linuxdoc, debiandoc,
 and TeX, translating to HTML, ps and text.
Tag: devel::docsystem, interface::commandline, role::program, scope::utility,
 use::converting, works-with-format::docbook, works-with-format::html,
 works-with-format::plaintext, works-with-format::postscript,
 works-with-format::sgml, works-with-format::tex, works-with::text
Section: text
Priority: extra
Filename: pool/main/t/translate-docformat/translate-docformat_0.6-5_all.deb

Package: translate-toolkit
Version: 1.9.0-3
Installed-Size: 4077
Maintainer: Debian l10n developers 
Architecture: all
Replaces: pootle (<< 0.8.2005.0217-2)
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), gettext
Recommends: python-lxml, python-enchant, python-simplejson, python-utidylib, python-vobject, iso-codes, python-levenshtein, python-aeidon, python-iniparse
Suggests: translate-toolkit-dev-doc
Size: 1055386
SHA256: 5a3dd2c547264d27bee03ddb689d345e3a98674a321950ac7b21bf8a512026d5
SHA1: 25138a871cb0202430500f6cbca927f8764f17a7
MD5sum: 1da361865e595d1d024d4d61143edeee
Description: Toolkit assisting in the localization of software
 The Translate Toolkit is a Python library and a set of software designed
 to help make the lives of localizers both more productive and less
 frustrating.
 .
 The software includes programs to convert localization formats to the
 common PO format and programs to check and manage PO files and
 utilities to create word counts, merge translations and perform
 various checks on PO files.
 .
 Supported localization storage formats are: DTD, properties,
 OpenOffice.org GSI/SDF, CSV, MO, Qt .ts and of course PO and XLIFF.
 .
 The documentation of the Translate Toolkit API is packaged in
 translate-toolkit-dev-doc.
Homepage: http://translate.sourceforge.net/wiki/toolkit/index
Tag: devel::i18n, implemented-in::python, interface::commandline,
 role::program, scope::utility, use::converting, use::text-formatting,
 works-with::text
Section: python
Priority: optional
Filename: pool/main/t/translate-toolkit/translate-toolkit_1.9.0-3_all.deb

Package: translate-toolkit-dev-doc
Source: translate-toolkit
Version: 1.9.0-3
Installed-Size: 37566
Maintainer: Debian l10n developers 
Architecture: all
Suggests: translate-toolkit
Size: 3745222
SHA256: 3e1e46f6985546f2518111e3a80cc30ab4fe54efaeed37ef05c2dedc30c7abe7
SHA1: 96b8d44bab6692882a3391bea9c204fd85b8c2be
MD5sum: b1bada59c101d906bae210fee36673b0
Description: documentation of the translate-toolkit API
 The Translate Toolkit is a Python library and a set of software designed
 to help make the lives of localizers both more productive and less
 frustrating.
 .
 This package provides the documentation of the Translate Toolkit API, which
 is useful to develop applications using the APIs provided by
 the Translate Toolkit.
Homepage: http://translate.sourceforge.net/wiki/toolkit/index
Tag: devel::i18n, devel::lang:python, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/translate-toolkit/translate-toolkit-dev-doc_1.9.0-3_all.deb

Package: transmageddon
Version: 0.20-1
Installed-Size: 581
Maintainer: Alessio Treglia 
Architecture: all
Depends: gstreamer0.10-plugins-bad, gstreamer0.10-plugins-base, gstreamer0.10-plugins-good, gstreamer0.10-plugins-ugly, python-gobject (>= 2.18.0-1), python-gst0.10 (>= 0.10.6), python-gtk2 (>= 2.8.0), python (>= 2.6.6-7~)
Recommends: gstreamer-codec-install | gnome-codec-install
Suggests: gstreamer0.10-ffmpeg
Size: 84734
SHA256: 0af0afd202c6b3f5a00e83d78492ab2d699f99e4881107c181109a165c82d5e8
SHA1: d0d908e3ac169ab59dab3338a5c4a73d649b1b06
MD5sum: 4917987977704669f4eb918d5fa5eed1
Description: video transcoder for Linux and Unix systems built using GStreamer
 Transmageddon supports almost any format as its input and can generate a very
 large host of output files. The goal of the application was to help people to
 create the files they need to be able to play on their mobile devices and for
 people not hugely experienced with multimedia to generate a multimedia file
 without having to resort to command line tools with ungainly syntaxes.
 .
 The currently supported codecs are:
  * Containers:
   - Ogg
   - Matroska
   - AVI
   - MPEG TS
   - flv
   - QuickTime
   - MPEG4
   - 3GPP
   - MXT
  * Audio encoders:
   - Vorbis
   - FLAC
   - MP3
   - AAC
   - AC3
   - Speex
   - Celt
  * Video encoders:
   - Theora
   - Dirac
   - H264
   - MPEG2
   - MPEG4/DivX5
   - xvid
   - DNxHD
 .
 It also provide the support for the GStreamer's plugins auto-search.
Homepage: http://www.linuxrising.org/
Tag: implemented-in::python, role::program, works-with::audio,
 works-with::video
Section: video
Priority: optional
Filename: pool/main/t/transmageddon/transmageddon_0.20-1_all.deb

Package: transmission
Version: 2.52-3+nmu3
Installed-Size: 29
Maintainer: Leo Costela 
Architecture: all
Depends: transmission-gtk (>= 2.52-3+nmu3) | transmission-qt (>= 2.52-3+nmu3) | transmission-cli (>= 2.52-3+nmu3), transmission-common (>= 2.52-3+nmu3)
Size: 1088
SHA256: 9e59390be94bace4c31b648d695fd0b829a2cf516f5d02f07f3ae8044ba68f78
SHA1: 6ed690a3dfb8df76291f8f755038e3963ed654ad
MD5sum: 520a093304f41cd9f870930059fda456
Description: lightweight BitTorrent client
 Transmission is a set of lightweight BitTorrent clients (in GUI, CLI
 and daemon form). All its incarnations feature a very simple, intuitive
 interface on top on an efficient, cross-platform back-end.
 .
 This is just a metapackage depending on one of the front-end
 alternatives
Homepage: http://www.transmissionbt.com/
Section: net
Priority: optional
Filename: pool/main/t/transmission/transmission_2.52-3+nmu3_all.deb

Package: transmission-cli
Source: transmission
Version: 2.52-3+nmu3
Architecture: armhf
Maintainer: Leo Costela 
Installed-Size: 1962
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libevent-2.0-5 (>= 2.0.10-stable), libgcc1 (>= 1:4.4.0), libminiupnpc5, libnatpmp1, libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), transmission-common (= 2.52-3+nmu3)
Suggests: transmission-daemon (= 2.52-3+nmu3), transmission-gtk (= 2.52-3+nmu3)
Breaks: transmission-daemon (<< 1.50-1)
Replaces: transmission-daemon (<< 1.50-1)
Homepage: http://www.transmissionbt.com/
Priority: optional
Section: net
Filename: pool/main/t/transmission/transmission-cli_2.52-3+nmu3_armhf.deb
Size: 1042064
SHA256: 03162e8653786d662518360cbe0e6bbcfe04fcaa3f7bc89202b4da3dc17bdc41
SHA1: bc47a9d9a28a4d81fd7380360168d23c7c1fdfd0
MD5sum: 62d171cd65c094a53ad26a84c0289c68
Description: lightweight BitTorrent client (command line programs)
 Transmission is a set of lightweight BitTorrent clients (in GUI, CLI
 and daemon form). All its incarnations feature a very simple, intuitive
 interface on top on an efficient, cross-platform back-end.
 .
 This package contains a (deprecated) stand-alone command-line client,
 transmission-remote to interface with transmission-daemon and tools to
 create, edit and inspect torrent files.

Package: transmission-common
Source: transmission
Version: 2.52-3+nmu3
Installed-Size: 913
Maintainer: Leo Costela 
Architecture: all
Replaces: transmission-gtk (<< 2.10-1)
Breaks: transmission-gtk (<< 2.10-1)
Size: 292516
SHA256: c7a680af67670372e6caf3da6f89e26f3a07109b2bead211b028134d85bbdc25
SHA1: 8b6511eb0a571367ddd8c013946c25b41acb4648
MD5sum: 2f2b69e8d9be324e172661eb15d503b1
Description: lightweight BitTorrent client (common files)
 Transmission is a set of lightweight BitTorrent clients (in GUI, CLI
 and daemon form). All its incarnations feature a very simple, intuitive
 interface on top on an efficient, cross-platform back-end.
 .
 This package contains the common files for the different transmission
 versions.
Homepage: http://www.transmissionbt.com/
Section: net
Priority: optional
Filename: pool/main/t/transmission/transmission-common_2.52-3+nmu3_all.deb

Package: transmission-daemon
Source: transmission
Version: 2.52-3+nmu3
Architecture: armhf
Maintainer: Leo Costela 
Installed-Size: 469
Pre-Depends: adduser
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libevent-2.0-5 (>= 2.0.10-stable), libgcc1 (>= 1:4.4.0), libminiupnpc5, libnatpmp1, libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), transmission-common (= 2.52-3+nmu3), lsb-base (>= 3.0)
Recommends: transmission-cli (>= 1.50-1)
Breaks: transmission-cli (<< 1.40-1)
Replaces: transmission-cli (<< 1.40-1)
Homepage: http://www.transmissionbt.com/
Priority: optional
Section: net
Filename: pool/main/t/transmission/transmission-daemon_2.52-3+nmu3_armhf.deb
Size: 212068
SHA256: 7074c5096a7f5190765d9b8536ebb2a836eea862e62601e6a7c845e006f4b69e
SHA1: f31384c15768ff86210384c57e0e87ad48eb657d
MD5sum: 4212dabdf4da5f62d61ddf24bfc8323d
Description: lightweight BitTorrent client (daemon)
 Transmission is a set of lightweight BitTorrent clients (in GUI, CLI
 and daemon form). All its incarnations feature a very simple, intuitive
 interface on top on an efficient, cross-platform back-end.
 .
 This package contains the transmission-daemon. For the associated
 transmission-remote, see the package transmission-cli.

Package: transmission-dbg
Source: transmission
Version: 2.52-3+nmu3
Architecture: armhf
Maintainer: Leo Costela 
Installed-Size: 86259
Depends: transmission-cli, transmission-gtk, transmission-qt, transmission-daemon, transmission-common
Homepage: http://www.transmissionbt.com/
Priority: extra
Section: debug
Filename: pool/main/t/transmission/transmission-dbg_2.52-3+nmu3_armhf.deb
Size: 24292950
SHA256: ce602e4c59084f64ba7d159791b549c2f7fb8c860ccd56824d2e787a0de65561
SHA1: 697cb63cf11224f15b813b690cdb958cae701cbb
MD5sum: 6601b13ca8d22ac74c67d76f1476818e
Description: lightweight BitTorrent client (debug symbols)
 Transmission is a set of lightweight BitTorrent clients (in GUI, CLI
 and daemon form). All its incarnations feature a very simple, intuitive
 interface on top on an efficient, cross-platform back-end.
 .
 This package contains the debug symbols for the different transmission
 executables. Most users won't need this, but please install it before
 submitting bugs with crashes.

Package: transmission-gtk
Source: transmission
Version: 2.52-3+nmu3
Architecture: armhf
Maintainer: Leo Costela 
Installed-Size: 3548
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libevent-2.0-5 (>= 2.0.10-stable), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.0.0), libminiupnpc5, libnatpmp1, libpango1.0-0 (>= 1.14.0), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), transmission-common (= 2.52-3+nmu3)
Recommends: xdg-utils
Homepage: http://www.transmissionbt.com/
Priority: optional
Section: net
Filename: pool/main/t/transmission/transmission-gtk_2.52-3+nmu3_armhf.deb
Size: 1130704
SHA256: 8691335222ea8722cff3b9d4c8fd6c7a141bf20def148895ace14878115241e8
SHA1: e9d9f50374f19288df9a9cf21a40bfc53f95a16f
MD5sum: f23f6f6527e8ae15a59b7dc1d01cb8ee
Description: lightweight BitTorrent client (GTK interface)
 Transmission is a set of lightweight BitTorrent clients (in GUI, CLI
 and daemon form). All its incarnations feature a very simple, intuitive
 interface on top on an efficient, cross-platform back-end.
 .
 This package contains the GTK stand-alone client.

Package: transmission-qt
Source: transmission
Version: 2.52-3+nmu3
Architecture: armhf
Maintainer: Leo Costela 
Installed-Size: 1331
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libevent-2.0-5 (>= 2.0.10-stable), libgcc1 (>= 1:4.4.0), libminiupnpc5, libnatpmp1, libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), transmission-common (= 2.52-3+nmu3)
Recommends: xdg-utils
Homepage: http://www.transmissionbt.com/
Priority: optional
Section: net
Filename: pool/main/t/transmission/transmission-qt_2.52-3+nmu3_armhf.deb
Size: 564006
SHA256: f662d35ed5c7b7b71f702c41d7ede70b2f8f2d8a73199570551a7d483db524c1
SHA1: 08f9b0c42c977fc6fa6368898f439879648f1dba
MD5sum: e3e99982966b58dad6e126d00adcbc85
Description: lightweight BitTorrent client (Qt interface)
 Transmission is a set of lightweight BitTorrent clients (in GUI, CLI
 and daemon form). All its incarnations feature a very simple, intuitive
 interface on top on an efficient, cross-platform back-end.
 .
 This package contains the Qt stand-alone client.

Package: transmission-remote-cli
Version: 1.3.1-1
Installed-Size: 183
Maintainer: Jonathan McCrohan 
Architecture: all
Depends: python
Recommends: transmission-cli, python-geoip, python-adns, python-ipy
Size: 35326
SHA256: 600e5be89aafcc4a4d006d642e261e12d05be745ca0281cdd76dc653082be9ef
SHA1: e11ada63ebc9a1d2d97908d7fca1f8995339b7bc
MD5sum: 9bf5a66dd912e8326dff0130489e5692
Description: ncurses interface for the Transmission BitTorrent daemon
 transmission-remote-cli is an ncurses interface for controlling the
 Transmission BitTorrent daemon. It is a full featured client, providing the
 ability to upload, queue and delete torrent files, monitor downloads and adjust
 speed limits.
Homepage: https://github.com/fagga/transmission-remote-cli
Section: net
Priority: optional
Filename: pool/main/t/transmission-remote-cli/transmission-remote-cli_1.3.1-1_all.deb

Package: transtermhp
Version: 2.09-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 365
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6)
Homepage: http://transterm.cbcb.umd.edu/
Priority: optional
Section: science
Filename: pool/main/t/transtermhp/transtermhp_2.09-1_armhf.deb
Size: 157692
SHA256: 1d70f6772e1114283a2ba13163e052c37e469ed242a5feeed565e7bf9647c136
SHA1: 1cbc8ec8d24be7a900a549c581f8cdd89f0e386b
MD5sum: de66b8bc715ec151cdb0422b925b7902
Description: find rho-independent transcription terminators in bacterial genomes
 TransTermHP finds rho-independent transcription terminators in
 bacterial genomes. Each terminator found by the program is assigned a
 confidence value that estimates its probability of being a true
 terminator. TransTermHP is the successor of TransTerm which was using
 very different search and scoring algorithms.

Package: trash-cli
Version: 0.12.7-1
Installed-Size: 236
Maintainer: Stefano Karapetsas 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-pkg-resources
Size: 38152
SHA256: 75da24099a19fd6948c1a14ccf6f117a72491181df63157cc651b6a581efe6a2
SHA1: fb2e6cfb7c0f9429bd27a9e271f4a2d0233c5818
MD5sum: 51fb4a974aa17667423636fb8e77a7eb
Description: command line trashcan utility
 This package provides a command line interface trashcan utility
 compliant with the FreeDesktop.org Trash Specification. It remembers
 the name, original path, deletion date, and permissions of each trashed
 file
Homepage: https://github.com/andreafrancia/trash-cli
Tag: implemented-in::python, interface::commandline, role::program,
 scope::utility, works-with::file
Section: utils
Priority: extra
Filename: pool/main/t/trash-cli/trash-cli_0.12.7-1_all.deb

Package: traverso
Version: 0.49.2-5
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 2560
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfftw3-3, libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libmad0 (>= 0.15.1b-3), libmp3lame0, libogg0 (>= 1.0rc3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libsamplerate0 (>= 0.1.7), libslv2-9 (>= 0.6.4-1~), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libwavpack1 (>= 4.40.0)
Homepage: http://traverso-daw.org/
Priority: optional
Section: sound
Filename: pool/main/t/traverso/traverso_0.49.2-5_armhf.deb
Size: 1312836
SHA256: 11cae1782e3031a3da9ae93d096ad22776dbb63311ebc94163dd9dc30a280ce0
SHA1: 02990ad08a650922c84a08be5d67134d078b599d
MD5sum: 8f721088984c4c3b6145cb6859308be7
Description: Multitrack audio recorder and editor
 This is a free, cross platform multitrack audio recording and editing
 suite, with an innovative and easy to master user interface. It is suited
 for both the professional and home user, who needs a robust and solid DAW.

Package: trayer
Version: 1.1.4-2
Architecture: armhf
Maintainer: David Bremner 
Installed-Size: 82
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxmu6
Suggests: menu
Homepage: http://github.com/sargon/trayer-srg
Priority: optional
Section: x11
Filename: pool/main/t/trayer/trayer_1.1.4-2_armhf.deb
Size: 26262
SHA256: 81846cd759d0e21439217f3069a566a6043bbe8c0baa57d87ce1f1863daa2d44
SHA1: 1bfc5eb42ade685e92cfe728dc9a08252bc9f44a
MD5sum: ddcde1e2ec01db77c35b430ace1014f3
Description: Lightweight GTK2-based systray for UNIX desktop
 trayer is a small program designed to provide systray functionality
 present in GNOME/KDE desktop environments for window managers which
 do not support that function. System tray is a place, where various
 applications put their icons, so they are always visible presenting
 status of applications and allowing user to control programs.
 .
 The code started out as an extraction from fbpanel.

Package: tre-agrep
Source: tre
Version: 0.8.0-3+deb7u1
Architecture: armhf
Maintainer: Santiago Vila 
Installed-Size: 21
Depends: libc6 (>= 2.13-28), libtre5
Priority: optional
Section: text
Filename: pool/main/t/tre/tre-agrep_0.8.0-3+deb7u1_armhf.deb
Size: 10790
SHA256: fad321bf3fb96d420bb7e5d7f0a9f8493cfe5403f4b6a145224d695f334e3420
SHA1: 5392d886edcd0d5dd493dcb8bf92c9d82356fe86
MD5sum: ddfcdf339be727b7a4ea1c5322b4c55f
Description: approximate grep utility based on the tre library
 This is an Approximate GREP utility based on the TRE regexp matching library

Package: tree
Version: 1.6.0-1
Architecture: armhf
Maintainer: Florian Ernst 
Installed-Size: 105
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0)
Homepage: http://mama.indstate.edu/users/ice/tree/
Priority: optional
Section: utils
Filename: pool/main/t/tree/tree_1.6.0-1_armhf.deb
Size: 43414
SHA256: f7d89cbe3f0090ac719eda14f4c0e2354eb17eda122f888231866742240eafe4
SHA1: 4b85fa4198201fe246e5ec7015604ab5a6599c4d
MD5sum: 4636e821e60a1d02d05752c5e9410249
Description: displays directory tree, in color
 Displays an indented directory tree, using the same color assignments as
 ls, via the LS_COLORS environment variable.

Package: tree-ppuzzle
Source: tree-puzzle
Version: 5.2-7
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 351
Depends: libc6 (>= 2.13-28), libopenmpi1.3, libsprng2, openmpi-bin
Recommends: tree-puzzle-doc
Suggests: phylip, treetool
Homepage: http://www.tree-puzzle.de
Priority: optional
Section: science
Filename: pool/main/t/tree-puzzle/tree-ppuzzle_5.2-7_armhf.deb
Size: 173618
SHA256: b7d5a7ee81c9dbce4e8d5ce4c1df290a1d2c514452ca6d39b1dc705192870f01
SHA1: dd2b50c580896abbb6108a2d5e21e64deb9f0a96
MD5sum: 6e9802c9e2fec22b16f6826e89be937d
Description: Parallelized reconstruction of phylogenetic trees by maximum likelihood
 TREE-PUZZLE (the new name for PUZZLE) is an interactive console program that
 implements a fast tree search algorithm, quartet puzzling, that allows
 analysis of large data sets and automatically assigns estimations of support
 to each internal branch. TREE-PUZZLE also computes pairwise maximum
 likelihood distances as well as branch lengths for user specified trees.
 Branch lengths can also be calculated under the clock-assumption. In
 addition, TREE-PUZZLE offers a novel method, likelihood mapping, to
 investigate the support of a hypothesized internal branch without
 computing an overall tree and to visualize the phylogenetic content of
 a sequence alignment.
 .
 This is the parallelized version of tree-puzzle.

Package: tree-puzzle
Version: 5.2-7
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 292
Depends: libc6 (>= 2.13-28), libsprng2
Recommends: tree-puzzle-doc
Suggests: phylip, treetool
Homepage: http://www.tree-puzzle.de
Priority: optional
Section: science
Filename: pool/main/t/tree-puzzle/tree-puzzle_5.2-7_armhf.deb
Size: 142696
SHA256: c85e43d1b2aac7eaad7982687ba10d5c418ad05a737dd4a6b9397a4ae7b7e52b
SHA1: bee2fa16f3b435e0716e1e7b50ba78deb5fc8c1b
MD5sum: 00515fccf1db414c019601c9ffbe8be1
Description: Reconstruction of phylogenetic trees by maximum likelihood
 TREE-PUZZLE (the new name for PUZZLE) is an interactive console program that
 implements a fast tree search algorithm, quartet puzzling, that allows
 analysis of large data sets and automatically assigns estimations of support
 to each internal branch. TREE-PUZZLE also computes pairwise maximum
 likelihood distances as well as branch lengths for user specified trees.
 Branch lengths can also be calculated under the clock-assumption. In
 addition, TREE-PUZZLE offers a novel method, likelihood mapping, to
 investigate the support of a hypothesized internal branch without
 computing an overall tree and to visualize the phylogenetic content of
 a sequence alignment.

Package: tree-puzzle-doc
Source: tree-puzzle
Version: 5.2-7
Installed-Size: 586
Maintainer: Debian Med Packaging Team 
Architecture: all
Recommends: tree-puzzle | tree-ppuzzle
Size: 415866
SHA256: 143bc52050e460efdf30fb199ce0207524d622d926aef5b304b91eeb6265c0f1
SHA1: f9358abdce9bf47b0d29b681ee8064577bd0bccf
MD5sum: cc548a60e31ceb61b575e8856306ebdd
Description: Reconstruction of phylogenetic trees by maximum likelihood
 TREE-PUZZLE (the new name for PUZZLE) is an interactive console program that
 implements a fast tree search algorithm, quartet puzzling, that allows
 analysis of large data sets and automatically assigns estimations of support
 to each internal branch. TREE-PUZZLE also computes pairwise maximum
 likelihood distances as well as branch lengths for user specified trees.
 Branch lengths can also be calculated under the clock-assumption. In
 addition, TREE-PUZZLE offers a novel method, likelihood mapping, to
 investigate the support of a hypothesized internal branch without
 computing an overall tree and to visualize the phylogenetic content of
 a sequence alignment.
 .
 This is the documentation package for tree-puzzle
Homepage: http://www.tree-puzzle.de
Tag: field::biology, field::biology:bioinformatics, made-of::pdf,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/tree-puzzle/tree-puzzle-doc_5.2-7_all.deb

Package: treeline
Version: 1.4.1-1
Installed-Size: 1762
Maintainer: Miriam Ruiz 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-qt4 (>= 4.4)
Recommends: aspell | ispell
Size: 602144
SHA256: 5e78ee39d69483f69f0c57d27823e603cec358bb2c4f1c67f6ab779de2d6c8d0
SHA1: 46f7aae28a76494d1a2ddde0ac8044e534adfbff
MD5sum: 893dae7ce564b9402f65a836ed437c6d
Description: versatile tree-like structured custom data manager
 TreeLine is a versatile tool for working with all kind of information
 that fits into a tree-like structure.
 .
 It can be used to edit bookmark files, create mini-databases (e.g., for
 addresses, tasks, records, CDs, etc.), outline documents, or just
 collect ideas. It can also be used as a generic editor for XML files.
 .
 The data schemas for any node in the data tree can be customized and
 new types of nodes can be defined. The way data is presented on the
 screen, exported to HTML, or printed can be defined with HTML-like
 templates. Plug-ins can be written to load and save data from and to
 custom file formats or external data sources and extend the
 functionality of TreeLine.
Homepage: http://treeline.bellz.org/
Tag: implemented-in::python, interface::x11, role::program, uitoolkit::qt,
 works-with::text, x11::application
Section: utils
Priority: optional
Filename: pool/main/t/treeline/treeline_1.4.1-1_all.deb

Package: treetop
Source: ruby-treetop
Version: 1.4.10-5
Installed-Size: 43
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-treetop
Size: 5614
SHA256: 895cabed603e2d5c4b75f92fac2ab8cb16428296207405911ce3e2fd03e63033
SHA1: 1aa2ffbbc9ff12e0f07e38e68e66889508803677
MD5sum: 179e1a71ed8d151ca016d6d28c3b2af2
Description: Ruby-based text parsing and interpretation (command-line utility)
 Treetop is a language for describing languages. It provides a Ruby
 implementation of a custom language based on parsing expression
 grammars (PEGs).
 .
 This package provides the top-level utility script tt.
Homepage: http://functionalform.blogspot.com
Section: ruby
Priority: optional
Filename: pool/main/r/ruby-treetop/treetop_1.4.10-5_all.deb

Package: treeviewx
Version: 0.5.1+20100823-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 448
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1)
Homepage: http://code.google.com/p/treeviewx/
Priority: optional
Section: science
Filename: pool/main/t/treeviewx/treeviewx_0.5.1+20100823-1_armhf.deb
Size: 169880
SHA256: 57e6160fc6e23016ac205f58e645b9a1e865038de8f6e96d36b1d67aea20ee59
SHA1: e5fb9609bc0b5c261ee9f32bd97af31de90c205c
MD5sum: 9e69f5937095b4f1b6518ec9b5eff027
Description: Displays and prints phylogenetic trees
 TreeView X is an open source and multi-platform program to display
 phylogenetic trees. It can read and display NEXUS and Newick format tree files
 (such as those output by PAUP*, ClustalX, TREE-PUZZLE, and other programs). It
 allows one to order the branches of the trees, and to export the trees in SVG
 format.

Package: treil
Version: 1.8-1.1
Architecture: armhf
Maintainer: NIIBE Yutaka 
Installed-Size: 100
Depends: ruby1.8, libcairo-ruby1.8, libc6 (>= 2.13-28), libruby1.8 (>= 1.8.7.357-1), libtreil0
Priority: extra
Section: utils
Filename: pool/main/t/treil/treil_1.8-1.1_armhf.deb
Size: 14644
SHA256: c949e2a7223aea65fe3ad96af9892e5946de67abb412d9278833b5a3f2ce8bad
SHA1: 9abaca1a003f5532309d9d4074cc10f704086b03
MD5sum: 9254156c38528e5958b20c8af2a5bc68
Description: tree structure into tiles
 Treil is a utility which produces an image of tiles from tree structure.
 For example, Linux directory tree can be shown as an image of tiles,
 by:
     $ treil -o linux.png /usr/src/linux

Package: trend
Version: 1.2-1
Architecture: armhf
Maintainer: Yuri D'Elia 
Installed-Size: 144
Depends: freeglut3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libstdc++6 (>= 4.4.0)
Homepage: http://www.thregr.org/~wavexx/software/trend/
Priority: extra
Section: utils
Filename: pool/main/t/trend/trend_1.2-1_armhf.deb
Size: 46462
SHA256: e283be94e3731353a56993150cb753668daf8b5b7f44eed3822d6bdd54376881
SHA1: 91f9fa184b737980050983db6a995a4de99fa247
MD5sum: c6ba978de32d292a9778f20fa2c2fd4f
Description: a general-purpose, efficient trend graph
 trend is a general-purpose, efficient trend graph for "live" data. Data
 is read in ASCII form from a file or continuously from a FIFO and
 displayed in real-time into a multi-pass trend (much like a CRT
 oscilloscope). trend can be used as a rapid analysis tool for
 progressive or time-based data series together with trivial scripting.

Package: trickle
Version: 1.07-9
Architecture: armhf
Maintainer: Robert Lemmen 
Installed-Size: 126
Depends: libbsd0 (>= 0.0), libc6 (>= 2.7), libevent-2.0-5 (>= 2.0.10-stable)
Priority: optional
Section: net
Filename: pool/main/t/trickle/trickle_1.07-9_armhf.deb
Size: 38336
SHA256: bfd89b4a153787f0ae688c8ec4bf4e9246879e91eb0ba27bd0276a1382a61837
SHA1: 9d14d37588824056903d9146b5b9270f95750552
MD5sum: 581ac223c005e4d8ed759302361d1bc1
Description: user-space bandwidth shaper
 Trickle is a voluntary, cooperative bandwidth shaper. it works
 entirely in userland and is very easy to use.
 .
 The most simple application is to limit the bandwidth usage of programs.

Package: trigger-rally
Version: 0.6.0-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 491
Depends: libalut0 (>= 1.0.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libopenal1, libphysfs1 (>= 1.1.1), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libtinyxml2.6.2, trigger-rally-data (>= 0.6.0-1)
Conflicts: trigger (<< 0.5.2.1)
Homepage: http://sourceforge.net/projects/trigger-rally
Priority: optional
Section: games
Filename: pool/main/t/trigger-rally/trigger-rally_0.6.0-1_armhf.deb
Size: 220590
SHA256: 66159cc0845cafb8af4b1bbb1797748b88d2228e3af03040f53aa0ee0013127f
SHA1: 41a99ef11f3f0b01ea1f5ba72af491f23c498591
MD5sum: afb9fa80d76c0f52f7591a3e2d1bb8ef
Description: free 3D rally racing car game
 Trigger is a free 3D rally car racing game. Fun for all the family!
 .
 Trigger comes with a number of challenges where you have to race several
 tracks to finish each challenge.
 .
 When racing a track, you have to reach several locations marked by pulsating
 rings in sequence. You win a race if you reach the last location in time.
 .
 Trigger is highly customisable, and it's easy to add new levels and vehicles.
 .
 System Requirements consist of an OpenGL accelerated video card.

Package: trigger-rally-data
Version: 0.6.0-1
Installed-Size: 21875
Maintainer: Debian Games Team 
Architecture: all
Recommends: trigger-rally (>= 0.5.2)
Size: 20997848
SHA256: 6dc16d477413907220e4e0940719a23d8d6554c992dba701a8ecf17bec078587
SHA1: c4833fa8ea38c50060aa3ab2bff2a62a55bbfb1a
MD5sum: 2a29c3c673df7785d3dad28ccb12e615
Description: free 3D rally racing car game - data files
 Trigger is a free 3D rally car racing game. Fun for all the family!
 You race a sequence of 6 courses, with increasing levels of difficulty.
 .
 Trigger is highly customisable, and it's easy to add new levels and vehicles.
 .
 System Requirements:
   * 400 MHz CPU
   * 64 MB Ram
   * good OpenGL hardware accellerated video card (NVidia Geforce or better)
 .
 This package contains the data-files.
Homepage: http://www.positro.net/trigger/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/t/trigger-rally-data/trigger-rally-data_0.6.0-1_all.deb

Package: triggerhappy
Version: 0.3.4-2
Architecture: armhf
Maintainer: Stefan Tomanek 
Installed-Size: 126
Depends: libc6 (>= 2.4)
Homepage: http://github.com/wertarbyte/triggerhappy
Priority: extra
Section: utils
Filename: pool/main/t/triggerhappy/triggerhappy_0.3.4-2_armhf.deb
Size: 31204
SHA256: 3b8216d302f08ece40a075273abec40b4bce0e89e638a3a433fc0b3f7b6caf30
SHA1: 830b2a216f32b519cffba00ceeb4ac3ef299dad1
MD5sum: 48a3592314f5207799cc2089080aea52
Description: global hotkey daemon for Linux
 Triggerhappy watches connected input devices for certain key presses
 or other input events and runs administrator-configured
 commands when they occur. Unlike other hotkey daemons, it runs as a
 persistent, systemwide service and therefore can be used even
 outside the context of a user or X11 session.
 .
 It can handle a wide variety of devices (keyboards, joysticks,
 wiimote, etc.), as long as they are presented by the kernel as
 generic input devices. No kernel patch is required. The daemon is
 a userspace program that polls the /dev/input/event? interfaces
 for incoming key, button and switch events. A single daemon can
 monitor multiple input devices and can dynamically add additional
 ones. Hotkey handlers can be assigned to dedicated (tagged) devices
 or globally.
 .
 For example, this package might be useful on a headless system to
 use input events generated by a remote control to control an
 mpd server, but can also be used to allow the adjustment of audio
 and network status on a notebook without relying on user specific
 configuration.
 .
 Key combinations are supported as well as the hotplugging of devices
 using a udev hotplug script; the running daemon can also be influenced
 by a client program, e.g. to temporarily pause the processing of
 events or switch to a different set of hotkey bindings.

Package: trimage
Version: 1.0.5-1
Installed-Size: 200
Maintainer: Kilian Valkhof 
Architecture: all
Depends: python (>= 2.6), python-support (>= 0.90.0), python-qt4 (>= 4.4), optipng (>= 0.6.2.1), advancecomp (>= 1.15), jpegoptim (>= 1.2.2), pngcrush (>= 1.6.7)
Size: 29500
SHA256: f003a4ba8e89993f76756f8dda7e24bde739274e284e945c8327f6807dfb9b1e
SHA1: 078b95fb36dbe2a83eb00468f0f01432e7fe93e9
MD5sum: bc5a0e64b5b64040120c288d76c8c9d2
Description: GUI and command-line interface to optimize image files
 Trimage is a cross-platform GUI and command-line interface to optimize image
 files via optipng, advpng, pngcrush and jpegoptim, depending on the filetype
 (currently, PNG and JPG files are supported). All image files are losslessly
 compressed on the highest available compression levels. Trimage gives you
 various input functions to fit your own workflow: A regular file dialog,
 dragging and dropping and various command line options.
Homepage: http://trimage.org
Python-Version: 2.6
Tag: implemented-in::python, interface::commandline, interface::x11,
 role::program, scope::utility, uitoolkit::qt, works-with-format::jpg,
 works-with-format::png, works-with::image, x11::application
Section: graphics
Priority: optional
Filename: pool/main/t/trimage/trimage_1.0.5-1_all.deb

Package: triplane
Version: 1.0.7-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 4442
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0)
Homepage: http://triplane.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/t/triplane/triplane_1.0.7-1_armhf.deb
Size: 1657958
SHA256: 57f271d0319aa7bcf9993305ba4d02ab9cd479d694dcdf988f9f975a0c690a43
SHA1: cea44c7e73a75dabbfa42518998c2b71304cab1e
MD5sum: 68efb9d6ec1ef90baaae467f88bc1b19
Description: side-scrolling dogfighting game
 Triplane Classic is a side-scrolling dogfighting game featuring solo
 missions and multiplayer mode with up to four players. It is a port
 of the original Triplane Turmoil game for DOS and aims to match the
 original game exactly so that high scores remain comparable to the
 original.

Package: triplea
Version: 1.5.2.1-1
Installed-Size: 107828
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: default-jre | java5-runtime | java6-runtime, libcommons-codec-java, libcommons-httpclient-java, libcommons-logging-java, libgnumail-java
Recommends: substance, liblaf-plugin-java, liblaf-widget-java
Size: 96010450
SHA256: dd03131e60cadafa997520482b56de09a89ed8b3e138593533eacd95fa772c72
SHA1: 70e99c2bc5d1b1789ff3169d8da508f28f83a1f7
MD5sum: 07aafeb510e846e2ebf1ee804f1f4e31
Description: Turn based strategy game
 TripleA is a turn based strategy game. TripleA comes with multiple
 games and over 100 more games can be downloaded from the user
 community. Supports single player vs AI, hot-seat, Play by Email,
 and a hosted online lobby.
Homepage: http://triplea.sourceforge.net
Tag: game::strategy, role::program, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/t/triplea/triplea_1.5.2.1-1_all.deb

Package: tripwire
Version: 2.4.2.2-2
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 7355
Pre-Depends: debconf (>= 0.5) | debconf-2.0
Depends: postfix | mail-transport-agent
Homepage: http://sourceforge.net/projects/tripwire/
Priority: optional
Section: utils
Filename: pool/main/t/tripwire/tripwire_2.4.2.2-2_armhf.deb
Size: 3306264
SHA256: bc5d42c2772dbc8cf16d6b60b513e46ca7adba81cc5a6f78d2a52f1fdf7814ef
SHA1: 06cc27eda4202a71fbcdd5a1837e5196029dc4fd
MD5sum: f3a8c90b13e84f696e06e067ffd5e21a
Description: file and directory integrity checker
 Tripwire is a tool that aids system administrators and users in
 monitoring a designated set of files for any changes.  Used with
 system files on a regular (e.g., daily) basis, Tripwire can notify
 system administrators of corrupted or tampered files, so damage
 control measures can be taken in a timely manner.

Package: tritium
Version: 0.3.8-2
Installed-Size: 260
Maintainer: Ryan Niebur 
Architecture: all
Depends: python (>= 2.2), python-support (>= 0.90.0), python-xlib, python-plwm (>= 2.6a+20080530), python-contract
Size: 27350
SHA256: 26bba52332744c1bd66371157806eb016a4622164f04b999cee9cdd6fba05961
SHA1: d754f922d70b7f08c343c0fa2b2a7587d1e025b8
MD5sum: 88c911a4abbfbc7989f122292604d42f
Description: a tabbed/tiling window manager
 tritium is a tiling/tabbed window manager for the X Window System
 inspired by the ion3 window manager.  It was written completely from
 scratch in Python and shares no actual code with ion3.
Python-Version: 2.5, 2.6
Tag: implemented-in::python, role::program, x11::window-manager
Section: x11
Priority: extra
Filename: pool/main/t/tritium/tritium_0.3.8-2_all.deb

Package: troffcvt
Version: 1.04-21
Architecture: armhf
Maintainer: Colin Watson 
Installed-Size: 541
Depends: libc6 (>= 2.13-28), perl5, groff (>= 1.17.1-1), debianutils (>= 1.6)
Priority: extra
Section: text
Filename: pool/main/t/troffcvt/troffcvt_1.04-21_armhf.deb
Size: 186378
SHA256: ab142b2dad8118d044798adb28fb5a1a456a27fd399cf6f714ef6bd0cbe08918
SHA1: 9fe7deb282a028842ca521247b12a4c9e3067efa
MD5sum: 5d39eb35324690820209b98eac0b3358
Description: Converts troff source to HTML, RTF, and plain text
 Use this package to convert manuals and manpages and other documents
 written using troff to more manageable formats, such as HTML, RTF,
 or plain text.

Package: trophy
Version: 2.0.2-2
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 323
Depends: trophy-data (= 2.0.2-2), libc6 (>= 2.13-28), libclanapp-1.0, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6)
Homepage: http://trophy.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/t/trophy/trophy_2.0.2-2_armhf.deb
Size: 145860
SHA256: be2bd46a23c97f437759224e8032535866d953ae2e7af2a1db59f840fee732c1
SHA1: 86b306d5e0c7cf23b820b5f1675cba8d57448e95
MD5sum: e5a55605f454a3107e77560559d32e78
Description: 2D car racing action game
 Trophy is a single-player racing game which combines elements from
 traditional race and action games. Lots of available extras enable
 features such as shooting, mines, barrels and many others.
 .
 This package contains the executable.

Package: trophy-data
Source: trophy
Version: 2.0.2-2
Installed-Size: 47186
Maintainer: Debian Games Team 
Architecture: all
Recommends: trophy
Size: 14569256
SHA256: 98ad4f6449eb9c70ce2712f42af0e88f703669e22374b367fcb0e080748a7435
SHA1: 8bd25c1b8b3574959d4edf344e963be69df1cff6
MD5sum: d6f0cf62a4c14cf2ba0f469340bb7579
Description: data files for trophy
 This package contains the maps, graphics and sounds for the game trophy.
 It includes the racetracks Downtown, Industrial, Loops, Moon, Rally, Snake,
 Zigzag and Zurich.
Homepage: http://trophy.sourceforge.net/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/t/trophy/trophy-data_2.0.2-2_all.deb

Package: trophy-dbg
Source: trophy
Version: 2.0.2-2
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 2549
Depends: trophy (= 2.0.2-2)
Homepage: http://trophy.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/t/trophy/trophy-dbg_2.0.2-2_armhf.deb
Size: 2494890
SHA256: 8ae87d88df2541d2f543fe0b86cc3b8d10c68f9bcd7f359757e348aeb89cc8c7
SHA1: ae65c680907ad94ca424aef688fae3275331bea2
MD5sum: 46ff1c8c7e162cae6d54b63ef4da5aa8
Description: debug files for trophy
 This package contains the debugging symbols for the game trophy.
 .
 It can be used to debug trophy using GDB if the game crashes
 due to programming errors.

Package: trousers
Version: 0.3.9-3+wheezy1
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 386
Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), libtspi1 (>= 0.3.1), adduser, lsb-base (>= 3.0-6)
Breaks: udev (<< 136-1)
Homepage: http://trousers.sourceforge.net/
Priority: optional
Section: admin
Filename: pool/main/t/trousers/trousers_0.3.9-3+wheezy1_armhf.deb
Size: 147552
SHA256: 8156c4fc2547d6ae88f36eb7792c03104f769a58429d83f9cdeea506f9559476
SHA1: 80298ed1cf9849e355cb0bc2dbd2ec12da9d6e91
MD5sum: 636bfbb91f4246df2836deb4538b5562
Description: open-source TCG Software Stack (daemon)
 TrouSerS is an implementation of the Trusted Computing Group's Software Stack
 (TSS) specification. You can use TrouSerS to write applications that make use
 of your TPM hardware. TPM hardware can create, store and use RSA keys securely
 (without ever being exposed in memory), verify a platform's software state
 using cryptographic hashes and more.
 .
 TrouSerS aims to be compliant with the 1.1b and 1.2 TSS specifications
 available from the Trusted Computing Group website at
 .

Package: trousers-dbg
Source: trousers
Version: 0.3.9-3+wheezy1
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 778
Depends: trousers (= 0.3.9-3+wheezy1), libtspi1 (= 0.3.9-3+wheezy1), libtspi-dev (= 0.3.9-3+wheezy1)
Homepage: http://trousers.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/t/trousers/trousers-dbg_0.3.9-3+wheezy1_armhf.deb
Size: 621120
SHA256: 6af8ac929223d20968199d1c2017e92d01fe992a1d32722ae166c54c6d31fa9b
SHA1: 648a61fcc1040898b9892cb9db78b30b4f8dcfd2
MD5sum: 7799acdab446889c68cfb63bf90225f3
Description: open-source TCG Software Stack (debug)
 TrouSerS is an implementation of the Trusted Computing Group's Software Stack
 (TSS) specification. You can use TrouSerS to write applications that make use
 of your TPM hardware. TPM hardware can create, store and use RSA keys securely
 (without ever being exposed in memory), verify a platform's software state
 using cryptographic hashes and more.
 .
 This package contains the debugging symbols.

Package: trovacap
Version: 0.2.2-1
Architecture: armhf
Maintainer: David Paleino 
Installed-Size: 133
Depends: libc6 (>= 2.13-28), libfltk1.3 (>= 1.3.0), libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxpm4, trovacap-data (= 0.2.2-1)
Homepage: http://www.digitazero.org/?p=41
Priority: extra
Section: utils
Filename: pool/main/t/trovacap/trovacap_0.2.2-1_armhf.deb
Size: 40872
SHA256: 9cd025a82fd90ec1199e70be564caf232f07f6e1c9434d1cd44f4cb717e38bf9
SHA1: 4742acbb9d7b98926f147b61a5e20bfb3afb28ff
MD5sum: d895c613e030e12fb948d5a40660b7de
Description: tool to find Italian ZIP codes
 TrovaCAP is a tool to find Italian ZIP codes (CAP - Codice Avviamento
 Postale) from a given address.

Package: trovacap-data
Source: trovacap
Version: 0.2.2-1
Installed-Size: 4956
Maintainer: David Paleino 
Architecture: all
Size: 2092498
SHA256: 3e56aa889bef70d2ec669651fb9f1b57ba0bd96bbad853c31da26c17d9ff126d
SHA1: b2c6439d7a3a9d3e1577c5e25472886ef3afc412
MD5sum: c2d413ef0b85a108d32ab35581a9b273
Description: tool to find Italian ZIP codes - ZIP codes database
 TrovaCAP is a tool to find Italian ZIP codes (CAP - Codice Avviamento
 Postale) from a given address.
 .
 This package contains the ZIP codes database, in SQLite format and
 as a SQL dump.
Homepage: http://www.digitazero.org/?p=41
Tag: role::app-data
Section: utils
Priority: extra
Filename: pool/main/t/trovacap/trovacap-data_0.2.2-1_all.deb

Package: trscripts
Version: 1.16
Installed-Size: 1792
Maintainer: Anton Zinoviev 
Architecture: all
Size: 568924
SHA256: b0c70583c088a69c94183babdbf8f44009c5d0b26e63ec997f0ab62f1a41059b
SHA1: 1e31d20bb938ef9cfcfcf7eada8b134613519c43
MD5sum: e38786988982e0d35dd66e89ec0fb1a5
Description: Scripts for reencoding text files and BDF-fonts
 The script `trbdf' can convert a BDF font from one codeset
 to another.
 .
 The script `trcs' reencodes text files from one codeset to another.
 It can generate scripts for `tr'. For example the command
  trcs --from cp1252 --to latin1 --gen-script
 gives you the following output:
  #!/bin/sh
 .
  trap "exit 0" PIPE
 .
  cat "$@" | tr \
  '\200''\201''\202''\203''\204''\205''\206''\207''\210''\211''\212'\
  '\213''\214''\215''\216''\217''\220''\221''\222''\223''\224''\225'\
  '\226''\227''\230''\231''\232''\233''\234''\235''\236''\237'  \
  '\105''\77''\47''\146''\42''\267''\53''\77''\136''\77''\123'\
  '\253''\117''\77''\132''\77''\77''\47''\47''\42''\42''\267'\
  '\-''\-''\176''\77''\163''\273''\157''\77''\172''\131'
 .
 Both scripts try to approximate the missing from the target codeset
 symbols.
 .
 It is easy to add support of other character sets.
Tag: interface::commandline, role::program, scope::utility, use::converting,
 works-with::font, works-with::text
Section: utils
Priority: optional
Filename: pool/main/t/trscripts/trscripts_1.16_all.deb

Package: trueprint
Version: 5.3-4
Architecture: armhf
Maintainer: Henrique Haas 
Installed-Size: 153
Depends: libc6 (>= 2.4)
Recommends: lpr
Priority: optional
Section: text
Filename: pool/main/t/trueprint/trueprint_5.3-4_armhf.deb
Size: 65482
SHA256: bd8e68bc52aad58545732d2b99a48370d2d35fa29b3386d0bd71eb963207f9c0
SHA1: 02992088304a4fae1186c50fb0812d05031c89ae
MD5sum: 93f1b63c51dbb65571cea2e630062483
Description: pretty printing of source code
 This program generates a pretty output of source codes in many
 programming languages. The result could be printed or writed to
 a Postscript file.
 .
 The following programming languages are supported:
     * C
     * C++
     * Java
     * Pascal
     * Perl
     * Pike
     * Sh (Shell)
     * Verilog
 .
  Homepage: http://www.gnu.org/software/trueprint/trueprint.html

Package: trustedqsl
Version: 1.13-3
Architecture: armhf
Maintainer: Debian Hamradio Maintainers 
Installed-Size: 1190
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libtqsllib1 (>= 2.2), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), zlib1g (>= 1:1.2.3.3)
Homepage: http://sourceforge.net/trustedqsl/
Priority: optional
Section: hamradio
Filename: pool/main/t/trustedqsl/trustedqsl_1.13-3_armhf.deb
Size: 383064
SHA256: 89445a0d3e6ec1d9d2a4a9ad9c1d48000c008de27bf1da91195b8473bc500215
SHA1: c45661de8ff3f64b333d995029b8bf4e89b5e20c
MD5sum: 8edd6ca1ceaaeba4144468c34844810c
Description: QSL log signing for the Logbook of the World (LoTW)
 A QSL is a confirmation of contact between two amateur radio stations.
 The ARRL Logbook of the World project is a database which collects
 data about contacts between amateur stations (QSOs). This package
 provides programs for maintaining your digital certificates for
 LOTW and for signing QSO log files in ADIF and Cabrillo format
 for upload.

Package: tryton-client
Version: 2.2.3-1+deb7u1
Installed-Size: 2381
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), python-dateutil, python-gtk2, python-simplejson, python-pkg-resources, librsvg2-2
Suggests: tryton-server
Size: 317534
SHA256: ddc471b7e4e2009850b4f9e5567ad468dd620710c82904ed2ac63adf2efb5d69
SHA1: 9c1e339237bbf6ddd1b987093a2f69bba0b8ae26
MD5sum: b2ffbe702185e28ad79a286b2ecf92b1
Description: Tryton Application Platform (Client)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the client.
Homepage: http://www.tryton.org/
Recommends: libreoffice-writer, libreoffice-calc, evince | pdf-viewer, python-tz
Section: python
Priority: optional
Filename: pool/main/t/tryton-client/tryton-client_2.2.3-1+deb7u1_all.deb

Package: tryton-modules-account
Version: 2.2.3-1
Installed-Size: 1199
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), python-dateutil, tryton-modules-company (>= 2.2), tryton-modules-party (>= 2.2), tryton-modules-currency (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 152614
SHA256: 9bf218f57b68081c5909867e01cb2bf2876a37beda361914b54de9dba0149feb
SHA1: 339240186bc2f5484afa0fbc6dd4e0c9a854052c
MD5sum: b1974cf95bdcc595519d0330c9792642
Description: Tryton Application Platform (Financial and Accounting Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the financial and accounting module with:
 .
  * General accounting
  * Fiscal year management
  * Taxes management
  * Journal entries
  * Reconciliation
 .
 And with reports:
 .
  * General ledger
  * Trial balance
  * Balance sheet
  * Income statement
  * Third party balance
  * Aged balance
  * General journal
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-account/tryton-modules-account_2.2.3-1_all.deb

Package: tryton-modules-account-be
Version: 2.2.0-2
Installed-Size: 456
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-account (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 19782
SHA256: c9bb2b0e7b7b1b36deb514456aa630c79320f34989cf20792dbac047536b4e4b
SHA1: 0bf249b1c38198d4c21abf0d8655d725ac74addc
MD5sum: 27547d056f96e9286e2614a71fafae12
Description: Tryton Application Platform (Financial and Accounting Module for Belgium)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the module with a chart of accounts for Belgium.
Homepage: http://tryton.origo.ethz.ch/
Tag: culture::TODO, field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-account-be/tryton-modules-account-be_2.2.0-2_all.deb

Package: tryton-modules-account-de-skr03
Version: 2.2.0-2
Installed-Size: 846
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-account (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 42568
SHA256: cacd9776f0ca5f3352eac7cd54036d8d56f2cafe6180dadaa172dad711c68dda
SHA1: d6185fbbc928c0c7ebb7edbeedd1e5941e39c42e
MD5sum: 2d2ded2bc9f27d01036752757e6aca85
Description: Tryton Application Platform (Financial and Accounting Module for Germany)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the module with the German chart of accounts SKR03.
Homepage: http://tryton.origo.ethz.ch/
Tag: culture::german, field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-account-de-skr03/tryton-modules-account-de-skr03_2.2.0-2_all.deb

Package: tryton-modules-account-invoice
Version: 2.2.2-2
Installed-Size: 597
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-dateutil, tryton-modules-account (>= 2.2), tryton-modules-company (>= 2.2), tryton-modules-party (>= 2.2), tryton-modules-product (>= 2.2), tryton-modules-currency (>= 2.2), tryton-modules-account-product (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 57794
SHA256: 83eb5608eccef446589a115aec8c6bd292db4dfbed7621a91ad306213d2950f8
SHA1: f47ced562493f6b5dc90f09f2577701486095e7a
MD5sum: 2b85071369d0ed2b6f42ca676143702e
Description: Tryton Application Platform (Financial and Accounting Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the financial and accounting module with:
 .
  * Payment Term
  * Invoice/Credit Note
  * Supplier Invoice/Supplier Credit Note
 .
 With the possibilities:
 .
  * to follow the payment of the invoices.
  * to define invoice sequences on fiscal year or period.
  * to credit any invoice.
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-account-invoice/tryton-modules-account-invoice_2.2.2-2_all.deb

Package: tryton-modules-account-invoice-history
Version: 2.2.0-2
Installed-Size: 122
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-account-invoice (>= 2.2), tryton-modules-party (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 6956
SHA256: 8d37b135f422fec95fd1d5e711f93279f3ab91c7ca5ed42d4fe027cc3e841517
SHA1: 5a752e4c2e9a3419b79ca3f010e68be0f311da9b
MD5sum: 8110c884d401bf8b49d808b609d32682
Description: Tryton Application Platform (Financial and Accounting Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the module providing historization for invoices.
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-account-invoice-history/tryton-modules-account-invoice-history_2.2.0-2_all.deb

Package: tryton-modules-account-invoice-line-standalone
Version: 2.2.0-2
Installed-Size: 151
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-account-invoice (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 9008
SHA256: 1bf321cfbc6158361c1acfb2ca3c18377397854c015fd95bd491f03164eb6a69
SHA1: e0b2cd19773ab4c5f323e050f08f5bd220502712
MD5sum: cfc1d27b8d2764f18aceba4b9522d890
Description: Tryton Application Platform (Financial and Accounting Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module allows one to create standalone invoice lines that can be added
 later to a draft invoice. The invoice will only accept invoice lines of the
 same type, company, currency and party.
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-account-invoice-line-standalone/tryton-modules-account-invoice-line-standalone_2.2.0-2_all.deb

Package: tryton-modules-account-product
Version: 2.2.0-2
Installed-Size: 181
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-account (>= 2.2), tryton-modules-company (>= 2.2), tryton-modules-product (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 11600
SHA256: 07c9dc0e98341ea190e0110c934d0426fb934bb5bd7daf898b968aeb42a8ec19
SHA1: b02d7cd956a8fd8c5f367329f9b9d68581c57794
MD5sum: 7ce4f7074eed8c8d297bb35454864278
Description: Tryton Application Platform (Financial and Accounting Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the financial and accounting module that adds accounting
 properties on products and product categories like:
 .
  * accounts for expense/revenue
  * taxes for customers/suppliers
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-account-product/tryton-modules-account-product_2.2.0-2_all.deb

Package: tryton-modules-account-statement
Version: 2.2.1-1
Installed-Size: 123
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-account (>= 2.2), tryton-modules-company (>= 2.2), tryton-modules-currency (>= 2.2), tryton-modules-party (>= 2.2), tryton-modules-account-invoice (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 17730
SHA256: f5aef12342227b47a9a9b86ddd5aad124a32ee1fc0fd9f85eff0d67564c55ffa
SHA1: 1927e75bf89aeb73a23f645822606fe85cfb42b4
MD5sum: c819ba042755731b10783802b11757d8
Description: Tryton Application Platform (Financial and Accounting Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the financial and accounting module with:
 .
  * Statement
  * Statement journal
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-account-statement/tryton-modules-account-statement_2.2.1-1_all.deb

Package: tryton-modules-all
Source: tryton-meta
Version: 16
Installed-Size: 27
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: tryton-modules-account (>= 2.2), tryton-modules-account-be (>= 2.2), tryton-modules-account-de-skr03 (>= 2.2), tryton-modules-account-invoice (>= 2.2), tryton-modules-account-invoice-history (>= 2.2), tryton-modules-account-invoice-line-standalone (>= 2.2), tryton-modules-account-product (>= 2.2), tryton-modules-account-statement (>= 2.2), tryton-modules-analytic-account (>= 2.2), tryton-modules-analytic-invoice (>= 2.2), tryton-modules-analytic-purchase (>= 2.2), tryton-modules-analytic-sale (>= 2.2), tryton-modules-calendar (>= 2.2), tryton-modules-calendar-classification (>= 2.2), tryton-modules-calendar-scheduling (>= 2.2), tryton-modules-calendar-todo (>= 2.2), tryton-modules-company (>= 2.2), tryton-modules-company-work-time (>= 2.2), tryton-modules-country (>= 2.2), tryton-modules-currency (>= 2.2), tryton-modules-dashboard (>= 2.2), tryton-modules-google-maps (>= 2.2), tryton-modules-ldap-authentication (>= 2.2), tryton-modules-ldap-connection (>= 2.2), tryton-modules-party (>= 2.2), tryton-modules-party-siret (>= 2.2), tryton-modules-party-vcarddav (>= 2.2), tryton-modules-product (>= 2.2), tryton-modules-product-cost-fifo (>= 2.2), tryton-modules-product-cost-history (>= 2.2), tryton-modules-product-price-list (>= 2.2), tryton-modules-purchase (>= 2.2), tryton-modules-project (>= 2.2), tryton-modules-project-plan (>= 2.2), tryton-modules-project-revenue (>= 2.2), tryton-modules-purchase-invoice-line-standalone (>= 2.2), tryton-modules-sale (>= 2.2), tryton-modules-sale-opportunity (>= 2.2), tryton-modules-sale-price-list (>= 2.2), tryton-modules-stock (>= 2.2), tryton-modules-stock-forecast (>= 2.2), tryton-modules-stock-inventory-location (>= 2.2), tryton-modules-stock-location-sequence (>= 2.2), tryton-modules-stock-product-location (>= 2.2), tryton-modules-stock-supply (>= 2.2), tryton-modules-stock-supply-day (>= 2.2), tryton-modules-timesheet (>= 2.2)
Size: 3138
SHA256: 0342b75c4ae6b857868476f07c21fbc4f5220fcb9244b7549d7691dc7c751208
SHA1: 1fd4d7444c9317ea36e5c5951e8a38ab1b46844f
MD5sum: ce4613ba0684bf5f0a6162c0883942dc
Description: Tryton Application Platform (modules metapackage)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package is a metapackage depending on all available Tryton modules.
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::metapackage, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-meta/tryton-modules-all_16_all.deb

Package: tryton-modules-analytic-account
Version: 2.2.0-2
Installed-Size: 238
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-company (>= 2.2), tryton-modules-currency (>= 2.2), tryton-modules-account (>= 2.2), tryton-modules-party (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 18480
SHA256: 30d8a60e5acee67201df8c9efafed7925af3cb132cb548486b8d8a65f20d544d
SHA1: 580f6197bcf3cc16804b5360b3826bf9f9fa2c89
MD5sum: d510962c949db20aced59e8e3034d568
Description: Tryton Application Platform (Financial and Accounting Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the financial and accounting module with:
 .
  * Analytic accounting with any number of analytic charts
 .
 And with report:
 .
  * Analytic account balance
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-analytic-account/tryton-modules-analytic-account_2.2.0-2_all.deb

Package: tryton-modules-analytic-invoice
Version: 1:2.2.0-2
Installed-Size: 144
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-account-invoice (>= 2.2), tryton-modules-analytic-account (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 9168
SHA256: cd37d3e899318cc3fab2dac818180e698e4acf5bda78e8b2567db8507e7e4f56
SHA1: 3ef8f15a6de76a75a7539df661493a1109cf2382
MD5sum: 0e10ee18f2b59d71b0749be8ff682a1f
Description: Tryton Application Platform (Financial and Accounting Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the financial and accounting module adding analytic
 accounts on invoice lines and generating analytic lines on the moves of
 invoices.
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-analytic-invoice/tryton-modules-analytic-invoice_2.2.0-2_all.deb

Package: tryton-modules-analytic-purchase
Version: 2.2.0-2
Installed-Size: 162
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-purchase (>= 2.2), tryton-modules-analytic-account (>= 2.2), tryton-modules-analytic-invoice (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 10902
SHA256: 5174240e3a09b87539e64855dd6704471effd83adba9320a73e5f71594b0705a
SHA1: 5bfc35e1fff394294ca0bf0eaf19495a70efa810
MD5sum: abb5c9fb0c9a3d4effeb4c3efd88f75b
Description: Tryton Application Platform (Financial and Accounting Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the financial and accounting module adding analytic
 accounts on purchase lines.
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-analytic-purchase/tryton-modules-analytic-purchase_2.2.0-2_all.deb

Package: tryton-modules-analytic-sale
Version: 2.2.0-2
Installed-Size: 142
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-sale (>= 2.2), tryton-modules-analytic-account (>= 2.2), tryton-modules-analytic-invoice (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 8706
SHA256: c763f7a797f6ae862d7dfa27b1009af2af9fe770c5fb2d553ea8ba72a14578fa
SHA1: 73d20368389cad71a155cda4e076ef0b5f3f711b
MD5sum: 7d8f12a90b64f664951652787802d667
Description: Tryton Application Platform (Financial and Accounting Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the financial and accounting module adding analytic
 accounts on sale lines.
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-analytic-sale/tryton-modules-analytic-sale_2.2.0-2_all.deb

Package: tryton-modules-calendar
Version: 2.2.1-1
Installed-Size: 287
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), python-vobject, python-webdav, python-dateutil, python-tz, tryton-server (>= 2.2), python-pkg-resources
Size: 34548
SHA256: 7dbabc81dfddd572470c35527a75b16a616bd841edd3bd4f6ac45b75d01c469d
SHA1: 35ad5c756e5aaf65f660f326200c1e879640f9cc
MD5sum: 9439e00270649b23b58b60fd8db04528
Description: Tryton Application Platform (Calendar Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds CalDAV support.
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin, use::timekeeping
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-calendar/tryton-modules-calendar_2.2.1-1_all.deb

Package: tryton-modules-calendar-classification
Version: 2.2.1-1
Installed-Size: 19
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), python-vobject, tryton-modules-calendar (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 7376
SHA256: 7eb102d80235ba0024f8b20756b8fbd1341942c532739e1bf9a580577f79e395
SHA1: 5b9b99d32cec698f3f7310a5f574ac00ffeaea60
MD5sum: 26a1e17e25ee8126207eb9b9d9c13551
Description: Tryton Application Platform (Calendar Classification Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds the possibility to handle classification of an event.
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-calendar-classification/tryton-modules-calendar-classification_2.2.1-1_all.deb

Package: tryton-modules-calendar-scheduling
Version: 2.2.2-1
Installed-Size: 95
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), python-webdav, tryton-modules-calendar (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 15028
SHA256: 1ad800aeeac52b6a5a17ac6c68acf2e3380ff442f13f2dc4195df7c7bad7e687
SHA1: 6049ffe0195987b09930c183334dbb8ede7bbf39
MD5sum: 903d6bc065549e35fec94737a4a70119
Description: Tryton Application Platform (Calendar Scheduling Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds scheduling support to CalDAV.
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-calendar-scheduling/tryton-modules-calendar-scheduling_2.2.2-1_all.deb

Package: tryton-modules-calendar-todo
Version: 2.2.1-1
Installed-Size: 153
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), python-vobject, python-webdav, python-dateutil, python-tz, tryton-modules-calendar (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 21654
SHA256: 028567fe595966f795816aa3a9bbe6a80b794588d69c4804515a3ae2b3805d55
SHA1: 134ed518ea8b02b62b7ce49de78427b3528e18f8
MD5sum: 76599f5cd3a27543b347e29a420dc0fa
Description: Tryton Application Platform (Calendar Todo Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds Todo support on CalDAV.
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-calendar-todo/tryton-modules-calendar-todo_2.2.1-1_all.deb

Package: tryton-modules-company
Version: 2.2.1-2
Installed-Size: 234
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-party (>= 2.2), tryton-modules-currency (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 36586
SHA256: 681f858a1da2f379d3fa9c388b65a6c9c06352fc5cafc19615ed558714014543
SHA1: f0ba09393fe246b53e8395158a0f52103dc7cc56
MD5sum: 1d9e0684fe5638b3ded42a8f04d51744
Description: Tryton Application Platform (Company Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package defines company and employees:
 .
  * Add main and current company on users preferences.
  * Add company on properties.
  * Define new report parser for report with company header.
  * Add letter template on party.
  * Make the scheduler run on each company.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-company/tryton-modules-company_2.2.1-2_all.deb

Package: tryton-modules-company-work-time
Version: 2.2.0-2
Installed-Size: 139
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-company (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 7454
SHA256: 67fe0f32681afd4d5f9e29c2ac0e2de949484924bd982a42f116cb0b1ad631de
SHA1: 986805f7ddcc5dac507430b02748740e44ed4ee2
MD5sum: e4d4c4cb8a371ce9e2866cb437401b87
Description: Tryton Application Platform (Company Work Time Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package allows one to define the company work time.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-company-work-time/tryton-modules-company-work-time_2.2.0-2_all.deb

Package: tryton-modules-country
Version: 2.2.0-2
Installed-Size: 4721
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-server (>= 2.2), python-pkg-resources
Size: 160646
SHA256: 433a40e7fb3fcbb08484b6acb37a14fd33dfc3a4a3552bf47ad7584ca2245855
SHA1: 37d50cd37c18f99fcad544ff51c65a151c3130e1
MD5sum: d5adb30ffe718ff635d79c5ae1a0564b
Description: Tryton Application Platform (Country Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module defines all countries and subdivisions.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-country/tryton-modules-country_2.2.0-2_all.deb

Package: tryton-modules-currency
Version: 2.2.1-2
Installed-Size: 400
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-server (>= 2.2), python-pkg-resources
Size: 35502
SHA256: edb20b94386a9ccc1ee0badb09172aebeb5a5ad7978733aec8f6021f7438782d
SHA1: a2089fded556e756c7b196eef8790d88f53ab77a
MD5sum: 554aa271a0df921f7c8057654a404ada
Description: Tryton Application Platform (Currency Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module defines currencies and exchange rates and allows one to customize
 the formatting of currency amounts.
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-currency/tryton-modules-currency_2.2.1-2_all.deb

Package: tryton-modules-dashboard
Version: 2.2.1-2
Installed-Size: 158
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-lxml, tryton-server (>= 2.2), python-pkg-resources
Size: 10144
SHA256: 31ad4d5a8d330f26b66077d82aed2c43e6de4259f83874d818f102f475c627cb
SHA1: 42e7527112bd0cca7a3e6c1d850babeef418b6aa
MD5sum: 8a19bfe33206f006d952dfbcd38854e4
Description: Tryton Application Platform (Dashboard Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package allows one to create a personalized dashboard.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-dashboard/tryton-modules-dashboard_2.2.1-2_all.deb

Package: tryton-modules-google-maps
Version: 2.2.0-2
Installed-Size: 136
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-party (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 7730
SHA256: ec7e0db0f64ac84005c2c061b528000fdcb469e3647b78bdd394a21207db716e
SHA1: 51284af1f26dd1b08036cc3acee12d8d8e68492b
MD5sum: 04f6150642d10912f663f047e9e0b8d4
Description: Tryton Application Platform (Google Maps Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds a link from addresses to Google Maps.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-google-maps/tryton-modules-google-maps_2.2.0-2_all.deb

Package: tryton-modules-ldap-authentication
Version: 2.2.1-2
Installed-Size: 150
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-ldap, tryton-modules-ldap-connection (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 9454
SHA256: a87ed05f347abdfbbd55766acf098971a5b98e6a0a7eb39d740026f6cfe8f630
SHA1: e34fc2d1cbc66f20a06e61f02d75be2a791094aa
MD5sum: 353a611959a01d4ffd6f0fadf220977a
Description: Tryton Application Platform (LDAP Authentication Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module provides the possibility to authenticate users to LDAP servers.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin, security::authentication
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-ldap-authentication/tryton-modules-ldap-authentication_2.2.1-2_all.deb

Package: tryton-modules-ldap-connection
Version: 2.2.0-2
Installed-Size: 157
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-ldap, tryton-server (>= 2.2), python-pkg-resources
Size: 9394
SHA256: f2926c13554f88c9fc488db9fd214515b67753c14a7d35e91de1d32270bf0e8c
SHA1: c54ac28a1c1fc6d2634376705d0d18f23a865971
MD5sum: baa66957f86ec8badfeb06717a76f459
Description: Tryton Application Platform (LDAP Connection Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds basic support for LDAP connections.
Homepage: http://www.tryton.org/
Tag: protocol::ldap, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-ldap-connection/tryton-modules-ldap-connection_2.2.0-2_all.deb

Package: tryton-modules-party
Version: 2.2.1-2
Installed-Size: 325
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-country (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Recommends: python-vatnumber
Size: 37040
SHA256: f30bd1ac184b092140a7724b11ed810479782f8a2ec114885009ee2ba1cc9617
SHA1: 57c8d0989fd9afb4e0e30bb026565e808d27f4d3
MD5sum: ae7fad79dd0a1752a831d6bbe6917856
Description: Tryton Application Platform (Party Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module provides the posssibility to define parties, addresses etc.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-party/tryton-modules-party_2.2.1-2_all.deb

Package: tryton-modules-party-siret
Version: 2.2.1-2
Installed-Size: 143
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-party (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 8414
SHA256: d0f7cc34882be9098cd3a1d83d31aaede0fe0fcaf51cd5d2482609adcca6a6a6
SHA1: 571c8ad10bd34d4d88fac55dd8a2ce4f1cf97b3b
MD5sum: cb9079d2e82536bf9ab7b7dc22b8f821
Description: Tryton Application Platform (Party SIRET/SIREN Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds SIRET/SIREN number fields on party.
 .
 These numbers are used in France, for geographical identification of
 enterprises:
 .
  * SIREN (Système d’Identification du Répertoire des ENtreprises)
  * SIRET (Système d’Identification du Répertoire des ETablissements)
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-party-siret/tryton-modules-party-siret_2.2.1-2_all.deb

Package: tryton-modules-party-vcarddav
Version: 2.2.1-1
Installed-Size: 46
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), python-vobject, python-webdav, tryton-modules-party (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 11802
SHA256: 0ae9aeb74e5a138f2bab702e4977dec68a9c5b476fffa007b45992aea98bc313
SHA1: e5213dbd64bb2a15060aa01e1c30c5d60ea5449d
MD5sum: 1d1b2f990be482250c40244e4d67697c
Description: Tryton Application Platform (Party CardDAV Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds support for CardDAV on parties.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-party-vcarddav/tryton-modules-party-vcarddav_2.2.1-1_all.deb

Package: tryton-modules-product
Version: 2.2.2-1
Installed-Size: 212
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), tryton-server (>= 2.2), python-pkg-resources
Size: 28260
SHA256: 45cea6a37698c9a2040c0912623e23c14279bb04087e36a7f5c51a0f20a5a789
SHA1: 3d0d66e2e527080501aa3a965baaa9b67db49050
MD5sum: b7b3c7ca427cdc00b2d96402ba6d3470
Description: Tryton Application Platform (Product Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds the possibility to define products, categories of product,
 units of measure and categories of units of measure.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-product/tryton-modules-product_2.2.2-1_all.deb

Package: tryton-modules-product-cost-fifo
Version: 2.2.0-2
Installed-Size: 134
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-product (>= 2.2), tryton-modules-stock (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 9314
SHA256: c9c5e05cad1cfd16916f7b59fcf43060aa2234be55e7a994e81af8b228b8ee0a
SHA1: fe5f674e2d3b69857b031ad710ed976fe665a891
MD5sum: 8c71daf73d4adceb5b04d7e5ea13d0e4
Description: Tryton Application Platform (Product Cost FIFO Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds FIFO cost method on the product form.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-product-cost-fifo/tryton-modules-product-cost-fifo_2.2.0-2_all.deb

Package: tryton-modules-product-cost-history
Version: 2.2.0-2
Installed-Size: 146
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-product (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 8970
SHA256: 2272436fbe266dbb87a4b0a57c927f9cf2b8bceadc04ce2fd08fbbdc8c8b1efb
SHA1: 7eeb7cad934198f2df172e9b60480cc5a3b410df
MD5sum: 4a155da61445d3c9a735e0fae139962c
Description: Tryton Application Platform (Product Cost History Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module historizes the product costs providing access to product costs
 in the past.
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-product-cost-history/tryton-modules-product-cost-history_2.2.0-2_all.deb

Package: tryton-modules-product-price-list
Version: 2.2.0-2
Installed-Size: 150
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-product (>= 2.2), tryton-modules-party (>= 2.2), tryton-modules-company (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 10400
SHA256: ed1f5d013b7fc2eab265680bfbd3e49e739ba033541e920232f8b1cc2b4c56b0
SHA1: 99b512115cfb9260a170e9ea4ec113de8ed6efec
MD5sum: 395757b03f8c079b5581da3d31523ba3
Description: Tryton Application Platform (Product Price List Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds the possibility to define price list rules.
Homepage: http://www.tryton.org/
Tag: field::finance, role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-product-price-list/tryton-modules-product-price-list_2.2.0-2_all.deb

Package: tryton-modules-project
Version: 2.2.0-2
Installed-Size: 214
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-timesheet (>= 2.2), tryton-modules-party (>= 2.2), tryton-modules-company-work-time, tryton-server (>= 2.2), python-pkg-resources
Size: 18628
SHA256: 5690bb5f57998333be36bcd4660bb893d88c251e004f91e70e34728adfc357ad
SHA1: 5b8d07b5a41db2864bd120b51f02773f7cce5c58
MD5sum: 3494dc96a0da7fc3b6ec22de1b1d6acb
Description: Tryton Application Platform (Project Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds the possibility to manage projects.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-project/tryton-modules-project_2.2.0-2_all.deb

Package: tryton-modules-project-plan
Version: 2.2.0-2
Installed-Size: 194
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-company (>= 2.2), tryton-modules-project (>= 2.2), tryton-modules-timesheet (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 14586
SHA256: cf513ed03dd414f8c5ca2d73db687882fd0d6f902de1ce7f094438e4fe84fd17
SHA1: 2876eca6b6cd923b64c57deb5d0d0331fb0f3708
MD5sum: 536c945ed59bb521113f3d9cc71c9cb9
Description: Tryton Application Platform (Project Plan Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds planning capabilities on projects.
 It provides
  - Task dependencies
  - Tasks leveling
  - Early Start and Late End computation
  - Resource allocation
  - Requests
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-project-plan/tryton-modules-project-plan_2.2.0-2_all.deb

Package: tryton-modules-project-revenue
Version: 2.2.1-2
Installed-Size: 166
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-project (>= 2.2), tryton-modules-timesheet (>= 2.2), tryton-modules-company (>= 2.2), tryton-modules-product (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 11172
SHA256: e42ca5bb5badc64c0b43580e6c60b35d6edeb8a8000440012cc131214d60761c
SHA1: cd17a5b7431df307a961bb2693d9ef7a4b35f3c7
MD5sum: 6a1276d92e0d4e4f877f426511407d0d
Description: Tryton Application Platform (Project Revenue Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds the possibility to add products on timesheet lines and define
 allowed services for each employee.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-project-revenue/tryton-modules-project-revenue_2.2.1-2_all.deb

Package: tryton-modules-purchase
Version: 2.2.1-2
Installed-Size: 487
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-company (>= 2.2), tryton-modules-party (>= 2.2), tryton-modules-stock (>= 2.2), tryton-modules-account (>= 2.2), tryton-modules-product (>= 2.2), tryton-modules-account-invoice (>= 2.2), tryton-modules-currency (>= 2.2), tryton-modules-account-product (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 48016
SHA256: 41310d9e54cfdd398e4299068bd2f581de47b75b0f3702fdfbe56c13b3e7cc7c
SHA1: 2d93de1d7e91fb8eec2b8e53b26083d505bb2bc8
MD5sum: 42c208dec4cbc12247c9278d2266d7b4
Description: Tryton Application Platform (Purchase Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds the possibility to define purchase orders, to add product
 supplier and purchase information, and to define the purchase price as the
 supplier price or the cost price.
 .
 With the possibilities:
 .
  * to follow invoice and packing states from the purchase order.
  * to define invoice method: Manual, Based On Order, Based On Packing.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-purchase/tryton-modules-purchase_2.2.1-2_all.deb

Package: tryton-modules-purchase-invoice-line-standalone
Version: 2.2.0-2
Installed-Size: 155
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-purchase (>= 2.2), tryton-modules-account-invoice-line-standalone (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 10142
SHA256: be35a1c5b656196aaaf70789ad0710470124f51df8190ea4cb7fcbbe8dde2496
SHA1: 89a8ee2c765f482b0d8a04b0cb03a05b9784f7d2
MD5sum: ba0a104b2f46cb26eaeeb190f9459718
Description: Tryton Application Platform (Purchase Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds the possibility to change the behaviour of purchase orders
 to create standalone invoice lines instead of a complete invoice. This allows
 to compose invoices with lines originating from different purchases.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-purchase-invoice-line-standalone/tryton-modules-purchase-invoice-line-standalone_2.2.0-2_all.deb

Package: tryton-modules-sale
Version: 2.2.2-2
Installed-Size: 460
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-company (>= 2.2), tryton-modules-party (>= 2.2), tryton-modules-stock (>= 2.2), tryton-modules-account (>= 2.2), tryton-modules-product (>= 2.2), tryton-modules-account-invoice (>= 2.2), tryton-modules-currency (>= 2.2), tryton-modules-account-product (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 46314
SHA256: 57abcf4602776f42c89f649450eeccada7403835af564d3e72426b66a5c1860c
SHA1: 12a7498d43177602831d8d517174a2ed52adfa38
MD5sum: 102871499826d975d85e44bfa0e6a42d
Description: Tryton Application Platform (Sale Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module provides the possibility to define sale orders, to add sale
 information to products, and to define the sale price as the list price.
 .
 With the possibilities:
 .
  * to follow invoice and shipment states from the sale order.
  * to define invoice method: Manual, On Order Confirmed, On Shipment Sent.
  * to define shipment method: Manual, On Order Confirmed, On Invoice Paid.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-sale/tryton-modules-sale_2.2.2-2_all.deb

Package: tryton-modules-sale-opportunity
Version: 2.2.1-2
Installed-Size: 323
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-party (>= 2.2), tryton-modules-company (>= 2.2), tryton-modules-product (>= 2.2), tryton-modules-sale (>= 2.2), tryton-modules-account, tryton-modules-stock, tryton-modules-currency, tryton-server (>= 2.2), python-pkg-resources
Size: 20824
SHA256: 4d263de41b3ccbf056ceff82db7dc657499473065cf5ee100bc9b91736c10f9e
SHA1: 590a3366cd821e8ae22be4d5bfd9805aa88d518e
MD5sum: b8e8ac66c492616ba3166344c8d89730
Description: Tryton Application Platform (Sale Opportunity Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds opportunities to sale orders.
Homepage: http://www.tryton.org/
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-sale-opportunity/tryton-modules-sale-opportunity_2.2.1-2_all.deb

Package: tryton-modules-sale-price-list
Version: 2.2.0-2
Installed-Size: 140
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-party (>= 2.2), tryton-modules-product-price-list (>= 2.2), tryton-modules-sale (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 8186
SHA256: e5719506a93e801c91ad7c89e1bf4938740dbf1fd99f7497868449fbd317b61f
SHA1: b530222c5415f2b4d2359f3ca57690866ba52dfe
MD5sum: 393661fe177717e98b00e5cdfce1eb8f
Description: Tryton Application Platform (Sale Price List Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds the possibility to define price lists on parties and sale
 orders.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-sale-price-list/tryton-modules-sale-price-list_2.2.0-2_all.deb

Package: tryton-modules-stock
Version: 2.2.3-1
Installed-Size: 832
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-party (>= 2.2), tryton-modules-product (>= 2.2), tryton-modules-company (>= 2.2), tryton-modules-currency (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 93492
SHA256: c8dc2a0a8cd6712f1ece1d5a90a5142c5341d40f67cba47ff4d30f0e7d7261e6
SHA1: 1dec84616da43b196e0920e94d850ce498f487f1
MD5sum: 4379cbc1df2dde6cbfdb11a219108521
Description: Tryton Application Platform (Stock Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds stock management and inventory control with:
 .
  * Location definition
  * Stock move
  * Supplier/Customer/Internal Shipment
  * Stock Inventory
 .
 And with reports:
 .
  * Pick List
  * Pack List
  * Delivery Note
  * Supplier Restocking List
  * Customer Return Restocking List
  * Internal Shipments
  * Products by Locations
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-stock/tryton-modules-stock_2.2.3-1_all.deb

Package: tryton-modules-stock-forecast
Version: 2.2.1-2
Installed-Size: 222
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-dateutil, tryton-modules-stock (>= 2.2), tryton-modules-product (>= 2.2), tryton-modules-company (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 19332
SHA256: bb7e5425ba7c98f9d0d0c5ecfa8bd235cbd6d5cc700bf94ebd9b59b4539027e0
SHA1: 05e95f7fdbe9613a31a99f864b2229ee603df16d
MD5sum: 3789bbab71256d33bc5fe39f3d2c1f99
Description: Tryton Application Platform (Stock Forecast Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the module for the "Forecast" model in Inventory
 Management.
 .
 The Forecast form allows one to define the expected stock movement towards
 customers in any period of time in the future. A wizard allows one to compute
 the expected quantities with respect to a period in the past. Once the form has
 been confirmed, the corresponding moves are created and spread homogeneously
 across the period. Those moves will allow other processes to take forecasts
 into account.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-stock-forecast/tryton-modules-stock-forecast_2.2.1-2_all.deb

Package: tryton-modules-stock-inventory-location
Version: 2.2.0-2
Installed-Size: 147
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-stock (>= 2.2), tryton-modules-company, tryton-modules-product, tryton-server (>= 2.2), python-pkg-resources
Size: 8974
SHA256: b992758cc880dbdd78ff30a10a365dfdc51abd0103cfb076172d25d414763329
SHA1: 4a24239c7e2075e320cb23e7854c29f4ca57ff0a
MD5sum: ede9e34bd96e16ab30de05147670b30a
Description: Tryton Application Platform (Stock Inventory Location Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the module adding a wizard that allows one to create
 automatically inventories for a given list of locations.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-stock-inventory-location/tryton-modules-stock-inventory-location_2.2.0-2_all.deb

Package: tryton-modules-stock-location-sequence
Version: 2.2.0-2
Installed-Size: 135
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-stock (>= 2.2), tryton-server (>= 2.2), tryton-modules-party (>= 2.2), tryton-modules-product (>= 2.2), tryton-modules-purchase (>= 2.2), python-pkg-resources
Size: 7424
SHA256: 10e83c9a693a6594dd281e074ff3ae6a4964d89c5c2e66654dfb469546721300
SHA1: da549482ebad36c93fce78a6cba1819262666447
MD5sum: 7974eadd0d6f55e0b01acdee4a7b8bba
Description: Tryton Application Platform (Stock Location Sequence Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the module adding a sequence on locations.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-stock-location-sequence/tryton-modules-stock-location-sequence_2.2.0-2_all.deb

Package: tryton-modules-stock-product-location
Version: 2.2.0-2
Installed-Size: 148
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-product (>= 2.2), tryton-modules-stock (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 9002
SHA256: fad7b236ecf155b986ca020f359df03474172d8711c79ce47df7f8a702d6a5de
SHA1: 92f288644d111d4979cc3da733eea7a6dbd74901
MD5sum: e04402e9c37440355307a0d0b9abdd92
Description: Tryton Application Platform (Stock Product Location Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the module to define default storage location by
 warehouse on products.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-stock-product-location/tryton-modules-stock-product-location_2.2.0-2_all.deb

Package: tryton-modules-stock-supply
Version: 2.2.2-1
Installed-Size: 157
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-product (>= 2.2), tryton-modules-stock (>= 2.2), tryton-modules-purchase (>= 2.2), tryton-modules-party (>= 2.2), tryton-modules-account (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 22622
SHA256: 7d5c74f211c81026e4d3650137355f8e9780e0e9b9790f3b365703ee972d925e
SHA1: 77c0458515cdb2710b197f334aa62d46377e8bbc
MD5sum: 00deeed4e519d1ff6d39bbddea833397
Description: Tryton Application Platform (Stock Supply Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the module for supply management with:
 .
  * Order points
  * Purchase Requests
 .
 Providing scheduler tasks:
 .
  * to generate purchase requests based on order points.
  * to generate internal shipments based on order points.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-stock-supply/tryton-modules-stock-supply_2.2.2-1_all.deb

Package: tryton-modules-stock-supply-day
Version: 2.2.1-2
Installed-Size: 148
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-purchase (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 8718
SHA256: ae907c67d48fba2375f55168a5f43201d483ac730d3b218a8028c97fbd9b0c06
SHA1: 6f2898dc4615ba930b9da04b00dc6fb79cb02a0d
MD5sum: 79d58b2ffe5d12358fc579870aa8f636
Description: Tryton Application Platform (Stock Supply Day Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the module for supply management to define the delivery
 days of the week by suppliers.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-stock-supply-day/tryton-modules-stock-supply-day_2.2.1-2_all.deb

Package: tryton-modules-timesheet
Version: 2.2.1-1
Installed-Size: 131
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), tryton-modules-company (>= 2.2), tryton-modules-company-work-time (>= 2.2), tryton-server (>= 2.2), python-pkg-resources
Size: 17812
SHA256: d96078f325bc67af1d4f8c8afa61c8d51397504dd612dca6d2a1b20760776ad6
SHA1: f2f1ed2272425d5e5d35c8566c22f629e36f59fa
MD5sum: 083ebf066811499bcc11d7d82045705f
Description: Tryton Application Platform (Timesheet Module)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This module adds the possibility to define timesheets with work and timesheet
 lines. It contains reports for hours per work, hours per employee per week,
 hours per employee per month.
Homepage: http://www.tryton.org/
Tag: role::app-data, role::plugin
Section: python
Priority: optional
Filename: pool/main/t/tryton-modules-timesheet/tryton-modules-timesheet_2.2.1-1_all.deb

Package: tryton-neso
Version: 2.2.1-2
Installed-Size: 121
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), tryton-client (>= 2.2), tryton-server (>= 2.2)
Recommends: tryton-modules-all
Size: 8910
SHA256: 0ceb0f088bb098e54cd46a68655a3e2669d215358d1f7e072855958ecbdd5dfe
SHA1: bfe65826be2ba5eeaea71c8440270f27cacce285
MD5sum: 4ae0a5dba924ab7fb1fe410bfc0eb26b
Description: Tryton Application Platform (Standalone Client/Server)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package provides a standalone client/server package for Tryton.
Homepage: http://www.tryton.org/
Section: python
Priority: optional
Filename: pool/main/t/tryton-neso/tryton-neso_2.2.1-2_all.deb

Package: tryton-proteus
Version: 2.2.1-1
Installed-Size: 83
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-simplejson, python-pkg-resources
Suggests: tryton-server
Size: 18868
SHA256: d2211c3f2e9dc2deb8ef71d1b2c2312f455360438dfb400c9e483d2adfba3374
SHA1: ce5e49bc5f7be84de401991ff2146439b3ef142e
MD5sum: 9fba15da89943e5f434b3000642598c0
Description: Tryton Application Platform (Proteus)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains Proteus, a library to access a Tryton server as a
 client. This library can access Tryton's models by connecting to a Tryton
 server via XML-RPC or by using trytond as a module.
Homepage: http://www.tryton.org/
Section: python
Priority: optional
Filename: pool/main/t/tryton-proteus/tryton-proteus_2.2.1-1_all.deb

Package: tryton-server
Version: 2.2.4-1+deb7u4
Installed-Size: 2760
Maintainer: Debian Tryton Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), python-lxml, python-relatorio, python-genshi, python-dateutil, python-polib, adduser, python-simplejson, python-pkg-resources
Suggests: tryton-client | tryton-neso, python-psyco, python-sphinx, unoconv, libreoffice-draw, libreoffice-writer, libreoffice-calc
Size: 274106
SHA256: fedc0485bc3e86ba9dd643bb41ee84bf9f8b78b76f35812f97286f46fc29e8e9
SHA1: 69023f52383777c45c70f3a5aa6368fb556c2699
MD5sum: 98b6f20a73c137526a514277c93fa898
Description: Tryton Application Platform (Server)
 Tryton is a high-level general purpose application platform written in Python
 and using PostgreSQL as database engine. It is the core base of a complete
 business solution.
 .
 This package contains the server.
Homepage: http://www.tryton.org/
Recommends: postgresql, python-psycopg2, postgresql-client, python-openssl, python-pydot, python-tz, python-webdav (>= 0.9.8)
Section: python
Priority: optional
Filename: pool/main/t/tryton-server/tryton-server_2.2.4-1+deb7u4_all.deb

Package: tsconf
Source: tslib
Version: 1.0-11
Installed-Size: 50
Maintainer: Neil Williams 
Architecture: all
Replaces: libts-0.0-0 (<= 1.0-6)
Size: 13388
SHA256: 45404629a8683f2ee94b1cdb045da0c4c08e4cff90a7dd8acee8cca0b7acb030
SHA1: 6903c1934e251fee34addd5f950bae67632db587
MD5sum: f7c85ffc62105213769557d5fca37f8c
Description: touch screen library common files
 Tslib is an abstraction layer for touchscreen panel events, as well
 as a filter stack for the manipulation of those events.
 .
 This package contains the common files for the shared
 library.
Multi-Arch: foreign
Homepage: http://tslib.berlios.de/
Tag: admin::hardware, hardware::embedded, hardware::input,
 hardware::input:mouse, role::app-data, use::configuring
Section: embedded
Priority: optional
Filename: pool/main/t/tslib/tsconf_1.0-11_all.deb

Package: tsdecrypt
Version: 8.1-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 438
Depends: libc6 (>= 2.13-28), libdvbcsa1 (>= 1.1.0), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0)
Homepage: http://georgi.unixsol.org/programs/tsdecrypt/
Priority: optional
Section: video
Filename: pool/main/t/tsdecrypt/tsdecrypt_8.1-1_armhf.deb
Size: 211478
SHA256: 84a42913e20ae3d2e1a1cf07ddcd71daa5d53282405185ddf5caaaf89352b323
SHA1: 2ae0ef3f6fd3e8d9b1abdc1933eeedb21031d32e
MD5sum: 83a575afa4ad729e920ee445d9ee3fc1
Description: decrypt MPEG transport stream
 tsdecrypt reads incoming MPEG transport stream over UDP/RTP and
 then decrypts it using libdvbcsa and keys obtained from OSCAM or
 similar cam server. tsdecrypt communicates with CAM server using
 cs378x (camd35 over tcp) protocol or newcamd protocol.

Package: tse3play
Source: tse3
Version: 0.3.1-4.3
Architecture: armhf
Maintainer: Daniel Burrows 
Installed-Size: 153
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libtse3-0.3.1c2a
Priority: optional
Section: sound
Filename: pool/main/t/tse3/tse3play_0.3.1-4.3_armhf.deb
Size: 64680
SHA256: 8ae77f38450bfc08e1af0d6b5a47c2d818d887eafc4096346d8514555492bf6f
SHA1: 66495103bb3d46b5a880921a0b13e4d3842f9a26
MD5sum: 6a7335dbb4f5e9e86811ca598eff93f6
Description: MIDI/TSE3MDL player/converter
 tse3play plays TSE3MDL files and MIDI files using the TSE3 sequencer
 engine.
 .
 It can convert files between the two supported formats. While playing
 it provides text-based visual feedback and can stream an English
 representation of the contents of the file to standard output.

Package: tshark
Source: wireshark
Version: 1.12.1+g01b65bf-4+deb8u6~deb7u11
Architecture: armhf
Maintainer: Balint Reczey 
Installed-Size: 302
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libpcap0.8 (>= 0.9.8), libwireshark5 (>= 1.12.0~rc3), libwiretap4 (>= 1.12.0~rc1), libwsutil4 (>= 1.12.0~rc3), zlib1g (>= 1:1.1.4), wireshark-common (= 1.12.1+g01b65bf-4+deb8u6~deb7u11)
Conflicts: tethereal (<< 1.0.0-3)
Replaces: tethereal (<< 1.0.0-3)
Homepage: http://www.wireshark.org/
Priority: optional
Section: net
Filename: pool/main/w/wireshark/tshark_1.12.1+g01b65bf-4+deb8u6~deb7u11_armhf.deb
Size: 177980
SHA256: c9d28224013bc12252f15878e0b5e36ca6c955bf6b93c663d3b347e03a6ab1a2
SHA1: 6d93a5fac0af79c79082f083db4b095d3720bb2b
MD5sum: 5cbc10c09966afd8fdb096f1e0bed4dc
Description: network traffic analyzer - console version
 Wireshark is a network "sniffer" - a tool that captures and analyzes
 packets off the wire. Wireshark can decode too many protocols to list
 here.
 .
 This package provides the console version of wireshark, named
 "tshark".

Package: tsocks
Version: 1.8beta5-9.2
Architecture: armhf
Maintainer: Tamas SZERB 
Installed-Size: 598
Depends: libc6 (>= 2.4)
Homepage: http://tsocks.sf.net
Priority: optional
Section: net
Filename: pool/main/t/tsocks/tsocks_1.8beta5-9.2_armhf.deb
Size: 272076
SHA256: 539cd524bd47cc93dadfde0bd2d2ad12059455d2b346d6a6bbc5a1c52bb48bbf
SHA1: 69d6524a0d144598cea92bc725ccd8eefa9b8ecc
MD5sum: 97d6e0cf21bf58b6a9e3c70e1a555306
Description: transparent network access through a SOCKS 4 or 5 proxy
 tsocks provides transparent network access through a SOCKS version 4
 or 5 proxy (usually on a firewall). tsocks intercepts the calls
 applications make to establish TCP connections and transparently
 proxies them as necessary. This allows existing applications to use
 SOCKS without recompilation or modification.

Package: tstools
Version: 1.11-1
Architecture: armhf
Maintainer: Lorenzo Granai 
Installed-Size: 3401
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Homepage: http://tstools.berlios.de
Priority: optional
Section: utils
Filename: pool/main/t/tstools/tstools_1.11-1_armhf.deb
Size: 1654776
SHA256: 787718c89858aff970a52e5aa5f5b04bf3cc4b4e2027b589611b27bc4e599cc0
SHA1: 37688da1c2a0c4583cdc80c41b17fe3e6fe1f61e
MD5sum: 67758998c7ca6a01a7f49929c32f7385
Description: set of tools for reporting on and manipulating MPEG data
 TStools is a set of cross-platform command line tools for working with MPEG
 data.
 .
 The emphasis is on relatively simple tools which concentrate on MPEG (H.264
 and H.262) data packaged according to H.222 (i.e., TS or PS), with a particular
 interest in checking for conformance.
 .
 Transport Stream (TS) is typically used for distribution of cable and
 satellite data. Program Stream (PS) is typically used to store data on DVDs.
 .
 The tools are focussed on:
  * Quick reporting of useful data (tsinfo, stream_type)
  * Giving a quick overview of the entities in the stream (esdots, psdots)
  * Reporting on TS packets (tsreport) or ES units/frames/fields (esreport)
  * Simple manipulation of stream data (es2ts, esfilter, esreverse, esmerge,
    ts2es)
  * Streaming of data, possibly with introduced errors (tsplay)

Package: tsung
Version: 1.4.2-1.1
Architecture: armhf
Maintainer: Ignace Mouzannar 
Installed-Size: 2464
Depends: gnuplot, libtemplate-perl, python-matplotlib, erlang-abi-15.b, erlang-asn1 (>= 1:15.b.1-dfsg), erlang-base (>= 1:15.b.1-dfsg) | erlang-base-hipe (>= 1:15.b.1-dfsg), erlang-crypto (>= 1:15.b.1-dfsg), erlang-inets (>= 1:15.b.1-dfsg), erlang-os-mon (>= 1:15.b.1-dfsg), erlang-snmp (>= 1:15.b.1-dfsg), erlang-ssl (>= 1:15.b.1-dfsg), erlang-xmerl (>= 1:15.b.1-dfsg), python, python-support (>= 0.90.0)
Recommends: openssh-client
Homepage: http://tsung.erlang-projects.org/
Priority: optional
Section: net
Filename: pool/main/t/tsung/tsung_1.4.2-1.1_armhf.deb
Size: 893368
SHA256: 481daad43068873120f471877b656c6ee46e4286a85b23b26f7d6dd969eb0577
SHA1: 33f263b0f42cd228da539774325e1888e625cb7f
MD5sum: 56ef25029dc95903f1ddfb0443603c90
Description: distributed multi-protocol load testing tool
 Tsung is a distributed load testing tool. It can be used to stress
 HTTP, WebDAV, SOAP, PostgreSQL, MySQL, LDAP and Jabber/XMPP servers.
 .
 The purpose of Tsung is to simulate users in order to test the
 scalability and performance of IP based client/server applications.
 You can use it to do load and stress testing of your servers. Many
 protocols have been implemented and tested, and it can be easily
 extended. WebDAV, LDAP and MySQL support have been added recently
 (experimental).
 .
 For HTTP, it support 1.0 and 1.1 version, has a proxy mode to
 record sessions, support GET and POST method, Cookies and Basic
 WWW-authentication. It also has support for SSL.
 .
 It can be distributed on several client machines and is able to
 simulate hundreds of thousands of virtual users concurrently (or even
 millions if you have enough hardware ...).

Package: ttb
Version: 1.0.1+20101115-1
Installed-Size: 51
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6), python (<< 2.8), python-glade2, python-gtk2
Size: 16378
SHA256: f84d077ff2f3a4cefc7f16214a88b23cd13349cadbff973984558a32c21b9d10
SHA1: 934ce415eaa7efb24d639509b7fd0d85e557d20c
MD5sum: 45d266ba53af2c780d275fee4f305d13
Description: (Dutch) teletekst browser for the desktop
 Teletekst Browser (ttb) is a small browser for the Teletekst system as used in
 The Netherlands, and provides a convenient way to stay up to date with news,
 sports, weather, stock exchange and what not.
Homepage: http://www.djcbsoftware.nl/code/ttb/
Tag: culture::dutch, implemented-in::python, interface::x11, role::program,
 scope::utility, uitoolkit::gtk, use::browsing, x11::application
Section: gnome
Priority: optional
Filename: pool/main/t/ttb/ttb_1.0.1+20101115-1_all.deb

Package: ttf-adf-accanthis
Source: ttf-adf
Version: 0.20090423-2
Installed-Size: 600
Maintainer: Gürkan Sengün 
Architecture: all
Replaces: ttf-adf
Conflicts: ttf-adf
Size: 401038
SHA256: 9694137857c5f108f6169222432921d58b60fb7457b48e640a6730ae4c180a7e
SHA1: 1a090163145d50c8e83795a6a5f58e0bc05888df
MD5sum: 525545849a03b59bf18a63947da46457
Description: Accanthis font of the Arkandis Digital Foundry
 This is the Accanthis font of the Arkandis Digital Foundry. These is a serif
 font family alternative to Galliard, and Horley oldstyle.
Homepage: http://arkandis.tuxfamily.org/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-adf/ttf-adf-accanthis_0.20090423-2_all.deb

Package: ttf-adf-baskervald
Source: ttf-adf
Version: 0.20090423-2
Installed-Size: 408
Maintainer: Gürkan Sengün 
Architecture: all
Replaces: ttf-adf
Conflicts: ttf-adf
Size: 215772
SHA256: f1194a82846e92f240824f0086a14e0bb4ddc95baeb67b09a6a1ac2be422eb35
SHA1: a90d62074a8077607b3887c6f4147beec00caa32
MD5sum: b818fbd55d1e4395dc0efba70b5320e0
Description: Baskervald font of the Arkandis Digital Foundry
 This is the Baskervald font of the Arkandis Digital Foundry. This is a serif
 collection, intended to mimic the new Baskerville typeface.
Homepage: http://arkandis.tuxfamily.org/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-adf/ttf-adf-baskervald_0.20090423-2_all.deb

Package: ttf-adf-berenis
Source: ttf-adf
Version: 0.20090423-2
Installed-Size: 1028
Maintainer: Gürkan Sengün 
Architecture: all
Replaces: ttf-adf
Conflicts: ttf-adf
Size: 678674
SHA256: d9ce9401d2093348a776b5f25b64acbdee7b2ebdcb270d0b9ce3a11449ad3a0f
SHA1: c318391ea23e2a89be8e0936cc23dea9da0995de
MD5sum: 54c0eb271faa2bb92fb5e8d622e08df1
Description: Berenis font of the Arkandis Digital Foundry
 This is the Berenis font of the Arkandis Digital Foundry. Berenis is a
 didonne font collection (sub to Bodoni-didot typefaces).
Homepage: http://arkandis.tuxfamily.org/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-adf/ttf-adf-berenis_0.20090423-2_all.deb

Package: ttf-adf-gillius
Source: ttf-adf
Version: 0.20090423-2
Installed-Size: 636
Maintainer: Gürkan Sengün 
Architecture: all
Replaces: ttf-adf
Conflicts: ttf-adf
Size: 399500
SHA256: 2c7c2531a39fae7603ad615684747918cb99bc74119c7aa161e1f46b3df85c5e
SHA1: ad5f40d02f1f125a3725f7d64314c9f1b4a3e478
MD5sum: adcf7987abc73fa69079f3a7cf2c9167
Description: Gillius font of the Arkandis Digital Foundry
 This is the Gillius font of the Arkandis Digital Foundry, a purified
 variation to Gill sans.
Homepage: http://arkandis.tuxfamily.org/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-adf/ttf-adf-gillius_0.20090423-2_all.deb

Package: ttf-adf-ikarius
Source: ttf-adf
Version: 0.20090423-2
Installed-Size: 444
Maintainer: Gürkan Sengün 
Architecture: all
Replaces: ttf-adf
Conflicts: ttf-adf
Size: 245924
SHA256: 95b869918fbc01f09c74c477d07e65e7b800384480e3818c6240fbbde52ebcbf
SHA1: dfb0ce3d092fba68e0c3460c63b5f75d5d73eb3c
MD5sum: 2329aa6d4f0c7f1b83490c73ea4af05a
Description: Ikarius font of the Arkandis Digital Foundry
 This is the Ikarius font of the Arkandis Digital Foundry, inspired by Hypatia
 sans from Adobe.
Homepage: http://arkandis.tuxfamily.org/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-adf/ttf-adf-ikarius_0.20090423-2_all.deb

Package: ttf-adf-irianis
Source: ttf-adf
Version: 0.20090423-2
Installed-Size: 644
Maintainer: Gürkan Sengün 
Architecture: all
Replaces: ttf-adf
Conflicts: ttf-adf
Size: 307170
SHA256: 0d2bf8bd6ad6303391a78a58e4d2c0d799bc77d2b46a01f9a0553fa1efca5f01
SHA1: dd3cf72ea3b3acdb1bbe2eaa7eb5e4c712bedaf9
MD5sum: c9690efc29261b94c7622b6352e9b44f
Description: Irianis font of the Arkandis Digital Foundry
 This is the Irianis font of the Arkandis Digital Foundry, a sans serif font
 (sub to Cloister oldstyle).
Homepage: http://arkandis.tuxfamily.org/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-adf/ttf-adf-irianis_0.20090423-2_all.deb

Package: ttf-adf-libris
Source: ttf-adf
Version: 0.20090423-2
Installed-Size: 256
Maintainer: Gürkan Sengün 
Architecture: all
Replaces: ttf-adf
Conflicts: ttf-adf
Size: 123528
SHA256: 7e98581b2fc08fd948ec141f8f08d9d69f5e257b81a26e6b202c27464c80e44a
SHA1: 2cd2f5a5d1072f843f61dc65bfb4c166b16f8d8b
MD5sum: 130f06bd9691efd89b1fd6b66a07c992
Description: Libris font of the Arkandis Digital Foundry
 This is the Libris font of the Arkandis Digital Foundry. It is a sans serif
 font family intended to mimic the Lydian typeface.
Homepage: http://arkandis.tuxfamily.org/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-adf/ttf-adf-libris_0.20090423-2_all.deb

Package: ttf-adf-mekanus
Source: ttf-adf
Version: 0.20090423-2
Installed-Size: 296
Maintainer: Gürkan Sengün 
Architecture: all
Replaces: ttf-adf
Conflicts: ttf-adf
Size: 136478
SHA256: fad16fd27ab44149821aa572761cd890573364578f6d0b026e0466de822a7184
SHA1: 79116020a0cb601fd0e1af379f1f9797143ff92d
MD5sum: 553b463f1c82998c5db776cde4848310
Description: Mekanus font of the Arkandis Digital Foundry
 This is the Mekanus font of the Arkandis Digital Foundry, a font family with
 typewriting and handwriting mixed.
Homepage: http://arkandis.tuxfamily.org/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-adf/ttf-adf-mekanus_0.20090423-2_all.deb

Package: ttf-adf-oldania
Source: ttf-adf
Version: 0.20090423-2
Installed-Size: 212
Maintainer: Gürkan Sengün 
Architecture: all
Replaces: ttf-adf
Conflicts: ttf-adf
Size: 108736
SHA256: a688b15fc0e60e710c47cb2a089368ab952477431cfca6bb6020dafc29efed46
SHA1: f952410e555be2c43735dfd5e1b68de7b0ffd425
MD5sum: b974821dff9c31caff5d24f791c1e750
Description: Oldania font of the Arkandis Digital Foundry
 This is the Oldania font of the Arkandis Digital Foundry, a modern gothic
 typeface.
Homepage: http://arkandis.tuxfamily.org/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-adf/ttf-adf-oldania_0.20090423-2_all.deb

Package: ttf-adf-romande
Source: ttf-adf
Version: 0.20090423-2
Installed-Size: 404
Maintainer: Gürkan Sengün 
Architecture: all
Replaces: ttf-adf
Conflicts: ttf-adf
Size: 233618
SHA256: 0fd2c4a4824491f2a92fa48cae59518b700b15135a2e289bc49d779da97b6be7
SHA1: ea06fba5a41d2cec4fe8a0649a2e51b6f37ec768
MD5sum: b477b15906e8bf9b74c02bc308f8e6b3
Description: Romande font of the Arkandis Digital Foundry
 This is the Romande font of the Arkandis Digital Foundry.
Homepage: http://arkandis.tuxfamily.org/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-adf/ttf-adf-romande_0.20090423-2_all.deb

Package: ttf-adf-switzera
Source: ttf-adf
Version: 0.20090423-2
Installed-Size: 780
Maintainer: Gürkan Sengün 
Architecture: all
Replaces: ttf-adf
Conflicts: ttf-adf
Size: 461474
SHA256: 3c97b954d03a40920e7d7f315b70a77277662fab4c2f2d6e71e7b2e3f87cca62
SHA1: 0330e00418e9816298b8ec620e79a636d0a3e409
MD5sum: 8e121f28a121d694d7b22979f0069c9d
Description: Switzera font of the Arkandis Digital Foundry
 This is the Switzera font of the Arkandis Digital Foundry, an alternative to
 Vera typeface from BT.
Homepage: http://arkandis.tuxfamily.org/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-adf/ttf-adf-switzera_0.20090423-2_all.deb

Package: ttf-adf-tribun
Source: ttf-adf
Version: 0.20090423-2
Installed-Size: 424
Maintainer: Gürkan Sengün 
Architecture: all
Replaces: ttf-adf
Conflicts: ttf-adf
Size: 265562
SHA256: 891fca713ce226f7c1ad8a2dcdf4ebbaa0cf75e5fa9ae67582cd452426e5fe73
SHA1: 66fd594160caebbebd2089bc0e5214b6189c4bdb
MD5sum: c5223a4b2ebc23f535e63f4951a342b7
Description: Tribun font of the Arkandis Digital Foundry
 This is the Tribun font of the Arkandis Digital Foundry, a font collection
 alternative to Times New Roman with newsprint like rendering.
Homepage: http://arkandis.tuxfamily.org/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-adf/ttf-adf-tribun_0.20090423-2_all.deb

Package: ttf-adf-universalis
Source: ttf-adf
Version: 0.20090423-2
Installed-Size: 408
Maintainer: Gürkan Sengün 
Architecture: all
Replaces: ttf-adf
Conflicts: ttf-adf
Size: 220868
SHA256: dcfd40d193493477e21ca4968fcebc705005236de6748e40567ce08673ef548d
SHA1: 71d93c11e0f0029584825720d937ce965624c4c4
MD5sum: 29c950cfd5fe1057260b6dc120f33c9f
Description: Universalis font of the Arkandis Digital Foundry
 This is the Universalis font of the Arkandis Digital Foundry. This is an
 alternative to the Futura typeface by Paul Renner.
Homepage: http://arkandis.tuxfamily.org/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-adf/ttf-adf-universalis_0.20090423-2_all.deb

Package: ttf-adf-verana
Source: ttf-adf
Version: 0.20090423-2
Installed-Size: 396
Maintainer: Gürkan Sengün 
Architecture: all
Replaces: ttf-adf
Conflicts: ttf-adf
Size: 222524
SHA256: b695d6012ea9eb3ff96b4a9b74dddc624a7665ea0be73197edb6231fab5312d8
SHA1: 6a2797f6c00a82df4b45879cd8707652042fc519
MD5sum: 82b7279e83b412574025160a51e45128
Description: Verana font of the Arkandis Digital Foundry
 This is the Verana font of the Arkandis Digital Foundry, similar to Vera of
 BT.
Homepage: http://arkandis.tuxfamily.org/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-adf/ttf-adf-verana_0.20090423-2_all.deb

Package: ttf-aenigma
Version: 0.0.20080510.dfsg-2
Installed-Size: 24876
Maintainer: Philip Newborough (aka corenominal) 
Architecture: all
Size: 10838764
SHA256: 97b9d4560ba82c0a7ffbb388fbba0856d6130c07cddc6ae7c59f79116a2f0f33
SHA1: 273e82d2e065e4845955d47da4cc98f989d47c46
MD5sum: 957332d9c605982b88f0a8f73f73b6c9
Description: 465 free TrueType fonts by Brian Kent
 Fonts included in this package:
 .
  * 10.15 Saturday Night, R
  * 18 Holes
  * 36 days ago, Thick
  * 3D LET
  * Foreshadow, OL
  * 8-bit Limit, R, RO
  * 90 Stars
  * Blox
  * Conduit 2 Italics
  * Splatz
  * Stranded
  * Swirled
  * TRAGIC
  * Unexplored Galaxies, W, O, WO
  * Acid Reflux
  * Acknowledge
  * AEnigma Scrawl 4
  * AE Systematic TT
  * Aftermath
  * Alpha Beta
  * Amalgamate, O
  * Amplitude
  * Arthritis
  * Aspartame
  * Ataxia
  * Ataxia Outline
  * Automatica
  * Bend 2 Squares
  * Bend 2 Squares OL1
  * Bend 2 Squares OL2
  * Backlash
  * Bandwidth Bandless
  * Bandwidth Bandmess
  * Bandwidth
  * Bendable
  * Bewilder
  * Bewilder Thick
  * Binary 01s
  * Binary
  * Binary X
  * Binary CHR
  * Binary X 01s
  * Binary X CHR
  * Bit Blocks TTF
  * Brass Knuckle SS
  * Brass Knuckle Star
  * Blackoninaut
  * Bleak Segments
  * Block Tilt
  * Blackoninaut Bold, Redux
  * Bobcaygeon
  * Bobcaygeon Plain
  * Bocuma
  * Bocuma Angle Dent
  * Bocuma Batty, Dent, Angle
  * Brass Knuckle
  * Brigadoom, Wide
  * Bumped
  * Candy Stripe
  * Corpulent Caps
  * Corpulent Caps Shadow
  * Chemical Reaction A, B
  * Chintzy CPU, Shadow
  * Chumbly
  * Circulate
  * Classic Trash 1, 2
  * CLAW 1, 2
  * Cleaved TTR
  * Code Of Life
  * Collective RO, RS, O, S
  * Combustion I, II, Plain, Tall, Wide
  * Compliant Confuse 1o, 1s, 2o, 2s, 3o, 3s
  * Conduit, 2
  * Crackdown R2, R, O1, O2
  * Dark Side
  * Dash Dot
  * Dastardly
  * DB Layer 1, 2, 3, 4
  * Double Bogey
  * Decrepit
  * Dented
  * Dephunked
  * Detonate
  * Discordance
  * Draggle
  * Draggle over kerned
  * Dynamic
  * Dyphusion
  * Dystorque
  * Ecliptic
  * Edit Undo
  * Edit Undo Dot
  * Edit Undo Line
  * Elsewhere, 2
  * Embossing Tape 1, 2, 3
  * Encapsulate, Plain
  * Entangled, Plain
  * Enthuse, Solid
  * Entangled Layer A, B
  * Euphoric, 3D
  * Exaggerate
  * Extraction
  * False Positive, Round
  * Fascii, Cross, Scraggly, Smudge, Twigs
  * Faux Snow
  * Fatboy Slim BLTC, BLTC 2
  * Fidgety
  * Flipside
  * Forcible
  * Freak Turbulence
  * Frizzed
  * Fully Completely
  * Galapogos
  * Galvanize
  * Gaposis Outline, Solid
  * Gasping
  * Gather, Gapped
  * genotype H, S, RH, RS
  * Gesture, Slant, Thin, Thin Slant
  * Goose Bumps, II
  * Great Heights
  * Granular
  * Grapple
  * Graveyard
  * Gravitate, Segments
  * Graze
  * Grotesque
  * Grudge, 2
  * Gyneric, 3D
  * Gyrose, Shift, Squeeze
  * Hack & Slash
  * Hairball
  * Hand Me Down O, S
  * Hassle
  * Heavy Bevel
  * Head-Ding Maker
  * Hearts
  * Hillock
  * Homespun TT
  * Hyde
  * Hyperion Sunset
  * It Lives In The Swamp
  * Impossibilium
  * Inertia
  * Inevitable
  * Ink Swipes
  * Ink Tank
  * Intersect, C, O
  * Irritate
  * Jagged
  * Janken
  * Jargon
  * Jasper, Solid
  * Jawbreaker, Hard
  * Jekyll
  * Jeopardize, Thick
  * Johnny Mac Scrawl
  * Jolt Of Caffeine
  * Jupiter Crash
  * Kaliber Round, Solid, Xtreme
  * Katalyst active, inactive
  * Key Ridge, alt
  * Kickflip
  * Kinkaid
  * Kirby No Kira Kizzu
  * Knot
  * Konector O1, O2
  * Konector, Eerie
  * Kurvature
  * Lakeshore
  * Lamebrain
  * Larkspur
  * Lethargic
  * Licorice Strings
  * Lights Out
  * Line Dings
  * Loopy
  * Lowdown
  * Lucid Type A, B, B Outline, A Outline
  * Lyneous, Linear
  * LYNX
  * Macropsia
  * Mad's Scrawl
  * Mishmash 4x4i, 4x4o, ALT1, ALT2, Fuse
  * Mincer
  * Mini Kaliber O TT, S TT
  * Mishmash
  * Mobilize
  * Monkey Phonics
  * Moronic Misfire
  * Mysterons
  * Nanosecond Wide
  * Naughts
  * Neural, Outline
  * Nominal
  * Nostalgia
  * Not Quite Right
  * Nanosecond Thick, Thin
  * Nucleus
  * Numskull
  * Nymonak
  * Obloquy Outline, Solid
  * Obstacle, Lines
  * Off Kilter L, R
  * Opiated
  * Orbicular
  * Outer Sider
  * Overhead
  * Perfect Dark
  * Persuasion
  * Phorfeit Regular, Slant
  * Pincers
  * Pindown, Plain, X, X Plain
  * Pixel Krud
  * Plasma Drip, Empty
  * Pneumatics , Tall, Wide
  * Powderworks
  * Pseudo
  * Qbicle 1, 2, 3, 4
  * Qlumpy, Shadow
  * Quacksalver
  * Quadratic, Cal
  * Quandary
  * Quantum Flat Hollow, Flat, Round Hollow, Round, Taper
  * Quarantine
  * Quarterly Thick, Thin
  * Queasy, Outline
  * Quill Experimental O, S
  * Rambling
  * Ravaged By Years
  * Ravenous Caterpillar
  * Raydiate
  * Reason, Shadow
  * Redundant
  * Regenerate
  * Registry
  * Rehearsal Curve, Offset, Point
  * Relapse
  * Revert, Round
  * Rotund, Outline
  * Rough Day
  * Ryuker
  * Sarcastic
  * Saunder
  * Scalelines, Maze
  * Sequence
  * Setback TT
  * Sideways
  * Simpleton
  * Skull Capz
  * Slender, Wide, Mini, Stubby
  * Snailets
  * Spacious, Outline
  * Spastic
  * Spheroids, X
  * Square Route
  * Stagnation
  * Supra Genius Curves, Lines
  * Symmetry
  * Syndrome
  * Synthetic
  * Syracuse
  * Tearful
  * Technique, OL
  * techno overload
  * Telephasic
  * Tetricide
  * Thwart
  * Tonik
  * Typesource Extol O, S
  * Turmoil
  * Ubiquity
  * Unanimous, Inverted
  * Underscore
  * Underwhelmed, Outline
  * Underscore 2
  * UNITED
  * Unlearned, 2
  * Unresponsive
  * Upheaval TT
  * Upraise
  * Your Complex I, O
  * Vacant Capz
  * Vanished
  * Vantage
  * Variance
  * Vertigo, 2
  * Vertigo Upright, 2
  * Vigilance
  * Vindictive
  * Visitor TT1, TT2
  * Volatile 1, 2
  * Wager, Lost, Won
  * waver
  * Wayward, Shadow
  * Weathered, Solid
  * Weaver
  * Whatever
  * Whippersnapper
  * Wiggly Squiggly
  * Wincing
  * Withstand
  * Wobbly
  * Wyvern Wings, Wyde
  * Xerox Malfunction
  * Xhume
  * Xipital
  * Xmas Lights
  * Xtrusion
  * Yearend
  * Yesterday
  * Yielding
  * Yonder
  * Yoshi's Story game text
  * Your Complex
  * Zelda DX TT
  * Zenith
  * Zephyrean, Gust
  * Zero Velocity
  * Zirconia, Cubic
  * Zoetrope
  * Zoidal
  * Zurklez Outline, Solid
Homepage: http://www.aenigmafonts.com/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-aenigma/ttf-aenigma_0.0.20080510.dfsg-2_all.deb

Package: ttf-alee
Version: 12+nmu1
Installed-Size: 3092
Maintainer: A Lee 
Architecture: all
Size: 751138
SHA256: 35b67f503b222e3812c79911a4ae84dd5e05bcb3ef1c7369d7e550e781f4abb5
SHA1: 46ecad85e080c9da36e0cd096b841dd1a8be518b
MD5sum: 361cc8f3bc77d7ccfaf5e8807c55dc03
Description: free Hangul TrueType fonts
 This package contains free Hangul truetype fonts made by A Lee.
 .
 Bandal, Bangwool, Guseul, Eunjin and EunjinNakseo are general purpose
 Hangul truetype fonts that contain Korean syllable characters.
 Bandal, Bangwool, Eunjin and EunjinNakseo also contain Latin9 (iso8859-15)
 characters.
Tag: culture::korean, iso15924::hang, made-of::font, role::app-data,
 role::data
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-alee/ttf-alee_12+nmu1_all.deb

Package: ttf-ancient-fonts
Version: 2.57-1
Installed-Size: 16740
Maintainer: Gürkan Sengün 
Architecture: all
Size: 10647626
SHA256: d6dd81e67db87b44559d2cfcfdfc6c4a83370019d6817c76fc758008e9e68050
SHA1: 364237a27cb9bca1e1012df8d9b0924d799fce74
MD5sum: cf7018393fbd365f6812f187230f2284
Description: Unicode Fonts for Ancient Scripts
 These are free unicode fonts for Aegean Scripts, other ancient scripts in
 the greater Aegean vicinity, Egyptian Hieroglyphs, Sumero-Akkadian Cuneiform,
 Musical Symbols and all Symbol Blocks in the Unicode Standard.
Homepage: http://users.teilar.gr/~g1951d/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-ancient-fonts/ttf-ancient-fonts_2.57-1_all.deb

Package: ttf-anonymous-pro
Source: fonts-anonymous-pro
Version: 1.002-1
Installed-Size: 660
Maintainer: Debian Fonts Task Force 
Architecture: all
Size: 274384
SHA256: e3807b579664c108d4a21314fc08042881870ba58a7050e7943d1364a0770512
SHA1: 39508012b4f394e8182b6f1ecc078ab609135769
MD5sum: 69f90fa5b28abc1f4895a04e80db7330
Description: fixed width sans serif font designed for coders
 Anonymous Pro (2009) is a family of four fixed-width fonts designed
 especially with coding in mind. Characters that could be mistaken for
 one another (O, 0, I, l, 1, etc.) have distinct shapes to make them
 easier to tell apart in the context of source code.
 .
 Anonymous Pro also features an international, Unicode-based character
 set, with support for most Western and European Latin-based languages,
 Greek, and Cyrillic. It also includes special “box drawing” characters
 for those who need them.
 .
 While Anonymous Pro looks great on Macs, Windows and Linux PCs with
 antialiasing enabled, it also includes embedded bitmaps for specific
 pixel sizes ("ppems" in font nerd speak) for both the regular and bold
 weight. (Since slanted bitmaps look pretty bad and hard to read at the
 supported sizes, it was chosen to use the upright bitmaps for the
 italics as well.) Bitmaps are included for these ppems: 10, 11, 12, and
 13.
Homepage: http://www.ms-studio.com/FontSales/anonymouspro.html
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-anonymous-pro/ttf-anonymous-pro_1.002-1_all.deb

Package: ttf-aoyagi-kouzan-t
Source: fonts-aoyagi-kouzan-t
Version: 20051011-9
Installed-Size: 3
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-aoyagi-kouzan-t
Pre-Depends: dpkg (>= 1.15.6~)
Size: 2438
SHA256: 1511e37cba2ea2e01e54a349696c881602c51b2be181d86a3ecb22dd8ee63a75
SHA1: 5ebcd92a360534eee3263d548d47a95164446d18
MD5sum: e078729b3efacf83035b0155d5ada24c
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://musashi.or.tv/aoyagikouzanfontt.htm
Tag: culture::japanese, made-of::font, role::data, role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-aoyagi-kouzan-t/ttf-aoyagi-kouzan-t_20051011-9_all.deb

Package: ttf-aoyagi-soseki
Source: fonts-aoyagi-soseki
Version: 20070207-8
Installed-Size: 3
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-aoyagi-soseki
Pre-Depends: dpkg (>= 1.15.6~)
Size: 2446
SHA256: 59cac1dab267f8a124ef8880f204d35d77dd5097628267d6aab20d5fa13f47b1
SHA1: 7673ca4dcb2c3a967e947663b12742d8091980f0
MD5sum: 0e2437f133149e619576fb3a11f86538
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://musashi.or.tv/aoyagikouzanfontt.htm
Tag: culture::japanese, made-of::font, role::data, role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-aoyagi-soseki/ttf-aoyagi-soseki_20070207-8_all.deb

Package: ttf-arabeyes
Source: fonts-arabeyes
Version: 2.1-3
Installed-Size: 32
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-arabeyes
Size: 3736
SHA256: abf4df78f8b05fa85d1a0cc2697703017a06b68cd52164023a4d0871dbdec12f
SHA1: 055683352dffa9630b20aac28c6742a152e9b657
MD5sum: 5c93bab7503fd17f182cd7c08a276a60
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.arabeyes.org/project.php?proj=Khotot
Tag: culture::arabic, made-of::font, role::app-data, role::data, role::dummy
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-arabeyes/ttf-arabeyes_2.1-3_all.deb

Package: ttf-arphic-bkai00mp
Source: fonts-arphic-bkai00mp
Version: 2.10-11
Installed-Size: 11
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-arphic-bkai00mp
Pre-Depends: dpkg (>= 1.15.6~)
Size: 6452
SHA256: 6013980242e2ec0ffa017cb2ae272ef54d98d13f18c23c71dfcf9fc0122c2f39
SHA1: 98a08c881334052b67c9f371779ffe85925085c5
MD5sum: 9e4041d5a876ece0a8a5eb5a6e1927c9
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.arphic.com.tw/
Tag: culture::taiwanese, made-of::font, role::app-data, role::data,
 role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-arphic-bkai00mp/ttf-arphic-bkai00mp_2.10-11_all.deb

Package: ttf-arphic-bsmi00lp
Source: fonts-arphic-bsmi00lp
Version: 2.10-12
Installed-Size: 11
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-arphic-bsmi00lp
Pre-Depends: dpkg (>= 1.15.6~)
Size: 6518
SHA256: 1765f72ac188e909239c5110e347c3817de0e8eeea6e460a1cae8f7e83f49fea
SHA1: 5476c7969170172a7517817d968ea0592a0a3fe9
MD5sum: 0d81e514a62aaa0829ff1fa054a472cd
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.arphic.com.tw/
Tag: culture::taiwanese, made-of::font, role::app-data, role::data,
 role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-arphic-bsmi00lp/ttf-arphic-bsmi00lp_2.10-12_all.deb

Package: ttf-arphic-gbsn00lp
Source: fonts-arphic-gbsn00lp
Version: 2.11-12
Installed-Size: 11
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-arphic-gbsn00lp
Pre-Depends: dpkg (>= 1.15.6~)
Size: 6674
SHA256: c4ba70af57a4112748cb6854114c1897b36080b357fcd94362c7601e22efcc38
SHA1: 4f4f5620c474adc926325953ca2cbcdc1b7a7da1
MD5sum: 1da42bcdf82ff17932cb7023db8413d2
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.arphic.com.tw/
Tag: culture::chinese, made-of::font, role::app-data, role::data, role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-arphic-gbsn00lp/ttf-arphic-gbsn00lp_2.11-12_all.deb

Package: ttf-arphic-gkai00mp
Source: fonts-arphic-gkai00mp
Version: 2.11-12
Installed-Size: 11
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-arphic-gkai00mp
Pre-Depends: dpkg (>= 1.15.6~)
Size: 6724
SHA256: fa034832914b92824f0bb58f1c9bc4e0b3f0968151e893a851312bbadcba9116
SHA1: 2396a0e4aa92eaf53ef2ad0d2ac7f258c6633e67
MD5sum: 49eb436b113e0b1e5036ea36926593d2
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.arphic.com.tw/
Tag: culture::chinese, made-of::font, role::app-data, role::data, role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-arphic-gkai00mp/ttf-arphic-gkai00mp_2.11-12_all.deb

Package: ttf-arphic-ukai
Source: fonts-arphic-ukai
Version: 0.2.20080216.2-3
Installed-Size: 37
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-arphic-ukai
Size: 9662
SHA256: c52da4f3febbc69d42d1b89770dd9f6b4cc95cf96f5c5c1375b54e5c5789c96a
SHA1: 81fce2fa4c414d9a422e284c4977fd954b3f74bf
MD5sum: 5d7e262cee256090d02ba6c465c7fefa
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.freedesktop.org/wiki/Software/CJKUnifonts
Tag: culture::chinese, culture::taiwanese, made-of::font, role::app-data,
 role::data
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-arphic-ukai/ttf-arphic-ukai_0.2.20080216.2-3_all.deb

Package: ttf-arphic-ukai-mbe
Source: fonts-arphic-ukai
Version: 0.2.20080216.2-3
Installed-Size: 37
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-arphic-ukai
Size: 9666
SHA256: ca6d7fee46258c35be359f97f1b6e65eed2bdfe36f2e88e358ce1859c9480295
SHA1: 64d89977579912fc4cff00f88604398fcef8c2d2
MD5sum: 73b8e80c970bd7dbd75091483daee704
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.freedesktop.org/wiki/Software/CJKUnifonts
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-arphic-ukai/ttf-arphic-ukai-mbe_0.2.20080216.2-3_all.deb

Package: ttf-arphic-uming
Source: fonts-arphic-uming
Version: 0.2.20080216.2-4
Installed-Size: 38
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-arphic-uming
Pre-Depends: dpkg (>= 1.15.6~)
Size: 10132
SHA256: a47e8c9125684e3fe88e23846d096205b951bc16313ed9e8a25615e998fc6ec8
SHA1: 15f97f5cc5e848eff73678ca79218e31e1d9ed9a
MD5sum: 454fc72c2206c2bfd42ab4935a23f030
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.freedesktop.org/wiki/Software/CJKUnifonts
Tag: role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-arphic-uming/ttf-arphic-uming_0.2.20080216.2-4_all.deb

Package: ttf-atarismall
Version: 2.1-4
Installed-Size: 164
Maintainer: Gürkan Sengün 
Architecture: all
Size: 31030
SHA256: 34861201e168b41a238d84b8b03e1af3f7753deee876c5a377c1a5e9ec43fc06
SHA1: 807c85d43a600e35af4cfb186ed920b31684f9e1
MD5sum: 08a1855189cb36e7fadb04baabd75fd7
Description: Very small 4 x 8 font
 This is named atari small because it was designed for a terminal emulator
 written for an Atari 800. It only had a 320 pixel wide display, but an 80
 column terminal was wanted, hence there is this font. This is somewhat
 cleaned up from the original version. It has only a one pixel descent to
 maximize pixels available to the main body of each character.
Homepage: http://gnu.ethz.ch/linuks.mine.nu/atari/
Tag: made-of::font, role::data
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-atarismall/ttf-atarismall_2.1-4_all.deb

Package: ttf-baekmuk
Source: fonts-baekmuk
Version: 2.2-7
Installed-Size: 27
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-baekmuk
Pre-Depends: dpkg (>= 1.15.6~)
Size: 3516
SHA256: 794de63f9cb46771f30db81f15eda343040faeebc0e4f0426637f2a184339e1a
SHA1: 4201a350b94c6fc7632f0f5fd961f76248e5ad9d
MD5sum: aca9b457c2145e71d798a210e7826e24
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://kldp.net/projects/baekmuk
Tag: culture::korean, made-of::font, role::app-data, role::data, role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-baekmuk/ttf-baekmuk_2.2-7_all.deb

Package: ttf-bengali-fonts
Source: fonts-beng
Version: 2:1.1
Installed-Size: 26
Maintainer: Debian-IN Team 
Architecture: all
Depends: fonts-beng
Size: 2116
SHA256: fc81328cf3be403018cd2f3263e981f867a7c25aaea6f6f24315d5d93e0f72e0
SHA1: b985f0a88163cf7e5cd987b19a5b640e95ff97e1
MD5sum: 8df6a9757289df19245a1fad11725191
Description: Transitional dummy package
 This is a transitional dummy package helpful in upgrade
 and can be safely removed later.
Homepage: http://alioth.debian.org/projects/debian-in
Tag: culture::bengali, made-of::font, role::app-data, role::data
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-beng/ttf-bengali-fonts_1.1_all.deb

Package: ttf-beteckna
Source: fonts-beteckna
Version: 0.4-5
Installed-Size: 36
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-beteckna
Size: 3554
SHA256: 0668b2d6b90797fdacdc5102399715e1a8c87a599934d663da447b308a39a5b3
SHA1: 0cfa9091f1fe1dacdfad54e79b722a293be13a5d
MD5sum: f06533a30cea011d6c0e9c34eb7962f4
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://gnu.ethz.ch/linuks.mine.nu/beteckna/
Tag: made-of::font, role::data, role::dummy, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-beteckna/ttf-beteckna_0.4-5_all.deb

Package: ttf-bitstream-vera
Version: 1.10-8
Installed-Size: 684
Maintainer: Keith Packard 
Architecture: all
Size: 351750
SHA256: 328def7f581bf94b3b06d21e641f3e5df9a9b2e84e93b4206bc952fe8e80f38a
SHA1: a356e2dd90567c4db4d38a91036036ecfd8688db
MD5sum: 5012469cedaefe671c5872b7b9f87437
Description: The Bitstream Vera family of free TrueType fonts
 This is a set of high-quality TrueType fonts created by Bitstream, Inc. and
 released under a DFSG-free license. They are intended to remedy the lack of
 free high-quality fonts for the free desktop environments.
 .
 They fully cover Western European languages (ISO-8859-1, ISO-8859-15) and
 Turkish (ISO-8859-9). They also include a selection of mathematical and other
 symbols and some limited support for Eastern European languages (parts of
 ISO-8859-2). Non-latin scripts are not supported (use ttf-dejavu instead).
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-bitstream-vera/ttf-bitstream-vera_1.10-8_all.deb

Package: ttf-bpg-georgian-fonts
Source: fonts-bpg-georgian
Version: 0.5a-6
Installed-Size: 26
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-bpg-georgian
Size: 2798
SHA256: 2cf7ce8b85f8111e8a16010b0f79e04ecb6491c7a9bfb4f77b066eb1f510bee0
SHA1: 57c8926d1309ac22fa9d83a88b676769acc2bec1
MD5sum: f0a6417ffccb8f2f84240c53c516c8b6
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-bpg-georgian/ttf-bpg-georgian-fonts_0.5a-6_all.deb

Package: ttf-breip
Source: fonts-breip
Version: 1.0-7
Installed-Size: 36
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-breip
Size: 4330
SHA256: b76615788e1e86a5c26e6c6682ac919b473865dc3de3fa12035c2817b38028ed
SHA1: d705446cebd55601a42c244188c28e6f4f42f656
MD5sum: d653ed3ea7b7368a31549957a736fecb
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://helloalan.com/projects/breip/
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-breip/ttf-breip_1.0-7_all.deb

Package: ttf-century-catalogue
Source: fonts-century-catalogue
Version: 001.001-5
Installed-Size: 36
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-century-catalogue
Size: 4080
SHA256: 062bba6cb883a4fccf3a56dfab7919ef9c96495b94304a6a3459e775b5426a2a
SHA1: ceb97c542d666a0e850bb5cc83e47a4dbb75fc58
MD5sum: 983ac095d5e8f92911ebca1c248655c7
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.levien.com/type/myfonts/ofl.html
Tag: made-of::font, role::data, role::dummy, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-century-catalogue/ttf-century-catalogue_001.001-5_all.deb

Package: ttf-comfortaa
Source: fonts-comfortaa
Version: 1.5-2
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-comfortaa
Size: 3814
SHA256: 6d25c92ce3aaef3ee55c3f2298979191dabc31e154cc68a0b74d8d1ba5317ddc
SHA1: ba94969761b87d0eed8b18533a1fdf37c0baf82b
MD5sum: 2d3c475b8f7ea6ec0553124b94854909
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://aajohan.deviantart.com/art/Comfortaa-font-105395949
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-comfortaa/ttf-comfortaa_1.5-2_all.deb

Package: ttf-dejavu
Version: 2.33-3
Installed-Size: 56
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: ttf-dejavu-core, ttf-dejavu-extra
Pre-Depends: dpkg (>= 1.15.6~)
Conflicts: ttf-dejavu (<< 2.20-1)
Size: 30650
SHA256: 60eddb0868db832248f7ab5a50945f12ad3dece3d4a1b00a84ce95e7977e94c7
SHA1: dbf0bfbc243d7b54e1f5fe58767052ccfe63a489
MD5sum: 961fbb6055fa933bcb641f7fd871f230
Description: Metapackage to pull in ttf-dejavu-core and ttf-dejavu-extra
 DejaVu provides an expanded version of the Vera font family aiming for
 quality and broader Unicode coverage while retaining the original Vera
 style. DejaVu currently works towards conformance with the Multilingual
 European Standards (MES-1 and MES-2) for Unicode coverage. The DejaVu
 fonts provide serif, sans and monospaced variants.
 .
 Use this package if you want all DejaVu variants.
 .
 DejaVu fonts are intended for use on low-resolution devices (mainly
 computer screens) but can be used in printing as well.
Multi-Arch: foreign
Homepage: http://dejavu-fonts.org/
Tag: role::dummy
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-dejavu/ttf-dejavu_2.33-3_all.deb

Package: ttf-dejavu-core
Source: ttf-dejavu
Version: 2.33-3
Installed-Size: 2804
Maintainer: Debian Fonts Task Force 
Architecture: all
Replaces: ttf-dejavu (<< 2.20-1)
Pre-Depends: dpkg (>= 1.15.6~)
Conflicts: ttf-dejavu (<< 2.20-1)
Size: 1020882
SHA256: ed1bb07632def25dfae88826b34b9c75b8f6862b885bb7e3c89fefca129152bf
SHA1: 365d54ecaea313f2c900f39f5c9369c453217b20
MD5sum: e904b91d2704e687b465d81f4f501d01
Description: Vera font family derivate with additional characters
 DejaVu provides an expanded version of the Vera font family aiming for
 quality and broader Unicode coverage while retaining the original Vera
 style. DejaVu currently works towards conformance with the Multilingual
 European Standards (MES-1 and MES-2) for Unicode coverage. The DejaVu
 fonts provide serif, sans and monospaced variants.
 .
 This package only contains the sans, sans-bold, serif, serif-bold,
 mono and mono-bold variants. For additional variants, see the
 ttf-dejavu-extra package.
 .
 DejaVu fonts are intended for use on low-resolution devices (mainly
 computer screens) but can be used in printing as well.
Multi-Arch: foreign
Homepage: http://dejavu-fonts.org/
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-dejavu/ttf-dejavu-core_2.33-3_all.deb

Package: ttf-dejavu-extra
Source: ttf-dejavu
Version: 2.33-3
Installed-Size: 6437
Maintainer: Debian Fonts Task Force 
Architecture: all
Replaces: ttf-dejavu (<< 2.20-1)
Depends: ttf-dejavu-core
Pre-Depends: dpkg (>= 1.15.6~)
Conflicts: ttf-dejavu (<< 2.20-1)
Size: 1759152
SHA256: f1ca7d532d683085c2d047f97855b7148fe47a1df13c5f0a8fd30f9134474e3d
SHA1: 2ba5315195922f3c6ab13b163a51bfc616970553
MD5sum: 6212d3699d40297242286282e92b374a
Description: Vera font family derivate with additional characters
 DejaVu provides an expanded version of the Vera font family aiming for
 quality and broader Unicode coverage while retaining the original Vera
 style. DejaVu currently works towards conformance with the Multilingual
 European Standards (MES-1 and MES-2) for Unicode coverage. The DejaVu
 fonts provide serif, sans and monospaced variants.
 .
 This package includes additional variants, such as oblique, italic,
 bold-oblique, bold-italic and the condensed forms.
 .
 DejaVu fonts are intended for use on low-resolution devices (mainly
 computer screens) but can be used in printing as well.
Multi-Arch: foreign
Homepage: http://dejavu-fonts.org/
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-dejavu/ttf-dejavu-extra_2.33-3_all.deb

Package: ttf-dejima-mincho
Source: fonts-dejima-mincho
Version: 227-9
Installed-Size: 4
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-dejima-mincho
Pre-Depends: dpkg (>= 1.15.6~)
Size: 3500
SHA256: 3e2202b5175b087f1c22b63f2bf03905212f6810b08ca488918b0f50302c160d
SHA1: aed4985706750e91a8ee29ce875e0c38c6712493
MD5sum: b744be1f899a0d6a1ce5faf9f1580213
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://code.google.com/p/dejima-fonts/
Tag: culture::japanese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-dejima-mincho/ttf-dejima-mincho_227-9_all.deb

Package: ttf-denemo
Source: denemo
Version: 0.9.2-3
Installed-Size: 1536
Maintainer: Josue Abarca 
Architecture: all
Replaces: denemo (<< 0.8.12)
Breaks: denemo (<< 0.8.12)
Size: 875466
SHA256: e9dda697020073ba45b589bdb8ae6247a3638589579a3904b39d0cd6482325d9
SHA1: 4a49a55931568bf1c278b4616b44ab3a029bf168
MD5sum: 13d17ffcb6f2f2cf3a16a13683a80477
Description: music notation symbol fonts for denemo
 GNU Denemo is a GUI musical score editor written in C/gtk+. It is
 intended primarily as a front end to GNU Lilypond, but is adaptable to
 other computer-music-related purposes as well.
 .
 This package contains the Music Notation Symbol Fonts.
Homepage: http://www.denemo.org
Tag: made-of::font, role::app-data, role::data, works-with::music-notation
Section: fonts
Priority: optional
Filename: pool/main/d/denemo/ttf-denemo_0.9.2-3_all.deb

Package: ttf-devanagari-fonts
Source: fonts-deva
Version: 2:1.1
Installed-Size: 26
Maintainer: Debian-IN Team 
Architecture: all
Depends: fonts-deva
Size: 2068
SHA256: 5ad1baf218cd6e5403f63d179f23522e43db2256a15dfcfa80b5b55fd263d401
SHA1: 162eac42bd5ed5a0f0434abca75bc67c9a0e4d5c
MD5sum: ebde11f41e0f29c36bb51d7dd4b5eefd
Description: transitional dummy package
 This is a transitional dummy package and can be safely removed
 later.
Homepage: http://alioth.debian.org/projects/debian-in
Tag: culture::hindi, made-of::font, role::app-data, role::data
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-deva/ttf-devanagari-fonts_1.1_all.deb

Package: ttf-droid
Source: fonts-droid
Version: 20111207+git-1
Installed-Size: 27
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-droid
Size: 2506
SHA256: a5b50683e91a89fc43314529d565acdd0ac5773e238dd818e6efe204befe7c2c
SHA1: 179e7eb16f8e71ec1a578553d474f4a365a32f8d
MD5sum: 0f0e20c3b83a8f2dbb048439fb0f4176
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.droidfonts.com/
Tag: role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-droid/ttf-droid_20111207+git-1_all.deb

Package: ttf-dustin
Source: fonts-dustin
Version: 20030517-9
Installed-Size: 32
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-dustin
Size: 2508
SHA256: c025afe41ffc210ee57d1bfdc6cca0ccb047fa32cb4cdd217cb049c001bbe1e3
SHA1: 78a79b263c653478b88bce4053582b1e4b5dd78b
MD5sum: af33d87dce97a158b38f113d123d4864
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Tag: made-of::font, role::app-data, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-dustin/ttf-dustin_20030517-9_all.deb

Package: ttf-dzongkha
Source: fonts-dzongkha
Version: 0.3-7
Installed-Size: 36
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-dzongkha
Size: 4548
SHA256: ccf9effc2085d29151a62d26dbb639d9dc6703127832c77d6aeb003a3db6edbd
SHA1: f7334d8174f6d4b438dd76dc5ecdd404644088f1
MD5sum: f1749b5191f1bda2bd0e3494b687150b
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Tag: made-of::font, role::data, role::dummy, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-dzongkha/ttf-dzongkha_0.3-7_all.deb

Package: ttf-ecolier-court
Source: fonts-ecolier-court
Version: 1.00-4
Installed-Size: 36
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-ecolier-court
Size: 3950
SHA256: 981f48b3f761deac8625cfe24b06b399e3e9f10bf3ecd7edb1e57b98fa35e2d9
SHA1: b5901fc77c641781883b53a96207280bc5629592
MD5sum: 7da99d9ff0f8e3b4b87fb8748dc67272
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://perso.orange.fr/jm.douteau/
Tag: made-of::font, role::data, role::dummy, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-ecolier-court/ttf-ecolier-court_1.00-4_all.deb

Package: ttf-ecolier-lignes-court
Source: fonts-ecolier-lignes-court
Version: 1.00-5
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-ecolier-lignes-court
Size: 4032
SHA256: 1b60a8c8995102d6664f83405e121c2fcb6408c3d861fb0267ced63c0683677c
SHA1: 67dc93452be094a817ddabf84b37fc6143883a2b
MD5sum: ff431e4309d1788341a75f8fae6646b3
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://perso.orange.fr/jm.douteau/
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-ecolier-lignes-court/ttf-ecolier-lignes-court_1.00-5_all.deb

Package: ttf-engadget
Version: 1.001-1-1
Installed-Size: 72
Maintainer: Gürkan Sengün 
Architecture: all
Size: 13906
SHA256: b56448f04ef892cf419333d6e88443a4c871e974f180e15ce0abe03f368c3d5c
SHA1: 9be9929b929e162adad45d95fa9824de7ea381fb
MD5sum: 0a39e94274617c08f1b599ed35698a3e
Description: Modern font from John Stracke
 This is the font used to create the engadget.com logo.
Homepage: http://www.thibault.org/fonts/engadget/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-engadget/ttf-engadget_1.001-1-1_all.deb

Package: ttf-essays1743
Source: essays1743
Version: 1.0-4.1
Installed-Size: 464
Maintainer: Mauro Lizaur 
Architecture: all
Recommends: x-ttcidfont-conf | fontconfig
Size: 222974
SHA256: 2e6a3f77f5cd3741485dfce76e459587819e82ced7cd65dfa074a5707426b747
SHA1: 253e188d6ec1b6aed67c970f9d8d98c15c7ad60f
MD5sum: 9c7ad78e27150520c4157f2feddebde8
Description: Essays 1743 TrueType font
 This font is based on the typeface used in a 1743 English translation of
 Montaigne's Essays. It contains normal, bold, italic and bold italic
 versions of 817 characters: all of ASCII, Latin-1, and Latin Extended A;
 some of Latin Extended B (basically, the ones that are more or less based
 on Roman letters); and a variety of other characters, such as oddball
 punctuation, numerals, etc.
Homepage: http://www.thibault.org/fonts/essays/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/e/essays1743/ttf-essays1743_1.0-4.1_all.deb

Package: ttf-evertype-conakry
Source: fonts-evertype-conakry
Version: 0.002+source-2
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-evertype-conakry
Size: 3926
SHA256: 323276b31962671427b76c564ff1047e6852f1e6b9411a70ac497955bef4aee0
SHA1: 26b1d4184c7ecdf664d58720dd59acf12b032092
MD5sum: 1d76642f2e1e75673d388715972d631c
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.evertype.com/fonts/nko/
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-evertype-conakry/ttf-evertype-conakry_0.002+source-2_all.deb

Package: ttf-f500
Source: fonts-f500
Version: 1.0-3
Installed-Size: 25
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-f500
Size: 1768
SHA256: d5359544441a8e221fba86142bf886ecc8a526973ef7152c86b92ead0bcb18a5
SHA1: 14f62f4dda8de117db5608a70434299918788e56
MD5sum: a525e27b7ad55ef9ee5eaed9851af835
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Tag: made-of::font, role::data, role::dummy, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-f500/ttf-f500_1.0-3_all.deb

Package: ttf-fanwood
Source: fonts-fanwood
Version: 1.1-2
Installed-Size: 32
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-fanwood
Size: 2508
SHA256: 60bdd70cdf1f4d68cca23d92fa355f5aac33fa17efdd3582b28b5fb553eb53c7
SHA1: 5a9540921b7acd216d8ce848d84b7f14a561e827
MD5sum: a1a3084df4d6a5abd5078b011907a90f
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://crudfactory.com/font/show/fanwood
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-fanwood/ttf-fanwood_1.1-2_all.deb

Package: ttf-farsiweb
Source: fonts-farsiweb
Version: 0.4.dfsg-11
Installed-Size: 27
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-farsiweb
Size: 3264
SHA256: 89f39bc75a65064c5e3e55d71c7b815e94c606c763b704b1166e327cdf782c03
SHA1: cda6f7d1c67c3b47daf1d1423016771c58bbf192
MD5sum: 059e02f11013f35808e0dce12a2cd02b
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Tag: culture::farsi, made-of::font, role::app-data, role::data, role::dummy
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-farsiweb/ttf-farsiweb_0.4.dfsg-11_all.deb

Package: ttf-femkeklaver
Version: 1.0-1
Installed-Size: 140
Maintainer: Leo Costela 
Architecture: all
Recommends: fontconfig
Size: 69400
SHA256: a47e2a94901c5ccf29da687363891513b18c50b2191df21e598be151821a4447
SHA1: 323f8df1da626aa58bb775b867f34b52e42e5277
MD5sum: 38cd8ab7065ff0a08148a0ecb18159fd
Description: simple handwriting font
 A simple handwriting font with strong repeated tracing.
Homepage: http://www.1001fonts.com/font_details.html?font_id=3180
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-femkeklaver/ttf-femkeklaver_1.0-1_all.deb

Package: ttf-fifthhorseman-dkg-handwriting
Source: dkg-handwriting
Version: 0.15-1
Installed-Size: 33
Maintainer: Daniel Kahn Gillmor 
Architecture: all
Depends: fonts-dkg-handwriting
Size: 6738
SHA256: 769dca9c954a66df5326b867ae5884491c40f51dc6d5c6250f64804410a506d9
SHA1: 47934da9b266ed30ccf3b6b5c9858f3022c1a23c
MD5sum: 6a34f152707d1d07700cd19089ed6b9b
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://cmrg.fifthhorseman.net/wiki/fonts
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/d/dkg-handwriting/ttf-fifthhorseman-dkg-handwriting_0.15-1_all.deb

Package: ttf-freefarsi
Source: fonts-freefarsi
Version: 1.0.0~beta1-6
Installed-Size: 27
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-freefarsi
Size: 2804
SHA256: 51518e8edb07711b9b5bf51f25016e3e6cc6e1d336529bedfbb9fea5f6c09e09
SHA1: 9fad053afa2a23dea252a9d5cb2ed2dbd9f5e481
MD5sum: 9f89aba8dd0eab7de5c27cb05cc72dac
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://fpf.sourceforge.net
Tag: culture::farsi, made-of::font, role::data, role::dummy, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-freefarsi/ttf-freefarsi_1.0.0~beta1-6_all.deb

Package: ttf-freefont
Source: fonts-freefont
Version: 20120503-1
Installed-Size: 139
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-freefont-ttf
Size: 118252
SHA256: 618207990330c5c810e6b6bf6c07c328263e9f2ddc917387bb64f26ed90d219e
SHA1: 5a83a7bff2f9025237f48a1a4d892f1f93bca83a
MD5sum: 314cea3f64a25916e9cd4f52304c490d
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://savannah.gnu.org/projects/freefont/
Tag: made-of::font, role::app-data, role::data, suite::gnu, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-freefont/ttf-freefont_20120503-1_all.deb

Package: ttf-georgewilliams
Source: gw-fonts-ttf
Version: 1.0-5
Installed-Size: 2708
Maintainer: Debian Fonts Task Force 
Architecture: all
Size: 1412708
SHA256: 0c646e634f514c6f4716b64ad9491dfd581c153073470d9b81a0fc1367237bfd
SHA1: 31c599115d726df1aed605c56a5824a7036e6bcf
MD5sum: 3746b031f1cbdba750200bfac76ceb2c
Description: Free unicode TrueType fonts by George Williams
 A set of Free unicode True Type fonts made by George Williams.
 The package consists the following fonts:
  Caslon
  Caliban
  Cupola
Homepage: http://fontforge.sourceforge.net/sfds/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/g/gw-fonts-ttf/ttf-georgewilliams_1.0-5_all.deb

Package: ttf-gfs-artemisia
Source: fonts-gfs-artemisia
Version: 1.1-4
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-gfs-artemisia
Size: 4284
SHA256: 1e81ccd4a74be0ce0684f7a8b36ac5246fcdfef4fe17919baddfdb365f2e68af
SHA1: 5f14ab9e05ef70de8ac4e48f5c4cef173f009e8c
MD5sum: 26a8ecf0e32389d9b34e91e37715766e
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.greekfontsociety.gr/
Tag: culture::greek, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-gfs-artemisia/ttf-gfs-artemisia_1.1-4_all.deb

Package: ttf-gfs-baskerville
Source: fonts-gfs-baskerville
Version: 1.1-4
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-gfs-baskerville
Size: 4254
SHA256: 6cce61720e02e32d9536365e148d47e5e1dfc2776f8f93f0235f410ebc1247dc
SHA1: adc2588885faf1dba0bee08184dc51f269d520f3
MD5sum: 602d4fa34e261e0d766bfa529327c7e5
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.greekfontsociety.gr/
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-gfs-baskerville/ttf-gfs-baskerville_1.1-4_all.deb

Package: ttf-gfs-bodoni-classic
Source: fonts-gfs-bodoni-classic
Version: 1.1-4
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-gfs-bodoni-classic
Size: 4264
SHA256: d1667cc11734dc3b70421ff193b2204a29de99d674e838579a0a92dbee08a928
SHA1: 36e1be1b9c30da1f260ad546b884970d5913fc6d
MD5sum: 225434118b3883effbb0d25a39e5cc97
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.greekfontsociety.gr/
Tag: culture::greek, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-gfs-bodoni-classic/ttf-gfs-bodoni-classic_1.1-4_all.deb

Package: ttf-gfs-complutum
Source: fonts-gfs-complutum
Version: 1.1-5
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-gfs-complutum
Size: 4288
SHA256: c268480ddd5d61dc5deb3dafd8ff1697683131ea8bde77c8849d923d9151650e
SHA1: 305c35eabe710fca64c7ed8699a3ab96d7377861
MD5sum: ff5ac12e8bd678b16640b08c7e57eed4
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.greekfontsociety.gr/
Tag: culture::greek, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-gfs-complutum/ttf-gfs-complutum_1.1-5_all.deb

Package: ttf-gfs-didot
Source: fonts-gfs-didot
Version: 1.1-5
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-gfs-didot
Size: 4314
SHA256: ff9cd01f62c2548695e24b412200456c8c7aa7f9fe1eb4566039d825e163ec14
SHA1: db8689c678fc18a6e86d07858c3c6c491b3f636b
MD5sum: 533d2b170034cf771f621ca2ee667b7c
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.greekfontsociety.gr/
Tag: culture::greek, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-gfs-didot/ttf-gfs-didot_1.1-5_all.deb

Package: ttf-gfs-didot-classic
Source: fonts-gfs-didot-classic
Version: 1.1-4
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-gfs-didot-classic
Size: 4280
SHA256: d89bff430514839ead93d1e51b2d82a5ba5300a1b53bebe98aebfd8fbcd420f7
SHA1: 6432f208cf5d9795daede675adef620632f295ab
MD5sum: a654f67cb033c32c55f142b0297ef42a
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.greekfontsociety.gr/
Tag: culture::greek, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-gfs-didot-classic/ttf-gfs-didot-classic_1.1-4_all.deb

Package: ttf-gfs-gazis
Source: fonts-gfs-gazis
Version: 1.1-4
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-gfs-gazis
Size: 4200
SHA256: 073786f189ee6683b10ef10c82084f56c039f336287ba93a7e857a38b010505e
SHA1: 5219121af8e5e15406b01eb8bab4661992147086
MD5sum: 54688878bf4631cb004a642b731a2cd7
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.greekfontsociety.gr/
Tag: culture::greek, made-of::font, role::data, role::dummy, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-gfs-gazis/ttf-gfs-gazis_1.1-4_all.deb

Package: ttf-gfs-neohellenic
Source: fonts-gfs-neohellenic
Version: 1.1-4
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-gfs-neohellenic
Size: 4232
SHA256: de23b2820e96212957b38dccab109f6746c42ae8ae910b100fc4206b9707b34b
SHA1: f7de5cc7c7130fe99e631c8a6bcc1dc26b7a2b56
MD5sum: 87833706ffeef5e60fa4b028deae521e
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.greekfontsociety.gr/
Tag: culture::greek, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-gfs-neohellenic/ttf-gfs-neohellenic_1.1-4_all.deb

Package: ttf-gfs-olga
Source: fonts-gfs-olga
Version: 1.1-3
Installed-Size: 36
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-gfs-olga
Size: 4128
SHA256: 1197229820921ed7402476a3a9a0bdfbc4e4d6ac81b61815d8dc3b837d5db6af
SHA1: e7f9046c0a9be1d2f9b1401da2782ffe9497e8a8
MD5sum: fb5beba278e2ee98e6dad9d0f15c8cba
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.greekfontsociety.gr/
Tag: culture::greek, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-gfs-olga/ttf-gfs-olga_1.1-3_all.deb

Package: ttf-gfs-porson
Source: fonts-gfs-porson
Version: 1.1-5
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-gfs-porson
Size: 4212
SHA256: 130f8f1e23852d03001a3eceaa76d3fb7846d8da4713c3bdb07b9fbe5a14b160
SHA1: 5c94236b8bb3c6607275d9f2cf4e111ebcdd06af
MD5sum: b78096dd4a37e1d8a01157f162c24074
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.greekfontsociety.gr/
Tag: culture::greek, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-gfs-porson/ttf-gfs-porson_1.1-5_all.deb

Package: ttf-gfs-solomos
Source: fonts-gfs-solomos
Version: 1.1-4
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-gfs-solomos
Size: 4216
SHA256: 5fd3c81c75149320e956f143bbcbd8b9637726b412f411e738d6fd3cfd862683
SHA1: 0071f59f7372fb3fe0aeb153223d35819ce87926
MD5sum: c98fa0d373e96ec7b4f4b131d930431b
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.greekfontsociety.gr/
Tag: culture::greek, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-gfs-solomos/ttf-gfs-solomos_1.1-4_all.deb

Package: ttf-gfs-theokritos
Source: fonts-gfs-theokritos
Version: 1.1-4
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-gfs-theokritos
Size: 4218
SHA256: fd9dfc7a55a42a57a1cfe9f2fc0b6bec52de0fde2294a48ba3bf6bfa03bb0e4c
SHA1: b2dd77174dcaf4cf94d70a4616c4d63a2a5b533b
MD5sum: 4fab3f5d5321266ead7490dbac67a50e
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.greekfontsociety.gr/
Tag: culture::greek, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-gfs-theokritos/ttf-gfs-theokritos_1.1-4_all.deb

Package: ttf-goudybookletter
Version: 2010.07.03-1
Installed-Size: 2492
Maintainer: Gürkan Sengün 
Architecture: all
Size: 1046688
SHA256: 2c2e86d52867ff7ca2920df5d0bb36aaf7a448f20e444c452e09ab1eb46c2b99
SHA1: cf21484f73a7e763f07220d8826fd53db243fa06
MD5sum: aa88735bd11947a786af6632ca7ef460
Description: Old style roman font
 Based on the roman of Frederic Goudy's Kennerley Old Style (designed and cut
 in 1911 for a limited edition of "The Door in the Wall and Other Stories" by
 H G Wells, published by Mitchell Kennerley). The companion italic is a
 future project.
 .
 The letters, though not condensed, may seem to fit together like pieces of a
 jigsaw puzzle, giving text an unusually solid appearance.
Homepage: http://crudfactory.com/font/show/gb1911
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-goudybookletter/ttf-goudybookletter_2010.07.03-1_all.deb

Package: ttf-gujarati-fonts
Source: fonts-gujr
Version: 2:1.1
Installed-Size: 26
Maintainer: Debian-IN Team 
Architecture: all
Depends: fonts-gujr
Size: 2040
SHA256: cc2565733b59679889ecfa669abb44bfb68548f2073c166eee08945c4273172a
SHA1: 8f0d1d5801c5c18884f24b2680d7815492d3dc94
MD5sum: 6854fe4fc00ceda32ccfda4edaaf2569
Description: transitional dummy package
 This is a transitional dummy package and can be removed
 safely later.
Homepage: http://alioth.debian.org/projects/debian-in
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-gujr/ttf-gujarati-fonts_1.1_all.deb

Package: ttf-hanazono
Source: fonts-hanazono
Version: 20120421-1.1
Installed-Size: 9
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-hanazono
Size: 5286
SHA256: 023fd5221f7d7b6ec7e1a900d22564c71be5d9ee1291a77e2e8eb6339c66a799
SHA1: e7970e476c8c909bfe34ef7bfb1e93ac29202c1c
MD5sum: 559d9d42b8412923b024665b797157a5
Description: Transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://fonts.jp/hanazono/
Tag: culture::japanese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-hanazono/ttf-hanazono_20120421-1.1_all.deb

Package: ttf-inconsolata
Source: fonts-inconsolata
Version: 001.010-4
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-inconsolata
Size: 4424
SHA256: 3a1235d9bcea09fc5092416b64b8ecac9f771ce2549dabb9861652d7e254cd69
SHA1: 772d1ab1a73e27a69c705781243b62602391c993
MD5sum: 3479d08240091d0dc3e6b6dbdb03ae99
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.levien.com/type/myfonts/inconsolata.html
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-inconsolata/ttf-inconsolata_001.010-4_all.deb

Package: ttf-indic-fonts
Source: fonts-indic
Version: 2:1.1
Installed-Size: 26
Maintainer: Debian-IN Team 
Architecture: all
Depends: fonts-indic
Size: 2158
SHA256: 20005de4e779032c1dc8f76398b85166d32beed1d8c64dcd9f4a140cedf506d2
SHA1: ccf6f14fb6ba9e34b200bfc1fcc70cd7a263d875
MD5sum: 7be31288a52378245f235b74dd12430e
Description: Transitional dummy package
 This is a transitional dummy package which helps in upgrade
 and can be removed safely later.
Homepage: http://alioth.debian.org/projects/debian-in
Tag: culture::bengali, culture::hindi, culture::punjabi, culture::tamil,
 made-of::font, role::data, role::metapackage
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-indic/ttf-indic-fonts_1.1_all.deb

Package: ttf-isabella
Version: 1.2-2
Installed-Size: 196
Maintainer: Edgar Antonio Palma de la Cruz 
Architecture: all
Depends: fontconfig
Size: 66124
SHA256: 270bffd2bca980a178386f4e3fdbd6fe2ae953713417ff947df69873dcb84b11
SHA1: ead765ab1460466f6c1e11013a00df22b6a5b5a9
MD5sum: 16d9e5878e40e7b635131d25fa7d1c02
Description: Isabella free TrueType font
 This font is called Isabella because it is based on the calligraphic
 hand used in the Isabella Breviary, made around 1497, in Holland, for
 Isabella of Castille, the first queen of united Spain.
 .
 It covers all European languages written in the Latin script (with
 the exception of Sami) and covers all ISO-8859 with the exception
 of the non-Latin character sets.
Homepage: http://www.thibault.org/fonts/isabella/
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-isabella/ttf-isabella_1.2-2_all.deb

Package: ttf-jsmath
Version: 0.090709+0-1
Installed-Size: 756
Maintainer: Atsuhito KOHDA 
Architecture: all
Conflicts: iceweasel (<< 3.5)
Size: 375464
SHA256: f99a212046ade327bd9ea9733a31c40831aee71e49146ed50e35a8559cf21a36
SHA1: 0157075c37127adb332009e1d064fe382b655cf5
MD5sum: d463bef61ee59885f76543880b388b3f
Description: TeX fonts to display jsMath pages
 jsMath uses some TeX fonts to render mathematical contents in web pages
 so jsMath pages should be displayed much better with this package.
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: extra
Filename: pool/main/t/ttf-jsmath/ttf-jsmath_0.090709+0-1_all.deb

Package: ttf-junicode
Source: fonts-junicode
Version: 0.7.6-1
Installed-Size: 29
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-junicode
Size: 4668
SHA256: 14a5ab28cce07e10b9527b42db772bd3baf97a557d79fc58baa3ba3a8a571675
SHA1: eab7b6faaf23b88d1f723fa9c3b793f1dda7c938
MD5sum: 9034a81393da11d27ed3ca090d6c972a
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://junicode.sourceforge.net/
Tag: made-of::font, role::app-data, role::data, role::dummy,
 works-with::text, works-with::unicode
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-junicode/ttf-junicode_0.7.6-1_all.deb

Package: ttf-jura
Source: fonts-jura
Version: 2.6.1-1
Installed-Size: 36
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-jura
Size: 4156
SHA256: 353b5bc96c12c39e7417bf47efcece2640258835f3ca2fac7bb5bec44da273a5
SHA1: a215f15000183b4549328b09170380a05dd138f8
MD5sum: e7af5a30dd04a14d2b36e8c8db3b65d0
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://io.debian.net/~danielj/jura/
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-jura/ttf-jura_2.6.1-1_all.deb

Package: ttf-kacst
Source: fonts-kacst
Version: 2.01+mry-6
Installed-Size: 3
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-kacst
Pre-Depends: dpkg (>= 1.15.6~)
Size: 2656
SHA256: f7ef9ea138b9ab0d5426c263ce789bd234d661362aadcc026c49f16dc349632f
SHA1: 7033a54f52500b490ac792421a9381c7645fe009
MD5sum: 0ef70afc64e8fe6da3dd356edeb135cf
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.kacst.edu.sa/en/
Tag: culture::arabic, made-of::font, role::app-data, role::data, role::dummy,
 x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-kacst/ttf-kacst_2.01+mry-6_all.deb

Package: ttf-kacst-one
Source: fonts-kacst-one
Version: 5.0+svn11846-6
Installed-Size: 7
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-kacst-one
Pre-Depends: dpkg (>= 1.15.6~)
Size: 6506
SHA256: 5cc2126e8eefda234fa50eb262883d4fb7ba29f67dad39a03277447eb96145d4
SHA1: 93a248df70a257a9078aa3883f449336d68b45c6
MD5sum: f4844e777ae7b2e1b1db749194dbff95
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://projects.arabeyes.org
Tag: culture::arabic, made-of::font, role::data, role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-kacst-one/ttf-kacst-one_5.0+svn11846-6_all.deb

Package: ttf-kanjistrokeorders
Source: fonts-kanjistrokeorders
Version: 3.000-dfsg-2
Installed-Size: 5
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-kanjistrokeorders
Pre-Depends: dpkg (>= 1.15.6~)
Size: 3956
SHA256: 30fa766194e6f78b45510d2acdd12142108d31158328cb36015b0879fe3e1e56
SHA1: ac130b065486ddbaf9e0d17450159d3d55c4cccc
MD5sum: 313151638c7135168fe03334ccb247eb
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://sites.google.com/site/nihilistorguk/
Tag: culture::japanese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-kanjistrokeorders/ttf-kanjistrokeorders_3.000-dfsg-2_all.deb

Package: ttf-kannada-fonts
Source: fonts-knda
Version: 2:1.1
Installed-Size: 26
Maintainer: Debian-IN Team 
Architecture: all
Depends: fonts-knda
Size: 2054
SHA256: a6fe403e348f82f382e009637837fb0f59541828c4150990a5c8c6387cba7cc0
SHA1: 24d04632bc8c2affbd4e2078eb75d872518989d9
MD5sum: 6f063cbc69f015741302397b2693a90c
Description: Transitional dummy package
 This is a transitional dummy package which is helpful in
 upgrade and can be safely removed later.
Homepage: http://alioth.debian.org/projects/debian-in
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-knda/ttf-kannada-fonts_1.1_all.deb

Package: ttf-khmeros
Source: fonts-khmeros
Version: 5.0-5
Installed-Size: 27
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-khmeros
Size: 3130
SHA256: 6110b070c3884f8c1dbc8db29cb033febf75cca79914714b4b56315435262cbc
SHA1: 000d7b01f77cf65e95e578451be8c8e05276c982
MD5sum: a1cbed495cb91a5f0f8c85b6e0598973
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.khmeros.info
Tag: iso15924::khmr, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-khmeros/ttf-khmeros_5.0-5_all.deb

Package: ttf-kiloji
Source: fonts-kiloji
Version: 1:2.1.0-18
Installed-Size: 5
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-kiloji
Pre-Depends: dpkg (>= 1.15.6~)
Size: 3962
SHA256: 64204d8cd4bb76fa36030f9b2193240414cc0ea9c08bfd804964cdd787fd1ea6
SHA1: cc0311c4a075812889fb2cf5b8c49e9e4368f1c1
MD5sum: e68fc8246488be8e83e9251af48ab404
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.ez0.net/distribution/font/kiloji/
Tag: culture::japanese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-kiloji/ttf-kiloji_2.1.0-18_all.deb

Package: ttf-kochi-gothic
Source: ttf-kochi
Version: 20030809-15
Installed-Size: 7650
Maintainer: GOTO Masanori 
Architecture: all
Pre-Depends: dpkg (>= 1.15.6~)
Conflicts: ttf-kochi-gothic-naga10
Size: 3142120
SHA256: 6e2311cd8e880a9328e4d3eef34a1c1f024fc87fba0dce177a0e1584a7360fea
SHA1: 0a7b241a1564cb4c6c13bdf19e747eff87421498
MD5sum: 1b8144a572d5bac15dfa682787f6c8a7
Description: Kochi Subst Gothic Japanese TrueType font without naga10
 ttf-kochi-gothic is high quality, Japanese gothic TrueType font.
 It does not include naga10 font, so it's DFSG-free.
 .
 Both this package and its alternative sazanami font are legacy and
 deprecated.  You are recommended to transition to other modern
 font packages such as "fonts-vlgothic" or "fonts-ipafont-gothic".
Homepage: http://sourceforge.jp/projects/efont/
Tag: culture::japanese, made-of::font, role::data
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-kochi/ttf-kochi-gothic_20030809-15_all.deb

Package: ttf-kochi-mincho
Source: ttf-kochi
Version: 20030809-15
Installed-Size: 8819
Maintainer: GOTO Masanori 
Architecture: all
Pre-Depends: dpkg (>= 1.15.6~)
Conflicts: ttf-kochi-mincho-naga10
Size: 3736582
SHA256: 91ce6c993a3a0f77ed85db76f62ce18632b4c0cbd8f864676359a17ae5e6fa3c
SHA1: 8cac070ffdfbb6d4ecf47fa50a1e58114c084023
MD5sum: 0de2efb742db52843a6bf13deb20b159
Description: Kochi Subst Mincho Japanese TrueType font without naga10
 ttf-kochi-mincho is high quality, Japanese mincho TrueType font.
 It does not include naga10 font, so it's DFSG-free.
 .
 Both this package and its alternative sazanami font are legacy and
 deprecated.  You are recommended to transition to other modern
 font packages such as "fonts-ipafont-mincho".
Homepage: http://sourceforge.jp/projects/efont/
Tag: culture::japanese, made-of::font, role::app-data, role::data
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-kochi/ttf-kochi-mincho_20030809-15_all.deb

Package: ttf-komatuna
Source: fonts-komatuna
Version: 20101113-6
Installed-Size: 24
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-komatuna
Pre-Depends: dpkg (>= 1.15.6~)
Size: 9290
SHA256: 12da9fcd1cb34eddf07c12d064cd4ed1e23b920915c1cb989bdb99f5526479c2
SHA1: 6d00d0b002ab7d33c6bba02fb9e4892cb8f56c20
MD5sum: 77aedc2bca20e8f70652b9e291944a53
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.geocities.jp/ep3797/modified_fonts_01.html
Tag: culture::japanese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-komatuna/ttf-komatuna_20101113-6_all.deb

Package: ttf-konatu
Source: fonts-konatu
Version: 26-9
Installed-Size: 47
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-konatu
Pre-Depends: dpkg (>= 1.15.6~)
Size: 9522
SHA256: f1ccd56f104b628ebd38a189f416bca371885eca5bcdbf30f4f6b1b306db4565
SHA1: 3c261ca39cbb188c502a565aa8ba38799d7b61e7
MD5sum: 482cd68bee886255c45d3d9372706fe4
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.masuseki.com/index.php?u=be/konatu.htm
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-konatu/ttf-konatu_26-9_all.deb

Package: ttf-kouzan-mouhitsu
Source: fonts-kouzan-mouhitsu
Version: 20090806-8
Installed-Size: 3
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-kouzan-mouhitsu
Pre-Depends: dpkg (>= 1.15.6~)
Size: 2522
SHA256: cac5fb22fdebc54ad7d5b7f8501197de4b1aa439aecd5a73c6fdf1b94ec651a4
SHA1: 34702482fabe9bf93af70d804a2f27b04d7e3969
MD5sum: e71e45ff96628e37048ad6b9ef0ab691
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://musashi.or.tv/kouzanmouhitufont.htm
Tag: culture::japanese, made-of::font, role::data, role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-kouzan-mouhitsu/ttf-kouzan-mouhitsu_20090806-8_all.deb

Package: ttf-lao
Source: fonts-lao
Version: 0.0.20060226-8
Installed-Size: 27
Maintainer: Christian Perrier 
Architecture: all
Depends: fonts-lao
Size: 2702
SHA256: df58faf12e3d50c75d986ab5290339dd8bdd6baed480b3b12111daac3c95cbfe
SHA1: 0d8f42108eb9ed738c918037471fee220e403af4
MD5sum: 26f8edf2dda0da14110661c9ae08611e
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Tag: iso15924::laoo, made-of::font, role::data, role::dummy, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-lao/ttf-lao_0.0.20060226-8_all.deb

Package: ttf-levien-museum
Source: fonts-levien-museum
Version: 001.002-3
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-levien-museum
Size: 3742
SHA256: 84574ce3395e559d01d5a23e6e596c9495c5ec1f7ffe5acd5937cc1ccb4b68f0
SHA1: aec8254b22921031f2b455113b9e080976e8b516
MD5sum: ca3c1f169502900894fbcbd3fd05e6c5
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.levien.com/type/myfonts/ofl.html
Tag: made-of::font, role::data, role::dummy, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-levien-museum/ttf-levien-museum_001.002-3_all.deb

Package: ttf-levien-typoscript
Source: fonts-levien-typoscript
Version: 000.001-3
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-levien-typoscript
Size: 3744
SHA256: 24feeecba4af751516171ba28ea4b697baa81c61ee18b4f056446949dd61cf3c
SHA1: e3f0b00aa24fe027c17ec88ac8f0a64ae9febc08
MD5sum: 119f8c9e83d4b2f41ef929d2998d6848
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.levien.com/type/myfonts/ofl.html
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-levien-typoscript/ttf-levien-typoscript_000.001-3_all.deb

Package: ttf-lg-aboriginal
Source: fonts-lg-aboriginal
Version: 1.0-5
Installed-Size: 27
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-lg-aboriginal
Size: 2892
SHA256: b5d784aa7e8ba5f9353a840c52f20f8a4258a3081dd5172fb09b2b308df7215e
SHA1: 752c659922b58baca0078ef9e3d62ae0437567fc
MD5sum: 8414ea4a8ade3820cd76aba125cbd9f6
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.languagegeek.com
Tag: iso15924::cans, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-lg-aboriginal/ttf-lg-aboriginal_1.0-5_all.deb

Package: ttf-liberation
Source: fonts-liberation
Version: 1.07.2-6
Installed-Size: 47
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-liberation
Size: 9284
SHA256: b8e4c8409c3be9006b33ed02abea809888d99ce8b3a78ad6279809c84a58544b
SHA1: 4f364040f54482258456260992a7c0270ed5d3be
MD5sum: b1e8d9f5c89a9644ed87219c194db5b9
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: https://fedorahosted.org/liberation-fonts/
Tag: made-of::font, role::app-data, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-liberation/ttf-liberation_1.07.2-6_all.deb

Package: ttf-lindenhill
Source: fonts-lindenhill
Version: 1.2-2
Installed-Size: 32
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-lindenhill
Size: 2506
SHA256: 087fd60e8e7e7c618c9ee19c642af7b5bbcd2576008b77978c22f183f65d14fb
SHA1: f23444143a6e00adece87114f21224620c2491ec
MD5sum: f35ababa020588aca8905d1725441652
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://crudfactory.com/font/show/lindenhill
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-lindenhill/ttf-lindenhill_1.2-2_all.deb

Package: ttf-linex
Source: fonts-linex
Version: 2.2-6
Installed-Size: 27
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-linex
Size: 3158
SHA256: cfce8c08afb3041f456694a97de7d832eb29f34bd20d68fe63aa6d626ee3ff70
SHA1: 58dd4391fa2ed186521ce4f0685ce72b06afc0e0
MD5sum: 51071d452a963e55e0d8e5c90f8d8152
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://gata.linex.org/trac/browser/fonts-linex/
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-linex/ttf-linex_2.2-6_all.deb

Package: ttf-linux-libertine
Source: fonts-linuxlibertine
Version: 5.1.3-1
Installed-Size: 59
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-linuxlibertine
Size: 32316
SHA256: 45dcb9c5be1af7345692c95fb6cab6749f0dff059920829d5512326daa96ccdb
SHA1: d22cf5e74d407a15396b0da46a464f0ce31bdb85
MD5sum: 2046e349fb0aac432c957e6834a343e1
Description: Linux Libertine family of fonts (dummy package)
 The Linux Libertine fonts is a set of typefaces containing both a Serif
 version ("Linux Libertine") and a Sans Serif ("Linux Biolinum") designed
 to be used together as an alternative for Times/Times New Roman (and, in
 part, Helvetica/Arial).
 .
 The Serif typeface comes in two shapes and two weights (that is, the
 usual Regular, Italic, Bold, and Bold Italic), and an Small Capitals
 version of the regular typeface. Linux Biolinum, the Sans Serif
 typeface, is available in both Regular and Bold weights.
 .
 This package is created to ease transitions to the new package
 fonts-linuxlibertine and may safely be removed after upgrade.
Homepage: http://linuxlibertine.sourceforge.net/
Tag: made-of::font, role::data, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-linuxlibertine/ttf-linux-libertine_5.1.3-1_all.deb

Package: ttf-lyx
Source: lyx
Version: 2.0.3-3
Installed-Size: 52
Maintainer: Debian LyX Maintainers 
Architecture: all
Depends: fonts-lyx
Pre-Depends: dpkg (>= 1.15.7.2)
Size: 23010
SHA256: 5817d54b1fba00dbeadb2d998ec959a20ce42a9f165ee624cc39b928365a362b
SHA1: 79bfa4221b916a165d3d947a3b97498e0b0ca7e7
MD5sum: 3f84716934a9e7e46e2e81b2d8d5fcdb
Description: transitional package
 This package only exists to facilitate the renaming of ttf-lyx to
 fonts-lyx. You may safely remove this package once no other package
 depends on it.
Homepage: http://www.lyx.org/
Tag: field::mathematics, made-of::font, role::app-data, role::data, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/l/lyx/ttf-lyx_2.0.3-3_all.deb

Package: ttf-malayalam-fonts
Source: fonts-mlym
Version: 2:1.1
Installed-Size: 26
Maintainer: Debian-IN Team 
Architecture: all
Depends: fonts-mlym
Size: 2074
SHA256: 3849ceaad5507abf41fb6484ed210a8d49e0379f40c63f2c5aa9915de4ea19fd
SHA1: 0d805df6f1561a97ee93c20b19ebd37f1b994cc0
MD5sum: 4b62900431dd319010dad49a8b34b750
Description: Transitional dummy package
 This is a transitional dummy package helpful in upgrade
 and can be removed safely later.
Homepage: http://alioth.debian.org/projects/debian-in
Tag: made-of::font, role::app-data, role::data
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-mlym/ttf-malayalam-fonts_1.1_all.deb

Package: ttf-manchufont
Source: fonts-manchufont
Version: 2.007.svn0068-2
Installed-Size: 36
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-manchufont
Size: 4538
SHA256: 54eb41a9b1f0f4dc55f99404e0ac321987f0f9da05f64bb97b33e02adff972ef
SHA1: fb75e9151753c5e11797d5bd138cc92731f81903
MD5sum: 30444f0e8008083ad677bc0cd511118a
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://sourceforge.net/projects/manchufont/
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-manchufont/ttf-manchufont_2.007.svn0068-2_all.deb

Package: ttf-marvosym
Version: 0.1+dfsg-2
Installed-Size: 132
Maintainer: Gürkan Sengün 
Architecture: all
Size: 46796
SHA256: d95bf376fd48041676ebb69cc758e133726f4f2dc18c42bdd11298df9efe6470
SHA1: a21c31e5a95fbd22c56b378c3ecf44e0e52d2ae7
MD5sum: 4f7bf452d7cc932abb666a539aeac7c8
Description: Symbol font for school and office
 This is a font with symbols useful for school and office.
Homepage: http://www.marvosym.com/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-marvosym/ttf-marvosym_0.1+dfsg-2_all.deb

Package: ttf-mgopen
Source: fonts-mgopen
Version: 1.1-8
Installed-Size: 28
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-mgopen
Size: 3518
SHA256: 64b12d92bca84622cae0124f4d0cbf22f2fd0ef1e35a3e92128f164fcc20f3fc
SHA1: 5173f28793afe7d0d4e6ef90653b6fb0b4e7c4e7
MD5sum: 41770a3601ed9e63f32a86958ef9ab94
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.magenta.gr/
Tag: made-of::font, role::app-data, role::data, role::dummy
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-mgopen/ttf-mgopen_1.1-8_all.deb

Package: ttf-misaki
Source: fonts-misaki
Version: 11-20080603-13
Installed-Size: 3
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-misaki
Pre-Depends: dpkg (>= 1.15.6~)
Size: 2806
SHA256: 864cf3fbaa9181c2b4703ca67a5b69b447b5c51d62122937cfe936cf89813de7
SHA1: 1ad4b9f9f1caaf03fb09b3949528b8713935a011
MD5sum: 0453e289dab56e690be6d057b33e0c48
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.geocities.jp/littlimi/misaki.htm
Tag: culture::japanese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-misaki/ttf-misaki_11-20080603-13_all.deb

Package: ttf-mona
Source: xfonts-mona
Version: 2.90-7
Installed-Size: 26
Maintainer: Nobuhiro Iwamatsu 
Architecture: all
Depends: fonts-mona
Size: 2640
SHA256: 78f4b93abe71a4f5b4e7c66fa3bb12c73f7aeab8669565c44d617b3629932780
SHA1: c6f7a2daa317ddba353df78a25cb9c1f3f745c9f
MD5sum: 1199b63ce1598472a177bd98c806429f
Description: Transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Tag: culture::japanese, made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-mona/ttf-mona_2.90-7_all.deb

Package: ttf-monapo
Source: fonts-monapo
Version: 20090423-8
Installed-Size: 24
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-monapo
Pre-Depends: dpkg (>= 1.15.6~)
Size: 9016
SHA256: eda679871c06a61ae29174f48fe2cb77dc7b404a63cb7a3a68b2ac69e2abddb8
SHA1: 9bbfae9736e722e021611d7271b4cf10ad5671c7
MD5sum: 0a870b73edf731a800ad57916a568a44
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.geocities.jp/ep3797/modified_fonts_01.html
Tag: culture::japanese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-monapo/ttf-monapo_20090423-8_all.deb

Package: ttf-motoya-l-cedar
Source: fonts-motoya-l-cedar
Version: 1.00-6
Installed-Size: 2
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-motoya-l-cedar
Pre-Depends: dpkg (>= 1.15.6~)
Size: 2196
SHA256: 4f954ab76a0d1775bcb988554e4329bd09ab6f757930824842c20f9817987952
SHA1: 3722c94e977cfdac280b07fe1eca4404b6b6ef59
MD5sum: 5fcf157e7a4e2546f7233b0f2a006549
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.motoya.co.jp/
Tag: culture::japanese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-motoya-l-cedar/ttf-motoya-l-cedar_1.00-6_all.deb

Package: ttf-motoya-l-maruberi
Source: fonts-motoya-l-maruberi
Version: 1.00-5
Installed-Size: 2
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-motoya-l-maruberi
Pre-Depends: dpkg (>= 1.15.6~)
Size: 2030
SHA256: e2781e8c002f03fc5ee34d1ae4aed95f28f428c148cfcc463b0e83bed1c16c55
SHA1: 2e4cb3b92458da8d16f9f34bc82177093a466650
MD5sum: 859074e56e91dcb9424f683e98bc2b87
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.motoya.co.jp/
Tag: culture::japanese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-motoya-l-maruberi/ttf-motoya-l-maruberi_1.00-5_all.deb

Package: ttf-mph-2b-damase
Source: fonts-mph-2b-damase
Version: 001.000.dfsg.2+ds1-4
Installed-Size: 26
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-mph-2b-damase
Size: 2606
SHA256: 0e9a0560d975fdac855469a15a0d276b94a1df4f6a995e6d33a88924c0203a15
SHA1: 71cb255c7030e31229fcbdba8a25de8ba16ed2ea
MD5sum: 405b796168bea4dd4ac01aa3145db643
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://web.archive.org/web/fixedsys.org/~node_ue/fonts/
Tag: role::dummy
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-mph-2b-damase/ttf-mph-2b-damase_001.000.dfsg.2+ds1-4_all.deb

Package: ttf-mplus
Source: fonts-mplus
Version: 049-1
Installed-Size: 26
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-mplus
Size: 2682
SHA256: f6285f7055d7df77e17184b45ed8724f5f200038270cd975ac00e9eedaeb6d75
SHA1: cde11902a2fa4393614be2b13059ed40b9786a97
MD5sum: 405a9a41e1ae6bc905f3b28877df57fc
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://mplus-fonts.sourceforge.jp/
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-mplus/ttf-mplus_049-1_all.deb

Package: ttf-nafees
Source: fonts-nafees
Version: 1.2-4
Installed-Size: 27
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-nafees
Size: 2530
SHA256: 430a6210271c424f398e22cfe417ec9a81638ce12d33a930020acb754f8e4e7b
SHA1: 1b9f9dea29e574fcc8576c07dbafaae866ebe391
MD5sum: 41e304581219958a7ccc16ae756d72f7
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Tag: made-of::font, role::data, role::dummy, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-nafees/ttf-nafees_1.2-4_all.deb

Package: ttf-nanum
Source: fonts-nanum
Version: 3.020-1
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-nanum
Size: 3606
SHA256: 78dacfacf257c18267ebff62e0c29b507bfe70f6c5a113487958168b9bf457eb
SHA1: 6d0c11537a8978fa429207e4309b9b5177bb632c
MD5sum: d70c6ff425cfb393207c8d435eed13cd
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://hangeul.naver.com/nanum.nhn
Tag: culture::korean, made-of::font, role::app-data, role::data, role::dummy,
 x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-nanum/ttf-nanum_3.020-1_all.deb

Package: ttf-nanum-coding
Source: fonts-nanum-coding
Version: 2.0-4
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-nanum-coding
Size: 4044
SHA256: 245552c03301b70428ce3a9ac44979f1bb1d13f062ffb471576f83357e0437dd
SHA1: 529878262feb3b372b9b12b6bf37bbc90fd34df7
MD5sum: 1a39b8d3d569bce4dbf6a25338b52b78
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://dev.naver.com/projects/nanumfonts
Tag: culture::korean, made-of::font, role::app-data, role::data, role::dummy,
 x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-nanum-coding/ttf-nanum-coding_2.0-4_all.deb

Package: ttf-nanum-extra
Source: fonts-nanum
Version: 3.020-1
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-nanum-extra
Size: 3612
SHA256: d6bc682fcf7d3ae6dbd56b86f58a51dee003fcfe9f7901482ed31c26f0cf9856
SHA1: c0a4ac2b4028a029db0bc9b37b5151743e9f2a57
MD5sum: 94ae14d197d7522018983c5e9e75b59b
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://hangeul.naver.com/nanum.nhn
Tag: culture::korean, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-nanum/ttf-nanum-extra_3.020-1_all.deb

Package: ttf-ocr-a
Source: fonts-ocr-a
Version: 1.0-4
Installed-Size: 26
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-ocr-a
Size: 2086
SHA256: c165d93a9101cae31f64379d019acce7254608d4f8ccc4766d18af1e9cca307e
SHA1: f1dd5a7b6d2198dc2e6fa1b5f1a0ca7b65a172c9
MD5sum: ec07ac4747cc0ea2a7305a0b0f552fa9
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://sourceforge.net/projects/ocr-a-font
Tag: accessibility::ocr, made-of::font, role::data, role::dummy, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-ocr-a/ttf-ocr-a_1.0-4_all.deb

Package: ttf-oflb-asana-math
Source: fonts-oflb-asana-math
Version: 000.907-4
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-oflb-asana-math
Size: 4020
SHA256: 290530f79cdfbf17d5d4b86423883b8f278eb79632c9a1280a62dae0c01c3ee4
SHA1: c790a683a14505f05099c3be4f9f72f822d341ba
MD5sum: b9ecd12ae856895abe2d31735eae1b25
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.openfontlibrary.org
Tag: made-of::font, role::data, role::dummy
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-oflb-asana-math/ttf-oflb-asana-math_000.907-4_all.deb

Package: ttf-oflb-euterpe
Source: fonts-oflb-euterpe
Version: 1.1-4
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-oflb-euterpe
Size: 4316
SHA256: 86e6a4374afd505211f3cfda0a6f094523e5c62fab4522dde7678a0f1b9b9adc
SHA1: 57be898c112902d4ae17f47b99214931a7cf36a7
MD5sum: 0d2a7be72d345dcfb9376eed0236a24f
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://openfontlibrary.org/media/files/Eimai/191
Tag: made-of::font, role::data, role::dummy, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-oflb-euterpe/ttf-oflb-euterpe_1.1-4_all.deb

Package: ttf-okolaks
Source: fonts-okolaks
Version: 0.5-5
Installed-Size: 26
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-okolaks
Size: 2614
SHA256: 688788dd6040dab1ba8328a10c807e17dadb5565afb1443d10fed30eabd0c151
SHA1: ff64e46f9cb3d8e7755f90804a26702ea5bb25b7
MD5sum: 7d15ae12fa2a4e58adf006759174da1a
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://openfontlibrary.org/media/files/gluk/241
Tag: made-of::font, role::data, role::dummy, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-okolaks/ttf-okolaks_0.5-5_all.deb

Package: ttf-oldstandard
Source: fonts-oldstandard
Version: 2.2really-2
Installed-Size: 44
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-oldstandard
Size: 10104
SHA256: 42d1f2f51903741e4bb602f7b1bfdeaf648c954ab59ec7c0d7087ee94642b9e3
SHA1: c609e28f6e0483390104b46bce8dde86d81062a0
MD5sum: f12ec312106c11a9b8d0eb22518c1938
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.thessalonica.org.ru/en/fonts.html
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-oldstandard/ttf-oldstandard_2.2really-2_all.deb

Package: ttf-opendin
Source: fonts-opendin
Version: 0.1-3
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-opendin
Size: 3880
SHA256: 8837733314fb815fb75d308c34e1de12ce1ced587e28fe16dd85e40f80e996c8
SHA1: f06ba8583f2965440cb0a5c4f12e552d3ff65b33
MD5sum: ded62b621db6a211199f5b02cbc8b882
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.kde-look.org/content/show.php/Open+Din+Schriften+Engschrift?content=107153
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-opendin/ttf-opendin_0.1-3_all.deb

Package: ttf-opensymbol
Version: 2:102.2+LibO3.5.4+dfsg-1+rpi1
Architecture: all
Maintainer: Peter Michael Green 
Installed-Size: 25
Depends: fonts-opensymbol
Priority: extra
Section: oldlibs
Filename: pool/main/t/ttf-opensymbol/ttf-opensymbol_102.2+LibO3.5.4+dfsg-1+rpi1_all.deb
Size: 1186
SHA256: 0e01eb50f6c0d2e05abf7d5d962c6684f641c35af3ba6a9e8142c6c5d9f95d74
SHA1: ba45c31895429e297e87fb2294a9105c4cd86860
MD5sum: ad7abf06b5f70cb0abdad1ea198e9168
Description: transitional package for fonts-opensymbol
 This package is a transitiional package for font-opensymbol.
 It can be removed when fonts-opensymbol is installed.

Package: ttf-oriya-fonts
Source: fonts-orya
Version: 2:1.1
Installed-Size: 26
Maintainer: Debian-IN Team 
Architecture: all
Depends: fonts-orya
Size: 2080
SHA256: fa0d48168adf313e8654f568a7c8691043b84d53cc60156fea9435b91d8880ad
SHA1: 9894672b507ce9e1b8c9bbb938cb9ceadc18548e
MD5sum: 8496b624735cf74092d4eae73176591b
Description: transitional dummy package
 This is a transitional dummy package and can be removed
 safely later.
Homepage: http://alioth.debian.org/projects/debian-in
Tag: made-of::font, role::app-data, role::data
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-orya/ttf-oriya-fonts_1.1_all.deb

Package: ttf-paktype
Source: fonts-paktype
Version: 0.0svn20121225-1
Installed-Size: 26
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-paktype
Size: 2356
SHA256: 8fed58618bd63713bbd211a644e8770fb81e77ea72c77d487461b6061312a5a8
SHA1: fd60026cfba911dfc0267f93deea57763ec50d71
MD5sum: 35ec7fabf8abd86a59b710102563b778
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Tag: made-of::font, role::app-data, role::data
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-paktype/ttf-paktype_0.0svn20121225-1_all.deb

Package: ttf-prociono
Source: fonts-prociono
Version: 2.3-2
Installed-Size: 27
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-prociono
Size: 2702
SHA256: 4e7cd43ccf858c03c5105a9b25787f3bc659ddde2e47edb7fc91a61227739880
SHA1: a49bad9e92943fb6463442e7f322c431f7e74c9a
MD5sum: 03492c99a7076206680d2dd222940513
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://crudfactory.com/font/show/prociono
Tag: made-of::font, role::data, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-prociono/ttf-prociono_2.3-2_all.deb

Package: ttf-punjabi-fonts
Source: fonts-guru
Version: 2:1.1
Installed-Size: 26
Maintainer: Debian-IN Team 
Architecture: all
Depends: fonts-guru
Size: 2092
SHA256: 8aa3be1e3ca2388b2709b2afa5c9acdc86d8f557fdfd26ee78fe841bb5540b51
SHA1: 02d32cae0d3637bfbe05aa7df4682aa32c170f52
MD5sum: 949e07cb98e6a9153b88861aedebd1ee
Description: Transitional dummy package
 This is a transitional dummy package helpful in upgrade
 and can be removed safely later.
Homepage: http://alioth.debian.org/projects/debian-in
Tag: culture::punjabi, made-of::font, role::app-data, role::data
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-guru/ttf-punjabi-fonts_1.1_all.deb

Package: ttf-radisnoir
Version: 0.9b-2
Installed-Size: 112
Maintainer: Gürkan Sengün 
Architecture: all
Size: 32372
SHA256: e1128d3f7e42fbf0ca53eb2326df5bde426ee29ae7031edc4556562a4d27f440
SHA1: b78593647a6754a99fa41cf57195b2a38f956e19
MD5sum: ffd3158efca812190deef4780cacee0e
Description: Font inspired by Universal de Bayer and Bauhaus
 This is a sans serif font based on a regular circle and
 inspired by the Bauhaus movement and especially the
 typography of Herbert Bayer.
Homepage: http://www.radisnoir.net/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-radisnoir/ttf-radisnoir_0.9b-2_all.deb

Package: ttf-rufscript
Source: fonts-rufscript
Version: 010-3
Installed-Size: 27
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-rufscript
Size: 2744
SHA256: a82f43eb1c7673785be7b034c19c5133b1b3e777bb9d8d2627f283458abdc9e7
SHA1: 5012413186e9c92c888e67274de7889b3235c5f3
MD5sum: cee8a40b6ccd36b924766f70189ff0fe
Description: handwriting-based font for Latin characters (transitional dummy package)
 Rufscript is a handwriting-based Unicode font containing
 basic Latin characters and is created using only FOSS tools
 (Fontforge - Inkscape - GIMP).
 .
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://hiran.in/blog/rufscript-font
Tag: made-of::font, role::app-data, role::data
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-rufscript/ttf-rufscript_010-3_all.deb

Package: ttf-sawarabi-gothic
Source: fonts-sawarabi-gothic
Version: 20120615-1
Installed-Size: 23
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sawarabi-gothic
Pre-Depends: dpkg (>= 1.15.6~)
Size: 9862
SHA256: 12d0c99e3076f4734f68c85fc5d0916e5db9d80a8bc700b3f42e8abc0cb8c9bc
SHA1: 194880418f13abac7893ce704830fa102c8ea94f
MD5sum: 10e6b47a088a67835cae650d8f59beb4
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://sourceforge.jp/projects/sawarabi-fonts
Tag: culture::japanese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-sawarabi-gothic/ttf-sawarabi-gothic_20120615-1_all.deb

Package: ttf-sawarabi-mincho
Source: fonts-sawarabi-mincho
Version: 20110220-5
Installed-Size: 21
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sawarabi-mincho
Pre-Depends: dpkg (>= 1.15.6~)
Size: 8194
SHA256: b5bd9944dd0135b6d2cc225b9304c9a7a3d8945bf431275c6c04d62efbee824f
SHA1: cf0748fa767d7c9b715eed9aff5aa8b6f3e57652
MD5sum: 2ce0d821145db9a79c70c06222a7df48
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://sourceforge.jp/projects/sawarabi-fonts
Tag: culture::japanese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-sawarabi-mincho/ttf-sawarabi-mincho_20110220-5_all.deb

Package: ttf-sazanami-gothic
Source: ttf-sazanami
Version: 20040629-15
Installed-Size: 7575
Maintainer: GOTO Masanori 
Architecture: all
Pre-Depends: dpkg (>= 1.15.6~)
Size: 2887510
SHA256: 462a97acc899be10a6f9dacd6bd3853fd5cfbeec845be5d756a79573336564d5
SHA1: be053d3a5b87b4701736f605bade1eb82c83e741
MD5sum: b4a07f30bcc56b6aa61e10559c84125b
Description: Sazanami Gothic Japanese TrueType font (legacy)
 ttf-sazanami-gothic is Japanese free Gothic TrueType font to alternate
 with the ttf-kochi alternative font family.
 .
 Both this package and its alternative kochi font are legacy and
 deprecated.  You are recommended to transition to other modern
 font packages such as "fonts-vlgothic" or "fonts-ipafont-gothic".
Homepage: http://sourceforge.jp/projects/efont/
Tag: culture::japanese, made-of::font, role::data
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-sazanami/ttf-sazanami-gothic_20040629-15_all.deb

Package: ttf-sazanami-mincho
Source: ttf-sazanami
Version: 20040629-15
Installed-Size: 10371
Maintainer: GOTO Masanori 
Architecture: all
Pre-Depends: dpkg (>= 1.15.6~)
Size: 3756090
SHA256: fcc57baa1a132d1e6afdaa0719b10b49125391a49a3f0e996aefef6440683842
SHA1: 5dfee63575f2a21a09f308aa1da1e45fafced57b
MD5sum: 946b06102900f0cc577cdb43e507bff5
Description: Sazanami Mincho Japanese TrueType font (legacy)
 ttf-sazanami-mincho is Japanese free Mincho TrueType font to alternate
 with the ttf-kochi alternative font family.
 .
 Both this package and its alternative kochi font are legacy and
 deprecated.  You are recommended to transition to other modern
 font packages such as "fonts-ipafont-mincho".
Homepage: http://sourceforge.jp/projects/efont/
Tag: culture::japanese, made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-sazanami/ttf-sazanami-mincho_20040629-15_all.deb

Package: ttf-sil-abyssinica
Source: fonts-sil-abyssinica
Version: 1.200-3
Installed-Size: 34
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sil-abyssinica
Size: 7938
SHA256: 3b453d4bcea01bfe9bc6b4602ad983a1df0f43dd3972e5c736fbda57bf914216
SHA1: 1e77b5b790c12faf1c3dd3aa952305c0f736bbc1
MD5sum: 2abd63d291cbd2b4690e105560b5b42d
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://scripts.sil.org/AbyssinicaSIL
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-sil-abyssinica/ttf-sil-abyssinica_1.200-3_all.deb

Package: ttf-sil-andika
Source: fonts-sil-andika
Version: 1.002-2
Installed-Size: 35
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sil-andika
Size: 4818
SHA256: 2099d1333a9c49ed10bde246b322eaa257ca9935e446c44bd7f2ccaa59a4ab88
SHA1: 3581ce760e75e34a9a140dcca267b004dbdb1812
MD5sum: 5633f829b49ac06f791b7512195f7d10
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://scripts.sil.org/Andika
Tag: made-of::font, role::app-data, role::data, role::dummy
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-sil-andika/ttf-sil-andika_1.002-2_all.deb

Package: ttf-sil-charis
Source: fonts-sil-charis
Version: 4.106-5
Installed-Size: 36
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sil-charis
Size: 10074
SHA256: 26f4dab453ea08ad5cdcad4d1a40b907a4b5c757ff04e16a8b452e419d859554
SHA1: 732bea7214076388260a691244a32a825214b8d2
MD5sum: dc286d0633837956c4d3890f49be4ccd
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://scripts.sil.org/CharisSILfont
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-sil-charis/ttf-sil-charis_4.106-5_all.deb

Package: ttf-sil-dai-banna
Source: fonts-sil-dai-banna
Version: 2.1-5
Installed-Size: 32
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sil-dai-banna
Size: 6106
SHA256: b9f2c379c7982525c1fec6e767872a6a16d55f577bfbd90af3ea9b67d2f32666
SHA1: e0b83417ce647ec5dc9e55416710e8e1bb3fe481
MD5sum: d84b87ebb93467e61632df53c1a04072
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://scripts.sil.org/DaiBannaSIL
Tag: culture::chinese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-sil-dai-banna/ttf-sil-dai-banna_2.1-5_all.deb

Package: ttf-sil-doulos
Source: fonts-sil-doulos
Version: 4.106-4
Installed-Size: 35
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sil-doulos
Size: 9000
SHA256: 4f20369a32e9002fd4e3bedafe81745fc928d871c8c6becc8b465911dc01dfb7
SHA1: d5ab2d0f1b6bdf1a1211097cb7208a498ddaa501
MD5sum: b03ce89ec9be9569a2386f1716f4842c
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://scripts.sil.org/DoulosSILfont
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-sil-doulos/ttf-sil-doulos_4.106-4_all.deb

Package: ttf-sil-ezra
Source: fonts-sil-ezra
Version: 2.51-7
Installed-Size: 33
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sil-ezra
Size: 6744
SHA256: e62d8809e49b0d68cc0a667f550a307b7e0c6fab2c6f24a3e94b18b7c26a5211
SHA1: d02c02ffc63b4bdb10b6bb225d3308853580c706
MD5sum: 0f0835f8d182e7bafa21ec0545374296
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://scripts.sil.org/EzraSIL_Home
Tag: culture::hebrew, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-sil-ezra/ttf-sil-ezra_2.51-7_all.deb

Package: ttf-sil-galatia
Source: fonts-sil-galatia
Version: 2.1-4
Installed-Size: 31
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sil-galatia
Size: 5714
SHA256: 268df858277a5328a8f35d9e34f4eb6cc766f6515c91305e43c80e471a3bc0f9
SHA1: 0d51a224e35ab61e46f92f72f28546d48bc02bbb
MD5sum: c8f4a546985382ce6d1f546dcf8850c1
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://scripts.sil.org/SILgrkuni
Tag: culture::greek, made-of::font, role::data, role::dummy, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-sil-galatia/ttf-sil-galatia_2.1-4_all.deb

Package: ttf-sil-gentium
Source: fonts-sil-gentium
Version: 20081126:1.02-12
Installed-Size: 35
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sil-gentium
Size: 9136
SHA256: 8f5cfd6a5ef4cd832da324e7f6258d3df8d8f5a15e09979bce7a1b8299d74925
SHA1: 6f6516e3275f5261cd253395d2149be8751b474e
MD5sum: b3f1ec71114564e76c8c05e67bc07203
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://scripts.sil.org/Gentium
Tag: made-of::font, role::data, role::dummy, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-sil-gentium/ttf-sil-gentium_1.02-12_all.deb

Package: ttf-sil-gentium-basic
Source: fonts-sil-gentium-basic
Version: 1.1-5
Installed-Size: 34
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sil-gentium-basic
Size: 8028
SHA256: 252ce4a191d5c508384b0c927d47821f835ef0a0d8e5aa0b45a88e0c78eb8d81
SHA1: 88ae98ed0c47bffeb4e20edf3bbc40536637b800
MD5sum: 4590df3a64fa019de5dbdcce71ae1a88
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://scripts.sil.org/Gentium_basic
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-sil-gentium-basic/ttf-sil-gentium-basic_1.1-5_all.deb

Package: ttf-sil-nuosusil
Source: fonts-sil-nuosusil
Version: 2.1.1-7
Installed-Size: 32
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sil-nuosusil
Size: 6138
SHA256: 11ed5a1cf830010b0b297b701db7a51a251996efb2958d9b6746582b8721322a
SHA1: 7ee932f391100a118779690b82cc54451e9a9157
MD5sum: b2d77355cfd1886bbfa73443e8033ff7
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://scripts.sil.org/SILYi_Home
Tag: culture::chinese, made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-sil-nuosusil/ttf-sil-nuosusil_2.1.1-7_all.deb

Package: ttf-sil-padauk
Source: fonts-sil-padauk
Version: 2.61-4
Installed-Size: 36
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sil-padauk
Size: 4662
SHA256: 75cd8f4758067515577c87cfc3924a184133422acf3aa8ddce1636903f278f02
SHA1: 4c3b51a71d1001206aea8a3709feab543555ab2d
MD5sum: 3a6d04728d8d5aaa5e57410eb1990560
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://scripts.sil.org/Padauk
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-sil-padauk/ttf-sil-padauk_2.61-4_all.deb

Package: ttf-sil-scheherazade
Source: fonts-sil-scheherazade
Version: 1.001-8
Installed-Size: 32
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sil-scheherazade
Size: 5834
SHA256: ee4f3669ec508e25028ee726c092502ce293d043d8ecc31e49004d3ce9bfc6fa
SHA1: 99788221aaac6761865d6e1f71c10924f35b3b78
MD5sum: d90cc6175d5dc436cdbf6c74f4cce0e5
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://scripts.sil.org/ArabicFonts
Tag: culture::arabic, made-of::font, role::data
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-sil-scheherazade/ttf-sil-scheherazade_1.001-8_all.deb

Package: ttf-sil-sophia-nubian
Source: fonts-sil-sophia-nubian
Version: 1.000-5
Installed-Size: 31
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sil-sophia-nubian
Size: 5278
SHA256: e66722d36fede38680f5837f7f29414afa2ca3ba7b8ac2e093601ba041a1630c
SHA1: c73f4786d3e26cc92ae524fb841b9885e4d39673
MD5sum: f67086e954a6a25e090fc00770bf181e
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://scripts.sil.org/SophiaNubian
Tag: made-of::font, role::data, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-sil-sophia-nubian/ttf-sil-sophia-nubian_1.000-5_all.deb

Package: ttf-sil-yi
Source: fonts-sil-nuosusil
Version: 2.1.1-7
Installed-Size: 32
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sil-nuosusil
Size: 6190
SHA256: bb913bce68791184e52447f8cc8a762df24300622fcb8114a27094e11e226b66
SHA1: ff4f2d6e1922958209068e24a4c996d0da75cd05
MD5sum: 5954fbff604e4fc4d3524940c485e2b8
Description: transitional dummy package
 This package is meant to help transitioning from the fonts-sil-yi to
 fonts-sil-nuosusil after the SIL Yi font was renamed.
 .
 It can be safely removed after fonts-sil-nuosusil package installation.
Homepage: http://scripts.sil.org/SILYi_Home
Tag: culture::chinese, made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-sil-nuosusil/ttf-sil-yi_2.1.1-7_all.deb

Package: ttf-sil-zaghawa-beria
Source: fonts-sil-zaghawa-beria
Version: 1.000-2
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-sil-zaghawa-beria
Size: 3700
SHA256: 235ff834260f3e35c657670b264207e57cd9394ae9e070755ec3312a17cec15c
SHA1: 8ca54f3996b6bb9ded5364396ea31df02a0f5e68
MD5sum: 756073a24895eeedf56a879174ff9a19
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://scripts.sil.org/ZaghawaBeria_Home
Tag: made-of::font, role::data, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-sil-zaghawa-beria/ttf-sil-zaghawa-beria_1.000-2_all.deb

Package: ttf-sinhala-lkmug
Source: fonts-lklug-sinhala
Version: 0.6-2
Installed-Size: 27
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-lklug-sinhala
Size: 3334
SHA256: a939ea77e2ab7e76a66e0a9ba1ad9189677031e0b5f2a7057ca0a69756b17492
SHA1: 579d42b63432c2632ae75fbd7639826c6e2b331c
MD5sum: df291d225b4dab73ec9cf63d654eaf25
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.lug.lk/fonts/lklug
Tag: made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-lklug-sinhala/ttf-sinhala-lkmug_0.6-2_all.deb

Package: ttf-sjfonts
Source: sjfonts
Version: 2.0.2-1.1
Installed-Size: 173
Maintainer: Daniel Schepler 
Architecture: all
Size: 90590
SHA256: 8348785ce8e0bf34b622d72c6bb2a7164a5a9efb34cc019b5682855627062ce0
SHA1: 96c9e82a288d557b334df97fcc45700520a08c13
MD5sum: dbe26168ec3ab6ca001e64e2efad76e4
Description: Some Juicy Fonts handwriting fonts
 This package contains two handwriting fonts created by Steve Jordi,
 Delphine and SteveHand, in TrueType format.
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/s/sjfonts/ttf-sjfonts_2.0.2-1.1_all.deb

Package: ttf-staypuft
Version: 0.04-6
Installed-Size: 108
Maintainer: Edgar Antonio Palma de la Cruz 
Architecture: all
Depends: fontconfig
Size: 24154
SHA256: 52029bda6028b064d9301b75da6f955c830375567e395172433bb5241eff6579
SHA1: 37fffba89b84aff396b5ed23fd77284dc3ab6b24
MD5sum: 98d826041d267bc948ca92c17861a2bf
Description: Stay-Puft free TrueType font
 Stay-Puft is a font developed freehand, with minimal splines. It's all
 rounded and a sort of marshmallowy, so the author thought on it as the
 Stay-Puft Marshmallow Font (see Ghostbusters if you don't get it).
 The outcome looks sort of like Comic Sans MS. It's kind of cute, and
 might be good for frivolous stuff such as birthday cards.
 .
 It covers only languages using latin1 (ISO-8859-1).
Homepage: http://www.thibault.org/fonts/staypuft/
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-staypuft/ttf-staypuft_0.04-6_all.deb

Package: ttf-summersby
Version: 1.007-3.1
Installed-Size: 178
Maintainer: Mauro Lizaur 
Architecture: all
Size: 80132
SHA256: df9df723e00a1d8616058f7b09bcc20bb78972ed9b8aff2c713086e4a6105357
SHA1: 2e46c7f2b360bfc8d1eddd1e0f6b66870b5fc64e
MD5sum: 158365f764d2086510f8868f08499c2f
Description: Free TrueType typeface font
 Summersby is a free TrueType typeface (font) with partial Unicode support
 including most West European and Cyrillic languages.
 .
 The main goal is on-screen legibility and support for certain languages.
Tag: made-of::font, role::app-data, role::data
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-summersby/ttf-summersby_1.007-3.1_all.deb

Package: ttf-tagbanwa
Version: 1.004
Installed-Size: 72
Maintainer: Samuel Thibault 
Architecture: all
Size: 12588
SHA256: 3b1218e38bac1546155592908735881614b2c961704255051c33ca4911ceb273
SHA1: f34186d9cd6bffe4b8913164f1409cc2d1198478
MD5sum: e7a572bd0251ae524c89c3012d1bf2ad
Description: font for the Tagbanwa script
 A free Unicode TrueType font for the Tagbanwa script.
Homepage: http://youpibouh.thefreecat.org/download/tagbanwa.htm
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: extra
Filename: pool/main/t/ttf-tagbanwa/ttf-tagbanwa_1.004_all.deb

Package: ttf-takao
Source: fonts-takao
Version: 003.02.01-7.1
Installed-Size: 25
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-takao
Pre-Depends: dpkg (>= 1.15.6~)
Size: 9834
SHA256: bf71c5757f444ac35c21cc9c34641d22a202da907aae66cc11b1396fa4f91c7c
SHA1: 9ce2a0ceee197b17a1e200c041bf5ea185659088
MD5sum: 46b322ca0f5838e38e64fc3167793323
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: https://launchpad.net/takao-fonts
Tag: culture::japanese, made-of::font, role::data, role::metapackage,
 x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-takao/ttf-takao_003.02.01-7.1_all.deb

Package: ttf-takao-gothic
Source: fonts-takao
Version: 003.02.01-7.1
Installed-Size: 25
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-takao-gothic
Pre-Depends: dpkg (>= 1.15.6~)
Size: 10064
SHA256: 3774b642b9efdb7be6d0be097ad6e198243b7b56ba5d95b7e1dbcbbc53b9df83
SHA1: 90d1a24f71ad33f4691f6846f9aa9c7fa84aa60f
MD5sum: 9c725bc7854de0581cbb4549afd1e440
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: https://launchpad.net/takao-fonts
Tag: culture::japanese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-takao/ttf-takao-gothic_003.02.01-7.1_all.deb

Package: ttf-takao-mincho
Source: fonts-takao
Version: 003.02.01-7.1
Installed-Size: 25
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-takao-mincho
Pre-Depends: dpkg (>= 1.15.6~)
Size: 10062
SHA256: 98a7dd914ba3bfc46d1510497e3c6eea3ed4d9c135e69b81c0e9d83823cb5d37
SHA1: a67f48121f6d31d683f96cc2dd90e5bd2279b02d
MD5sum: b750733d449fde8533a15b6662e7fb74
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: https://launchpad.net/takao-fonts
Tag: culture::japanese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-takao/ttf-takao-mincho_003.02.01-7.1_all.deb

Package: ttf-tamil-fonts
Source: fonts-taml
Version: 2:1.2
Installed-Size: 26
Maintainer: Debian-IN Team 
Architecture: all
Depends: fonts-taml
Size: 2162
SHA256: 55752d280159e0160105f3c15a832c56fc5d2ff8c79bc10a0e152eca98096e7b
SHA1: b6e7924473ad9a99fe641151e1dea69f32d4824b
MD5sum: 72f3754832ca624d550cf83b1f326f36
Description: Transitional dummy package
 This is a transitional dummy package which helps in upgrade
 and can be removed safely later.
Homepage: http://alioth.debian.org/projects/debian-in
Tag: culture::tamil, made-of::font, role::app-data, role::data
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-taml/ttf-tamil-fonts_1.2_all.deb

Package: ttf-telugu-fonts
Source: fonts-telu
Version: 2:1.1
Installed-Size: 26
Maintainer: Debian-IN Team 
Architecture: all
Depends: fonts-telu
Size: 2052
SHA256: 6861c460f439ee56ce6a8f384e9da4190f680bb4df10b3b8e3cd496d3daf5e4a
SHA1: cbc43d6aa226562aca553746b476c0d5e5341acf
MD5sum: ed9d5aeea589ba187622f46e87da4518
Description: transitional dummy package
 This is a transitional dummy package and can be safely
 removed later.
Homepage: http://alioth.debian.org/projects/debian-in
Tag: made-of::font, role::app-data, role::data
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-telu/ttf-telugu-fonts_1.1_all.deb

Package: ttf-thai-arundina
Source: fonts-sipa-arundina
Version: 0.2.0-5
Installed-Size: 36
Maintainer: Theppitak Karoonboonyanan 
Architecture: all
Depends: fonts-sipa-arundina
Size: 9632
SHA256: c8602fdfce64a65b4dc56f00ad36b982e0debd7b02cea335b7b2b4b201c84507
SHA1: f070e76448baeeb641f8012e75c8259ffa07502c
MD5sum: 24ff6487c013d5820ba256b825bb9c93
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://linux.thai.net/projects/fonts-sipa-arundina
Tag: culture::thai, made-of::font, role::data, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-sipa-arundina/ttf-thai-arundina_0.2.0-5_all.deb

Package: ttf-thai-tlwg
Source: fonts-tlwg
Version: 1:0.5.0-5
Installed-Size: 63
Maintainer: Theppitak Karoonboonyanan 
Architecture: all
Depends: fonts-thai-tlwg
Size: 36026
SHA256: 4c82cec25ed93b2d24268893ceff6432f68287dbb384fe58dc650812c082d208
SHA1: 3292fa0f7f15532adfb935ad93e8e3c88389f011
MD5sum: 14cb59baaf283dab2d71f48045a0b0e5
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://linux.thai.net/projects/fonts-tlwg
Tag: culture::thai, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-tlwg/ttf-thai-tlwg_0.5.0-5_all.deb

Package: ttf-tiresias
Version: 0.1-2
Installed-Size: 1148
Maintainer: Gürkan Sengün 
Architecture: all
Size: 580302
SHA256: e91eaa79f506f754f15f4920dea05f7bcbacb09fb7136331e229bf1a63989485
SHA1: 3b37a38deb94fc97b4a80ada35ad7a33073746f7
MD5sum: e499c6c2c8ab0cb1d162b1e909b07b30
Description: Fonts for the visually impaired
 This is a family of realist sans-serif typefaces that were designed for best
 legibility by people with impaired vision at the Scientific Research Unit of
 Royal National Institute of the Blind in London.
Homepage: http://www.tiresias.org/fonts/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-tiresias/ttf-tiresias_0.1-2_all.deb

Package: ttf-tmuni
Source: fonts-tibetan-machine
Version: 1.901b-4
Installed-Size: 27
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-tibetan-machine
Size: 2930
SHA256: b6bbdece295be209e43e1eba8bcb846016594b45d81f377984a37f4f86857a4a
SHA1: d451f4bb2840c5ef6cb9bc9962131d1416ceff0f
MD5sum: 9b0991dcb47f3f0002c78f44173e2ee8
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Tag: made-of::font, role::app-data, role::data
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-tibetan-machine/ttf-tmuni_1.901b-4_all.deb

Package: ttf-tomsontalks
Source: fonts-tomsontalks
Version: 1.1-3
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-tomsontalks
Size: 4148
SHA256: 0fe0bed43130ca2f7a2df1051633b4d5d6b0148ba7b65013dd9550f688680a5c
SHA1: 9174174b7af95d42b37f94082c7dfb224a3f841e
MD5sum: b4e7a40ed9dd1b243b1efee723497ca7
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://frabru.de/c.php/resource/font/TomsonTalks/
Tag: made-of::font, role::data, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-tomsontalks/ttf-tomsontalks_1.1-3_all.deb

Package: ttf-tuffy
Source: fonts-tuffy
Version: 20120614-1
Installed-Size: 28
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-tuffy
Size: 3870
SHA256: 2ee0d7f4c8ca2fa87413dd13f98feb7fe32fd26fa0b53385c20d152c422b1613
SHA1: 98080d64b35f573f0c27d2e53fb0e263462a275a
MD5sum: 8ac822f8197d3065e6a67a17d1bb6b56
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://tulrich.com/fonts/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/f/fonts-tuffy/ttf-tuffy_20120614-1_all.deb

Package: ttf-ubuntu-title
Source: fonts-ubuntu-title
Version: 1:0.3-1
Installed-Size: 31
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-ubuntu-title
Size: 4566
SHA256: 3c02f36ffecf7c30c5b5e7a9fa4337342557b772e89cb493865f739f8fece0e9
SHA1: 77ffe1d8bee12b51c9ac212f55bcdc748afbb533
MD5sum: a9264760b69104ad0e7da5caae75560d
Description: font used to create the Ubuntu logo (2004‒2010) - transitional package
 This font was used to create the lettering of the Ubuntu logo, it was made
 available by Canonical under the OFL 1.1 and the GPL 2 with font exception to
 make rebranding of Ubuntu easier and to provide LoCos (Language communities)
 with a font to create material related to Ubuntu in their own language.
 .
 It is no longer used in the Ubuntu logo. It was in use between 2004 and 2010.
Homepage: https://launchpad.net/ubuntutitle/
Tag: made-of::font, role::data, use::typesetting, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-ubuntu-title/ttf-ubuntu-title_0.3-1_all.deb

Package: ttf-umefont
Source: fonts-horai-umefont
Version: 440-3
Installed-Size: 6
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-horai-umefont
Pre-Depends: dpkg (>= 1.15.6~)
Size: 4502
SHA256: d2f6f6899d82c9f2bb05884295d6bee2503f371645600e0dddc8eccb4cad373b
SHA1: 49d8f4aa6724d27cdc0ae65bc9c5b98b6a0578b7
MD5sum: 04070890c41d1fc50d81d1c044e440a4
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://sourceforge.jp/projects/ume-font/wiki/FrontPage
Tag: culture::japanese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-horai-umefont/ttf-umefont_440-3_all.deb

Package: ttf-umeplus
Source: fonts-umeplus
Version: 20120403-3
Installed-Size: 6
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-umeplus
Pre-Depends: dpkg (>= 1.15.6~)
Size: 5278
SHA256: 4b137e534db92dd0df36d25bd21241342327ced24a0160db152229ccb4c81e82
SHA1: f5af2c7f13599226ed686d79908ba624bcd48947
MD5sum: 764307ff624fe62ee46d857430888f19
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.geocities.jp/ep3797/modified_fonts_01.html
Tag: culture::japanese, made-of::font, role::data, role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-umeplus/ttf-umeplus_20120403-3_all.deb

Package: ttf-unfonts-core
Source: fonts-unfonts-core
Version: 1.0.2-080608-6
Installed-Size: 3
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-unfonts-core
Size: 3076
SHA256: 693130762567173b30512c2bffa297ccf13fec1003fb231f388ba36ef6eb967a
SHA1: 495e8ae1ceac737ec93e782e51cf1ce7558692f6
MD5sum: 485a207f7fd1751a5b2ed7d5b805f60f
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://kldp.net/projects/unfonts
Tag: culture::korean, made-of::font, role::app-data, role::data, role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-unfonts-core/ttf-unfonts-core_1.0.2-080608-6_all.deb

Package: ttf-unfonts-extra
Source: fonts-unfonts-extra
Version: 1.0.2-080608-5
Installed-Size: 3
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-unfonts-extra
Size: 3024
SHA256: c259bcefe877546a43c3550323c9341a2134e07981e14fafad0861c3e809c2af
SHA1: 7de45838f049f6f2ff5c70586fecf84eb4ac40f7
MD5sum: 39846206bff4290efa2fb9f553892fa0
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://kldp.net/projects/unfonts
Tag: culture::korean, made-of::font, role::app-data, role::data, role::dummy
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-unfonts-extra/ttf-unfonts-extra_1.0.2-080608-5_all.deb

Package: ttf-unifont
Source: unifont
Version: 1:5.1.20080914-1.3
Installed-Size: 13156
Maintainer: Paul Hardy 
Architecture: all
Size: 3004696
SHA256: ed3e805e7eaa863d891a75771e5d1c9950f3b248b70f4c88cfa36a1974cf8a65
SHA1: 56bc467a09abc5ebc23d66e9642ba4e7dd117070
MD5sum: 9f81bfa431593788deab038b80e038f5
Description: TrueType version of the GNU Unifont
 This is a bitmap font converted into a scalable TrueType outline
 font.  Each pixel in the original bitmap font is represented as
 an outlined square.  The font provides a glyph for each visible
 code point (character) in the Unicode Basic Multilingual Plane
 (Plane 0).  Plane 0 contains most of the world's modern writing
 scripts.  This font looks best at 12pt.
 .
 Complex fonts (such as Indic or Semitic scripts, where letters
 change shape depending on their position in a word, or such as
 Mongolian, which is written vertically) will not render perfectly.
 The philosophy behind this font, though, is that anything meaningful
 is better than an empty box for a unknown glyph.
Homepage: http://unifoundry.com
Tag: culture::TODO, culture::chinese, culture::greek, culture::japanese,
 culture::korean, culture::russian, culture::taiwanese, made-of::font,
 role::app-data, use::typesetting, works-with::font, works-with::text,
 works-with::unicode, x11::font
Section: fonts
Priority: optional
Filename: pool/main/u/unifont/ttf-unifont_5.1.20080914-1.3_all.deb

Package: ttf-unikurdweb
Source: fonts-unikurdweb
Version: 1.0-4
Installed-Size: 27
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-unikurdweb
Size: 2740
SHA256: 461ce406cd9b0b50e8e6c6e0d21e7bebb816560f922a617a1cf87b760901b0c8
SHA1: 08aa1f1d34ffabd25cd82956a3c1e5f87d36e19a
MD5sum: 33bad4980264c01ed28ec382f1d0cc64
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://ferheng.org/en/?Fonts
Tag: made-of::font, role::data, x11::font
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-unikurdweb/ttf-unikurdweb_1.0-4_all.deb

Package: ttf-uralic
Source: fonts-uralic
Version: 0.0.20040829-4
Installed-Size: 27
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-uralic
Size: 2554
SHA256: 21542f5773f182c1c6797fff27940fd71b0ee659e7625eac57bf73af4cf068c4
SHA1: 355a3018f24a34af944718d265e91733b7684a7b
MD5sum: 80d7e8fa168d04e39bae80ea0140e93d
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Tag: made-of::font, role::data, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-uralic/ttf-uralic_0.0.20040829-4_all.deb

Package: ttf-vlgothic
Source: fonts-vlgothic
Version: 20120629-2
Installed-Size: 23
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-vlgothic
Pre-Depends: dpkg (>= 1.15.6~)
Size: 19824
SHA256: c866b38f51a6d92c94bb7ab500ab0fa2292952a75e48578c7342439bcd7d95d7
SHA1: aa21626d87c4f3e1efdc5679d52ecbc0fda69382
MD5sum: cb96abb5d4009966af5b5bf215685e3d
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://dicey.org/vlgothic/
Tag: culture::japanese, made-of::font, role::data, role::dummy, x11::font
Section: oldlibs
Priority: extra
Filename: pool/main/f/fonts-vlgothic/ttf-vlgothic_20120629-2_all.deb

Package: ttf-wqy-microhei
Version: 0.2.0-beta-1.1
Installed-Size: 5112
Maintainer: Zhengpeng Hou 
Architecture: all
Recommends: fontconfig (>= 2.3.1-1)
Size: 2372958
SHA256: 42f652c0d799e2c0e099b382acef4a5e0ff30f04396cb8b3af11068cb48773c4
SHA1: 9eaddc0bfd09759288bdf449f5ad870d36608dc3
MD5sum: 9ef51ade4b6a1181b2fb8183694253b7
Description: A droid derived Sans-Seri style CJK font
 WenQuanYi Micro Hei font family is a Sans-Serif style (also known as Hei,
 Gothic or Dotum among the Chinese/Japanese/Korean users) high quality
 CJK outline font. It was derived from "Droid Sans Fallback", "Droid
 Sans" and "Droid Sans Mono" released by Google Corp. This font package
 contains two faces, "Micro Hei" and "Micro Hei Mono", in form of a
 True-Type Collection (ttc) file. All the unified CJK Han glyphs, i.e.
 GBK Hanzi, in the range of U+4E00-U+9FC3 defined in Unicode Standard 5.1
 are covered, with additional support to many other international
 languages such as Latin, Extended Latin, Hanguls and Kanas. The font
 file is extremely compact (~5M) compared with most known CJK fonts.
 As a result, it can be used for hand-held devices or embedded systems, or
 used on PC with a significantly small memory footprint. Because both
 font faces carry hinting and kerning instructions for Latin glyphs,
 they are the excellent choices for desktop fonts.
Homepage: http://wqy.sourceforge.net/
Tag: made-of::font, role::data, x11::font
Section: x11
Priority: optional
Filename: pool/main/t/ttf-wqy-microhei/ttf-wqy-microhei_0.2.0-beta-1.1_all.deb

Package: ttf-wqy-zenhei
Version: 0.9.45-4
Installed-Size: 16479
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fontconfig (>= 2.3.1-1)
Size: 9189248
SHA256: 1e2fe3bcfecd64351ca2268fdafc0e72a0d8b9af78e0f5775101f51d8242ee13
SHA1: 4d0cae9eea0024b0849bd00fb3c93edd825ce122
MD5sum: e41c47c18a807684d3c72b5b79c56ea3
Description: "WenQuanYi Zen Hei" A Hei-Ti Style (sans-serif) Chinese font
 WenQuanYi Zen Hei is a Hei-Ti style (sans-serif type) Chinese font.
 It was designed for general purpose text formatting and on-screen
 display of Chinese characters among many other languages. The embolden
 strokes of the font glyphs produces enhanced screen contrast, making
 it easier to read and recognize. The embedded bitmap glyphs further
 enhance on-screen performance, and can be enabled with the provided
 configuration files.
 .
 WenQuanYi Zen Hei provides a fairly complete coverage of Chinese
 Hanzi glyphs, including both simplified and traditional forms.
 The total glyph number in this font is over 35,000, including over
 21,000 Chinese Hanzi. This font provides full coverage of GBK (CP936)
 charset, CJK Unified Ideographs, as well as the code-points needed
 for zh_CN, zh_SG, zh_TW, zh_HK, zh_MO, ja (Japanese) and ko (Korean)
 locales for fontconfig.
 .
 Starting from version 0.8, this font package provides two font families,
 i.e. the proportional "WenQuanYi Zen Hei", and the monospaced typeface
 named "WenQuanYi Zen Hei Mono".
Homepage: http://wqy.sourceforge.net/
Tag: culture::chinese, made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/ttf-wqy-zenhei/ttf-wqy-zenhei_0.9.45-4_all.deb

Package: ttf-yanone-kaffeesatz
Source: fonts-yanone-kaffeesatz
Version: 0.20100525-4
Installed-Size: 30
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: fonts-yanone-kaffeesatz
Size: 3978
SHA256: b6c4d73043151d69e4cf398d2a24921da138b64a917d5d0658d3e38f01b6b6b1
SHA1: 3c2346ad7d28f3cd4b1f0ef6e1e67434d17ce0c2
MD5sum: a12b86f63c80175df88f9263dd8ccd7e
Description: transitional dummy package
 This package is a dummy transitional package. It can be safely removed.
Homepage: http://www.yanone.de/typedesign/kaffeesatz/
Tag: role::dummy
Section: oldlibs
Priority: optional
Filename: pool/main/f/fonts-yanone-kaffeesatz/ttf-yanone-kaffeesatz_0.20100525-4_all.deb

Package: ttf2ufm
Version: 3.4.4~r2-1
Architecture: armhf
Maintainer: Ondřej Surý 
Installed-Size: 1343
Depends: libc6 (>= 2.4), libfreetype6 (>= 2.2.1)
Homepage: http://code.google.com/p/ttf2ufm/
Priority: extra
Section: utils
Filename: pool/main/t/ttf2ufm/ttf2ufm_3.4.4~r2-1_armhf.deb
Size: 474034
SHA256: e2edd6be713c4c73927c7ff0231ff0e87b4af0e04e1da5202a34b061bcd72061
SHA1: 949376c7d0611ee36dfd50521b87137d187a4393
MD5sum: 3c73874e94c141313fde86677d3e1e72
Description: True Type to PostScript Type 1 Font Converter
 Ttf2ufm is a font converter from the True Type format (and some other
 formats supported by the FreeType library as well) to the Adobe Type1
 format.  Ttf2ufm is a modified version of Mark Heath's TTF 2 PT1
 converter.  It allows one to create AFM and/or UFM files.

Package: ttfautohint
Version: 0.9-1
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 284
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.3.9), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.3.0)
Homepage: http://www.freetype.org/ttfautohint/
Priority: optional
Section: fonts
Filename: pool/main/t/ttfautohint/ttfautohint_0.9-1_armhf.deb
Size: 130148
SHA256: ba9b2cbbe9673688d8add08851ed71de17ee35ad7b19886b42448b5ffb213a6e
SHA1: 88a934490522512435dcfee1625d92dfd515da0c
MD5sum: 0d54ea007bd09bd291dba82f820d7d53
Description: Automatic font hinter
 This project provides a library which takes a TrueType font as the input,
 removes its bytecode instructions (if any), and returns a new font where all
 glyphs are bytecode hinted using the information given by FreeType's
 autohinting module. The idea is to provide the excellent quality of the
 autohinter on platforms which don't use FreeType.
 .
 This includes a cli and a gui version of the tool.

Package: tth
Version: 4.03+ds-2
Architecture: armhf
Maintainer: Jerome Benoit 
Installed-Size: 922
Depends: libc6 (>= 2.13-28)
Recommends: tth-common
Suggests: ttm
Homepage: http://hutchinson.belmont.ma.us/tth
Priority: optional
Section: tex
Filename: pool/main/t/tth/tth_4.03+ds-2_armhf.deb
Size: 374872
SHA256: fe36168830bd17fcd538709e43d0b3970e7f3e3588ac177d11aa69f34c462421
SHA1: 11dd568db00d27e67f33f9e9a3c2aeb42bd40161
MD5sum: 0b6420651349e8256bb94c5c3c33e2b0
Description: TeX/LaTeX to HTML converter
 LaTeX is popular for specifying complex printed documents.
 TtH translates Plain TeX or LaTeX sources into HTML documents.
 It quickly produces web documents that are compact, editable
 and fast viewing. TtH translates most equations
 instead of converting them into images.  This HTML preserves much format
 when imported by MS Word.

Package: tth-common
Source: tth
Version: 4.03+ds-2
Architecture: armhf
Maintainer: Jerome Benoit 
Installed-Size: 134
Depends: texlive, ghostscript, netpbm, libc6 (>= 2.13-28)
Homepage: http://hutchinson.belmont.ma.us/tth
Priority: optional
Section: tex
Filename: pool/main/t/tth/tth-common_4.03+ds-2_armhf.deb
Size: 46124
SHA256: 277f5fe98d3089a324394d2de0bcea7fc716066172beb282cc59e4e8aeb43a2d
SHA1: 9d4d7980573069780d0ca39c8570977a28350b42
MD5sum: 36d8ca358b547fb5bff5cf82c087cddd
Description: auxiliary softwares for TtH and TtM
 TtH and TtM convert TeX or LaTeX sources into HTML and MathML documents
 respectively: complex equations and graphics require auxiliary softwares
 built upon [La]TeX friends, in particular upon tools to convert PostScript
 outputs into images.

Package: tthsum
Version: 1.1.0-1
Architecture: armhf
Maintainer: RISKO Gergely 
Installed-Size: 76
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0)
Priority: optional
Section: utils
Filename: pool/main/t/tthsum/tthsum_1.1.0-1_armhf.deb
Size: 26970
SHA256: 52aaaf956262a0168d9c70500df7c0e1295094af04e9d6a516537a390b86ebf7
SHA1: b3649da1f594617120217884d5a71b9f30dc1279
MD5sum: 0441d4718f08c817b1568c3d7b3feba7
Description: generates or checks TTH message digests
 tthsum generates or checks TTH checksums (root of the THEX hash
 tree).  The Merkle Hash Tree, invented by Ralph Merkle, is a hash
 construct that exhibits desirable properties for verifying the
 integrity of files and file subranges in an incremental or
 out-of-order fashion.  tthsum uses the Tiger hash algorithm for both
 the internal and the leaf nodes.
 .
 The specification of the THEX algorithm is at:
 http://www.open-content.net/specs/draft-jchapweske-thex-02.html
 .
 The specification of the Tiger hash algorithm is at:
 http://www.cs.technion.ac.il/~biham/Reports/Tiger/

Package: ttm
Source: tth
Version: 4.03+ds-2
Architecture: armhf
Maintainer: Jerome Benoit 
Installed-Size: 887
Depends: libc6 (>= 2.13-28)
Recommends: tth-common
Suggests: tth
Homepage: http://hutchinson.belmont.ma.us/ttm
Priority: optional
Section: tex
Filename: pool/main/t/tth/ttm_4.03+ds-2_armhf.deb
Size: 351156
SHA256: 0bf1b65cc90eaa9f8610357afa38614271cbdaf2917b4c0207c236fa376e2a3d
SHA1: ecda19e69ce58f1cdccba570205c9be938ff9dc7
MD5sum: b2f4dbfab6daea6d4104a2116ef905f2
Description: TeX/LaTeX to MathML converter
 LaTeX is popular for specifying complex printed documents.
 TtM translates Plain TeX or LaTeX sources into HTML documents
 with their mathematics in MathML. It quickly produces web documents
 that are compact, editable and fast viewing. TtM translates almost all
 equations instead of converting them into images. TtM is a sister to
 TtH in package tth which translates TeX/LaTeX mathematics to HTML.

Package: ttt
Version: 1.7-3.3
Architecture: armhf
Maintainer: Thomas Scheffczyk 
Installed-Size: 786
Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), libx11-6, tcl8.4 (>= 8.4.16), tk8.4 (>= 8.4.16), tttview (= 1.7-3.3)
Priority: optional
Section: net
Filename: pool/main/t/ttt/ttt_1.7-3.3_armhf.deb
Size: 388340
SHA256: 1d94143a489dff5ff65a7de7b5bdd7682791043210a9bb8432dfd89aa076b2c0
SHA1: 560bef87332330aeaad693b64d66e67869629c84
MD5sum: 8ba85e96e42c76024439ba45027ae508
Description: Standalone program for local traffic-monitoring
 ttt is the standalone traffic monitor program in the ttt
 program suite. ttt displays trafic-data of a local interface.

Package: tttprobe
Source: ttt
Version: 1.7-3.3
Architecture: armhf
Maintainer: Thomas Scheffczyk 
Installed-Size: 69
Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), libx11-6, tcl8.4 (>= 8.4.16), tk8.4 (>= 8.4.16)
Priority: optional
Section: net
Filename: pool/main/t/ttt/tttprobe_1.7-3.3_armhf.deb
Size: 23424
SHA256: 8ee813f4364f6f14f34bd5514fd848207c65405c45ea781940a51ed2968437d6
SHA1: 6a01f0bea0e0393f8c72f320603bf606fe21ef07
MD5sum: 07c2ea3f7a8b1efa73652e395bf5a0f9
Description: Probe to collect traffic-data and send it to a viewer
 tttprobe is the probe program in the ttt program suite. tttprobe
 collects local traffic-data and sends it over a network to an
 instance of tttview.

Package: tttview
Source: ttt
Version: 1.7-3.3
Architecture: armhf
Maintainer: Thomas Scheffczyk 
Installed-Size: 792
Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), libx11-6, tcl8.4 (>= 8.4.16), tk8.4 (>= 8.4.16)
Priority: optional
Section: net
Filename: pool/main/t/ttt/tttview_1.7-3.3_armhf.deb
Size: 387150
SHA256: 3863a99247fa8dc621dfc268c070d81eebfe79a6a768ae925a64b30768e4e42b
SHA1: 5f7e971fa90c3a5de8c5c0645e4324b85363fa8a
MD5sum: ff4726fcab447cb70ea7490cc09041f2
Description: Graphical viewer for remote captured traffic-data
 tttview is the viewer program in the ttt program suite. tttview
 displays traffic-data collected on a remote host by tttprobe.

Package: ttv
Source: xawtv
Version: 3.102-3
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 171
Depends: libaa1 (>= 1.4p5), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), xawtv-plugins (= 3.102-3)
Suggests: xawtv
Priority: extra
Section: video
Filename: pool/main/x/xawtv/ttv_3.102-3_armhf.deb
Size: 81564
SHA256: 25da81621e4d56bb6adbf6f62be3e8933114fa01424e3a82c9c6c8bcd9b257ff
SHA1: a003228a020c2c01bee08e345fdcb0590b317446
MD5sum: a1fb0217456b3b0f979fda4286ac3e6f
Description: television viewer - console application
 TTV is a console application, based on aalib, which displays
 television channels. It supports video4linux devices.

Package: tty-clock
Version: 1.1-1
Architecture: armhf
Maintainer: Antoine Beaupré 
Installed-Size: 52
Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5
Homepage: http://github.com/xorg62/tty-clock/
Priority: extra
Section: utils
Filename: pool/main/t/tty-clock/tty-clock_1.1-1_armhf.deb
Size: 9542
SHA256: 012636f9e221037dbcce9b5e748c26dea0c8dca4fe0af3413f1a4d289f118f7b
SHA1: 82a30f95ec95b77a6459b6194f1b67c23d3227ab
MD5sum: bc4e54844dc9713b282148e1e23e9464
Description: simple terminal clock
 tty-clock is a simple ncurses-based clock that shows the time and date
 using a large display. It has a few commandline options to customize
 the output.

Package: ttyload
Version: 0.5-7
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 59
Depends: libc6 (>= 2.13-28)
Homepage: http://www.daveltd.com/src/util/ttyload
Priority: optional
Section: utils
Filename: pool/main/t/ttyload/ttyload_0.5-7_armhf.deb
Size: 16982
SHA256: b119679564b3b851fbf19b397927d872c105fa6889d2379c33da674a529810f5
SHA1: 39a96fbfe1691085de84df95e77e0cb759a23516
MD5sum: d77e4f7fbced2dc8414c5df20648bfe7
Description: console based color-coded graphs of CPU load average
 Display fairly standard, but hard-coded, ANSI ASCII escape sequences
 for screen manipulation and colorization for different graphs: 1
 minute, 5 minute, 15 minute load averages.
 .
 See http://www.daveltd.com/src/util/ttyload/screenshots.html

Package: ttylog
Version: 0.1.d-2
Architecture: armhf
Maintainer: Robert James Clay 
Installed-Size: 46
Depends: libc6 (>= 2.13-28)
Homepage: http://ttylog.sourceforge.net
Priority: extra
Section: utils
Filename: pool/main/t/ttylog/ttylog_0.1.d-2_armhf.deb
Size: 7520
SHA256: 528ab8746499ecef4de9dcd8225ff048f351b8303599f10fc8b52f9488732b3f
SHA1: dc30e7c9b5e3c84ceec0400174639d1a5e8f446a
MD5sum: 3030a8182d85de49b1d63e2fab7f0866
Description: serial port logger
 Print everything to stdout that comes from a
 serial device. You can specify the device and
 the baud rate.

Package: ttylog-dbg
Source: ttylog
Version: 0.1.d-2
Architecture: armhf
Maintainer: Robert James Clay 
Installed-Size: 47
Depends: ttylog (= 0.1.d-2)
Homepage: http://ttylog.sourceforge.net
Priority: extra
Section: debug
Filename: pool/main/t/ttylog/ttylog-dbg_0.1.d-2_armhf.deb
Size: 5386
SHA256: ea4d92a52dd26727f142a9d51b25f6363f7e42701cd57365eb9cde11d480a183
SHA1: 40c680c3c0db9d154b1b8a3fabce593e9ec7d884
MD5sum: 25efaa82e5d59e4ee4851426ab5acf1a
Description: debugging symbols for ttylog
 Print everything to stdout that comes from a
 serial device. You can specify the device and
 the baud rate.
 .
 This package contains the debugging symbols for
 ttylog.

Package: ttyrec
Version: 1.0.8-5
Architecture: armhf
Maintainer: NIIBE Yutaka 
Installed-Size: 97
Depends: libc6 (>= 2.4)
Priority: optional
Section: misc
Filename: pool/main/t/ttyrec/ttyrec_1.0.8-5_armhf.deb
Size: 33980
SHA256: 4da04b99f4b513a800f2fe313595b49aa4499bcb69c3a2738f8b97d96482ad6d
SHA1: 371b103d44f935704fd4ddbe2b09666c3e963f99
MD5sum: 54196ecb358ec654c715ef79d874e92a
Description: Terminal interaction recorder and player (for tty)
 This package includes "ttyrec", a tty recorder which records terminal
 interaction, and "ttyplay", the player to see that intereaction.
 .
 Ttyrec records your terminal input and output, like the "script" command,
 but additionally records timing information to allow playback at the original
 speed.  It can record any console program, including screen-oriented programs
 such as those using curses.

Package: ttysnoop
Version: 0.12d-5
Architecture: armhf
Maintainer: Mats Erik Andersson 
Installed-Size: 91
Depends: libc6 (>= 2.4)
Priority: optional
Section: admin
Filename: pool/main/t/ttysnoop/ttysnoop_0.12d-5_armhf.deb
Size: 19218
SHA256: 771f04c2744cf8b1b90a12243575003cb72db452635d938997c034bb55378901
SHA1: 2306da7b2fdb1c909083d3a2e3d8c2629baaea9d
MD5sum: 015f3f7bbbcad40bd2ff654902ade43a
Description: allows you to spy on telnet+serial connections
 TTYSnoop allows you to snoop on login tty's through another tty-device
 or pseudo-tty. The snoop-tty becomes a 'clone' of the original tty,
 redirecting both input and output from/to it.

Package: tua
Version: 4.3-11
Architecture: armhf
Maintainer: Mark Brown 
Installed-Size: 175
Depends: libc6 (>= 2.13-28), uucp (>= 1.06.1-19), cron, mailx
Priority: extra
Section: comm
Filename: pool/main/t/tua/tua_4.3-11_armhf.deb
Size: 66846
SHA256: 75e93682974ebdc17caaae67fb09c65fe958a3104f542d00b63d5d935cadbbc1
SHA1: e3538949261ed6403a5bcf65bada4769ad4beacd
MD5sum: 7f1b57b2500dc2fd453b00e5e7d415e5
Description: The UUCP Analyzer
 Its purpose is to collect all the information that the UUCP package puts
 in its various logs. It then builds up a clear report, showing data from
 several different points of view.

Package: tuareg-mode
Version: 1:2.0.6-3
Installed-Size: 311
Maintainer: Debian OCaml Maintainers 
Architecture: all
Depends: emacs23 | emacsen
Recommends: ocaml-interp, ocaml-mode
Enhances: ocaml-interp
Conflicts: ocaml-tools (<= 1.1-2)
Size: 65238
SHA256: fcd3dc8820699b06ea53b845df5cdc0832aa5b78e96453818ba226a8c346e62c
SHA1: 20c9353c9dc4ace5bff1f8a2f62216a1b4b05424
MD5sum: ff476276bb0312e973ceaa6273be6f63
Description: emacs-mode for ocaml programs
 It handles automatic indentation of Objective Caml and Caml-Light
 code.  Key parts of the code are highlighted using Font-Lock. It
 provides support to run an interactive OCaml toplevel and debugger.
 It works both with FSF Emacs and XEmacs.
 .
 This mode attempts to give better results than the one provided in
 the standard distribution of OCaml and which is available through the
 ocaml-mode package. Indentation rules are slightly different but
 closer to classical functional languages indentation. Tuareg-mode
 gives access to some functionalities from ocaml-mode when that
 package is installed.
Homepage: http://tuareg.forge.ocamlcore.org/
Tag: devel::lang:ocaml, implemented-in::lisp, role::plugin, suite::emacs,
 use::editing
Section: ocaml
Priority: optional
Filename: pool/main/t/tuareg-mode/tuareg-mode_2.0.6-3_all.deb

Package: tucnak2
Version: 2.47-2+deb7u1
Architecture: armhf
Maintainer: Debian Hamradio Maintainers 
Installed-Size: 3001
Depends: adduser, udev, libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libftdi1 (>= 0.20), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libsndfile1 (>= 1.0.20), libusb-0.1-4 (>= 2:0.1.12)
Suggests: telnet, audacity, ax25-apps, ntp, ntpdate, xterm, sweep
Conflicts: tucnak1 (<= 1.30)
Priority: optional
Section: hamradio
Filename: pool/main/t/tucnak2/tucnak2_2.47-2+deb7u1_armhf.deb
Size: 1592586
SHA256: b50a908ed8f5fe4d921c956713f9970f02620e1714140791132e2c6990193693
SHA1: c96f77e3b4b72a7b233913b6609ead0966913a55
MD5sum: cc1b022dae8aac5feee0a1e07dfb0a8a
Description: VHF/UHF/SHF Hamradio contest log version 2
 Tucnak is VHF/UHF/SHF log for hamradio contests.
 It supports multi bands, free input, networking,
 voice and CW keyer, WWL database and much more.

Package: tudu
Version: 0.8.1-1
Architecture: armhf
Maintainer: Ruben Pollan Bella 
Installed-Size: 254
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libncursesw5 (>= 5.6+20070908), libstdc++6 (>= 4.6), libtinfo5
Homepage: http://cauterized.net/~meskio/tudu/
Priority: optional
Section: utils
Filename: pool/main/t/tudu/tudu_0.8.1-1_armhf.deb
Size: 96488
SHA256: 365bbf3131fef3d3dc841ea2c0f8b8bbecc0e3fbf3b341e24f34ef16d681e0d0
SHA1: d317e4ce17c9db5b7bb242e2848e2c8211b576ab
MD5sum: 8d741054dc97cedd968c9ab061823b5c
Description: Command line hierarchical ToDo list
 ToDo list manager in ncurses, with hierarchical representation of the tasks.
 Each task has:
   * Title
   * Long text description
   * Deadline (tudu warns you when the date is approaching)
   * Categories
   * Priorities

Package: tulip
Version: 3.7.0dfsg-4
Architecture: armhf
Maintainer: Yann Dirson 
Installed-Size: 10605
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libftgl2 (>= 2.1.3~rc5), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libgzstream-tulip-3.7.0, libjpeg8 (>= 8c), libogdf-tulip-3.7.0, libpng12-0 (>= 1.2.13-4), libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.6), libtulip-3.7, libtulip-ogdf-3.7, libtulip-ogl-3.7, libtulip-qt4-3.7, libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4), ttf-dejavu-core
Recommends: tulip-help
Suggests: tulip-doc
Homepage: http://tulip-software.org/
Priority: optional
Section: graphics
Filename: pool/main/t/tulip/tulip_3.7.0dfsg-4_armhf.deb
Size: 4195366
SHA256: c98234ca8f431922464211b6a7589a624955470d390b1cef5d963cc65cbc9963
SHA1: 91a27eed90162667829f36d561aff940faf1bbaa
MD5sum: 67e6a4c7c61a8110d4eb2bd51ab38f19
Description: System dedicated to the visualization of huge graphs
 Tulip is capable of managing graphs with up to 500,000 nodes and edges
 on relatively modest hardware (eg. 600MHz Pentium III, 256MB RAM).
 It includes the following features:
 .
  * 3D visualizations
  * 3D modifications
  * Plug-in support for easy evolution
  * Building of clusters and navigation into them
  * Automatic drawing of graphs
  * Automatic clustering of graphs
  * Automatic selection of elements
  * Automatic metric coloration of graphs

Package: tulip-doc
Source: tulip
Version: 3.7.0dfsg-4
Installed-Size: 59183
Maintainer: Yann Dirson 
Architecture: all
Suggests: tulip
Size: 46233060
SHA256: 111cbcb118ef2972cac2261f19b310ad9c43416ec81b4ed796fb2cf0dd2d9492
SHA1: 125d9681dc200a7604e8726746bd2b8cb72ed64d
MD5sum: 5ad074a96b70f0ba32dd640dee8c8af8
Description: Documentation for the Tulip graph-visualization system
 This package includes the Tulip User Handbook and the Tulip Developer
 Handbook in HTML format, as well as the manpages for the tulip libraries.
Homepage: http://tulip-software.org/
Tag: made-of::html, made-of::man, role::documentation
Section: doc
Priority: optional
Filename: pool/main/t/tulip/tulip-doc_3.7.0dfsg-4_all.deb

Package: tulip-help
Source: tulip
Version: 3.7.0dfsg-4
Installed-Size: 46480
Maintainer: Yann Dirson 
Architecture: all
Suggests: tulip
Size: 46271466
SHA256: cdecac9bd990f74a130f87423958224ec910271db9a7725edaf8aad3d8bcd157
SHA1: 6fc2e93edc819e69e745dcb47ae8ed4145a9e5d0
MD5sum: 81f4929a99db5711df7734825ae5bd46
Description: Online documentation for the Tulip graph-visualization system
 This package includes the online help browsable from the "Help" menu.
Homepage: http://tulip-software.org/
Section: doc
Priority: optional
Filename: pool/main/t/tulip/tulip-help_3.7.0dfsg-4_all.deb

Package: tumbler
Source: tumbler (0.1.25-1)
Version: 0.1.25-1+b1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 279
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.15), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libpoppler-glib8 (>= 0.18), libtumbler-1-0 (>= 0.1.2), libxml2 (>= 2.6.27), zlib1g (>= 1:1.1.4), tumbler-common (= 0.1.25-1)
Suggests: tumbler-plugins-extra
Homepage: http://www.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/t/tumbler/tumbler_0.1.25-1+b1_armhf.deb
Size: 108480
SHA256: f7a5958096e1aa2ec75a0ce6e57df6a5cc1c1eb13106feda1bfafaa078c9b24e
SHA1: 4865d549cb85003f8dff944b960f9c6de7d4385d
MD5sum: 2b7faebea4ba3c4bc197050b1bc22d02
Description: D-Bus thumbnailing service
 Tumbler is a D-Bus service for applications to request thumbnails for various
 URI schemes and MIME types. It is an implementation of the thumbnail management
 D-Bus specification described on http://live.gnome.org/ThumbnailerSpec.

Package: tumbler-common
Source: tumbler
Version: 0.1.25-1
Installed-Size: 858
Maintainer: Debian Xfce Maintainers 
Architecture: all
Suggests: libtumbler-1-0
Size: 113906
SHA256: a150744779095b8b515bb77b87ca685c8452fe708d1aa4acc0ccc302a4b22f2a
SHA1: e359975a63a9cf803470de3523244b6bc0f03adf
MD5sum: 07462616400e11062481c93287a3cb30
Description: D-Bus thumbnailing service (common files)
 Tumbler is a D-Bus service for applications to request thumbnails for various
 URI schemes and MIME types. It is an implementation of the thumbnail management
 D-Bus specification described on http://live.gnome.org/ThumbnailerSpec.
Homepage: http://www.xfce.org/
Tag: role::app-data
Section: xfce
Priority: optional
Filename: pool/main/t/tumbler/tumbler-common_0.1.25-1_all.deb

Package: tumbler-plugins-extra
Source: tumbler (0.1.25-1)
Version: 0.1.25-1+b1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 115
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgsf-1-114 (>= 1.14.9), libopenraw1 (>= 0.0.9), libopenrawgnome1 (>= 0.0.9), libtumbler-1-0 (>= 0.1.0), libxml2 (>= 2.6.27), tumbler (= 0.1.25-1+b1)
Homepage: http://www.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/t/tumbler/tumbler-plugins-extra_0.1.25-1+b1_armhf.deb
Size: 52312
SHA256: 23a94f1734432bd892971a1f6232c7409790b12d08fb4f2b854db8335781bd98
SHA1: 1e28a426a8f3f28a828bc958eacad8e4b53b65a0
MD5sum: 26b1f2e97023e2af55db82d735f81b13
Description: D-Bus thumbnailing service (additional plugins)
 Tumbler is a D-Bus service for applications to request thumbnails for various
 URI schemes and MIME types. It is an implementation of the thumbnail management
 D-Bus specification described on http://live.gnome.org/ThumbnailerSpec.
 .
 This package adds support of the following file formats to tumbler:
  - Raw Digital Camera Images
  - OpenDocument Format.

Package: tumgreyspf
Version: 1.36-4
Installed-Size: 152
Maintainer: Thomas Goirand 
Architecture: all
Depends: python-spf, adduser, python, passwd, spfquery
Size: 29642
SHA256: 831c09855d55d5e3a3577bd57c32edf8581c92adc0645e034870f82c1a874464
SHA1: bb042649425e9104e815c43f747a8ae45ab3da14
MD5sum: ff0f4672bcc1193af6c230451d8ff8a6
Description: external policy checker for the postfix mail server
 Tumgreyspf can optionally greylist and/or use spfquery to check SPF records
 to determine if email should be accepted by your server. The default behavior
 is to let emails comming from server that are SPF approved without any sort
 of greylisting, while all others will be greylisted.
 .
 SPF is information published by the domain owner about what systems may
 legitimately send e-mail for the domain. Greylisting takes advantage of spam
 and viruses that do not follow the RFCs and retry deliveries on temporary
 failure. These checks can be used as part of a mail system and allow several
 orders of magnitude reduction in spam, lower system load, and few problems
 with legitimate mail getting blocked.
 .
 Tumgreyspf uses the file-system as its database, no additional database is
 required to use it, see /var/lib/tumgreyspf/data and it's clean-up cron
 script. Also take care that tumgreyspf will block emails from any domain with
 DNS configured with a buggy SPF record.
Homepage: http://www.tummy.com/Community/software/tumgreyspf/
Tag: implemented-in::python, interface::daemon, mail::smtp, network::server,
 protocol::smtp, role::program, works-with::mail
Section: mail
Priority: optional
Filename: pool/main/t/tumgreyspf/tumgreyspf_1.36-4_all.deb

Package: tumiki-fighters
Version: 0.2.dfsg1-5
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 467
Depends: tumiki-fighters-data (= 0.2.dfsg1-5), libbulletml0d2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4)
Homepage: http://www.asahi-net.or.jp/~cs8k-cyu/windows/tf_e.html
Priority: extra
Section: games
Filename: pool/main/t/tumiki-fighters/tumiki-fighters_0.2.dfsg1-5_armhf.deb
Size: 194540
SHA256: cd958bbff8f9bea099a70d05bd41159257799670a9bd8e0e8041fbc48006c604
SHA1: 24a7cd7f98af8bcb79aaea2286d9bfee3faaddfe
MD5sum: 236ca11819836a60a9ca56dfaed5a8ec
Description: sticky 2D shooter
 Tumiki Fighters brings a different twist to the side-scrolling shooter genre.
 With simple 3D graphics, the player has to control a ship and shoot down the
 enemies. The player can swoop in and attach the wreckage to its own hull for
 bonus points and protection from enemy attacks. .
 .
 Tumiki Fighters is another gem among many by Kenta Cho.

Package: tumiki-fighters-data
Source: tumiki-fighters
Version: 0.2.dfsg1-5
Installed-Size: 4616
Maintainer: Debian Games Team 
Architecture: all
Recommends: tumiki-fighters
Size: 4618978
SHA256: 9c3215250274bf4607a74237cefa08bd96031dd3b7cc41b2dfb4ce645eb92042
SHA1: 58ebdca3e78a3953bee48ea1455bd2f1d0df92f2
MD5sum: 08c93aa3532e5d9923ef61ddb4aff5ff
Description: sticky 2D shooter - game data
 Tumiki Fighters brings a different twist to the side-scrolling shooter genre.
 With simple 3D graphics, the player has to control a ship and shoot down the
 enemies. The player can swoop in and attach the wreckage to its own hull for
 bonus points and protection from enemy attacks. .
 .
 This package includes the architecture-independent data for the Kenta Cho's
 game Tumiki Fighters.
Homepage: http://www.asahi-net.or.jp/~cs8k-cyu/windows/tf_e.html
Tag: made-of::audio, made-of::xml, role::app-data
Section: games
Priority: extra
Filename: pool/main/t/tumiki-fighters/tumiki-fighters-data_0.2.dfsg1-5_all.deb

Package: tunapie
Version: 2.1.17-2.2
Installed-Size: 174
Maintainer: James Stone 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, python, python-support (>= 0.90.0), python-wxgtk2.8, debconf
Suggests: audacious, streamripper, vlc | gxine | totem | mplayer | xine-ui, xterm | x-terminal-emulator, iceweasel | x-www-browser
Size: 48158
SHA256: 3c48fff607e82a2b3d95096d4c1b0bc04c48030ed9cf02dfcb87d0ae9f01edf9
SHA1: 6c8ef609890ee38160f411c9ca289ff221081945
MD5sum: d6e9a07f63782aeb9a89dddd393d5bbc
Description: Lists audio and video streams from Shoutcast and Icecast
 A GUI based program for displaying Shoutcast or Icecast video and radio
 streams. It gives information about streams including bitrate, IP and current
 number of listeners. Streams can then be played using an appropriate media
 player, defined in preferences. Tunapie also allows streams to be recorded
 using streamripper. Recordings can be set to start and stop at specified times.
Homepage: http://tunapie.sourceforge.net/
Tag: interface::x11, network::client, protocol::http, role::program,
 scope::utility, uitoolkit::gtk, use::entertaining, use::playing,
 works-with::audio, works-with::video, x11::application
Section: net
Priority: optional
Filename: pool/main/t/tunapie/tunapie_2.1.17-2.2_all.deb

Package: tunnelx
Version: 20110801-2.1+deb7u1
Installed-Size: 922
Maintainer: Wookey 
Architecture: all
Depends: default-jre | java6-runtime, jarwrapper (>= 0.5), libjava3d-java, libvecmath-java
Size: 535504
SHA256: 55b27057dd77a8c2177148ed003defcfc6ba2d27787a5e2c1d9fe487760ebe3e
SHA1: 5bdc0ca087755b349cd9be841e3944f8504f38c2
MD5sum: d3190e40162f9faa0099ad9cd6cbb938
Description: Cave Survey drawing software
 Tunnel enables you to draw cave surveys based on Survex-compatible
 centreline data. Drawings will be adjusted to fit if the underlying
 data moves due to loop closures. Original drawings/scans/pockettopo
 imports can be used as a basis to draw over. Complex multi-layered
 surveys can be drawn, and good use is made of colour to distinguish
 aspects such as levels.
Homepage: http://www.freesteel.co.uk/wiki/index.php/Tunnel
Section: science
Priority: extra
Filename: pool/main/t/tunnelx/tunnelx_20110801-2.1+deb7u1_all.deb

Package: tupi
Version: 0.1+git12-6
Architecture: armhf
Maintainer: Dmitry Smirnov 
Installed-Size: 4363
Depends: libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libqt4-network (>= 4:4.5.3), libqt4-opengl (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), tupi-data (= 0.1+git12-6)
Replaces: ktoon
Homepage: http://www.maefloresta.com/portal
Priority: optional
Section: graphics
Filename: pool/main/t/tupi/tupi_0.1+git12-6_armhf.deb
Size: 1776724
SHA256: 9bb74f122bde1fb5a2e4f6dbe806335851f0bec6a06da591e880b8290c684dac
SHA1: 52c3d45842cb594ab11c87c128a45a265eb436da
MD5sum: 87918910d535ea5a4047fd0c660603e8
Description: 2D Animation design and authoring tool
 Tupi: 2D Magic is a design and authoring tool for digital artists interested
 in 2D Animation, offering an interface experience focused on 8-100 years old
 kids. It's source code is based on the KTooN project.
 .
 Some of its main features are: basic illustration tools (shapes, fill, text),
 gradient tools, onion skin, brushes editor, pencil with smoothness support,
 basic object library (for svg files and raster images) and many others.
 .
 Using its modules of Animation and Reproduction you can export 2D projects
 to several formats as OGG, MPEG, AVI, MOV and SWF. Additionally, the
 option of exporting Image arrays as output is available.

Package: tupi-data
Source: tupi
Version: 0.1+git12-6
Installed-Size: 18186
Maintainer: Dmitry Smirnov 
Architecture: all
Replaces: tupi (<< 0.1+git12-3)
Breaks: tupi (<< 0.1+git12-3)
Size: 16831234
SHA256: f5897686a1bdded4b9f5aec07ae58e7b235e2888dd929a15f7ec5af81f3a55ef
SHA1: 714edad65a0481d344d3986d80a88d5711101ce5
MD5sum: 391326cd3eaf1e3a18a54b9369f67e7e
Description: Data files for tupi (2D Animation design and authoring tool)
 Tupi: 2D Magic is a design and authoring tool for digital artists interested
 in 2D Animation, offering an interface experience focused on 8-100 years old
 kids. It's source code is based on the KTooN project.
 .
 Some of its main features are: basic illustration tools (shapes, fill, text),
 gradient tools, onion skin, brushes editor, pencil with smoothness support,
 basic object library (for svg files and raster images) and many others.
 .
 Using its modules of Animation and Reproduction you can export 2D projects
 to several formats as OGG, MPEG, AVI, MOV and SWF. Additionally, the
 option of exporting Image arrays as output is available.
 .
 This package contains tupi's arch-independent files.
Homepage: http://www.maefloresta.com/portal
Tag: role::app-data
Section: graphics
Priority: optional
Filename: pool/main/t/tupi/tupi-data_0.1+git12-6_all.deb

Package: tupi-dbg
Source: tupi
Version: 0.1+git12-6
Architecture: armhf
Maintainer: Dmitry Smirnov 
Installed-Size: 8920
Depends: tupi (= 0.1+git12-6)
Homepage: http://www.maefloresta.com/portal
Priority: extra
Section: debug
Filename: pool/main/t/tupi/tupi-dbg_0.1+git12-6_armhf.deb
Size: 8874568
SHA256: ef8dc5d56fc03a8676a1c7527ef8ea68392ed0eaff5deb8c220ba44f1c26d488
SHA1: af3de5b0ace174690099a9a3cfb3e2313e9416c8
MD5sum: 1ed52dc0dc5aa8b64553e1b89525164a
Description: debugging symbols for tupi (2D Animation design and authoring tool)
 Tupi: 2D Magic is a design and authoring tool for digital artists interested
 in 2D Animation, offering an interface experience focused on 8-100 years old
 kids. It's source code is based on the KTooN project.
 .
 Some of its main features are: basic illustration tools (shapes, fill, text),
 gradient tools, onion skin, brushes editor, pencil with smoothness support,
 basic object library (for svg files and raster images) and many others.
 .
 Using its modules of Animation and Reproduction you can export 2D projects
 to several formats as OGG, MPEG, AVI, MOV and SWF. Additionally, the
 option of exporting Image arrays as output is available.
 .
 This package contains debugging symbols needed for debugging tupi.

Package: turba2
Version: 2.3.6+debian0-1
Installed-Size: 6607
Maintainer: Horde Maintainers 
Architecture: all
Depends: horde3
Recommends: php5-mysql | php5-pgsql | php5-ldap
Suggests: php5-cli, php-net-ldap
Size: 2508656
SHA256: d18af62b143083f63a862d70c6e5eaa2deb208baad3156c76b42e0ed764101e9
SHA1: 59381d304f3271363c5ab0c4b8b67f05cac7f9c1
MD5sum: fd883e0bdb886f8d324ac916543bc421
Description: contact management component for horde framework
 Turba is the Horde contact management application, designed to be integrated
 with other Horde applications to provide a unified interface to contact
 management throughout the Horde suite.
Homepage: http://www.horde.org/turba/
Tag: devel::lang:php, implemented-in::php, interface::web, protocol::ldap,
 role::program, scope::application, web::application, works-with::db,
 works-with::pim
Section: web
Priority: optional
Filename: pool/main/t/turba2/turba2_2.3.6+debian0-1_all.deb

Package: turnin-ng
Version: 1.1-1
Installed-Size: 400
Maintainer: Ryan Kavanagh 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-configobj, install-info
Size: 202038
SHA256: 8b67ac59c9e0e80d56703e1dc450d59d06b89ed9077f4bb822768c7856dc91a4
SHA1: 96437fb34a4dd06d73e564fc87a8cdc55e5c019a
MD5sum: 36eeaf6b331805f41c104f0e01158d97
Description: assignment submitter and manager
 Turnin-NG is an assignment submission suite written in Python and composed of
 turnin and turnincfg. Students can use the turnin command to submit an
 assignment to a course. Professors and TAs (teaching assistants) can use
 turnincfg to manage submitted assignments, making them easier to grade.
Homepage: http://github.com/ryanakca/Turnin-NG
Section: utils
Priority: optional
Filename: pool/main/t/turnin-ng/turnin-ng_1.1-1_all.deb

Package: turpial
Version: 1.6.9-1
Installed-Size: 1835
Maintainer: Miguel Landaeta 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-simplejson (>= 1.9.2), python-gtk2 (>= 2.12.0), python-notify (>= 0.1.1), python-gst0.10, python-webkit (>= 1.1.2), python-gtkspell, python-pkg-resources, python-oauth, gstreamer0.10-plugins-base
Size: 682676
SHA256: 7d76657d3d02875fa23f339b5a188f94067bdb16af92a1b7eccf4554317c288e
SHA1: 6bdfaf9ecb734fcae7f2f9b160b28dacad87325f
MD5sum: 7fb28dd2ba7e160d595c28796d5c37c6
Description: Light, fast, and fully functional Twitter client written in Python
 Turpial is a microblogging client for social networks like Twitter, and
 Identi.ca.
 .
 It is developed under a strict diet in order to consume very few resources,
 so it is a perfect choice to be used with netbooks, and systems with limited
 specs.
 .
 Turpial also has desktop integration features like notifications, and themes.
 It includes convenient features like muting users, and url shorteners.
Homepage: http://turpial.org.ve/
Tag: implemented-in::python, role::program, web::microblog
Section: python
Priority: optional
Filename: pool/main/t/turpial/turpial_1.6.9-1_all.deb

Package: turqstat
Version: 3.0-2
Architecture: armhf
Maintainer: Peter Karlsson 
Installed-Size: 297
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Suggests: crashmail | news-transport-system | slrnpull
Priority: optional
Section: mail
Filename: pool/main/t/turqstat/turqstat_3.0-2_armhf.deb
Size: 124346
SHA256: 1dca0b52bb7d1f613398329219127bfb500a83a53495381ec5000f72959791a5
SHA1: 84f78babd57ff7169d7ce8e2544ab11510a7f1d5
MD5sum: 638ce7e3aedb027854a28416c57eb15b
Description: Fidonet and Usenet statistics program
 Turquoise SuperStat is a simple but powerful Fidonet and Usenet
 message base statistics program that can read messages that are
 stored in SDM (*.MSG), SquishMail, JAM, FDAPX/w and MyPoint
 message bases as well as local or remote (NNTP) Usenet news
 spools.
 .
 This is the command line based version.

Package: turtleart
Version: 98-1
Installed-Size: 1140
Maintainer: Matthew Gallagher 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-gobject, python-gtk2, python-gconf, python-pycurl, python-gst0.10, libgtk2.0-bin
Size: 158454
SHA256: f25b322482c59dfa1fae849c2642c5dbf3c64f941cd659a3c7325fd070c8d3c8
SHA1: 0e851c1812aa275b44b85d6d402d6ddda64b2d22
MD5sum: 36b3f29d7b8f086d046958b223cb51b8
Description: a LOGO-like tool for teaching programming
 Turtle Art is an activity with a Logo-inspired graphical "turtle" that
 draws colorful art based on snap-together visual programming elements.
 .
 Turtle Art is intended to be a stepping stone to the Logo programming
 language, but there are many restrictions compared to Logo. However,
 you can export your Turtle Art creations to Berkeley Logo.
 .
 Turtle Art was initially written in Java, and reimplemented in Python.
 A modified Python version with additional features, Turtle Blocks, is
 under active development and is included in this package.
 .
 This package contains the files needed to use it as a standalone
 application.
Homepage: http://wiki.sugarlabs.org/go/Activities/Turtle_Art
Python-Version: 2.5, 2.6
Tag: uitoolkit::gtk
Section: misc
Priority: optional
Filename: pool/main/t/turtleart/turtleart_98-1_all.deb

Package: tuxcmd
Version: 0.6.70+dfsg-1
Architecture: armhf
Maintainer: Salvatore Bonaccorso 
Installed-Size: 2074
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0)
Suggests: tuxcmd-modules
Homepage: http://tuxcmd.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/t/tuxcmd/tuxcmd_0.6.70+dfsg-1_armhf.deb
Size: 728232
SHA256: 3e106d182d88650727f88d7bd7088a617c67e9549663ebb7f24cdfe516e9c724
SHA1: 900344e45ec90670b22a23e21a2ce7bbc9abeaf3
MD5sum: b88593f49edc9304cb583b2ac239659e
Description: twin-panel (commander-style) file manager using GTK+ 2
 Tux Commander is a windowed file manager with 2 panels side
 by side similar to popular Total Commander or Midnight
 Commander file managers.
 .
 General features:
  * Two directory panels side by side (vertical)
  * Tabbed interface, buttons for quick access to favorite
    places
  * Configurable mounter bar for quick access to removable
    media and network shares
  * Multilingual user interface
  * Extendable via plugin system
  * Extension-based file type actions (associations)

Package: tuxcmd-modules
Version: 0.6.70+ds-4
Architecture: armhf
Maintainer: Salvatore Bonaccorso 
Installed-Size: 361
Depends: libarchive12, libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4), tuxcmd (>= 0.6.69)
Recommends: gvfs-backends
Homepage: http://tuxcmd.sourceforge.net
Priority: optional
Section: utils
Filename: pool/main/t/tuxcmd-modules/tuxcmd-modules_0.6.70+ds-4_armhf.deb
Size: 157708
SHA256: 6978bf9b977b5f6fae30e0b48bfcc83bba1ec639e33d53e0c89e6aaa95ee5474
SHA1: ccaaddbac62e94ed392e77b9d3e09ae38ff93d3e
MD5sum: 674239addf37728666a8e44d0c1771c4
Description: VFS modules for tuxcmd file manager
 This package contains additional VFS modules for tuxcmd. It
 provides the modules for GVFS and zip plugins.
 .
  * GVFS plugin: read/write access to network resources (FTP,
    SSH/SFTP, SMB, WebDAV)
  * zip plugin:
    - full read/write support for ZIP archives
    - full support for Unix file and directory permissions
    - password protection support (read/write)
  * libarchive plugin:
    - read-only support for tar, tar.gz, tar.bz2, iso (CD
      images/ISO9660), cpio, ar and deb archives

Package: tuxeyes
Version: 0.0.3-8
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 1235
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libqt3-mt (>= 3:3.3.8b), libsm6, libstdc++6 (>= 4.4.0), libx11-6, libxext6
Suggests: menu
Priority: optional
Section: x11
Filename: pool/main/t/tuxeyes/tuxeyes_0.0.3-8_armhf.deb
Size: 176598
SHA256: fd3530e1ce464b310fcb35e52c24a8dbd373a47c0bc34444d315767fab2be9c8
SHA1: 5ab21fa6c3298a9fb2feb9cfd8592aaac516d2e0
MD5sum: a1a1f46ea581900dbce369e2a84689ef
Description: a fancy version of xeyes
 This package displays Tux, Chuck (the BSD daemon), Luxus, or Dust Puppy
 following your mouse cursor in X with their eyes.

Package: tuxfootball
Version: 0.3.1-2
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 17178
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6)
Homepage: http://tuxfootball.sourceforge.net/
Priority: extra
Section: games
Filename: pool/main/t/tuxfootball/tuxfootball_0.3.1-2_armhf.deb
Size: 16049226
SHA256: 8c61547d9a1b18f1cbbbb06262df1e59643fac28ea45de7b006fdceb2696cb90
SHA1: 9c99f7e3d57c217f49061f027b1ec18304e67170
MD5sum: 1b98c7514f9264850a301fec026030c8
Description: great 2D soccer (sometimes called football) game
 It's bringing old style gameplay from DOS times back to the desktop with up
 to date graphics! Its gameplay is similar to old classics such as Amco's
 Kick Off and Sensible Software's Sensible Soccer.
 .
 The gameplay is designed to be quick, responsive and fun. You are always
 in control of the player closest to the ball. The ball is controlled via
 two different kick buttons - one for pass, and one for shoot. Aftertouch
 can be applied to shots by quickly pressing and holding the direction you
 want the ball to bend towards. Pushing in the opposite direction to what
 you kicked the ball makes it raise into the air, pushing in the same
 direction as the ball makes it dip towards the ground.

Package: tuxguitar
Version: 1.2-13+deb7u1
Installed-Size: 4686
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: default-jre | java2-runtime, libitext-java, libswt-gtk-3-java, libswt-cairo-gtk-3-jni, libswt-webkit-gtk-3-jni, xulrunner-24.0 | xulrunner-17.0 | xulrunner-10.0 | xulrunner-1.9.1
Recommends: tuxguitar-alsa (= 1.2-13+deb7u1), tuxguitar-oss (= 1.2-13+deb7u1)
Suggests: tuxguitar-jsa (= 1.2-13+deb7u1), lilypond
Size: 3219620
SHA256: 90597593920cc6f4a3a44e4f0b0872e6a4eff0934c0873b01fe1b747d4e517c2
SHA1: 2b1115be4eebe59c1f60d7e04fd08143cf549cdb
MD5sum: 63b5af6348531ad003dbc1e29a6f81ed
Description: Multitrack guitar tablature editor and player (gp3 to gp5)
 TuxGuitar is a multitrack Guitar tablature editor and player.
 It can open GP3, GP4, and GP5 files and exports in MIDI and PDF.
Homepage: http://www.tuxguitar.com.ar
Tag: field::arts, implemented-in::java, interface::x11, role::program,
 scope::utility, uitoolkit::gtk, use::editing, works-with-format::pdf,
 works-with::music-notation, x11::application
Section: sound
Priority: optional
Filename: pool/main/t/tuxguitar/tuxguitar_1.2-13+deb7u1_all.deb

Package: tuxguitar-alsa
Source: tuxguitar
Version: 1.2-13+deb7u1
Architecture: armhf
Maintainer: Debian Java Maintainers 
Installed-Size: 60
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), tuxguitar (= 1.2-13+deb7u1)
Suggests: timidity
Homepage: http://www.tuxguitar.com.ar
Priority: optional
Section: sound
Filename: pool/main/t/tuxguitar/tuxguitar-alsa_1.2-13+deb7u1_armhf.deb
Size: 13044
SHA256: 0f3a6ab58e0e348661f3278bb369e8b40d389029668158e78305f8374954f917
SHA1: 569798b0e8e67df0c3492f5427657fb78a1760d8
MD5sum: 63e3034451f43c183fcbc2a267bbfe53
Description: tuxguitar plugin for sound playback using ALSA
 Use Tuxguitar along this plugin if your Sound Device is supported by ALSA.
 A MIDI expander is required (Hardware or Software).

Package: tuxguitar-fluidsynth
Source: tuxguitar
Version: 1.2-13+deb7u1
Architecture: armhf
Maintainer: Debian Java Maintainers 
Installed-Size: 80
Depends: libc6 (>= 2.13-28), libfluidsynth1, tuxguitar (= 1.2-13+deb7u1), fluidsynth
Homepage: http://www.tuxguitar.com.ar
Priority: extra
Section: sound
Filename: pool/main/t/tuxguitar/tuxguitar-fluidsynth_1.2-13+deb7u1_armhf.deb
Size: 26766
SHA256: 1be99b4160acef1c8797b04162cfa91169aae3a1b2c2c0fe7620c8ceaa0f07f3
SHA1: bad81e41ab8f1d495f6131fd9738eea0832d9b8a
MD5sum: b12d10de6f8ceeaa0b35127c3a878ec2
Description: tuxguitar plugin for sound playback using fluidsynth
 Use Tuxguitar along this plugin if your audio system is configured for
 fluidsynth as MIDI expander.

Package: tuxguitar-jack
Source: tuxguitar
Version: 1.2-13+deb7u1
Architecture: armhf
Maintainer: Debian Java Maintainers 
Installed-Size: 87
Depends: libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, tuxguitar (= 1.2-13+deb7u1), libjack-dev
Suggests: qjackctl
Homepage: http://www.tuxguitar.com.ar
Priority: extra
Section: sound
Filename: pool/main/t/tuxguitar/tuxguitar-jack_1.2-13+deb7u1_armhf.deb
Size: 36418
SHA256: 2bbde69b5a04533d56fa0f775e51d4c0c05738690060308244131bd66c93844e
SHA1: 54dd97ff6174e926624b99d4b78091954e12d031
MD5sum: aaf910b7127e904212e92ca0dc339f90
Description: tuxguitar plugin for sound playback using JACKD
 Use Tuxguitar along this plugin if your Sound Device is supported by JACK.
 A MIDI expander is required (Hardware or Software).

Package: tuxguitar-jsa
Source: tuxguitar
Version: 1.2-13+deb7u1
Installed-Size: 76
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: tuxguitar (= 1.2-13+deb7u1), default-jre | sun-java6-jre | sun-java5-jre
Size: 37100
SHA256: ed59c9b14ec13d46f17724315d0b14d2668427e9d9bb55686c882aded00a1c25
SHA1: f9fcfdbb1c294e19fa585357ad5d945d16574de4
MD5sum: 61ea9a11c0b11bc7a73f5cd060e13b9a
Description: tuxguitar plugin for sound playback using Java Sound API
 Use Tuxguitar along this plugin if unsure, other sounds can be used too.
Homepage: http://www.tuxguitar.com.ar
Tag: role::plugin
Section: sound
Priority: optional
Filename: pool/main/t/tuxguitar/tuxguitar-jsa_1.2-13+deb7u1_all.deb

Package: tuxguitar-oss
Source: tuxguitar
Version: 1.2-13+deb7u1
Architecture: armhf
Maintainer: Debian Java Maintainers 
Installed-Size: 62
Depends: libc6 (>= 2.13-28), tuxguitar (= 1.2-13+deb7u1), oss-compat
Suggests: timidity
Homepage: http://www.tuxguitar.com.ar
Priority: extra
Section: sound
Filename: pool/main/t/tuxguitar/tuxguitar-oss_1.2-13+deb7u1_armhf.deb
Size: 16346
SHA256: 9a19d1aa024e44d9657e31ab3e15c3527f76acc1cfb7c18b1d5aac4d8d4ec7e3
SHA1: 2cd73adaa0acbaaf5621584f50274e07ca110686
MD5sum: 9cd5cd23f0126b16742d22a835adae4d
Description: tuxguitar plugin for sound playback using OSS
 Use Tuxguitar along this plugin if your Sound Device is supported by OSS.
 A MIDI expander is required (Hardware or Software).

Package: tuxmath
Version: 1.8.0-4
Architecture: armhf
Maintainer: Holger Levsen 
Installed-Size: 11199
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.14.4), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-net1.2, libsdl-pango1, libsdl1.2debian (>= 1.2.11), fonts-sil-andika | ttf-sil-andika (>= 1.0.basic-1)
Suggests: ttf-wqy-zenhei | ttf-arphic-uming
Homepage: http://tux4kids.alioth.debian.org
Priority: optional
Section: games
Filename: pool/main/t/tuxmath/tuxmath_1.8.0-4_armhf.deb
Size: 6665318
SHA256: 4bd6e3628782bcdf38085debade8032a692027029f90ae1b0b26e3745c4c32f5
SHA1: e6bfaa1bca93c5d4efba01de01e401972634169f
MD5sum: 5d9a57a37c7a7c4d3cd414079e9a7d74
Description: math game for kids with Tux
 "Tux, of Math Command" ("TuxMath," for short) is an
 educational arcade game starring Tux, the Linux mascot!
 Based on the classic arcade game "Missile Command," Tux
 must defend his cities. In this case, though, he must do
 it by solving math problems.

Package: tuxpaint
Version: 1:0.9.21-1.1
Architecture: armhf
Maintainer: Ben Armstrong 
Installed-Size: 339
Depends: tuxpaint-plugins-default (>= 1:0.9.21-1) | tuxpaint-plugins, tuxpaint-data (= 1:0.9.21-1.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfribidi0 (>= 0.19.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libpaper1, libpng12-0 (>= 1.2.13-4), librsvg2-2 (>= 2.14.4), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-pango1, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libvorbis0a (>= 1.0.0-2), libvorbisfile3 (>= 1.0.0-2), netpbm
Recommends: tuxpaint-config
Priority: optional
Section: graphics
Filename: pool/main/t/tuxpaint/tuxpaint_0.9.21-1.1_armhf.deb
Size: 181398
SHA256: 8c526525d61482963df9fd287b67d086960bf5415c98c149bdfd9857fc19f3de
SHA1: f7a527cb70f5421dd1883428fbce0001e05800a6
MD5sum: cc89829b0eafab203fee7787b59d2950
Description: A paint program for young children
 Tux Paint is meant to be a simple drawing program for young
 children.  It is not meant as a general-purpose drawing tool.
 It IS meant to be fun and easy to use.  Sound effects and a
 cartoon character help let the user know what's going on, and
 keeps them entertained.
 .
 Tux Paint is extensible.  Brushes and "rubber stamp" shapes can be
 dropped in and pulled out.  For example, a teacher can drop in a
 collection of animal shapes and ask their students to draw an
 ecosystem.  Each shape can have a sound which is played, and
 textual facts which are displayed, when the child selects the shape.
 .
 There is no direct access to the computer's underlying intricacies.
 The current image is kept when the program quits, and reappears when
 it is restarted.  Saving images requires no need to create filenames
 or use the keyboard.  Opening an image is done by selecting it from
 a collection of thumbnails.

Package: tuxpaint-config
Version: 0.0.12-3
Architecture: armhf
Maintainer: Ben Armstrong 
Installed-Size: 675
Depends: libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.6), libgcc1 (>= 1:4.4.0), libpaper1, libstdc++6 (>= 4.3.0)
Suggests: tuxpaint (= 1:0.9.21)
Conflicts: tuxpaint (<< 1:0.9.21)
Priority: optional
Section: graphics
Filename: pool/main/t/tuxpaint-config/tuxpaint-config_0.0.12-3_armhf.deb
Size: 144686
SHA256: 4b41924dbd7cd7fd6769a939464bbe7993c986eae75b11d4cbda57a580256084
SHA1: 824e0c608621ea998e2db31e7a1b6b17d1fe5b3b
MD5sum: 45a09e3c32bccef4602f0fc8512023f9
Description: Configuration tool for Tux Paint
 Tux Paint has a rich set of configuration options, controllable via
 command-line options or configuration files.  This configuration tool
 provides a point-and-click interface for administrators to tailor Tux
 Paint to suit the needs of their users.

Package: tuxpaint-data
Source: tuxpaint
Version: 1:0.9.21-1.1
Installed-Size: 5550
Maintainer: Ben Armstrong 
Architecture: all
Replaces: tuxpaint (<< 1:0.9.13-1), tuxpaint-stamps-default (<< 2003.12.23)
Depends: tuxpaint-stamps-default | tuxpaint-stamps, ttf-freefont
Recommends: tuxpaint
Suggests: ttf-arabeyes, ttf-arphic-gbsn00lp, ttf-arphic-uming, ttf-baekmuk, ttf-gujarati-fonts, ttf-tamil-fonts, ttf-telugu-fonts, ttf-thai-tlwg, ttf-thryomanes
Conflicts: tuxpaint (<< 1:0.9.13-1), tuxpaint-stamps-default (<< 2003.12.23)
Size: 3054550
SHA256: bc0ae81c76a6e9bddf18a6ad2c51a8bbc5419a609756b9534aae8cbfcdafab26
SHA1: 9df6873d6b23088b65e1eb343fe7c3202e34ed64
MD5sum: e758cdd47a8678db3c1980e9f8ed82b8
Description: Data files for Tux Paint, a paint program for children
 Tux Paint is meant to be a simple drawing program for young
 children.  It is not meant as a general-purpose drawing tool.
 It IS meant to be fun and easy to use.  Sound effects and a
 cartoon character help let the user know what's going on, and
 keeps them entertained.
 .
 Tux Paint is extensible.  Brushes and "rubber stamp" shapes can be
 dropped in and pulled out.  For example, a teacher can drop in a
 collection of animal shapes and ask their students to draw an
 ecosystem.  Each shape can have a sound which is played, and
 textual facts which are displayed, when the child selects the shape.
 .
 There is no direct access to the computer's underlying intricacies.
 The current image is kept when the program quits, and reappears when
 it is restarted.  Saving images requires no need to create filenames
 or use the keyboard.  Opening an image is done by selecting it from
 a collection of thumbnails.
 .
 This package contains sounds, images, fonts and other data for
 Tux Paint.
Tag: role::app-data
Section: graphics
Priority: optional
Filename: pool/main/t/tuxpaint/tuxpaint-data_0.9.21-1.1_all.deb

Package: tuxpaint-dev
Source: tuxpaint
Version: 1:0.9.21-1.1
Installed-Size: 149
Maintainer: Ben Armstrong 
Architecture: all
Size: 52166
SHA256: 26f01577ff7553cf3213e7dab35c31a3a855d1f2ec537826501c62177c871d66
SHA1: 4b067fd8b858798594f8f05d67ee5ef7f064793e
MD5sum: b0c251b5b02cb46927fa72ec826d75ef
Description: Development files for Tux Paint
 This package contains development files for writing "Magic" plugins
 for Tux Paint.
Tag: devel::library, role::devel-lib
Section: devel
Priority: optional
Filename: pool/main/t/tuxpaint/tuxpaint-dev_0.9.21-1.1_all.deb

Package: tuxpaint-plugins-default
Source: tuxpaint
Version: 1:0.9.21-1.1
Architecture: armhf
Maintainer: Ben Armstrong 
Installed-Size: 1275
Depends: libc6 (>= 2.13-28)
Conflicts: tuxpaint-data (<< 1:0.9.19)
Replaces: tuxpaint-data (<< 1:0.9.19)
Provides: tuxpaint-plugins
Priority: optional
Section: graphics
Filename: pool/main/t/tuxpaint/tuxpaint-plugins-default_0.9.21-1.1_armhf.deb
Size: 900298
SHA256: 2f65152351273611fd3669757c686b95fa408e75f56794d2d89caf022eb25800
SHA1: bff97ed76c85a89ffc46b1c59e2cc25e4843df61
MD5sum: 76e98dc3c78d2cf55c3856ddbaf23305
Description: Magic tool plugins for Tux Paint
 Tux Paint is meant to be a simple drawing program for young
 children.  It is not meant as a general-purpose drawing tool.
 It IS meant to be fun and easy to use.  Sound effects and a
 cartoon character help let the user know what's going on, and
 keeps them entertained.
 .
 Tux Paint is extensible.  Brushes and "rubber stamp" shapes can be
 dropped in and pulled out.  For example, a teacher can drop in a
 collection of animal shapes and ask their students to draw an
 ecosystem.  Each shape can have a sound which is played, and
 textual facts which are displayed, when the child selects the shape.
 .
 There is no direct access to the computer's underlying intricacies.
 The current image is kept when the program quits, and reappears when
 it is restarted.  Saving images requires no need to create filenames
 or use the keyboard.  Opening an image is done by selecting it from
 a collection of thumbnails.
 .
 This package contains the default "Magic" plugins for Tux Paint.

Package: tuxpaint-stamps-default
Source: tuxpaint-stamps
Version: 2009.06.28-1
Installed-Size: 65260
Maintainer: Ben Armstrong 
Architecture: all
Provides: tuxpaint-stamps
Recommends: tuxpaint
Size: 46759306
SHA256: 771def6b1cfdfb2d388dd0ebad8974881d0802ae23d9fdec7643819eab8b6a7e
SHA1: 978c21880446aff760b8fbd6f8b85dc8aec13843
MD5sum: 9fa39805fee4f59a888b4bc551b2725d
Description: Stamp files for Tux Paint, a paint program for children
 Tux Paint is meant to be a simple drawing program for young
 children.  It is not meant as a general-purpose drawing tool.
 It IS meant to be fun and easy to use.  Sound effects and a
 cartoon character help let the user know what's going on, and
 keeps them entertained.
 .
 Tux Paint is extensible.  Brushes and "rubber stamp" shapes can be
 dropped in and pulled out.  For example, a teacher can drop in a
 collection of animal shapes and ask their students to draw an
 ecosystem.  Each shape can have a sound which is played, and
 textual facts which are displayed, when the child selects the shape.
 .
 There is no direct access to the computer's underlying intricacies.
 The current image is kept when the program quits, and reappears when
 it is restarted.  Saving images requires no need to create filenames
 or use the keyboard.  Opening an image is done by selecting it from
 a collection of thumbnails.
 .
 This package contains sounds, images and other data for Tux Paint.
Tag: interface::x11, role::app-data, uitoolkit::sdl, use::gameplaying,
 use::learning, works-with::image, works-with::image:raster,
 x11::application
Section: graphics
Priority: optional
Filename: pool/main/t/tuxpaint-stamps/tuxpaint-stamps-default_2009.06.28-1_all.deb

Package: tuxpuck
Version: 0.8.2-2.2
Architecture: armhf
Maintainer: Sven Velt 
Installed-Size: 453
Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), libogg0 (>= 1.0rc3), libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), zlib1g (>= 1:1.1.4)
Priority: optional
Section: games
Filename: pool/main/t/tuxpuck/tuxpuck_0.8.2-2.2_armhf.deb
Size: 326882
SHA256: 408fa2a9dbb1dfb99b4842be4f54b891b8475d9064436ba1a5044bd200010781
SHA1: 03659dfb4e7414cccbd96f0b6ef727464b7ce69e
MD5sum: 949c246cc38361eac6cb6f507815929f
Description: "Shufflepuck Cafe" Clone
 Anyone remember "Shufflepuck Cafe" for the Amiga/AtariST?
 I do.
 .
 TuxPuck is a shufflepuck game written in C using SDL. The player moves
 a pad around a board and tries to shoot down the puck through the
 opponents defense.

Package: tuxtype
Version: 1.8.1-5
Architecture: armhf
Maintainer: Holger Levsen 
Installed-Size: 312
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-pango1, libsdl1.2debian (>= 1.2.11), fonts-sil-andika | ttf-sil-andika (>= 1.0.basic-1), tuxtype-data
Recommends: ttf-sil-doulos, ttf-bengali-fonts, ttf-devanagari-fonts, ttf-gujarati-fonts, ttf-kannada-fonts, ttf-oriya-fonts, ttf-punjabi-fonts, ttf-tamil-fonts, ttf-telugu-fonts
Homepage: http://tux4kids.alioth.debian.org
Priority: optional
Section: games
Filename: pool/main/t/tuxtype/tuxtype_1.8.1-5_armhf.deb
Size: 116472
SHA256: 8bace9c18efa53a1501733b5c6024fd0d449d8fa6994387ba2d000c3a4fa0b9d
SHA1: 84e1d7a3d62ba2f9868ac7d7777c0a4e77fa99ec
MD5sum: aa41f16d4dd78cf68e96f43e52e7fc62
Description: Educational Typing Tutor Game Starring Tux
 TuxTyping is an educational typing tutorial game starring
 Tux, the Linux Penguin. The player guides Tux to eat fish
 which are falling from the top of the screen. Each fish has a
 letter written on it. When the player presses the
 corresponding key, Tux will position himself to eat the fish.
 The game is intended for children learning to type, though it
 does have higher difficulty levels which even experienced
 typists may find challenging.

Package: tuxtype-data
Source: tuxtype
Version: 1.8.1-5
Installed-Size: 11475
Maintainer: Holger Levsen 
Architecture: all
Replaces: tuxtype-data-nonfree
Provides: tuxtype-data-nonfree
Recommends: tuxtype
Conflicts: tuxtype-data-nonfree
Size: 9890260
SHA256: 0d65acb96bfe5b96b015840f927822fc8ef3ec66182e1783dcc60de125792a2b
SHA1: df2db4f91b7230a75f48abde969977879245bff3
MD5sum: 745675cfa82bc3fe7c5fcdcc7c6644aa
Description: Data files for the Educational Typing Tutor Game Starring Tux
 This package contains graphics, sound, themes and wordlist files for tuxtype.
 .
 TuxTyping is an educational typing tutorial game starring
 Tux, the Linux Penguin.
Homepage: http://tux4kids.alioth.debian.org
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/t/tuxtype/tuxtype-data_1.8.1-5_all.deb

Package: tv-fonts
Version: 1.1-8
Installed-Size: 284
Maintainer: Roberto Lumbreras 
Architecture: all
Replaces: xawtv (<< 3.78)
Depends: xfonts-utils (>= 1:7.5+2)
Breaks: xawtv (<< 3.78)
Size: 246436
SHA256: fb6550c1d066571f3cee715bbaaf4528f547032e101b23f057a42c55c0dade84
SHA1: 09abf623a58d1c2c38a2620e94f2ec0f28158d47
MD5sum: 4c118e8d7b54b7f23af0093b7a2dbef4
Description: X11 fonts for TV applications
 Includes:
  * big fonts for on-screen displays.
  * fonts for teletext pages (including the special graphic
    characters used for teletext graphics).
Homepage: http://linux.bytesex.org/xawtv/tvfonts.html
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: extra
Filename: pool/main/t/tv-fonts/tv-fonts_1.1-8_all.deb

Package: tvnamer
Version: 2.2.1-1
Installed-Size: 84
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-tvdb-api (>= 1.5), python-pkg-resources
Size: 27742
SHA256: 0f4c68e48867008a730f62360182ba9330ef29388e2d49f6870a83d0e96c5003
SHA1: 62518e8dda71b2eebe0ce69ef81f45cf562e0e5c
MD5sum: 029a729c7a10b8fdccb3a040ee233abc
Description: utility to rename TV-show episodes files
 Automatically names downloaded/recorded TV-episodes, by parsing filenames and
 retrieving show-names from www.thetvdb.com
Homepage: https://github.com/dbr/tvnamer
Section: video
Priority: optional
Filename: pool/main/t/tvnamer/tvnamer_2.2.1-1_all.deb

Package: tvtime
Version: 1.0.2-10
Architecture: armhf
Maintainer: Bart Martens 
Installed-Size: 1595
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libpng12-0 (>= 1.2.13-4), libsm6, libstdc++6 (>= 4.1.1), libx11-6, libxext6, libxinerama1, libxml2 (>= 2.7.4), libxtst6, libxv1, libxxf86vm1, zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, ttf-freefont, ucf, perl-modules
Recommends: xmltv-util
Suggests: lirc-x, oss-compat
Homepage: http://tvtime.net/
Priority: optional
Section: video
Filename: pool/main/t/tvtime/tvtime_1.0.2-10_armhf.deb
Size: 701742
SHA256: c5cad07d3c21ab04bcd4bb88a79a9be4d25533e49858794150e82aca5c962211
SHA1: 15435730d1151e26cbbc3de8f13ce9f873421417
MD5sum: d221dd9e79bd46576e37f823343ba30d
Description: television display application
 This package provides a high quality television application for use with
 video capture cards. It processes the input from a capture card and
 displays it on a computer monitor or projector. Tvtime focuses on high
 visual quality, making it ideal for videophiles.
 .
 Main features:
  * deinterlaced output at a full interlaced rate of 59.94 frames per
    second for NTSC sources, or 50 frames per second for PAL sources.
    This gives smoothness of motion and high visual quality;
  * multiple deinterlacing algorithms for finding the optimal mode for
    the video content and available processor speed;
  * 16:9 aspect ratio mode for the highest available resolution when
    processing input from an external DVD player or digital satellite
    receiver;
  * super-slick on-screen-display for the complete television
    experience, with a featureful menu system;
  * "2:3 pulldown" detection for optimal quality viewing of film content
    from NTSC sources.

Package: twatch
Version: 0.0.7-1
Installed-Size: 68
Maintainer: Roman V. Nikolaev 
Architecture: all
Depends: perl, libtwatch-perl, logrotate
Suggests: rtorrent
Size: 6100
SHA256: 69afe108be9f46fa607aba046615ab487d70b9b0318696fd40f18e57b2ec7bcf
SHA1: b1abd149f65b0c20c4529ab4eacc6c2bf9f04d91
MD5sum: 1770d25325d63a82150aecf92b352503
Description: watch torrent trackers and automatically download new torrents
 twatch is a simple and flexible watcher torrent trackers, based on regular
 expressions. It can download new torrent files and information about them by
 customizable filters.
Homepage: http://twatch.rshadow.ru/
Section: net
Priority: optional
Filename: pool/main/t/twatch/twatch_0.0.7-1_all.deb

Package: twclock
Version: 3.1-1
Architecture: armhf
Maintainer: Debian Hamradio Maintainers 
Installed-Size: 118
Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libpulse0 (>= 0.99.1), libsm6, libx11-6, libxt6
Homepage: http://wa0eir.home.mchsi.com/twclock.html
Priority: optional
Section: hamradio
Filename: pool/main/t/twclock/twclock_3.1-1_armhf.deb
Size: 35146
SHA256: ed366d286d947d0d59b0c2d3b541f5db2aa098bd8528c4e1f9e7e1fbe30cfb2c
SHA1: 5c887445c151bb0eafef3460a11f75ddc4d54ce6
MD5sum: 9c9b25ce4ee4a9f39ddea661216f9db6
Description: World clock for ham radio operators
 It also has an ID timer to generate a CW ID via your soundcard and
 pulseaudio.  Connect the output of your soundcard to the audio-in pin
 of your rig's accessory jack to have it transmitted.
 .
 This program is a clock designed for ham radio operators, anyone who
 needs the time of day someplace else in the world, or anyone tired of
 the same old look of their clock.  It can display the current time and
 date in hundreds of cities around the world.

Package: tweak
Version: 3.01-8
Architecture: armhf
Maintainer: Daniel Kahn Gillmor 
Installed-Size: 148
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5
Homepage: http://www.chiark.greenend.org.uk/~sgtatham/tweak/
Priority: extra
Section: editors
Filename: pool/main/t/tweak/tweak_3.01-8_armhf.deb
Size: 46152
SHA256: 7a064ec93a8dc7d49bf9184bcb3c4196df9ee4d3de07f25165484913b2858943
SHA1: 968c87ce058bccee731ddcfe52f1cc5af6291b70
MD5sum: f5ffa483378db84b0c9dd32879f8067e
Description: Efficient text-mode hex editor
 Tweak is a hex editor. It allows you to edit a file at very low
 level, letting you see the full and exact binary contents of the
 file. It can be useful for modifying binary files such as
 executables, editing disk or CD images, debugging programs that
 generate binary file formats incorrectly, and many other things.
 .
 Tweak runs under any terminal emulator using the curses library.  It
 has customizable keybindings, but the default keybindings are similar
 to emacs.

Package: twidge
Version: 1.0.8.1+nmu1
Architecture: armhf
Maintainer: John Goerzen 
Installed-Size: 20824
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, curl
Homepage: http://software.complete.org/twidge
Priority: optional
Section: utils
Filename: pool/main/t/twidge/twidge_1.0.8.1+nmu1_armhf.deb
Size: 3416470
SHA256: 4bca1dd7914ddc4def5e868d2e7d3bcfe9942b8185dac092c866ea80957f37db
SHA1: 74ccbe49ba7e2f2d2b929d05c521df20398a8004
MD5sum: 35c62f4e8c676948e0aeca0b38c99f3c
Description: Unix Command-Line Twitter and Identica Client
 twidge is a client for microblogging sites such as Twitter and
 Identica (identi.ca). Microblogging sites let you post short
 one-paragraph updates, follow the updates that your friends post, and
 interact with everyone in the site in a conversation style.
 .
 twidge is a client to make working with microblogging sites faster
 and easier. It is extremely versatile, and can be customized to work
 the way you want to work, and combined with other tools to do just
 about anything.
 .
 twidge can be used quite nicely interactively from the shell. It is
 useful directly as-is, and with simple shell aliases can make a
 highly efficient system to do exactly what you want. It is perfectly
 capable of being your only client for microblogging.
 .
 twidge also can be used in an automated way, via cron(1), or it can
 even integrate with your email system.
 .
 A full list of twidge features, along with numerous suggestions on
 how to use it, can be found at the twidge website at
 http://software.complete.org/twidge.

Package: twiggy
Version: 0.1020+dfsg-1
Installed-Size: 106
Maintainer: Debian Perl Group 
Architecture: all
Depends: perl, libanyevent-perl, libplack-perl, libtry-tiny-perl, libwww-perl
Recommends: libev-perl, libhttp-parser-xs-perl
Size: 21670
SHA256: f06692de05507f89360670795810744eec91fe129ede5401033ede30dc0c5b06
SHA1: 3f5630e04d3ae288e3e592f98e65a259fb0c0e6f
MD5sum: b00ca7f30cf48a1e5babd80e7bb8385d
Description: AnyEvent HTTP server for PSGI (like Thin)
 Twiggy is a lightweight and fast HTTP server with unique features:
  * Can run any PSGI applications. Fully supports psgi.nonblocking
    and psgi.streaming interfaces.
  * This server uses AnyEvent and runs in a non-blocking event loop,
    so it's best to run event-driven web applications that runs I/O bound
    jobs or delayed responses such as long-poll, WebSocket or streaming
    content (server push).
  * Uses XS/C based HTTP header parser for the best performance. (optional)
  * The memory required to run twiggy is 6MB and it can serve more than
    4500 req/s with a single process on Perl 5.10 with MacBook Pro 13"
    late 2009.
  * Supports Server::Starter for hot deploy and graceful restarts.
Homepage: http://search.cpan.org/dist/Twiggy/
Tag: implemented-in::perl, interface::daemon, network::server, role::program,
 web::server
Section: perl
Priority: optional
Filename: pool/main/t/twiggy/twiggy_0.1020+dfsg-1_all.deb

Package: twinkle
Version: 1:1.4.2-2.1+rpi1
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 4399
Depends: libasound2 (>= 1.0.16), libboost-regex1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libccgnu2-1.8-0, libccrtp1-1.8-0, libgcc1 (>= 1:4.4.0), libgsm1 (>= 1.0.13), libmagic1, libqt3-mt (>= 3:3.3.8b), libreadline6 (>= 6.0), libsndfile1 (>= 1.0.20), libspeex1 (>= 1.2~beta3-1), libspeexdsp1 (>= 1.2~beta3.2-1), libstdc++6 (>= 4.6), libx11-6, libxext6, libxml2 (>= 2.7.4), libzrtpcpp-1.6-0, zlib1g (>= 1:1.1.4)
Homepage: http://www.twinklephone.com/
Priority: optional
Section: comm
Filename: pool/main/t/twinkle/twinkle_1.4.2-2.1+rpi1_armhf.deb
Size: 1716766
SHA256: 97628a4f4dbfe982396556155baaaeac1ed934da31e20f7e060c948a4cc8fa53
SHA1: 334eb5efd17684af1d79ff29b0522cf586ba7e94
MD5sum: 6351d098885afe01a7277a820b859f8f
Description: Voice over Internet Protocol (VoIP) SIP Phone
 Soft-phone for making telephone calls using SIP over an IP network.
 .
 Twinkle supports direct IP phone to IP phone communication or a network
 using a SIP proxy to route your calls.
 .
 In addition to making basic voice calls Twinkle provides you the
 following features regardless of the services that your VoIP service
 provider might offer.
 .
  2 call appearances (lines)
  Multiple active call identities
  Custom ring tones
  Call Waiting
  Call Hold
  3-way conference calling
  Mute
  Call redirection on demand
  Call redirection unconditional
  Call redirection when busy
  Call redirection no answer
  Reject call redirection request
  Blind call transfer
  Call transfer with consultation (attended call transfer) (new)
  Reject call transfer request
  Call reject
  Repeat last call
  Do not disturb
  Auto answer
  Message Waiting Indication
  Voice mail speed dial
  User definable scripts triggered on call events
   E.g. to implement selective call reject or distinctive ringing
  RFC 2833 DTMF events
  In-band DTMF
  Out-of-band DTMF (SIP INFO)
  STUN support for NAT traversal
  Send NAT keep alive packets when using STUN
  NAT traversal through static provisioning
  Missed call indication
  History of call detail records for incoming, outgoing, successful and missed
  DNS SRV support
  Automatic fail-over to an alternate server if a server is unavailable
  Other programs can originate a call via Twinkle, e.g. call from address book
  System tray icon
  System tray menu to originate and answer calls while Twinkle stays hidden
  User definable number conversion rules
  Simple address book
  Support for UDP and TCP (new) as transport for SIP
  Presence
  Instant messaging
  Simple file transfer with instant message
  Instant message composition indication
  Command line interface (CLI)
 .
 VoIP security
  Secure voice communication by ZRTP/SRTP
  MD5 digest authentication support for all SIP requests
  AKAv1-MD5 digest authentication support for all SIP requests (new)
  Identity hiding
 .
 Audio codecs
  G.711 A-law (64 kbps payload, 8 kHz sampling rate)
  G.711 u-law (64 kbps payload, 8 kHz sampling rate)
  GSM (13 kbps payload, 8 kHz sampling rate)
  Speex narrow band (15.2 kbps payload, 8 kHz sampling rate)
  Speex wide band (28 kbps payload, 16 kHz sampling rate)
  Speex ultra wide band (36 kbps payload, 32 kHz sampling rate)
  G.726 (16, 24, 32 or 40 kbps payload, 8 kHz sampling rate)
 .
 For all codecs the following preprocessing options are available to improve
 quality at the far end of a call.
  Automatic gain control (AGC) (new)
  Noise reduction (new)
  Voice activity detection (VAD) (new)
  Acoustic echo control (AEC) [experimental] (new)

Package: twisted-doc
Source: twisted
Version: 12.0.0-1
Installed-Size: 2225
Maintainer: Matthias Klose 
Architecture: all
Recommends: www-browser | postscript-viewer | pdf-viewer
Suggests: python-twisted
Size: 512050
SHA256: da167aac83e443dddcb6747deb3364bdda667ae1c4f85064d2364ad06e7c4844
SHA1: 9dfcbea514c819e6badae89df66175dd11d8d46f
MD5sum: 1635f251c4728f25086a1fce84a2c14b
Description: Official documentation of Twisted
 This contains various HOWTOs and overviews in various formats
 .
 Twisted is an event-based framework for internet applications.
 It includes a web server, a telnet server, a multiplayer RPG engine, a
 generic client and server for remote object access, and APIs for creating
 new protocols.
Tag: devel::doc, devel::examples, devel::lang:python, made-of::html,
 network::service, role::documentation
Section: doc
Priority: extra
Filename: pool/main/t/twisted/twisted-doc_12.0.0-1_all.deb

Package: twittering-mode
Version: 2.0.0+git20120325-1
Installed-Size: 616
Maintainer: Takaya Yamashita 
Architecture: all
Depends: emacs | emacs23 | emacs-snapshot, curl | gnutls-bin | openssl
Size: 190800
SHA256: 30abf1458d2aa59bebb1c3bc9bcd4453dad39d3b12f4eeb77667bc0d1cf1f790
SHA1: 1c2155107d39fa22aba1f021857fcfadb4da6a16
MD5sum: d251187d81d5ecad5eaaa7f4d60f3eef
Description: Twitter client for Emacs
 You can check friends timeline, and update your status on Emacs.
 .
 The feature of twittering-mode:
  * Activities on Twitter
    * Viewing various timelines
      * Friends' timeline
      * Replies
      * User's timeline
      * Public timeline
    * Posting tweets
      * Direct message
      * ReTweet
      * Hash tag
      * Signiture
    * Following and removing users
    * Marking tweets as favorites
  * HTTP Proxy support
  * Secure connection via HTTPS (cURL is required)
Homepage: http://twmode.sf.net/
Section: lisp
Priority: extra
Filename: pool/main/t/twittering-mode/twittering-mode_2.0.0+git20120325-1_all.deb

Package: twm
Version: 1:1.0.6-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 314
Depends: menu (>= 2.1.26), libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxmu6, libxt6
Provides: x-window-manager
Priority: optional
Section: x11
Filename: pool/main/t/twm/twm_1.0.6-1_armhf.deb
Size: 116834
SHA256: 28198ae804390e36bad5c37445dc9d8635e25c311210d477be86810fd5f674a1
SHA1: b82bf71a01a29d0a9d64cf6ac8d615a50a878ee5
MD5sum: b1cb82402f0be9cf7ae2d1a5e3b76692
Description: Tab window manager
 twm is a window manager for the X Window System.  It provides title bars,
 shaped windows, several forms of icon management, user-defined macro
 functions, click-to-type and pointer-driven keyboard focus, and
 user-specified key and pointer button bindings.

Package: twms
Version: 0.03e-2
Installed-Size: 202
Maintainer: Andrew O. Shadura 
Architecture: all
Provides: python-twms
Depends: python (>= 2.5), python-support (>= 0.90.0), python-imaging (>= 1.1.6), python-pyproj (>= 1.8.6), python-webpy (>= 1:0.33), adduser
Suggests: python-psyco
Size: 34062
SHA256: 172fdfe431c118748a0448ca4fdf639ca7cea231b9fceaeef1d8ae501d0da411
SHA1: 27c3759f0157f4bd920bbb10637c912a016eb855
MD5sum: c0f4222da411f6ba4d047c99aa89a05a
Description: tiny web map service
 tWMS is a tiny WMS server for exporting your map
 tiles to WMS-enabled applications.
 .
 tWMS can export a set of raster tiles as a WMS service
 so GIS applications that support WMS protocol can access
 this tile set. Also, tWMS can act as a proxy and perform
 WMS requests to external services and serve the tile cache
 to WMS and TMS clients.
Homepage: http://code.google.com/p/twms/
Section: science
Priority: extra
Filename: pool/main/t/twms/twms_0.03e-2_all.deb

Package: twoftpd
Version: 1.41-1
Architecture: armhf
Maintainer: Gerrit Pape 
Installed-Size: 229
Depends: libbg1, libc6 (>= 2.13-28), libcvm1
Recommends: twoftpd-run, runit, ipsvd, cvm
Priority: optional
Section: net
Filename: pool/main/t/twoftpd/twoftpd_1.41-1_armhf.deb
Size: 103484
SHA256: 5d7138e9b4a18f7e2cdf086365801d484330f7edbe946f695814091123f061e3
SHA1: 6dc3569ff89c86a7d6674eb6e6c6b791d59a8b5c
MD5sum: 899c3b7472e42742e71181d817dcb6af
Description: a simple secure efficient FTP server (programs)
 This is twoftpd, an FTP server that strives to be secure, simple, and
 efficient.  None of the commands can cause execution of other programs,
 and the normal model of execution does a chroot to the logged in user's
 directory immediately after authentication.
 .
 The name "twoftpd" comes from the fact that there were two parts to the
 server -- an authenticating front end, which contains no file or data
 transfer code, and a back end, which contains all the data transfer
 code.
 .
 This package contains the twoftpd programs.

Package: twoftpd-run
Source: twoftpd
Version: 1.41-1
Installed-Size: 180
Maintainer: Gerrit Pape 
Architecture: all
Provides: ftp-server
Depends: twoftpd, runit (>= 1.8.0-2), ipsvd, cvm, adduser
Suggests: runit-run
Conflicts: ftp-server
Size: 43584
SHA256: 6640e593420926deb76d7856679ed63a58bfc765e9e22f7807e5124131ca2410
SHA1: e3883d8cc1daee612936ecf3cccd7a59e5bc4358
MD5sum: 16f4912f67249d721e4af423cf1baf3d
Description: a simple secure efficient FTP server
 This is twoftpd, an FTP server that strives to be secure, simple, and
 efficient.  None of the commands can cause execution of other programs,
 and the normal model of execution does a chroot to the logged in user's
 directory immediately after authentication.
 .
 This package sets up the twoftpd service to listen on 0.0.0.0:21, and
 additionally provides a service directory to run an anonymous twoftpd
 service.
Tag: admin::configuring, interface::commandline, protocol::ftp,
 role::program, scope::utility, use::configuring
Section: admin
Priority: optional
Filename: pool/main/t/twoftpd/twoftpd-run_1.41-1_all.deb

Package: twolame
Version: 0.3.13-1
Architecture: armhf
Maintainer: Debian MythTV Team 
Installed-Size: 78
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsndfile1 (>= 1.0.20), libtwolame0
Homepage: http://www.twolame.org
Priority: optional
Section: sound
Filename: pool/main/t/twolame/twolame_0.3.13-1_armhf.deb
Size: 23916
SHA256: e00b9b7fb5f1ebfdf712daf302f3dc8bc574dd26e5aa5d23130db5e65f6fc16a
SHA1: b0a2761c686442198491629f6b164ab030ec6fc3
MD5sum: 07f4eee56b4b5fca20d7933eb7066f6f
Description: MPEG Audio Layer 2 encoder (command line frontend)
 TwoLAME is an optimized MPEG Audio Layer 2 encoder. It is based on tooLAME by
 Mike Cheng, which in turn is based upon the ISO dist10 code and portions of
 LAME.
 .
 Because of patent issues surrounding the MPEG Audio Layer 3 (MP3) encoders,
 the ability of most MPEG Audio players to play layer 2 files, and the
 similarity in command-line syntax and API, TwoLAME makes a very good
 drop-in replacement for LAME or other layer 3 encoders.
 .
 This package contains the command line frontend encoder.

Package: tworld
Version: 1.3.0-6
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 204
Depends: libc6 (>= 2.13-28), libsdl1.2debian (>= 1.2.11), tworld-data (= 1.3.0-6)
Homepage: http://www.muppetlabs.com/~breadbox/software/tworld/
Priority: optional
Section: games
Filename: pool/main/t/tworld/tworld_1.3.0-6_armhf.deb
Size: 91010
SHA256: 239eca61adfeb9276edc82c92690312b97a9f924aac51eac8b8b4454cb220e84
SHA1: 70e0a564f6b1a21b30d9c212c2a276079ab77826
MD5sum: 18b8ba28095f06e3fdc0d700fbfdaf32
Description: Chip's Challenge Game Engine Emulation
 Tile World is an emulation of the game "Chip's Challenge".  "Chip's
 Challenge" was originally written for the Atari Lynx by Chuck Sommerville,
 and was later ported to MS Windows by Microsoft (among other ports).
 .
 Please note: Tile World is an emulation of the game engine(s) only.  It does
 not come with the chips.dat file that contains the original level set.  This
 file is copyrighted and cannot be freely distributed.  The chips.dat file
 was originally part of the MS version of "Chip's Challenge".  If you have a
 copy of this version of the game, you can use that file to play the game in
 Tile World.  If you do not have a copy of this file, however, you can still
 play Tile World with the many freely available level sets created by fans of
 the original game, including CCLP2.  Because the version that Microsoft
 released introduced a number of changes to the rules of the game, Tile World
 is capable of emulating either the MS version or the original Atari Lynx
 version of the game.

Package: tworld-data
Source: tworld
Version: 1.3.0-6
Installed-Size: 5940
Maintainer: Debian Games Team 
Architecture: all
Replaces: tworld (<< 1.3.0-4)
Size: 942894
SHA256: 409f1925bf6f28f62f1d950c658e5b43ba864878206d4217f5160b3a40edbe2d
SHA1: 089ecab81f4338fefa035d9e741eea5e15956609
MD5sum: 4c23a323c2159c3910bb25eb179e52cd
Description: Chip's Challenge Game Engine Emulation - level data files
 Tile World is an emulation of the game "Chip's Challenge".  "Chip's
 Challenge" was originally written for the Atari Lynx by Chuck Sommerville,
 and was later ported to MS Windows by Microsoft (among other ports).
 .
 This package contains the architecture independent data files.
Homepage: http://www.muppetlabs.com/~breadbox/software/tworld/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/t/tworld/tworld-data_1.3.0-6_all.deb

Package: twpsk
Version: 4.0-1
Architecture: armhf
Maintainer: Debian Hamradio Maintainers 
Installed-Size: 219
Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libpulse0 (>= 0.99.1), libsm6, libstdc++6 (>= 4.3.0), libx11-6, libxext6, libxp6, libxt6
Suggests: twlog
Homepage: http://wa0eir.home.mchsi.com/twpsk.html
Priority: optional
Section: hamradio
Filename: pool/main/t/twpsk/twpsk_4.0-1_armhf.deb
Size: 78102
SHA256: 3dc698ebade86c0c143ddd773c5b615be2cb8004bfb7fdca57d1724ac2fce094
SHA1: 87bb8a1c178c755a0858cd2e132a29e4bc805f89
MD5sum: 88e323c66ba7bc08a4172c87724a1712
Description: Soundcard-based X program for operating PSK31
 Twpsk uses a soundcard to receive and transmit PSK31, an extremely
 narrow band HF-mode. PSK31 is a mode for keyboard QSO's.

Package: txt2html
Version: 2.51-1
Installed-Size: 296
Maintainer: Varun Hiremath 
Architecture: all
Depends: perl (>= 5.6.0-16), libgetopt-argvfile-perl, libyaml-syck-perl
Suggests: perl-doc
Size: 91494
SHA256: 1adb754e5a9dbdeb95bec88776c81bb2e24350990b39b3fb672fe95a66d72e94
SHA1: 89b9e40f48124f935e7bfb86b1a9508ced1a7b77
MD5sum: b50cf92a3d3c0377c64b1f354f2cb6f7
Description: Text to HTML converter
 txt2html is a Perl program that converts plain text to HTML.
 .
 It supports headings, lists, simple character markup, and hyperlinking, and is
 highly customizable. It recognizes some of the apparent structure of the
 source document (mostly whitespace and typographic layout), and attempts to
 mark that structure explicitly using HTML.
 .
 The purpose for this tool is to provide an easier way of converting existing
 text documents to HTML format, giving something nicer than just whapping the
 text into a big PRE block. txt2html can also be used to aid in writing new
 HTML documents, but there are probably better ways of doing that.
Homepage: http://txt2html.sourceforge.net/
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility, use::converting, works-with-format::html,
 works-with-format::plaintext, works-with::text
Section: text
Priority: optional
Filename: pool/main/t/txt2html/txt2html_2.51-1_all.deb

Package: txt2man
Version: 1.5.5-4
Installed-Size: 88
Maintainer: Fredrik Steen 
Architecture: all
Depends: gawk
Size: 15528
SHA256: 81296e57d948a9fb1cbb553af9fdc62e0ab3da83091d3e6eeaf140de6d864576
SHA1: 04a077f9e5ae822096f62a59ec52279ad5eb032e
MD5sum: 86e6a6b7e6201baad2d6d0b71d9c9074
Description: Converts flat ASCII text to man page format
 It is a shell script using gnu awk, that should run
 on any Unix-like system. The syntax of the ASCII text
 is very straightforward and looks very much like the
 output of the man(1) program.
Homepage: http://mvertes.free.fr/download/
Tag: interface::commandline, role::program, scope::utility, use::converting,
 works-with-format::man, works-with-format::plaintext, works-with::text
Section: text
Priority: optional
Filename: pool/main/t/txt2man/txt2man_1.5.5-4_all.deb

Package: txt2pdbdoc
Version: 1.4.4-6
Architecture: armhf
Maintainer: Erik Schanze 
Installed-Size: 100
Depends: libc6 (>= 2.4), perl
Suggests: pilot-link
Priority: optional
Section: otherosfs
Filename: pool/main/t/txt2pdbdoc/txt2pdbdoc_1.4.4-6_armhf.deb
Size: 36452
SHA256: 290dd21e7dc1b0745905882728412022acb7bad8d80e15fa3832e6e71d6caa7e
SHA1: 3a0036304f5b9c7f2c02ff2bf4e81be338e2c536
MD5sum: c69ffc756d99e86ee9b0d67986bbd2ab
Description: convert plain text files to Palm DOC (for PalmOS) and back
 This utility converts plain text files (or HTML files) to the de facto
 PalmOS standard DOC format for use in document readers (such as "C Spot
 Run") and editors (such as "ZDOC").  DOC files are compressed by
 default, and txt2pdbdoc can also convert DOC files back to plain text.
 .
  Homepage: http://homepage.mac.com/pauljlucas/software/txt2pdbdoc/index.html

Package: txt2regex
Version: 0.8-4
Installed-Size: 260
Maintainer: Rene Engelhard 
Architecture: all
Depends: bash (>> 2.04)
Size: 36378
SHA256: ada3d6b42f26b540a853714657a3b48447b01802262157e8843c9290ceb346cf
SHA1: e756d5b85c1d2d61d3033fc63eec88d97db5bd16
MD5sum: 67c39023e6b6ef95cb6a032de0fa0d7b
Description: A Regular Expression "wizard", all written with bash2 builtins
 ^txt2regex$ is a Regular Expression "wizard", all written with bash2
 builtins, that converts human sentences to RegExs. With a simple
 interface, you just answer to questions and build your own RegEx for a
 large variety of programs, like awk, emacs, grep, perl, php, procmail,
 python, sed and vim. There are more than 20 supported programs.
Tag: implemented-in::shell, interface::commandline, role::program,
 scope::utility, use::converting, use::learning, use::searching
Section: utils
Priority: optional
Filename: pool/main/t/txt2regex/txt2regex_0.8-4_all.deb

Package: txt2tags
Version: 2.6-3
Installed-Size: 1152
Maintainer: Python Applications Packaging Team 
Architecture: all
Provides: python-txt2tags
Depends: python (>= 2.6.6-7~), python2.6 | python2.7, python (<< 2.8)
Suggests: python-tk
Size: 437574
SHA256: 613930893adc17d9854312ab435337b54eccc1060a2790fd6b6f67f2f8c34d6c
SHA1: 85f3b187e01e29d7f2e75d39ae45ee9c1e4b4521
MD5sum: 73dce790ebbd38a1394b6abb5333eac5
Description: conversion tool to generating several file formats
 txt2tags is a document generator written in Python that
 generates HTML, XHTML, SGML, LaTeX, Lout, man page, Wikipedia,
 Google Code Wiki, DokuWiki, MoinMoin, Magic Point and PageMaker
 documents from a plain text file with little marks.
 .
 Different from other conversion tools, it is generic, and not
 target-specific (as a txt2html tool). This way, you can keep just
 one source text file and one tool for all your formatting needs.
 .
 Supports header, title, bold/italic/underline/strike, preformatted,
 quote, link, lists, bar, image and table.
 .
 With txt2tags, you can focus your mind on the document content, and
 forget about formatting. Just let the program do this dirty job.
Homepage: http://txt2tags.sourceforge.net/
Tag: implemented-in::python, interface::commandline, role::program,
 scope::utility, use::converting, use::text-formatting,
 works-with-format::html, works-with-format::sgml, works-with::text
Section: text
Priority: optional
Filename: pool/main/t/txt2tags/txt2tags_2.6-3_all.deb

Package: txtreader
Version: 0.6.5-1
Architecture: armhf
Maintainer: Debian Chinese Team 
Installed-Size: 188
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: http://code.google.com/p/txtreader4linux/
Priority: optional
Section: text
Filename: pool/main/t/txtreader/txtreader_0.6.5-1_armhf.deb
Size: 73658
SHA256: 8422ef9edf3061fc08e80d5e0679f8efabd81736794d4a4b360280eefaaff774
SHA1: 97d26204e76d2d33b2b9200b9b773f7bc34039ad
MD5sum: 8e7e68cb3bc273473b012b639db6aa8b
Description: text viewer, mainly used for reading novels
 Txt Reader is a general text viewer, especially suited for reading novels.
 .
 Features:
  * Automatically remember the place before you closed
  * Support fullscreen mode
  * Support hiding reader to tray with Esc
  * Support page-scrolling with arrow keys
  * Support bookmark
  * Support encoding selection

Package: typespeed
Version: 0.6.5-1.1
Architecture: armhf
Maintainer: Dafydd Harries 
Installed-Size: 240
Depends: fileutils (>= 4.0-5) | coreutils (>> 5), libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5
Conflicts: suidmanager (<< 0.50)
Homepage: http://tobias.eyedacor.org/typespeed/
Priority: optional
Section: games
Filename: pool/main/t/typespeed/typespeed_0.6.5-1.1_armhf.deb
Size: 77622
SHA256: ccae45970d50c82f2b4e54409a26f2a94dddc1bfe95380c17fbfebd20674d93f
SHA1: ad278960ee24c1e24839953c92b28654f6b6e352
MD5sum: 2ccb6cc389490b079d8b3c9f834286b0
Description: Zap words flying across the screen by typing them correctly
 Typespeed is a game in which words fly across your screen. Your task is to
 zap the words by typing them before they cross the screen. If too many words
 get by you, the game is over.

Package: typo3
Source: typo3-src
Version: 4.5.19+dfsg1-5+wheezy4
Installed-Size: 21
Maintainer: Christian Welzel 
Architecture: all
Depends: typo3-database, typo3-dummy, typo3-src-4.5
Size: 1392
SHA256: 64fdf54a5bbb4385b1cb983f170fe0b54089ca46d83980145ffa67e5d67da8a2
SHA1: 2c0c76f362bbe1787ed8f9d47cf5fb9c55fd7393
MD5sum: 9753b5df4e4f68048a16da3b0822c64e
Description: web content management system (meta)
 TYPO3 is a free Open Source content management system for enterprise purposes
 on the web and in intranets. It offers full flexibility and extendability while
 featuring an accomplished set of ready-made interfaces, functions and modules.
 .
 The most important key features of TYPO3 are:
  * Simple creation of multi language websites with fallback to a default
    language
  * Handling of multi domain websites within a single page tree
  * Multi user backend editing with complete GUI customisation using an
    advanced ACL system
  * An integrated workflow management system based on an multi step architecture
    with editor and supervisor privileges
  * Unlimited redefinition of the rendering process using the build-in
    TypoScript configuration interface or the plugin API
  * Thousands of plugins are available in the online TYPO3 extension repository
 .
 This package is a metapackage and depends on all TYPO3 packages that are
 necessary to build a local running TYPO3 installation.
Homepage: http://www.typo3.org
Section: web
Priority: optional
Filename: pool/main/t/typo3-src/typo3_4.5.19+dfsg1-5+wheezy4_all.deb

Package: typo3-database
Source: typo3-src
Version: 4.5.19+dfsg1-5+wheezy4
Installed-Size: 404
Maintainer: Christian Welzel 
Architecture: all
Depends: mysql-server, dbconfig-common (>= 1.8.39), debconf, debconf (>= 0.5) | debconf-2.0
Size: 282434
SHA256: 9d6e88bbd5a856a626d8243c9199c80cf7a05eba045fc9d136360c96e94c20af
SHA1: fa05f97a8853f461bc83a32d5e1a39fa0bc0bac3
MD5sum: e69125deace10f67ed53ca78e17ceb31
Description: web content management system (database)
 TYPO3 is a free Open Source content management system for enterprise purposes
 on the web and in intranets. It offers full flexibility and extendability while
 featuring an accomplished set of ready-made interfaces, functions and modules.
 .
 The most important key features of TYPO3 are:
  * Simple creation of multi language websites with fallback to a default
    language
  * Handling of multi domain websites within a single page tree
  * Multi user backend editing with complete GUI customisation using an
    advanced ACL system
  * An integrated workflow management system based on an multi step architecture
    with editor and supervisor privileges
  * Unlimited redefinition of the rendering process using the build-in
    TypoScript configuration interface or the plugin API
  * Thousands of plugins are available in the online TYPO3 extension repository
 .
 This package provides the basic structure and some predefined datasets of a
 TYPO3 database. You should install this package on the host you intend to
 run the TYPO3 database on.
Homepage: http://www.typo3.org
Recommends: typo3-dummy, typo3-src-4.5
Section: web
Priority: optional
Filename: pool/main/t/typo3-src/typo3-database_4.5.19+dfsg1-5+wheezy4_all.deb

Package: typo3-dummy
Source: typo3-src
Version: 4.5.19+dfsg1-5+wheezy4
Installed-Size: 465
Maintainer: Christian Welzel 
Architecture: all
Depends: exim4 | mail-transport-agent, dbconfig-common, debconf, graphicsmagick, lsb-base (>= 3.0-6), php5, php5-cli, php5-gd, php5-mysql, typo3-src-4.5, debconf (>= 0.5) | debconf-2.0
Size: 290658
SHA256: a040eebcf6a9c7a7231a2b9a3bf09d8f87129c62734e6b2f950ea8c0f4b74c44
SHA1: 0a53d4d1f64efac011324085cca3759e9859a0c8
MD5sum: 8b2ce006a379c825b1668715f19cb259
Description: web content management system (basic site structure)
 TYPO3 is an enterprise-level content management system for use on the web
 and in intranets. It is flexible, extensible, and well supplied with
 ready-made interfaces, functions, and modules.
 .
 Its key features are:
  * simple creation of multi-language websites with fallback to a default
    language;
  * handling of multi-domain websites within a single page tree;
  * multi-user backend editing via a completely customizable GUI using an
    advanced ACL system;
  * an integrated workflow management system based on a multi-tier
    architecture with editor and supervisor privileges;
  * unlimited redefinition of the rendering process using the built-in
    TypoScript configuration interface or the plugin API;
  * thousands of plugins available in the online TYPO3 extension repository.
 .
 This package provides the basic directory structure to start a fresh
 website based on TYPO3. It includes scripts to register the site in
 Apache, with a default configuration intended for single vhost
 installations. TYPO3 can also be configured to work with several vhosts
 and a single src-dir, but such setups require some manual configuration.
Homepage: http://www.typo3.org
Recommends: apache2 | http, catdoc, ghostscript, memcached, php5-curl, php5-memcache, php5-suhosin, php5-xcache | php-apc, ppthtml, typo3-database, unrtf, xlhtml, xpdf-utils
Section: web
Priority: optional
Filename: pool/main/t/typo3-src/typo3-dummy_4.5.19+dfsg1-5+wheezy4_all.deb

Package: typo3-src-4.5
Source: typo3-src
Version: 4.5.19+dfsg1-5+wheezy4
Installed-Size: 45769
Maintainer: Christian Welzel 
Architecture: all
Depends: libjs-swfobject, libjs-prototype (>= 1.6.0), libjs-scriptaculous (>= 1.8.1), libjs-swfupload, libphp-adodb (>= 5.07), libphp-swiftmailer (>= 4.0.6), ttf-bitstream-vera
Suggests: catdoc, ghostscript, php5-curl, ppthtml, unrtf, xlhtml, xpdf-utils
Size: 20089722
SHA256: 22a8daf7ad1f1271772d16670b9a1b78148241f937066e0a3f9954df2553ab34
SHA1: 692fd3056087a3d4a8c35218e7cd7a4d276e4414
MD5sum: 3f21e2adaa07dbe152ecc7aad09cb08a
Description: web content management system (core)
 TYPO3 is a free Open Source content management system for enterprise purposes
 on the web and in intranets. It offers full flexibility and extendability while
 featuring an accomplished set of ready-made interfaces, functions and modules.
 .
 The most important key features of TYPO3 are:
  * Simple creation of multi language websites with fallback to a default
    language
  * Handling of multi domain websites within a single page tree
  * Multi user backend editing with complete GUI customisation using an
    advanced ACL system
  * An integrated workflow management system based on an multi step architecture
    with editor and supervisor privileges
  * Unlimited redefinition of the rendering process using the build-in
    TypoScript configuration interface or the plugin API
  * Thousands of plugins are available in the online TYPO3 extension repository
 .
 This package provides the core engine of TYPO3, often called The Source.
Homepage: http://www.typo3.org
Recommends: exim4 | mail-transport-agent, graphicsmagick, php5, php5-cli, php5-gd, php5-mysql, php5-xcache | php-apc, typo3-database, typo3-dummy
Section: web
Priority: optional
Filename: pool/main/t/typo3-src/typo3-src-4.5_4.5.19+dfsg1-5+wheezy4_all.deb

Package: tzc
Version: 2.6.15-5.2
Architecture: armhf
Maintainer: Theodore Y. Ts'o 
Installed-Size: 67
Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libzephyr4, zephyr-clients
Priority: optional
Section: net
Filename: pool/main/t/tzc/tzc_2.6.15-5.2_armhf.deb
Size: 17748
SHA256: 29580bdb82a01400644be521f209d5aed80a569db07919d381d39f168fe11425
SHA1: b6f09fbfd39a6509d2c7f82a0e342d7b312dbd03
MD5sum: 3b6acb8e2874d819d5b20e26b32c419c
Description: Trivial Zephyr Client
 tzc is a trivial zephyr client program which talks to the zephyr servers
 (via the "zephyr host manager").   It is primarily used by the gnu-emacs
 zephyr-mode package.

Package: tzdata
Version: 2018e-0+deb7u1
Installed-Size: 1780
Maintainer: GNU Libc Maintainers 
Architecture: all
Replaces: libc0.1, libc0.3, libc6, libc6.1
Provides: tzdata-wheezy
Depends: debconf (>= 0.5) | debconf-2.0
Size: 496124
SHA256: 3c9698acf6e746632794532ea5c18cf8b9988d2600f95597ed2a8a553f145049
SHA1: c841a25d1979b58d7f942f51a2a4301502c5e18b
MD5sum: 828423ad382e427a80e71f5258133df6
Description: time zone and daylight-saving time data
 This package contains data required for the implementation of
 standard local time for many representative locations around the
 globe. It is updated periodically to reflect changes made by
 political bodies to time zone boundaries, UTC offsets, and
 daylight-saving rules.
Homepage: http://www.iana.org/time-zones
Multi-Arch: foreign
Section: libs
Priority: required
Filename: pool/main/t/tzdata/tzdata_2018e-0+deb7u1_all.deb

Package: tzdata-java
Source: tzdata
Version: 2018e-0+deb7u1
Installed-Size: 360
Maintainer: GNU Libc Maintainers 
Architecture: all
Depends: tzdata (= 2018e-0+deb7u1)
Size: 137072
SHA256: 5701f4ade9d6961e81259acc853f895732546e0929a401785c14b1833791f401
SHA1: 82087fbcc6f8cba192a227fa05ab4cdd28455067
MD5sum: dc5d64e9bd79867cfeb259528ff12d7e
Description: time zone and daylight-saving time data for use by java runtimes
 This package contains data required for the implementation of
 standard local time for many representative locations around the
 globe. It is updated periodically to reflect changes made by
 political bodies to time zone boundaries, UTC offsets, and
 daylight-saving rules.
 .
 This package contains the data for use by Java runtimes.
Homepage: http://www.iana.org/time-zones
Multi-Arch: foreign
Section: libs
Priority: optional
Filename: pool/main/t/tzdata/tzdata-java_2018e-0+deb7u1_all.deb

Package: tzwatch
Source: gworldclock
Version: 1.4.4-9
Installed-Size: 68
Maintainer: Drew Parsons 
Architecture: all
Suggests: gworldclock, twclock
Conflicts: twclock (<= 1.3-15)
Size: 13368
SHA256: cec024917cee7d007df6552b76017840fc5cd993cfa24e97c99cc8c232b59d6a
SHA1: 9b9a2924c8274cde6ab739d0c8966f88191ac74d
MD5sum: da9afb56145b95eb2993b340cc622b60
Description: Displays time and date in specified time zones on console
 This shell script displays the time and date of time zones specified by the
 user.  The time zones may be entered by hand in TZ format or chosen from a
 list prepared from /usr/share/zoneinfo/zone.tab.  The zone list is kept in a
 format consistent with the GTK+ application gworldclock.
Tag: implemented-in::shell, interface::commandline, role::program,
 scope::utility, use::timekeeping
Section: utils
Priority: optional
Filename: pool/main/g/gworldclock/tzwatch_1.4.4-9_all.deb

Package: u-boot
Version: 2012.04.01-2
Architecture: armhf
Maintainer: Clint Adams 
Installed-Size: 3300
Homepage: http://www.denx.de/wiki/U-Boot/
Priority: optional
Section: admin
Filename: pool/main/u/u-boot/u-boot_2012.04.01-2_armhf.deb
Size: 1576988
SHA256: 642a123836fbd9b29b95ef8159c43f4a08914628b26516158c0ea9f4581c04ea
SHA1: e25f000f101dc3f83a7570fc38bb869932dd1271
MD5sum: 620ee0027d86cdb27829722ea47bc55b
Description: A boot loader for embedded systems
 Das U-Boot is a cross-platform bootloader for embedded systems,
 used as the default boot loader by several board vendors.  It is
 intended to be easy to port and to debug, and runs on many
 supported architectures, including PPC, ARM, MIPS, x86, m68k,
 NIOS, and Microblaze.

Package: u-boot-tools
Source: u-boot
Version: 2012.04.01-2
Architecture: armhf
Maintainer: Clint Adams 
Installed-Size: 152
Depends: libc6 (>= 2.13-28)
Breaks: u-boot (<< 2010.12-2), uboot-envtools (<< 20081215-3~), uboot-mkimage (<= 0.4build1)
Replaces: u-boot (<< 2010.12-2), uboot-envtools (<< 20081215-3~), uboot-mkimage (<= 0.4build1)
Homepage: http://www.denx.de/wiki/U-Boot/
Priority: optional
Section: admin
Filename: pool/main/u/u-boot/u-boot-tools_2012.04.01-2_armhf.deb
Size: 56334
SHA256: 3fd7dec7692235cda521c9148725e5c3258c8667e5ad84cf4fc31eeafd1827c0
SHA1: f86c2c29323bb99db62d64bcb20ef4e356c588e1
MD5sum: a20491629e49f01a04baa5d04933dbd7
Description: companion tools for Das U-Boot bootloader
 This package includes the mkimage program, which allows generation of U-Boot
 images in various formats, and the fw_printenv and fw_setenv programs to read
 and modify U-Boot's environment.

Package: u3-tool
Version: 0.3-1.1
Architecture: armhf
Maintainer: Evgeni Golov 
Installed-Size: 66
Depends: libc6 (>= 2.4)
Homepage: http://u3-tool.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/u/u3-tool/u3-tool_0.3-1.1_armhf.deb
Size: 18220
SHA256: abd5594048d8ce91c49571cf3405be524d8d9806617ccd7b25291b3b324bf5ba
SHA1: 7a3e464f4551a0123852555b9d6b3fbcabc3f7f2
MD5sum: 648e4e04703e05a5a82adb4cf733f66e
Description: tool for controlling the special features of a U3 USB flash disk
 Tool for controlling USB flash devices that conform to the U3 specifications.
 You can do the following with your U3 flash:
  * Replace the CD image
  * Change the size of the virtual CD or completely remove it
  * Enable and disable security
  * Unlock and change the password of secured U3 device
  * Obtain various device information

Package: uanytun
Version: 0.3.3-1
Architecture: armhf
Maintainer: Michael Prokop 
Installed-Size: 161
Depends: libc6 (>= 2.7), libgcrypt11 (>= 1.4.5), adduser
Homepage: http://www.anytun.org/
Priority: extra
Section: net
Filename: pool/main/u/uanytun/uanytun_0.3.3-1_armhf.deb
Size: 34676
SHA256: b1f7529b668e1067c89d15a89752ba9944f4722fd62776e984ce716b011ad240
SHA1: 1766c4513b8790ea7280db3e4e2a492e17c3e07b
MD5sum: 21e0cb21b4add8cddd81c0e70c1d9fc7
Description: tiny implementation of the secure anycast tunneling protocol
 uAnytun is a tiny implementation of SATP (Secure Anycast Tunneling
 Protocol). Unlike Anytun which is a full featured implementation
 uAnytun has no support for multiple connections or synchronisation.
 It is a small single threaded implementation intended to act as a
 client on small platforms. SATP defines a protocol used for
 communication between any combination of unicast and anycast tunnel
 endpoints. It has less protocol overhead than IPSec in Tunnel mode
 and allows tunneling of every ETHER TYPE protocol (e.g. ethernet,
 ip, arp ...). SATP directly includes cryptography and message
 authentication based on the methodes used by SRTP (Secure Real-time
 Transport Protocol). It is intended to deliver a generic,
 scaleable and secure solution for tunneling and relaying of packets
 of any protocol.

Package: uapevent
Version: 1.4-2
Architecture: armhf
Maintainer: Bdale Garbee 
Installed-Size: 53
Depends: libc6 (>= 2.4)
Priority: optional
Section: net
Filename: pool/main/u/uapevent/uapevent_1.4-2_armhf.deb
Size: 9260
SHA256: 13dd2a40dfc6125d9ad2ffd66f3a1ba92d4767b6a7d7f5408e18a2520269f475
SHA1: 3dd03211f30a82f92be3c7eb5e3e05df34c3a4f9
MD5sum: 2bb8d8dadd6af9c83c7a784db72a6d84
Description: event monitoring tool for Marvell uAP wireless driver
 A small utility for receiving and interpreting event notifications from
 the Marvell uAP wireless driver.

Package: uaputl
Version: 1.12-2
Architecture: armhf
Maintainer: Bdale Garbee 
Installed-Size: 196
Depends: libc6 (>= 2.7)
Priority: optional
Section: net
Filename: pool/main/u/uaputl/uaputl_1.12-2_armhf.deb
Size: 51950
SHA256: 0e37159c3d8b829f0f4021f56cd39ba2f9aa1b609031188db62bc5182e25048e
SHA1: d6b6ee3b87a46726e30bd62b91ffbc5cce1baf72
MD5sum: 8e7b6496f916f98d56c59e0a63047efc
Description: admin utility for Marvell uAP wireless driver
 A small utility for configurating the Marvell uAP wireless driver.

Package: uboot-envtools
Source: u-boot (2012.04.01-2)
Version: 20081215-3+2012.04.01-2
Installed-Size: 63
Maintainer: Clint Adams 
Architecture: all
Depends: u-boot-tools
Size: 16200
SHA256: a9da042bd250747d4fac4c02bcc27f91a3db2a992d85263b2b7725356fd81d29
SHA1: 2fc1b417545df7911b59447b5d30c442302c6462
MD5sum: da9dacede4647216412dc4b909f5f597
Description: read/modify the environment for the U-Boot boot loader (transitional package)
 Package to ease upgrading from uboot-envtools to u-boot-tools.
 .
 This package may safely be removed.
Homepage: http://www.denx.de/wiki/U-Boot/
Section: utils
Priority: extra
Filename: pool/main/u/u-boot/uboot-envtools_20081215-3+2012.04.01-2_all.deb

Package: uboot-mkimage
Source: u-boot
Version: 2012.04.01-2
Installed-Size: 63
Maintainer: Clint Adams 
Architecture: all
Depends: u-boot-tools
Size: 16170
SHA256: 7a17525370b5df3bb53a280238388b75e0f1700b0be06c6f3f01321677285f68
SHA1: 2c59a5fc8e703df44df0bedd1decc561d1e4bb89
MD5sum: 396019de43fee85af2baaa2f219c669c
Description: generate kernel image for U-Boot (transitional package)
 Package to ease upgrading from uboot-mkimage to u-boot-tools.
 .
 This package may safely be removed.
Homepage: http://www.denx.de/wiki/U-Boot/
Tag: admin::boot, implemented-in::c, role::program
Section: utils
Priority: optional
Filename: pool/main/u/u-boot/uboot-mkimage_2012.04.01-2_all.deb

Package: ubuntu-dev-tools
Version: 0.143
Installed-Size: 667
Maintainer: Ubuntu Developers 
Architecture: all
Depends: binutils, dctrl-tools, devscripts (>= 2.11.0~), diffstat, distro-info (>= 0.2~), dpkg-dev, lsb-release, python-apt (>= 0.7.93~), python-debian (>= 0.1.20~), python-distro-info (>= 0.4~), python-httplib2, python-launchpadlib (>= 1.5.7), python-lazr.restfulclient, sudo, perl, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8)
Recommends: bzr, bzr-builddeb, ca-certificates, debian-archive-keyring, debian-keyring, debootstrap, dput, genisoimage, libwww-perl, lintian, patch, pbuilder | cowdancer | sbuild, perl-modules, python-dns, python-gnupginterface, python-soappy, quilt, reportbug (>= 3.39ubuntu1)
Suggests: ipython, python-simplejson | python (>= 2.7), qemu-user-static
Size: 192836
SHA256: eff57dba73441010167968e37526b03d283864cd3825c187bbeaf288cc8cc186
SHA1: ba298d768dd5eece5e9fad759e4bc13e2ca02259
MD5sum: 3e6c74b9e50df33744591c550f9558d4
Description: useful tools for Ubuntu developers
 This is a collection of useful tools that Ubuntu developers use to make their
 packaging work a lot easier.
 .
 Such tools include:
 .
  - 404main - used to check what components a package's deps are in, for
    doing a main inclusion report for example.
  - backportpackage - helper to test package backports
  - bitesize - add the 'bitesize' tag to a bug and comment that you are
    willing to help fix it.
  - check-mir - check support status of build/binary dependencies
  - check-symbols - will compare and give you a diff of the exported symbols of
    all .so files in a binary package.
  - dch-repeat - used to repeat a change log into an older release.
  - dgetlp - download a source package from the Launchpad librarian.
  - grab-merge - grabs a merge from merges.ubuntu.com easily.
  - grep-merges - search for pending merges from Debian.
  - harvest - grabs information about development opportunities from
    http://harvest.ubuntu.com
  - hugdaylist - compile HugDay lists from bug list URLs.
  - import-bug-from-debian - copy a bug from the Debian BTS to Launchpad
  - merge-changelog - manually merges two Debian changelogs with the same base
    version.
  - mk-sbuild - script to create LVM snapshot chroots via schroot and
    sbuild.
  - pbuilder-dist, cowbuilder-dist - wrapper script for managing several build
    chroots (for different Ubuntu and Debian releases) on the same system.
  - pull-debian-debdiff - attempts to find and download a specific version of
    a Debian package and its immediate parent to generate a debdiff.
  - pull-debian-source - downloads the latest source package available in
    Debian of a package.
  - pull-lp-source - downloads latest source package from Launchpad.
  - pull-revu-source - downloads the latest source package from REVU
  - requestbackport - file a backporting request.
  - requestsync - files a sync request with Debian changelog and rationale.
  - reverse-depends - find the reverse dependencies (or build dependencies) of
    a package.
  - seeded-in-ubuntu - query if a package is safe to upload during a freeze.
  - setup-packaging-environment - assistant to get an Ubuntu installation
    ready for packaging work.
  - sponsor-patch - Downloads a patch from a Launchpad bug, patches the source
    package, and uploads it (to Ubuntu or a PPA)
  - submittodebian - automatically send your changes to Debian as a bug report.
  - syncpackage - helper to prepare .changes file to upload synced packages
  - ubuntu-build - give commands to the Launchpad build daemons from the
    command line.
  - ubuntu-iso - output information of an Ubuntu ISO image.
  - ubuntu-upload-permission - query / list the upload permissions for a
    package.
  - update-maintainer - script to update maintainer field in ubuntu packages.
Homepage: https://launchpad.net/ubuntu-dev-tools
Tag: devel::debian, devel::packaging, implemented-in::perl,
 implemented-in::python, implemented-in::shell, interface::commandline,
 network::client, role::program, scope::utility, use::downloading,
 use::editing, works-with::bugs, works-with::software:package,
 works-with::software:source
Section: devel
Priority: optional
Filename: pool/main/u/ubuntu-dev-tools/ubuntu-dev-tools_0.143_all.deb

Package: ubuntu-sso-client
Version: 1.0.8-1
Installed-Size: 236
Maintainer: Andrew Mitchell 
Architecture: all
Depends: python (>= 2.6), python-support (>= 0.90.0), gnome-keyring, python-dbus, python-gnomekeyring, python-gtk2, python-lazr.restfulclient, python-oauth, python-twisted-core, python-twisted-web, python-webkit, python-xdg
Pre-Depends: dpkg (>= 1.15.7.2)
Size: 29504
SHA256: d86b66f65aa40e4bdee27d9ef8f52eafce627f5cd29de15b0b391dd397ac5aa9
SHA1: 9b7d57f824bffb8b3b91f742ad484505c982fb1e
MD5sum: 94c7d6086e40e38957ddf81ec2a2a19f
Description: Ubuntu Single Sign-On client
 Desktop service to allow applications to sign into Ubuntu services via
 SSO
Python-Version: 2.6
Section: python
Priority: extra
Filename: pool/main/u/ubuntu-sso-client/ubuntu-sso-client_1.0.8-1_all.deb

Package: ucarp
Version: 1.5.2-1+nmu1
Architecture: armhf
Maintainer: Eric Evans 
Installed-Size: 138
Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), ifupdown (>= 0.7.1)
Recommends: iproute
Homepage: http://ucarp.org
Priority: optional
Section: net
Filename: pool/main/u/ucarp/ucarp_1.5.2-1+nmu1_armhf.deb
Size: 35048
SHA256: b85ff0b2b3581df9931eb41425142a2ba8d548a8786e6573f7bc3d8b2c2d6b2e
SHA1: 780fecc5c0a46a049b634589e75ff8b53b70ed81
MD5sum: e25d25a1916906a41154035215eba281
Description: user-space replacement to VRRP -- automatic IP fail-over
 UCARP allows a pair of hosts to share common virtual IP addresses in
 order to provide automatic fail-over. It is a portable user-land
 implementation of the secure and patent-free Common Address Redundancy
 Protocol (CARP, OpenBSD's alternative to the VRRP).
 .
 Strong points of the CARP protocol are: very low overhead,
 cryptographically signed messages, interoperability between different
 operating systems and no need for any dedicated extra network link
 between redundant hosts.

Package: ucblogo
Version: 5.5-2.1
Architecture: armhf
Maintainer: Hamish Moffatt 
Installed-Size: 1749
Depends: libc6 (>= 2.4), libtinfo5, libx11-6
Priority: optional
Section: devel
Filename: pool/main/u/ucblogo/ucblogo_5.5-2.1_armhf.deb
Size: 661534
SHA256: 2c4c9c4056db9c5fea04675a3b028301ca42814285b268d3d38c392428b68b58
SHA1: ec0c737bb9ddebb9cbf5b30969984b925eab77d1
MD5sum: a715f20a9e1342a0f597f633bde3c82d
Description: a dialect of lisp using turtle graphics famous for teaching kids
 This is the UC Berkeley implementation of logo written primarily by
 Daniel Van Blerkom, Brian Harvey, Michael Katz, and Douglas Orleans.  This
 version of logo is featured in Brian Harvey's book
 _Computer_Science_Logo_Style, _Volume_1: _Symbolic_Computing_
 (ISBN 0-262-58151-5).  This version provides the following special features:
 .
  - Random-access arrays.
  - Variable number of inputs to user-defined procedures.
  - Mutators for list structure (dangerous).
  - Pause on error, and other improvements to error handling.
  - Comments and continuation lines; formatting is preserved when
    procedure definitions are saved or edited.
  - Terrapin-style tokenization (e.g., [2+3] is a list with one member)
    but LCSI-style syntax (no special forms except TO).  The best of
    both worlds.
  - First-class instruction and expression templates.
  - Macros.

Package: ucf
Version: 3.0025+nmu3
Installed-Size: 230
Maintainer: Manoj Srivastava 
Architecture: all
Depends: debconf (>= 1.5.19), coreutils (>= 5.91)
Size: 70848
SHA256: afbb18edcc62a669e96b6908bb7915a40ff99221593f44f913e34932b6c1916e
SHA1: dccb4388b63f337e82a4c9265d116caf67b13ca2
MD5sum: 372ca017ea23c219c58274d61e7059d9
Description: Update Configuration File: preserve user changes to config files.
 Debian policy mandates that user changes to configuration files must be
 preserved during package upgrades. The easy way to achieve this behavior
 is to make the configuration file a 'conffile', in which case dpkg
 handles the file specially during upgrades, prompting the user as
 needed.
 .
 This is appropriate only if it is possible to distribute a default
 version that will work for most installations, although some system
 administrators may choose to modify it. This implies that the
 default version will be part of the package distribution, and must
 not be modified by the maintainer scripts during installation (or at
 any other time).
 .
 This script attempts to provide conffile-like handling for files that
 may not be labelled conffiles, and are not shipped in a Debian package,
 but handled by the postinst instead. This script allows one to
 maintain files in /etc, preserving user changes and in general
 offering the same facilities while upgrading that dpkg normally
 provides for 'conffiles'.
 .
 Additionally, this script provides facilities for transitioning a
 file that had not been provided with conffile-like protection to come
 under this schema, and attempts to minimize questions asked at
 installation time. Indeed, the transitioning facility is better than the
 one offered by dpkg while transitioning a file from a non-conffile to
 conffile status.
Tag: admin::configuring, devel::packaging, implemented-in::shell,
 interface::commandline, role::program, scope::utility, suite::debian,
 use::configuring
Section: utils
Priority: standard
Filename: pool/main/u/ucf/ucf_3.0025+nmu3_all.deb

Package: uchardet
Version: 0.0.1-1
Architecture: armhf
Maintainer: Asias He 
Installed-Size: 70
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.4.0), libuchardet0
Homepage: http://code.google.com/p/uchardet
Priority: optional
Section: utils
Filename: pool/main/u/uchardet/uchardet_0.0.1-1_armhf.deb
Size: 14298
SHA256: 6f62bdd913bf4453fcee65f5aaca8133ec12c70c81a0b1e478b79c34dd957864
SHA1: 1b985e70afbc08716ed00bcef50910341bc60f8a
MD5sum: 1d5ffdce321df3e801c64fecd0c4c588
Description: universal charset detection library - cli utility
 uchardet is a C language binding of the original C++ implementation
 of the universal charset detection library by Mozilla.
 .
 uchardet is a encoding detector library, which takes a sequence of
 bytes in an unknown character encoding without any additional
 information, and attempts to determine the encoding of the text.
 .
 The original code of universalchardet is available at
 http://lxr.mozilla.org/seamonkey/source/extensions/universalchardet
 .
 Techniques used by universalchardet are described at
 http://www.mozilla.org/projects/intl/UniversalCharsetDetection.html
 .
 This package contains the cli detection utility.

Package: ucimf
Source: libucimf
Version: 2.3.8-4
Architecture: armhf
Maintainer: IME Packaging Team 
Installed-Size: 124
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libltdl7 (>= 2.4.2), libstdc++6 (>= 4.1.1), libucimf0
Recommends: fbterm (>= 1.5) | jfbterm, fbterm-ucimf, dialog
Homepage: http://code.google.com/p/ucimf
Priority: optional
Section: utils
Filename: pool/main/libu/libucimf/ucimf_2.3.8-4_armhf.deb
Size: 33658
SHA256: a94c6b6552620261593de31030d2e77b7da0fcbb6bf80e8959c833f762a1a9ce
SHA1: 3e63846bbe330abe2e0fe0fba15b4d6dc522cfb9
MD5sum: 7fb3664fa0fdfb48c7f0aedb73d64183
Description: uicode console input method framework
 Provide an input method framework for Linux unicode
 Framebuffer consle.
 .
 This package contains the application.

Package: ucimf-chewing
Version: 0.3-1+build1
Architecture: armhf
Maintainer: IME Packaging Team 
Installed-Size: 217
Depends: libc6 (>= 2.13-28), libchewing3, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Homepage: http://code.google.com/p/ucimf/
Priority: optional
Section: utils
Filename: pool/main/u/ucimf-chewing/ucimf-chewing_0.3-1+build1_armhf.deb
Size: 63088
SHA256: a3292f5404069afc79747993806b0736138877094bcc0941050215cd1d0db805
SHA1: 9dd5e98dde51ea5a85220542e3c2b9a054becd5d
MD5sum: ca94b8c86e3f7d710a8ab335817145f1
Description: ucimf wrapper for Chewing input method
 ucimf-chewing is a wrapper for Chewing input method for the
 Linux framebuffer console input method framework.
 .
 Chewing is an intelligent phonetic input method for Chinese.

Package: ucimf-openvanilla
Version: 2.10.11-2
Architecture: armhf
Maintainer: IME Packaging Team 
Installed-Size: 475
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libltdl7 (>= 2.4.2), libstdc++6 (>= 4.4.0), ucimf, openvanilla-imgeneric
Suggests: openvanilla-imgeneric-data-all | openvanilla-imgeneric-data-zh-tw | openvanilla-imgeneric-data-zh-cn | openvanilla-imgeneric-data-zh-hk | openvanilla-imgeneric-data-ja | openvanilla-imgeneric-data-ko | openvanilla-imgeneric-data-th | openvanilla-imgeneric-data-vi
Homepage: http://code.google.com/p/ucimf
Priority: optional
Section: utils
Filename: pool/main/u/ucimf-openvanilla/ucimf-openvanilla_2.10.11-2_armhf.deb
Size: 147374
SHA256: 2e38b8d9c7c28431301eab58830662d950249e897f74c717fa5d4cf075d4ddb1
SHA1: 3977cd6abe660edb64962ff19e092f28ff5191fd
MD5sum: 539b740b5da627d5d3fed5778f0f68d1
Description: openvanilla input method collection for ucimf
 This package contains a collection of openvanilla input method
 for ucimf, which can provide a recommended working input method
 for users.

Package: ucimf-sunpinyin
Version: 0.4-2
Architecture: armhf
Maintainer: IME Packaging Team 
Installed-Size: 813
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libsunpinyin3
Homepage: http://code.google.com/p/ucimf/
Priority: optional
Section: utils
Filename: pool/main/u/ucimf-sunpinyin/ucimf-sunpinyin_0.4-2_armhf.deb
Size: 210822
SHA256: 9d0ccbd7fbb4dba797efe5d4ea56791c42703b25bff0ab4aaab99fbf9a72b57e
SHA1: d8833fc3cdb810d077618c9371c3c880f1e2dfaa
MD5sum: f442484371fe29af170a8b9f0e227ded
Description: ucimf wrapper for Sunpinyin IM engine
 ucimf-sunpinyin is a wrapper for Sunpinyin IM engine for the
 Linux framebuffer console input method framework.
 .
 Sunpinyin is a statistical language model (SLM) based input
 method engine for Simplified Chinese, it features full sentence
 input.

Package: uclibc-source
Source: uclibc
Version: 0.9.32-1+deb7u1
Installed-Size: 2832
Maintainer: Simon Richter 
Architecture: all
Size: 2861814
SHA256: ad32cf1404cf43ede37b75a2210f147af04f0c5c6deccb438f7da77484c8c889
SHA1: 7192cf61fe8888245889282ee3828f6c6c0cd1e1
MD5sum: 6f8d764b7e6d1e73b5b1b20ea08eeb00
Description: Small C library implementation - source
 uClibc is an implementation of the standard C library that is much smaller
 than glibc, which makes it useful for embedded systems. This package provides
 only the run-time libraries.
 .
 This package provides the source code for the library, for toolchain
 bootstrap purposes.
Homepage: http://uclibc.org/
Section: devel
Priority: extra
Filename: pool/main/u/uclibc/uclibc-source_0.9.32-1+deb7u1_all.deb

Package: ucommon-doc
Source: ucommon
Version: 5.2.2-4
Installed-Size: 17629
Maintainer: Jonas Smedegaard 
Architecture: all
Size: 10097886
SHA256: 166bdf06d63cb32596e8bfac7f549617fd5242c7e489efa8409f284b534c550d
SHA1: 0f510b862e23ff81fc753359199f4203624bd884
MD5sum: 788a1ba0d6b5dde73e60247559986012
Description: lightweight C++ threading and sockets - documentation
 GNU uCommon C++ is a lightweight library to facilitate using C++ design
 patterns even for very deeply embedded applications, such as for
 systems using uClibc along with POSIX threading support. For this
 reason, uCommon disables language features that consume memory or
 introduce runtime overhead. uCommon introduces some design patterns
 from Objective-C, such as reference counted objects, memory pools, and
 smart pointers.  uCommon introduces some new concepts for handling of
 thread locking and synchronization.
 .
 This package contains the API documentation.
Homepage: http://www.gnu.org/software/commoncpp/
Tag: devel::doc, devel::lang:c++, role::documentation
Section: doc
Priority: optional
Filename: pool/main/u/ucommon/ucommon-doc_5.2.2-4_all.deb

Package: ucommon-utils
Source: ucommon
Version: 5.2.2-4
Architecture: armhf
Maintainer: Jonas Smedegaard 
Installed-Size: 170
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libstdc++6 (>= 4.4.0), libucommon5
Homepage: http://www.gnu.org/software/commoncpp/
Priority: optional
Section: utils
Filename: pool/main/u/ucommon/ucommon-utils_5.2.2-4_armhf.deb
Size: 60536
SHA256: 9e1efbbf93fde2d7d97b801c291712ac23f246d4976b39b7583982aad7c7772f
SHA1: 4607b763b40583124d6f38452d3fdb97ae747278
MD5sum: f71f8db8a086b2290af0ba4f947554a7
Description: lightweight C++ threading and sockets - utilities
 GNU uCommon C++ is a lightweight library to facilitate using C++ design
 patterns even for very deeply embedded applications, such as for
 systems using uClibc along with POSIX threading support. For this
 reason, uCommon disables language features that consume memory or
 introduce runtime overhead. uCommon introduces some design patterns
 from Objective-C, such as reference counted objects, memory pools, and
 smart pointers.  uCommon introduces some new concepts for handling of
 thread locking and synchronization.
 .
 This package contains the following utilities included as part of the
 GNU uCommon C++ project:
  * args
  * car
  * mdsum
  * scrub
  * sockaddr
  * zerofill

Package: ucspi-proxy
Version: 0.98-1
Architecture: armhf
Maintainer: Gerrit Pape 
Installed-Size: 114
Depends: libbg1, libc6 (>= 2.4)
Priority: optional
Section: net
Filename: pool/main/u/ucspi-proxy/ucspi-proxy_0.98-1_armhf.deb
Size: 34964
SHA256: 32fffdb610c19864b53adfe738c3868f167734420e540af1d4eda948f9ead0b3
SHA1: 45ac02b4ccd49a11ee9faef2e937d766e4d84219
MD5sum: 6a43451b4254e809c0331ffa2074fc39
Description: Connection proxy for UCSPI tools
 This package contains a proxy program that passes data back and forth
 between two connections set up by a UCSPI server and a UCSPI client.
 .
 See http://cr.yp.to/proto/ucspi.txt for more information on UCSPI.  See
 http://untroubled.org/ucspi-proxy/ for recent informations.

Package: ucspi-tcp
Version: 1:0.88-3
Architecture: armhf
Maintainer: Gerrit Pape 
Installed-Size: 254
Depends: libc6 (>= 2.4)
Replaces: ucspi-tcp-doc
Priority: optional
Section: net
Filename: pool/main/u/ucspi-tcp/ucspi-tcp_0.88-3_armhf.deb
Size: 92664
SHA256: 5b679592457d6c5371dc7d8c21f1d2e0d1fe69c6e7a96226b5de6868b449a156
SHA1: 3c0ca14774f5c03b787fca4e92d599945dc48d92
MD5sum: d54abf8d9ec74fe7f7dc8abfc0514510
Description: command-line tools for building TCP client-server applications
 tcpserver waits for incoming connections and, for each connection, runs a
 program of your choice. Your program receives environment variables showing
 the local and remote host names, IP addresses, and port numbers.
 .
 tcpserver offers a concurrency limit to protect you from running out of
 processes and memory. When you are handling 40 (by default) simultaneous
 connections, tcpserver smoothly defers acceptance of new connections.
 .
 tcpserver also provides TCP access control features, similar to
 tcp-wrappers/tcpd's hosts.allow but much faster. Its access control rules
 are compiled into a hashed format with cdb, so it can easily deal with
 thousands of different hosts.
 .
 This package includes a recordio tool that monitors all the input and output
 of a server.
 .
 tcpclient makes a TCP connection and runs a program of your choice. It sets
 up the same environment variables as tcpserver.
 .
 This package includes several sample clients built on top of tcpclient:
 who@, date@, finger@, http@, tcpcat, and mconnect.
 .
 tcpserver and tcpclient conform to UCSPI, the UNIX Client-Server Program
 Interface, using the TCP protocol. UCSPI tools are
 available for several different networks.

Package: ucspi-tcp-ipv6
Source: ucspi-tcp
Version: 1:0.88-3
Architecture: armhf
Maintainer: Gerrit Pape 
Installed-Size: 258
Depends: libc6 (>= 2.4)
Conflicts: ucspi-tcp
Replaces: ucspi-tcp-doc
Provides: ucspi-tcp
Priority: optional
Section: net
Filename: pool/main/u/ucspi-tcp/ucspi-tcp-ipv6_0.88-3_armhf.deb
Size: 95062
SHA256: 0e396cedc358d68bf0487efa1a52c1ad7481eba81d0aad01ad98b62c99294ebf
SHA1: 1defe2751ed3aff624d5826c26f0ccf8ccc77e69
MD5sum: d5652c516b604076a499b2d6e619383e
Description: command-line tools for building TCP client-server applications (IPv6)
 ucspi-tcp-ipv6 is the ucspi-tcp package with IPv6 support added.
 .
 tcpserver waits for incoming connections and, for each connection, runs a
 program of your choice. Your program receives environment variables showing
 the local and remote host names, IP addresses, and port numbers.
 .
 tcpserver offers a concurrency limit to protect you from running out of
 processes and memory. When you are handling 40 (by default) simultaneous
 connections, tcpserver smoothly defers acceptance of new connections.
 .
 tcpserver also provides TCP access control features, similar to
 tcp-wrappers/tcpd's hosts.allow but much faster. Its access control rules
 are compiled into a hashed format with cdb, so it can easily deal with
 thousands of different hosts.
 .
 This package includes a recordio tool that monitors all the input and output
 of a server.
 .
 tcpclient makes a TCP connection and runs a program of your choice. It sets
 up the same environment variables as tcpserver.
 .
 This package includes several sample clients built on top of tcpclient:
 who@, date@, finger@, http@, tcpcat, and mconnect.
 .
 tcpserver and tcpclient conform to UCSPI, the UNIX Client-Server Program
 Interface, using the TCP protocol. UCSPI tools are
 available for several different networks.

Package: ucspi-unix
Version: 0.36-4
Architecture: armhf
Maintainer: Gerrit Pape 
Installed-Size: 58
Depends: libc6 (>= 2.4)
Priority: optional
Section: net
Filename: pool/main/u/ucspi-unix/ucspi-unix_0.36-4_armhf.deb
Size: 12668
SHA256: d9feba94b8ca5a0b936870c7507d71046b242ea3be212a4a253f01b92eea5624
SHA1: 578fecf063e1a10c55245a784400a0a53ca355f4
MD5sum: 98ecf0f6b026022871e916183c495d7e
Description: UNIX-domain socket client-server command-line tools
 unixclient and unixserver are command-line tools for building UNIX
 domain client-server applications.  unixclient connects to a UNIX domain
 socket and runs a program of your choice.  unixserver creates a UNIX
 domain socket, waits for incoming connections and, for each connection,
 runs a program of your choice.
 .
 unixclient and unixserver conform to UCSPI, the UNIX Client-Server
 Program Interface, using UNIX domain sockets.  UCSPI tools are available
 for several different networks.
 .
 See http://cr.yp.to/proto/ucspi.txt for more information on UCSPI.  See
 http://untroubled.org/ucspi-unix/ for recent informations.

Package: ucto
Version: 0.5.2-2
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 121
Depends: libc6 (>= 2.13-28), libfolia1, libgcc1 (>= 1:4.4.0), libicu48 (>= 4.8-1), libstdc++6 (>= 4.4.0), libucto1, libxml2 (>= 2.6.27)
Homepage: http://ilk.uvt.nl/
Priority: extra
Section: science
Filename: pool/main/u/ucto/ucto_0.5.2-2_armhf.deb
Size: 37020
SHA256: e881a97ac1d97a220926bccd0efcf5874f5130d6a1b3d734e66d0f394e12dcd7
SHA1: 901682f201bbcd56535bdd22165b702b9e54db5a
MD5sum: ad1bbecd105a023b1fe4dd9c1287679b
Description: Unicode Tokenizer
 Ucto can tokenize UTF-8 encoded text files (i.e. separate words from
 punctuation, split sentences, generate n-grams), and  offers several other
 basic preprocessing steps (change case, count words/characters and reverse
 lines) that make your text suited for further processing such as indexing,
 part-of-speech tagging, or machine translation.
 .
 Ucto is a product of the ILK Research Group, Tilburg University (The
 Netherlands).
 .
 If you are interested in machine parsing of UTF-8 encoded text files, e.g. to
 do scientific research in natural language processing, ucto will likely be of
 use to you.

Package: udav
Version: 0.7.1.2-3
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 673
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhdf5-7, libmgl5 (>= 1.11), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Recommends: mathgl-doc-en | mathgl-doc-ru
Homepage: http://udav.sourceforge.net/
Priority: optional
Section: science
Filename: pool/main/u/udav/udav_0.7.1.2-3_armhf.deb
Size: 285056
SHA256: a16d01322fb4af503f0abcb65a40f1f6f05f1e79767b3ef3831b96ad94c375fa
SHA1: bcdf832a826b6f479df45b5c84b9afe6fb600dff
MD5sum: 40e096b3bbcab4550146eb96ec0787d5
Description: application for data visualization based on MathGL
 UDAV provides a graphical environment for Data Array
 Visualization based on the MathGL library. It allows easy
 viewing, manipulation and plotting of datasets, and supports
 a wide range of graphical effects with a simple scripting
 language, MGL. It can also execute MGL scripts. Features
 include:
 .
  * Simple and fast plotting of data and formulas;
  * One-click interface - just open the datafile in UDAV;
  * Scripts and graphical output both shown at the same time;
  * Rotate, pan, and zoom controls (via buttons or mouse);
  * Toggleable lighting and transparency;
  * GIF animation;
  * Support for smoothed lighting, transparency, arbitrary
    curvilinear coordinates, and TeX symbols and formulas;
  * Tables for data editing, with support for 3-dimensional
    arrays;
  * Basic toolset for data handling (smoothing, resizing,
    cropping, filling by formula, summation, differentiation,
    histogram creation, and so on);
  * Export to bitmap and vector formats (PNG, JPEG, EPS, or
    SVG).

Package: udev
Version: 175-7.2
Architecture: armhf
Maintainer: Marco d'Itri 
Installed-Size: 902
Pre-Depends: debconf (>= 1.4.69) | debconf-2.0
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libselinux1 (>= 1.32), libudev0 (= 175-7.2), lsb-base (>= 3.0-6), util-linux (>= 2.16), procps
Recommends: usbutils, pciutils
Conflicts: libc6 (<< 2.9-22)
Breaks: consolekit (<< 0.4.1), dmsetup (<< 2.02.84-1), libsane (<< 1.0.21-3), mdadm (<< 3.0-3), multipath-tools (<< 0.4.8-12), systemd (<< 28)
Replaces: libudev0
Multi-Arch: foreign
Priority: important
Section: admin
Filename: pool/main/u/udev/udev_175-7.2_armhf.deb
Size: 366108
SHA256: 1d275ac6acb52c69bbe1fd6c3363242fce39a285ce90d61a3f14a810ed96a864
SHA1: ae78d2450c914b206a24b2277d12957233e73f88
MD5sum: 98eca1ecfbf234fea6d9dcf81ea5007a
Description: /dev/ and hotplug management daemon
 udev is a daemon which dynamically creates and removes device nodes from
 /dev/, handles hotplug events and loads drivers at boot time.

Package: udftools
Version: 1.0.0b3-14.2
Architecture: armhf
Maintainer: Richard Atterer 
Installed-Size: 215
Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0)
Recommends: udev
Suggests: dvd+rw-tools, pmount
Homepage: http://sourceforge.net/projects/linux-udf/
Priority: extra
Section: otherosfs
Filename: pool/main/u/udftools/udftools_1.0.0b3-14.2_armhf.deb
Size: 96080
SHA256: aad5e4f0221afef699b2f367227848b77170e244dc4cfb93f9eb6b6de5be6f61
SHA1: c378b3365974cc9dff7133d0637bfc815c1b3ee2
MD5sum: f1eca39ea78ec623b98ab9d6fe4f60bd
Description: tools for UDF filesystems and DVD/CD-R(W) drives
 This package contains a number of user-space tools related to
 creating filesystems in the UDF (Universal Disk Format), which is
 primarily used for DVDs, but sometimes also CD-ROMs:
 .
  mkudffs - Format a device, creating an empty UDF filesystem
  cdrwtool - Low-level drive management (e.g. set writing speed, format)
  pktsetup - Set up a packet writing device (/dev/pktcdvd0) for a drive
  wrudf - Maintains a UDF filesystem (undocumented)

Package: udhcpc
Source: busybox
Version: 1:1.20.0-7
Architecture: armhf
Maintainer: Debian Install System Team 
Installed-Size: 62
Depends: busybox (>> 1:1.20.0) | busybox-static (>> 1:1.20.0)
Homepage: http://www.busybox.net
Priority: optional
Section: net
Filename: pool/main/b/busybox/udhcpc_1.20.0-7_armhf.deb
Size: 18142
SHA256: fad2460fc611d6e13a3eb3b77231ee9fd6d7ca80902d6a937a4ee0496882bad5
SHA1: e76e084ca8009e1903eb8ea997b5e9c7767b5ed0
MD5sum: db62bfff239d1355e41e4d34881ae748
Description: Provides the busybox DHCP client implementation
 Busybox contains a very small yet fully function RFC compliant DHCP
 client formerly known as udhcpc.
 .
 This package contains the glue to use the busybox udhcpc as DHCP
 client in the system by providing the appropriate symbolic links and
 scripts.

Package: udhcpd
Source: busybox
Version: 1:1.20.0-7
Architecture: armhf
Maintainer: Debian Install System Team 
Installed-Size: 83
Depends: busybox (>> 1:1.20.0) | busybox-static (>> 1:1.20.0)
Provides: dhcpd
Homepage: http://www.busybox.net
Priority: optional
Section: net
Filename: pool/main/b/busybox/udhcpd_1.20.0-7_armhf.deb
Size: 20914
SHA256: a911435a69120a9fa80d02d50466978868dc912454e0c7c6ef1685e0dab39d6f
SHA1: 4583f186131f057c1f37404195c41bb1ee17c23b
MD5sum: 6ebecfd6317f9eb86bbd354c24c87bdc
Description: Provides the busybox DHCP server implementation
 Busybox contains a very small yet fully function RFC compliant DHCP
 server formerly known as udhcpd.
 .
 This package contains the glue to use the busybox udhcpd as DHCP
 server in the system by providing the appropriate symbolic links and
 scripts.

Package: udisks
Version: 1.0.4-7wheezy1
Architecture: armhf
Maintainer: Utopia Maintenance Team 
Installed-Size: 891
Depends: libatasmart4 (>= 0.13), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libdevmapper1.02.1 (>= 2:1.02.20), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libgudev-1.0-0 (>= 146), liblvm2app2.2 (>= 2.02.95), libparted0debian1 (>= 2.2-1), libpolkit-gobject-1-0 (>= 0.99), libsgutils2-2 (>= 1.27), libudev0 (>= 0.140), udev, dbus
Recommends: policykit-1, hdparm, dosfstools, ntfs-3g, eject, cryptsetup-bin
Suggests: xfsprogs, reiserfsprogs, mdadm
Conflicts: devicekit-disks
Breaks: libgdu-gtk0 (<< 2.28), libgdu0 (<< 2.28)
Replaces: devicekit-disks
Multi-Arch: foreign
Homepage: http://www.freedesktop.org/wiki/Software/udisks
Priority: optional
Section: admin
Filename: pool/main/u/udisks/udisks_1.0.4-7wheezy1_armhf.deb
Size: 246700
SHA256: 18940ba74ca84dfe9f6130a232cbda578f9708608c006bf8a4ed1dfe33aa250d
SHA1: 9efcb0ddb801740e713ce44c589a19fc8ad95683
MD5sum: 339875eaf4742f32540eea5121f7477e
Description: storage media interface
 The udisks daemon serves as an interface to system block devices,
 implemented via D-Bus. It handles operations such as querying, mounting,
 unmounting, formatting, or detaching storage devices such as hard disks
 or USB thumb drives.
 .
 This package also provides the udisks utility, which can be used to
 trigger these operations from the command line (if permitted by
 PolicyKit). External tools such as hdparm are used if available to
 implement extra operations, such as configuring disk spindown times.
 .
 Creating or modifying file systems such as XFS, RAID, or LUKS encryption
 requires that the corresponding mkfs.* and admin tools are installed, such as
 dosfstools and mtools for VFAT, xfsprogs for XFS, or cryptsetup for LUKS.

Package: udisks-doc
Source: udisks
Version: 1.0.4-7wheezy1
Installed-Size: 436
Maintainer: Utopia Maintenance Team 
Architecture: all
Replaces: devicekit-disks-doc
Suggests: devhelp
Conflicts: devicekit-disks-doc
Size: 69572
SHA256: da52159cf0ea7e361e093aecb1a15522aab029bb16912c722aa92709d01165a6
SHA1: a7207ae2b713331198b06d14d9279cdbc7abfda0
MD5sum: 296a1992a8f3d6d7f111f1c51918613f
Description: storage media interface - documentation
 The udisks daemon serves as an interface to system block devices,
 implemented via D-Bus. It handles operations such as querying, mounting,
 unmounting, formatting, or detaching storage devices such as hard disks
 or USB thumb drives.
 .
 This package provides the API reference and manuals in devhelp format.
Homepage: http://www.freedesktop.org/wiki/Software/udisks
Section: doc
Priority: optional
Filename: pool/main/u/udisks/udisks-doc_1.0.4-7wheezy1_all.deb

Package: udisks-glue
Version: 1.3.4-1
Architecture: armhf
Maintainer: Fernando Tarlá Cardoso Lemos 
Installed-Size: 81
Depends: udisks, libc6 (>= 2.13-28), libconfuse0 (>= 2.5), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.12.0)
Homepage: https://github.com/fernandotcl/udisks-glue
Priority: optional
Section: utils
Filename: pool/main/u/udisks-glue/udisks-glue_1.3.4-1_armhf.deb
Size: 19156
SHA256: 759666c343821e6336a918bbcb2cc4655eea5f86d9beef8c1262abdd7b7d1821
SHA1: cfe09a7d06b49b2732e2db301c0b5186dee4361a
MD5sum: 6d29141d313ca5499a90ba8b7472c23a
Description: simple automount daemon with support for user-defined actions
 udisks-glue is a daemon that can perform user-configurable actions when a
 certain udisks event (such as the insertion, mount or removal of a device or
 storage media) is detected. It can also be configured to automatically mount
 devices. It listens to udisks events on the system bus and performs actions
 in response as specified in the configuration file.
 .
 udisks-glue is useful for automatically mounting removable devices or
 running arbitrary commands.

Package: udj-desktop-client
Version: 0.5.1-2
Architecture: armhf
Maintainer: Nathan Handler 
Installed-Size: 543
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libphonon4 (>= 4:4.3.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.7.0~beta1), libqt4-sql (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libtag1c2a (>= 1.5), phonon, libqt4-sql-sqlite
Homepage: https://www.udjplayer.com/
Priority: optional
Section: sound
Filename: pool/main/u/udj-desktop-client/udj-desktop-client_0.5.1-2_armhf.deb
Size: 194420
SHA256: aef92df0f30b59b46f2a24f5d1a88e554afe245ca85cc34cb95055685e80de0b
SHA1: 9a911e07d6d71b56ea2d4de6efbf5bab7743afe7
MD5sum: 216600393032f0e7f4de007390141360
Description: social music player
 UDJ allows you and your friends to collaboratively select which music is
 playing, in real time. Guests are able to view your playlist from their
 smartphones. They can also search your music library from their phones and add
 songs to the playlist. If they see a song added by someone else that they like,
 they can choose to vote it up. The song will then move up in the queue and be
 played sooner. Alternatively, if they see a song they don't like they can vote
 it down and it will move down in the queue.

Package: udo
Version: 6.4.1-1
Architecture: armhf
Maintainer: Volker Janzen 
Installed-Size: 493
Depends: libc6 (>= 2.4)
Priority: optional
Section: text
Filename: pool/main/u/udo/udo_6.4.1-1_armhf.deb
Size: 201682
SHA256: e5589cdf9c0231cbf94937e5f7249927a9c9b11c546aed74475d5497dc1a25a6
SHA1: a642d51456f2b9c54ef56fc06b05b8f22fa8751e
MD5sum: 49ca775aeb411843a0c2c2a60e3cf0e8
Description: universal document - text processing utility
 UDO is a powerful and multipurpose utility for making documentation or any
 other text file that is needed in one text format or more. UDO documents can
 be converted to ASCII, HTML, LaTeX, nroff, PostScript, RTF and many more.
 Though UDO is powerful, it's quite easy to understand and to use.

Package: udo-doc-de
Source: udo
Version: 6.4.1-1
Installed-Size: 4108
Maintainer: Volker Janzen 
Architecture: all
Replaces: udo-doc-ger
Suggests: udo, www-browser, editor
Size: 465566
SHA256: 88c2c0074ee2eb1ca63dc1c436ce0c87d1f3a51c441585db826d20649fb910d5
SHA1: ab1f700d5944c56564c7ace9deae1bfc598510aa
MD5sum: 4f2e2dc4aaa31fef474c8f2b28500e8d
Description: universal document - German documentation
 UDO is a powerful and multipurpose utility for making documentation or any
 other text file that is needed in one text format or more. UDO documents can
 be converted to ASCII, HTML, LaTeX, nroff, PostScript, RTF and many more.
 Though UDO is powerful, it's quite easy to understand and to use.
 .
 This package provides the complete documentation of UDO in the following
 formats: HTML, txt, udo
Tag: culture::german, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/u/udo/udo-doc-de_6.4.1-1_all.deb

Package: udo-doc-en
Source: udo
Version: 6.4.1-1
Installed-Size: 1816
Maintainer: Volker Janzen 
Architecture: all
Replaces: udo-doc
Suggests: udo, www-browser, editor
Size: 332478
SHA256: 8a439d96ad1b47b58cf934ddc1c86f010fc15f8d0557269200ec6d4b4f056797
SHA1: bbdd032374a7a4fe9084ae3f5e765aed4dfe3363
MD5sum: b50f648c71a8aeeebe537f4f0127e8e3
Description: universal document - English documentation
 UDO is a powerful and multipurpose utility for making documentation or any
 other text file that is needed in one text format or more. UDO documents can
 be converted to ASCII, HTML, LaTeX, nroff, PostScript, RTF and many more.
 Though UDO is powerful, it's quite easy to understand and to use.
 .
 This package provides the complete documentation of UDO in the following
 formats: HTML, txt, udo
Tag: made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/u/udo/udo-doc-en_6.4.1-1_all.deb

Package: udpcast
Version: 20100130-3
Architecture: armhf
Maintainer: Michael Schutte 
Installed-Size: 176
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0)
Homepage: http://udpcast.linux.lu/
Priority: optional
Section: utils
Filename: pool/main/u/udpcast/udpcast_20100130-3_armhf.deb
Size: 78892
SHA256: 4c647e5c6c7bbf0262508a0a31152705baa2b17b24189ca6a8abe2613ed864d3
SHA1: d3fd7bc459eebbb584cd4e75e429bc6b0df7a2a4
MD5sum: e077029980e94148a0d7eb6261c47abe
Description: multicast file transfer tool
 UDPcast can send data simultaneously to many destinations on a LAN.
 This can be used, for example, to install entire classrooms of PCs at
 once.  The advantage of UDPcast over other methods (such as NFS, FTP,
 etc.) is that UDPcast uses Ethernet’s multicast abilities, which means
 it won’t take longer to install 15 machines than it would to install
 just two.

Package: udptunnel
Version: 1.1-4
Architecture: armhf
Maintainer: Marcos Talau 
Installed-Size: 53
Depends: libc6 (>= 2.4)
Homepage: http://www1.cs.columbia.edu/~lennox/udptunnel/
Priority: optional
Section: net
Filename: pool/main/u/udptunnel/udptunnel_1.1-4_armhf.deb
Size: 11800
SHA256: ebfe50b214dc2ef606c2ae7b9bcfc442d269c7ecac4397c1fc223de96ce5370b
SHA1: fff02c81c1db2f1826c68563e0c7d67e0080a307
MD5sum: df154297ba09100c4f079bec54c833fb
Description: tunnel UDP packets over a TCP connection
 UDPTunnel is a small program which can tunnel UDP packets bi-directionally
 over a TCP connection. Its primary purpose (and original motivation) is to
 allow multi-media conferences to traverse a firewall which allows only
 outgoing TCP connections.

Package: udunits-bin
Source: udunits
Version: 2.1.23-3
Architecture: armhf
Maintainer: Alastair McKinstry 
Installed-Size: 122
Depends: dpkg (>= 1.15.4) | install-info, libc6 (>= 2.13-28), libudunits2-0
Multi-Arch: foreign
Homepage: http://www.unidata.ucar.edu/software/udunits/
Priority: optional
Section: utils
Filename: pool/main/u/udunits/udunits-bin_2.1.23-3_armhf.deb
Size: 79098
SHA256: 1b2c5c0cc4377d59b4dcfb42b8e2c21abdfd2abccd563a464fec6f975642d45a
SHA1: 18ab5598cdffd92b7b134e81c5752fdee33683f0
MD5sum: a6a84ddc0204fb4994bf7d164cbe3449
Description: Utility for handling units of physical quantities
 udunits is a utility  for handling units of physical quantities. It supports
 conversion between string and binary representations of units,
 arithmetic manipulation of units, and conversion of numeric values between
 compatible units. The package is written in the C programming language.

Package: ufc
Version: 2.0.5-3
Installed-Size: 62
Maintainer: Debian Science Team 
Architecture: all
Suggests: ufc-doc
Size: 8850
SHA256: fc9edb7e3e5103c091f5c79baab4f59f23185105678ce0907fe113ea577fcde8
SHA1: 1e2e5896f3915bef63722c7446d90f7d35aaf180
MD5sum: 416451f363758f94c76f5f1d5ed69b57
Description: unified code generation interface for form-compilers
 UFC (Unified Form-assembly Code) is a unified framework for finite element
 assembly. More precisely, it defines a fixed interface for communicating low
 level routines (functions) for evaluating and assembling finite element
 variational forms. The UFC interface consists of a single header file ufc.h
 that specifies a C++ interface that must be implemented by code that complies
 with the UFC specification. Examples of form compilers that support the UFC
 interface are FFC and SyFi.
Homepage: http://fenicsproject.org
Tag: devel::lang:c++, field::mathematics, implemented-in::c++, role::source
Section: devel
Priority: extra
Filename: pool/main/u/ufc/ufc_2.0.5-3_all.deb

Package: ufc-doc
Source: ufc
Version: 2.0.5-3
Installed-Size: 535
Maintainer: Debian Science Team 
Architecture: all
Suggests: ufc, python-ufc
Size: 517726
SHA256: 5b6741a9b679a4d64b87d4a130f83c080cdba81895abe38acd874f539712020b
SHA1: 08fb0e39d2bc8084f53995230d01dace08c0f187
MD5sum: 090d82d6714032d6f3aafc02eb3eec2b
Description: documentation for UFC
 UFC (Unified Form-assembly Code) is a unified framework for finite element
 assembly. More precisely, it defines a fixed interface for communicating low
 level routines (functions) for evaluating and assembling finite element
 variational forms. The UFC interface consists of a single header file ufc.h
 that specifies a C++ interface that must be implemented by code that complies
 with the UFC specification. Examples of form compilers that support the UFC
 interface are FFC and SyFi.
 .
 This package contains documentation for UFC.
Homepage: http://fenicsproject.org
Tag: devel::lang:c++, field::mathematics, made-of::pdf, role::documentation
Section: doc
Priority: extra
Filename: pool/main/u/ufc/ufc-doc_2.0.5-3_all.deb

Package: ufiformat
Version: 0.9.8-1
Architecture: armhf
Maintainer: David Given 
Installed-Size: 57
Depends: e2fslibs (>= 1.37), libc6 (>= 2.4)
Homepage: http://www.geocities.jp/tedi_world/format_usbfdd_e.html
Priority: optional
Section: utils
Filename: pool/main/u/ufiformat/ufiformat_0.9.8-1_armhf.deb
Size: 13968
SHA256: 88e334b8fa7ee56848f29922885f5d91efdfc6860e265a5d05bae0a8fbe77b43
SHA1: eb46cd56368cd58025160c21658f12622f90f9d3
MD5sum: abfd91316fcb545d8c344aefc907b4d7
Description: disk formatter for USB floppy drives
 ufiformat is a command-line utility for formatting floppy disks in
 UFI-compatible USB floppy drives. It allows disks to be formatted
 in any format supported by the drive, and can also be used to determine
 what format a disk is currently using.

Package: ufraw
Version: 0.18-2
Architecture: armhf
Maintainer: Hubert Chathi 
Installed-Size: 1979
Depends: libatk1.0-0 (>= 1.12.4), libbz2-1.0, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexiv2-12, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgomp1 (>= 4.2.1), libgtk2.0-0 (>= 2.12.0), libgtkimageview0, libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), liblensfun0, libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), zlib1g (>= 1:1.1.4), desktop-file-utils, ufraw-batch (= 0.18-2)
Suggests: gimp-ufraw
Homepage: http://ufraw.sourceforge.net/
Priority: optional
Section: graphics
Filename: pool/main/u/ufraw/ufraw_0.18-2_armhf.deb
Size: 747502
SHA256: 8631be51b95928a798af294eaeb7858d3506313faff89c6120e3bc2b3d39e4f2
SHA1: a3bc04fb7407b3229cc0540fef18765e60522f80
MD5sum: 63d58f655e5c00b553cab97ef5a593d4
Description: standalone importer for raw camera images
 This is a standalone tool to import raw data from high-end digital cameras.
 .
 The Unidentified Flying Raw (UFRaw) is a utility for converting and
 manipulating raw images from digital cameras. It can be used as a
 stand-alone tool or as a Gimp plug-in, and images can be batch
 processed using the command-line interface. UFRaw reads most existing
 raw formats using Dave Coffin's raw conversion utility DCRaw, and it
 supports basic color management using Little CMS, allowing the user to
 apply color profiles.

Package: ufraw-batch
Source: ufraw
Version: 0.18-2
Architecture: armhf
Maintainer: Hubert Chathi 
Installed-Size: 801
Depends: libbz2-1.0, libc6 (>= 2.13-28), libexiv2-12, libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libgomp1 (>= 4.2.1), libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), liblensfun0, libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), zlib1g (>= 1:1.1.4)
Suggests: ufraw
Replaces: ufraw (<< 0.16-2)
Homepage: http://ufraw.sourceforge.net/
Priority: optional
Section: graphics
Filename: pool/main/u/ufraw/ufraw-batch_0.18-2_armhf.deb
Size: 344472
SHA256: b329b210ea494104fc1a1e3441fe5e2a3d767afc4fb89704fefc7e5c9fae119f
SHA1: c90d245d22be556f449e809bb204cc761e88c1af
MD5sum: 0a89126dbfe96fb2584687d9a1b9c418
Description: batch importer for raw camera images
 This is a command-line batch tool to import raw data from high-end digital
 cameras.
 .
 The Unidentified Flying Raw (UFRaw) is a utility for converting and
 manipulating raw images from digital cameras. It can be used as a
 stand-alone tool or as a Gimp plug-in, and images can be batch
 processed using the command-line interface. UFRaw reads most existing
 raw formats using Dave Coffin's raw conversion utility DCRaw, and it
 supports basic color management using Little CMS, allowing the user to
 apply color profiles.

Package: ufsutils
Version: 8.2-3
Architecture: armhf
Maintainer: GNU/kFreeBSD Maintainers 
Installed-Size: 363
Depends: libbsd0 (>= 0.2.0), libc6 (>= 2.4), libedit2 (>= 2.11-20080614-1), libgcc1 (>= 1:4.4.0)
Conflicts: hurd
Provides: fsck-backend
Priority: optional
Section: utils
Filename: pool/main/u/ufsutils/ufsutils_8.2-3_armhf.deb
Size: 177942
SHA256: 439f207ed3e99d049f252218a7b41f6dac8b826f0deac157b7a7a522b8a6a1db
SHA1: d2de7f97864c5e956a16c9a7a73a69c45314620e
MD5sum: a66d6f45c590de5ad992d1a325ab5be3
Description: UFS filesystems utilities
 Utilities to manage the UFS filesystem, mostly used in BSD or derived
 operating systems. This include FFS, UFS and UFS2.
 .
 The following utilities are provided:
  * mkfs.ufs
  * fsck.ufs
  * fsdb.ufs
  * dumpfs.ufs
  * growfs.ufs
  * tunefs.ufs
  * badsect.ufs
  * ffsinfo

Package: ufw
Version: 0.31.1-2
Installed-Size: 691
Maintainer: Jamie Strandboge 
Architecture: all
Depends: debconf, python (>= 2.6.6-7~), python (<< 2.8), debconf (>= 0.5) | debconf-2.0, iptables, ucf
Suggests: rsyslog
Size: 165504
SHA256: 102eb7e0075d4893e1990139d2b8cda597bff15028735ef59c0cba1cf071f2fa
SHA1: 82886d1c1492f8f682cf7c5e23e077293fb785b6
MD5sum: 74f0bb16e865c643425cbf43cb1a6508
Description: program for managing a Netfilter firewall
 The Uncomplicated FireWall is a front-end for iptables, to make managing a
 Netfilter firewall easier. It provides a command line interface with syntax
 similar to OpenBSD's Packet Filter. It is particularly well-suited as a
 host-based firewall.
Homepage: https://launchpad.net/ufw
Python-Version: 2.6, 2.7
Tag: admin::configuring, implemented-in::python, interface::commandline,
 network::firewall, role::program, scope::utility, security::firewall
Section: admin
Priority: optional
Filename: pool/main/u/ufw/ufw_0.31.1-2_all.deb

Package: uget
Version: 1.8.2-1
Architecture: armhf
Maintainer: Elías Alejandro Año Mendoza 
Installed-Size: 634
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3 (>= 7.16.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgstreamer0.10-0 (>= 0.10.0), libgtk2.0-0 (>= 2.24.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.6.27)
Homepage: http://urlget.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/u/uget/uget_1.8.2-1_armhf.deb
Size: 197240
SHA256: d06ca53f8b0b88ff258358c69eb42772f39fd1bf519b0453b76e08675a674915
SHA1: 8d4cf4c2c308528ee059d5c529bb3a06cf03d65f
MD5sum: f7ee1ed69f346f3588a65b115afc0087
Description: easy-to-use download manager written in GTK+
 Uget (formerly urlgfe) is a simple, lightweight and easy-to-use
 download manager.
 It provides the following features:
  * Resume downloads.
  * Queue downloads.
  * Classify downloads in categories.
  * Mozilla Firefox integration (through Flashgot plugin).
  * Clipboard monitoring.
  * Import downloads import from HTML files.
  * Batch download.
 .
 It also can be launched from the command line.

Package: uhd-host
Source: uhd
Version: 3.4.2-1
Architecture: armhf
Maintainer: A. Maitland Bottoms 
Installed-Size: 3663
Depends: libuhd003, python, adduser, libboost-date-time1.49.0 (>= 1.49.0-1), libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-test1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.6), libtinfo5, libusb-1.0-0 (>= 2:1.0.8)
Recommends: gnuradio, python-tk, curl, procps
Homepage: http://code.ettus.com/redmine/ettus/projects/uhd/wiki
Priority: optional
Section: science
Filename: pool/main/u/uhd/uhd-host_3.4.2-1_armhf.deb
Size: 1494276
SHA256: 69fb4c5f4f9876186300be09bc32c75bc059ce8315b268753abf369985bcacef
SHA1: 491d280c30ec6d499e1c5eca8d273e772dc380a2
MD5sum: c5d7164f3bf6a8e56a3c9b96299363cf
Description: universal hardware driver for Ettus Research products
 Host utilities for the Universal Hardware Driver for Ettus Research products.
 .
 The supported devices provide analog radio receiver and transmitter hardware
 along with digital interfaces for getting signals to and from a software
 defined radio running on the host computer.
 .
 This package includes the uhd_find_devices application to locate and
 configure attached peripherals, and the uhd_usrp_probe application to
 display hardware configuration information.

Package: uhub
Version: 0.3.2-1
Architecture: armhf
Maintainer: Boris Pek 
Installed-Size: 205
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0)
Homepage: http://www.uhub.org/
Priority: optional
Section: net
Filename: pool/main/u/uhub/uhub_0.3.2-1_armhf.deb
Size: 71190
SHA256: a24e03f2543917b187b01f739ed8b6a4f0c924d0d607e2f06af7c41171da1f86
SHA1: 0b15d436e78720d6f6c0dc5f449af427af998ac5
MD5sum: caaadbb32f7a0d1304dcba8cb2c18b81
Description: High performance Advanced Direct Connect p2p hub
 uhub is a high performance peer-to-peer hub for the ADC network.
 Its low memory footprint allows it to handle several thousand users on
 high-end servers, or a small private hub on embedded hardware.
 .
 uhub uses the Advanced Direct Connect protocol, and is compatible with
 DC++, LinuxDC++, EiskaltDC++ and other ADC clients.
 .
 Key features:
  - High performance and low memory usage
  - IPv4 and IPv6 support
  - Experimental SSL support (optional)
  - Advanced access control support
  - Easy configuration

Package: uicilibris
Version: 1.8-1
Installed-Size: 748
Maintainer: Georges Khaznadar 
Architecture: all
Depends: python, python-support (>= 0.90.0), gnome-terminal, texlive, texlive-latex-base, texlive-latex-extra, evince, python-beautifulsoup, python-qt4, tango-icon-theme, python-multipartposthandler
Size: 381282
SHA256: 23a51f18f709eebc176f635f8e97db3e229e763d5000a16b9eb74dea88dafc26
SHA1: 04f77934fa41d050fc19b247ab9e85e4f843faae
MD5sum: 5908259a06fbdb2edd8c596f9d2406d8
Description: convert mediawiki contents to a printable book
 Uicilibris is a harvester able to fetch contents from a mediawiki,
 assemble them consistently, export them to LaTeX format, compile
 the source, and deal interactively with errors.
Homepage: http://georges.khaznadar.fr/uicilibris
Tag: implemented-in::python, interface::x11, network::client, protocol::http,
 role::program, scope::application, uitoolkit::qt, use::browsing,
 use::converting, use::organizing, use::typesetting, web::search-engine,
 works-with-format::html, works-with-format::tex, works-with::image,
 works-with::text, x11::application
Section: tex
Priority: extra
Filename: pool/main/u/uicilibris/uicilibris_1.8-1_all.deb

Package: uif
Version: 1.0.6-1.1
Installed-Size: 178
Maintainer: Cajus Pollmeier 
Architecture: all
Depends: perl, debconf (>= 0.5) | debconf-2.0, libnetaddr-ip-perl (>= 3.0), libnet-ldap-perl, iptables, bsdutils (>= 2.11u)
Suggests: fwlogwatch
Conflicts: fiaif, firewall-easy, knetfilter, shorewall
Size: 43726
SHA256: f572394fe1220b03688227ce18e6b8c469963e8faad306d1c068ff48a96e566c
SHA1: 77afb566c071a8d112e3cae68db4cfe8ef43d381
MD5sum: 1609f7fda089761a9b5f02b86d10dac6
Description: Advanced iptables-firewall script
 Complete package to create and simplify iptables packetfilter
 rules using perl. It was developed for a diskless router
 system that can store its configurations in regular files or
 ldap databases.
Tag: admin::configuring, implemented-in::perl, network::firewall,
 role::program, security::firewall, use::configuring
Section: net
Priority: optional
Filename: pool/main/u/uif/uif_1.0.6-1.1_all.deb

Package: uif2iso
Version: 0.1.7a-1
Architecture: armhf
Maintainer: Margarita Manterola 
Installed-Size: 99
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4)
Homepage: http://aluigi.org/mytoolz.htm#uif2iso
Priority: extra
Section: utils
Filename: pool/main/u/uif2iso/uif2iso_0.1.7a-1_armhf.deb
Size: 39296
SHA256: 703f6f7bc8a80325f514bf7803911fa33ba505e11c6cc2d4cd09d1e18601372d
SHA1: f85bed09195a6a7803acce95830889c2ea535d9f
MD5sum: ca32bfd0085aee9c25df9355e4c675ac
Description: converts UIF files (used by MagicISO) to ISO or other formats
 uif2iso is a command-line tool for converting single and multipart UIF images
 (Universal Image Format, used by MagicISO) to uncompressed images according to
 the original input file: ISO, BIN/CUE, MDS/MDF, CCD/IMG/SUB, NRG.

Package: uim
Version: 1:1.8.1-4
Installed-Size: 1
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Depends: uim-common (= 1:1.8.1-4), uim-gtk2.0 (>= 1:1.8.1-4), uim-qt (>= 1:1.8.1-4), uim-gtk3 (>= 1:1.8.1-4), uim-xim (>= 1:1.8.1-4), uim-utils (>= 1:1.8.1-4), uim-fep (>= 1:1.8.1-4)
Size: 1198
SHA256: 0fb44c71be20e01bcc4270578dcc8bc0a2a2a0ede4f76b0f3bfd1931c7ed911e
SHA1: 60510dd2c309cb266d6bad918112f1b6bf6cff89
MD5sum: e88dc8678a8f1ba7edb4440de2ba002d
Description: Universal Input Method - metapackage
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package is a dummy convenience package designed to install the basic
 uim infrastructure.  It does not depend on any plugin packages, because
 uim is not limited to one input method. Packages are available for
 various input methods, including the following:
  * Japanese
   - uim-anthy: Anthy plugin for uim;
   - uim-canna: Canna plugin for uim;
   - uim-prime: PRIME plugin for uim;
   - uim-skk:   SKK plugin for uim.
  * Chinese
   - uim-pinyin: Pinyin input support for uim.
  * Korean
   - uim-byeoru: Byeoru input support for uim.
  * Multilingual input method
   - uim-m17n: M17n plugin for uim.
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, role::metapackage
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim_1.8.1-4_all.deb

Package: uim-ajax-ime
Source: uim
Version: 1:1.8.1-4
Installed-Size: 92
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Replaces: uim-common (<= 1:1.6.0-1)
Depends: uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Breaks: uim-common (<= 1:1.6.0-1)
Size: 18228
SHA256: f56759caccaec86298c81930bc5b90423239b28ff7ef789d0663aa0a9f6ab621
SHA1: 2fa74009158ce89178d49fa10ee93d5615b52ea8
MD5sum: 0b784f2bde97ba5f936e6ad236f708fa
Description: Universal Input Method - Ajax-IME web input support
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package provides uim support for Japanese input via the Ajax-IME web
 API - see http://ajaxime.chasen.org/. Note that all requests to the
 Ajax-IME server go over the Internet unencrypted.
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, culture::japanese, implemented-in::scheme,
 iso15924::jpan, role::plugin
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim-ajax-ime_1.8.1-4_all.deb

Package: uim-anthy
Source: uim
Version: 1:1.8.1-4
Architecture: armhf
Maintainer: HIGUCHI Daisuke (VDR dai) 
Installed-Size: 227
Depends: libanthy0, libc6 (>= 2.13-28), libgcroots0 (>= 0.8.5), libuim-scm0 (>= 1:1.5.7), libuim8 (>= 1:1.5.7), uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4), anthy
Suggests: uim-dict-gtk | uim-dict-gtk3
Breaks: uim-common (<= 1:1.2.1-9)
Replaces: uim-common (<= 1:1.2.1-9)
Homepage: http://code.google.com/p/uim/
Priority: optional
Section: utils
Filename: pool/main/u/uim/uim-anthy_1.8.1-4_armhf.deb
Size: 36254
SHA256: 0e2cd8ec44c124915cca5e3f8cb76b7a5a3d4a5d4cf26c5b22e14d61a66bf0fb
SHA1: 58e62da228b9e77b0c67d178fdf75f1d648177f4
MD5sum: b9e64dbd97cf9b75a02462e5135fde11
Description: Universal Input Method - Anthy plugin
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a plugin for uim to support the use of the Japanese
 input method Anthy.

Package: uim-applet-gnome
Source: uim
Version: 1:1.8.1-4
Architecture: armhf
Maintainer: HIGUCHI Daisuke (VDR dai) 
Installed-Size: 79
Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgconf-2-4 (>= 2.31.1), libgcroots0 (>= 0.8.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpanel-applet-4-0 (>= 3.2.1), libpango1.0-0 (>= 1.14.0), libuim-custom2 (>= 1:1.7.0), libuim-scm0 (>= 1:1.5.7), libuim8 (>= 1:1.5.7), uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4), uim-gtk3 (>= 1:1.8.1-4)
Homepage: http://code.google.com/p/uim/
Priority: optional
Section: gnome
Filename: pool/main/u/uim/uim-applet-gnome_1.8.1-4_armhf.deb
Size: 13558
SHA256: e8c0f56835fe8de58a1a8621ac5de03df733eab56689f5a6969d5dc4c9af30b7
SHA1: c2a456e9768b36cbcaa144538110704239c507cc
MD5sum: 5a6a49d55a98bf763db0e727ef364faf
Description: Universal Input Method - GNOME applet
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a GNOME applet which can configure uim, monitor its
 conversion mode, and so on.

Package: uim-applet-kde
Source: uim
Version: 1:1.8.1-4
Installed-Size: 1
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Depends: plasma-widget-uim (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Size: 832
SHA256: 529db0e50225d448b1db7a0e5ff10d5de5d337a20439dedb17fc530a80ad0748
SHA1: 2ba47e4d8c5233eaf66bd07fc9e6d64d2b44c63e
MD5sum: 356784665c16874f3961cb436294f973
Description: transitional package for plasma-widget-uim
 This is a dummy package to aid in transitioning from uim-applet-kde to
 plasma-widget-uim.  This dummy package may be safely removed after upgrading
 to wheezy.
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/u/uim/uim-applet-kde_1.8.1-4_all.deb

Package: uim-baidu-olime-jp
Source: uim
Version: 1:1.8.1-4
Installed-Size: 101
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Depends: uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Size: 18354
SHA256: e3c60cddf9b42f3c0bc1aa368264f7f815e7e87b72567d6c6a2d007ea5d0caaf
SHA1: 6a980e8b897f59a5d5552922358dd37d2a673c63
MD5sum: d2498ffa1bdf5fa7307f8e68eef5d26c
Description: Universal Input Method - Baidu web input support
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package provides uim support for Japanese input via the Baidu web
 API - see http://ime.baidu.jp/type/about/onlineime.html.
Homepage: http://code.google.com/p/uim/
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim-baidu-olime-jp_1.8.1-4_all.deb

Package: uim-byeoru
Source: uim
Version: 1:1.8.1-4
Installed-Size: 919
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Replaces: uim-common (<= 1:1.2.1-9)
Depends: uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Breaks: uim-common (<= 1:1.2.1-9)
Size: 367706
SHA256: 49a5efa59109936ea498cb3c4674d3e6a891624f337b7dfa31093c4fe1e7db5c
SHA1: effe40f44dbc3befa48f05d2ab634650044a70f1
MD5sum: 244c6bf1ffa5fa2f0d5bf86d2e878e8d
Description: Universal Input Method - byeoru hangul input support
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a plugin for uim to support the use of the Byeoru input
 module for hangul.
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, culture::korean, implemented-in::scheme,
 iso15924::hang, role::plugin
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim-byeoru_1.8.1-4_all.deb

Package: uim-canna
Source: uim
Version: 1:1.8.1-4
Installed-Size: 101
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Replaces: uim-common (<= 1:1.2.1-9)
Depends: uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4), canna
Suggests: uim-dict-gtk | uim-dict-gtk3
Breaks: uim-common (<= 1:1.2.1-9)
Size: 20144
SHA256: 39a81335a83a2247e97452ca77c6453772d695a1f195f9b6c398e3b81885476f
SHA1: dd73600b12d85fde4f2527cff7f5dcd4b4c2d337
MD5sum: f617db7cd0c2d53d060b8216d504349b
Description: Universal Input Method - Canna plugin
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a plugin for uim to support the use of the Japanese
 input method Canna.
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, culture::japanese, implemented-in::scheme,
 iso15924::jpan, role::plugin
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim-canna_1.8.1-4_all.deb

Package: uim-chewing
Version: 0.1.0-3
Architecture: armhf
Maintainer: IME Packaging Team 
Installed-Size: 97
Depends: libc6 (>= 2.13-28), libchewing3, libuim-scm0 (>= 1:1.5.7), libuim8 (>= 1:1.5.7), uim-utils, uim-common
Multi-Arch: same
Homepage: https://code.google.com/p/uim/
Priority: optional
Section: utils
Filename: pool/main/u/uim-chewing/uim-chewing_0.1.0-3_armhf.deb
Size: 21724
SHA256: f7f0be1c787eb77b05978a90d7781aeaa60113a7820b97014851ef6e8091107e
SHA1: 53e67fdff2605016b5220636286162e0077ebfed
MD5sum: 8988668cf03d1f90e55aac293127f40c
Description: Universal Input Method - Chewing plugin
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a plugin for uim to support the use of the Chinese
 input method Chewing.

Package: uim-common
Source: uim
Version: 1:1.8.1-4
Installed-Size: 597
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Replaces: uim-gtk2.0 (<< 1:1.7.0-2), uim-hangul (<< 1:1.7.0-1)
Breaks: uim-gtk2.0 (<< 1:1.7.0-2), uim-hangul (<< 1:1.7.0-1)
Size: 569556
SHA256: d733aaee183894b9d0bb0ae10f0d43f23a183ed583b235b14c5e3c1fe1e6ae25
SHA1: 2620c268d0deb45ef21ac46dec67cd3f126f6a64
MD5sum: 3e8e034b973fdd25d1489ffa9b1ff7c8
Description: Universal Input Method - common files
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains common files for the uim packages.
Multi-Arch: foreign
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, role::app-data
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim-common_1.8.1-4_all.deb

Package: uim-dbg
Source: uim
Version: 1:1.8.1-4
Architecture: armhf
Maintainer: HIGUCHI Daisuke (VDR dai) 
Installed-Size: 5350
Depends: libuim8 (= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Breaks: libuim6-dbg, libuim7-dbg
Replaces: libuim6-dbg, libuim7-dbg
Homepage: http://code.google.com/p/uim/
Priority: extra
Section: debug
Filename: pool/main/u/uim/uim-dbg_1.8.1-4_armhf.deb
Size: 4664150
SHA256: ebc8cfb4ae17c9a5d064c6565a81973d69df3ca871a6282b2f0c81609b91231c
SHA1: a732fc5e80a17b0075c9502876d27061bc25830f
MD5sum: 57e1f9e9a121dcb5131c8a83348a0a5b
Description: Universal Input Method - debugging symbols
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains the debugging symbols. It is provided primarily
 to provide a backtrace in a debugger, making it somewhat easier to interpret
 core dumps.

Package: uim-dict-gtk
Source: uim
Version: 1:1.8.1-4
Architecture: armhf
Maintainer: HIGUCHI Daisuke (VDR dai) 
Installed-Size: 90
Depends: libanthy0, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcanna1g, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcroots0 (>= 0.8.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libuim-scm0 (>= 1:1.5.7), libuim8 (>= 1:1.5.7), uim-common (>= 1:1.8.1-4)
Homepage: http://code.google.com/p/uim/
Priority: optional
Section: x11
Filename: pool/main/u/uim/uim-dict-gtk_1.8.1-4_armhf.deb
Size: 27040
SHA256: 2ac461098dd332e01a8c814a07f5c63105b6839fccf4fc51d15501c1e387a820
SHA1: 652b605a9f0ff425cefaa7f152e3dfc9a52bd359
MD5sum: 2e180cd599a2a45a0cc13627e8d3a7c0
Description: Universal Input Method - GTK+2.x Japanese dictionary tool
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a GTK+2.x Japanese dictionary tool of uim.

Package: uim-dict-gtk3
Source: uim
Version: 1:1.8.1-4
Architecture: armhf
Maintainer: HIGUCHI Daisuke (VDR dai) 
Installed-Size: 90
Depends: libanthy0, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcanna1g, libgcroots0 (>= 0.8.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libuim-scm0 (>= 1:1.5.7), libuim8 (>= 1:1.5.7), uim-common (>= 1:1.8.1-4)
Homepage: http://code.google.com/p/uim/
Priority: optional
Section: x11
Filename: pool/main/u/uim/uim-dict-gtk3_1.8.1-4_armhf.deb
Size: 26978
SHA256: 57e39c8134a14e199737ee39a2326f46f10b01c135125a1cbc5066b5f51a4198
SHA1: 63b35652bce0180053b0f16351c4cd8d23feb595
MD5sum: 345daaaf44eb593b9185e4fc05452312
Description: Universal Input Method - GTK+3.x Japanese dictionary tool
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a GTK+3.x Japanese dictionary tool of uim.

Package: uim-el
Source: uim
Version: 1:1.8.1-4
Architecture: armhf
Maintainer: HIGUCHI Daisuke (VDR dai) 
Installed-Size: 306
Depends: libc6 (>= 2.13-28), libgcroots0 (>= 0.8.5), libuim-scm0 (>= 1:1.5.7), libuim8 (>= 1:1.7.0), uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4), emacs23 | xemacs21-mule | xemacs21-mule-canna-wnn | xemacs21-mule-canna-wnn | xemacs21-gnome-mule | xemacs21-gnome-mule-canna-wnn | emacsen
Breaks: uim-common (<< 1:1.0.0-1)
Replaces: uim-common (<< 1:1.0.0-1)
Homepage: http://code.google.com/p/uim/
Priority: optional
Section: lisp
Filename: pool/main/u/uim/uim-el_1.8.1-4_armhf.deb
Size: 93154
SHA256: 3f5213dbca933702437ce4d6218769718e54ff0b9dff43898434e359af997952
SHA1: 3ff0a14562ec4f30c662bbc23d680b9c5bb8782e
MD5sum: 6789828213bd0c0adbe38010a1099a74
Description: Universal Input Method - Emacs front end
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains an Emacs front end for uim.

Package: uim-fep
Source: uim
Version: 1:1.8.1-4
Architecture: armhf
Maintainer: HIGUCHI Daisuke (VDR dai) 
Installed-Size: 162
Depends: libc6 (>= 2.13-28), libgcroots0 (>= 0.8.5), libncurses5 (>= 5.5-5~), libtinfo5, libuim-scm0 (>= 1:1.5.7), libuim8 (>= 1:1.7.0), uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Homepage: http://code.google.com/p/uim/
Priority: optional
Section: utils
Filename: pool/main/u/uim/uim-fep_1.8.1-4_armhf.deb
Size: 77422
SHA256: 15e8cfcc542ce1e98e73c838d3bfad0926f9177cb1aa25f220371413b2517aa7
SHA1: f7a8cde87a5ade47b15b950d4db35e5d134f9770
MD5sum: d74d9bedc07d1c092d3a231aab795dea
Description: Universal Input Method - front end processor
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a curses Front End Processor to support the use of
 uim in a text terminal.

Package: uim-google-cgiapi-jp
Source: uim
Version: 1:1.8.1-4
Installed-Size: 104
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Depends: uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Size: 18414
SHA256: 46c99ef368736bcee03699307c815acdc0f3860af292084722fb52dc8a4a5f01
SHA1: 5b3300c310791c396ac73d5795b16d3d27a9cec2
MD5sum: d5387d05a6a5169278a046b23b14a6bf
Description: Universal Input Method - Google-Jp web input support
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package provides uim support for Japanese input via the Google-Jp web
 API - see http://www.google.com/intl/ja/ime/cgiapi.html.
Homepage: http://code.google.com/p/uim/
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim-google-cgiapi-jp_1.8.1-4_all.deb

Package: uim-gtk2.0
Source: uim
Version: 1:1.8.1-4
Architecture: armhf
Maintainer: HIGUCHI Daisuke (VDR dai) 
Installed-Size: 384
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcroots0 (>= 0.8.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libuim-custom2 (>= 1:1.7.0), libuim-scm0 (>= 1:1.5.7), libuim8 (>= 1:1.8.0~alpha), libx11-6, uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Suggests: uim-dict-gtk
Breaks: uim-xim (<< 1:1.6.0-1)
Replaces: uim-xim (<< 1:1.6.0-1)
Homepage: http://code.google.com/p/uim/
Priority: optional
Section: x11
Filename: pool/main/u/uim/uim-gtk2.0_1.8.1-4_armhf.deb
Size: 131840
SHA256: ede55c8dc2b1309f14545601f477adaf39d3fb6c496a93dbec6590298208fbf2
SHA1: 1919f292d4208dc360eea4a4946b7f3197a20f00
MD5sum: 0d447d578c37b510251ef3d8241d866b
Description: Universal Input Method - GTK+2.x front end
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains an IM-module to support the use of uim on GTK+2.0
 applications.

Package: uim-gtk3
Source: uim
Version: 1:1.8.1-4
Architecture: armhf
Maintainer: HIGUCHI Daisuke (VDR dai) 
Installed-Size: 380
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcroots0 (>= 0.8.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libuim-custom2 (>= 1:1.7.0), libuim-scm0 (>= 1:1.5.7), libuim8 (>= 1:1.8.0~alpha), libx11-6, uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Suggests: uim-dict-gtk3
Homepage: http://code.google.com/p/uim/
Priority: optional
Section: x11
Filename: pool/main/u/uim/uim-gtk3_1.8.1-4_armhf.deb
Size: 132892
SHA256: 2b5a9e6ab9c3f0605e0aafb2222f97eb119232457174d6aab53d1105d243b489
SHA1: 03d1eba2ab554b03d095dca08ca2d8b0463406a1
MD5sum: 938f1eb08ce95244bafd6d0952bb4244
Description: Universal Input Method - GTK+3.x front end
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains an IM-module to support the use of uim on GTK+3.0
 applications.

Package: uim-hangul
Source: uim
Version: 1:1.8.1-4
Installed-Size: 1
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Replaces: uim-common (<= 1:1.2.1-9)
Depends: uim-common (>= 1:1.8.1-4), uim-byeoru (>= 1:1.8.1-4)
Breaks: uim-common (<= 1:1.2.1-9)
Size: 836
SHA256: 4106e64c96acdcf7fd1cad854371e5292084a4ff60dacb44cb5faf015f81a481
SHA1: 385b962c18ea05116971dce279748cf02ec370ce
MD5sum: 39578c778aaff656c66dd08d9d95cf38
Description: transitional package for uim-byeoru
 This is a dummy package to aid in transitioning from uim-hangul to uim-byeoru.
 This dummy package may be safely removed after upgrading to wheezy.
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/u/uim/uim-hangul_1.8.1-4_all.deb

Package: uim-ipa-x-sampa
Source: uim
Version: 1:1.8.1-4
Installed-Size: 11
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Replaces: uim-common (<= 1:1.2.1-9)
Depends: uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Breaks: uim-common (<= 1:1.2.1-9)
Size: 5888
SHA256: 474451cb8e20de7f33e1e8cd891afa9d2945d79be68cee5e4a5f5802f91dbe85
SHA1: baa3ce1769c2ad1e52bc44e98ebe0ea4e861221a
MD5sum: abc7082370291811b6fda23d342f1c28
Description: Universal Input Method - X-SAMPA IPA input support
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package provides uim support for the International Phonetic Alphabet,
 using the 7-bit extended-SAMPA system - see
 http://www.phon.ucl.ac.uk/home/sampa/x-sampa.htm
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, implemented-in::scheme, role::plugin
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim-ipa-x-sampa_1.8.1-4_all.deb

Package: uim-latin
Source: uim
Version: 1:1.8.1-4
Installed-Size: 187
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Replaces: uim-common (<= 1:1.2.1-9)
Depends: uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Breaks: uim-common (<= 1:1.2.1-9)
Size: 36074
SHA256: 600bb85acdb8cecdb7540588b1a95fcf5d91f823190e26046192c78a885fec17
SHA1: e4c9428fcbf627e1c484ab088a7d308adbf22141
MD5sum: e304ae315fac8763887c705d3db116bc
Description: Universal Input Method - Latin script input support
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a plugin for uim to support the use of the (Emacs)
 Latin input method, which provides composing sequences for accented and
 otherwise modified Roman-alphabet letters.
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, implemented-in::scheme, role::plugin
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim-latin_1.8.1-4_all.deb

Package: uim-look
Source: uim
Version: 1:1.8.1-4
Installed-Size: 30
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Replaces: uim-common (<= 1:1.6.1-2)
Depends: uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Recommends: wordlist
Breaks: uim-common (<= 1:1.6.1-2)
Size: 9090
SHA256: 206b41de3255a89d7c0c64db4223656a3f60268d8c13c5ab1e780a6648baaa69
SHA1: 468adf78e4b84a956b9b97311afd9efe3e1f4fe2
MD5sum: c49f89e607327dafa45183ac03ee8d6a
Description: Universal Input Method - Dictionary-based completion input support
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains Dictionary-based completion input style for uim.
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, implemented-in::scheme, role::plugin
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim-look_1.8.1-4_all.deb

Package: uim-m17nlib
Source: uim
Version: 1:1.8.1-4
Architecture: armhf
Maintainer: HIGUCHI Daisuke (VDR dai) 
Installed-Size: 94
Depends: libc6 (>= 2.13-28), libgcroots0 (>= 0.8.5), libm17n-0 (>= 1.5.5), libuim-scm0 (>= 1:1.5.7), libuim8 (>= 1:1.7.0), uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Breaks: uim-common (<= 1:1.2.1-9)
Replaces: uim-common (<= 1:1.2.1-9)
Homepage: http://code.google.com/p/uim/
Priority: optional
Section: utils
Filename: pool/main/u/uim/uim-m17nlib_1.8.1-4_armhf.deb
Size: 15092
SHA256: ad9b8a213b611243797f1fd19009e47edf32c1950a4c1944d2309c7326c32c0a
SHA1: c38cdedc699d832342f4473496977c3ba92165df
MD5sum: c1e6c86f0d677cc21fab3f5bc00540a7
Description: Universal Input Method - m17nlib plugin
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a plugin for uim to support the use of the
 general-purpose input method M17n (for "Multilingualization").

Package: uim-pinyin
Source: uim
Version: 1:1.8.1-4
Installed-Size: 509
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Replaces: uim-common (<= 1:1.2.1-9)
Depends: uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Breaks: uim-common (<= 1:1.2.1-9)
Size: 218408
SHA256: 784ff6763e8d0ecb9faf2dd29893a2ef60cedf3e3bf20fb51e6ddfef067c6b46
SHA1: 7453051866bde530394b33833b95cbb95c29a6d2
MD5sum: d411c5a20c43c5b38a13cea2e226ba8f
Description: Universal Input Method - pinyin input support
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package provides uim support for the (Traditional and Simplified)
 Chinese input methods py, pyunihan, and pinyin-big5.
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, culture::chinese, culture::taiwanese,
 implemented-in::scheme, iso15924::hani, iso15924::hans, iso15924::hant,
 role::plugin
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim-pinyin_1.8.1-4_all.deb

Package: uim-prime
Source: uim
Version: 1:1.8.1-4
Installed-Size: 111
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Replaces: uim-common (<= 1:1.2.1-9)
Depends: uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4), prime
Breaks: uim-common (<= 1:1.2.1-9)
Size: 24590
SHA256: 5ffcb43aac3f98f56e1153b92dc91de97588d9c45a76d58f9b0b620d6f926f13
SHA1: a9e7571f0b9f6f3373ca4790f48587f9a6ce1c23
MD5sum: 3cb7262374d46606b533f75b36bfcfd5
Description: Universal Input Method - PRIME plugin
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a plugin for uim to support the use of the Japanese
 input method PRIME.
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, culture::japanese, implemented-in::scheme,
 iso15924::jpan, role::plugin
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim-prime_1.8.1-4_all.deb

Package: uim-qt
Source: uim
Version: 1:1.8.1-4
Architecture: armhf
Maintainer: HIGUCHI Daisuke (VDR dai) 
Installed-Size: 578
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-qt3support (>= 4:4.8.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libuim-custom2 (>= 1:1.7.0), libuim-scm0 (>= 1:1.5.7), libuim8 (>= 1:1.8.0~alpha), libx11-6, uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Homepage: http://code.google.com/p/uim/
Priority: optional
Section: x11
Filename: pool/main/u/uim/uim-qt_1.8.1-4_armhf.deb
Size: 215076
SHA256: 7567643e27066dd3add122cd2f2edd1d309947b48d3b978e047ece8cd943141e
SHA1: f90b0239b6554e43f6ef81186818db8456edf126
MD5sum: 58f3527a7d6124b98fe2f603c77956f2
Description: Universal Input Method - Qt 4.x front end
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains some graphical utilities (but not a full IM-module)
 using Qt 4.x.

Package: uim-qt3
Source: uim
Version: 1:1.8.1-4
Installed-Size: 1
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Depends: uim-qt (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Size: 808
SHA256: b67653407c4cf615b58fdbc6c714b7323cbced3de7afdc533940f88f4b4e9d3d
SHA1: ad0c97ecf321dda23f190c1e33fc656f2f6fea2e
MD5sum: 3b01c0a2a09c9d50bdb1c82f69fdf91a
Description: transitional package for uim-qt
 This is a dummy package to aid in transitioning from uim-qt3 to uim-qt.
 This dummy package may be safely removed after upgrading to wheezy.
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/u/uim/uim-qt3_1.8.1-4_all.deb

Package: uim-skk
Source: uim
Version: 1:1.8.1-4
Architecture: armhf
Maintainer: HIGUCHI Daisuke (VDR dai) 
Installed-Size: 196
Depends: libc6 (>= 2.13-28), libgcroots0 (>= 0.8.5), libuim-scm0 (>= 1:1.7.0), libuim8 (>= 1:1.7.0), uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4), skkdic | skkdic-extra | skkserv | dbskkd-cdb
Breaks: uim-common (<= 1:1.2.1-9)
Replaces: uim-common (<= 1:1.2.1-9)
Homepage: http://code.google.com/p/uim/
Priority: optional
Section: utils
Filename: pool/main/u/uim/uim-skk_1.8.1-4_armhf.deb
Size: 43592
SHA256: 5646b7eff61b5e3f1692bb2678d8586a4b9f4ebfb0e5242e6c88f0b8035a4632
SHA1: 425e310077c392a5f086274b8fa2aef669e350c2
MD5sum: 56530402787528545d2b513ddff7f832
Description: Universal Input Method - SKK plugin
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a plugin for uim to support the use of the Japanese
 input method SKK.

Package: uim-social-ime
Source: uim
Version: 1:1.8.1-4
Installed-Size: 97
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Replaces: uim-common (<= 1:1.6.0-1)
Depends: uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Breaks: uim-common (<= 1:1.6.0-1)
Size: 18560
SHA256: 3ace0840f33945ae31667383e22244a074a2c313b00f876cfebd15bbc611213d
SHA1: df4dbc0cafc2b8f22344a29a59e869c9accd02c5
MD5sum: 00babc540608a3c3e92fc801d40edd9b
Description: Universal Input Method - Social-IME web input support
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package provides uim support for Japanese input via the Social-IME web
 API - see http://www.social-ime.com/. Note that all requests to the
 Social-IME server go over the Internet unencrypted.
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, culture::japanese, implemented-in::scheme,
 iso15924::jpan, role::plugin
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim-social-ime_1.8.1-4_all.deb

Package: uim-tcode
Source: uim
Version: 1:1.8.1-4
Installed-Size: 990
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Replaces: uim-common (<= 1:1.2.1-9)
Depends: uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4), uim-skk (>= 1:1.8.1-4), t-code
Breaks: uim-common (<= 1:1.2.1-9)
Size: 218386
SHA256: c46909360197bf4efb7788c9490bd9eee5a178121f857f38edf9de649760114c
SHA1: 04fee25d7a4d5a5413994342985a308fb6f97598
MD5sum: d127dd451bb03c81b1355a1d4e49c0c5
Description: Universal Input Method - T-Code input support
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package provides uim support for TUT-Code (or "T-Code"), a Japanese
 input method mapping pairs of alphanumeric codes to individual kanji - see
 http://openlab.jp/tcode/ (in Japanese).
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, culture::japanese, implemented-in::scheme,
 iso15924::jpan, role::plugin
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim-tcode_1.8.1-4_all.deb

Package: uim-utils
Source: uim
Version: 1:1.8.1-4
Architecture: armhf
Maintainer: HIGUCHI Daisuke (VDR dai) 
Installed-Size: 79
Depends: libc6 (>= 2.13-28), libgcroots0 (>= 0.8.5), libuim-scm0 (>= 1:1.5.7), libuim8 (>= 1:1.7.0), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Breaks: uim-common (<< 1:1.4.1-1)
Replaces: uim-common (<< 1:1.4.1-1)
Homepage: http://code.google.com/p/uim/
Priority: optional
Section: utils
Filename: pool/main/u/uim/uim-utils_1.8.1-4_armhf.deb
Size: 12838
SHA256: 087cc653ad8361aaa9a452c7fdd470f8c42ceb63a90632e66f63a0311d704bb2
SHA1: c180c222c838d75746568e6e60a07731331b6c7e
MD5sum: 28004a290ff0dce8159369865c99abc4
Description: Universal Input Method - utilities
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package contains a shell interpreter, IPC server, etc.

Package: uim-viqr
Source: uim
Version: 1:1.8.1-4
Installed-Size: 10
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Replaces: uim-common (<= 1:1.2.1-9)
Depends: uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Breaks: uim-common (<= 1:1.2.1-9)
Size: 5702
SHA256: 433edf2ca1f7ad3841c4b4ac8d3e54fa30e9baeb920d7061f3d9aac925d5b075
SHA1: fc6f4560a3121577ade2e9f20b9f783512fffccd
MD5sum: dfed26cff78bcab70506f061e6102fa2
Description: Universal Input Method - Vietnamese Quoted-Readable support
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package provides uim support for VIQR (Vietnamese Quoted-Readable)
 input. VIQR is a mnemonic encoding of Vietnamese characters into US ASCII
 for use on 7-bit systems - see RFC1456.
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, implemented-in::scheme, role::plugin
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim-viqr_1.8.1-4_all.deb

Package: uim-xim
Source: uim
Version: 1:1.8.1-4
Architecture: armhf
Maintainer: HIGUCHI Daisuke (VDR dai) 
Installed-Size: 217
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcroots0 (>= 0.8.5), libstdc++6 (>= 4.6), libuim-scm0 (>= 1:1.5.7), libuim8 (>= 1:1.8.0~alpha), libx11-6, libxext6, libxft2 (>> 2.1.1), uim-common (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-utils (>= 1:1.8.1-4)
Suggests: uim-gtk2.0 (>= 1:1.6.0-1), uim-gtk3, uim-qt
Homepage: http://code.google.com/p/uim/
Priority: optional
Section: x11
Filename: pool/main/u/uim/uim-xim_1.8.1-4_armhf.deb
Size: 90826
SHA256: ef39973a1486e398730aba231ef2bee4dae05fdaefadda910e67d737bed7b7a7
SHA1: 1c3f1e086e1b2f69b2d8095b0dff8bbb47518e3d
MD5sum: 2806a93696be29b132efda27d27b6f84
Description: Universal Input Method - XIM compatibility interface
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package provides a bridge allowing software that can only interface
 with the X Input Method to work with uim.

Package: uim-yahoo-jp
Source: uim
Version: 1:1.8.1-4
Installed-Size: 97
Maintainer: HIGUCHI Daisuke (VDR dai) 
Architecture: all
Replaces: uim-common (<= 1:1.6.0-1)
Depends: uim-utils (>= 1:1.8.1-4), libuim-data (>= 1:1.8.1-4), uim-common (>= 1:1.8.1-4)
Breaks: uim-common (<= 1:1.6.0-1)
Size: 18918
SHA256: 2cebbb4ccdc3df4c579bbc0cdaec6ae1a66359a0b11ed41f6d3336c8238931b8
SHA1: 6cff8d4996008982740b5867fd3b8e2b4f7149f0
MD5sum: de50ed99892906afad30e856bb96135e
Description: Universal Input Method - Yahoo-JP web input support
 Uim is an input method module library which supports various scripts and can
 act as a front end for a range of input methods, including Anthy, Canna,
 PRIME, or SKK (for Japanese), Pinyin (for Chinese), Byeoru (for Korean), and
 M17n (for many other languages). Most of its functions are implemented in
 Scheme, so it's very simple and flexible.
 .
 This package provides uim support for Japanese input via the Yahoo-JP web
 API - see http://developer.yahoo.co.jp/webapi/jlp/jim/v1/conversion.html
 Note that all requests to the Yahoo-JP server go over the Internet
 unencrypted.
Homepage: http://code.google.com/p/uim/
Tag: accessibility::input, culture::japanese, implemented-in::scheme,
 iso15924::jpan, role::plugin
Section: utils
Priority: optional
Filename: pool/main/u/uim/uim-yahoo-jp_1.8.1-4_all.deb

Package: uima-doc
Source: uimaj
Version: 2.4.0-2
Installed-Size: 51796
Maintainer: Debian Java Maintainers 
Architecture: all
Recommends: default-jdk-doc
Size: 3527554
SHA256: 4da4aae150a9c8f40c667d91f22e90b8e5c8ef6a2497694db7d987a5ef3b2f36
SHA1: e8d9ab4e78d7133c8fcfde539b55b0cf984fdb12
MD5sum: 028a35d5e8de56b26ca0a5eb69e04e3f
Description: Documentation for the Apache UIMA framework
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: .
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 All the documentations to run the UIMA framework.
Homepage: http://uima.apache.org/
Tag: devel::doc, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/u/uimaj/uima-doc_2.4.0-2_all.deb

Package: uima-examples
Source: uimaj
Version: 2.4.0-2
Installed-Size: 788
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: libuima-core-java (>= 2.4.0-2)
Size: 208268
SHA256: 8f9e80276fe57b7e57ef5dc85e2d0aeead7721da7a4acd6af8971546d7d1b6d4
SHA1: aaae1b2010ade1f60342e67bceb54ca367a84534
MD5sum: ee9ce8a2802eceb11ff89867678692fc
Description: Examples of UIMA components
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: .
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 This package provides some examples of UIMA components.
Homepage: http://uima.apache.org/
Tag: devel::lang:java, devel::library, role::app-data, role::devel-lib,
 role::shared-lib
Section: java
Priority: optional
Filename: pool/main/u/uimaj/uima-examples_2.4.0-2_all.deb

Package: uima-utils
Source: uimaj
Version: 2.4.0-2
Installed-Size: 125
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: default-jre | java5-runtime, libuima-core-java (>= 2.4.0-2), libuima-tools-java (>= 2.4.0-2)
Recommends: libuima-adapter-soap-java (>= 2.4.0-2), libuima-adapter-vinci-java (>= 2.4.0-2), libuima-cpe-java (>= 2.4.0-2), libuima-document-annotation-java (>= 2.4.0-2)
Size: 31800
SHA256: e3ad2aad5fc1359162b7d72f251c48fa4e1104f1e5addfd89b0f1d390e7aecba
SHA1: d75b88dbc3b5e29f5f1c3524cb448270349eb2f5
MD5sum: 742f800f85c8b32cbc0e2a3ccaf1f091
Description: UIMA tools
 Apache UIMA is an implementation of the OASIS-UIMA specifications.
 .
 OASIS UIMA Committee: .
 .
 Unstructured Information Management applications are software systems
 that analyze large volumes of unstructured information in order to
 discover knowledge that is relevant to an end user.
 .
 An example UIM application might ingest plain text and identify
 entities, such as persons, places, organizations; or relations,
 such as works-for or located-at.
 .
 This package provides the UIMA tools : annotationViewer, cpeGui,
 documentAnalyzer, jcasgen, runAE, runCPE, runPearInstaller, runPearMerger,
 runPearPackager
Homepage: http://uima.apache.org/
Tag: field::statistics, implemented-in::java, role::program,
 science::calculation
Section: java
Priority: optional
Filename: pool/main/u/uimaj/uima-utils_2.4.0-2_all.deb

Package: uisp
Version: 20050207-4.2
Architecture: armhf
Maintainer: Margarita Manterola 
Installed-Size: 122
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Homepage: http://www.nongnu.org/uisp/
Priority: extra
Section: electronics
Filename: pool/main/u/uisp/uisp_20050207-4.2_armhf.deb
Size: 55642
SHA256: 70cc4f5aa699717f9e99d2f52a64aba0f0fcbe76b714cda766bf0025f2385d60
SHA1: 3e23356159071b6182cab116db3a03f0645ea1e3
MD5sum: 07641ac0c83ec64f82a1607959a4e959
Description: Micro In-System Programmer for Atmel's AVR MCUs
 This utility is required to program AVR chips with object code
 created by the ava assembler/linker, gas, or gcc.  It supports
 in-system programming, Atmel's prototype board/programmer (stk500),
 and many other extremely low-cost parallel port programmers.
 It can also be used to program Atmel's AT89S51 and AT89S52.

Package: ukolovnik
Version: 1.4-1
Installed-Size: 341
Maintainer: Michal Čihař 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, dbconfig-common, apache2 | lighttpd | httpd, libapache2-mod-php5 | php5-cgi, php5-mysql
Recommends: mysql-server, mysql-client
Size: 50934
SHA256: aa2ae5cce770bf9c3d0cf4c5374821023e9c3433fce0d60f4a6312783dbcec6c
SHA1: f3f045bb7ea06fea6b9af1255b4415d4c0a96a19
MD5sum: 3c952002e622ba9c04b233e00a9a4d27
Description: Simple todo manager using PHP and MySQL
 Ukolovnik is a simple todo manager written in PHP and using MySQL as
 its backend. It does not provide multi-user functionality, just
 everything you need for a personal todo list.
Homepage: http://cihar.com/software/ukolovnik/
Tag: implemented-in::php, interface::web, protocol::http, role::program,
 use::organizing, web::application, works-with::db, works-with::pim
Section: web
Priority: extra
Filename: pool/main/u/ukolovnik/ukolovnik_1.4-1_all.deb

Package: ukopp
Version: 4.4-1
Architecture: armhf
Maintainer: Leo Iannacone 
Installed-Size: 472
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0), udev
Homepage: http://kornelix.squarespace.com/ukopp/
Priority: optional
Section: admin
Filename: pool/main/u/ukopp/ukopp_4.4-1_armhf.deb
Size: 249508
SHA256: 4ff9dd975a1d215be070b47b76fcbada52641de66201efc1c9d0b52613dc36d9
SHA1: 8a9c0b91d9f0c496726b3dc3a324924a4b1fae46
MD5sum: a9fa0c62396dad0e41994c1da402a3d2
Description: Full and incremental backup to disk or disk-like device
 Ukopp is used to copy or back-up disk files to a disk or disk-like device,
 such as a USB stick. It copies only new or modified files since the last
 backup, and is therefore quite fast. A GUI is used to navigate the file
 system to include or exclude files or directories at any level. These
 choices can be saved in a job file for repeated use. New files appearing
 within the included directories are handled automatically. Optionally,
 previous versions of the backup files can be retained instead of being
 overwritten. Files can be selectively restored using a GUI. Ownership
 and permissions are also restored, even if the target device uses a
 Microsoft file system.

Package: ulatency
Source: ulatencyd
Version: 0.5.0-7
Architecture: armhf
Maintainer: Alessandro Ghedini 
Installed-Size: 82
Depends: ulatencyd (= 0.5.0-7), python, python-dbus
Suggests: python-qt4
Homepage: https://github.com/poelzi/ulatencyd
Priority: optional
Section: utils
Filename: pool/main/u/ulatencyd/ulatency_0.5.0-7_armhf.deb
Size: 15150
SHA256: a96104b2e326e801c592923d2ae4fe57d40099ccd41fbeb445d36fabbb0962e1
SHA1: 9641164952d8d1973858e8543da93f4c0811c07a
MD5sum: 051af7b941bb1e5002ee7d95a5dc36dc
Description: Client for the ulatencyd daemon
 Ulatency is a daemon that controls how the Linux kernel will spend it's
 resources on the running processes. It uses dynamic cgroups to give the
 kernel hints and limitations on processes.
 .
 This package provides a command-line/qt4 client for the ulatencyd daemon.

Package: ulatencyd
Version: 0.5.0-7
Architecture: armhf
Maintainer: Alessandro Ghedini 
Installed-Size: 362
Pre-Depends: dpkg (>= 1.15.7.2)
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.22.0), liblua5.1-0, libpolkit-gobject-1-0 (>= 0.99), libxau6, libxcb1, dbus, lua5.1 | lua, lua-posix
Homepage: https://github.com/poelzi/ulatencyd
Priority: optional
Section: utils
Filename: pool/main/u/ulatencyd/ulatencyd_0.5.0-7_armhf.deb
Size: 108174
SHA256: faf05041074f339a7f6ae8514be4069b3ee37d5d3221060f4ee2f6cd2afd397b
SHA1: 5b5750cb7c385ec189c24d59b4fea7556124545a
MD5sum: 6bb9ef9478b2f5190153d3d39f6b213d
Description: Daemon to minimize latency on a linux system using cgroups
 Ulatency is a daemon that controls how the Linux kernel will spend it's
 resources on the running processes. It uses dynamic cgroups to give the
 kernel hints and limitations on processes.
 .
 It strongly supports the lua scripting language for writing rules and the
 scheduler code.
 .
 The Linux scheduler does a pretty good job to give the available resources
 to all processes, but this may not be the best user experience in the
 desktop case. ulatencyd monitors the system and categorizes the running
 processes into cgroups. Processes that run wild to slow down the system
 by causing massive swaping will be isolated.

Package: uligo
Version: 0.3-6
Installed-Size: 660
Maintainer: David Andel 
Architecture: all
Depends: python, python-tk
Suggests: cgoban, python-imaging-tk
Size: 349604
SHA256: c22b785437cbee67685aac8c093ebde7db9157ad5e12a32ef0e5afd6d2bae549
SHA1: 04898bc623a0531d7817b83be42ea37f89c0ac1b
MD5sum: 557e9cbfc062541fe2e1cd2a32410ac2
Description: tsumego (go problems) practice tool
 To get stronger at the game of go, it is essential to develop one's
 reading ability. That is why professionals recommend study of life and
 death or tesuji (local offensive positions) problems. uliGo is a program
 that allows you to do that: the computer displays a problem, and asks for
 the answer. You enter the first move, the computer responds, and so on
 until you reach the final solution or enter a wrong move.
 .
 uliGo includes a stop clock, support for multiple solution paths, and
 random color selection and board rotation (to avoid rote memorization).
 It uses the standard SGF format for its problems, so you can easily
 create your own using any SGF editor (such as CGoban).
Tag: game::board, interface::x11, role::program, uitoolkit::tk,
 use::gameplaying, use::learning, x11::application
Section: games
Priority: optional
Filename: pool/main/u/uligo/uligo_0.3-6_all.deb

Package: ulogd
Version: 1.24-3.3
Architecture: armhf
Maintainer: Achilleas Kotsis 
Installed-Size: 177
Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, lsb-base (>= 3.2-13)
Suggests: ulogd-mysql, ulogd-pgsql, ulogd-pcap, ulogd-sqlite3
Homepage: http://www.netfilter.org/projects/ulogd/
Priority: optional
Section: net
Filename: pool/main/u/ulogd/ulogd_1.24-3.3_armhf.deb
Size: 55110
SHA256: f78fe4e0064577a0a14ca321b836ffd377351abb5f3a87839fc45f52964a11ba
SHA1: ab71f6d76e3e2d181329307474c0251215b202e1
MD5sum: 84aa235c9830400d2957b1521fb41300
Description: The Netfilter Userspace Logging Daemon
 ulogd is a daemon that listens for Netlink packets generated by iptables's
 ULOG target. Basically, it's a replacement for syslog for logging packets,
 and does a much better job - it logs to files, pcap files, MySQL, PostgreSQL,
 SQLite 3 and soon will be able to log remotely.
 .
 MySQL, PostgreSQL and SQLite 3 support is in separate packages, called
 ulogd-mysql, ulogd-pgsql and ulogd-sqlite3 respectively. pcap file support
 is in package called ulogd-pcap.

Package: ulogd-mysql
Source: ulogd
Version: 1.24-3.3
Architecture: armhf
Maintainer: Achilleas Kotsis 
Installed-Size: 37
Depends: ulogd (= 1.24-3.3), libc6 (>= 2.13-28), libmysqlclient18 (>= 5.5.24+dfsg-1), zlib1g (>= 1:1.1.4)
Homepage: http://www.netfilter.org/projects/ulogd/
Priority: optional
Section: net
Filename: pool/main/u/ulogd/ulogd-mysql_1.24-3.3_armhf.deb
Size: 4744
SHA256: 88c30c39dfb1802727f582aab3f1f0f10d885b021215dbf813cf45ebbcfcd706
SHA1: 73c3a3b9e120f0947aa849ace7d2795d727f0c51
MD5sum: cda3f48aa35950870edb0b0828df676f
Description: MySQL extension to ulogd
 This module adds MySQL support to ulogd. You can log into a MySQL database
 if you have it installed.

Package: ulogd-pcap
Source: ulogd
Version: 1.24-3.3
Architecture: armhf
Maintainer: Achilleas Kotsis 
Installed-Size: 34
Depends: ulogd (= 1.24-3.3), libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8)
Homepage: http://www.netfilter.org/projects/ulogd/
Priority: optional
Section: net
Filename: pool/main/u/ulogd/ulogd-pcap_1.24-3.3_armhf.deb
Size: 3368
SHA256: d300da6951f1f6a364e8d711a3c585141a46ad0e25c17e300cf6812fcacdf478
SHA1: 86c33e38b46c351d9a66dcb77fb9533c213f843a
MD5sum: 84aeca3b095d0d50a84e27ce8435a45b
Description: pcap extension to ulogd
 This module adds a plugin to ulogd, that allows to log to a pcap format
 file. You can then use this file with other tools that use pcap like
 tcpdump or ethereal.

Package: ulogd-pgsql
Source: ulogd
Version: 1.24-3.3
Architecture: armhf
Maintainer: Achilleas Kotsis 
Installed-Size: 40
Depends: ulogd (= 1.24-3.3), libc6 (>= 2.13-28), libpq5
Homepage: http://www.netfilter.org/projects/ulogd/
Priority: optional
Section: net
Filename: pool/main/u/ulogd/ulogd-pgsql_1.24-3.3_armhf.deb
Size: 5134
SHA256: dff29b7a8c6ddee26c5de98dbb91f4ce5f3bf8c10ec64a798c0730218b1e802a
SHA1: ea47f8e454c628687255489ed205f3d794baf0dd
MD5sum: 87ead0927702b5c6fd79a26c625b059c
Description: PostgreSQL extension to ulogd
 This module adds PostgreSQL support to ulogd. You can log into a PostgreSQL
 database if you have it installed.

Package: ulogd-sqlite3
Source: ulogd
Version: 1.24-3.3
Architecture: armhf
Maintainer: Achilleas Kotsis 
Installed-Size: 36
Depends: ulogd (= 1.24-3.3), libc6 (>= 2.13-28), libsqlite3-0 (>= 3.5.9)
Homepage: http://www.netfilter.org/projects/ulogd/
Priority: optional
Section: net
Filename: pool/main/u/ulogd/ulogd-sqlite3_1.24-3.3_armhf.deb
Size: 4466
SHA256: 11284f7f3900943e3beae413a96b68378db355340f3ca2e5a9e85eb9eaf3bce2
SHA1: 67d0ce78bcccfded36406b3eddd79e09a42637ba
MD5sum: 92acd07a0d81cddab06afd47f96be82f
Description: SQLite 3 extension to ulogd
 This module adds SQLite 3 support to ulogd. You can log into a SQLite 3
 database if you have it installed.

Package: ultracopier
Version: 0.2.0.16-1
Architecture: armhf
Maintainer: Thomas Preud'homme 
Installed-Size: 1170
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: http://ultracopier.first-world.info/
Priority: extra
Section: utils
Filename: pool/main/u/ultracopier/ultracopier_0.2.0.16-1_armhf.deb
Size: 517004
SHA256: 000df65e88c37d4b71ddbdb74ab8840d69698ca1f830529d69e4873351cb6cef
SHA1: 5abe4784ef3537f784c609cd9b29f586cfc1596f
MD5sum: cd5a22676068bf198f15aee75f44cd67
Description: Advanced graphical file copy system
 Ultracopier is a graphical file copy system featuring:
  - transfer suspend
  - speed control
  - transfer list management
  - advanced name colision and error management
 .
 Ultracopier also supports multiple skins and languages.

Package: umbrello
Source: kdesdk
Version: 4:4.8.4+dfsg-1
Architecture: armhf
Maintainer: Debian Qt/KDE Maintainers 
Installed-Size: 5074
Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.4.0), libkfile4 (>= 4:4.3.4), libkio5 (>= 4:4.3.4), libqt4-qt3support (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25)
Suggests: khelpcenter4
Homepage: http://www.kde.org
Priority: optional
Section: devel
Filename: pool/main/k/kdesdk/umbrello_4.8.4+dfsg-1_armhf.deb
Size: 1886484
SHA256: 705af5787aad43f790e38da99ee85c4b81a1a355a895d657f73a933c92123000
SHA1: e31388e133e752a324eca348554041866a0173e9
MD5sum: 531b2cd589999cbd37106046b989d818
Description: UML modelling tool and code generator
 Umbrello UML Modeller is a Unified Modelling Language diagram editor for KDE.
 It can create diagrams of software and other systems in the industry-standard
 UML format, and can also generate code from UML diagrams in a variety of
 programming languages.
 .
 This package is part of the KDE Software Development Kit module.

Package: uml-utilities
Version: 20070815-1.3
Architecture: armhf
Maintainer: User Mode Linux Maintainers 
Installed-Size: 238
Depends: adduser, libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0), lsb-base (>= 3.0-10)
Suggests: user-mode-linux
Priority: extra
Section: otherosfs
Filename: pool/main/u/uml-utilities/uml-utilities_20070815-1.3_armhf.deb
Size: 62092
SHA256: 8c6b301a8864062288e644bdbb4fbb5aa660ea3fd78e10633749ae27a6a3fe39
SHA1: 3be5663f1dee43b61204c8c813195c456d2bf45c
MD5sum: 033b0121f3f20cdc5641a535be699070
Description: User-mode Linux (utility programs)
 User-mode Linux is a port of the Linux kernel to its own system call
 interface.  It provides a kind of virtual machine, which runs Linux
 as a user process under another Linux kernel.  This is useful for
 kernel development, sandboxing, jailing, experimentation, and
 many other things.
 .
 This package contains userspace utilities for use with User-mode
 Linux, including uml_mconsole, uml_moo, uml_switch, uml_net and
 tunctl.

Package: umlet
Version: 11.3-5
Installed-Size: 493
Maintainer: Benjamin Mesing 
Architecture: all
Depends: openjdk-6-jdk | java6-sdk, jarwrapper, java-wrappers, libbatik-java, libecj-java, libfop-java, libgnumail-java, libitext-java, libjlibeps-java, libjsyntaxpane-java, liblog4j1.2-java
Size: 406880
SHA256: 873d9f44732a82e4b0416a116f08bbadb9ec887e068bf99ed6c31704a0a6547d
SHA1: cf0eab60037b651b8f86976f5e484bdd3b915e44
MD5sum: 8f33a023ade24e00237e7ff2b5c2c6b5
Description: simple, text driven UML drawing tool
 UMLet is a UML tool aimed at providing a fast way of drawing
 UML diagrams. UML elements are modified using text input instead
 of pop-up dialogs. Elements can be modified and used as
 templates; this way, users can easily tailor UMLet to their
 modeling needs. UMLet supports a variety of UML diagram types:
 class diagrams, use case diagrams, sequence diagrams, state
 diagrams, deployment diagrams, activity diagrams, etc.
 .
 UMLet can only be used for drawing UML diagrams, it does not
 support code export or the XMI format. UMLet allows one to export
 diagrams as images or PDF.
Homepage: http://umlet.com/
Section: devel
Priority: extra
Filename: pool/main/u/umlet/umlet_11.3-5_all.deb

Package: unaccent
Source: unac
Version: 1.8.0-6
Architecture: armhf
Maintainer: Loic Dachary (OuoU) 
Installed-Size: 56
Depends: libc6 (>= 2.4), libunac1
Priority: optional
Section: utils
Filename: pool/main/u/unac/unaccent_1.8.0-6_armhf.deb
Size: 13280
SHA256: 56ee337797fe851d1d74d4f00b29cefd92ce2096bfd38fac0aa663920c6d0fec
SHA1: c297c1d38f1035e30c7eb5f153e3ebbb925efdf1
MD5sum: 1436b110ad5ea283ac5be783c02df451
Description: Replace accented letters by their unaccented equivalent
 read data from stdin, replace accented letters by their unaccented
 equivalent and write the result on stdout.

Package: unace
Version: 1.2b-10+deb7u1
Architecture: armhf
Maintainer: Guillem Jover 
Installed-Size: 65
Depends: libc6 (>= 2.13-28)
Priority: optional
Section: utils
Filename: pool/main/u/unace/unace_1.2b-10+deb7u1_armhf.deb
Size: 18734
SHA256: b7a4d3b01a66124e467153ffe8ee2517fc9eebe4fe78565b90e60037ee5818fe
SHA1: d7673adcb2e10f6013040a9b7ac66c0b937377cd
MD5sum: b77d7d81ddea72c716685c94ca15fd78
Description: extract, test and view .ace archives
 The unace utility is used for extracting, testing and viewing
 the contents of archives created with the ACE archiver.

Package: unadf
Version: 0.7.11a-3+deb7u1
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 197
Depends: libc6 (>= 2.13-28)
Homepage: http://lclevy.free.fr/adflib/unadf.html
Priority: optional
Section: utils
Filename: pool/main/u/unadf/unadf_0.7.11a-3+deb7u1_armhf.deb
Size: 113048
SHA256: 7a81e00365fd9ab271ce95e13ae98e7b4eb9cb8a2478ffd92e996f7240f32e53
SHA1: 79a76383ae0209787e86e1392f043ee524268322
MD5sum: 9fa2b1ddfe331f40f75e8a1f813b7980
Description: Extract files from an Amiga Disk File dump (.adf)
 Tool for extracting and listing files in an Amiga Disk File.
 .
 Amiga Disk File aka ADF is a file format used by Amiga computers and emulators
 to store images of disks. ADF is not really a file format but actually a
 track-by-track dump of the disk data as read by the Amiga operating system,
 and so the "format" is really fixed-width AmigaDOS data tracks appended one
 after another and held in a file.

Package: unagi
Version: 0.3.3-2
Architecture: armhf
Maintainer: Arnaud Fontaine 
Installed-Size: 151
Depends: libc6 (>= 2.13-28), libconfuse0 (>= 2.5), libev4 (>= 1:4.04), libxcb-composite0, libxcb-damage0, libxcb-ewmh2 (>= 0.3.9), libxcb-image0 (>= 0.3.9), libxcb-keysyms1 (>= 0.3.9), libxcb-randr0, libxcb-render-util0 (>= 0.3.8), libxcb-render0, libxcb-shape0, libxcb-shm0, libxcb-util0 (>= 0.3.8), libxcb-xfixes0, libxcb1 (>= 1.8), libxdg-basedir1
Homepage: http://projects.mini-dweeb.org/projects/unagi
Priority: optional
Section: x11
Filename: pool/main/u/unagi/unagi_0.3.3-2_armhf.deb
Size: 49778
SHA256: 2963514c3263ac2f2a50d236cb488480bf44d4b828d91ebb9e45bde94fe0c3a4
SHA1: ebe14b6f6ebfaaae6b35a7424c5f879ebaa6a9cf
MD5sum: 180416ef81336b0cb92e8829b594e3b8
Description: Modular X compositing manager
 Unagi is a modular compositing manager which aims to be efficient,
 lightweight and responsive. It is currently written in C programming
 language and based on XCB library client library. Any existing window
 manager (such as Awesome) can be used as long as it implements properly
 EWMH and ICCCM specifications (or at least needed), which is generally
 the case nowadays.
 .
 It also aims to provide a functional and stable software to improve
 window manager usability from an end-user point of view (for instance
 windows translucency, application-switcher using live thumbnails
 instead of plain icons, Exposé...), therefore it does not
 intend at all to provide useless eye-candy effects.

Package: unagi-dbg
Source: unagi
Version: 0.3.3-2
Architecture: armhf
Maintainer: Arnaud Fontaine 
Installed-Size: 304
Depends: unagi (= 0.3.3-2)
Homepage: http://projects.mini-dweeb.org/projects/unagi
Priority: extra
Section: debug
Filename: pool/main/u/unagi/unagi-dbg_0.3.3-2_armhf.deb
Size: 111022
SHA256: 9780078c5b3661943f508366a038f6fbabc63f3fac8c0a335a5d61817c009037
SHA1: 5803d32276f9979b1bccf851a5397ff6e2e3cc1b
MD5sum: fed032b2b18a0ed24cb0fad09aeb125c
Description: Modular X compositing manager - debugging symbols
 Unagi is a modular compositing manager which aims to be efficient,
 lightweight and responsive. It is currently written in C programming
 language and based on XCB library client library. Any existing window
 manager (such as Awesome) can be used as long as it implements properly
 EWMH and ICCCM specifications (or at least needed), which is generally
 the case nowadays.
 .
 It also aims to provide a functional and stable software to improve
 window manager usability from an end-user point of view (for instance
 windows translucency, application-switcher using live thumbnails
 instead of plain icons, Exposé...), therefore it does not
 intend at all to provide useless eye-candy effects.
 .
 This package contains the debugging symbols for unagi.

Package: unagi-dev
Source: unagi
Version: 0.3.3-2
Architecture: armhf
Maintainer: Arnaud Fontaine 
Installed-Size: 62
Depends: unagi (= 0.3.3-2)
Homepage: http://projects.mini-dweeb.org/projects/unagi
Priority: optional
Section: x11
Filename: pool/main/u/unagi/unagi-dev_0.3.3-2_armhf.deb
Size: 7830
SHA256: 2959356d9c4ab5e2c322e34e42f39535f5ea39188736175b6869ee89d2c8ee60
SHA1: eb2def9c39038132e2da4ed975215fc68acaaef2
MD5sum: ceac39b5f556918dc673d7f806abd887
Description: Modular X compositing manager - development files
 Unagi is a modular compositing manager which aims to be efficient,
 lightweight and responsive. It is currently written in C programming
 language and based on XCB library client library. Any existing window
 manager (such as Awesome) can be used as long as it implements properly
 EWMH and ICCCM specifications (or at least needed), which is generally
 the case nowadays.
 .
 It also aims to provide a functional and stable software to improve
 window manager usability from an end-user point of view (for instance
 windows translucency, application-switcher using live thumbnails
 instead of plain icons, Exposé...), therefore it does not
 intend at all to provide useless eye-candy effects.
 .
 This package contains everything needed to compile third-party plugins
 or rendering backends.

Package: unalz
Version: 0.65-3
Architecture: armhf
Maintainer: Changwoo Ryu 
Installed-Size: 85
Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4)
Homepage: http://www.kipple.pe.kr/win/unalz/
Priority: optional
Section: utils
Filename: pool/main/u/unalz/unalz_0.65-3_armhf.deb
Size: 26834
SHA256: fc7cdaa6e7975b2a215944d94ed8185d31a06b1518436ccde7cdf8f011459b9e
SHA1: 65576a4da444ab1687ad6c26bbd60073d3e49551
MD5sum: 4bf9f2aa851fa947784176232d434e6d
Description: De-archiver for .alz files
 unalz tool is the utility used for decompressing alzip format files.
 It mainly operates on files with names ending in '.alz'.

Package: unar
Version: 1.1-2
Architecture: armhf
Maintainer: Matt Kraai 
Installed-Size: 3312
Pre-Depends: dpkg (>= 1.15.6~)
Depends: gnustep-base-runtime (>= 1.22.1), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libicu48 (>= 4.8-1), libobjc3 (>= 4.2.1), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.3.0), libwavpack1 (>= 4.40.0), zlib1g (>= 1:1.2.0)
Conflicts: theunarchiver
Replaces: theunarchiver
Provides: theunarchiver
Homepage: http://wakaba.c3.cx/s/apps/unarchiver.html
Priority: optional
Section: utils
Filename: pool/main/u/unar/unar_1.1-2_armhf.deb
Size: 874214
SHA256: 8350c28816ce463911cbf7c8ba450ad401d05411a227afd537d5d731adf84fd4
SHA1: f2f2d1a635fb2decdf1d23209c34eae7267fd98a
MD5sum: 86c0fcd48ac60b9c5d7ff112f8492a54
Description: Unarchiver for a variety of file formats
 The Unarchiver is an archive unpacker program with support for the popular
 zip, RAR, 7z, tar, gzip, bzip2, LZMA, XZ, CAB, MSI, NSIS, EXE, ISO, BIN, and
 split file formats, as well as the old Stuffit, Stuffit X, DiskDouble, Compact
 Pro, Packit, cpio, compress (.Z), ARJ, ARC, PAK, ACE, ZOO, LZH, ADF, DMS, LZX,
 PowerPacker, LBR, Squeeze, Crunch, and other old formats.
 .
 This package contains the lsar tool which lists the contents of archives and
 the unar tool which extracts those contents.

Package: unattended-upgrades
Version: 0.79.5+rpi1
Architecture: all
Maintainer: Michael Vogt 
Installed-Size: 319
Depends: debconf (>= 0.5) | debconf-2.0, debconf, python, python-apt (>= 0.7.90), apt-utils, apt, ucf, lsb-release, lsb-base (>= 3.2-14), xz-utils
Suggests: bsd-mailx, mail-transport-agent
Priority: optional
Section: admin
Filename: pool/main/u/unattended-upgrades/unattended-upgrades_0.79.5+rpi1_all.deb
Size: 48490
SHA256: 1312042807f442ea2507b48ed36379766035f3658fd02966c5f5e00b18dd1d7e
SHA1: a93e714b5c53868267a6892b33fc2bc11c0dd6e9
MD5sum: 1e85c3ce28f4b7514a466ab11ac293dc
Description: automatic installation of security upgrades
 This package can download and install security upgrades automatically
 and unattended, taking care to only install packages from the
 configured APT source, and checking for dpkg prompts about
 configuration file changes.
 .
 This script is the backend for the APT::Periodic::Unattended-Upgrade
 option.

Package: unbound
Version: 1.4.17-3+deb7u4
Architecture: armhf
Maintainer: Robert S. Edmonds 
Installed-Size: 1791
Depends: libc6 (>= 2.13-28), libevent-2.0-5 (>= 2.0.10-stable), libldns1 (>= 1.6.13), libpython2.7 (>= 2.7), libssl1.0.0 (>= 1.0.0), unbound-anchor, adduser, openssl
Homepage: http://www.unbound.net/
Priority: optional
Section: net
Filename: pool/main/u/unbound/unbound_1.4.17-3+deb7u4_armhf.deb
Size: 856230
SHA256: 835cec7767e66c46a475ecb2dac8f5aa354d2f689bf13a008ad4df0549b0bd48
SHA1: d9f7ba9e2f0a5ef17834351e3b7e71b28797072e
MD5sum: eb7f94f81b3986a34471ad3371e4f8b7
Description: validating, recursive, caching DNS resolver
 Unbound is a recursive-only caching DNS server which can perform DNSSEC
 validation of results. It implements only a minimal amount of authoritative
 service to prevent leakage to the root nameservers: forward lookups for
 localhost, reverse for 127.0.0.1 and ::1, and NXDOMAIN for zones served by
 AS112. Stub and forward zones are supported.
 .
 This package contains the unbound daemon.

Package: unbound-anchor
Source: unbound
Version: 1.4.17-3+deb7u4
Architecture: armhf
Maintainer: Robert S. Edmonds 
Installed-Size: 150
Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libldns1 (>= 1.6.5), libssl1.0.0 (>= 1.0.0), libunbound2 (>= 1.4.1)
Breaks: unbound (<< 1.4.13-1)
Replaces: unbound (<< 1.4.13-1)
Homepage: http://www.unbound.net/
Priority: optional
Section: net
Filename: pool/main/u/unbound/unbound-anchor_1.4.17-3+deb7u4_armhf.deb
Size: 93608
SHA256: 43abccca84316496dfd15fa10d38b404c8b30133d27fe6853be438753be5093f
SHA1: ce15506041cb30f9b8b367646d8f441ec22e4af6
MD5sum: f8eac902202ef19cf7c317214438d381
Description: utility to securely fetch the root DNS trust anchor
 unbound-anchor is a utility which securely fetches or updates the root DNS
 zone trust anchor. A copy of the current root anchor and root update
 certificate is embedded in unbound-anchor. RFC 5011 trust anchor tracking is
 performed, with fallback to an SSL fetch if this fails.

Package: unbound-host
Source: unbound
Version: 1.4.17-3+deb7u4
Architecture: armhf
Maintainer: Robert S. Edmonds 
Installed-Size: 129
Depends: libc6 (>= 2.13-28), libldns1 (>= 1.4.0), libssl1.0.0 (>= 1.0.0), libunbound2 (>= 1.4.2)
Homepage: http://www.unbound.net/
Priority: optional
Section: net
Filename: pool/main/u/unbound/unbound-host_1.4.17-3+deb7u4_armhf.deb
Size: 81630
SHA256: d0cb36ea894b46655924650c6ea1cd39eb543a4b3cce9a2438d6746500d9c85f
SHA1: c66b4a67ddacefd7919083cea62c2076c98d8a1c
MD5sum: 2d7370dfe0c8ea7cafa2d3407511b43b
Description: reimplementation of the 'host' command
 This package provides the 'unbound-host' program that is bundled with the
 Unbound domain name server. This version differs from the one provided in the
 package called host, which is from NIKHEF, and bind9-host, which is from ISC,
 and has a similar but different set of features and options.

Package: unburden-home-dir
Version: 0.3.1.2
Installed-Size: 88
Maintainer: Axel Beckert 
Architecture: all
Depends: x11-common, perl, libconfig-file-perl, libfile-touch-perl, libfile-rsync-perl, libmodern-perl-perl, libfile-which-perl
Pre-Depends: dpkg (>= 1.15.7.2)
Recommends: lsof
Suggests: autotrash, tmpreaper, eatmydata, agedu, bleachbit, ncdu | baobab | filelight | xdiskusage | xdu
Enhances: x11-common
Size: 19508
SHA256: df481aedb8c8e76702c6e19d4b22d035a5a7c7de7672f69486dc2400abd19fc6
SHA1: 32f0681a6cb19ca24393b364b0ed58954d2dfc43
MD5sum: 5d718f905b24e743eb15e554c214ed89
Description: Remove or move cache files automatically from user's home
 unburden-home-dir allows users to move cache files from browsers,
 etc. off their home directory, i.e. on a local harddisk or tmpfs and
 replace them with a symbolic link to the new location (e.g. on /tmp/
 or /scratch/) upon login. Optionally the contents of the directories
 and files can be removed instead of moved.
 .
 This is helpful at least in the following cases:
 .
 The idea-giving case are big workstation setups where $HOME is on NFS
 and all those caches put an unnecessary burden (hence the name) on
 the file server since caching over NFS doesn't have the best
 performance and may clog the NFS server, too.
 .
 A similar case, but with different purpose is reducing I/O on mobile
 devices like laptops or netbooks to extend the battery life: Moving
 browser caches etc. off the real disk into a tmpfs filesystem reduces
 the amount of disk I/O which reduces the power consumption of the
 disk.
 .
 Another possible solution for saving non-crucial I/O is using the
 package eatmydata to ignore a software's fsync calls.
 .
 The other type of use cases for unburden-home-dir is to reduce disk
 space usage, e.g. on devices with small disk space but a lot of RAM
 as seen often on boxes with flash disks or early netbooks, especially
 the EeePC, where configurations with 4GB disk space and 2GB RAM are
 not seldom. In this case you want to move off as many cache files,
 etc. as possible to some tmpfs filesystem, e.g. /tmp/.
 .
 It may also help to reduce the amount of needed backup disk space by
 keeping those files in places where they don't get backed up. In that
 case it's an alternative to keeping the blacklist in your backup
 software up-to-date.
 .
 The package also contains an Xsession hook which calls this script on
 X login for every user. But by default no files or directories are
 configured to be moved elsewhere, so nothing will happen
 automatically without configuration.
Homepage: http://wiki.phys.ethz.ch/readme/application_cache_files
Section: x11
Priority: extra
Filename: pool/main/u/unburden-home-dir/unburden-home-dir_0.3.1.2_all.deb

Package: unclutter
Version: 8-18
Architecture: armhf
Maintainer: Axel Beckert 
Installed-Size: 72
Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.4), libx11-6
Homepage: ftp://ftp.x.org/contrib/utilities/
Priority: optional
Section: x11
Filename: pool/main/u/unclutter/unclutter_8-18_armhf.deb
Size: 17054
SHA256: 8a2cf4467f8f53cf7b0a684d28bf04e04e2c340cf9b0565b478e551fac066834
SHA1: b74feaea4066c3e8b68bb2f8de8f437b35058f76
MD5sum: b6bb0d1282649e70aa5903f2e29fb173
Description: hides the mouse cursor in X after a period of inactivity
 unclutter hides your X mouse cursor when you don't need it, to prevent it
 from getting in the way. You have only to move the mouse to restore the
 mouse cursor.

Package: uncrustify
Version: 0.59-2
Architecture: armhf
Maintainer: Johann Rudloff 
Installed-Size: 621
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6)
Homepage: http://uncrustify.sourceforge.net/
Priority: optional
Section: devel
Filename: pool/main/u/uncrustify/uncrustify_0.59-2_armhf.deb
Size: 251336
SHA256: 306a5029dcb9fc76da3b7f474b848d9bcd2621812b5dfe7989a72c9f96621860
SHA1: db4115b57144f41054307d63c22e0b09232c4e32
MD5sum: 0a8b6286083b030bb97eac71abce488d
Description: C, C++, C#, D, Java and Pawn source code beautifier
 Uncrustify is a highly configurable source code formatter. It aligns
 preprocessor define's, assignments, arithmetics and is able to fix spacing
 between operators.

Package: undbx
Version: 0.20-1
Architecture: armhf
Maintainer: Debian Forensics 
Installed-Size: 68
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0)
Homepage: http://code.google.com/p/undbx/
Priority: optional
Section: utils
Filename: pool/main/u/undbx/undbx_0.20-1_armhf.deb
Size: 21504
SHA256: 06f89e5ed84b7e15930e758cd42402444ad1412d52429daa4f2050c1fdccd204
SHA1: 6a8a384d33585a25c89036d0ee68efb02d64e10e
MD5sum: 4e91988f7d8380626f3c2f15b1fb4126
Description: Tool to extract, recover and undelete e-mail messages from .dbx files
 UnDBX is a tool to extract, recover and undelete e-mail messages from MS
 Outlook Express .dbx files. Corrupted .dbx files can be parsed to try to
 recover messages from it. It can also try to undelete messages, not only from
 Deleted Items but also from fragments of deleted messages that were not
 overwritten.

Package: undertaker
Version: 1.3b-1
Architecture: armhf
Maintainer: Christoph Egger 
Installed-Size: 3627
Depends: git, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libboost-wave1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), picosat
Homepage: http://vamos.informatik.uni-erlangen.de/trac/undertaker
Priority: optional
Section: devel
Filename: pool/main/u/undertaker/undertaker_1.3b-1_armhf.deb
Size: 1413550
SHA256: e29f8af8d85f564ac23d765c4b79af17dbca30d7c96699a9a73cfbda17bb26b5
SHA1: 5a82a7eaf799ec02be701abbb4ee822a60271a81
MD5sum: e53d1ff5f34377cf6bb5c6ee7ba39c12
Description: static code analysis tool checking preprocessor directives
 The undertaker is an preprocessor and configuration analyser. It can
 check the structure of your preprocessor directives against different
 configuration models to find blocks than can't be selected or
 deselected.

Package: undertaker-el
Source: undertaker
Version: 1.3b-1
Installed-Size: 13
Maintainer: Christoph Egger 
Architecture: all
Depends: emacsen | emacs23, undertaker
Size: 6162
SHA256: 215d6f138612019f0fbbf46be8ebb8e1fdfc0a8ff631e0e86ab37abb08d79e92
SHA1: 4719bb401ed990eea2a1cb949fc3c7a23fa7d132
MD5sum: 9315d7e057b01d4632bbb010af467aaa
Description: emacs integration for undertaker
 undertaker-mode allows you to get the preprocessor condition under
 which the selected line of source code is built optionally incuding
 restrictions from a external configuration model
Homepage: http://vamos.informatik.uni-erlangen.de/trac/undertaker
Section: devel
Priority: optional
Filename: pool/main/u/undertaker/undertaker-el_1.3b-1_all.deb

Package: unetbootin-translations
Source: unetbootin
Version: 575-1
Installed-Size: 2773
Maintainer: Muneeb Shaikh 
Architecture: all
Recommends: unetbootin
Size: 598608
SHA256: cf8c8bae963de877585cbb0ae9399128663fa25cb603af9f3008d0939fa64beb
SHA1: 5be5d1eb5c662708ff1bd811e565f83f20f64841
MD5sum: 24f73694f54d926105db1a0e52170c29
Description: translations for the unetbootin distribution installer
 UNetbootin is an installer of Linux/BSD distributions to a partition or USB
 drive.
 .
 This package contains translation files for unetbootin.
Homepage: http://unetbootin.sourceforge.net
Tag: role::app-data
Section: utils
Priority: optional
Filename: pool/main/u/unetbootin/unetbootin-translations_575-1_all.deb

Package: unhide
Version: 20110113-4
Architecture: armhf
Maintainer: Debian Forensics 
Installed-Size: 1099
Suggests: rkhunter
Homepage: http://www.unhide-forensics.info
Priority: extra
Section: admin
Filename: pool/main/u/unhide/unhide_20110113-4_armhf.deb
Size: 520724
SHA256: 9a5099b9a9881ad1cad9c2b4e178ba19216887fd27a1c1816549eff81ad1a25e
SHA1: 40aab9ddd35a0963b0550c324a6a4ea41730e56c
MD5sum: 48c180ec0130f40a6c62e6cb373f3ef2
Description: Forensic tool to find hidden processes and ports
 Unhide is a forensic tool to find processes and TCP/UDP ports hidden by
 rootkits, Linux kernel modules or by other techniques. It includes two
 utilities: unhide and unhide-tcp.
 .
 unhide detects hidden processes using the following six techniques:
   * Compare /proc vs /bin/ps output
   * Compare info gathered from /bin/ps with info gathered by walking thru the
     procfs.
   * Compare info gathered from /bin/ps with info gathered from syscalls
     (syscall scanning).
   * Full PIDs space occupation (PIDs bruteforcing)
   * Reverse search, verify that all thread seen by ps are also seen by the
     kernel (/bin/ps output vs /proc, procfs walking and syscall)
   * Quick compare /proc, procfs walking and syscall vs /bin/ps output
 .
 unhide-tcp identifies TCP/UDP ports that are listening but are not listed in
 /bin/netstat through brute forcing of all TCP/UDP ports available.
 .
 This package can be used by rkhunter in its daily scans.

Package: unhide.rb
Version: 13-1.1
Installed-Size: 56
Maintainer: Debian Forensics 
Architecture: all
Depends: ruby1.8
Suggests: rkhunter
Size: 6506
SHA256: 3be79b247d6e1fa8aea8f2e64759c68643b99723a911b797845941561a364423
SHA1: c24ebe4a663c698c81962a9c2b6885f217c4a40a
MD5sum: ee29831d64b83f9596d2c1f59eb97a4d
Description: Forensic tool to find processes hidden by rootkits
 Unhide.rb is a reimplementation of unhide in Ruby.
 .
 It currently does the does the same checks as "unhide proc" and "unhide sys",
 while being about 10x faster than the original C code.
 .
 It also has better diagnostics when hidden processes are found.
 .
 This package can be used by rkhunter in its daily scans.
Homepage: http://launchpad.net/unhide.rb
Tag: admin::forensics, implemented-in::ruby, interface::commandline,
 role::program, scope::utility, security::forensics, security::ids,
 use::scanning
Section: admin
Priority: extra
Filename: pool/main/u/unhide.rb/unhide.rb_13-1.1_all.deb

Package: unhtml
Version: 2.3.9-3
Architecture: armhf
Maintainer: Mònica Ramírez Arceda 
Installed-Size: 60
Depends: libc6 (>= 2.13-28)
Priority: extra
Section: text
Filename: pool/main/u/unhtml/unhtml_2.3.9-3_armhf.deb
Size: 13542
SHA256: 181804a404b6f78ecdd95b208a57511c0720ace71b9c0198c66b9b31b50ae1ea
SHA1: 3efc3df6cf80cfef16238864835fd4bee01d738f
MD5sum: 0a8ee285ee8cb2c8a138a8a9b9664768
Description: Remove the markup tags from an HTML file
 This program removes all HTML tags from an HTML file and directs its
 output to stdout. It can be used as a filter for getting the text
 content of an HTML file without the need of firing up a web browser.

Package: uni2ascii
Version: 4.18-2
Architecture: armhf
Maintainer: Kartik Mistry 
Installed-Size: 143
Depends: libc6 (>= 2.7)
Homepage: http://billposer.org/Software/uni2ascii.html
Priority: optional
Section: text
Filename: pool/main/u/uni2ascii/uni2ascii_4.18-2_armhf.deb
Size: 53804
SHA256: ce59430ad8354d9c2fc7cb5e6e16aa30a4579c446e112d6627d9e56c0f39254b
SHA1: 9801e1dcab1495c24472dfb921c2fa88f78a591e
MD5sum: 9f5b49c91a13a5f3d1d51b262baf8fa5
Description: UTF-8 to 7-bit ASCII and vice versa converter
 This package provides conversion in both directions between UTF-8 Unicode and
 a variety of 7-bit ASCII equivalents, including HTML numeric character
 references, various escapes and hexadecimal. Such ASCII equivalents are useful
 when including Unicode text in program source, when debugging, and when
 entering text into web programs that can handle the Unicode character set but
 are not 8-bit safe.

Package: unicode
Version: 0.9.5
Installed-Size: 60
Maintainer: Radovan Garabík 
Architecture: all
Depends: python (>= 2.3)
Suggests: perl-modules | console-data (<< 2:1.0-1) | unicode-data
Size: 15610
SHA256: 0d3ce8bfa3fd14c5146e1f8772a23691ffb3b44ac4536659325d59e9cdbe5ba6
SHA1: fc825d1bfc6556db8db24991ea44144a705da473
MD5sum: 48e913e17ad5d106d5e33c8d7f142133
Description: display unicode character properties
 unicode is a simple command line utility that displays
 properties for a given unicode character, or searches
 unicode database for a given name.
Tag: devel::i18n, implemented-in::python, interface::commandline,
 role::program, scope::utility, use::searching, use::viewing,
 works-with::text, works-with::unicode
Section: utils
Priority: optional
Filename: pool/main/u/unicode/unicode_0.9.5_all.deb

Package: unicode-data
Version: 6.1.0-1
Installed-Size: 18173
Maintainer: Alastair McKinstry 
Architecture: all
Size: 7300880
SHA256: f57edafe986e3f44cdc552597942f648c98c2fd3c8163ce0ba9713ed8f343f01
SHA1: 419eb9408a590ba4e52d81e1deffc558ba3372aa
MD5sum: 9f0b0bcae9a35340ca06ebf825bc0c3f
Description: Property data for the Unicode character set
 This package contains the property data, for the Unicode data set.
Homepage: http://www.unicode.org/
Tag: role::data
Section: misc
Priority: optional
Filename: pool/main/u/unicode-data/unicode-data_6.1.0-1_all.deb

Package: unicode-screensaver
Version: 0.4-1
Architecture: armhf
Maintainer: Joachim Breitner 
Installed-Size: 902
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.8.0), libx11-6, libxft2 (>> 2.1.1), libxmu6, libxt6
Recommends: xscreensaver | gnome-screensaver
Homepage: http://www.joachim-breitner.de/projects#unicode-screensaver
Priority: extra
Section: x11
Filename: pool/main/u/unicode-screensaver/unicode-screensaver_0.4-1_armhf.deb
Size: 214826
SHA256: 159fa0b090cb9a52877d7e2da652481f180e3ab6860f6b79bc9fd4f1913a420c
SHA1: ee930fcc16675d26c07fc5ab011aaaad47c87d98
MD5sum: 77654a882972bb7bc5abe6f3abd9896b
Description: screensaver displaying unicode characters
 The unicode-screensaver is a simple screensaver application that repeatedly
 randomly picks an unicode character and displays it in a very large font
 size together with its unicode code point and the character name.
 .
 It works with xscreensaver or gnome-screensaver.

Package: unicon-imc2
Source: unicon
Version: 3.0.4-13
Architecture: armhf
Maintainer: Yu Guanghui 
Installed-Size: 9790
Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Conflicts: chinput (<= 3.0.1-3), unicon-im
Replaces: unicon-im
Provides: unicon-im
Priority: optional
Section: utils
Filename: pool/main/u/unicon/unicon-imc2_3.0.4-13_armhf.deb
Size: 4151210
SHA256: 50284993c03c7d12fe0bdf5fabf230f79708a3959d3a638f9acff0435cd6c0ce
SHA1: 02659fa6a9bbd0ef06f7d3fbad936c0c29bbd6ca
MD5sum: 45fbb7a30fcf13985c11d439224bb55b
Description: Chinese Input Method Library
 General Chinese Input method interface and API. It is using by chinput and
 unicon now.

Package: uniconf-tools
Source: wvstreams
Version: 4.6.1-5
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 111
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libuniconf4.6, libwvstreams4.6-base, libwvstreams4.6-extras, zlib1g (>= 1:1.1.4)
Homepage: http://alumnit.ca
Priority: optional
Section: utils
Filename: pool/main/w/wvstreams/uniconf-tools_4.6.1-5_armhf.deb
Size: 66706
SHA256: 815b8f141004a25d2dff8c1ea747bf6ce5d9b3506ccfdeb858f2324d44309e3e
SHA1: b1cb9784e5c2c83c82dec90a2913d98d1fe50bf3
MD5sum: 6b408319aa95be362fe952bf6981b7b9
Description: Tools to interface with UniConf
 UniConf is a configuration system that can serve as the centrepiece among
 many other, existing configuration systems.
 .
 This package contains utilities that allow users to interface with
 UniConf manually.

Package: uniconfd
Source: wvstreams
Version: 4.6.1-5
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 155
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libuniconf4.6, libwvstreams4.6-base, libwvstreams4.6-extras, zlib1g (>= 1:1.1.4)
Homepage: http://alumnit.ca
Priority: optional
Section: utils
Filename: pool/main/w/wvstreams/uniconfd_4.6.1-5_armhf.deb
Size: 73532
SHA256: 84221dc412103d3d7204cf9f9d385b0a06d877a86adb9e668dcc70ea7f79ef87
SHA1: 710675db2bbf26cda49fdeb462e254bd91dc4270
MD5sum: 017e8e30aba25780ca7f3b3857038e3e
Description: Server that manages UniConf elements
 UniConf is a configuration system that can serve as the centrepiece among
 many other, existing configuration systems.
 .
 UniConf can also be accessed over the network, with authentication, allowing
 easy replication of configuration data via the UniReplicateGen.
 .
 This package contains the server that accepts incoming TCP or Unix
 connections, and gets or sets UniConf elements at the request of a
 UniConf client.

Package: unicorn
Version: 4.3.1-4
Architecture: armhf
Maintainer: Debian Ruby Extras Maintainers 
Installed-Size: 468
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libruby1.8 (>= 1.8.7.357-1) | libruby1.9.1 (>= 1.9.2.0), ruby | ruby-interpreter, ruby-rack, ruby-kgio (>= 2.6), ruby-raindrops (>= 0.7)
Homepage: http://unicorn.bogomips.org/
Priority: optional
Section: ruby
Filename: pool/main/u/unicorn/unicorn_4.3.1-4_armhf.deb
Size: 199350
SHA256: 3997d06245c598b5cc0eec6877654081132b14856f4c5b1a069a167d9fdcac47
SHA1: f27404d0ac28e666dc067491ef6184bcf8fd5017
MD5sum: 990ab8024f595d9ade1e765c03903097
Description: Rack HTTP server for fast clients
 Unicorn is an HTTP server for Rack applications designed to only serve fast
 clients on low-latency, high-bandwidth connections and take advantage of
 features in Unix and Unix-like kernels. Slow clients should only be served by
 placing a reverse proxy capable of fully buffering both request and response
 in between Unicorn and slow clients.
Ruby-Versions: ruby1.8 ruby1.9.1

Package: unifdef
Version: 2.6-1
Architecture: armhf
Maintainer: Bob Proulx 
Installed-Size: 93
Depends: libc6 (>= 2.4), cpp
Homepage: http://dotat.at/prog/unifdef/
Priority: optional
Section: devel
Filename: pool/main/u/unifdef/unifdef_2.6-1_armhf.deb
Size: 40992
SHA256: 679305d5dc83e885d043199dd6d1b6a52b7e5ac9b928c2284e87aff55e9e7fd3
SHA1: 8db9a56f933c9fc782693ea51d06a109fdd89344
MD5sum: a8616c180c5bb042ce1093e42a4e1cd2
Description: Remove cpp '#ifdef' lines from files
 The unifdef utility selectively processes conditional cpp(1) directives.
 It removes from a file both the directives and any additional text that
 they specify should be removed, while otherwise leaving the file alone.

Package: unifont
Version: 1:5.1.20080914-1.3
Installed-Size: 6937
Maintainer: Paul Hardy 
Architecture: all
Replaces: unifont-bin (<< 1:5.1.20080706-1)
Depends: xfonts-unifont, ttf-unifont
Suggests: unifont-bin
Size: 1804182
SHA256: 3c0e5da372d3be7e068ac176e2cf0d348ba350000a5ce7e8a333e6b71e836f28
SHA1: b190215e6dfbfad1f6fef5074845d48b6d8897d4
MD5sum: 486b5bd58bccd7cbe36572813945bf57
Description: font with a glyph for each visible Unicode 5.1 Plane 0 character
 This package is a convenient way to install both the PCF bitmap
 version and the scalable TrueType outline version of GNU Unifont.
 It also installs a copy of unifont.hex and related files in
 /usr/share/unifont.
 .
 GNU Unifont was designed to render something besides an empty box
 for each visible Unicode character in the Basic Multilingual Plane
 (Plane 0).  Plane 0 contains most of the world's modern writing scripts.
 This font looks best at 12pt.
 .
 Complex fonts (such as Indic or Semitic scripts, where letters
 change shape depending on their position in a word, or such as
 Mongolian, which is written vertically) will not render perfectly.
 The philosophy behind this font, though, is that anything meaningful
 is better than an empty box for a unknown glyph.
Homepage: http://unifoundry.com
Tag: culture::TODO, culture::chinese, culture::greek, culture::japanese,
 culture::korean, culture::russian, culture::taiwanese, made-of::font,
 role::app-data, suite::gnu, works-with::text, works-with::unicode,
 x11::font
Section: fonts
Priority: optional
Filename: pool/main/u/unifont/unifont_5.1.20080914-1.3_all.deb

Package: unifont-bin
Source: unifont
Version: 1:5.1.20080914-1.3
Architecture: armhf
Maintainer: Paul Hardy 
Installed-Size: 122
Depends: libc6 (>= 2.13-28), perl
Suggests: unifont, xfonts-utils, fontforge
Homepage: http://unifoundry.com
Priority: optional
Section: x11
Filename: pool/main/u/unifont/unifont-bin_5.1.20080914-1.3_armhf.deb
Size: 52930
SHA256: 44c3043ab4ca4483afee7a4e41beb41888676b7d42e2b480d9ca1a6739f5ba3b
SHA1: 705f155756cb3b8e7c3df65b45d4f7a5cd554259
MD5sum: d5979f5a55c760e5abfaf1c9af33e88c
Description: utilities for manipulating the GNU Unifont
 This is a set of Perl scripts, C programs, and FontForge scripts
 to manipulate Roman Czyborra's GNU Unifont ".hex" format font
 files.  The GNU Unifont has a Unicode-compatible font structure.
 These utilities allow editing ".hex" fonts with text and
 graphical editors, producing final versions of fonts in BDF,
 PCF, TrueType SBIT, and TrueType outline formats.
 .
 To build the TrueType fonts, install the package 'fontforge'.
 To build the PCF fonts, use 'bdftopcf', which is in the
 'xfonts-utils' package.  To obtain the font sources, run
 'apt-get source unifont'.

Package: unionfs-fuse
Version: 0.24-2.2
Architecture: armhf
Maintainer: Bernd Schubert 
Installed-Size: 84
Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), fuse
Priority: optional
Section: misc
Filename: pool/main/u/unionfs-fuse/unionfs-fuse_0.24-2.2_armhf.deb
Size: 26834
SHA256: 7c1b25ad0b75273b8ebef5c00201e3aa534e32b06d6d8932b2244b6e5d29398f
SHA1: 1cf0f744ab3f6c45fbe871dec543f7f7df87e512
MD5sum: fe0ffa3250137a5d90fc63f2c34ed2ec
Description: Fuse implementation of unionfs
 This is another unionfs implementation using filesystem in
 userspace (fuse).

Package: unison
Version: 2.40.65-2
Architecture: armhf
Maintainer: Debian OCaml Maintainers 
Installed-Size: 1375
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5
Recommends: ssh-client | openssh-client
Suggests: unison-all
Breaks: unison2.40.65
Replaces: unison2.40.65
Homepage: http://www.cis.upenn.edu/~bcpierce/unison/
Priority: optional
Section: utils
Filename: pool/main/u/unison/unison_2.40.65-2_armhf.deb
Size: 482272
SHA256: 42ca147525220c52898cfccea86db5cf84ff2f56674b035c9d185425a79077aa
SHA1: 3d43f7a19ae6cbbaebd218197a9ab48d7e5c34f7
MD5sum: 1ba1b65043a9f6a2ffabafb94288b880
Description: file-synchronization tool for Unix and Windows
 Unison is a file-synchronization tool for Unix and Windows, written
 in OCaml. It allows two replicas of a collection of files and
 directories to be stored on different hosts (or different disks
 on the same host), modified separately, and then brought up to
 date by propagating the changes in each replica to the other.
 .
 Unison offers several advantages over various synchronization methods
 such as CVS, Coda, rsync, Intellisync, etc. Unison can run on and
 synchronize between Windows and many UNIX platforms. Unison requires
 no root privileges, system access or kernel changes to function. Unison
 can synchronize changes to files and directories in both directions,
 on the same machine, or across a network using ssh or a direct
 socket connection.
 .
 Transfers are optimised using a version of the rsync protocol,
 making it ideal for slower links. Unison has a clear and precise
 specification, and is resilient to failure due to its careful
 handling of the replicas and its private structures.

Package: unison-all
Source: meta-unison
Version: 2.40+1
Installed-Size: 25
Maintainer: Debian OCaml Maintainers 
Architecture: all
Depends: unison2.27.57, unison2.32.52, unison (>= 2.40)
Size: 1550
SHA256: fe670d6f962f12175288a027871fdff7e49d1c45839f31131a8e665656cbe556
SHA1: cb0c1320d1c2143b37ace32a6d620d677147a64f
MD5sum: eb153e5ac8198ffac8a13c62de5af8a5
Description: file synchronization tool (all console versions)
 This is a metapackage that depends on all supported console versions
 of Unison, a file synchronization tool.
 .
 Each of the supported versions uses a different protocol version;
 installing this metapackage ensures the ability to synchronize with
 old systems.
Section: metapackages
Priority: optional
Filename: pool/main/m/meta-unison/unison-all_2.40+1_all.deb

Package: unison-all-gtk
Source: meta-unison
Version: 2.40+1
Installed-Size: 25
Maintainer: Debian OCaml Maintainers 
Architecture: all
Depends: unison2.27.57-gtk, unison2.32.52-gtk, unison-gtk (>= 2.40)
Size: 1560
SHA256: ac339daf086a609a2465067fed01b27281073926adbce01fad95834277d366f2
SHA1: bd727f686e44603fabc5e11f4298531378d5d3c8
MD5sum: 8b2ce7d579a4bd79106c1633bc6e8231
Description: file synchronization tool (all GTK+ versions)
 This is a metapackage that depends on all supported GTK+ versions of
 Unison, a file synchronization tool.
 .
 Each of the supported versions uses a different protocol version;
 installing this metapackage ensures the ability to synchronize with
 old systems.
Section: metapackages
Priority: optional
Filename: pool/main/m/meta-unison/unison-all-gtk_2.40+1_all.deb

Package: unison-gtk
Source: unison
Version: 2.40.65-2
Architecture: armhf
Maintainer: Debian OCaml Maintainers 
Installed-Size: 2520
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libncurses5 (>= 5.5-5~), libpango1.0-0 (>= 1.14.0), libtinfo5
Recommends: ssh-askpass, ssh-client | openssh-client
Suggests: unison-all-gtk
Breaks: unison2.40.65-gtk
Replaces: unison2.40.65-gtk
Homepage: http://www.cis.upenn.edu/~bcpierce/unison/
Priority: optional
Section: utils
Filename: pool/main/u/unison/unison-gtk_2.40.65-2_armhf.deb
Size: 763764
SHA256: cc82da16b99f4e46651e4803b5db72a54e388d208af93e70c3e33a901e95efd5
SHA1: 85a224b84329e9ea65522b93852df83b8e2d5b25
MD5sum: 9fbc6117dbb69fcc8378d26671a3225f
Description: file-synchronization tool for Unix and Windows with GTK+ interface
 Unison is a file-synchronization tool for Unix and Windows, written
 in OCaml. It allows two replicas of a collection of files and
 directories to be stored on different hosts (or different disks
 on the same host), modified separately, and then brought up to
 date by propagating the changes in each replica to the other.
 .
 Unison offers several advantages over various synchronization methods
 such as CVS, Coda, rsync, Intellisync, etc. Unison can run on and
 synchronize between Windows and many UNIX platforms. Unison requires
 no root privileges, system access or kernel changes to function. Unison
 can synchronize changes to files and directories in both directions,
 on the same machine, or across a network using ssh or a direct
 socket connection.
 .
 Transfers are optimised using a version of the rsync protocol,
 making it ideal for slower links. Unison has a clear and precise
 specification, and is resilient to failure due to its careful
 handling of the replicas and its private structures.
 .
 This package adds the optional GTK+ interface.

Package: unison2.27.57
Version: 2.27.57-7
Architecture: armhf
Maintainer: Debian OCaml Maintainers 
Installed-Size: 1134
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5
Recommends: ssh-client | openssh-client
Suggests: unison-all
Breaks: unison (<< 2.27.57-999)
Replaces: unison (<< 2.27.57-999)
Homepage: http://www.cis.upenn.edu/~bcpierce/unison/
Priority: optional
Section: utils
Filename: pool/main/u/unison2.27.57/unison2.27.57_2.27.57-7_armhf.deb
Size: 404354
SHA256: 518a195bbb6294c214a30fb6409c3badfc69a402bf8986c90d605cf77491aa60
SHA1: 3982db8837d1478b8949bf10ad113e693c92b43b
MD5sum: 0542063a785e7cd2046280914deddf65
Description: file-synchronization tool for Unix and Windows
 Unison is a file-synchronization tool for Unix and Windows, written
 in OCaml. It allows two replicas of a collection of files and
 directories to be stored on different hosts (or different disks
 on the same host), modified separately, and then brought up to
 date by propagating the changes in each replica to the other.
 .
 Unison offers several advantages over various synchronization methods
 such as CVS, Coda, rsync, Intellisync, etc. Unison can run on and
 synchronize between Windows and many UNIX platforms. Unison requires
 no root privileges, system access or kernel changes to function. Unison
 can synchronize changes to files and directories in both directions,
 on the same machine, or across a network using ssh or a direct
 socket connection.
 .
 Transfers are optimised using a version of the rsync protocol,
 making it ideal for slower links. Unison has a clear and precise
 specification, and is resilient to failure due to its careful
 handling of the replicas and its private structures.

Package: unison2.27.57-gtk
Source: unison2.27.57
Version: 2.27.57-7
Architecture: armhf
Maintainer: Debian OCaml Maintainers 
Installed-Size: 2209
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libncurses5 (>= 5.5-5~), libpango1.0-0 (>= 1.14.0), libtinfo5
Recommends: ssh-askpass, ssh-client | openssh-client
Suggests: unison-all-gtk
Breaks: unison-gtk (<< 2.27.57-999)
Replaces: unison-gtk (<< 2.27.57-999)
Homepage: http://www.cis.upenn.edu/~bcpierce/unison/
Priority: optional
Section: utils
Filename: pool/main/u/unison2.27.57/unison2.27.57-gtk_2.27.57-7_armhf.deb
Size: 671524
SHA256: 57e0ebc172a95b1eef0a3b3f9974bffe4cacf0d40b69e6684810cf1d379d2d6a
SHA1: f3a138ae311c9dd99099501986be239a113eefc2
MD5sum: 6282f39ae14bc45a6f8febd4c1bf5e01
Description: file-synchronization tool for Unix and Windows with GTK+ interface
 Unison is a file-synchronization tool for Unix and Windows, written
 in OCaml. It allows two replicas of a collection of files and
 directories to be stored on different hosts (or different disks
 on the same host), modified separately, and then brought up to
 date by propagating the changes in each replica to the other.
 .
 Unison offers several advantages over various synchronization methods
 such as CVS, Coda, rsync, Intellisync, etc. Unison can run on and
 synchronize between Windows and many UNIX platforms. Unison requires
 no root privileges, system access or kernel changes to function. Unison
 can synchronize changes to files and directories in both directions,
 on the same machine, or across a network using ssh or a direct
 socket connection.
 .
 Transfers are optimised using a version of the rsync protocol,
 making it ideal for slower links. Unison has a clear and precise
 specification, and is resilient to failure due to its careful
 handling of the replicas and its private structures.
 .
 This package adds the optional GTK+ interface.

Package: unison2.32.52
Version: 2.32.52-6
Architecture: armhf
Maintainer: Debian OCaml Maintainers 
Installed-Size: 1230
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5
Recommends: ssh-client | openssh-client
Suggests: unison-all
Breaks: unison (<< 2.32.52-999)
Replaces: unison (<< 2.32.52-999)
Homepage: http://www.cis.upenn.edu/~bcpierce/unison/
Priority: optional
Section: utils
Filename: pool/main/u/unison2.32.52/unison2.32.52_2.32.52-6_armhf.deb
Size: 440970
SHA256: 939c63656e706ffe6d9cd3d28b6177d1b7d7b0ad2da541361e732100d9b08c8a
SHA1: a83d71bc7aaa1470960f7773b984a60544e94a7c
MD5sum: 509ea747f74ba04125942dc72520803e
Description: file-synchronization tool for Unix and Windows
 Unison is a file-synchronization tool for Unix and Windows, written
 in OCaml. It allows two replicas of a collection of files and
 directories to be stored on different hosts (or different disks
 on the same host), modified separately, and then brought up to
 date by propagating the changes in each replica to the other.
 .
 Unison offers several advantages over various synchronization methods
 such as CVS, Coda, rsync, Intellisync, etc. Unison can run on and
 synchronize between Windows and many UNIX platforms. Unison requires
 no root privileges, system access or kernel changes to function. Unison
 can synchronize changes to files and directories in both directions,
 on the same machine, or across a network using ssh or a direct
 socket connection.
 .
 Transfers are optimised using a version of the rsync protocol,
 making it ideal for slower links. Unison has a clear and precise
 specification, and is resilient to failure due to its careful
 handling of the replicas and its private structures.

Package: unison2.32.52-gtk
Source: unison2.32.52
Version: 2.32.52-6
Architecture: armhf
Maintainer: Debian OCaml Maintainers 
Installed-Size: 2304
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libncurses5 (>= 5.5-5~), libpango1.0-0 (>= 1.14.0), libtinfo5
Recommends: ssh-askpass, ssh-client | openssh-client
Suggests: unison-all-gtk
Breaks: unison-gtk (<< 2.32.52-999)
Replaces: unison-gtk (<< 2.32.52-999)
Homepage: http://www.cis.upenn.edu/~bcpierce/unison/
Priority: optional
Section: utils
Filename: pool/main/u/unison2.32.52/unison2.32.52-gtk_2.32.52-6_armhf.deb
Size: 709844
SHA256: e1e91de59d85629a8ccb47c0ed9153d728349620fe05314ac3d130dbce3537b0
SHA1: f30121b8db99383135855390d72c274764fe035e
MD5sum: 0935310a95cca1e8662ea86bb6f94ea9
Description: file-synchronization tool for Unix and Windows with GTK+ interface
 Unison is a file-synchronization tool for Unix and Windows, written
 in OCaml. It allows two replicas of a collection of files and
 directories to be stored on different hosts (or different disks
 on the same host), modified separately, and then brought up to
 date by propagating the changes in each replica to the other.
 .
 Unison offers several advantages over various synchronization methods
 such as CVS, Coda, rsync, Intellisync, etc. Unison can run on and
 synchronize between Windows and many UNIX platforms. Unison requires
 no root privileges, system access or kernel changes to function. Unison
 can synchronize changes to files and directories in both directions,
 on the same machine, or across a network using ssh or a direct
 socket connection.
 .
 Transfers are optimised using a version of the rsync protocol,
 making it ideal for slower links. Unison has a clear and precise
 specification, and is resilient to failure due to its careful
 handling of the replicas and its private structures.
 .
 This package adds the optional GTK+ interface.

Package: units
Version: 1.88-1
Architecture: armhf
Maintainer: John G. Hasler 
Installed-Size: 441
Depends: libc6 (>= 2.13-28), libreadline6 (>= 6.0), libtinfo5, dpkg (>= 1.15.4) | install-info
Homepage: http://ftp.gnu.org/gnu/units/
Priority: optional
Section: utils
Filename: pool/main/u/units/units_1.88-1_armhf.deb
Size: 149758
SHA256: 2f2c6ddcf5d9c84839174f92e0e63ed82935b5df4ed2b4a9ec90eab815bb9644
SHA1: 3af6fedccd67174105e78e1cb2edc861e51e2e4f
MD5sum: ba0efb38a90ee988d715444b94c00977
Description: converts between different systems of units
 GNU 'units' program converts quantities expressed in various scales
 to their equivalents in other scales.  The 'units' program can only
 handle multiplicative scale changes directly.  It uses a functional
 notation for "nonlinear" conversions such a Fahrenheit temperature
 to Celsius temperature.

Package: units-filter
Version: 3.5-2
Architecture: armhf
Maintainer: Georges Khaznadar 
Installed-Size: 108
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgmp10, libgmpxx4ldbl, librecode0 (>= 3.6), libstdc++6 (>= 4.4.0)
Suggests: wims
Priority: optional
Section: science
Filename: pool/main/u/units-filter/units-filter_3.5-2_armhf.deb
Size: 30250
SHA256: ff511d1d38b8a655d941c36118f46cdc49782aa0349a0ad46929d6ae2a1ebe9a
SHA1: b09b3d1071de48923ce9c781a0da19cf46b788e9
MD5sum: 67de13a5c01f7792246c4c0455471175
Description: Parser for expressions concerning physical values
 Units-filter is a basic standalone filter written in C language,
 flex and bison. It inputs strings like "1.5e3 nN.m.s^-1" (it could
 be the time growth ratio of a torque) and outputs the value in
 standard SI unit, followed by the physical dimension of this value.
 .
  example :~/src$ echo 1.5e3 nN.m.s^-1 | units-filter
  1.5e-06   2   1  -3   0   0   0   0    0    0
 .
                                   2     -3
  which means : 1.5e-06 (SI unit) m .kg.s
 .
 This parser can be embedded in educational test systems, in order to
 analyze a student's answer to a problem of physics or chemistry.

Package: uniutils
Version: 2.27-1
Architecture: armhf
Maintainer: Mohammed Sameer 
Installed-Size: 800
Depends: libc6 (>= 2.4), ascii2binary
Conflicts: unidesc (<< 2.22-1)
Replaces: unidesc
Provides: unidesc
Priority: optional
Section: misc
Filename: pool/main/u/uniutils/uniutils_2.27-1_armhf.deb
Size: 182212
SHA256: 8ca21db4498d7c720ac8d70ea871942550ecf10af5e396cbe7df50fd40452cdc
SHA1: 852b7eff1ad28ae03cfaf9ae338c940e02903645
MD5sum: d4be8c46583a17d344fb4e486da96432
Description: Tools for finding out what is in a Unicode file
 Useful tools when working with Unicode files when one doesn't know
 the writing system, doesn't have the necessary font, needs to inspect
 invisible characters, needs to find out whether characters have been
 combined or in what order they occur, or needs statistics on which
 characters occur.
 .
  * uniname defaults to printing the character offset of each character,
    its byte offset, its hex code value, its encoding, the glyph itself,
    and its name. It may also be used to validate UTF-8 input.
  * unidesc reports the character ranges to which different portions of the
    text belong. It can also be used to identify Unicode encodings
    (e.g. UTF-16be) flagged by magic numbers.
  * unihist generates a histogram of the characters in its input.
  * ExplicateUTF8 is intended for debugging or for learning about Unicode.
    It determines and explains the validity of a sequence of bytes as a UTF8
    encoding.
  * utf8lookup provides a handy way to look up Unicode characters from the
    command line.
  * unireverse reverse each line of UTF-8 input character-by-character.

Package: universalindentgui
Version: 1.2.0-1
Architecture: armhf
Maintainer: Thomas Schweitzer 
Installed-Size: 3168
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqscintilla2-8, libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6)
Recommends: astyle, bcpp, csstidy, hindent, indent, perltidy, tidy, uncrustify, xmlindent
Homepage: http://universalindent.sourceforge.net
Priority: optional
Section: devel
Filename: pool/main/u/universalindentgui/universalindentgui_1.2.0-1_armhf.deb
Size: 998066
SHA256: fbb314ccf7a297f8f58493bbc9cb50b3512e83e85f4771a859f65f325fc45894
SHA1: 9c75a674b91cf4957fc82582a4b71057df776e6e
MD5sum: 3d16067845030a32ef41438948425997
Description: GUI frontend for several code beautifiers
 UniversalIndentGui is a GUI fontend for several code beautifiers, currently
 supporting:
  * Artistic Styler
  * BCPP
  * Cobol Beautify
  * CSSTidy
  * Fortran 90 PPR
  * GNU Indent
  * GreatCode
  * hindent
  * HTB
  * Javascript Decoder
  * JSPPP
  * Perl Tidy
  * PHP_Beautifier
  * PHP Code Beautifier
  * PHP Stylist
  * pindent
  * Ruby Beautify
  * Ruby Formatter
  * Shell Indent
  * (HTML) Tidy
  * Uncrustify
  * XML Indent
 .
 UniversalIndentGui allows you to tune a beautifier's configuration and see
 how the changes affects a source example live. It is especially useful to
 compare different C/C++ beautifiers when you have to choose one of them.

Package: unixodbc
Version: 2.2.14p2-5
Architecture: armhf
Maintainer: Steve Langasek 
Installed-Size: 120
Depends: libc6 (>= 2.13-28), libreadline6 (>= 6.0), odbcinst1debian2 (>= 2.2.11-3), libodbc1 (>= 2.2.14p2-3)
Conflicts: unixodbc-bin (<< 2.2.4-1)
Multi-Arch: foreign
Homepage: http://www.unixodbc.org/
Priority: optional
Section: database
Filename: pool/main/u/unixodbc/unixodbc_2.2.14p2-5_armhf.deb
Size: 52138
SHA256: e191f98964b2aa0915286b795087a6f6542687a71ca701497a1fa6d8e1bb380c
SHA1: a1697ce9c8a331f3d00557691cd6599c30668884
MD5sum: 7b2028e6181e1aa4083991fbd520feed
Description: Basic ODBC tools
 UnixODBC is an implementation of the Open Database Connectivity standard,
 a database abstraction layer that allows applications to be used with
 many different relational databases by way of a single library.
 .
 This package contains isql, a command-line tool that allows SQL commands
 to be entered interactively.

Package: unixodbc-bin
Source: unixodbc-gui-qt
Version: 2.3.0-3
Architecture: armhf
Maintainer: Steve Langasek 
Installed-Size: 60
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libodbc1 (>= 2.2.11) | unixodbc (>= 2.2.11), libqt4-network (>= 4:4.5.3), libqtassistantclient4 (>= 4.6.3), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.3.0), odbcinst1debian2 (>= 2.2.11-3), libodbcinstq4-1
Multi-Arch: foreign
Homepage: http://www.unixodbc.org/
Priority: optional
Section: x11
Filename: pool/main/u/unixodbc-gui-qt/unixodbc-bin_2.3.0-3_armhf.deb
Size: 18678
SHA256: c95c8d7f6de7c923d0a73f921a72040ca3335ad6d8c94435b8f5a879d4077b62
SHA1: f548d331b5c450dffa0d8d7563af1e066ecf0e09
MD5sum: 40dbfaee3d9cd8df92a1beb22fdce475
Description: Graphical tools for ODBC management and browsing
 This package contains three graphical applications for use with
 unixODBC, the Open DataBase Connectivity suite: ODBCConfig, a graphical
 configuration tool for managing database drivers and access to
 individual databases; DataManager, a simple browser and query tool for
 ODBC databases; and odbctest, a tool for testing the ODBC API itself.

Package: unixodbc-dev
Source: unixodbc
Version: 2.2.14p2-5
Architecture: armhf
Maintainer: Steve Langasek 
Installed-Size: 1257
Depends: unixodbc (= 2.2.14p2-5), odbcinst1debian2 (= 2.2.14p2-5), libltdl3-dev
Conflicts: libiodbc2-dev, remembrance-agent (<< 2.11-4)
Homepage: http://www.unixodbc.org/
Priority: extra
Section: devel
Filename: pool/main/u/unixodbc/unixodbc-dev_2.2.14p2-5_armhf.deb
Size: 328694
SHA256: d91c8ee46ad9a020a1e0c7f4dcce8e8dce5c23706267d012b3eac19dff52d887
SHA1: e8e7ae941d09c794f0a6a83f985793c340821e61
MD5sum: 263e93d8830a1d9dc75ffbdf71b205c7
Description: ODBC libraries for UNIX (development files)
 This package contains the development files (headers and libraries) for
 unixODBC, an implementation of the Open DataBase Connectivity interface
 for Unix systems.  You should not need to install this package unless
 you intend to develop C language applications which use ODBC, or to
 compile ODBC-using applications from source.

Package: unknown-horizons
Version: 2012.1+dfsg1-1
Installed-Size: 119118
Maintainer: Debian Games Team 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-fife (>= 0.3.3+r3), python-enet, python-yaml
Pre-Depends: dpkg (>= 1.15.6~)
Size: 94863980
SHA256: e660502a0dd98d4a904e395dd078ed2c27004e36114ec34b3f923aedaf91a996
SHA1: 97e112b016afed377fef4536ae61c47b3330e72f
MD5sum: 79e6e78cae4dbb9f23c2f027487bae02
Description: 2D realtime strategy simulation
 Unknown Horizons is a 2D realtime strategy simulation with an
 emphasis on economy and city building. Expand your small settlement
 to a strong and wealthy colony, collect taxes and supply your
 inhabitants with valuable goods. Increase your power with a well
 balanced economy and with strategic trade and diplomacy.
Homepage: http://www.unknown-horizons.org
Section: games
Priority: optional
Filename: pool/main/u/unknown-horizons/unknown-horizons_2012.1+dfsg1-1_all.deb

Package: unmass
Version: 0.9-3
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 128
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.3.0)
Suggests: opencubicplayer
Homepage: http://mirex.mypage.sk/index.php?selected=1#Unmass
Priority: optional
Section: utils
Filename: pool/main/u/unmass/unmass_0.9-3_armhf.deb
Size: 32302
SHA256: c87af22f2b3e3633f44df503e10e2f99289e65278be9ff9e2639c5ed1510d4e6
SHA1: 091f873c466f24a330619d257e5ef439948ebe05
MD5sum: 8c10edd3ce8fb8587f4d048b8bb62600
Description: Extract game archive files
 This is a tool to extract game archives. It supports the
 following archive types: Crismon Land, Baldur's Gate 2,
 Civilization 4, Doom (WADs), Dune 2, Etherlords 2,
 Final Fantasy 7 and 8, Flashpoint, Knights of Xentar,
 Metal Gear Solid (DARs), Moorhuhn 2 and 3, Megaman
 Legends, Oni, Operation Flashpoint, Princess Maker 2,
 Quake 1, RollCage, Swine, Unreal Tournament umods,
 Virtua Fighter bitmaps, MEA exe's, some economy file format.

Package: unmo3
Version: 0.6-1
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 252
Depends: libc6 (>= 2.4)
Recommends: opencubicplayer, xmp, schism, milkytracker
Homepage: http://lclevy.free.fr/mo3
Priority: optional
Section: utils
Filename: pool/main/u/unmo3/unmo3_0.6-1_armhf.deb
Size: 200498
SHA256: c70552579c24b67660cda9042302fc7d638d991d007ce598beef4268959e653d
SHA1: 54f397e4a627f17be26a20d9b2d9d6918cdc69fc
MD5sum: 46f3119ae84d6c245a55ebcac50d1009
Description: Uncompress and extract samples from MO3 modules
 The MO3 format means "MOdule with MP3", because the main initial idea was to
 reduce the size of a module (in .mod, IT, XM) by compressing the samples
 using MPEG audio layer 3. The samples can be compressed using OGG, MP3, and
 two kind of specific lossless algorithms.

Package: uno-libs3
Source: libreoffice (1:3.6.1-1+rpi1)
Version: 3.6.1-1+rpi1
Architecture: armhf
Maintainer: Debian LibreOffice Maintainers 
Installed-Size: 1201
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), ure (>= 3.6.1~)
Replaces: ure (<< 1.4+OOo3.0.0~beta2-1)
Homepage: http://www.libreoffice.org
Priority: optional
Section: libs
Filename: pool/main/libr/libreoffice/uno-libs3_3.6.1-1+rpi1_armhf.deb
Size: 570646
SHA256: 586ec1a1551d063e210ac9ec12a32a0828b43223cd301c662e8f770df01f4795
SHA1: 93ac2338f6eca19d1d527f34d8849e52bc45c57b
MD5sum: bbc63d940f89f07ee83016c88ac0a94f
Description: LibreOffice UNO runtime environment -- public shared libraries
 The Uno Runtime Environment (URE) is the well-known UNO component model
 of LibreOffice, packaged up as an individual product.
 .
 It offers you a flexible, low-overhead component model that is most ideal
 for (but not limited to) combining in one application components written in
 different computer languages, and developed by different parties. You can use
 it to create any kind of application, in whatever application domain you can
 imagine.
 .
 This package contains the public libraries:
  - libuno_sal: System Abstraction Layer (C Interface)
  - libuno_salhelpergcc3: additional C++ functionality for sal
  - libuno_cppu: C++ UNO bridge (C Interface)
  - libuno_cppuhelpergcc3: additionl C++ functionality for cppu
  - libuno_purpenvhelpergcc3: "purpose environments" helper

Package: uno-libs3-dbg
Source: libreoffice (1:3.6.1-1+rpi1)
Version: 3.6.1-1+rpi1
Architecture: armhf
Maintainer: Debian LibreOffice Maintainers 
Installed-Size: 1468
Depends: uno-libs3 (= 3.6.1-1+rpi1)
Replaces: ure-dbg (<< 1.4+OOo3.0.0~beta2-1)
Homepage: http://www.libreoffice.org
Priority: extra
Section: debug
Filename: pool/main/libr/libreoffice/uno-libs3-dbg_3.6.1-1+rpi1_armhf.deb
Size: 443264
SHA256: 89124f69b80a6095f3bfd73516e92ecb148194be0df8c9e645e2f1cee3bfd335
SHA1: 499dccdff4f76ed50cc7bdaf642271b087662252
MD5sum: e47b1b147b16a98d5c207d534476e7f9
Description: LibreOffice UNO runtime environment -- public shared library debug symbols
 The Uno Runtime Environment (URE) is the well-known UNO component model
 of LibreOffice, packaged up as an individual product.
 .
 It offers you a flexible, low-overhead component model that is most ideal
 for (but not limited to) combining in one application components written in
 different computer languages, and developed by different parties. You can use
 it to create any kind of application, in whatever application domain you can
 imagine.
 .
 This package contains the (detached) debug symbols for the public libraries:
  - libuno_sal: System Abstraction Layer (C Interface)
  - libuno_salhelpergcc3: additional C++ functionality for sal
  - libuno_cppu: C++ UNO bridge (C Interface)
  - libuno_cppuhelpergcc3: additionl C++ functionality for cppu
  - libuno_purpenvhelpergcc3: "purpose environments" helper

Package: unoconv
Version: 0.5-1
Installed-Size: 230
Maintainer: Vincent Bernat 
Architecture: all
Depends: python, python-uno
Recommends: libreoffice
Conflicts: odt2txt (<= 0.3-1)
Size: 54552
SHA256: 32e8e33839b84abfd080cb275b1c88c9613cac1a4c61287b2ce51be2ea290562
SHA1: 5ed97e8ad5652c71e76ae3042c287ebd8eec7b0b
MD5sum: ddbe00d8981d7884c743914274e42f56
Description: converter between LibreOffice document formats
 This package provides a commandline utility which can convert from
 any document format that LibreOffice can import to any document format
 it can export. It uses LibreOffice's UNO bindings for non-interactive
 conversion of documents.
 .
 Supported document formats include Open Document format, MS Word, MS
 Office Open/MS OOXML, PDF, HTML, XHTML, RTF, DocBook, and more.
Homepage: http://dag.wieers.com/home-made/unoconv/
Tag: implemented-in::python, interface::commandline, role::program,
 use::converting, works-with-format::TODO, works-with-format::docbook,
 works-with-format::html, works-with-format::odf,
 works-with-format::pdf, works-with-format::plaintext, works-with::file,
 works-with::text
Section: text
Priority: extra
Filename: pool/main/u/unoconv/unoconv_0.5-1_all.deb

Package: unp
Version: 2.0~pre7+nmu1
Installed-Size: 133
Maintainer: Eduard Bloch 
Architecture: all
Suggests: bzip2, unrar | unrar-free, xdms, p7zip | p7zip-full, unzip, cabextract, orange
Size: 18780
SHA256: 7fc9a11defc42611f1b12897fffb255d35b0824057169eb1bc4a8171ed62c4de
SHA1: bf7de5b59dae0827889f88db25207c9bd78ebb3a
MD5sum: 43ace6e51293baaf4101fe65eecf18c5
Description: unpack (almost) everything with one command
 unp is a small perl script which makes extraction of any archive files
 a bit easier. It support several compressors and archiver programs,
 chooses the right one(s) automatically and extracts one or more files
 in one go.
 .
 You may also want to install some non-free packages like "unace-nonfree",
 "unrar-nonfree" and "lha" to extract archives of these types.
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility, use::compressing, works-with::archive
Section: utils
Priority: optional
Filename: pool/main/u/unp/unp_2.0~pre7+nmu1_all.deb

Package: unpaper
Version: 0.4.2-1
Architecture: armhf
Maintainer: Thomas Koch 
Installed-Size: 662
Depends: libc6 (>= 2.13-28)
Homepage: http://www.flameeyes.eu/projects/unpaper
Priority: optional
Section: graphics
Filename: pool/main/u/unpaper/unpaper_0.4.2-1_armhf.deb
Size: 532228
SHA256: 43fee06c28888bd8e84dc832dcb6b50af803ac5f31f23529754c0eb20fa41093
SHA1: d81ea2bdfa7a41cd1ad10b9703ddade544c4548a
MD5sum: 5972a5c28b70f9d7f9c6e0f2a9c008bf
Description: post-processing tool for scanned pages
 unpaper is a post-processing tool for scanned sheets of paper,
 especially for book pages that have been scanned from previously
 created photocopies.
 .
 The main purpose is to make scanned book pages better readable on
 screen after conversion to PDF. Additionally, unpaper might be useful
 to enhance the quality of scanned pages before performing optical
 character recognition (OCR).

Package: unrar-free
Version: 1:0.0.1+cvs20071127-2+deb7u1
Architecture: armhf
Maintainer: Ying-Chun Liu (PaulLiu) 
Installed-Size: 95
Depends: libc6 (>= 2.13-28)
Suggests: pike7.8 | pike7.6 | pike
Conflicts: unrar-nonfree (<< 1:3.7.8-1)
Homepage: https://gna.org/projects/unrar/
Priority: optional
Section: utils
Filename: pool/main/u/unrar-free/unrar-free_0.0.1+cvs20071127-2+deb7u1_armhf.deb
Size: 24948
SHA256: 832e50119d2c2f366ef530e45eed66d0efed5841602e032f4b94071757e35627
SHA1: 19fa8902698a7d44cc0e950912bdaa8971c7b6a9
MD5sum: c5f0e75a304892565c80c5269d63388f
Description: Unarchiver for .rar files
 Unrar can extract files from .rar archives. Can't handle some archives in
 the RAR 3.0 format, only the non-free "unrar" package can do that.

Package: unrtf
Version: 0.21.5-3~deb7u1
Architecture: armhf
Maintainer: Willi Mann 
Installed-Size: 149
Depends: libc6 (>= 2.13-28)
Conflicts: rtf2htm
Replaces: rtf2htm
Homepage: http://www.gnu.org/software/unrtf/
Priority: optional
Section: text
Filename: pool/main/u/unrtf/unrtf_0.21.5-3~deb7u1_armhf.deb
Size: 48374
SHA256: 070c11d620cb71af58fed964b2b471b68566e020ead6529e7a3e75e7e108089c
SHA1: 138ea44d13289f98d89812b7bd797b41f4d65eff
MD5sum: e3f2a9e200cd2a8c0621a46904e73634
Description: RTF to other formats converter
 UnRTF is a moderately complicated converter from RTF to other formats,
 including HTML, LaTeX, and text. Converting to HTML, it supports tables,
 fonts, colors, embedded images,  hyperlinks, paragraph alignment among other
 things. All other conversions are "alpha"--just begun.

Package: unscd
Version: 0.48-2
Architecture: armhf
Maintainer: Don Armstrong 
Installed-Size: 80
Depends: libc6 (>> 2.13), libc6 (<< 2.14)
Conflicts: nscd
Replaces: nscd
Provides: nscd
Homepage: http://busybox.net/~vda/unscd/
Priority: extra
Section: admin
Filename: pool/main/u/unscd/unscd_0.48-2_armhf.deb
Size: 20620
SHA256: 617ac68f4c0a0fff50462316ef7a436cbede2f19b1f8d09be8fcd400463ab8fb
SHA1: 12c9091b0585b5c368eb169197d914656717516a
MD5sum: 4ef01701687c6bb5d47e5c868eea9945
Description: Micro Name Service Caching Daemon
 A daemon which handles passwd, group and host lookups for running
 programs and caches the results for the next query. You only need
 this package if you are using slow Name Services like LDAP, NIS or
 NIS+.
 .
 This particular NSCD is a complete rewrite of the GNU glibc nscd
 which is a single threaded server process which offloads all NSS
 lookups to worker children; cache hits are handled by the parent,
 and only cache misses start worker children, making the parent immune
 to resource leaks, hangs, and crashes in NSS libraries.
 .
 It should mostly be a drop-in replacement for existing installs using
 nscd.

Package: unshield
Version: 0.6-3
Architecture: armhf
Maintainer: Evgeni Golov 
Installed-Size: 54
Depends: libc6 (>= 2.10), libunshield0
Homepage: http://sourceforge.net/projects/synce
Priority: optional
Section: utils
Filename: pool/main/u/unshield/unshield_0.6-3_armhf.deb
Size: 10542
SHA256: efabec0708073dc6395af911a87ee3fe24124c9c3ed0cc34c418fa0ed9e23797
SHA1: a3a556f9c24d3457c1148aa931c2a28fbc01d577
MD5sum: ee6c2c2d0ce2a1403f2813f0f029881d
Description: extracts CAB files from InstallShield installers
 This software extracts CAB files from InstallShield installers
 used to be installed on Windows CE devices or Windows desktop
 machines.

Package: unsort
Version: 1.1.2-1
Architecture: armhf
Maintainer: Guus Sliepen 
Installed-Size: 61
Depends: libc6 (>= 2.4)
Priority: extra
Section: text
Filename: pool/main/u/unsort/unsort_1.1.2-1_armhf.deb
Size: 14548
SHA256: b47677c6f76dbde7a9a55e4e36381f01e09a1cb0ed2775366e76489c88dba3da
SHA1: 8d732c3a821fd1ed5512182ebe25cf2c4ffade10
MD5sum: 2770bc9ab00d184e787fa3d1129c776b
Description: reorders lines in a file in semirandom ways
 This program reorders the lines in its input with no regard to the actual
 contents. Two permutation algorithms are available: randomization
 based on a good PRNG (the Mersenne Twister algorithm) and "shuffling",
 which permutes the lines in such a way that they're spread more or less
 evenly in the output. This mode is primarily intended for music playlists.

Package: untex
Version: 1:1.2-4
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 55
Depends: libc6 (>= 2.4)
Homepage: http://tug.ctan.org/cgi-bin/ctanPackageInformation.py?id=untex
Priority: optional
Section: tex
Filename: pool/main/u/untex/untex_1.2-4_armhf.deb
Size: 11432
SHA256: f3f48d81a0482b9f87c7aedbbceb0cf3a1dfb4ab3743c7a27695db0bf019cf86
SHA1: 9313e42b2dfd00421c75c946c443a4d9406376ab
MD5sum: fc7c53cf110a668043249b718e563774
Description: remove LaTeX commands from input
 The program will remove “some LaTeX commands” from a file (it will
 optionally try to strip out all mathematics, too). It also has an
 option to honour \input and \include commands in the file. Input is
 read from files and results are sent to stdout.

Package: unworkable
Version: 0.53-3
Architecture: armhf
Maintainer: Michael Stapelberg 
Installed-Size: 109
Depends: libbsd0 (>= 0.2.0), libc6 (>= 2.13-28), libevent-2.0-5 (>= 2.0.10-stable), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0)
Homepage: http://code.google.com/p/unworkable/
Priority: optional
Section: net
Filename: pool/main/u/unworkable/unworkable_0.53-3_armhf.deb
Size: 40440
SHA256: ed7a4fc96d5803ccfc1b0ef48fb60b48caabdf8c221ed72d13915a755d7f25ad
SHA1: 20fad852f8ef31ccebffc3d223a8780eac8aeafc
MD5sum: 1989afc67e86281b8a1278d786d79a81
Description: efficient, simple and secure bittorrent client
 Unworkable is a BSD-licensed BitTorrent implementation.
 Goals of this project include efficiency, simplicity and high code quality.
 Unworkable is single threaded and asynchronous, written in portable ANSI C
 using libevent and mmap() for performance.

Package: unzip
Version: 6.0-8+deb7u6
Architecture: armhf
Maintainer: Santiago Vila 
Installed-Size: 304
Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Suggests: zip
Conflicts: unzip-crypt (<< 5.41)
Replaces: unzip-crypt (<< 5.41)
Multi-Arch: foreign
Homepage: http://www.info-zip.org/UnZip.html
Priority: optional
Section: utils
Filename: pool/main/u/unzip/unzip_6.0-8+deb7u6_armhf.deb
Size: 194740
SHA256: facf44af2972f888616a12b79dbdb752c6797969bf34b51ca4920d31d7ef4942
SHA1: a89b741b6d2156a29ffe7662ad3568d8d80d98ee
MD5sum: 09731168ef4cffdd0884a863b93b8200
Description: De-archiver for .zip files
 InfoZIP's unzip program. With the exception of multi-volume archives
 (ie, .ZIP files that are split across several disks using PKZIP's /& option),
 this can handle any file produced either by PKZIP, or the corresponding
 InfoZIP zip program.
 .
 This version supports encryption.

Package: update-inetd
Version: 4.43
Installed-Size: 101
Maintainer: Serafeim Zanikolas 
Architecture: all
Replaces: netbase (<< 4.27)
Depends: debconf (>= 0.5) | debconf-2.0, libfile-temp-perl, libfile-copy-recursive-perl
Breaks: netbase (<< 4.27)
Size: 21760
SHA256: 69954fa886ab62eb2fbca1add9e237a9b4e19d1c1e0b91b148f7dbf3695cf048
SHA1: f058444df5a6d2c36dabb4ffca6005cc0ca6d798
MD5sum: 2609248fac6590aada4735eda4fb1b9b
Description: inetd configuration file updater
 This package provides a program used by other packages to
 automatically update /etc/inetd.conf, the configuration file shared
 by all implementations of the Internet super-server.
 .
 Note that xinetd is not supported by this package.
Multi-Arch: foreign
Tag: admin::configuring, implemented-in::perl, interface::commandline,
 network::configuration, role::program
Section: admin
Priority: optional
Filename: pool/main/u/update-inetd/update-inetd_4.43_all.deb

Package: update-manager-core
Source: update-manager
Version: 0.200.5-2.1
Installed-Size: 1244
Maintainer: Debian GNOME Maintainers 
Architecture: all
Replaces: update-manager (<< 1:0.93.7)
Depends: python, python-support (>= 0.90.0), lsb-release, python-apt (>= 0.7.93)
Recommends: update-manager-gnome (= 0.200.5-2.1)
Conflicts: update-manager (<< 1:0.93.7)
Size: 155954
SHA256: a0d39789f751d876088532b0ba18c397cc3b5ddeb78d5ab4f91e848d18e9c334
SHA1: 118abf757504356e441599a4ac38b99b656a2f5e
MD5sum: 92528d0f6a56f083f2c21bae65b6f0aa
Description: APT update manager core functionality
 This package holds the core functionality for the APT update managers
 such as update-manager, the GNOME update manager. Update managers are
 applications that help you keep your system up-to-date by providing a
 nice interface in which you can see the list of updates and install
 them using APT.
Homepage: http://alioth.debian.org/projects/update-manager/
Tag: admin::package-management, role::app-data, role::program
Section: gnome
Priority: optional
Filename: pool/main/u/update-manager/update-manager-core_0.200.5-2.1_all.deb

Package: update-manager-doc
Source: update-manager
Version: 0.200.5-2.1
Installed-Size: 789
Maintainer: Debian GNOME Maintainers 
Architecture: all
Suggests: libjs-jquery, update-manager-core (= 0.200.5-2.1), update-manager-gnome (= 0.200.5-2.1)
Size: 120932
SHA256: 490d2a0f22479ca6ed0f2cc3f50b6b6e2f2ba93ea9608416ae2166b498e3ebdb
SHA1: 6fe4864696629c1012421e607c3aa00796f05e81
MD5sum: 1921ae1343f3247d8cc739b7992ca49b
Description: Update Manager API documentation
 This package contains the API documentation for Update Manager. Please note
 that this is only useful if you intend to work on Update Manager and does
 not include any documentation targeted at end-users.
Homepage: http://alioth.debian.org/projects/update-manager/
Tag: admin::package-management, devel::doc, made-of::html,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/u/update-manager/update-manager-doc_0.200.5-2.1_all.deb

Package: update-manager-gnome
Source: update-manager
Version: 0.200.5-2.1
Installed-Size: 1267
Maintainer: Debian GNOME Maintainers 
Architecture: all
Replaces: update-manager (<< 0.200.0~pre0)
Depends: python, python-support (>= 0.90.0), gconf2 (>= 2.28.1-2), update-manager-core (= 0.200.5-2.1), python-gconf, python-dbus, python-gtk2, gksu, python-gobject (>= 2.16.1), python-vte
Suggests: update-notifier, software-properties-gtk
Conflicts: update-manager (<< 0.200.0~pre0)
Size: 758242
SHA256: 43cbf7931af6549a72822f40b3f66ce121df65fa3a1f9c930d30dc4a2601b539
SHA1: aa113f1972b039a5a1a11e21328abea3fb5358fa
MD5sum: c336e81a0e34c0c52f503700c26162a3
Description: GNOME application that manages software updates
 This is the GNOME software update manager. It checks for updates made
 available by Debian and third parties running Debian package
 repositories, and lets the user choose which to install.
Homepage: http://alioth.debian.org/projects/update-manager/
Tag: admin::automation, implemented-in::python, role::program,
 works-with::software:package
Section: gnome
Priority: optional
Filename: pool/main/u/update-manager/update-manager-gnome_0.200.5-2.1_all.deb

Package: update-notifier
Version: 0.99.3debian11
Architecture: armhf
Maintainer: Julian Andres Klode 
Installed-Size: 333
Depends: gconf-service, libc6 (>= 2.13-28), libdbus-glib-1-2 (>= 0.78), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgdu0 (>= 0.2), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.14.0), libgudev-1.0-0 (>= 146), libnotify4 (>= 0.7.0), libx11-6, gconf2 (>= 2.28.1-2), update-notifier-common (= 0.99.3debian11), python, update-manager-gnome | update-manager, notification-daemon, gksu
Recommends: apport-gtk, synaptic, software-properties-gtk, anacron
Suggests: ubuntu-system-service
Breaks: update-notifier-common (<< 0.99.3debian)
Replaces: update-notifier-common (<< 0.99.3debian)
Priority: optional
Section: gnome
Filename: pool/main/u/update-notifier/update-notifier_0.99.3debian11_armhf.deb
Size: 106066
SHA256: 046bca935e72f949dc06ae67666e548eb7566b28b288eaa8a9a67f2bbd38e241
SHA1: 4519f5ed79d94e5eefbbeb710471998a70896f00
MD5sum: 84dc274a2954cd3262aacdd7c6375910
Description: Daemon which notifies about package updates
 Puts an icon in the user's notification area when package updates are
 available.

Package: update-notifier-common
Source: update-notifier
Version: 0.99.3debian11
Installed-Size: 723
Maintainer: Julian Andres Klode 
Architecture: all
Replaces: update-notifier (<< 0.75.1)
Depends: gettext-base, lsb-release, python, python-apt (>= 0.6.12)
Recommends: libpam-modules (>= 1.0.1-9ubuntu3)
Size: 163398
SHA256: d82bf0dc779b601e978f23dcdd13c8c56f729b74bc5cd0497af496db830af4bf
SHA1: c9066b60c062dc3de2983feb3bcd0eb1108fc7b3
MD5sum: e105ce10f57c3edfa87b775b7a9160b5
Description: Files shared between update-notifier and adept
 Apt setup files and reboot notification scripts shared between
 update-notifier and adept-notifier.
Tag: admin::package-management, role::app-data
Section: gnome
Priority: optional
Filename: pool/main/u/update-notifier/update-notifier-common_0.99.3debian11_all.deb

Package: update-notifier-kde
Source: kingston-update-notifier
Version: 1.2.4
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 127
Depends: libc6 (>= 2.13-28), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.3.4), libqt4-dbus (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), update-notifier-common
Recommends: anacron
Priority: optional
Section: kde
Filename: pool/main/k/kingston-update-notifier/update-notifier-kde_1.2.4_armhf.deb
Size: 17804
SHA256: e86cac73f16563b2819fad65a4f9479577470e7d31b288453cc936df5ffc1dfa
SHA1: 73d8391f7593945e1eb5c70c0f630913ffac4fc5
MD5sum: 88e9c24133e9d95172ca6d86160a5dac
Description: update notifier for the KDE Plasma Desktop
 This package contains a module to notify the user when there is a need for an
 upgrade or a security upgrade.

Package: upgrade-system
Version: 1.6.2.0
Installed-Size: 76
Maintainer: Martin-Éric Racine 
Architecture: all
Depends: apt (>= 0.7.0), deborphan (>= 1.7)
Pre-Depends: dpkg (>= 1.15.7.2)
Recommends: apt-show-versions, debsums
Suggests: update-manager-core
Size: 14536
SHA256: a730fe660b93d068cef328e6d011b4036b25ca5a8235f276d5c0c8d8a599e4da
SHA1: 14d45ad0f0b41761a7f015e5a66343ffd4e02e5b
MD5sum: 8171d95fc19a2709fb363312fbe2866c
Description: command for upgrading and sanitizing a Debian system
 Upgrade-system offers a convenient way to keep a Debian system up-to-date,
 yet free from accumulated cruft such as obsolete libraries.
 .
 It is particularly useful on systems that mix packages from different
 releases (stable/testing/unstable) and on desktop systems where packages
 are frequently installed or removed according to evolving user tastes.
 .
 By default, it is configured to purge all packages that are not listed
 as another package's dependency. Less drastic settings are possible by
 editing /etc/upgrade-system.conf(5).
Homepage: http://q-funk.iki.fi
Tag: admin::package-management, implemented-in::shell,
 interface::commandline, role::program, scope::application,
 scope::utility, suite::debian, use::configuring, use::downloading,
 works-with::software:package
Section: admin
Priority: optional
Filename: pool/main/u/upgrade-system/upgrade-system_1.6.2.0_all.deb

Package: uphpmvault
Version: 0.8
Architecture: armhf
Maintainer: Marc Singer 
Installed-Size: 50
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Priority: optional
Section: utils
Filename: pool/main/u/uphpmvault/uphpmvault_0.8_armhf.deb
Size: 9744
SHA256: edf9bba84e7762879629f3d62c10d8ddb5ecf0c3d67cb4a18c14c577d4c0e6bb
SHA1: 3721cc1910ef0c213aec7456d7e85083db08a2a0
MD5sum: 4092d11debb0ef847596bb728cce245d
Description: upload recovery images to HP MediaVault2 via Ethernet
 The HP MediaVault--generation 2 a.k.a MV2--implements a custom
 recovery protocol for rescuing a device when the operating system
 image is corrupt.  The uphpmvault application listens for broadcast
 Ethernet packets from an MV2 devices waiting for recovery.  On
 receipt of one of these 'beacon' packets, uphpmvault transmits a
 recovery image to the waiting device which will automatically boot
 the recovery image.
 .
 The primary purpose of this application is for installing Debian
 GNU/Linux on an MV2.

Package: upnp-inspector
Version: 0.2.2+dfsg-3
Installed-Size: 420
Maintainer: Charlie Smotherman 
Architecture: all
Depends: python-gtk2, python (>= 2.6.6-7~), python-coherence
Size: 102806
SHA256: bae5b1de13dd5bea8375d4bcbed57906022563e884310fcb1ff87c42f4d54f08
SHA1: 7941e1d733a9f4f33fcb977439d737c020eebc57
MD5sum: f7b577c2afbf2124865df9f766e9d9b4
Description: Python UPnP framework analyser
 The Inspector is a Universal Plug and Play (UPnP) Device and Service analyser.
 It is also a debugging tool, based on the Coherence Digital Living Network/
 Universal Plug and Play framework (DLNA/UPnP). The Inspector is a GUI to
 discover and explore UPnP devices on your network. Detected devices are
 displayed in a tree-view, where actions can be called and state-variables may
 be queried.
Homepage: http://coherence.beebits.net/wiki/UPnP-Inspector
Section: net
Priority: optional
Filename: pool/main/u/upnp-inspector/upnp-inspector_0.2.2+dfsg-3_all.deb

Package: upnp-router-control
Version: 0.2-1
Architecture: armhf
Maintainer: Daniele Napolitano 
Installed-Size: 698
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgssdp-1.0-3 (>= 0.12.0), libgtk2.0-0 (>= 2.16), libgupnp-1.0-4 (>= 0.18.0), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libxml2 (>= 2.6.27)
Homepage: https://launchpad.net/upnp-router-control
Priority: optional
Section: comm
Filename: pool/main/u/upnp-router-control/upnp-router-control_0.2-1_armhf.deb
Size: 77246
SHA256: dbf03ec05bc3cabfea98eaa6922cfe624c355c43d82de423cd20737c6b3ff246
SHA1: f0db1b30c4f84823afc490251ae2068c67d835da
MD5sum: 328aaee1eb12998b01c266fbdc49287d
Description: UPnP compliant routers manager
 UPnP Router Control allows to see some parameters of the router like the
 network speed, the external IP and the model name. It can manage port
 forwarding trough a simple interface.
 .
 UPnP Router Control retrive these information trough UPnP-IGD protocol, so
 you must enable it in your router in order to utilize it.

Package: upower
Version: 0.9.17-1
Architecture: armhf
Maintainer: Utopia Maintenance Team 
Installed-Size: 405
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.30.0), libgudev-1.0-0 (>= 146), libimobiledevice2 (>= 0.9.7), libplist1 (>= 0.16), libpolkit-gobject-1-0 (>= 0.99), libupower-glib1 (>= 0.9.2), libusb-1.0-0 (>= 2:1.0.8), udev, dbus, pm-utils
Recommends: policykit-1
Homepage: http://upower.freedesktop.org/
Priority: optional
Section: admin
Filename: pool/main/u/upower/upower_0.9.17-1_armhf.deb
Size: 100104
SHA256: e1242e71fda1902d3794d639eaffe1d6526655f050f73a8ad3e01d98aec541c8
SHA1: 63f113e16e0c4f62bc2d658a05c3253640c67d03
MD5sum: eadc50c000fbfb36219d60dd7e7a762a
Description: abstraction for power management
 upower provides an interface to enumerate power sources on the system
 and control system-wide power management. Any application can access the
 org.freedesktop.UPower service on the system message bus. Some
 operations (such as suspending the system) are restricted using PolicyKit.

Package: upower-doc
Source: upower
Version: 0.9.17-1
Installed-Size: 402
Maintainer: Utopia Maintenance Team 
Architecture: all
Suggests: devhelp
Size: 59478
SHA256: 692adec8e12fa0ea2cdfdeb847c61a8885ddd5d47fb3ed534e1d2b5e2cf3a2a5
SHA1: 5fc34dfaedf60c1ed50573f3e2c41f93e2b73a02
MD5sum: 628ce75f413c2e5352d6579fe6016fef
Description: abstraction for power management - documentation
 upower provides an interface to enumerate power sources on the system
 and control system-wide power management. Any application can access the
 org.freedesktop.UPower service on the system message bus. Some
 operations (such as suspending the system) are restricted using PolicyKit.
Homepage: http://upower.freedesktop.org/
Tag: made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/u/upower/upower-doc_0.9.17-1_all.deb

Package: uprecords-cgi
Source: uptimed
Version: 1:0.3.17-3.1
Installed-Size: 77
Maintainer: Thibaut VARENE 
Architecture: all
Depends: uptimed (>= 1:0.3.17-3.1), debconf (>= 0.5) | debconf-2.0
Recommends: apache | httpd
Size: 20758
SHA256: 59449aec530f4f2a99c6afb064d936b3dbf3ca0e8ea23a7fa3dc913c347cb8f9
SHA1: 8de8c149e4b1916734f868391499456f8175efcb
MD5sum: d40e59eaf09308ec56327e39da5cff19
Description: utility to track high uptimes - CGI script
 The uptime daemon tracks a system's highest uptimes via boot IDs,
 using the system boot time to keep sessions apart from each other.
 It features a console program to display statistics, and can
 send mail if a milestone or a new record is reached.
 .
 This package provides a CGI script that produces an HTML report
 containing all the highest uptimes from the uptimed database.
Homepage: http://podgorny.cz/uptimed
Tag: admin::monitoring, admin::power-management, implemented-in::c,
 interface::web, role::program, scope::utility, use::timekeeping,
 web::cgi, works-with-format::html, works-with::text
Section: utils
Priority: extra
Filename: pool/main/u/uptimed/uprecords-cgi_0.3.17-3.1_all.deb

Package: upse123
Source: upse
Version: 1.0.0-1
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 47
Depends: libc6 (>= 2.13-28), libupse2 (>= 1.0.0), zlib1g (>= 1:1.1.4)
Homepage: http://nenolod.net/upse
Priority: extra
Section: sound
Filename: pool/main/u/upse/upse123_1.0.0-1_armhf.deb
Size: 7224
SHA256: 7d18b98e2f6a6d31f70f69226d9771f0c849c2a18b128800c9e8f1caf20ba432
SHA1: 75f93d3f168fd215ba4f81ae323ce6256eddfa6f
MD5sum: 6afcc8064b217aafb8f1409502e48a40
Description: commandline player based on libupse
 UPSE is an advanced playstation sound emulator which
 concentrates on sound correctness. It plays PSF and
 MiniPSF format files.
 .
 This package contains a commandline player based on
 the upse emulation library.

Package: upslug2
Version: 11-3
Architecture: armhf
Maintainer: Gordon Farquharson 
Installed-Size: 84
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0)
Homepage: http://sourceforge.net/projects/nslu/
Priority: optional
Section: otherosfs
Filename: pool/main/u/upslug2/upslug2_11-3_armhf.deb
Size: 28094
SHA256: d8a0aa643d3968904a5f7a1ae6da9c176bf75aeb4a170b83e1ad0acd6c25dcd3
SHA1: 4bc5d317518ecb453349618afb46cdcf0012f4d3
MD5sum: 563f21ed85e849931680c5d6f0362c81
Description: utility to upgrade the firmware of a Linksys NSLU2 via the network
 upslug2 is a command line program intended to allow the upgrade of a
 Linksys NSLU2 (Network Storage Link for USB 2.0 Disk Drives) firmware
 to new or different versions.  When a NSLU2 in the local network is put
 in upgrade mode, upslug2 can connect to it and upload a new firmware.
 .
 upslug2 will accept a full firmware image but it can also upgrade the
 flash from individual files holding the kernel, ramdisk and root
 filesystem.  upslug2 provides status information during the upgrade
 process and verifies the written image after the upgrade.

Package: upstart
Version: 1.6.1-1
Architecture: armhf
Maintainer: Steve Langasek 
Installed-Size: 1136
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.2.16), libjson0 (>= 0.10), libnih-dbus1 (>= 1.0.0), libnih1 (>= 1.0.0), libselinux1 (>= 1.32), libudev0 (>= 152), sysvinit-utils (>= 2.86.ds1-23), sysv-rc, initscripts, mountall, ifupdown (>= 0.7.3), udev (>= 175-7.1)
Suggests: python, graphviz, bash-completion
Conflicts: lxcguest, startup-tasks, system-services, sysvinit, upstart-compat-sysv, upstart-job
Breaks: cryptsetup (<< 2:1.4.3-4.1), friendly-recovery (<< 0.2.13), libc6 (<< 2.12.1-0ubuntu12)
Replaces: startup-tasks, system-services, sysvinit, upstart-compat-sysv, upstart-job
Provides: upstart-job
Multi-Arch: foreign
Homepage: http://upstart.ubuntu.com/
Priority: extra
Section: admin
Filename: pool/main/u/upstart/upstart_1.6.1-1_armhf.deb
Size: 502290
SHA256: 0f3cb426492fda661f6e9b9e0da401760d0cadf5983518c9ce3738e8827ccee2
SHA1: f5bcd339e61de1e3b0d83ffd0ead7256ce4bca16
MD5sum: 441a6b6fdadb1bb4e87fdd39a90c565b
Description: event-based init daemon
 upstart is a replacement for the /sbin/init daemon which handles
 starting of tasks and services during boot, stopping them during
 shutdown and supervising them while the system is running.

Package: uptimed
Version: 1:0.3.17-3.1
Architecture: armhf
Maintainer: Thibaut VARENE 
Installed-Size: 161
Pre-Depends: dpkg (>= 1.15.7.2)
Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.13-28), libuptimed0 (>= 1:0.3.17), lsb-base
Homepage: http://podgorny.cz/uptimed
Priority: extra
Section: utils
Filename: pool/main/u/uptimed/uptimed_0.3.17-3.1_armhf.deb
Size: 42430
SHA256: 5c15a7964c9169dddf157c07afb8f2b8dff9e046c694d8777a461979bdde14c0
SHA1: 2b46e00db9c878d44750a41705e25ba9352751a7
MD5sum: 04798782badd23beb9d21e283b973a97
Description: utility to track high uptimes - daemon
 The uptime daemon tracks a system's highest uptimes via boot IDs,
 using the system boot time to keep sessions apart from each other.
 It features a console program to display statistics, and can
 send mail if a milestone or a new record is reached.

Package: upx-ucl
Version: 3.08-2
Architecture: armhf
Maintainer: Robert Luberda 
Installed-Size: 1513
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libucl1 (>= 1.03), zlib1g (>= 1:1.1.4)
Provides: upx
Homepage: http://upx.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/u/upx-ucl/upx-ucl_3.08-2_armhf.deb
Size: 460432
SHA256: bfb63f3b6361911d1a9cc9354b4060f68f85ffdb934abaf3825a0377b5bbd9ba
SHA1: ef7e4d6d4ef33666458c422b3d76f1c22837e76a
MD5sum: 512e6faecff7df65a3f0e25722fdaafe
Description: efficient live-compressor for executables
 UPX is an advanced executable file compressor. UPX will typically
 reduce the file size of programs and DLLs by around 50%-70%, thus
 reducing disk space, network load times, download times etc. The
 current version can compress executables for DOS, Linux/ELF (i386,
 amd64, ppc32) and some other files for different OS.
 .
 NOTE: This package is based on the UCL library, which is licensed under GPL.

Package: uqwk
Version: 2.21-15
Architecture: armhf
Maintainer: Peter Krefting 
Installed-Size: 162
Depends: libc6 (>= 2.7), debconf (>= 0.5) | debconf-2.0, postnews, exim4 | mail-transport-agent
Suggests: multimail | goldedplus
Priority: optional
Section: comm
Filename: pool/main/u/uqwk/uqwk_2.21-15_armhf.deb
Size: 73800
SHA256: 5529257b5530e61f66beb5bd623183c32e2fa5771bd36699a451609f8aaa4ee6
SHA1: 8f03a0cd23d053cec882d139687800d0f5243c3e
MD5sum: 61af3d12b660de1d738e35df1daf7208
Description: Offline mail and news package creator (NNTP version)
 Uqwk is a program which collects all of a user's unread mail or news
 and formats it into a packet for offline reading.  QWK, Simple
 Offline Usenet Packet (SOUP), and ZipNews packet formats are supported.
 .
 Uqwk also accepts reply packets, so replies can be mailed or posted,
 depending whether the message is marked private (email) or public (news).
 .
 Uqwk also supports a small offline command language, so the contents
 of the user's .newsrc file can be viewed and manipulated offline.
 .
 This version accesses newsgroups via NNTP.

Package: uqwk-spool
Source: uqwk
Version: 2.21-15
Architecture: armhf
Maintainer: Peter Krefting 
Installed-Size: 147
Depends: libc6 (>= 2.7), exim4 | mail-transport-agent
Suggests: multimail | goldedplus
Conflicts: uqwk (<< 2.15-1)
Replaces: uqwk (<< 2.15-1)
Provides: uqwk
Priority: optional
Section: comm
Filename: pool/main/u/uqwk/uqwk-spool_2.21-15_armhf.deb
Size: 68332
SHA256: 8d95542ec6404ea3dd0962e6a5e06e0554c7361089c8a0cbfb1a9a44bfc73938
SHA1: 318f1179f5f14e6cbbb4987a440a8f26a80d81de
MD5sum: 56ffb1572ea5e561326b305c4a2a0fb6
Description: Offline mail and news package creator (spool version)
 Uqwk is a program which collects all of a user's unread mail or news
 and formats it into a packet for offline reading.  QWK, Simple
 Offline Usenet Packet (SOUP), and ZipNews packet formats are supported.
 .
 Uqwk also accepts reply packets, so replies can be mailed or posted,
 depending whether the message is marked private (email) or public (news).
 .
 Uqwk also supports a small offline command language, so the contents
 of the user's .newsrc file can be viewed and manipulated offline.
 .
 This version accesses newsgroups via a local news spool.

Package: ure
Source: libreoffice (1:3.6.1-1+rpi1)
Version: 3.6.1-1+rpi1
Architecture: armhf
Maintainer: Debian LibreOffice Maintainers 
Installed-Size: 5592
Depends: uno-libs3 (= 3.6.1-1+rpi1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libxml2 (>= 2.7.4)
Suggests: java5-runtime
Conflicts: cli-uno-bridge (<< 1:3.0.0~dev300m22)
Breaks: openoffice.org-core (<< 1:3.3~)
Replaces: libreoffice-java-common (<< 1:3.0.0~dev300m12)
Homepage: http://www.libreoffice.org
Priority: optional
Section: libs
Filename: pool/main/libr/libreoffice/ure_3.6.1-1+rpi1_armhf.deb
Size: 2318082
SHA256: 0a66a4c5ec922b21556cc6dc40037194ef3670e25d05c37064a055ce4a8eb1de
SHA1: 087de054930bce5f710761b25637250b3fe4a660
MD5sum: a26a5688a8feb66469ff2b545f81ecd5
Description: LibreOffice UNO runtime environment
 The Uno Runtime Environment (URE) is the well-known UNO component model
 of LibreOffice, packaged up as an individual product.
 .
 It offers you a flexible, low-overhead component model that is most ideal
 for (but not limited to) combining in one application components written in
 different computer languages, and developed by different parties. You can use
 it to create any kind of application, in whatever application domain you can
 imagine.

Package: ure-dbg
Source: libreoffice (1:3.6.1-1+rpi1)
Version: 3.6.1-1+rpi1
Architecture: armhf
Maintainer: Debian LibreOffice Maintainers 
Installed-Size: 3804
Depends: ure (= 3.6.1-1+rpi1), uno-libs3-dbg (= 3.6.1-1+rpi1)
Homepage: http://www.libreoffice.org
Priority: extra
Section: debug
Filename: pool/main/libr/libreoffice/ure-dbg_3.6.1-1+rpi1_armhf.deb
Size: 865166
SHA256: 9b16dc2c8e59bb7ac78851ca836d117cff4ce8464116bb3f74f38c1dba15f6e7
SHA1: 85299bf49824b852f41d79d5f2cf99c24ccced84
MD5sum: 5add535b38492688ea94c65b4360ecda
Description: LibreOffice UNO runtime environment -- debug symbols
 The Uno Runtime Environment (URE) is the well-known UNO component model
 of LibreOffice, packaged up as an individual product.
 .
 It offers you a flexible, low-overhead component model that is most ideal
 for (but not limited to) combining in one application components written in
 different computer languages, and developed by different parties. You can use
 it to create any kind of application, in whatever application domain you can
 imagine.
 .
 This package contains the (detached) debug symbols for the URE.

Package: urfkill
Version: 0.3.0-1
Architecture: armhf
Maintainer: Keng-Yu Lin 
Installed-Size: 169
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libexpat1 (>= 2.0.1), libglib2.0-0 (>= 2.30.0), libpolkit-gobject-1-0 (>= 0.99), libudev0 (>= 0.140), dbus, libgudev-1.0-0
Homepage: http://www.freedesktop.org/wiki/Software/urfkill
Priority: optional
Section: net
Filename: pool/main/u/urfkill/urfkill_0.3.0-1_armhf.deb
Size: 34912
SHA256: fd2b07239bd13d14bb2693d582764c0bda5b530765a8bdee1662e8ebc8780a7f
SHA1: f3718ba3a1cfbef83c91e8408c23daf52b5fb12d
MD5sum: f5a23bd9b64b1fdcb30e2ef11258b76d
Description: wireless killswitch management daemon for laptops
 The urfkill daemon allow managing the rfkill-related hotkeys
 and the killswitches in a more configurable way for the common RF
 devices (WiFi, Bluetooth, WWan...). It handles the various
 key codes (KEY_WLAN, KEY_BLUETOOTH, KEY_RFKILL...)
 and toggles the killswitches based on a pre-defined policy.

Package: urg-utils
Source: urg
Version: 0.8.12-4
Architecture: armhf
Maintainer: Albert Huang 
Installed-Size: 42
Priority: extra
Section: science
Filename: pool/main/u/urg/urg-utils_0.8.12-4_armhf.deb
Size: 6284
SHA256: 32c037d2ece96fc94eb7369fcd5ff543b6a87d3f6559e9146343f250a55a0dfd
SHA1: 532f7e6f327dbdd401b26d210154f6fa84294694
MD5sum: a5b412426bcecbb284a4a1de9992b4d4
Description: utilities for Hokuyo URG/UTM laser range scanners
 Hokuyo infrared laser range scanners provide range measurements to nearby
 objects using LIDAR technology.  Uses include factory automation for automated
 safety systems and robotics research platforms.
 .
 urg contains libraries and binaries to control and read data from Hokuyo
 sensors.
 .
 This package contains utility programs.

Package: urlscan
Version: 0.5.6-0.1
Installed-Size: 116
Maintainer: Daniel Burrows 
Architecture: all
Depends: python, python-central (>= 0.6), python-urwid
Suggests: mutt, www-browser
Size: 12788
SHA256: 5b86cacb15ec27354c4e97febb1547837d622ac77f5270c16a650626815726ed
SHA1: 214df867e82f93f14ab7b3ee36cfeadedb99cde6
MD5sum: 2a6396eb5f86727a4c3334fa1aa58393
Description: Extract and browse the URLs contained in an email (urlview replacement)
 urlscan searches for URLs in email messages, then displays a list of
 them in the current terminal.  It is primarily meant as a replacement
 for urlview, which it improves upon in the following ways:
 .
  * urlscan understands email encodings such as quoted-printable;
    urlview does not.
  * urlscan extracts and displays the context surrounding each URL.
Python-Version: current
Tag: implemented-in::python, interface::text-mode, role::program,
 scope::utility, uitoolkit::ncurses, use::searching, works-with::mail
Section: mail
Priority: optional
Filename: pool/main/u/urlscan/urlscan_0.5.6-0.1_all.deb

Package: urlview
Version: 0.9-19
Architecture: armhf
Maintainer: Emanuele Rocca 
Installed-Size: 97
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5
Recommends: elinks | www-browser
Suggests: mutt, ncftp | lftp, wget | snarf
Priority: optional
Section: misc
Filename: pool/main/u/urlview/urlview_0.9-19_armhf.deb
Size: 25882
SHA256: b79993f98251f72a893602eac05f9a71324254b8e24c40c71c0e43838037f7a7
SHA1: fa94db59b34609baa1910aa345db4e3cf05d351a
MD5sum: f848fb185ff081c5c4f0a38fa7a66cb7
Description: Extracts URLs from text
 This utility is used to extract URL from text files, especially from mail
 messages in order to launch some browser to view them. This used to be a
 part of mutt but has now become an independent tool.

Package: urlwatch
Version: 1.11-1
Installed-Size: 76
Maintainer: Franck Joncourt 
Architecture: all
Depends: python (>= 2.4), python-support (>= 0.90.0)
Recommends: python-vobject, python-utidylib, lynx
Suggests: html2text
Size: 15178
SHA256: 91402d1cc6249c866ca6fe885e87538578e36df83ee686b511ca02f6e7d3203c
SHA1: 40628703732f3bc47900658460beeff19fcdc148
MD5sum: e06b0c5058ed18ced61a585d9bb29039
Description: tool for monitoring webpages for updates
 This script is intended to help you watch URLs and get notified (via email)
 of any changes. The change notification will include the URL that has changed
 and a unified diff of what has changed. The script supports the use of a
 filtering hook function to strip trivially-varying elements of a webpage.
Homepage: http://thpinfo.com/2008/urlwatch/
Tag: implemented-in::python, interface::commandline, role::program,
 scope::utility, use::monitor
Section: web
Priority: extra
Filename: pool/main/u/urlwatch/urlwatch_1.11-1_all.deb

Package: uruk
Version: 20120608.1-1
Installed-Size: 198
Maintainer: Joost van Baal-Ilić 
Architecture: all
Depends: iptables
Size: 76092
SHA256: c5eddc6f105e6db60614bba75d0a337927f8957a6e33b79c04e63d45434253a1
SHA1: 6faff0f9f3667affc121f205ed4e7a546df7ba6c
MD5sum: 16ee186933e9c183535a3555772378d7
Description: Very small firewall script, for configuring iptables
 Uruk is a wrapper for Linux ip[6]tables.  A very simple shell script, but
 useful if you need similar (but not the same) packet filtering configurations
 on lots of hosts.  It uses a template file, which gets sourced as a shell
 script, to get lists of source addresses, allowed to use specific network
 services.  Listing these groups of allowed hosts and allowed services is all
 what's needed to configure your box.
 .
 Main difference with other firewall setup tools: uruk is just a very small
 (just 14K!) shell script, no gui, no interactive setup, no default
 configuration.  You'll like this if you'd rather not have lots of (probably
 buggy) code between you and your filtering rules.
Homepage: http://mdcc.cx/uruk/
Tag: implemented-in::shell, network::firewall, role::program,
 security::firewall
Section: net
Priority: optional
Filename: pool/main/u/uruk/uruk_20120608.1-1_all.deb

Package: usb-modeswitch
Version: 1.2.3+repack0-1
Architecture: armhf
Maintainer: Didier Raboud 
Installed-Size: 159
Pre-Depends: dpkg (>= 1.15.7.2)
Depends: libc6 (>= 2.4), libjim0debian2 (>= 0.72), libusb-0.1-4 (>= 2:0.1.12), usb-modeswitch-data (>= 20110227-1~)
Suggests: comgt, wvdial
Breaks: usb-modeswitch-data (<< 20100127)
Homepage: http://www.draisberghof.de/usb_modeswitch/
Priority: extra
Section: comm
Filename: pool/main/u/usb-modeswitch/usb-modeswitch_1.2.3+repack0-1_armhf.deb
Size: 50960
SHA256: 0eac421ed43da00f14e1982b43244777178e684765fb49ec3100f523afed0546
SHA1: 8569f425c261dad07a1d8725a45ba63d35d52b61
MD5sum: f60aba35f91ba943d43498cdc8abd2db
Description: mode switching tool for controlling "flip flop" USB devices
 Several new USB devices have their proprietary Windows drivers onboard,
 especially WAN dongles. When plugged in for the first time, they act
 like a flash storage and start installing the driver from there. If
 the driver is already installed, the storage device vanishes and
 a new device, such as an USB modem, shows up. This is called the
 "ZeroCD" feature.
 .
 On Debian, this is not needed, since the driver is included as a
 Linux kernel module, such as "usbserial". However, the device still
 shows up as "usb-storage" by default. usb-modeswitch solves that
 issue by sending the command which actually performs the switching
 of the device from "usb-storage" to "usbserial".
 .
 This package contains the binaries and the brother scripts.

Package: usb-modeswitch-data
Version: 20120815-2
Installed-Size: 155
Maintainer: Didier Raboud 
Architecture: all
Replaces: usb-modeswitch (<< 1.0.7-1), usb-modeswitch-data-packed
Provides: usb-modeswitch-data-packed
Pre-Depends: dpkg (>= 1.15.7.2)
Recommends: usb-modeswitch (>= 1.2.1), udev (>= 0.140)
Conflicts: usb-modeswitch-data-packed
Breaks: usb-modeswitch (<< 1.2.1)
Size: 33152
SHA256: 40c8a4e5f38aaa7a213fd3ffae9f1c19383be99e22ecb0a12975a7c010f76ff8
SHA1: a990438869ba40634461ff6bbad5734512ceff34
MD5sum: ea283fd171c67bf9a6aa06ede472a233
Description: mode switching data for usb-modeswitch
 Several new USB devices have their proprietary Windows drivers onboard,
 especially WAN dongles. When plugged in for the first time, they act
 like a flash storage and start installing the driver from there. If
 the driver is already installed, the storage device vanishes and
 a new device, such as an USB modem, shows up. This is called the
 "ZeroCD" feature.
 .
 On Debian, this is not needed, since the driver is included as a
 Linux kernel module, such as "usbserial". However, the device still
 shows up as "usb-storage" by default. usb-modeswitch solves that
 issue by sending the command which actually performs the switching
 of the device from "usb-storage" to "usbserial".
 .
 This package contains the commands data needed for usb-modeswitch.
Homepage: http://www.draisberghof.de/usb_modeswitch/
Tag: role::app-data
Section: comm
Priority: extra
Filename: pool/main/u/usb-modeswitch-data/usb-modeswitch-data_20120815-2_all.deb

Package: usbip
Source: linux-tools (3.2.101-1)
Version: 1.1.1+3.2.101-1
Architecture: armhf
Maintainer: Debian Kernel Team 
Installed-Size: 138
Depends: usbutils, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libsysfs2, libwrap0 (>= 7.6-4~)
Priority: optional
Section: admin
Filename: pool/main/l/linux-tools/usbip_1.1.1+3.2.101-1_armhf.deb
Size: 49300
SHA256: fecfb0c20fc84e609fcacbdf683948479fe535ebffeef11456c1917c6323b389
SHA1: fe6550a0c3098ff1510249d72e9f4b19bb899b47
MD5sum: 53566f64d226a402b61c8256e3c247c1
Description: USB device sharing system over IP network
 USB/IP is a system for sharing USB devices over the network.
 .
 To share USB devices between computers with their full functionality,
 USB/IP encapsulates "USB requests" into IP packets and transmits them
 between computers.
 .
 Original USB device drivers and applications can be used for remote USB
 devices without any modification of them.  A computer can use remote USB
 devices as if they were directly attached.
 .
 Currently USB/IP provides no access control or encryption.  It should only
 be used in trusted environments.
 .
 This package provides the server component 'usbipd' and the client tool
 'usbip'.

Package: usbmount
Version: 0.0.22
Installed-Size: 116
Maintainer: Rogério Brito 
Architecture: all
Depends: lockfile-progs, udev, util-linux (>> 2.16)
Recommends: pmount
Size: 17666
SHA256: 764462e65a544fc6a03ca4f90157898a4f289c3f3bea866c5b739ff9184d6c93
SHA1: 9a4d4d88ac7f609a27db15235bed605f3f6dadc1
MD5sum: 1d62c98011ac6452d1e9b3be7b56b272
Description: automatically mount and unmount USB mass storage devices
 This package automatically mounts USB mass storage devices (typically
 USB pens) when they are plugged in, and unmounts them when they are
 removed. The mountpoints (/media/usb[0-7] by default), filesystem types
 to consider, and mount options are configurable. When multiple devices
 are plugged in, the first available mountpoint is automatically
 selected. If the device provides a model name, a symbolic link
 /var/run/usbmount/MODELNAME pointing to the mountpoint is automatically
 created.
 .
 The script that does the mounting is called by the udev daemon.
 Therefore, USBmount requires a 2.6 (or newer) Linux kernel.
 .
 Firewire devices are also supported by USBmount.
 .
 USBmount is intended as a lightweight solution which is independent of
 a desktop environment. Users which would like an icon to appear when an
 USB device is plugged in should use the pmount and hal packages
 instead.
Homepage: http://usbmount.alioth.debian.org/
Tag: admin::filesystem, hardware::storage, hardware::usb, interface::daemon,
 role::program, scope::utility, use::configuring
Section: admin
Priority: extra
Filename: pool/main/u/usbmount/usbmount_0.0.22_all.deb

Package: usbmuxd
Version: 1.0.7-2
Architecture: armhf
Maintainer: gtkpod Maintainers 
Installed-Size: 115
Depends: libc6 (>= 2.13-28), libplist1 (>= 0.16), libusb-1.0-0 (>= 2:1.0.8), libusbmuxd1 (>= 1.0.0), adduser
Homepage: http://marcansoft.com/blog/iphonelinux/usbmuxd/
Priority: optional
Section: utils
Filename: pool/main/u/usbmuxd/usbmuxd_1.0.7-2_armhf.deb
Size: 36664
SHA256: 7484cc7bf5809b14bc191f864e27bc54f51f147075a2cb7ac5400e90f9e87467
SHA1: 96ef80e73f0035df93be7c4d9a53444f5c8b80aa
MD5sum: 40b39e3a66406e724bd4f19a8228cc55
Description: USB multiplexor daemon for iPhone and iPod Touch devices
 usbmuxd, the USB multiplexor daemon, is in charge of coordinating
 access to iPhone and iPod Touch services over USB. Synchronization and
 management applications for the iPhone and iPod Touch need this daemon
 to communicate with such devices concurrently.
 .
 This package includes udev rules to start the daemon when a supported
 device is plugged in, and stop it when all devices are removed.

Package: usbprog
Version: 0.2.0-2
Architecture: armhf
Maintainer: Uwe Hermann 
Installed-Size: 170
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libreadline6 (>= 6.0), libstdc++6 (>= 4.4.0), libusbprog0
Homepage: http://developer.berlios.de/projects/usbprog/
Priority: extra
Section: embedded
Filename: pool/main/u/usbprog/usbprog_0.2.0-2_armhf.deb
Size: 59804
SHA256: 676be79e2164db45c83d2f6949a09d8bcf037c5f5bf58bfd9819dd1f10922e6f
SHA1: 3c0bb218d4af0fc6d5d546bd6447fd400c14604a
MD5sum: c8d2078bbf3e0605856ffc209c0b7bf6
Description: Firmware programming tool for the USBprog hardware
 A programming tool needed to replace the firmware on the USBprog
 hardware. It can automatically retrieve a list of available firmwares from
 the Internet, download one of them and upload it to the USBprog adapter.
 .
 USBprog is a free programming adapter. You can easily install different
 firmware versions from an "online pool" over USB. The adapter can be used
 for programming and debugging AVR and ARM processors, as USB-to-RS232
 converter, as JTAG interface or as simple I/O interface (5 lines).
 .
 This package contains the usbprog command line tool.

Package: usbprog-gui
Source: usbprog
Version: 0.2.0-2
Architecture: armhf
Maintainer: Uwe Hermann 
Installed-Size: 241
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libusbprog0, libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1)
Homepage: http://developer.berlios.de/projects/usbprog/
Priority: extra
Section: embedded
Filename: pool/main/u/usbprog/usbprog-gui_0.2.0-2_armhf.deb
Size: 76918
SHA256: 3a90cc9a9418a43f5d0c5283c2a6f4bfba9cc0fcc80ad5c32876ad4ace391ec7
SHA1: 177a48ec12cd9e9d2a41ecb715150b5a79e89fd0
MD5sum: 79cc62c09056796727279b4dd8acb581
Description: GUI firmware programming tool for the USBprog hardware
 A programming tool needed to replace the firmware on the USBprog
 hardware. It can automatically retrieve a list of available firmwares from
 the Internet, download one of them and upload it to the USBprog adapter.
 .
 USBprog is a free programming adapter. You can easily install different
 firmware versions from an "online pool" over USB. The adapter can be used
 for programming and debugging AVR and ARM processors, as USB-to-RS232
 converter, as JTAG interface or as simple I/O interface (5 lines).
 .
 This package contains a GUI for the usbprog tool.

Package: usbredirserver
Source: usbredir
Version: 0.4.3-2
Architecture: armhf
Maintainer: Liang Guo 
Installed-Size: 54
Depends: libc6 (>= 2.13-28), libusb-1.0-0 (>= 2:1.0.8), libusbredirhost1 (>= 0.4.3), libusbredirparser0 (>= 0.4.3)
Homepage: http://www.spice-space.org/
Priority: optional
Section: otherosfs
Filename: pool/main/u/usbredir/usbredirserver_0.4.3-2_armhf.deb
Size: 9730
SHA256: 2169feac4580bb47baab8af966aa074c8aac8c6436c99723d1e04ce3afdd6c5e
SHA1: 35448fa43e22dc98aafbcb695a840416b4b77341
MD5sum: 9af5cabc34e092eae08ef06e23087129
Description: Simple USB host TCP server
 usbredirserver is a small standalone server for exporting an USB device for
 use from another (virtual) machine through the usbredir protocol.

Package: usbutils
Version: 1:005-3
Architecture: armhf
Maintainer: Aurelien Jarno 
Installed-Size: 677
Depends: libc6 (>= 2.13-28), libusb-1.0-0 (>= 2:1.0.8), zlib1g (>= 1:1.2.3.3)
Suggests: wget (>= 1.8.1-6) | lynx (>= 2.8.4.1b-3)
Priority: optional
Section: utils
Filename: pool/main/u/usbutils/usbutils_005-3_armhf.deb
Size: 222692
SHA256: 6fdb6af638ab56270881db7eca1869e25a70ce107e38ae61f876ab18d50c1486
SHA1: 29c0eb17d5b5707398fa6d4850b9cda74a817d06
MD5sum: 16f6060c3bb8a055fb58dfc34254dc27
Description: Linux USB utilities
 This package contains the lsusb utility for inspecting the devices
 connected to the USB bus. It shows a graphical representation of the
 devices that are currently plugged in, showing the topology of the
 USB bus. It also displays information on each individual device on
 the bus.
 .
 More information can be found at the Linux USB web site
 http://www.linux-usb.org/ .

Package: usbview
Version: 1.1-1
Architecture: armhf
Maintainer: Mark Brown 
Installed-Size: 633
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0)
Suggests: usbutils
Homepage: http://www.kroah.com/linux-usb/
Priority: optional
Section: x11
Filename: pool/main/u/usbview/usbview_1.1-1_armhf.deb
Size: 206972
SHA256: faf1f2b407b5c879e169597fb2449db083b209c0d7d5afc6055597ed5b556b89
SHA1: e704e28e716b7e4d87b3c33cd353f826aa5cc6c7
MD5sum: 2d97d957a4c90623e066bf3a23468c20
Description: USB device viewer
 USBView is a small GTK+ application to show what the device tree of
 the USB bus looks like. It shows a graphical representation of the
 devices that are currently plugged in, showing the topology of the
 USB bus. It also displays information on each individual device on
 the bus.

Package: usemod-wiki
Version: 1.0.5-1+deb7u1
Installed-Size: 264
Maintainer: Christoph Berg 
Architecture: all
Depends: apache2 | httpd, perl
Suggests: default-mta | mail-transport-agent
Size: 64842
SHA256: ea927ce090d40c8d6dd16c5c0051a40259a6abec1d3b886ac967dd5f64124f34
SHA1: ad88fda29ae768df474bd6412dd17489a784fadc
MD5sum: 16469424f25edaa3ec8ed5eb273fb07d
Description: Perl-based Wiki clone
 UseMod is a reimplementation/clone of the original Wiki concept
 created by Ward Cunningham.  A "wiki" is a website that is
 collaboratively edited by its users, including the ability to alter
 text written by other users.
 .
 UseMod provides common wiki features as well as unique features:
 recent changes, conflict resolution, difference links, subpages,
 interwiki links, full text searching, free links (for free-form wiki
 names), administrative features.
Homepage: http://www.usemod.com/cgi-bin/wiki.pl?UseModWiki
Tag: implemented-in::perl, interface::web, network::server, protocol::http,
 role::program, web::wiki
Section: web
Priority: optional
Filename: pool/main/u/usemod-wiki/usemod-wiki_1.0.5-1+deb7u1_all.deb

Package: usepackage
Version: 1.8-1
Architecture: armhf
Maintainer: Miguelangel Jose Freitas Loreto 
Installed-Size: 98
Depends: libc6 (>= 2.4)
Homepage: http://sourceforge.net/projects/usepackage/
Priority: extra
Section: utils
Filename: pool/main/u/usepackage/usepackage_1.8-1_armhf.deb
Size: 29216
SHA256: 5f19e428bc6c9e770cf05426d86e65ebe38ebc0b5d9c58fb4523e77ffd8ed9df
SHA1: 35336ce99a2f1aff40d0599422073e772cca22e2
MD5sum: 450c77119738d11e9e74717ca16dd9d8
Description: utility to manage environment variables from within dotfiles
 Usepackage is a tool for managing the Unix environment for a multi-tool,
 multi-platform site. It is designed to simplify login scripts and allow
 administrators to control users' environments centrally. This tool should be
 considered primarily designed for sites where users have to deal with a number
 of different tools, or versions of tools, that are installed in different
 locations and require environment variables to be set. This is particularly a
 problem for developers, or anyone who has to manage a large toolset across
 multiple platforms (e.g. different versions of the Sun JDK which require
 various environment variables to be set correctly). One or more central
 configuration files control a number of different "packages" and their
 associated environment and dependencies for different platforms (this includes
 the standard PATH, MANPATH, and LD_LIBRARY_PATH variables). A single user
 command, use, can be invoked to source the appropriate environment information
 into the users' current shell.

Package: user-he
Version: 1.0.20
Installed-Size: 60
Maintainer: Debian Hebrew Packaging Team 
Architecture: all
Depends: aspell-he, bidiv, culmus, ttf-freefont, locales, hspell
Recommends: hdate-applet, hspell-gui, kde-i18n-he, mlterm, myspell-he, libreoffice-l10n-he, culmus-fancy, icedove-bidiui, icedove-l10n-he, iceweasel-l10n-he
Suggests: hocr-gtk, katoob, ttf-liberation | ttf-mscorefonts-installer, ttf-dejavu, ttf-sil-ezra
Size: 7346
SHA256: da413ec882875f119a17f101e576936ea698e8ac581a85b94d23d56498c7a681
SHA1: 7c5862075e5625dc7a77f142bbb9067e5107bc3b
MD5sum: a7651a2fbb038942abb52dc349aacee6
Description: Hebrew support for Debian based systems
 This metapackage will install Hebrew related Debian
 packages for use by Hebrew speaking Debian users.
 .
 It also includes the script 'hebrew-settings' to reconfigure
 the system to have a fully Hebrew-ized system.
Homepage: http://debian-hebrew.alioth.debian.org/
Tag: culture::hebrew, use::configuring
Section: misc
Priority: optional
Filename: pool/main/u/user-he/user-he_1.0.20_all.deb

Package: user-mode-linux-doc
Version: 20060501-1
Installed-Size: 2200
Maintainer: User Mode Linux Maintainers 
Architecture: all
Size: 710942
SHA256: 045c99225e9c957dc9de7154dc3ed9c9f2e7069dea7bc348b42bb69e6c46cda5
SHA1: 1b0df90263bf2fc1e449cb8f701aafd3cad0b24e
MD5sum: 02442cfbdcc6819d83cee5115382879c
Description: User-mode Linux (Documentation)
 Additional documentation for the user-mode-linux package,
 it consists of a copy of the useful web pages of
 http://user-mode-linux.sourceforge.net/
Tag: admin::virtualization, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/u/user-mode-linux-doc/user-mode-linux-doc_20060501-1_all.deb

Package: user-setup
Version: 1.48
Installed-Size: 475
Maintainer: Debian Install System Team 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, passwd, adduser
Size: 170490
SHA256: f08e567edd15c3ac708db5b0fa50ff143518536c45bb158db05f6dc3f6beadd9
SHA1: 529a604a97723ed08d9250861ef5877c748b9972
MD5sum: 86bb1c7b8bf6f20337c6f158af6fc826
Description: Set up initial user and password
 This package creates an initial user, using the same code as is
 responsible for creating the initial user in the installer. It is only
 likely to be of any use if your system has no non-system users at all.
Tag: admin::user-management, implemented-in::shell, interface::text-mode,
 interface::x11, role::program, scope::utility, suite::debian
Section: admin
Priority: extra
Filename: pool/main/u/user-setup/user-setup_1.48_all.deb

Package: userinfo
Version: 2.2-3
Architecture: armhf
Maintainer: Alexander Reichle-Schmehl 
Installed-Size: 99
Depends: libc6 (>= 2.7)
Homepage: http://bjk.sourceforge.net/userinfo/
Priority: optional
Section: admin
Filename: pool/main/u/userinfo/userinfo_2.2-3_armhf.deb
Size: 31386
SHA256: 327afe7cf1f04d5b89bb061c9b8a1445b965208ebc364d20d23980de6788fb88
SHA1: 547aa380dc030a7c681871cb4c3c0a92812c1fc3
MD5sum: 84f44cca13e3c1bd6ef0a58b1de03d73
Description: display information about local users
 Userinfo is a small console utility to display as much information
 about a local user as possible. It supports the output of password
 file info, home directory mode, mail info, lastlog info and more.
 .
 After installation you can invoke userinfo by calling ui.

Package: usermode
Version: 1.109-1+deb7u2
Architecture: armhf
Maintainer: Khalid El Fathi 
Installed-Size: 926
Depends: libatk1.0-0 (>= 1.12.4), libblkid1 (>= 2.16), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpam0g (>= 0.99.7.1), libpango1.0-0 (>= 1.14.0), libuser1
Homepage: https://fedorahosted.org/usermode/
Priority: optional
Section: x11
Filename: pool/main/u/usermode/usermode_1.109-1+deb7u2_armhf.deb
Size: 252798
SHA256: 80b5992ed1dd9777ab2f473fde1abce5c79dcd99a26058daea09ba89d73392ba
SHA1: f45a08f1bac69df96efb1f9c8befddb4dae6b0e4
MD5sum: 4b02f4843637731e6ca609c8d50a55ef
Description: Graphical tools for certain user account management tasks
 The usermode package contains several graphical tools for users:
 userinfo, usermount and userpasswd.  Userinfo allows users to change
 their finger information.  Usermount lets users mount, unmount, and
 format filesystems.  Userpasswd allows users to change their
 passwords.
 .
 Install the usermode package if you would like to provide users with
 graphical tools for certain account management tasks.

Package: userv
Version: 1.1.1
Architecture: armhf
Maintainer: Ian Jackson 
Installed-Size: 464
Depends: libc6 (>= 2.13-28)
Priority: optional
Section: admin
Filename: pool/main/u/userv/userv_1.1.1_armhf.deb
Size: 263000
SHA256: 6e8f1b15a2137efff348914121967a3ef801b103732d00956cc99793cbb9e885
SHA1: 4cfe7d23ae10f261b2354a5b803b572451208bcf
MD5sum: c1de2aeb2c66bf882f42f47438c1ee3b
Description: `user services' - program call across trust boundaries
 userv allows one program to invoke another when only limited trust
 exists between them.  It is a tool which can be used to avoid having
 to give other system services root privilege, and which allows users
 to more securely have programs provide services to others.
 .
 userv can be useful as `glue' for system administrators; there are
 not many full-blown userv-using applications yet.

Package: ussp-push
Version: 0.11-1
Architecture: armhf
Maintainer: Hendrik Sattler 
Installed-Size: 58
Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libopenobex1
Priority: optional
Section: comm
Filename: pool/main/u/ussp-push/ussp-push_0.11-1_armhf.deb
Size: 14096
SHA256: 78f210534aadd49b16ccdbfa3a6fd50be6bb47d75feeb4be09d55af304fae3aa
SHA1: f9ab280eb551d2087f833e14e5e4cfc8ff4a7246
MD5sum: ff1452708362ddd6b1cb0133eb74319d
Description: Client for OBEX PUSH
 This program allows you to send objects using the OBEX PUSH protocol.
 .
 The OBEX PUSH protocol is used to transfer files to a mobile device,
 generally via Bluetooth or IrDA. The protocol does not allow any other
 action than sending and generally requires less strict authentication,
 which is why it is sometimes preferred to the OBEX FTP protocol (which
 allows full filesystem access and is provided by the obexftp package).

Package: utalk
Version: 1.0.1.beta-7
Architecture: armhf
Maintainer: Radovan Garabík 
Installed-Size: 118
Depends: libc6 (>= 2.4), libtinfo5
Suggests: talkd
Priority: optional
Section: net
Filename: pool/main/u/utalk/utalk_1.0.1.beta-7_armhf.deb
Size: 50604
SHA256: d68c778227d4914056ac72940dd5c80af4ee098d83bee5bebf632f721c03aa35
SHA1: 1046baa5ac1cd7ea6fae89bca010074dbd6da9a8
MD5sum: b9be59e47e961a3aefe60a9fcc0584c4
Description: talk-like program with additional features
 utalk uses a protocol, based on UDP, which ensures a quick response
 even over links with high packet loss, allows editing of previously
 typed text, including scrollback, deletion and overstrike, and
 provides some additional features. It is not compatible with BSD talk
 program.

Package: utf8-migration-tool
Version: 0.5.7
Installed-Size: 149
Maintainer: Debian QA Group 
Architecture: all
Depends: python-gtk2 (>= 2.4.0), python-glade2, python, python-support (>= 0.90.0)
Recommends: x-display-manager
Size: 19474
SHA256: 7504fa4489587e0ae068f40d73b38bbce70ef120659f0f4ecd28d5737d0fda96
SHA1: 87d3585dc326cb3559f25c83516785ab4c45f60c
MD5sum: 62616f149f6bd21e5ec978de031a55b1
Description: Debian UTF-8 migration wizard
 This wizard upgrades legacy system locales to their UTF-8
 equivalent. It also informs users whenever files in their
 home directory still utilize legacy encodings.
Tag: devel::lang:python, implemented-in::python, interface::commandline,
 interface::x11, role::program, scope::utility, uitoolkit::gtk,
 use::converting, works-with::text, works-with::unicode,
 x11::application
Section: misc
Priority: optional
Filename: pool/main/u/utf8-migration-tool/utf8-migration-tool_0.5.7_all.deb

Package: uthash-dev
Source: uthash
Version: 1.9.5-1
Installed-Size: 752
Maintainer: Bastian Blywis 
Architecture: all
Size: 375286
SHA256: 7f06058d137dcf61d79ddd123dbcee8c734ee80d53761c18ecd859a35220f0c1
SHA1: 636e946854c7c64cad52044549753023781bf7da
MD5sum: 239184d61129d7e891145f4b1a9c8a53
Description: Hash table and linked list for C structures
 This package provides uthash and utlist, C preprocessor
 implementations of a hash table and a linked list. It is
 a dev package without a source or binary package as there
 are only header files. Since version 1.9 uthash includes
 also macros for dynamic arrays and strings.
Homepage: http://uthash.sourceforge.net
Section: devel
Priority: extra
Filename: pool/main/u/uthash/uthash-dev_1.9.5-1_all.deb

Package: util-linux
Version: 2.20.1-5.3
Architecture: armhf
Essential: yes
Maintainer: LaMont Jones 
Installed-Size: 1465
Pre-Depends: libblkid1 (>= 2.20.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libselinux1 (>= 1.32), libslang2 (>= 2.2.4), libtinfo5, libuuid1 (>= 2.16), zlib1g (>= 1:1.1.4)
Depends: lsb-base (>= 3.0-6), tzdata (>= 2006c-2), initscripts, dpkg (>= 1.15.4) | install-info, debconf (>= 0.5) | debconf-2.0
Suggests: util-linux-locales, kbd | console-tools, dosfstools
Conflicts: console-tools (<< 1:0.2.3-21), fdisk, fstrim, kbd (<< 1.05-3), linux32, schedutils, setterm
Replaces: e2fsprogs, fdisk, fstrim, linux32, miscutils, schedutils, setterm, sparc-utils
Provides: linux32, schedutils
Multi-Arch: foreign
Homepage: http://userweb.kernel.org/~kzak/util-linux/
Priority: required
Section: utils
Filename: pool/main/u/util-linux/util-linux_2.20.1-5.3_armhf.deb
Size: 668542
SHA256: 185cd2b48b9954774db8e22e34c1dc5246e99b3709e07e88e3c408a5a5661202
SHA1: e65680c306ac30b475b910ee7529fdcb7b96dd51
MD5sum: 8289f7cbe885f480b5c31093cc975278
Description: Miscellaneous system utilities
 This package contains a number of important utilities, most of which
 are oriented towards maintenance of your system.  Some of the more
 important utilities included in this package allow you to partition
 your hard disk, view kernel messages, and create new filesystems.

Package: util-linux-locales
Source: util-linux
Version: 2.20.1-5.3
Installed-Size: 4294
Maintainer: LaMont Jones 
Architecture: all
Replaces: util-linux (<< 2.11b)
Depends: util-linux (>= 2.20.1-0), util-linux (<< 2.20.1.0-0)
Size: 1533554
SHA256: b6f9256fea2f9ef81be2a5fdc3c6fe02401b848f4fc728cf42208def44623df2
SHA1: c1dbbbf6f21d18e67246e5eb7c74eb98865c97d0
MD5sum: 99a7743c4f038d9649879ad236a3fadd
Description: Locales files for util-linux
 This package contains the internationalization files of for the util-linux
 package.
 .
 They are needed when you want the programs in util-linux to print their
 messages in other languages than English.
Homepage: http://userweb.kernel.org/~kzak/util-linux/
Tag: culture::brazilian, culture::catalan, culture::danish,
 culture::norwegian, culture::spanish, role::app-data
Section: utils
Priority: optional
Filename: pool/main/u/util-linux/util-linux-locales_2.20.1-5.3_all.deb

Package: util-vserver
Version: 0.30.216-pre2864-2.1
Architecture: armhf
Maintainer: Micah Anderson 
Installed-Size: 2049
Depends: debconf (>= 0.5) | debconf-2.0, libbeecrypt7, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), iproute, net-tools, make, debconf, util-linux (>= 2.13.1.1-1)
Recommends: binutils, debootstrap
Suggests: linux-image-2.6 (>= 2.6.16-17), vlan, iptables, wget, procps, module-init-tools, yum
Conflicts: vpopmail-bin, vserver
Replaces: vserver
Homepage: http://savannah.nongnu.org/projects/util-vserver/
Priority: optional
Section: net
Filename: pool/main/u/util-vserver/util-vserver_0.30.216-pre2864-2.1_armhf.deb
Size: 553704
SHA256: 62355f787b126f2f03fac8ed22e7c3118e89881030cebdbe9710a79f88d2019c
SHA1: 761356aefbc14efe61a5ec0f5f3a4a08051f611d
MD5sum: 94d86eb150e22e13879de63100b4b3ba
Description: user-space tools for Linux-VServer virtual private servers
 The util-vserver project provides the user-space tools for creating and
 managing the virtualization technology known as Linux-Vserver guests.
 Linux-Vserver guests are a significantly advanced jail mechanism running
 inside a host GNU-Linux server. Linux-Vserver guests can be used to
 securely partition resources such as processes, memory, network; logically
 separate services or run entirely different distributions all on a single
 system. Virtual private servers are separated from each other through security
 contexts, and as a result are completely unaware of each other, or of the
 host itself.
 .
 http://linux-vserver.org/
 http://ftp.linux-vserver.org/pub/utils/util-vserver/

Package: uuagc
Version: 0.9.40.3-2
Architecture: armhf
Maintainer: Debian Haskell Group 
Installed-Size: 19047
Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10
Homepage: http://www.cs.uu.nl/wiki/HUT/AttributeGrammarSystem
Priority: optional
Section: devel
Filename: pool/main/u/uuagc/uuagc_0.9.40.3-2_armhf.deb
Size: 3846980
SHA256: b27b3ffeb1a5eedde649c1e379975876b2f15ec862b010eedc205abd4eebb308
SHA1: 53ebb081719fe5832cf35b01b6d4a8376a220020
MD5sum: 32dc9d87d04680f8d7a1a3e656017f06
Description: compiler for the Utrecht University Attribute Grammar system
 The Attribute Grammar system can be used to annotate the production
 rules of a grammar with inherited and synthesised attributes. The
 values of the attributes can be determined while parsing/traversing
 the abstract syntax tree and will give the semantic value of the tree.
 .
 The inherited attributes are values which are passed downwards through
 the abstract syntax tree and the synthesised attributes are passed
 upwards. Haskell expressions are used to describe the computation of
 the attributes.
 .
 The uuagc compiler takes a set files in which the attribute grammar
 is defined and generates a Haskell file that contains the data type
 definitions corresponding to the grammar, semantic functions and
 catamorphisms (functions that take a syntax tree and return the
 semantic value of that tree)
 .
 The (Utrecht University) Attribute Grammar system is part of the
 Haskell Utrecht Tools set.

Package: uucp
Version: 1.07-20
Architecture: armhf
Maintainer: Richard A Nelson (Rick) 
Installed-Size: 1415
Depends: libc6 (>= 2.7), libpam0g (>= 0.99.7.1), cron (>= 3.0pl1-42), mailx, logrotate, libpam-runtime (>= 0.76-13.1), cu (= 1.07-20), netbase, openbsd-inetd | inet-superserver
Recommends: postfix | exim4 | exim | smail | courier-mta | zmailer | rmail
Conflicts: tua (<< 4.3-3)
Priority: extra
Section: comm
Filename: pool/main/u/uucp/uucp_1.07-20_armhf.deb
Size: 867828
SHA256: da759fdc4595125ce245038c2982846e968a874f6789c0675b506ec4086c43e5
SHA1: e2e64951bfe44d5527b02d1a977a10b118705392
MD5sum: 0a2ec320b8545ed5c1bacb08a6fa8cf3
Description: Unix to Unix Copy Program
 UUCP will copy files to or from another (usually *nix) system, which
 also runs uucp.
 .
 UUCP is used to transfer mail, news and random files between systems
 which are not connected by more modern networks.  The communication can
 be made via modems, direct (hard-wired) serial connections or via an IP
 connection.

Package: uucpsend
Version: 1.1-4
Architecture: armhf
Maintainer: Martin Schulze 
Installed-Size: 77
Depends: libc6 (>= 2.7), inn, uucp
Conflicts: inn2
Priority: extra
Section: news
Filename: pool/main/u/uucpsend/uucpsend_1.1-4_armhf.deb
Size: 14844
SHA256: d21cd0f785e70a8ba1dadf2700fc809a64a5cfc03d2ec8078faa0569743d199f
SHA1: ea2964e380d83abe4713305f1f541ef5e152784f
MD5sum: 19f6d815a2efe2f16d338e6690de0795
Description: Alternative Frontend for UUCP Batching with INN
 This package provides some neat features to do UUCP batching.
 Partially it is logically based on send-uucp and nntpsend which were
 included in early versions of INN.
 .
 It is tested with INN 1 and may require tweaking with INN 2.

Package: uudeview
Version: 0.5.20-3.3
Architecture: armhf
Maintainer: Chris Hanson 
Installed-Size: 112
Depends: libc6 (>= 2.13-28), libuu0
Suggests: exim4 | mail-transport-agent, inews, xdeview
Priority: optional
Section: utils
Filename: pool/main/u/uudeview/uudeview_0.5.20-3.3_armhf.deb
Size: 51294
SHA256: a3b72602bdf7f0b00d08046076be87daee1a092d08b707c6f103ebfcc2338232
SHA1: db4ccda5815e4ec482122887f17dbf0d0109c181
MD5sum: b5f51aa9f43385cc6ccff76493622391
Description: Smart multi-file multi-part decoder (command line)
 This is a command-line decoder and encoder for files encoded with the
 following formats: uuencode, xxencode, BASE64, quoted printable, and
 BinHex.
 .
 This package used to contain an X11-based GUI decoder called xdeview.
 That program is now in the xdeview package.

Package: uuid
Source: ossp-uuid
Version: 1.6.2-1.3
Architecture: armhf
Maintainer: Marco Nenciarini 
Installed-Size: 60
Depends: libc6 (>= 2.4), libossp-uuid16
Conflicts: libossp-uuid11
Homepage: http://www.ossp.org/pkg/lib/uuid/
Priority: optional
Section: utils
Filename: pool/main/o/ossp-uuid/uuid_1.6.2-1.3_armhf.deb
Size: 20910
SHA256: 09eb40a842f9e32c10120490dbb06cd4f01add3ee2c70449309cf1fc091af347
SHA1: 87c9ddb11a8ff61bd0c93a933da64edfd7d2cbee
MD5sum: 98dbcf92edd5c2d14bf50f693d404486
Description: the Universally Unique Identifier Command-Line Tool
 OSSP uuid is an ISO-C and Perl application programming interface (API)
 and corresponding command line interface (CLI) for the generation of
 DCE 1.1 and ISO/IEC 11578:1996 compliant Universally Unique Identifier
 (UUID). It supports DCE 1.1 variant UUIDs of version 1 (time and node
 based), version 3 (name based) and version 4 (random number based).
 .
 UUIDs are 128 bit numbers which are intended to have a high likelihood
 of uniqueness over space and time and are computationally difficult
 to guess. They are globally unique identifiers which can be locally
 generated without contacting a global registration authority. UUIDs
 are intended as unique identifiers for both mass tagging objects
 with an extremely short lifetime and to reliably identifying very
 persistent objects across a network.
 .
 This package contains the Universally Unique Identifier Command-Line Tool.

Package: uuid-dev
Source: util-linux
Version: 2.20.1-5.3
Architecture: armhf
Maintainer: LaMont Jones 
Installed-Size: 135
Depends: libc6-dev | libc-dev, libuuid1 (= 2.20.1-5.3)
Replaces: e2fslibs-dev (<< 1.15)
Homepage: http://userweb.kernel.org/~kzak/util-linux/
Priority: extra
Section: libdevel
Filename: pool/main/u/util-linux/uuid-dev_2.20.1-5.3_armhf.deb
Size: 71846
SHA256: d3a89dcf6547053fd0abaac430c1f56cec439ca65f2edc51b3b12f09c817005c
SHA1: 068fdd39108f95fcb74c45afba7026641bc8494d
MD5sum: ab4155a924de3bddd108c7939cc98500
Description: universally unique id library - headers and static libraries
 libuuid generates and parses 128-bit universally unique ids (UUIDs).
 See RFC 4122 for more information.
 .
 This package contains the development environment for the uuid library.

Package: uuid-runtime
Source: util-linux
Version: 2.20.1-5.3
Architecture: armhf
Maintainer: LaMont Jones 
Installed-Size: 120
Depends: passwd, libuuid1 (>= 2.20.1), libc6 (>= 2.13-28)
Replaces: e2fsprogs (<= 1.40.3-1ubuntu1)
Multi-Arch: foreign
Homepage: http://userweb.kernel.org/~kzak/util-linux/
Priority: optional
Section: libs
Filename: pool/main/u/util-linux/uuid-runtime_2.20.1-5.3_armhf.deb
Size: 60066
SHA256: 2f6968cb1903b568c19871ee862ecdac4789395f008d7e9efc582c6edf53f7c6
SHA1: 0062fcf7159c8df2b1e59df225595e79e57b74fb
MD5sum: 492607b465e6c3efd53b6f1a48830143
Description: runtime components for the Universally Unique ID library
 The libuuid library generates and parses 128-bit universally unique
 ids (UUIDs).  A UUID is an identifier that is unique across both
 space and time, with respect to the space of all UUIDs.  A UUID can
 be used for multiple purposes, from tagging objects with an extremely
 short lifetime, to reliably identifying very persistent objects
 across a network.
 .
 See RFC 4122 for more information.
 .
 This package contains the uuidgen program and the uuidd daemon.
 .
 The uuidd daemon is used to generate UUIDs, especially time-based
 UUID’s, in a secure and guaranteed-unique fashion, even in the face
 of large numbers of threads trying to grab UUID’s running on
 different CPU’s. It is used by libuuid as well as the uuidgen
 program.

Package: uuidcdef
Source: xplc
Version: 0.3.13-3
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 79
Depends: libc6 (>= 2.13-28), libuuid1 (>= 2.16)
Homepage: http://xplc.sourceforge.net/
Priority: optional
Section: devel
Filename: pool/main/x/xplc/uuidcdef_0.3.13-3_armhf.deb
Size: 36502
SHA256: 4a24415032bdd4edb14080a62ad40287f5cb671e9194b0d9e22b8072173681bb
SHA1: f1fb8ba5e42d0415e643157435ec00093d4b5e25
MD5sum: 6d35446e9ab1bfd3ce794e00eddfdb19
Description: Universally Unique Identifier (UUID) generator
 This utility generates univerally unique identifiers (UUIDs).  It also
 manages to print them out in OSF form, or as a C structure when handling
 them in programs, as is the case for an XPLC-enabled library.

Package: uvccapture
Version: 0.5-2
Architecture: armhf
Maintainer: Andrew Starr-Bochicchio 
Installed-Size: 57
Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c)
Homepage: http://linux-uvc.berlios.de/
Priority: optional
Section: graphics
Filename: pool/main/u/uvccapture/uvccapture_0.5-2_armhf.deb
Size: 13260
SHA256: 3f4db3997ef1802d1706f119b5bb7d43ef260408afc6d648b5c349ff22ba9c72
SHA1: 3fdfd7eef0befc28a837ae482a5b0c167228c7dc
MD5sum: 0b048c84e32bd81b6ec889e4261ce802
Description: USB UVC Video Class snapshot software
 The purpose of this software is to capture an image from a USB webcam at a
 specified interval, and save it to a JPEG file, no other formats are supported.
 .
 Right now this software is really a hack, since still image support is not yet
 available in the UVC driver. The program continually polls the UVC driver in
 MJPEG mode, and at a specified interval writes a JPEG header and a single frame
 to file, creating a JPEG image.

Package: uvcdynctrl
Source: libwebcam
Version: 0.2.2-1
Architecture: armhf
Maintainer: Paulo Assis 
Installed-Size: 82
Depends: libc6 (>= 2.13-28), libwebcam0 (= 0.2.2-1), libxml2 (>= 2.6.27), uvcdynctrl-data
Homepage: http://www.quickcamteam.net/software/libwebcam
Priority: extra
Section: utils
Filename: pool/main/libw/libwebcam/uvcdynctrl_0.2.2-1_armhf.deb
Size: 17514
SHA256: 2dd5bee5d7692a14ae68ed4592b97f9de7e7f839c2bec479cabdae1e0dcef48d
SHA1: def89c665cff4eaa16a3197422a7a5f019c194d8
MD5sum: 8843c7ae343bb605394f5d0f6bfe041c
Description: Command line tool to control v4l2 devices
 This package provides the tools needed to add vendor specific
 controls to uvc devices.
 .
 uvcdynctrl is part of the Webcam Library.
 .
 The Webcam Library libwebcam is designed to simplify
 the development of webcam applications, primarily on Linux but
 with an option to be ported to other platforms, in particular
 Solaris. It realizes part of what the unwritten Video4Linux user
 space library was always supposed to be: an easy to use library
 that shields its users from many of the difficulties and problems
 of using the V4L2 API directly.

Package: uvcdynctrl-data
Source: libwebcam
Version: 0.2.2-1
Installed-Size: 55
Maintainer: Paulo Assis 
Architecture: all
Size: 5796
SHA256: 97af744890acfbb5ccdeea9096fda8cd6484b68ef969ee9b117f9255718fa49f
SHA1: aa73342f52b87ef8dae8f3cc6f9f7f49d8a21c97
MD5sum: 7be34c9ed360c29a9f00be9596a89efc
Description: Command line tool to control v4l2 devices - data files
 This package provides data files needed by uvcdynctrl to add
 vendor specific controls to uvc devices
 .
 uvcdynctrl is part of the Webcam Library.
 .
 The Webcam Library libwebcam is designed to simplify
 the development of webcam applications, primarily on Linux but
 with an option to be ported to other platforms, in particular
 Solaris. It realizes part of what the unwritten Video4Linux user
 space library was always supposed to be: an easy to use library
 that shields its users from many of the difficulties and problems
 of using the V4L2 API directly.
Homepage: http://www.quickcamteam.net/software/libwebcam
Tag: role::app-data
Section: utils
Priority: extra
Filename: pool/main/libw/libwebcam/uvcdynctrl-data_0.2.2-1_all.deb

Package: uvcdynctrl-dbg
Source: libwebcam
Version: 0.2.2-1
Architecture: armhf
Maintainer: Paulo Assis 
Installed-Size: 98
Depends: uvcdynctrl (= 0.2.2-1)
Homepage: http://www.quickcamteam.net/software/libwebcam
Priority: extra
Section: debug
Filename: pool/main/libw/libwebcam/uvcdynctrl-dbg_0.2.2-1_armhf.deb
Size: 26588
SHA256: 055f4a48f6d4c9b749bdab4631552fcc4214eb8a03c612f158189c5159bbc52b
SHA1: f1ca0fb0c61b0a9115d906ac007aa835ab900783
MD5sum: 5e735de31484d539e0f1d81f7e3ad910
Description: Debug Symbols for uvcdynctrl
 This package contains debug data for uvcdynctrl
 .
 Most people will not need this package. Please install it to produce useful
 stacktraces to help debugging the webcam library.
 .
 uvcdynctrl is part of the Webcam Library.
 .
 The Webcam Library libwebcam is designed to simplify
 the development of webcam applications, primarily on Linux but
 with an option to be ported to other platforms, in particular
 Solaris. It realizes part of what the unwritten Video4Linux user
 space library was always supposed to be: an easy to use library
 that shields its users from many of the difficulties and problems
 of using the V4L2 API directly.

Package: uw-mailutils
Source: uw-imap
Version: 8:2007f~dfsg-2
Architecture: armhf
Maintainer: Magnus Holmgren 
Installed-Size: 147
Depends: libc-client2007e, libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libgssapi-krb5-2 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libpam0g (>= 0.99.7.1), libssl1.0.0 (>= 1.0.0)
Homepage: http://www.washington.edu/imap/
Priority: optional
Section: mail
Filename: pool/main/u/uw-imap/uw-mailutils_2007f~dfsg-2_armhf.deb
Size: 61610
SHA256: 8b9197a69794bfdafcc9399259ae9d4ecd911eba9d3a3ff7d09ed268c322782e
SHA1: fd44c9d16bb09748dd8d8de3011c5688db03f06c
MD5sum: 0ac8456994bb216f15029140048f0f2a
Description: c-client support programs
 IMAP (Internet Message Access Protocol) is a method of accessing
 electronic messages kept on a (possibly shared) mail server.
 .
 The UW (University of Washington) IMAP toolkit provides the c-client
 mail-handling library supporting various network transport methods,
 file system storage formats, and authentication and authorization
 methods.
 .
 This package contains helper tools for the libc-client library:
  - mailutil: utility program which helps manage email mailboxes (both
              local and IMAP/POP3/NNTP);
  - dmail:    MDA (Mail Delivery Agent) for use with procmail;
  - tmail:    MDA for use with the system mailer (such as Sendmail or Postfix).

Package: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 47
Depends: uwsgi-core (= 1.2.3+dfsg-5+deb7u2), lsb-base, initscripts (>= 2.88dsf-13.3)
Recommends: sqlite3
Homepage: http://projects.unbit.it/uwsgi/
Priority: optional
Section: web
Filename: pool/main/u/uwsgi/uwsgi_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 28876
SHA256: 3675c8b835306252a430d7be0b8b677837f09ad997d6a3da16efc09b872ec644
SHA1: 47b28416658504bb500101e3f7e5b8aaf6206db7
MD5sum: 155ab0a1d2f7868bb066fbc8122bc959
Description: fast, self-healing application container server
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It uses the uwsgi protocol for all the networking/interprocess communications.
 .
 uWSGI can be run in preforking, threaded, asynchronous/evented modes and
 supports various forms of green threads/coroutines (such as uGreen, Greenlet,
 Fiber). uWSGI provides several methods of configuration: via command line,
 via environment variables, via XML, INI, YAML configuration files, via LDAP
 and more.
 .
 On top of all this, it is designed to be fully modular. This means that
 different plugins can be used in order to add compatibility with tons of
 different technology on top of the same core.
 .
 This package depends on uWSGI core binary and installs:
   * init.d script for running uWSGI daemon(s) with options defined in custom
     user-created configuration files
   * infrastructure for running daemons (like common locations of communication
     sockets, logs)

Package: uwsgi-app-integration-plugins
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 15
Depends: uwsgi-plugin-cgi (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-erlang (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-jvm-openjdk-6 (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-jwsgi-openjdk-6 (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-lua5.1 (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-psgi (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-python (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-python3 (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-rack-ruby1.8 (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-rack-ruby1.9.1 (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-app-integration-plugins_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 15150
SHA256: 3b34f03c6cfb15087f317cbca94f5164cde61b7a9b33fb81364165487e9463e5
SHA1: a3bc819c20f5a83f45750cabedd47dca2b72f5a0
MD5sum: b250b3a7571a1ad9299bc2c83aec782f
Description: plugins for integration of uWSGI and application
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This dependency package provides plugins for integration of uWSGI and
 application:
   * CGI, Erlang, Java (OpenJDK 6), JWSGI (OpenJDK 6),
     Lua WSAPI (Lua 5.1), Perl PSGI, Python WSGI (Python/Python 3),
     Rack (Ruby 1.8/Ruby 1.9.1)

Package: uwsgi-core
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 393
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjansson4 (>= 2.0.1), libldap-2.4-2 (>= 2.4.7), libsqlite3-0 (>= 3.5.9), libuuid1 (>= 2.16), libxml2 (>= 2.7.4), libyaml-0-2, libzmq1
Suggests: nginx-full | cherokee | libapache2-mod-uwsgi | libapache2-mod-ruwsgi, uwsgi-plugins-all, uwsgi-extra
Homepage: http://projects.unbit.it/uwsgi/
Priority: optional
Section: web
Filename: pool/main/u/uwsgi/uwsgi-core_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 208446
SHA256: aac4ef17d2f6ffb094d1ebd9d09e0860f865ff1c8119fa09ce57c2f5ae165986
SHA1: 288dc388b7f3ca75368d556a5632002040753da7
MD5sum: 4717588c9074b9f6358d8eae2e969dc5
Description: fast, self-healing application container server (core)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It uses the uwsgi protocol for all the networking/interprocess communications.
 .
 uWSGI can be run in preforking, threaded, asynchronous/evented modes and
 supports various forms of green threads/coroutines (such as uGreen, Greenlet,
 Fiber). uWSGI provides several methods of configuration: via command line,
 via environment variables, via XML, INI, YAML configuration files, via LDAP
 and more.
 .
 On top of all this, it is designed to be fully modular. This means that
 different plugins can be used in order to add compatibility with tons of
 different technology on top of the same core.
 .
 This package provides:
   * executable uWSGI core binary (built without any embedded plugins)
   * uWSGI usage examples
   * uWSGI test suite

Package: uwsgi-dbg
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 3810
Depends: uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Suggests: uwsgi-plugins-all
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: debug
Filename: pool/main/u/uwsgi/uwsgi-dbg_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 1556722
SHA256: c707faec618ab82525b513daf2186be89967805c5b91b09cd37b1a6a440263af
SHA1: 95e2e948abcd262bc8658c5b7e20361669508385
MD5sum: ba39a096cb8e1bf01f98c5e5d56ffc91
Description: debugging symbols for uWSGI server and it's plugins
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 .
 This package contains the debug symbols for uWSGI core binary and plugins.
 Install this package if you need to debug such a program or if you need a
 useful backtrace of a crash.

Package: uwsgi-extra
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Installed-Size: 179
Maintainer: Janos Guljas 
Architecture: all
Size: 50476
SHA256: 14812da952c8e073f649fa9e6a600e0feef128d8b162a817d5f2d16e46bc0c2c
SHA1: 880023b27ccc82e465afab8d5a66bacf68b4bcd3
MD5sum: cd30f2b2ffff48c5c3e827457ddd821f
Description: fast, self-healing application container server (extra files)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 .
 This package provides extra files from uWSGI distribution:
   * nginx 0.7.x module source
   * lighttpd module source
   * contribs
Homepage: http://projects.unbit.it/uwsgi/
Section: web
Priority: extra
Filename: pool/main/u/uwsgi/uwsgi-extra_1.2.3+dfsg-5+deb7u2_all.deb

Package: uwsgi-infrastructure-plugins
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 15
Depends: uwsgi-plugin-admin (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-cache (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-echo (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-fastrouter (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-graylog2 (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-http (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-logsocket (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-nagios (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-ping (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-rpc (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-rrdtool (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-rsyslog (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-syslog (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-infrastructure-plugins_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 15130
SHA256: 57991a10fd7b8aebc7b4efcc32b23ae5feb6857b1726aff7c8e8eebb0d4665cd
SHA1: a49fb4559d4ff291dabd058e85a689e9472a7783
MD5sum: b8809f964f84c7b9f41ab6fcaaa15606
Description: infrastructure plugins for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This dependency package provides infrastructure plugins for uWSGI:
   * admin, cache, echo, fastrouter, graylog2, HTTP serving, logsocket, Nagios,
     ping, RPC, RRDTool, rsyslog, syslog

Package: uwsgi-plugin-admin
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 29
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-admin_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 23616
SHA256: 5e8406d70f13a4afd7f436de36c956ce826ec03954e7a309a41eb534392b5125
SHA1: 5d35f2f0caffb66b1eeec7edd1cae06ca563183c
MD5sum: 3e4e284aea27b92c395864cd54874934
Description: admin plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides admin plugin for uWSGI.

Package: uwsgi-plugin-cache
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 29
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-cache_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 23774
SHA256: b159d63f81a49e245892e8ef0df29eb9c8f22acffbf89d11dff9a80f3b521465
SHA1: d026ef1ede3ee754395419634e244cc3c29ff496
MD5sum: 11897b2779dfadec66176b0dd88c8698
Description: cache plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides cache plugin for uWSGI.

Package: uwsgi-plugin-carbon
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 37
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-carbon_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 27516
SHA256: 135339cdfe4a687e69e5f43bf177e8d80be3f67c245e7c0424ae7f6c14d752a0
SHA1: 9ef8f09bf90fb8da4a3db51647adea4925818eb7
MD5sum: 13d791b83c7c2998f1560a04412db3e4
Description: carbon plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides carbon plugin for uWSGI.

Package: uwsgi-plugin-cgi
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 45
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-cgi_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 31344
SHA256: e21dcb70f61d5fbb9762fc2372004f1bcf3c4b3b16c3ba5545a3fcec979a59ea
SHA1: e6cfe7de9a23ccb1617c7f07968d0544ccdefcca
MD5sum: ede4c8d5fc6d11871e14892ce76e91e8
Description: CGI plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides CGI plugin for uWSGI.

Package: uwsgi-plugin-echo
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 29
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-echo_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 23052
SHA256: 1bec9cd6d99335ebeb632cc3c31d881436225664627d76738f017b540e228b60
SHA1: 842dc4f5bcbc2ee379d717f2004bec5838414b95
MD5sum: 42f0d93d43cffd6f990bdfefd21fafa9
Description: echo plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides echo plugin for uWSGI.

Package: uwsgi-plugin-erlang
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 90
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-erlang_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 53154
SHA256: 7e6e6e35fb9e733834b55667c7f0bf16b1f3564e04414282c086273e5eb1047a
SHA1: 3c5dddd44790687ec97465a8853d9e3590c9336f
MD5sum: 08d80ce77a14f317b01a9a761fa5eb1b
Description: Erlang plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Erlang plugin for uWSGI.

Package: uwsgi-plugin-fastrouter
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 54
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-fastrouter_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 35280
SHA256: f86f28e4de853a543a9e2a4e5c491a74d02592ac114b9e4486dba8fb039949bd
SHA1: f910d26446e61b542616a1d605a97f34b467bde7
MD5sum: 2f8c2b73d759bf7e528bb68f96c441e0
Description: fastrouter plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides fastrouter plugin for uWSGI.

Package: uwsgi-plugin-fiber
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 21
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-rack-ruby1.9.1 (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-fiber_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 17380
SHA256: 83cdd53dc2f9aea8d63dc82a129ada34ef6aea5c1e1e9a20aae576a167ed11d1
SHA1: b39e5ed1188ef20d525efd5f13914450bd69b2b1
MD5sum: 3857dc3dcba0b54d8b77aebcb4bdf683
Description: fiber loop engine plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Ruby 1.9 fiber loop engine plugin for uWSGI. Fibers are
 a form of coroutine, useful for implementing cooperative multitasking in your
 Ruby 1.9.x apps.

Package: uwsgi-plugin-graylog2
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 29
Depends: libc6 (>= 2.13-28), zlib1g (>= 1:1.2.0), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-graylog2_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 24262
SHA256: e1b880477ca08ae56bb65bcb38ef493b0bd5aac12aad2ba650f16bedc2963c39
SHA1: 6ac0cb6756985c9f0017fb83dda3ea8cad355f5b
MD5sum: 8a5171a68f9075cef1a32a527fa1a72d
Description: graylog2 plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides graylog2 plugin for uWSGI.

Package: uwsgi-plugin-http
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 46
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-http_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 32914
SHA256: 3c2dabeb35d0d2482c4617f1f005e4b1307163b0e9faabf4c4467dcc3df83360
SHA1: efcc282b9b9d54bdc18bbbd9d0a41e454cef2d0a
MD5sum: 158c2e3a6159133d5fc29d48b170de7d
Description: HTTP server plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides HTTP server plugin for uWSGI.

Package: uwsgi-plugin-jvm-openjdk-6
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 35
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2), openjdk-6-jre-headless
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-jvm-openjdk-6_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 25538
SHA256: 2ec00dca46da6e37a4a149bd7bded6f9ca84255f2349f39e8d81becc356af9c9
SHA1: f89ccdcaf8091d10f81575be204d8a8cb10e033b
MD5sum: 813f820c1dedfddf7d7eb80bd3a5255c
Description: Java plugin for uWSGI (OpenJDK 6)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Java plugin for uWSGI (linked with OpenJDK Java 6
 runtime).

Package: uwsgi-plugin-jwsgi-openjdk-6
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 27
Depends: libc6 (>= 2.13-28), uwsgi-plugin-jvm-openjdk-6 (= 1.2.3+dfsg-5+deb7u2), openjdk-6-jre-headless
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-jwsgi-openjdk-6_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 18854
SHA256: dad70043d427c7d3a75ec4fb98c56b2ec86b451112a4e7d0b6deb4a4905be1e8
SHA1: b905f53c90c87750a59e56d8f0ef9a1691485c7f
MD5sum: cc71a28d59c63670f0f1d1556c18bfa0
Description: JWSGI plugin for uWSGI (OpenJDK 6)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides JWSGI plugin for uWSGI (linked with OpenJDK Java 6
 runtime).

Package: uwsgi-plugin-logsocket
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 29
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-logsocket_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 23800
SHA256: c08fc6c737a0748dd28e0211deed4959b359a1b00948a9f60e5f3386c8b67cd0
SHA1: 5486376d5e0ee0c4fa685067c65561f1291a98f1
MD5sum: 3e27be013b8762385a55e2a9d563782f
Description: logsocket plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides logsocket plugin for uWSGI.

Package: uwsgi-plugin-lua5.1
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 38
Depends: libc6 (>= 2.13-28), liblua5.1-0, uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-lua5.1_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 28626
SHA256: ec1dede8d260a84cf2a472efd2bcce842c0641a668844bf0774f8ebef6255d57
SHA1: 87c2629335da3c1033dbc308db8b2d549b0da382
MD5sum: 5b47ec68a8a63db5cbd299798707a06e
Description: Lua WSAPI plugin for uWSGI (Lua 5.1)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Lua WSAPI plugin for uWSGI (linked with Lua 5.1
 runtime).

Package: uwsgi-plugin-nagios
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 29
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-nagios_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 24002
SHA256: 2ee4fb0ea49ace72e9603bc90f1d8b9620880c1fc0cce57c8d2e2999ef63cf3c
SHA1: 19a3dc7df7ea1725827d433eb91a7a789537b366
MD5sum: 63f244fe43b71b4900629c44bd013534
Description: Nagios plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Nagios plugin for uWSGI.

Package: uwsgi-plugin-ping
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 29
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-ping_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 23994
SHA256: 138d88eaecdb2a878daa59b067abff68b811d4179bd1e0b13f793753aa6f8a7a
SHA1: 6558d206b005daaa13e094d00f23f19efd601b0f
MD5sum: 1a9c92d451a0f52df8d9dd98c5d0b4a3
Description: ping plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides ping plugin for uWSGI.

Package: uwsgi-plugin-probeconnect
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 33
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-probeconnect_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 25564
SHA256: 44e5004641195e6da524afe7a9afb08652d964728f0054f3f5e88b10be6d159c
SHA1: a47bd94fdd7cae2912fd2f0623fbf24889f8a2b2
MD5sum: 9de842b6332eb56267c4ea6ddeebe689
Description: probeconnect plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides probeconnect plugin for uWSGI.

Package: uwsgi-plugin-probepg
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 33
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpq5, uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-probepg_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 25876
SHA256: 140c46b395c41761cd245f264796ec9f9df885113a590b54c724278bb9f44630
SHA1: 2d2a6f6c66c49ddb402ead5db01110d6c30ee3a5
MD5sum: 3562bdb91a92180591ee623b4c348d0b
Description: probepg plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides probepg plugin for uWSGI.

Package: uwsgi-plugin-psgi
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 69
Depends: libc6 (>= 2.13-28), libperl5.14 (>= 5.14.2), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-psgi_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 42096
SHA256: 4c5b990dba7b5ad8fc698671221a428a6ab07ce9185bfa2779ccca2d699f37ac
SHA1: e3be5d662ea11231990dd2a65f3d8e4a147beedc
MD5sum: 32d73fae9392020df94f7abff5af9ca1
Description: Perl PSGI plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Perl PSGI plugin for uWSGI.

Package: uwsgi-plugin-pyerl-python
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 48
Depends: libc6 (>= 2.13-28), uwsgi-plugin-python (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-erlang (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-pyerl-python_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 23156
SHA256: f38e993e7fb239b97e54be24356797943352a70ece00fc81ced5a83be0472696
SHA1: a3cd9bf7bae9e2f0ca64e065560615dbd763df91
MD5sum: 7baffcd095129c19e959a1629181bb0d
Description: pyerl plugin for uWSGI (Python)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides pyerl plugin for uWSGI with Python WSGI plugin.

Package: uwsgi-plugin-pyerl-python3
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 34
Depends: libc6 (>= 2.13-28), uwsgi-plugin-python3 (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-erlang (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-pyerl-python3_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 22772
SHA256: 6ea5dc65de8aa234eaaa46547ad0435af6a4fc36af5b26d99ebe80749d9cbb0e
SHA1: b02f74ff5be3691948d35b5380e0fb9c58656754
MD5sum: 6513ce51e6122c56e2ea8d6200b00a57
Description: pyerl plugin for uWSGI (Python 3)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides pyerl plugin for uWSGI with Python 3 WSGI plugin.

Package: uwsgi-plugin-python
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 289
Depends: libc6 (>= 2.13-28), libpython2.6 (>= 2.6), libpython2.7 (>= 2.7), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Suggests: python-uwsgidecorators
Provides: httpd-wsgi
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-python_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 134334
SHA256: a3d1b0cc4e7f2552d561b0952df01fa0b94cbd85e4d7926be70970d1325bddf3
SHA1: 87f320bc1b2c9546fe78835cbf84c19746ff67df
MD5sum: f423ca31408764f6da1e84ebc23974e1
Description: Python WSGI plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Python WSGI plugin for uWSGI (linked with Python 2.X
 runtime).

Package: uwsgi-plugin-python3
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 155
Depends: libc6 (>= 2.13-28), libpython3.2 (>= 3.2~a4), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Suggests: python3-uwsgidecorators
Provides: httpd-wsgi
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-python3_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 79500
SHA256: f3bd2b68459ab5c0b7f2e3db390bce500fb55632a6e2d09c017ad97b583d212c
SHA1: ceaa63f7f8f8df2be552e5172c6cd2173fea662f
MD5sum: 343032e277cc5649292e4e6b5e380adf
Description: Python 3 WSGI plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Python 3 WSGI plugin for uWSGI (linked with Python 3.X
 runtime).

Package: uwsgi-plugin-rack-ruby1.8
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 71
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libruby1.8 (>= 1.8.7.357-1), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-rack-ruby1.8_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 42610
SHA256: 1e14d1018312e5484248a0ffe8c70da23148809ee4acfd8c7888d6287ac9044b
SHA1: 7df1e038eb47048ccee7cb9b22c6dbdcb21d206e
MD5sum: 076915c5e729609d169005d580268d6e
Description: Rack plugin for uWSGI (Ruby 1.8)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Rack plugin for uWSGI (linked with Ruby 1.8 runtime).

Package: uwsgi-plugin-rack-ruby1.9.1
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 75
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libruby1.9.1 (>= 1.9.2.0), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-rack-ruby1.9.1_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 45560
SHA256: 5de9819d937ff4980347ba6f6e7b2bbe61fbacd3ad906c65e64c551721596b4c
SHA1: 9da71d07330e5c041b96d7229490848e6306b6a0
MD5sum: 74e686420b61f275917a35edae23fe54
Description: Rack plugin for uWSGI (Ruby 1.9.1)
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides Rack plugin for uWSGI (linked with Ruby 1.9.1 runtime).

Package: uwsgi-plugin-rpc
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 29
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-rpc_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 23338
SHA256: 8cfb228f6a2f7df06d4bd778953ac7ec390704f91849f6c5e1ebecd32fa6b46b
SHA1: 91e5c3a3a5239b7491b347c2002fc2c8c644f5dc
MD5sum: 238d26b44ffc6826f77594e6e5c606ec
Description: RPC plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides RPC plugin for uWSGI.

Package: uwsgi-plugin-rrdtool
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 33
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-rrdtool_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 25120
SHA256: 1e49937c561cdc663c3af3967b40df77377d128471503c81c32a696b34c61717
SHA1: 657f468cdc0408536d2c1b9dc4f7587dccce23d9
MD5sum: b166b7c6937a7c8d7b23f5938d2b4b55
Description: rrdtool plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides rrdtool plugin for uWSGI.

Package: uwsgi-plugin-rsyslog
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 29
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-rsyslog_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 24068
SHA256: 88fcf1f14749fd972b84bf3bf2fd0849131cbf2f9d9f27bbde4230e7ff9e10ef
SHA1: 174ec181bf5422663542943d27b56542d5702464
MD5sum: 5a8d497344cbbe24a8fb707bacfccf9c
Description: rsyslog plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides rsyslog plugin for uWSGI.

Package: uwsgi-plugin-signal
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 29
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-signal_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 23362
SHA256: 25568a0b20852d9e7ecfda9b7985819e9bd493fef8de3db319239d8c989d5151
SHA1: e7cb592647d872cbf989f47655cfa7b5c3e8ca33
MD5sum: 0ecd8f9babaa506ed6e8ac9375e17c0a
Description: signal plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides signal plugin for uWSGI.

Package: uwsgi-plugin-symcall
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 29
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-symcall_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 23260
SHA256: dcf18cebf2a7e6e34c42e0d34c728304db1569384675e9bee2d903c877ae2e73
SHA1: f3a7dae94c6aa8c859a402c149b69357d563d64c
MD5sum: 2d959e5477eef71a178e20ea1e330569
Description: symcall plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides symcall plugin for uWSGI.

Package: uwsgi-plugin-syslog
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 29
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-syslog_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 23818
SHA256: 4ffc20f39d37826250f68af2c0128ffd2097b6099694948f23fc85d461d39a6b
SHA1: 7216d77754162ee8033b8a3be560282580509a90
MD5sum: 3ed275eeff4920287092d89772378352
Description: syslog plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides syslog plugin for uWSGI.

Package: uwsgi-plugin-ugreen
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 29
Depends: libc6 (>= 2.13-28), uwsgi-core (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugin-ugreen_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 24444
SHA256: 78bdf3a99410b402b4f520ab1c990c27f54c6e88fcdea66d64405bbc3707251a
SHA1: 53a9aff8a27364ff6f3087db1ec9555c2b969a21
MD5sum: 9be921595bcf2fc21c1a5fd1cdb78fcd
Description: uGreen plugin for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This package provides uGreen plugin for uWSGI.

Package: uwsgi-plugins-all
Source: uwsgi
Version: 1.2.3+dfsg-5+deb7u2
Architecture: armhf
Maintainer: Janos Guljas 
Installed-Size: 15
Depends: uwsgi-infrastructure-plugins (= 1.2.3+dfsg-5+deb7u2), uwsgi-app-integration-plugins (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-carbon (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-fiber (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-probeconnect (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-probepg (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-pyerl-python (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-pyerl-python3 (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-signal (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-symcall (= 1.2.3+dfsg-5+deb7u2), uwsgi-plugin-ugreen (= 1.2.3+dfsg-5+deb7u2)
Homepage: http://projects.unbit.it/uwsgi/
Priority: extra
Section: web
Filename: pool/main/u/uwsgi/uwsgi-plugins-all_1.2.3+dfsg-5+deb7u2_armhf.deb
Size: 15178
SHA256: 1d0794ebf9e12dfacc2ed933508054193d3ac58a6edf2b157a65d55234022a97
SHA1: d52cc96e162369042ebe3b987fcfcf472f9a87e3
MD5sum: 6b7fef919ff6f293d7d62ff378a2f6b2
Description: all available plugins for uWSGI
 uWSGI presents a complete stack for networked/clustered web applications,
 implementing message/object passing, caching, RPC and process management.
 It is designed to be fully modular. This means that different plugins can be
 used in order to add compatibility with tons of different technology on top of
 the same core.
 .
 This dependency package provides all available plugins for uWSGI:
   * infrastructure plugins (fastrouter, HTTP serving, RPC, etc.)
   * plugins for integration of uWSGI and application (CGI, PSGI, Rack, WSGI,
     etc.)
   * carbon, fiber loop engine, greenlet (Python), probeconnect, probepg,
     pyerl (Python/Python 3), uGreen

Package: uzbl
Version: 0.0.0~git.20120514-1.1
Architecture: armhf
Maintainer: Luca Bruno 
Installed-Size: 449
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libjavascriptcoregtk-1.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.26.1), libwebkitgtk-1.0-0 (>= 1.3.13), libx11-6, python (>= 2.6), python-support (>= 0.90.0), python-gtk2, python-gobject
Recommends: dwm-tools | suckless-tools, zenity, socat, xclip, python-simplejson
Provides: www-browser
Homepage: http://www.uzbl.org/
Priority: extra
Section: web
Filename: pool/main/u/uzbl/uzbl_0.0.0~git.20120514-1.1_armhf.deb
Size: 138464
SHA256: 21265bb905cfcc08578fcda9b97a5a487d222e8cabe321ac3ca55dfee7490eec
SHA1: f5384d876bf24d7aab35f5f04bdec9d03fcb7021
MD5sum: ee48266f2ee02f57511cf60e78a01781
Description: Lightweight Webkit browser following the UNIX philosophy
 Uzbl follows the UNIX philosophy - "Write programs that do one thing and do it
 well. Write programs to work together. Write programs to handle text streams,
 because that is a universal interface."
 .
  * very minimal graphical interface. You only see what you need
  * what is not browsing, is not in uzbl. Things like url changing,
    loading/saving of bookmarks, saving history, downloads, ... are handled
    through external scripts that you write
  * controllable through various means such as fifo and socket files, stdin,
    keyboard and more
  * advanced, customizable keyboard interface with support for modes, modkeys,
    multichars, variables (keywords) etc. (eg you can tweak the interface to be
    vim-like, emacs-like or any-other-program-like)
  * focus on plaintext storage for your data and configs in simple, parseable
    formats
  * Uzbl keeps it simple, and puts _you_ in charge.

Package: v-sim
Version: 3.6.0-2
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 1573
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libffi5 (>= 3.0.9), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgirepository-1.0-1 (>= 0.9.2), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.14.0), libglu1-mesa | libglu1, libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, v-sim-common (= 3.6.0-2)
Suggests: v-sim-doc, v-sim-plugins (= 3.6.0-2)
Homepage: http://inac.cea.fr/L_Sim/V_Sim/index.en.html
Priority: optional
Section: science
Filename: pool/main/v/v-sim/v-sim_3.6.0-2_armhf.deb
Size: 601466
SHA256: 99872bb96399d14182d5de1ddd96c34c98bff6de2dde529d03c0ddd38eb34ae0
SHA1: 95e534f7e8cf1d4171b74f44e58149a85d2fcb11
MD5sum: 59a7f1eb8d768f4d6e13f03242d074d8
Description: Visualize atomic structures
 V_Sim visualizes atomic structures such as crystals, grain boundaries,
 molecules and so on (either in binary format, or in plain text format).
 .
 The rendering is done in pseudo-3D with spheres (atoms) or arrows (spins).
 The user can interact through many functions to choose the view, set the
 bindings, draw cutting planes, compute surfaces from scalar fields,
 duplicate nodes, measure geometry... Moreover V_Sim allows one to export the
 view as images in PNG, JPG, PDF (bitmap), SVG (scheme) and other formats.
 Some tools are also available to colorize atoms from data values or to
 animate on screen many position files.

Package: v-sim-common
Source: v-sim
Version: 3.6.0-2
Installed-Size: 748
Maintainer: Debian Science Team 
Architecture: all
Size: 241082
SHA256: 058bf6b49d935bafbe645c6b2bb1f4bde57cb25024312cb0db8f9f52102b3409
SHA1: d7b0375c4d06f3a481893a1d68074be152881566
MD5sum: b85a46e82e9e440b5604222ff82d406f
Description: Visualize atomic structures (support files)
 V_Sim visualizes atomic structures such as crystals, grain boundaries,
 molecules and so on (either in binary format, or in plain text format).
 .
 This is the arch independent common parts of V_Sim.
Homepage: http://inac.cea.fr/L_Sim/V_Sim/index.en.html
Tag: role::app-data
Section: science
Priority: optional
Filename: pool/main/v/v-sim/v-sim-common_3.6.0-2_all.deb

Package: v-sim-doc
Source: v-sim
Version: 3.6.0-2
Installed-Size: 492
Maintainer: Debian Science Team 
Architecture: all
Size: 408734
SHA256: 932c78099dc75f5b09e9749f263f7527e89441b2644545694542b923ea7a4463
SHA1: dacd68192a14f5cd6485796072437d43c673913f
MD5sum: 1c70b0e1ff39628a62f55498b275612e
Description: Visualize atomic structures (example files)
 V_Sim visualizes atomic structures such as crystals, grain boundaries,
 molecules and so on (either in binary format, or in plain text format).
 .
 A comprehensive manual is available on the web site,
 see http://inac.cea.fr/L_Sim/V_Sim/user_guide.html.
 .
 This package contains several examples of files readable with V_Sim.
Homepage: http://inac.cea.fr/L_Sim/V_Sim/index.en.html
Tag: field::chemistry, role::documentation
Section: doc
Priority: optional
Filename: pool/main/v/v-sim/v-sim-doc_3.6.0-2_all.deb

Package: v-sim-plugins
Source: v-sim
Version: 3.6.0-2
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 1176
Depends: v-sim (= 3.6.0-2), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libnetcdfc7, libopenbabel4, libpango1.0-0 (>= 1.14.0), libpython2.7 (>= 2.7), libstdc++6 (>= 4.4.0), gir1.2-v-sim-1.0 (= 3.6.0-2)
Suggests: netcdf-bin
Homepage: http://inac.cea.fr/L_Sim/V_Sim/index.en.html
Priority: optional
Section: science
Filename: pool/main/v/v-sim/v-sim-plugins_3.6.0-2_armhf.deb
Size: 1073514
SHA256: ca376be31efa6b085e6818c81552c9adab1a0fdf6ea0ded4b6dd4621890bc8c4
SHA1: 849112f0a699d759340c8704552cd02bf4a20287
MD5sum: 8cda18c35406279411795026d2774269
Description: Plugins for V_Sim (a 3D visualization package)
 This package contains the plugins to be used with V_Sim, a 3D
 visualization package for solid state physics. The available plugins are:
  - an input file plugin for the new ETSF file format (see http://www.etsf.eu).
  - an input file plugin using OpenBabel to import many formats, mainly from
    the chemistry software (see http://www.openbabel.org).
  - an input file plugin to read the XcrysDen File Format.
  - an input file plugin to read the Cube file format introduced by
    Gaussian and used by others like SIESTA.
  - an additional panel to load Python scripts.

Package: v4l-conf
Source: xawtv
Version: 3.102-3
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 157
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxft2 (>> 2.1.1), libxinerama1, libxmu6, libxpm4, libxrandr2, libxrender1, libxt6, libxv1, libxxf86dga1, libxxf86vm1
Conflicts: suidmanager (<< 0.50)
Replaces: xawtv (<= 3.95-3)
Priority: extra
Section: video
Filename: pool/main/x/xawtv/v4l-conf_3.102-3_armhf.deb
Size: 49470
SHA256: cb2eebb899e42d15212a748c5f3d14d090513726038ca80cbd2582599bfeb912
SHA1: eb2fb8bfcbe60857bfacd44e2d9940855e0e6fc9
MD5sum: 40d8c03598bb9b09325a484978c4c856
Description: tool to configure video4linux drivers
 This package provides a small tool to configure a video4linux device driver.
 It is required to make the video overlay work in fbtv and xawtv.

Package: v4l-utils
Version: 0.8.8-3
Architecture: armhf
Maintainer: Gregor Jasny 
Installed-Size: 300
Depends: libv4l-0 (= 0.8.8-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libv4lconvert0 (>= 0.5.0)
Breaks: ivtv-utils (<< 1.4.1-2)
Replaces: ivtv-utils (<< 1.4.1-2)
Homepage: http://linuxtv.org/downloads/v4l-utils/
Priority: optional
Section: utils
Filename: pool/main/v/v4l-utils/v4l-utils_0.8.8-3_armhf.deb
Size: 116970
SHA256: 1679371a28946b1408e0901edd37b0eae407a0ac60ee2ebf28da18a81542406e
SHA1: e0b268ae744e5fe680999cdeff968e40930f65b3
MD5sum: c9755065cdcb8cd747e448dba2d8a634
Description: Collection of command line video4linux utilities
 v4l-utils contains the following video4linux command line utilities:
 .
  decode_tm6000: decodes tm6000 proprietary format streams
  v4l2-compliance: tool to test v4l2 API compliance of drivers
  v4l2-ctl, cx18-ctl, ivtv-ctl: tools to control v4l2 controls from the cmdline
  v4l2-dbg: tool to directly get and set registers of v4l2 devices
  v4l2-sysfs-path: sysfs helper tool

Package: v4l2loopback-dkms
Source: v4l2loopback
Version: 0.6.1-1
Installed-Size: 111
Maintainer: IOhannes m zmoelnig (gpg-key at iem) 
Architecture: all
Depends: dkms (>= 2.1.0.0)
Suggests: v4l2loopback-utils
Size: 30126
SHA256: 7be718691ca4cd401f0a3d8562671ce98064212ff0e94eed0f257116d9346656
SHA1: 3a9a608c5b3c054f370080c4539df00bc5341602
MD5sum: 8f79da91feba83efbd0f4fb6fb38b5b0
Description: Source for the v4l2loopback driver (DKMS)
 This module allows you to create "virtual video devices".
 Normal (v4l2) applications will read these devices as if they were ordinary
 video devices, but the video will not be read from e.g. a capture card but
 instead it is generated by another application.
 .
 This package provides the source code for the v4l2loopback kernel modules
 to be build with dkms.
Homepage: http://github.com/umlaeute/v4l2loopback
Tag: admin::kernel, hardware::video, implemented-in::c, role::source,
 works-with::video
Section: graphics
Priority: extra
Filename: pool/main/v/v4l2loopback/v4l2loopback-dkms_0.6.1-1_all.deb

Package: v4l2loopback-source
Source: v4l2loopback
Version: 0.6.1-1
Installed-Size: 65
Maintainer: IOhannes m zmoelnig (gpg-key at iem) 
Architecture: all
Depends: debhelper (>= 7), make, bzip2
Recommends: module-assistant
Size: 37274
SHA256: cfd06b04a53cbe4198649551e7f3e5d8058ea5ac12f97636c2a1fcdfe5c05490
SHA1: 583468deb1a8845cba262db99317894065e2506a
MD5sum: f568261c867c4a24bc4370a449629528
Description: Source for the v4l2loopback driver
 This module allows you to create "virtual video devices".
 Normal (v4l2) applications will read these devices as if they were ordinary
 video devices, but the video will not be read from e.g. a capture card but
 instead it is generated by another application.
 .
 This package provides the source code for the v4l2loopback kernel modules.
Homepage: http://github.com/umlaeute/v4l2loopback
Tag: admin::kernel, hardware::video, implemented-in::c, role::source,
 works-with::video
Section: graphics
Priority: extra
Filename: pool/main/v/v4l2loopback/v4l2loopback-source_0.6.1-1_all.deb

Package: v4l2loopback-utils
Source: v4l2loopback
Version: 0.6.1-1
Installed-Size: 54
Maintainer: IOhannes m zmoelnig (gpg-key at iem) 
Architecture: all
Depends: gstreamer0.10-tools, v4l-utils
Recommends: v4l2loopback-dkms | v4l2loopback-modules
Size: 16270
SHA256: 00fc9629272f878c6e77f830f1c164fcef30a1f3664ada40a7a600345366696b
SHA1: 128d2cd00bf4653f1cb3d5d41ea426fc020e2440
MD5sum: 4c94dde394819cdd54e2a5dca760f384
Description: Commandline utilities for the for the v4l2-loopback module
 v4l2loopback-utils contains the following video4linux command line utilities:
 .
 v4l2loopback-ctl: tool to set framerate, format and timeout image
Homepage: http://github.com/umlaeute/v4l2loopback
Section: graphics
Priority: extra
Filename: pool/main/v/v4l2loopback/v4l2loopback-utils_0.6.1-1_all.deb

Package: v4l2ucp
Version: 2.0.2-4
Architecture: armhf
Maintainer: Alessio Treglia 
Installed-Size: 194
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libv4l-0 (>= 0.5.0)
Homepage: http://v4l2ucp.sourceforge.net
Priority: optional
Section: x11
Filename: pool/main/v/v4l2ucp/v4l2ucp_2.0.2-4_armhf.deb
Size: 68908
SHA256: 07021e5d9c8d8a5824d557bdda295a2d07123577383815db3099d037ed42f77b
SHA1: ae139aaedad7b0e37f49947c76c329c527f8807e
MD5sum: c4ebd44f712d0935f036954032231370
Description: Video for Linux 2 Universal Control Panel
 V4L2UCP is an universal control panel for V4L and V4L2 devices. It reads
 the description of the controls that the device supports from the device
 device, and presents the user with a graphical means for adjusting those
 controls; it allows for controlling multiple devices.
 .
 Controls can be updated with the device status either manually, or
 periodically. There is an easy way to reset one or all the controls to their
 default state.

Package: vacation
Version: 3.3.0-0.4
Architecture: armhf
Maintainer: Marco d'Itri 
Installed-Size: 57
Depends: libc6 (>= 2.13-28), libdb5.1
Conflicts: bsdmainutils (<= 4.8)
Replaces: bsdmainutils (<= 4.8)
Priority: standard
Section: mail
Filename: pool/main/v/vacation/vacation_3.3.0-0.4_armhf.deb
Size: 13690
SHA256: 201a5f1d1604ee6d992f3e9f0b389af1a968e5633a53ef77645a24df2fc81045
SHA1: 115ddcbab9e87ae222fc91b80f71565afa00d950
MD5sum: 2635d2da332c1a2a73e10bb583c0570c
Description: email autoresponder
 Vacation returns a message to the sender of a message telling them that
 you are currently not reading your mail.
 .
 This program is derived from the NetBSD version and has most of the
 features present in the Linux, Solaris, AIX and *BSD versions.

Package: vagalume
Version: 0.8.5-4
Architecture: armhf
Maintainer: Alberto Garcia 
Installed-Size: 862
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.0), libgstreamer0.10-0 (>= 0.10.0), libgtk-3-0 (>= 3.0.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libproxy0 (>= 0.2.3), libxml2 (>= 2.7.4), librsvg2-common, gstreamer0.10-plugins-base, gstreamer0.10-gconf | gstreamer0.10-plugins-good (<= 0.10.28-2), gstreamer0.10-plugins-good, gstreamer0.10-plugins-ugly | gstreamer0.10-fluendo-mp3
Recommends: dbus, gstreamer0.10-alsa
Homepage: http://vagalume.igalia.com/
Priority: optional
Section: sound
Filename: pool/main/v/vagalume/vagalume_0.8.5-4_armhf.deb
Size: 274996
SHA256: 8fb30da16d155101a3cfbc398b0b4892fd3912cdf334b9fea365de31ca1eb5c0
SHA1: 5dd7d16f0d8c8f3c8b2584e9a01a98f69fa969d3
MD5sum: 9dd8078ac190e8a579d90ed530f30305
Description: GTK+-based client for Last.fm and compatible radio services
 Vagalume is a Last.fm client designed for the GNOME desktop
 environment. It's small and provides the basic Last.fm features, such
 as scrobbling, tags, recommendations, etc.
 .
 Vagalume also supports other Last.fm-compatible services such as
 Libre.fm

Package: vagrant
Version: 1.0.3-1
Installed-Size: 609
Maintainer: Laurent Bigonville 
Architecture: all
Depends: ruby-erubis (>= 2.7.0), ruby | ruby-interpreter, ruby-archive-tar-minitar, ruby-childprocess (>= 0.3.1), ruby-i18n (>= 0.6.0), ruby-json (>= 1.5.1), ruby-log4r (>= 1.1.9), ruby-net-scp (>= 1.0.4), ruby-net-ssh (>= 2.2.2), rubygems (>= 1.3.6)
Recommends: virtualbox (>= 4.0)
Size: 118270
SHA256: 88625b68e2ab88301a493954ff75b26dd7ec38786892e8ac21d494a6123fc4f8
SHA1: 6a09a40f987ad779e242535e964effafdce1a0f8
MD5sum: 6c56169d97232c5fe1397d819374d020
Description: Tool for building and distributing virtualized development environments
 This package provides the tools to create and configure lightweight,
 reproducible, and portable virtual environments.
 .
 Vagrant uses Oracle’s VirtualBox to create its virtual machines and then
 uses Chef or Puppet to provision them.
Homepage: http://vagrantup.com/
Ruby-Versions: ruby1.8 ruby1.9.1
Section: admin
Priority: optional
Filename: pool/main/v/vagrant/vagrant_1.0.3-1_all.deb

Package: vainfo
Source: libva
Version: 1.0.15-4
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 52
Depends: libc6 (>= 2.13-28), libva-x11-1 (>> 1.0.15~), libva1 (>> 1.0.15~), libx11-6
Homepage: http://www.freedesktop.org/wiki/Software/vaapi
Priority: optional
Section: utils
Filename: pool/main/libv/libva/vainfo_1.0.15-4_armhf.deb
Size: 8370
SHA256: 73a3e701ba46cc6b8cf6f6ba6a2ec4754796617d44bc20d49e9461f3bc4f1388
SHA1: d5e959a1a8fc34450ed9b547aa614a889d468e27
MD5sum: 1ee7bfd069b20309b0507d98457331f6
Description: Video Acceleration (VA) API for Linux -- info program
 Video Acceleration API (VA API) is a library ("libVA") and API specification
 which enables and provides access to graphics hardware (GPU) acceleration for
 video processing on Linux and UNIX based operating systems. Accelerated
 processing includes video decoding, video encoding, subpicture blending and
 rendering. The specification was originally designed by Intel for its GMA
 (Graphics Media Accelerator) series of GPU hardware, the API is however not
 limited to GPUs or Intel specific hardware, as other hardware and manufacturers
 can also freely use this API for hardware accelerated video decoding.
 .
 This package provides the vainfo program.

Package: val-and-rick
Version: 0.1a.dfsg1-3
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 851
Depends: val-and-rick-data (= 0.1a.dfsg1-3), libbulletml0d2, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4)
Priority: extra
Section: games
Filename: pool/main/v/val-and-rick/val-and-rick_0.1a.dfsg1-3_armhf.deb
Size: 188424
SHA256: f070e98321a252882592820c25735a11244a1b317a65b6ab22d1c60b19674182
SHA1: 292e964017d0b3f25c0f562d8bb6e78e7730cade
MD5sum: 8d67d26efb6888f4b5ddf5a36b32a3b5
Description: shooter game
 Val & Rick is probably the precursor to Gunroar, featuring similar controls
 but in a different environment. Only 2D sprites and backgrounds are used in
 what seems like a tribute to Xevious and Star Soldier.
 .
 Val & Rick is another gem among many by Kenta Cho.

Package: val-and-rick-data
Source: val-and-rick
Version: 0.1a.dfsg1-3
Installed-Size: 1679
Maintainer: Debian Games Team 
Architecture: all
Recommends: val-and-rick
Size: 1445544
SHA256: c6d8931cd8579daeab1294fc2c290a01229af76e74e73811be25e20067f03fff
SHA1: 8709728b54dfa6accd10458b49cab2156b23bf9b
MD5sum: a730abfa5bc71ea5e031ceb9db5b9cf3
Description: shooter game - game data
 Val & Rick is probably the precursor to Gunroar, featuring similar controls
 but in a different environment. Only 2D sprites and backgrounds are used in
 what seems like a tribute to Xevious and Star Soldier.
 .
 This package includes the architecture-independent data for Kenta Cho's game
 Val & Rick.
Tag: made-of::audio, made-of::xml, role::app-data
Section: games
Priority: extra
Filename: pool/main/v/val-and-rick/val-and-rick-data_0.1a.dfsg1-3_all.deb

Package: vala-0.10-doc
Source: vala
Version: 0.10.4-1
Installed-Size: 208
Maintainer: Maintainers of Vala packages 
Architecture: all
Provides: vala-doc
Suggests: valac, devhelp
Size: 86230
SHA256: 9019abd0ee6da404a551cf02c7f38dd90baab4a8946d12c69d2d1ee5788e6c37
SHA1: fe8fa715e0ba63e728f6071ff6521047f353714b
MD5sum: ff8b519841291d1aa706d90c90c08ec8
Description: C# like language for the GObject system - documentation
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 This package contains the Vala Reference Manual.
Homepage: http://live.gnome.org/Vala/
Tag: devel::doc, role::documentation
Section: doc
Priority: optional
Filename: pool/main/v/vala/vala-0.10-doc_0.10.4-1_all.deb

Package: vala-0.12-doc
Source: vala-0.12
Version: 0.12.1-2
Installed-Size: 228
Maintainer: Maintainers of Vala packages 
Architecture: all
Provides: vala-doc
Suggests: valac, devhelp
Size: 103250
SHA256: f4aa74db849d66b8c311564d4ada04fc39244c7bc75bc537435425d0f974ab28
SHA1: c57f8d3583f97c9c3a528249e6f2635aaa9efc9a
MD5sum: d642697d19373b8ea7845be10d26c952
Description: C# like language for the GObject system - documentation
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 This package contains the Vala Reference Manual.
Homepage: http://live.gnome.org/Vala/
Tag: devel::doc, role::documentation
Section: doc
Priority: optional
Filename: pool/main/v/vala-0.12/vala-0.12-doc_0.12.1-2_all.deb

Package: vala-0.14-doc
Source: vala-0.14
Version: 0.14.2-2
Installed-Size: 184
Maintainer: Maintainers of Vala packages 
Architecture: all
Provides: vala-doc
Suggests: valac, devhelp
Size: 119500
SHA256: a0cecec37cb2f313318073042ec86c9a17a3464e74800408831d2f7694550036
SHA1: b881c42b890b29da139b613b6ab28561e4be7ed0
MD5sum: cbef0d54768edb4a7f0330a46945e539
Description: C# like language for the GObject system - documentation
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 This package contains the Vala Reference Manual.
Homepage: http://live.gnome.org/Vala/
Tag: devel::doc, role::documentation
Section: doc
Priority: optional
Filename: pool/main/v/vala-0.14/vala-0.14-doc_0.14.2-2_all.deb

Package: vala-0.16-doc
Source: vala-0.16
Version: 0.16.1-2
Installed-Size: 191
Maintainer: Maintainers of Vala packages 
Architecture: all
Provides: vala-doc
Suggests: valac, devhelp
Size: 126530
SHA256: e6ea3399d4e06fcaaf5edfe6cc35ae5249d89ee7a634f7903e6f2142b5651a4a
SHA1: 961c16e63fff6824e63b2fe4ca8adff976f4e909
MD5sum: eec31d683a1d8a1c4684a33709ada510
Description: C# like language for the GObject system - documentation
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 This package contains the Vala Reference Manual.
Multi-Arch: foreign
Homepage: http://live.gnome.org/Vala/
Section: doc
Priority: optional
Filename: pool/main/v/vala-0.16/vala-0.16-doc_0.16.1-2_all.deb

Package: vala-dbus-binding-tool
Version: 0.3.3~git20110523-2
Architecture: armhf
Maintainer: Debian FreeSmartphone.Org Team 
Installed-Size: 91
Depends: libc6 (>= 2.13-28), libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libxml2 (>= 2.7.4)
Homepage: http://freesmartphone.org/
Priority: extra
Section: devel
Filename: pool/main/v/vala-dbus-binding-tool/vala-dbus-binding-tool_0.3.3~git20110523-2_armhf.deb
Size: 25772
SHA256: e68d45460a49e4bde9584b5a12e9f6827a7eaf2430f9afabab295f7f4c533d43
SHA1: fbb3b5bc1d225e24ede59598d971f2df4f16074e
MD5sum: e985c9ff6d998541fb6b1bb8bd574288
Description: Vala binding-generator for xml introspection files
 Vala is a novel language that has many adopters among the
 developers of the freesmartphone.org software stack
 that is targeted for smartphones. Here, the DBus orchestrates
 many collaborating tools, which all need to be build against
 compatible versions of the DBus specifications.
 .
 This package automates the creation of GObject interfaces out
 of DBus XML specifications.

Package: vala-gen-project
Source: valatoys
Version: 0.12.1-3
Architecture: armhf
Maintainer: David Paleino 
Installed-Size: 198
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk-3-0 (>= 3.0.0), libpango1.0-0 (>= 1.14.0)
Recommends: valac-0.14
Suggests: gnome-common, libgtk-3-dev
Homepage: http://code.google.com/p/vtg/
Priority: optional
Section: devel
Filename: pool/main/v/valatoys/vala-gen-project_0.12.1-3_armhf.deb
Size: 75096
SHA256: 2ee2fc697326a35df2ead283ae8bab8300397d8733a7b4c0c5a540d0a2261f66
SHA1: 382c998a4f71401848df51324c24aa5ed81f3f8c
MD5sum: 7b31ef349bcdd852a0b8f1055eb21c8a
Description: Vala project generator
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 This package contains a project generator, to start a new Vala
 project easily.

Package: vala-gen-project-dbg
Source: valatoys
Version: 0.12.1-3
Architecture: armhf
Maintainer: David Paleino 
Installed-Size: 278
Depends: vala-gen-project (= 0.12.1-3)
Homepage: http://code.google.com/p/vtg/
Priority: extra
Section: debug
Filename: pool/main/v/valatoys/vala-gen-project-dbg_0.12.1-3_armhf.deb
Size: 97864
SHA256: 976573f67e3d73f9622fe942aadbd0b8c6e34ae7b4d0d2e1d972361d3bd65274
SHA1: b9a98400ec47e75fa31383fd334cfcb744891939
MD5sum: 12ecc801391d7b5cc47859d77ae66d20
Description: Vala project generator - debugging symbols
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 This package contains debugging symbols for vala-gen-project.

Package: vala-terminal
Version: 1.3-3
Architecture: armhf
Maintainer: Debian freesmartphone.org Team 
Installed-Size: 82
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libvte9 (>= 1:0.24.0), libx11-6
Provides: x-terminal-emulator
Homepage: http://freesmartphone.org/
Priority: extra
Section: x11
Filename: pool/main/v/vala-terminal/vala-terminal_1.3-3_armhf.deb
Size: 26470
SHA256: 2c41a9e3ce0e6c9d8614adb4dd79cb71e6210981c2ae898e7a5a841fe2ed7e1e
SHA1: 57cbe9831b0df94abd4a88dbae225e58006f2158
MD5sum: d430d6c9963fc6b313213c634aa70f57
Description: Terminal emulator for mobile devices
 vala-terminal is terminal program based on libvte and written in Vala.
 It was designed with mobile devices in mind.
 .
 This package is part of the freesmartphone.org software stack
 and it is targeted for smartphones.

Package: valabind
Version: 0.6.4-1
Architecture: armhf
Maintainer: Sebastian Reichel 
Installed-Size: 212
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.30.0), libvala-0.14-0 (>= 0.14.2)
Suggests: gobject-introspection, swig, valac
Priority: optional
Section: devel
Filename: pool/main/v/valabind/valabind_0.6.4-1_armhf.deb
Size: 63168
SHA256: 6cbd1065fa6d4dd15a81b0cf38a629a65cd8e9b0effceb47d54d3992c2f1f882
SHA1: bdcbc20b820b548d6b16934f69fae1c9f6b20c43
MD5sum: 4f09c6462d4346679dc71cbd15dc1f50
Description: convert vapi files to SWIG, C++, GIR or GEAR files
 Valabind is a tool to parse vala or vapi files and transform them into SWIG
 interface files, C++ source files, GIR or GEAR.
 .
 It allows easy, fully automatic generation of language bindings for vala code.

Package: valac
Source: vala-0.16
Version: 0.16.1-2
Installed-Size: 142
Maintainer: Maintainers of Vala packages 
Architecture: all
Depends: valac-0.16
Size: 117806
SHA256: 3a8384074eed2f2eaa25b2cbea54cba3f2e049febfce55bee4a746ec678ab353
SHA1: f5411ef3fef88715f836d1ec43508c35ac22f4dc
MD5sum: 1f371cdd121b7d58cd6db4219df5e765
Description: C# like language for the GObject system
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 valac, the Vala compiler, is a self-hosting compiler that translates
 Vala source code into C source and header files.  It uses the GObject
 type system to create classes and interfaces declared in the Vala
 source code. This package also contains the vala-gen-introspect and
 vapigen binaries that will automatically generate Vala bindings.
 .
 This package always depends on the currently supported version
 of valac but doesn't have any content by itself.
Homepage: http://live.gnome.org/Vala/
Tag: devel::buildtools, devel::code-generator, devel::compiler,
 devel::lang:vala, implemented-in::vala, interface::commandline,
 role::program, scope::utility
Section: devel
Priority: optional
Filename: pool/main/v/vala-0.16/valac_0.16.1-2_all.deb

Package: valac-0.10
Source: vala
Version: 0.10.4-1
Architecture: armhf
Maintainer: Maintainers of Vala packages 
Installed-Size: 3950
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.30.0), libvala-0.10-0 (= 0.10.4-1), libglib2.0-dev (>= 2.25.11-3)
Conflicts: vala-utils (<< 0.10), valac (<< 0.9.5)
Replaces: vala-utils (<< 0.10)
Provides: vala-utils
Homepage: http://live.gnome.org/Vala/
Priority: optional
Section: devel
Filename: pool/main/v/vala/valac-0.10_0.10.4-1_armhf.deb
Size: 795542
SHA256: 863a624c32ed9802109a5b3eaa9195db9e5a1d3c80fe89f3892bd78e4b9dda89
SHA1: 0eeb91efb856aa3084d892bd075caec6472be408
MD5sum: 25ca1adee684cc04e5e65689590c5b66
Description: C# like language for the GObject system
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 valac, the Vala compiler, is a self-hosting compiler that translates
 Vala source code into C source and header files.  It uses the GObject
 type system to create classes and interfaces declared in the Vala
 source code. This package also contains the vala-gen-introspect and
 vapigen binaries that will automatically generate Vala bindings.

Package: valac-0.10-dbg
Source: vala
Version: 0.10.4-1
Architecture: armhf
Maintainer: Maintainers of Vala packages 
Installed-Size: 915
Depends: valac-0.10 (= 0.10.4-1)
Homepage: http://live.gnome.org/Vala/
Priority: extra
Section: debug
Filename: pool/main/v/vala/valac-0.10-dbg_0.10.4-1_armhf.deb
Size: 404982
SHA256: c3fea2454707abf6453158f3320ad68a8e242831e6ddf8c3fb91c0bbcf04236f
SHA1: 20427cd2825f7fc9a8a7324826adcd62c712f204
MD5sum: 9ad3e3a31552e5deb012395b46efe1b1
Description: C# like language for the GObject system
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 valac, the Vala compiler, is a self-hosting compiler that translates
 Vala source code into C source and header files.  It uses the GObject
 type system to create classes and interfaces declared in the Vala
 source code. This package also contains the vala-gen-introspect and
 vapigen binaries that will automatically generate Vala bindings.
 .
 This package contains the compiler debug symbols.

Package: valac-0.12
Source: vala-0.12
Version: 0.12.1-2
Architecture: armhf
Maintainer: Maintainers of Vala packages 
Installed-Size: 4566
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.30.0), libvala-0.12-0 (= 0.12.1-2), libglib2.0-dev (>= 2.26)
Conflicts: vala-utils (<< 0.10), valac (<< 0.9.5)
Replaces: vala-utils (<< 0.10)
Provides: vala-utils
Homepage: http://live.gnome.org/Vala/
Priority: optional
Section: devel
Filename: pool/main/v/vala-0.12/valac-0.12_0.12.1-2_armhf.deb
Size: 899322
SHA256: aa967978fc7402aafa5bcdc7e35d9396630e2c25659bc19bedee43ce1de23015
SHA1: 0cc831f3a32b8455b5efde2a1849d665c11ba414
MD5sum: b6c797fca64eed8f69578575783def0f
Description: C# like language for the GObject system
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 valac, the Vala compiler, is a self-hosting compiler that translates
 Vala source code into C source and header files.  It uses the GObject
 type system to create classes and interfaces declared in the Vala
 source code. This package also contains the vala-gen-introspect and
 vapigen binaries that will automatically generate Vala bindings.

Package: valac-0.12-dbg
Source: vala-0.12
Version: 0.12.1-2
Architecture: armhf
Maintainer: Maintainers of Vala packages 
Installed-Size: 1020
Depends: valac-0.12 (= 0.12.1-2)
Homepage: http://live.gnome.org/Vala/
Priority: extra
Section: debug
Filename: pool/main/v/vala-0.12/valac-0.12-dbg_0.12.1-2_armhf.deb
Size: 448304
SHA256: cfd037bea49d733fea9e57ff8d51ab7861a034bd662930faa2606439b6d0e3e3
SHA1: d0ff69448a1905194f26af609ae3714453713297
MD5sum: 81749838ec72b94378b3d8a646844448
Description: C# like language for the GObject system
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 valac, the Vala compiler, is a self-hosting compiler that translates
 Vala source code into C source and header files.  It uses the GObject
 type system to create classes and interfaces declared in the Vala
 source code. This package also contains the vala-gen-introspect and
 vapigen binaries that will automatically generate Vala bindings.
 .
 This package contains the compiler debug symbols.

Package: valac-0.14
Source: vala-0.14
Version: 0.14.2-2
Architecture: armhf
Maintainer: Maintainers of Vala packages 
Installed-Size: 5301
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.30.0), libvala-0.14-0 (= 0.14.2-2), libglib2.0-dev (>= 2.26)
Conflicts: vala-utils (<< 0.10), valac (<< 0.9.5)
Replaces: vala-utils (<< 0.10)
Provides: vala-utils
Homepage: http://live.gnome.org/Vala/
Priority: optional
Section: devel
Filename: pool/main/v/vala-0.14/valac-0.14_0.14.2-2_armhf.deb
Size: 1002866
SHA256: e542f4255e796d9e43c6b45480a96694741d9f13c5c5698867cb9bb7e9f5ca79
SHA1: ec4840742ecf001ea088c8ff2ac7223006663d50
MD5sum: 83744c2816fa0f1443645759483f9ecf
Description: C# like language for the GObject system
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 valac, the Vala compiler, is a self-hosting compiler that translates
 Vala source code into C source and header files.  It uses the GObject
 type system to create classes and interfaces declared in the Vala
 source code. This package also contains the vala-gen-introspect and
 vapigen binaries that will automatically generate Vala bindings.

Package: valac-0.14-dbg
Source: vala-0.14
Version: 0.14.2-2
Architecture: armhf
Maintainer: Maintainers of Vala packages 
Installed-Size: 1309
Depends: valac-0.14 (= 0.14.2-2)
Homepage: http://live.gnome.org/Vala/
Priority: extra
Section: debug
Filename: pool/main/v/vala-0.14/valac-0.14-dbg_0.14.2-2_armhf.deb
Size: 543638
SHA256: 0b31b8bee588d4f91bf283ab9da03dfb1370d9a3e9e07576b2bd56e6381de674
SHA1: 2609c42977f41f14fbf59fc48c42f856679cebe4
MD5sum: 04f463726a3eeeabba5ebc73bc9bf483
Description: C# like language for the GObject system
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 valac, the Vala compiler, is a self-hosting compiler that translates
 Vala source code into C source and header files.  It uses the GObject
 type system to create classes and interfaces declared in the Vala
 source code. This package also contains the vala-gen-introspect and
 vapigen binaries that will automatically generate Vala bindings.
 .
 This package contains the compiler debug symbols.

Package: valac-0.16
Source: vala-0.16
Version: 0.16.1-2
Architecture: armhf
Maintainer: Maintainers of Vala packages 
Installed-Size: 555
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.30.0), libvala-0.16-0 (= 0.16.1-2), libglib2.0-dev (>= 2.26), valac-0.16-vapi
Conflicts: libvala-0.10-dev (<< 0.10.4-2~), libvala-0.12-dev (<< 0.12.4-3~), vala-utils (<< 0.10), valac (<< 0.9.5)
Replaces: vala-utils (<< 0.10)
Provides: vala-utils
Homepage: http://live.gnome.org/Vala/
Priority: optional
Section: devel
Filename: pool/main/v/vala-0.16/valac-0.16_0.16.1-2_armhf.deb
Size: 284498
SHA256: 35691f0be3155781d5a195ce5851d9cf99f172757325fb75845eb7a92586772e
SHA1: b25bf216a44c6569b6bdf07bbe78ecda9744e150
MD5sum: d9bfe7e19cede9e1288f9943b963f542
Description: C# like language for the GObject system
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 valac, the Vala compiler, is a self-hosting compiler that translates
 Vala source code into C source and header files.  It uses the GObject
 type system to create classes and interfaces declared in the Vala
 source code. This package also contains the vala-gen-introspect and
 vapigen binaries that will automatically generate Vala bindings.

Package: valac-0.16-dbg
Source: vala-0.16
Version: 0.16.1-2
Architecture: armhf
Maintainer: Maintainers of Vala packages 
Installed-Size: 1321
Depends: valac-0.16 (= 0.16.1-2)
Homepage: http://live.gnome.org/Vala/
Priority: extra
Section: debug
Filename: pool/main/v/vala-0.16/valac-0.16-dbg_0.16.1-2_armhf.deb
Size: 551300
SHA256: e9cdae169648939bde590a4c80afaf23626a7165adc19de00ccd1a10f8fbb150
SHA1: ab9a106dacf7f39640bda165da798fdc9cdc64a2
MD5sum: 25103d12d5e8e4344a921c4ec0ef2da0
Description: C# like language for the GObject system - debug symbols
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 valac, the Vala compiler, is a self-hosting compiler that translates
 Vala source code into C source and header files.  It uses the GObject
 type system to create classes and interfaces declared in the Vala
 source code. This package also contains the vala-gen-introspect and
 vapigen binaries that will automatically generate Vala bindings.
 .
 This package contains the compiler debug symbols.

Package: valac-0.16-vapi
Source: vala-0.16
Version: 0.16.1-2
Installed-Size: 5365
Maintainer: Maintainers of Vala packages 
Architecture: all
Size: 885176
SHA256: c5dd5b62dad2c4db7b609ec09afe0f8282bef4a0739914b17370b636aff883ba
SHA1: a259d6b8679120b6bfd30ee35b1ec145e3e89f57
MD5sum: 220dac5fa44d62cc5d6e208218a97d94
Description: C# like language for the GObject system - vapi files
 Vala is a new programming language that aims to bring modern programming
 language features to GNOME developers without imposing any additional
 runtime requirements and without using a different ABI compared to
 applications and libraries written in C.
 .
 valac, the Vala compiler, is a self-hosting compiler that translates
 Vala source code into C source and header files.  It uses the GObject
 type system to create classes and interfaces declared in the Vala
 source code. This package also contains the vala-gen-introspect and
 vapigen binaries that will automatically generate Vala bindings.
 .
 This package contains the bundled vapi files, which make some
 common libraries available for vala development.
Homepage: http://live.gnome.org/Vala/
Section: devel
Priority: optional
Filename: pool/main/v/vala-0.16/valac-0.16-vapi_0.16.1-2_all.deb

Package: valadoc
Version: 0.3.2~git20120227-1
Architecture: armhf
Maintainer: Sebastian Reichel 
Installed-Size: 384
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libcdt4, libgee2 (>= 0.5.0), libglib2.0-0 (>= 2.30.0), libgraph4, libgvc5, libvala-0.14-0 (>= 0.14.2), libvaladoc1 (>= 0.3.2~git20120227)
Suggests: valac
Homepage: http://www.valadoc.org
Priority: extra
Section: devel
Filename: pool/main/v/valadoc/valadoc_0.3.2~git20120227-1_armhf.deb
Size: 117366
SHA256: f46611039c39d0416e28b886c12cc16735a1c72dfd3bce1f81f8ab86131a1fa9
SHA1: 0101a85452f9c4606bdf3c217a0a9d332a253d7a
MD5sum: 606a19a3bc7c3c1dee4372ad75847067
Description: API documentation generator for vala
 Valadoc is a documentation generator for generating API documentation
 in HTML format from Vala source code. It can be used for *.vala and
 *.vapi files.

Package: valgrind
Version: 1:3.7.0-6+rpi1
Architecture: armhf
Maintainer: Alessandro Ghedini 
Installed-Size: 35723
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libc6-dbg
Recommends: valgrind-dbg, gdb
Suggests: valgrind-mpi, kcachegrind, alleyoop, valkyrie (>> 1.3.0)
Homepage: http://www.valgrind.org/
Priority: optional
Section: devel
Filename: pool/main/v/valgrind/valgrind_3.7.0-6+rpi1_armhf.deb
Size: 15820534
SHA256: 28ae807bdb0903e876ba897ecc33aaaf50c56ea63cdfc71316edb137caaf2772
SHA1: be8fe2bdb53b85cc759d5e8eb014c2448d999c82
MD5sum: b8567ecffd417237ba967a3286a7eb5e
Description: instrumentation framework for building dynamic analysis tools
 Valgrind is a system for debugging and profiling Linux programs. With its tool
 suite you can automatically detect many memory management and threading bugs,
 avoiding hours of frustrating bug-hunting and making your programs more stable.
 You can also perform detailed profiling to help speed up your programs and use
 Valgrind to build new tools.
 .
 The Valgrind distribution currently includes six production-quality tools:
  * a memory error detector (Memcheck)
  * two thread error detectors (Helgrind and DRD)
  * a cache and branch-prediction profiler (Cachegrind)
  * a call-graph generating cache and branch-prediction profiler (Callgrind)
  * a heap profiler (Massif)
 It also includes three experimental tools:
  * a stack/global array overrun detector (SGCheck)
  * a second heap profiler that examines how heap blocks are used (DHAT)
  * a SimPoint basic block vector generator (BBV)

Package: valgrind-dbg
Source: valgrind
Version: 1:3.7.0-6+rpi1
Architecture: armhf
Maintainer: Alessandro Ghedini 
Installed-Size: 72641
Depends: valgrind (= 1:3.7.0-6+rpi1)
Homepage: http://www.valgrind.org/
Priority: extra
Section: debug
Filename: pool/main/v/valgrind/valgrind-dbg_3.7.0-6+rpi1_armhf.deb
Size: 28032488
SHA256: a4afca91323ab8d9b2b7b02630c7309e6295cfba72c9293cd40708837c3d6c02
SHA1: d3f0f1a41987aa17f6925dedfc1492515200a7f7
MD5sum: 72baa4047a66894cd22c558f72347781
Description: instrumentation framework for building dynamic analysis tools (debug)
 Valgrind is a system for debugging and profiling Linux programs. With its tool
 suite you can automatically detect many memory management and threading bugs,
 avoiding hours of frustrating bug-hunting and making your programs more stable.
 You can also perform detailed profiling to help speed up your programs and use
 Valgrind to build new tools.
 .
 This package provides the debug symbols for valgrind's tools and libraries.

Package: valgrind-mpi
Source: valgrind
Version: 1:3.7.0-6+rpi1
Architecture: armhf
Maintainer: Alessandro Ghedini 
Installed-Size: 347
Depends: libc6 (>= 2.13-28), libopenmpi1.3, valgrind (= 1:3.7.0-6+rpi1)
Recommends: gdb
Homepage: http://www.valgrind.org/
Priority: optional
Section: devel
Filename: pool/main/v/valgrind/valgrind-mpi_3.7.0-6+rpi1_armhf.deb
Size: 120518
SHA256: f767a65f4b43ebfa99234b2be6746f708e8ad18f8d4632fd27072d7ab7260a9f
SHA1: 45c02af7de249bb50bb728cfe2446eaadafacdb0
MD5sum: c72fc3a56672ffdfd935f89742a41036
Description: instrumentation framework for building dynamic analysis tools (MPI module)
 Valgrind is a system for debugging and profiling Linux programs. With its tool
 suite you can automatically detect many memory management and threading bugs,
 avoiding hours of frustrating bug-hunting and making your programs more stable.
 You can also perform detailed profiling to help speed up your programs and use
 Valgrind to build new tools.
 .
 This package provides the "mpiwrap" library for debugging distributed-memory
 applications which use the MPI message passing standard.

Package: valknut
Version: 0.4.9-2
Architecture: armhf
Maintainer: Maia Kozheva 
Installed-Size: 2221
Depends: libc6 (>= 2.13-28), libdc5, libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqt4-sql (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Recommends: valknut-translations
Homepage: http://wxdcgui.sourceforge.net
Priority: optional
Section: net
Filename: pool/main/v/valknut/valknut_0.4.9-2_armhf.deb
Size: 976902
SHA256: af338d8847accd24c3324ff3cbbcabb1ab585a25b73cf2989d3bcd1a994f7cd7
SHA1: 5f4471177d0494342616ec80a745098460cab73b
MD5sum: 0835522af379e6bb9513bd26eb15912c
Description: graphical client for Direct Connect
 Valknut is a graphical client for popular peer to peer network called Direct
 Connect. Valknut was earlier known as dcgui-qt.
 .
 Valknut has many features, such as searching on all public servers without
 connecting, downloading a file from multiple locations, connecting to
 multiple servers, and support for multiple languages.

Package: valknut-translations
Source: valknut
Version: 0.4.9-2
Installed-Size: 1463
Maintainer: Maia Kozheva 
Architecture: all
Replaces: valknut (<< 0.4.9-1)
Depends: valknut (>= 0.4.9-2)
Size: 419794
SHA256: b156fe4ad5dce978e42b2f1f1f46563a29073cae1ec5716fe554594b926e1a9d
SHA1: a1dfe4df9ac6ae828db552a88d7cb3694ff9f553
MD5sum: 81a90da74c09d116715e86842969fdab
Description: graphical client for Direct Connect - translation files
 Valknut is a graphical client for popular peer to peer network called Direct
 Connect. Valknut was earlier known as dcgui-qt.
 .
 Valknut has many features, such as searching on all public servers without
 connecting, downloading a file from multiple locations, connecting to
 multiple servers, and support for multiple languages.
Homepage: http://wxdcgui.sourceforge.net
Tag: role::app-data
Section: net
Priority: optional
Filename: pool/main/v/valknut/valknut-translations_0.4.9-2_all.deb

Package: valkyrie
Version: 2.0.0-1
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 979
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0)
Recommends: valgrind (>= 3.6.0)
Homepage: http://www.open-works.co.uk/projects/valkyrie.html
Priority: optional
Section: devel
Filename: pool/main/v/valkyrie/valkyrie_2.0.0-1_armhf.deb
Size: 383404
SHA256: 734f7c9e48759d244b19317f3fcdeeb8242e952ffb260a515fc14ee2f54c1ed6
SHA1: e3b76e516090f900f4db473492c2948228a0ea52
MD5sum: f741ff487f7eeb1b20e3fa3b9f28f1fd
Description: open-source graphical user interface for the Valgrind
 Valkyrie is an open-source graphical user interface for the Valgrind
 3.6.X line. Valkyrie uses the Qt widget library, and is based on
 Valgrind`s XML output capabilities. Valkyrie is designed for simplicity
 and ease of use, whilst allowing access to the full range of Valgrind
 command-line options.
 .
 Currently, Valkyrie supports Memcheck only, although work is in progress
 to handle Cachegrind and Massif.

Package: vamp-examples
Source: vamp-plugin-sdk
Version: 2.1-1
Architecture: armhf
Maintainer: Székelyi Szabolcs 
Installed-Size: 350
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0)
Homepage: http://www.vamp-plugins.org/
Priority: extra
Section: sound
Filename: pool/main/v/vamp-plugin-sdk/vamp-examples_2.1-1_armhf.deb
Size: 139998
SHA256: 2df9cb7b821e983b0b206c7d8773195e373f7a5324619a6222104f6d774bd65e
SHA1: 9c26e65dfe2b167d4f76d000d9fa568e5f79098c
MD5sum: b444966e88a68fb498e6e9d144adf6ce
Description: example Vamp plugins and host
 Vamp is an audio processing plugin system for plugins that extract
 descriptive information from audio data - typically referred to as
 audio analysis plugins or audio feature extraction plugins.
 .
 This package contains the following example plugins:
 .
  * Zero Crossings calculates the positions and density of
    zero-crossing points in an audio waveform.
 .
  * Spectral Centroid calculates the centre of gravity of the
    frequency domain representation of each block of audio.
 .
  * Simple Power Spectrum calculates a power spectrum from the input
    audio.  Actually, it doesn't do any work except calculating power
    from a cartesian complex FFT output.  The work of calculating this
    frequency domain output is done for it by the host or host SDK; the
    plugin just needs to declare that it wants frequency domain
    input. This is the simplest of the example plugins.
 .
  * Amplitude Follower is an implementation of SuperCollider's
    amplitude-follower algorithm as a simple Vamp plugin.
 .
  * Simple Percussion Onset Detector: estimates the locations of
    percussive onsets using a simple method described in "Drum Source
    Separation using Percussive Feature Detection and Spectral
    Modulation" by Dan Barry, Derry Fitzgerald, Eugene Coyle and Bob
    Lawlor, ISSC 2005.
 .
  * Simple Fixed Tempo Estimator: calculates a single beats-per-minute
    value which is an estimate of the tempo of a piece of music that is
    assumed to be of fixed tempo, using autocorrelation of a frequency
    domain energy rise metric.  It has several outputs that return
    intermediate results used in the calculation, and may be a useful
    example of a plugin having several outputs with varying feature
    structures.
 .
 Also included is a simple host that can enumerate plugins, list their
 features, and process an audio file.

Package: vamp-plugin-sdk
Version: 2.1-1
Architecture: armhf
Maintainer: Székelyi Szabolcs 
Installed-Size: 923
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libvamp-sdk2 (= 2.1-1), libvamp-hostsdk3 (= 2.1-1)
Homepage: http://www.vamp-plugins.org/
Priority: extra
Section: sound
Filename: pool/main/v/vamp-plugin-sdk/vamp-plugin-sdk_2.1-1_armhf.deb
Size: 283646
SHA256: d32fcb158032c1ef278c4746bc9034221edced2fe62918f9ae11c5f8578873a5
SHA1: 6511de8915bc7705a4be3008efd96dfd7e563304
MD5sum: a2ed5eaee52355d6f1c55504c0b9783c
Description: audio analysis and feature extraction plugins (SDK)
 Vamp is an audio processing plugin system for plugins that extract
 descriptive information from audio data - typically referred to as
 audio analysis plugins or audio feature extraction plugins.
 .
 Just like an audio effects plugin (such as a VST), a Vamp plugin is a
 binary module that can be loaded up by a host application and fed
 audio data. However, unlike an effects plugin, a Vamp plugin outputs
 not processed audio but some sort of symbolic information. Typical
 things that a Vamp plugin might calculate include the locations of
 moments such as note onset times, visual representations of the audio
 such as histograms, or curve data such as power or fundamental
 frequency.
 .
 Hosts using Vamp plugins include Audacity and Sonic Visualiser.
 .
 This package contains C and C++ header files for developing Vamp
 plugins and hosts as well as source code of example plugins and a
 simple host and also a utility to generate Vamp plugin RDF templates.

Package: vamp-plugin-sdk-doc
Source: vamp-plugin-sdk
Version: 2.1-1
Installed-Size: 4008
Maintainer: Székelyi Szabolcs 
Architecture: all
Size: 779756
SHA256: 5658549cea9d505347422d6e29cde528e81ffd20a1880f47f2c0b256a992107d
SHA1: 724dc0fbc777575f346ae714afef00b14a3c0c2a
MD5sum: dcc2802f7cd95dfebafd645edbafb117
Description: audio analysis and feature extraction plugins (API documentation)
 Vamp is an audio processing plugin system for plugins that extract
 descriptive information from audio data - typically referred to as
 audio analysis plugins or audio feature extraction plugins.
 .
 This package contains API specification for Vamp plugins and
 documentation for libraries provided by the libvamp-hostsdk3 and
 libvamp-sdk2 packages.
Homepage: http://www.vamp-plugins.org/
Tag: devel::doc, made-of::html, role::documentation
Section: doc
Priority: extra
Filename: pool/main/v/vamp-plugin-sdk/vamp-plugin-sdk-doc_2.1-1_all.deb

Package: vamps
Version: 0.99.2-4
Architecture: armhf
Maintainer: William Vera 
Installed-Size: 125
Depends: libc6 (>= 2.13-28), libdvdread4, libgcc1 (>= 1:4.4.0)
Homepage: http://sourceforge.net/projects/vamps/
Priority: optional
Section: graphics
Filename: pool/main/v/vamps/vamps_0.99.2-4_armhf.deb
Size: 45850
SHA256: 74149fff026bba3bcf2fb0f7dd9a7ef9260476eafcf78fe0a86bc90dc6b349cd
SHA1: ed89c55ca003ee1ef1d0108f58ff1e847534aed5
MD5sum: 246b3c66c26cb268f9158bcca6b9b064
Description: Tool to recompress and modify the structure of a DVD
 Vamps reduces the size of DVD compliant MPEG2 program streams by
 selectively copying audio and subpicture tracks and by resizing
 the embedded elementary video stream.
 The shrink factor may be either specified for the video elementary
 stream only or for the video ES only or for the full PS.

Package: varmon
Version: 1.2.1-1
Architecture: armhf
Maintainer: Julien Danjou 
Installed-Size: 530
Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5
Priority: extra
Section: admin
Filename: pool/main/v/varmon/varmon_1.2.1-1_armhf.deb
Size: 481314
SHA256: 778f30bbd8ac7f161c7c69771643967c54cbeb14f66f3f5d5eed7fed68fcbd03
SHA1: 5e37bb955c12e73b64e88952ce6d47170d9b83f2
MD5sum: a1142476d5dbe1fc93ae3a1990ef3ffd
Description: VA RAID monitor
 A text-based tool to monitor DAC 960 RAID controllers. This
 includes Mylex RAID cards from the 960 and 1100 series,
 eXteremeRAID 2000 & 3000, AcceleRAID 352 & 170.

Package: varnish
Version: 3.0.2-2+deb7u2
Architecture: armhf
Maintainer: Varnish Package Maintainers 
Installed-Size: 1052
Depends: libc6 (>= 2.13-28), libedit2 (>= 2.11-20080614-1), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libpcre3 (>= 8.10), libtinfo5, libvarnishapi1 (>= 3.0.0), gcc (>= 3.3), libc6-dev | libc6.1-dev | libc-dev, adduser
Suggests: varnish-doc
Replaces: libvarnishapi1 (<< 3.0.0-5)
Homepage: http://varnish-cache.org/
Priority: optional
Section: web
Filename: pool/main/v/varnish/varnish_3.0.2-2+deb7u2_armhf.deb
Size: 520848
SHA256: e8ff3881d1f3dda0d7f936ec38821ef4568a1764d8efcc65714e5fa36f49dd8f
SHA1: 367f67632de230f0cb6a37a932b96ff4d7df2e23
MD5sum: a422ee5e5ef5b2138d26666038959bf4
Description: state of the art, high-performance web accelerator
 Varnish Cache is a state of the art web accelerator written with
 performance and flexibility in mind.
 .
 Varnish Cache stores web pages in memory so web servers don't have to
 create the same web page over and over again. Varnish serves pages
 much faster than any application server; giving the website a
 significant speed up.
 .
 Some of the features include:
  * A modern design
  * VCL - a very flexible configuration language
  * Load balancing with health checking of backends
  * Partial support for ESI - Edge Side Includes
  * URL rewriting
  * Graceful handling of "dead" backends

Package: varnish-dbg
Source: varnish
Version: 3.0.2-2+deb7u2
Architecture: armhf
Maintainer: Varnish Package Maintainers 
Installed-Size: 995
Depends: varnish (= 3.0.2-2+deb7u2)
Homepage: http://varnish-cache.org/
Priority: extra
Section: debug
Filename: pool/main/v/varnish/varnish-dbg_3.0.2-2+deb7u2_armhf.deb
Size: 828208
SHA256: 001354ec4338daaab1381bb2ad2a5747d4d458b7869686b829c34ffb0838d4b3
SHA1: 540729729aab8413df23b530299a97ec292d54bc
MD5sum: 3c5db7828053b40ec89a08acbc9126ae
Description: debugging symbols for varnish
 This package contains the detached debugging symbols for varnish.
 .
 Varnish Cache is a state of the art web accelerator written with
 performance and flexibility in mind.

Package: varnish-doc
Source: varnish
Version: 3.0.2-2+deb7u2
Installed-Size: 1161
Maintainer: Varnish Package Maintainers 
Architecture: all
Depends: libjs-jquery, libjs-underscore
Size: 275790
SHA256: 88a217763b285f72b84779a47c4e680cd489002a5b493713ca019b52d18c3d6b
SHA1: f77f6fa2cedfbbd96a936790353e21bf98e96cd7
MD5sum: 1e9a44f8f61d2fc25cf492d0031ace96
Description: documentation for Varnish Cache
 This package contains HTML documentation for Varnish Cache.
Homepage: http://varnish-cache.org/
Section: doc
Priority: optional
Filename: pool/main/v/varnish/varnish-doc_3.0.2-2+deb7u2_all.deb

Package: vavoom
Version: 1.33-4
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 5910
Depends: libc6 (>= 2.13-28), libflac++6 (>= 1.2.1), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libjpeg8 (>= 8c), libmad0 (>= 0.15.1b-3), libmikmod2 (>= 3.1.10), libogg0 (>= 1.0rc3), libpng12-0 (>= 1.2.13-4), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), libvorbis0a (>= 1.1.2), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), zlib1g (>= 1:1.1.4)
Recommends: freedoom | doom-wad | heretic-wad | game-data-packager | boom-wad
Provides: boom-engine, doom-engine, heretic-engine
Homepage: http://www.vavoom-engine.com/
Priority: extra
Section: games
Filename: pool/main/v/vavoom/vavoom_1.33-4_armhf.deb
Size: 3176334
SHA256: 162c8e127203f6d50b8cd87c7f05c9a171db5fe87f533e0e209906a18e68e3f2
SHA1: 20772471f673a8451b3bc76b3cb93267c5d54ec9
MD5sum: ec1f5f54b66356ecfc879e35b78b3b31
Description: Advanced Doom/Heretic/Hexen/Strife engine
 Vavoom is an engine based on sources of Doom, Heretic, Hexen and
 a little bit from Quake.
 It needs game data to run, A free game data is available in
 freedoom package. Commercial game data can be packaged using
 game-data-packager.
 .
 Vavoom features vlaunch, a graphical launcher.

Package: vbackup
Version: 0.1.9-1
Installed-Size: 196
Maintainer: Stefanos Harhalakis 
Architecture: all
Suggests: xfsdump, mdadm, lvm2, postgresql-client, mysql-client, rpm, openssl
Size: 46518
SHA256: 0f473b4a5154fecd8b26126db9a91274f464b81f7c21b355dc23ed2b1aa9a1dd
SHA1: 7a59037701c1c45262f9c1cd4536bdb8e351f64d
MD5sum: 829e7e3ef826c4436dc3301e7aae6d89
Description: modular backup utility
 vbackup constists of a set of scripts that handle the various aspects of
 a backup. It can be easily extended to support other backup methods too.
 It can be used for full or incremental backups. It also includes a wizard
 for basic (quick) configuration.
 .
 Currently it supports/backups:
  * XFS using xfsdump
  * Generic filesystem backups using tar
  * PostgreSQL
  * MySQL
  * dpkg and RPM database
  * Partition tables, disk MBRs, MD and LVM information
  * OpenLDAP database
 .
 It can work with local files, handle NFS mounts or scp backups to a remote
 location. It also supports encryption using x509 certificates.
Homepage: http://www.it.teithe.gr/~v13/
Tag: admin::backup, role::program
Section: admin
Priority: optional
Filename: pool/main/v/vbackup/vbackup_0.1.9-1_all.deb

Package: vbindiff
Version: 3.0-beta3-1
Architecture: armhf
Maintainer: Miriam Ruiz 
Installed-Size: 85
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libstdc++6 (>= 4.4.0), libtinfo5
Homepage: http://www.cjmweb.net/vbindiff/
Priority: optional
Section: utils
Filename: pool/main/v/vbindiff/vbindiff_3.0-beta3-1_armhf.deb
Size: 28508
SHA256: 8044440f12fe8ea3deea5a4a32ea886f6d0f19c14e38f0b39a3f1f8a17549d21
SHA1: 8921edbafc141eac1c0ddad75337b306725e0270
MD5sum: 9eb35e8b1faf22eb96fafb7d610b0359
Description: visual binary diff, visually compare binary files
 Visual Binary Diff (VBinDiff) displays files in hexadecimal and ASCII (or
 EBCDIC). It can also display two files at once, and highlight the
 differences between them. Unlike diff, it works well with large files (up to
 4 GB).
 .
 VBinDiff was inspired by the Compare Files function of the ProSel utilities
 by Glen Bredon, for the Apple II. The single-file mode was inspired by the
 LIST utility of 4DOS and friends.

Package: vblade
Version: 20-1
Architecture: armhf
Maintainer: David Martínez Moreno 
Installed-Size: 59
Depends: libc6 (>= 2.4)
Recommends: vblade-persist
Homepage: http://aoetools.sf.net
Priority: optional
Section: admin
Filename: pool/main/v/vblade/vblade_20-1_armhf.deb
Size: 16738
SHA256: df974995988bc0526c042d7a01af1480f66171001a5e0bca539bb8099185bc15
SHA1: f81c1e830ddf6fe754df098617cd8cd4e9d8ff3c
MD5sum: 2b134202b4370d10afcd50bf12ddcbff
Description: virtual AoE blade emulator
 The vblade is the virtual EtherDrive (R) blade, a program that makes a
 seekable file available over an ethernet local area network (LAN) via
 the ATA over Ethernet (AoE) protocol.
 .
 The seekable file is typically a block device like /dev/md0 but even
 regular files will work.  Sparse files can be especially convenient.
 When vblade exports the block storage over AoE it becomes a storage
 target.  Another host on the same LAN can access the storage if it has
 a compatible aoe kernel driver.

Package: vblade-persist
Version: 0.6-2
Installed-Size: 96
Maintainer: Daniel Kahn Gillmor 
Architecture: all
Depends: vblade, runit (>= 1.8.0-2)
Recommends: iproute | net-tools
Size: 9044
SHA256: 23fa2f335fd69228cf3085327fa6e7ecdeb3403fafc6cf6e8bea616cbd343aec
SHA1: 0c1eefe9a17cd527ed3e632598ee31ee70ccebd8
MD5sum: d09bdcfed0766db3c8bd582d56bd0a7c
Description: create/manage supervised AoE exports
 This framework uses runit to supervise permanent exports of AoE block
 devices.  It gives administrators simple, fine-grained,
 easily-automatable control over which devices should be actively
 exported under which AoE shelfs and slots.
Homepage: http://cmrg.fifthhorseman.net/wiki/vblade-persist
Section: admin
Priority: optional
Filename: pool/main/v/vblade-persist/vblade-persist_0.6-2_all.deb

Package: vbrfix
Version: 0.24-7
Architecture: armhf
Maintainer: Rogério Brito 
Installed-Size: 95
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Priority: optional
Section: sound
Filename: pool/main/v/vbrfix/vbrfix_0.24-7_armhf.deb
Size: 32828
SHA256: aab085811cbad399973ec43cf3c03b9a8715f0ce47b99cc696ca8fd1b519b1ab
SHA1: b191574a66a3d09d0197d53dd6b806c976373911
MD5sum: 95974c497d0590909d095df2c287df5c
Description: corrects MP3 files that have incorrect VBR information
 In an average song there are points that require high quality and points
 that require low quality (i.e. silence).
 .
 Instead of having the whole file at, say, 160kbps CBR (Constant Bit Rate),
 we can use VBR (Variable Bit Rate). This allows us to have use low bitrates
 at points that does not require high quality (were a higher bitrate would
 not affect the sound heard) and high bitrates when needed. The result is
 usually a smaller MP3 file, with higher quality.
 .
 Unfortunately, the many MP3 decoders estimate the time of a MP3 file based
 on the first bitrate they find and the filesize. This means that the
 "prediction" used by such decoders is wildly wrong with VBR encoded files
 and, as a result, you can get fairly random times for such songs.
 .
 As most songs start with silence you usually get the song length being
 shown as much longer than it should be. Also when you jump through a
 file encoded in VBR, 50% through the file is usually not 50% through the
 song.
 .
 A VBR null frame is placed at the beginning of the file to tell the MP3
 player information about the song length and indexing through the song.
 .
 The problem arises because some poor encoders don't produce this null
 frame or do so incorrectly and this is what vbrfix attempts to fix.
 .
 Vbrfix can also fix other problems with MP3s as it deletes all non-MP3
 content (you can keep tags that you state, though).  It can also help
 when merging two VBR MP3s together with a merging tool and then needing
 a newly calculated VBR null frame.

Package: vbuf
Source: vrb
Version: 0.5.1-5.1
Architecture: armhf
Maintainer: Székelyi Szabolcs 
Installed-Size: 51
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libvrb0
Homepage: http://vrb.slashusr.org/
Priority: optional
Section: utils
Filename: pool/main/v/vrb/vbuf_0.5.1-5.1_armhf.deb
Size: 11596
SHA256: c1d081de8ab66e740a3a962fcb3c57bc136a64bd37e9869a76215764d0b7943d
SHA1: 062107dc0432592895981102c9229e98612fbb15
MD5sum: 012dc15bba77d82aaeae8a14ef5c4c94
Description: Virtual Ring Buffer library - shell interface
 The Virtual Ring Buffer (VRB) is an implementation of a character
 FIFO ring buffer. A ring buffer is a special memory area, because
 writing beyond the end of it actually results in a write at the
 beginning. Such a construct comes very handy in applications where
 one end of a communications channel is required to produce or consume
 data at a constant rate, but the other end cannot gurantee this. This
 is a common situation in multimedia applications or Voice-over-IP
 solutions, for example.
 .
 VRB provides direct access to the buffer so the calling program can
 construct output data in place, or parse input data in place, without
 the extra step of copying data to or from a calling program provided
 buffer area, thus provides a highly efficient ring buffer
 implementation.
 .
 This package contains the program vbuf, which implements nothing but
 a simple ring buffer, so it can be used directly from the shell.

Package: vcdimager
Version: 0.7.24+dfsg-0.1
Architecture: armhf
Maintainer: Nicolas Boullis 
Installed-Size: 819
Depends: libc6 (>= 2.13-28), libcdio13 (>= 0.83), libiso9660-8 (>= 0.83), libpopt0 (>= 1.14), libvcdinfo0 (>= 0.7.23), libxml2 (>= 2.7.4), dpkg (>= 1.15.4) | install-info
Homepage: http://www.gnu.org/software/vcdimager/
Priority: optional
Section: otherosfs
Filename: pool/main/v/vcdimager/vcdimager_0.7.24+dfsg-0.1_armhf.deb
Size: 477446
SHA256: 778d14fec70c9fd340b1313279f6d676372ca33f9fde5f60bff752d4d3a79081
SHA1: da49d4e63eebbfaa8f1b8be6ddeb9c586bd13e13
MD5sum: 40e67e059fb4f81cb40a277e80eb54a7
Description: VideoCD (VCD) image mastering and ripping tool
 This package contains a collection of tools to master (Super)VideoCD,
 either directly from compliant MPEG streams with no PlayBack Control
 (PBC), or out of an XML description for a full-featured (S)VCD.
 .
 This package also contains a VideoCD ripping tool to rip mpeg streams
 from VideoCD images, and some debugging tools.

Package: vcftools
Version: 0.1.9-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 883
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.2.6), perl
Recommends: tabix
Homepage: http://vcftools.sourceforge.net
Priority: extra
Section: science
Filename: pool/main/v/vcftools/vcftools_0.1.9-1_armhf.deb
Size: 337580
SHA256: 02012c9532a1ada5853982f7683ab4132202d83bbbe323f80d54cd60ae609cb3
SHA1: d4e1f678e4a6d750db3ba7035bcc641535667d0f
MD5sum: 8d44784352ff57db012be36f8da08772
Description: designed for working with VCF files
 VCFtools is a program package designed for working with VCF files, such as
 those generated by the 1000 Genomes Project. The aim of VCFtools is to
 provide methods for working with VCF files: validating, merging, comparing
 and calculate some basic population genetic statistics.

Package: vcheck
Version: 1.2.1-7
Installed-Size: 132
Maintainer: Dario Minnucci 
Architecture: all
Depends: libwww-perl (>= 5.0)
Size: 51784
SHA256: 1a3d238623d5614632329662c5dd8b16e1ca43e0cbe3450365935efca78458be
SHA1: bf6a4c7fd401f8e389bca1f59619b57c58ce3a13
MD5sum: 0c53411364a244a26ce0787fdd74a7b4
Description: Utility to check and download the most recent program version
 This is a tool for checking for latest versions of programs at HTTP
 and FTP locations given a list of URLs and (Perl-style) regular
 expressions to match, and to optionally download them automatically.
Homepage: http://www.tu-ilmenau.de/~gomar/stuff/vcheck
Tag: implemented-in::c, implemented-in::perl, protocol::ftp, protocol::http,
 role::program, use::downloading, use::monitor, use::searching,
 works-with::software:source
Section: utils
Priority: optional
Filename: pool/main/v/vcheck/vcheck_1.2.1-7_all.deb

Package: vclt-tools
Version: 0.1.2-3
Installed-Size: 57
Maintainer: Patrick Matthäi 
Architecture: all
Depends: perl, libmp3-info-perl, libxml-simple-perl, libdigest-sha-perl, vorbis-tools, oggz-tools, libwww-perl
Recommends: flac, id3tool
Size: 8802
SHA256: fc4e53055127a67b5e5889c132f4f808a20f7aa4d8b1364ef20a797f71f6ec2a
SHA1: 4511f0bdf0f92682ea80608d573d8b8ecd8d941d
MD5sum: 0b462b4c0cf331ffd1371cb24df48471
Description: Collection of tools to create and manipulate VCLT playlists
 This is a collection of tools to create and manipulate VCLT playlists.
 VCLT playlists are similar to M3U or PLS playlists just be abled
 to store a much larger set of information about entries.
 .
 This set of tools allow you to create and manipulate such playlists.
Homepage: http://roaraudio.keep-cool.org/
Tag: implemented-in::perl, implemented-in::shell, interface::commandline,
 role::program, scope::utility, sound::TODO, use::converting,
 use::scanning, works-with-format::TODO, works-with-format::mp3,
 works-with-format::oggvorbis, works-with-format::wav,
 works-with-format::xml, works-with::audio, works-with::file
Section: sound
Priority: optional
Filename: pool/main/v/vclt-tools/vclt-tools_0.1.2-3_all.deb

Package: vco-plugins
Version: 0.3.0-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 64
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1)
Provides: ladspa-plugin
Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/index.html
Priority: optional
Section: sound
Filename: pool/main/v/vco-plugins/vco-plugins_0.3.0-2_armhf.deb
Size: 11114
SHA256: 45681101bece7ae12f73b3689db29c92047079d4b16f98c798c1ba657686461b
SHA1: 549b9dd7c4de427b03f07383e49bd465d9d360fd
MD5sum: 752016dc2b3fb281d307c080d72b73e7
Description: LADSPA plugin sporting anti-aliased oscillators
 This plugin contains three anti-aliased oscillators, all based on the
 concept of using precomputed bandlimited Dirac pulses to construct the
 classical waveforms. They are both memory and CPU efficient. The first
 one produces a flat spectrum (impulses) and the second generates a
 sawtooth waveform. The third one (new in 0.3.0), provides a variable
 width rectangular waveform.

Package: vcsh
Version: 1.0-1
Installed-Size: 64
Maintainer: Richard Hartmann 
Architecture: all
Depends: git
Recommends: mr (>= 1.07), ssh-client
Size: 15026
SHA256: 8aa1c4be6dfccfa2b7c0453a1092c9e7147891d11928a6ac0c5ae56cca484b40
SHA1: 8b9e1b075c12e085be000b78170eb318c9e81622
MD5sum: 7b3f570e10267c6d8d43b30f86f3d514
Description: manage config files in $HOME via fake bare git repositories
 vcsh allows you to have several git repositories, all maintaining their working
 trees in $HOME without clobbering each other. That, in turn, means you can have
 one repository per config set (zsh, vim, ssh, etc), picking and choosing which
 configs you want to use on which machine.
Homepage: https://github.com/RichiH/vcsh/blob/master/README.md
Section: misc
Priority: optional
Filename: pool/main/v/vcsh/vcsh_1.0-1_all.deb

Package: vde2
Version: 2.3.2-4
Architecture: armhf
Maintainer: Debian VSquare Team 
Installed-Size: 562
Depends: adduser, libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), libvde0, libvdeplug2
Suggests: vde2-cryptcab, qemu-kvm, qemu
Replaces: vde
Homepage: http://vde.sourceforge.net
Priority: optional
Section: net
Filename: pool/main/v/vde2/vde2_2.3.2-4_armhf.deb
Size: 217460
SHA256: 51137199402b18900c4e721c922966f9fcdfa7673748ed058a4488eb7908d088
SHA1: dc462ddb9c591e9292ee7e25b3b140b4df78df6f
MD5sum: 6f2c7391362c68546eb8c66d631606ca
Description: Virtual Distributed Ethernet
 VDE is a virtual switch that can connect multiple virtual machines together,
 both local and remote.
 .
 Components of the VDE architecture are VDE switches (virtual counterpart of
 ethernet switches) and VDE cables (virtual counterpart of a crossed-cable used
 to connect two switches).
 .
 VDE 2 includes:
  - switch management both from console and from a "unix socket terminal"
  - VLAN 801.1q
  - FSTP (fast spanning tree)
  - distributed cable manager using a blowfish encrypted channel
  - wire packet filter to emulate delays and packet loss on virtual wires
 .
 Examples of VDE uses:
  - With VDE it is possible to create a virtual network of QEMU machines
    running on several real computer
  - VDE can be used to create tunnels (even crossing masqueraded networks)
  - VDE can provide mobility support
 .
 The VDE also provides bi-directional pipe command (dpipe) and remote terminal
 for unix sockets (unixterm).
 .
 The Debian package provides a nice extension for /etc/network/interfaces file
 for easy set up.

Package: vde2-cryptcab
Source: vde2
Version: 2.3.2-4
Architecture: armhf
Maintainer: Debian VSquare Team 
Installed-Size: 78
Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), libvdeplug2
Homepage: http://vde.sourceforge.net
Priority: optional
Section: net
Filename: pool/main/v/vde2/vde2-cryptcab_2.3.2-4_armhf.deb
Size: 27140
SHA256: 3771611d1c7a087d107b4c4f00700c4ee4319f3ab531966c6d65396a41f13573
SHA1: 9c8bdd6efd05709019500045de8b4a29fad15f2e
MD5sum: 6c1612c8b9f759e40f6544c1b252e794
Description: Virtual Distributed Ethernet - CryptCab
 VDE is a virtual switch that can connect multiple virtual machines together,
 both local and remote.
 .
 Components of the VDE architecture are VDE switches (virtual counterpart of
 ethernet switches) and VDE cables (virtual counterpart of a crossed-cable used
 to connect two switches).
 .
 This package contains CryptCab, which can be used to send encrypted data
 over an UDP link.

Package: vdesk
Version: 1.2-3.1
Architecture: armhf
Maintainer: Anibal Avelar 
Installed-Size: 46
Depends: libc6 (>= 2.4), libx11-6
Suggests: aewm | sapphire | oroborus | phluid
Homepage: http://offog.org/code/vdesk.html
Priority: optional
Section: x11
Filename: pool/main/v/vdesk/vdesk_1.2-3.1_armhf.deb
Size: 7402
SHA256: d3c07f3623d0c3ec53d324c44bb20fce4ef74a97cebe34334bbddcaacf861646
SHA1: 03b09f52f739937e079a43dc823f9423d6d87177
MD5sum: ffcaad54dedb85645bc8898ec22dd689
Description: manages virtual desktops for minimal window managers
 vdesk takes the task of managing virtual desktops away from the
 window manager and implements an easy to use interface to those
 desktops. Aimed to be used in lightweight window managers that do not
 provide the functionality natively

Package: vdetelweb
Version: 1.2.1-1
Architecture: armhf
Maintainer: Debian VSquare Team 
Installed-Size: 72
Depends: libc6 (>= 2.13-28), liblwipv6-2, libmhash2, libvde0
Recommends: vde2
Homepage: http://vde.sourceforge.net
Priority: optional
Section: net
Filename: pool/main/v/vdetelweb/vdetelweb_1.2.1-1_armhf.deb
Size: 18872
SHA256: d6e770a0d6519759c07dd88996c2abef74516c12d0740892ecabd3a6c7e5ae56
SHA1: 39d1314f573b43f818c898a40d66815dbda91de3
MD5sum: 3b644a6baf01edfcf79aa10c7f68856b
Description: Telnet and Web interface for VDE 2.x
 vdetelweb creates a telnet and/or a web interface to a running VDE 2.x
 switch. The switch may then be managed via a telnet connection or a web
 browser.
 .
 vdetelweb depends on the LWIPv6 network stack.
 .
 vdetelweb, along with VDE, is part of the Virtual Square project:
 http://wiki.virtualsquare.org

Package: vdk-doc
Version: 1.2.4-4
Installed-Size: 1624
Maintainer: Michael Vogt 
Architecture: all
Suggests: libvdk1-dev
Size: 1617472
SHA256: edbcc8cc00203585943483089a377f36e14a5e1e3b1f3eb49fff74f7ebac4466
SHA1: e89598656b670a7dafab8a78c2caf9f8abb8992d
MD5sum: 7c345fccd65bf7787dd8cb2e83fe0e47
Description: The Visual Development Kit C++ library
 The Visual Development Kit (VDK) is a C++ library
 that wraps the GTK+ toolkit.
 .
 This package contains the reference for VDK. It will be
 put in /usr/share/doc/vdk-doc/.
Tag: devel::doc, devel::lang:c++, devel::ui-builder, interface::x11,
 role::documentation, uitoolkit::gtk
Section: doc
Priority: optional
Filename: pool/main/v/vdk-doc/vdk-doc_1.2.4-4_all.deb

Package: vdk2-tutorial
Version: 1.1-3
Installed-Size: 1600
Maintainer: Michael Vogt 
Architecture: all
Suggests: libvdk2-dev
Size: 1601510
SHA256: bf736bd8e58bc30e484dd71a353a3fcbdd1e05251bb49f5de13cf7995c68dbfc
SHA1: 1a20295d932d8904299b7ee38eb7d0aec862a186
MD5sum: f671996c58832894f585330393fbedbd
Description: Tutorial for the Visual Development Kit C++ library 2
 The Visual Development Kit2 (VDK2) is a C++ library
 that wraps the GTK+ toolkit.
 .
 This package contains a tutorial for VDK2. It will be
 put in /usr/share/doc/vdk2-tutorial/.
Tag: devel::doc, devel::lang:c++, devel::ui-builder, interface::x11,
 role::documentation, uitoolkit::gtk
Section: doc
Priority: optional
Filename: pool/main/v/vdk2-tutorial/vdk2-tutorial_1.1-3_all.deb

Package: vdkbuilder2
Version: 2.4.0-4.3
Architecture: armhf
Maintainer: Michael Vogt 
Installed-Size: 2208
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libstdc++6 (>= 4.4.0), libvdk2-2c2 (>= 2.0.3-3), libvdkxdb2-2c2, libx11-6, libxbase2.0-0, libvdkbuilder2-dev, libvdk2-dev (>= 2.4.0-2), libglib2.0-dev, libgtk2.0-dev, libvdkxdb2-dev (>= 2.4.0-1)
Suggests: vdk-doc
Conflicts: vdkbuilder
Replaces: vdkbuilder
Provides: vdkbuilder
Priority: optional
Section: devel
Filename: pool/main/v/vdkbuilder2/vdkbuilder2_2.4.0-4.3_armhf.deb
Size: 817948
SHA256: 6c565b02d50acfa96a678585c9dda23d7f7258d8d9faddfc99753296a1a3f08e
SHA1: 426c56d1a1083351625a6602cc4c455da664799d
MD5sum: 079f405850ab6aa2750f5f5b538df008
Description: RAD for VDK
 VDK Builder is a clone of C++ Builder.
 Builder's main features are:
 .
   * Project Manager
   * GUI designer
   * Text Editor
   * Widget Inspector
   * generates VDK code
   * plugin extensions
 .
 This package contains the executable.
 The plugins bundled with vdkbuilder are
 in the libvdkbuilder and libvdkbuilder-dev
 packages.

Package: vdmfec
Version: 1.0-2
Architecture: armhf
Maintainer: Andreas Tille 
Installed-Size: 68
Depends: libc6 (>= 2.4)
Homepage: http://members.tripod.com/professor_tom/archives/
Priority: extra
Section: misc
Filename: pool/main/v/vdmfec/vdmfec_1.0-2_armhf.deb
Size: 19052
SHA256: 7c57354512831ebb1f59db7a0692cac029e17b01a44f7aaab7b1658ec48d85b0
SHA1: 09cc28a4090b014ebf66b53d369e797c8b530457
MD5sum: e8254e2b34ddc7de00010933c9122afe
Description: recover lost blocks using Forward Error Correction
 VDMFEC implements Block ECC using a Forward Error Correction
 (FEC) code based on Vandermonde (VDM) matrices in GF(2^8) due
 to Luigi Rizzo. Given the FEC parameters K and N, with N
 greater than K, N blocks are written for every K input blocks
 in such a way that any K blocks are sufficient to reconstruct
 the data. That is, up to N - K blocks out of every group of N
 blocks may be lost without loss of data. Its primary
 application is intended to be in recovering data from
 unreliable media such as diskettes.

Package: vdpau-va-driver
Source: vdpau-video
Version: 0.7.3-2
Architecture: armhf
Maintainer: Debian multimedia packages maintainers 
Installed-Size: 121
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libvdpau1 (>= 0.2), libx11-6
Conflicts: vdpau-video
Replaces: vdpau-video
Provides: nvidia-va-driver, s3g-va-driver, va-driver, vdpau-video
Multi-Arch: same
Homepage: http://www.splitted-desktop.com/~gbeauchesne/vdpau-video/
Priority: optional
Section: x11
Filename: pool/main/v/vdpau-video/vdpau-va-driver_0.7.3-2_armhf.deb
Size: 43314
SHA256: d1c18c0e20286fda3baf8f108d74dc55d712724845c69693c1d0fce44f18736c
SHA1: 65bdafdda4c826df652972e8d9a5913db80b317d
MD5sum: f814c3061c263af2dd07b3fcc5ac13e9
Description: VDPAU-based backend for VA API
 This is the VDPAU-based backend driver for use with the VA API library.

Package: vdpauinfo
Version: 0.0.6-1
Architecture: armhf
Maintainer: Debian NVIDIA Maintainers 
Installed-Size: 49
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libvdpau1 (>= 0.2), libx11-6
Homepage: http://cgit.freedesktop.org/~aplattner/vdpauinfo
Priority: extra
Section: x11
Filename: pool/main/v/vdpauinfo/vdpauinfo_0.0.6-1_armhf.deb
Size: 9064
SHA256: 3e7f520d1473783497511bb6069072583546c8e03921e5f1b525e13cdee61665
SHA1: 549e9c011d0d02b6a3904c81c2012df2402404d9
MD5sum: 259dd99eeaa70eb9f8f5a138383d3264
Description: Video Decode and Presentation API for Unix (vdpauinfo utility)
 VDPAU (Video Decode and Presentation API for Unix) is an open source
 library (libvdpau) and API designed by NVIDIA originally for its GeForce
 8 series and later GPU hardware, targeted at the X Window System on Unix
 operating-systems (including Linux, FreeBSD, and Solaris). This VDPAU API
 allows video programs to offload portions of the video decoding process
 and video post-processing to the GPU video-hardware.
 .
 This package contains the vdpauinfo utility.

Package: vdr
Version: 1.7.28-1
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 2454
Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libstdc++6 (>= 4.4.0), debconf (>= 0.5) | debconf-2.0, adduser, psmisc
Recommends: lirc, ttf-bitstream-vera | ttf-freefont
Suggests: vdr-plugin-dvbsddevice
Provides: vdr-abi-1.7.28-debian
Homepage: http://www.tvdr.de/
Priority: extra
Section: video
Filename: pool/main/v/vdr/vdr_1.7.28-1_armhf.deb
Size: 1024826
SHA256: 874966eb96645fbda165e0ef5c290cf3b4149a765a17aca6b26cdb706fcbeeb7
SHA1: 32bfd9e53815cf6a12d06f5e8d56d82eadb254f7
MD5sum: b7756313a0186a8f3d73b9f01647507d
Description: Video Disk Recorder for DVB cards
 Video Disk Recorder (VDR) is a digital sat-receiver program using
 Linux and DVB technologies. It allows one to record MPEG2 streams,
 as well as output the stream to TV. It is also possible to watch DVDs
 (hardware accelerated) with some comfort and use an IR remote control.
 .
 This package contains the VDR main program which is controlled via the
 PC keyboard or a IR remote control.
 .
 NOTE: You should install compatible DVB drivers before using these
 programs. Also the standard VDR (without special plugins) requires
 a DVB-Card with an integrated mpeg-decoder, a so called
 Full-Featured Card.

Package: vdr-dbg
Source: vdr
Version: 1.7.28-1
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 4360
Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libstdc++6 (>= 4.4.0), vdr (= 1.7.28-1), gdb
Suggests: valgrind
Homepage: http://www.tvdr.de/
Priority: extra
Section: debug
Filename: pool/main/v/vdr/vdr-dbg_1.7.28-1_armhf.deb
Size: 1737076
SHA256: d2b41ecec9f7e3a0e1bb8b1c2ebf68d7107b1c34942e7d5c65b2c0a75749bcef
SHA1: 33f912869cb13229748bb825e96d9d59abd3ae5b
MD5sum: 826c00000ae8f59e2d2d7fb7d5da4f63
Description: Debuggable version of the VDR Video Disk Recorder
 This package installs an unoptimized VDR binary with debugging symbols as well
 as some helper scripts to debug VDR and its plugins.

Package: vdr-dev
Source: vdr
Version: 1.7.28-1
Installed-Size: 842
Maintainer: Debian VDR Team 
Architecture: all
Depends: debhelper, linux-libc-dev (>= 3.0)
Suggests: dh-make
Size: 353146
SHA256: ca909b532983c9bc998b95b14937dbf8a6c88f237bd32dc98f5a157d927c0051
SHA1: 5cceac5ecf912bbde60bd38715cbe4d9904ccadb
MD5sum: 9ec13ec7a9cd162cb1d160c1832a7779
Description: Video Disk Recorder for DVB cards
 Video Disk Recorder (VDR) is a digital sat-receiver program using
 Linux and DVB technologies. It allows one to record MPEG2 streams,
 as well as output the stream to TV. It is also possible to watch DVDs
 (hardware accelerated) with some comfort and use an IR remote control.
 .
 This package contains the header files of VDR.
 You need this package to be able to build vdr-plugins!
Homepage: http://www.tvdr.de/
Tag: hardware::video, implemented-in::c++, role::devel-lib, use::playing,
 use::storing, works-with::video
Section: video
Priority: extra
Filename: pool/main/v/vdr/vdr-dev_1.7.28-1_all.deb

Package: vdr-plugin-dvbhddevice
Source: vdr
Version: 1.7.28-1
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 134
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), vdr (= 1.7.28-1)
Homepage: http://www.tvdr.de/
Priority: extra
Section: video
Filename: pool/main/v/vdr/vdr-plugin-dvbhddevice_1.7.28-1_armhf.deb
Size: 54930
SHA256: 00b654dc0c9db84d11f32f9bcdcfd0daf001a20709b192f4694c1112cc426a47
SHA1: d231f6a0179268d0bd5de7637b9d1a3c17808d58
MD5sum: f0c384537c7e238320c944f38d215e22
Description: Plugin that adds support for full featured HD-DVB cards to VDR
 Video Disk Recorder (VDR) is a digital sat-receiver program using
 Linux and DVB technologies. It allows one to record MPEG2 streams,
 as well as output the stream to TV.
 .
 This package contains the dvbhddevice-plugin, which implements the output
 device for the "Full Featured TechnoTrend S2-6400" DVB cards.

Package: vdr-plugin-dvbsddevice
Source: vdr
Version: 1.7.28-1
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 96
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), vdr (= 1.7.28-1)
Homepage: http://www.tvdr.de/
Priority: extra
Section: video
Filename: pool/main/v/vdr/vdr-plugin-dvbsddevice_1.7.28-1_armhf.deb
Size: 38732
SHA256: 3ccea9fe8f2eb276c5b887789bb77edd5af54c962959ea1fbbbcc2a73d8f5a6b
SHA1: 37ced24ab2127b3e63cbccf8bc11bf41652c2259
MD5sum: f0714af88429a72c2c3caa3c74353409
Description: Plugin that adds support for full featured SD-DVB cards to VDR
 Video Disk Recorder (VDR) is a digital sat-receiver program using
 Linux and DVB technologies. It allows one to record MPEG2 streams,
 as well as output the stream to TV.
 .
 This package contains the dvbsddevice-plugin, which implements the
 output device for the "Full Featured" DVB cards based on the
 TechnoTrend/Fujitsu-Siemens design.

Package: vdr-plugin-dvd
Version: 0.3.6~b03+cvs20090426.0013-14
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 174
Depends: liba52-0.7.4, libc6 (>= 2.13-28), libdvdnav4, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), vdr-abi-1.7.28-debian
Suggests: libdvdcss2
Homepage: http://sourceforge.net/projects/dvdplugin
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-dvd/vdr-plugin-dvd_0.3.6~b03+cvs20090426.0013-14_armhf.deb
Size: 57530
SHA256: ca7dff0c314fb85bb216a1d5256deaa383c7ee32343d9775055fb4da2be69ce0
SHA1: 6ec40ca3197cc5866c4dfcf8d73550c69dc56e52
MD5sum: b04bb20f9f3b97a3aa2e385ed8783dbf
Description: DVD playback plugin for VDR
 This plugin for VDR allows one to play back DVD Video discs
 from within VDR.

Package: vdr-plugin-epgsearch
Version: 1.0.0+git20120325-4
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 1556
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), vdr-abi-1.7.28-debian, sendemail
Homepage: http://winni.vdr-developer.org/epgsearch/
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-epgsearch/vdr-plugin-epgsearch_1.0.0+git20120325-4_armhf.deb
Size: 589016
SHA256: 433d367f2bda6b423c7e48046792afb774a57eddebda5561177d1eb983b27db0
SHA1: a38e889cb4f019196374c398d33d22fca8f9891e
MD5sum: 872bf872290314d7e7f4d38122a29090
Description: VDR plugin that provides extensive EPG searching capabilities
 This plugin for the Linux Video Disc Recorder (VDR) allows searching the EPG
 (electronic programme guide) data by defining search terms that can
 permanently be stored in a list for later reuse. It supports regular
 expressions and is capable of doing fuzzy searches. EPG-Search scans the EPG
 in background and can automatically create timers for matching search terms.
 Besides this it supports searching for repetitions, detection of timer
 conflicts, sending emails on timer events and much more. Search terms can
 also be added and modified with vdradmin-am, a web frontend for VDR.

Package: vdr-plugin-epgsync
Version: 0.0.4-12
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 86
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), vdr-abi-1.7.28-debian, vdr-plugin-svdrpservice
Homepage: http://vdr.schmirler.de/
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-epgsync/vdr-plugin-epgsync_0.0.4-12_armhf.deb
Size: 17990
SHA256: 1c30447ab8315f30c32c1f429c282d714b5a5d0b3cfd2896b3b90b8c973f3884
SHA1: 0e10ab2fb895c55f50468553ccb99f345e480046
MD5sum: ddccda0ffb4f49f5fb2e2cdf46c9e39c
Description: VDR plugin for EPG synchronization between VDR systems
 With this plugin you can import the EPG of a remote VDR. It can either
 use SVDRP or streamdev's VTP to download the EPG.

Package: vdr-plugin-examples
Source: vdr
Version: 1.7.28-1
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 321
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncursesw5 (>= 5.6+20070908), libstdc++6 (>= 4.4.0), libtinfo5, vdr (= 1.7.28-1)
Homepage: http://www.tvdr.de/
Priority: extra
Section: video
Filename: pool/main/v/vdr/vdr-plugin-examples_1.7.28-1_armhf.deb
Size: 206192
SHA256: bcb16784c6d500fd70429a8a61e3c3aedf9481a505937137b3c2cf0abe622d23
SHA1: caafeadccf1fa5216e06b1a6f17e9abd037fe5f1
MD5sum: aba046685de592ed5219ee82789d1396
Description: Plugins for vdr to show some possible features
 Video Disk Recorder (VDR) is a digital sat-receiver program using
 Linux and DVB technologies. It allows one to record MPEG2 streams,
 as well as output the stream to TV.
 .
 This package contains the example-plugins hello, osddemo, svccli,
 svcsvr, skincurses, status and svdrpdemo from the vdr-source.
 These plugins do not have useful features, they only demonstrate
 how vdr-plugins work and what is possible to do with them.

Package: vdr-plugin-femon
Version: 1.7.17-3
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 388
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), vdr-abi-1.7.28-debian
Homepage: http://www.saunalahti.fi/~rahrenbe/vdr/femon/
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-femon/vdr-plugin-femon_1.7.17-3_armhf.deb
Size: 96948
SHA256: 1f1da9c77b7a3a6bd82843e7e0a6bfbd7549cec3d5c98669c2ec7ac9dc8bed08
SHA1: a0de84e05f6cbffdf56165ab0a1fdab845a10961
MD5sum: dfb4d6a435873e283235352a591dcf90
Description: DVB frontend status monitor plugin for VDR
 DVB Frontend Status Monitor (femon) is a VDR plugin that displays
 signal quality parameters of the tuned channel on the OSD.

Package: vdr-plugin-freecell
Version: 0.0.2-57
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 326
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), vdr-abi-1.7.26-debian
Homepage: http://linux.kompiliert.net/index.php?view=freecell
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-freecell/vdr-plugin-freecell_0.0.2-57_armhf.deb
Size: 43816
SHA256: 2a9536c4dac7f7e837174c7af0e816e30dd8401844148a81300ca37347f1b952
SHA1: 939939f48d38f4e72a8b0c2fe993a7ef095d633a
MD5sum: e6580f9247d0aa031547256af78a6314
Description: Plugin for VDR that implements the card game "Freecell"
 This Freecell plugin is an implementation of the (well-known) card game
 "Freecell" played on the On Screen Display of your Video Disk Recorder.

Package: vdr-plugin-fritzbox
Version: 1.4.3-2
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 646
Depends: libc6 (>= 2.13-28), libccgnu2-1.8-0, libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libstdc++6 (>= 4.4.0), vdr-abi-1.7.28-debian
Homepage: http://www.joachim-wilke.de/vdr-fritz.htm
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-fritzbox/vdr-plugin-fritzbox_1.4.3-2_armhf.deb
Size: 236944
SHA256: 9865688ade75d1cacd742b0ccf6574b3053b41d576c90aecc44d6d8c33302bbd
SHA1: 45282fd61c6b5061e8b38241b1ae2a632099df92
MD5sum: 6ffa21d4afca783da64b51ad75e9776c
Description: VDR plugin to access certain functions of an AVM Fritz!Box
 This VDR plugin connects to the Fritz!Box to inform you about incoming
 calls. As an option, the plugin can automatically mute VDR when a call
 comes in.

Package: vdr-plugin-games
Version: 0.6.3-39
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 127
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), vdr-abi-1.7.28-debian
Homepage: http://1541.org/vdr-games/
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-games/vdr-plugin-games_0.6.3-39_armhf.deb
Size: 34594
SHA256: 12f1fa71d45a5600e12437d91652f412999c536cb282a4fd27f087276a85c600
SHA1: 7280289638ef1926f222f7a38f835a6255d930d8
MD5sum: 5df41cb810d2a031778dbd4fe8cdb07a
Description: VDR plugin providing OSD games like tetris, snake and more
 This plugin for VDR allows one to play Tetris, TicTacToe, Tron
 and Snake via VDR's OSD (On Screen Display).

Package: vdr-plugin-infosatepg
Version: 0.0.11-10
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 137
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), vdr-abi-1.7.28-debian
Homepage: http://projects.vdr-developer.org/projects/show/plg-infosatepg
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-infosatepg/vdr-plugin-infosatepg_0.0.11-10_armhf.deb
Size: 38280
SHA256: 480a021b9df7c31549a74fc883c543a17d76091f8a547a5981230dd378b3535e
SHA1: 9a6af65849113229db8190098194e3e2b8918d44
MD5sum: 76168cbadf428b0921211ab4a3e5204f
Description: include TechniSat EPG data via satelite in vdr
 TechniSat has very good EPG data for up to seven days
 under the name Sieh-Fern-Info downloadable via satelite.
 This plugin includes the data into the EPG of vdr.
 See http://www.vdr-wiki.de/wiki/index.php/Infosatepg.

Package: vdr-plugin-live
Version: 0.2.0+git20120428-3
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 2652
Depends: libc6 (>= 2.13-28), libcxxtools8, libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libpcrecpp0 (>= 7.7), libstdc++6 (>= 4.6), libtntnet10, vdr-abi-1.7.28-debian
Homepage: http://live.vdr-developer.org
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-live/vdr-plugin-live_0.2.0+git20120428-3_armhf.deb
Size: 1175624
SHA256: 43083c0fb1210cbfb5975dc1e635f6760778a74b7c84e6460d9df15e50435ab6
SHA1: 80488f1caa8310182c6e3d450655e679cf993bec
MD5sum: d19a82c9c524d9ea2d1ebc97cc6c64a8
Description: Web administration plugin for VDR
 Live, the "Live Interactive VDR Environment", is a plugin providing the
 possibility to interactively control the Linux Video Disc Recorder VDR and
 some of it's plugins from a web interface.
 .
 Unlike external programs, like the VDR web frontend "VDRAdmin-AM", that
 communicate  with VDR via its SVDRP socket interface, Live has direct
 access to VDR's data structures and thus is very fast.

Package: vdr-plugin-mp3
Version: 0.10.2-14
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 487
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libid3tag0 (>= 0.15.1b), libmad0 (>= 0.15.1b-3), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.4.0), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), vdr-abi-1.7.28-debian, eject
Suggests: mjpegtools (>= 1:1.8.0), netpbm
Homepage: http://www.muempf.de/
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-mp3/vdr-plugin-mp3_0.10.2-14_armhf.deb
Size: 151024
SHA256: 8911f6afa1668b1d3cbdf8593dce386b4871e94456928b4ba7f3bbdfff77d5b9
SHA1: 1847e033f5366ce77256637d4e6b0743c248cff0
MD5sum: 7643b2f82ee526bbbe181ff1ade583a2
Description: MP3 playback plugin for VDR
 This plugin for VDR allows one to play back mp3's, ogg-files and
 audio-cds.

Package: vdr-plugin-mplayer
Source: vdr-plugin-mp3
Version: 0.10.2-14
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 352
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), vdr-abi-1.7.28-debian, eject (>= 2.0.3-1), mplayer
Homepage: http://www.muempf.de/
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-mp3/vdr-plugin-mplayer_0.10.2-14_armhf.deb
Size: 91902
SHA256: d63976534e2b7ee3729574bb909d8b42f8fe0794d53a915c717211a4bb526542
SHA1: 4bd9cef31f661a589d017754ab4dbf20eb2301af
MD5sum: 665ad91d517081b7648a7e5c74ff6aaa
Description: MPlayer playback plugin for VDR
 This plugin for VDR allows one to play back any video format supported
 by MPLayer.

Package: vdr-plugin-osdserver
Version: 0.1.3-7
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 200
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), vdr-abi-1.7.28-debian
Homepage: http://www.udo-richter.de/vdr/osdserver.en.html
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-osdserver/vdr-plugin-osdserver_0.1.3-7_armhf.deb
Size: 73640
SHA256: c9b0f065feff378546a6a348622003aaa05621a75aacfd87344fc804968dbd7d
SHA1: 57bc1e302def03c47b28e58aa640ae561e0dcf98
MD5sum: 1ec8b1b920c0c047bdc05c1b4b1da0cc
Description: VDR plugin to provide other programs access to the OSD
 The OSDServer plugin allows external programs to access VDR's OSD.
 OSDServer listens for commands on port 2010 and is mainly intended
 to be used by shell and perl scripts.

Package: vdr-plugin-osdteletext
Version: 0.9.3-2
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 267
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), vdr-abi-1.7.28-debian
Homepage: http://projects.vdr-developer.org/projects/show/plg-osdteletext
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-osdteletext/vdr-plugin-osdteletext_0.9.3-2_armhf.deb
Size: 58766
SHA256: 6a2a7e36eac08b5bd02909bf1f760e491388fb722a38f793b0b83b1947ebe6dc
SHA1: c0d0c1bf1a3e730bf2d46274532a58023c077740
MD5sum: 1817c82e6eb99c1fde8f8872886ee64b
Description: Teletext plugin for VDR
 This plugin for VDR displays teletext directly on the OSD.

Package: vdr-plugin-prefermenu
Version: 0.6.6-37
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 80
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), vdr-abi-1.7.28-debian
Homepage: http://www.olivierjacques.com/vdr/prefermenu/
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-prefermenu/vdr-plugin-prefermenu_0.6.6-37_armhf.deb
Size: 23970
SHA256: 68897574db6becc8154fd242d47ea6ad164920375ca5fca5f33d0d7f261053e4
SHA1: d715f1ba38c376f4311d14c4719aa38daa400b5b
MD5sum: 419360806585c5f337941c7bf32efb67
Description: VDR plugin that implements a preferred channels menu
 This plugin for VDR implements a preferred channels menu.
 It makes it easy to switch to your favourite chanels.

Package: vdr-plugin-remote
Version: 0.4.0-31
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 159
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), debconf (>= 0.5) | debconf-2.0, vdr-abi-1.7.28-debian
Homepage: http://www.escape-edv.de/endriss/vdr/
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-remote/vdr-plugin-remote_0.4.0-31_armhf.deb
Size: 32616
SHA256: 3ecdcad2dc57b006cc4bb8ffdbeb244441812e718b04923b6c778c834c44fee7
SHA1: 8c19bdacb9bc90f130fd9634fc1e797fe0c7fee9
MD5sum: a26cbc9177fdd06ca16da06b415e8b97
Description: VDR Plugin to support the built-in remote control port of DVB-Cards
 This plugin for VDR supports the built-in remote control
 port of some DVB-Cards or CI-Modules.

Package: vdr-plugin-remoteosd
Version: 0.1.1-5
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 68
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), vdr-abi-1.7.28-debian, vdr-plugin-svdrpservice
Homepage: http://vdr.schmirler.de
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-remoteosd/vdr-plugin-remoteosd_0.1.1-5_armhf.deb
Size: 18788
SHA256: f15c692e9e97e455685c031e4dab9a0cbb05c88b07d18870d24450386ab788a8
SHA1: cf9753cec9f4db8b61387f8e17a5cec7b77581c2
MD5sum: b2d26f4b1d75043445f811b08ba8607c
Description: VDR plugin to control the OSD of a remote VDR
 This VDR plugin displays the menu of a remote VDR as a submenu item in
 your local VDR OSD menu.

Package: vdr-plugin-skinenigmang
Version: 0.1.2+git20120628-1
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 556
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libmagick++5 (>= 8:6.7.7.2), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4), vdr-abi-1.7.28-debian
Suggests: vdr-enigmang-icons
Homepage: http://andreas.vdr-developer.org/enigmang
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-skinenigmang/vdr-plugin-skinenigmang_0.1.2+git20120628-1_armhf.deb
Size: 135498
SHA256: 2a1b7891c24ec18593ab87ef056aab35251d2393c695dfbdfdaa3a762fb8f838
SHA1: 5d4404cfc4a34edd674af0d8ef1a72edbbaa4401
MD5sum: 131350756f2bbe0d594bdbbab349eaa1
Description: Skin plugin for VDR
 "EnigmaNG" is a standalone skin based on the "Enigma" text2skin addon.

Package: vdr-plugin-solitaire
Version: 0.0.2-54
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 1092
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), vdr-abi-1.7.26-debian
Homepage: http://www.djdagobert.com/vdr/solitaire/
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-solitaire/vdr-plugin-solitaire_0.0.2-54_armhf.deb
Size: 320246
SHA256: 8ddffe56ebccf751f3b05488aa6e3571a0d617b1edde81efd4cbb388dfdf56fd
SHA1: a525270b8a5d98b4b971954d035a3262dcf6c691
MD5sum: 0ede1a5c32116a4791fcdee10ae415ca
Description: Plugin to vdr that implements the card game "Solitaire"
 This Solitaire plugin is an implementation of the (well-known) card game
 "Solitaire" played on the On Screen Display of your Video Disk Recorder.

Package: vdr-plugin-spider
Version: 0.2.2-14
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 528
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), vdr-abi-1.7.28-debian
Homepage: http://toms-cafe.de/vdr/spider/
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-spider/vdr-plugin-spider_0.2.2-14_armhf.deb
Size: 55418
SHA256: a4d4dc24a99c5dc8afdd5c71c4610e92abed70d82a261fc8084bef33e0e15ccd
SHA1: 04f662cc136bf328a97d3ed065564b315040f582
MD5sum: 3da2d90baeb3376c54bf7be98ee7e990
Description: Plugin to vdr that implements the card game "Spider Arachnid"
 This plugin is an implementation of the patience game "Spider Arachnid"
 played on the On Screen Display of your Video Disk Recorder.

Package: vdr-plugin-streamdev-client
Source: vdr-plugin-streamdev
Version: 0.6.0-2
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 208
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), vdr-abi-1.7.28-debian
Homepage: http://streamdev.vdr-developer.org
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-streamdev/vdr-plugin-streamdev-client_0.6.0-2_armhf.deb
Size: 61662
SHA256: a8710954a86f7838853922cb1548951cdc5539dd5e9e9d5b4535622c70ac8462
SHA1: 94ebbcdb9a9c329296880e7c27d0b01f9888419c
MD5sum: 58066b6fc1b0c1bbfff435b29b23822f
Description: VDR Plugin to stream Live-TV to other VDR's - client part
 This plugin for vdr lets the software stream videos into
 the network. You can interconnect several vdrs that way
 or watch those streams with special client apps like video
 lan client or mplayer. This is the client part.

Package: vdr-plugin-streamdev-server
Source: vdr-plugin-streamdev
Version: 0.6.0-2
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 517
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), vdr-abi-1.7.28-debian
Homepage: http://streamdev.vdr-developer.org
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-streamdev/vdr-plugin-streamdev-server_0.6.0-2_armhf.deb
Size: 192710
SHA256: be1744969f95a22d1e5bef67913c221ee775059287d4d4a27f9749639f157c6b
SHA1: 56eb3fa90a8c2269ca66611ebedbf0cf2f0fe9ed
MD5sum: 3889cf8614be24833be7f4ebf7e62462
Description: VDR Plugin to stream Live-TV to other VDR's - server part
 This plugin for vdr lets the software stream videos into
 the network. You can interconnect several vdrs that way
 or watch those streams with special client apps like video
 lan client or mplayer. This is the server part.

Package: vdr-plugin-sudoku
Version: 0.3.5-12
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 204
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), vdr-abi-1.7.28-debian
Homepage: http://toms-cafe.de/vdr/sudoku/
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-sudoku/vdr-plugin-sudoku_0.3.5-12_armhf.deb
Size: 52424
SHA256: c040c06ca2d3b10d69efd38f459822f476187d2ed891a0266dba1da9c7c014e3
SHA1: ba1b2a3ff5724bbad95a37e20527dd2bf09c321c
MD5sum: 47eb390446f139c4cb1e8ac0a36fdbb8
Description: VDR-Plugin to generate and solve Sudokus
 This plugin generates Number Place puzzles, so called Sudokus, and let you
 solve it.
 .
 A Sudoku puzzle consists of 9 x 9 cells subdivided into 9 regions with 3 x 3
 cells. The rules are simple. There have to be the numbers from 1 to 9 in every
 row, column and region. In the beginning some numbers are given. These cells
 are painted with cyan background color. The aim of the puzzle is to find the
 missing numbers. There is only one solution of a Sudoku puzzle.

Package: vdr-plugin-svdrpext
Source: vdr-plugin-svdrposd
Version: 0.1.1-8
Installed-Size: 28
Maintainer: Debian VDR Team 
Architecture: all
Depends: vdr-plugin-svdrposd
Size: 3780
SHA256: ad8314c4ac586da1efbe9238dd5ec17ab1b6929bdd43b02f4f1db3539bfe0121
SHA1: 16ae632e96ceef6515278e979f3c4689f461b885
MD5sum: 375d6dc41528e07beb3505de1c00cac0
Description: Transitional dummy package
 Package was renamed from vdr-plugin-svdrpext to vdr-plugin-svdrposd
Homepage: http://vdr.schmirler.de
Tag: role::plugin
Section: video
Priority: extra
Filename: pool/main/v/vdr-plugin-svdrposd/vdr-plugin-svdrpext_0.1.1-8_all.deb

Package: vdr-plugin-svdrposd
Version: 0.1.1-8
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 57
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), vdr-abi-1.7.28-debian
Conflicts: vdr-plugin-svdrpext (<< 0.1.0-1)
Replaces: vdr-plugin-svdrpext (<< 0.1.0-1)
Provides: vdr-plugin-svdrpext
Homepage: http://vdr.schmirler.de
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-svdrposd/vdr-plugin-svdrposd_0.1.1-8_armhf.deb
Size: 11644
SHA256: 8ded696bcfce6fa2152f9b748d2c4a70c3011ea017542d36118b6a309cfb70f2
SHA1: cda1aacb9ad81393223baa5ea4954c25bcb2f73b
MD5sum: f4a81f6a3bce3ed1855611537b7a2a2c
Description: VDR plugin that extends the SVDRP command set of VDR
 This VDR plugin adds some new OSD related commands to VDR's
 remote SVDRP control interface.

Package: vdr-plugin-svdrpservice
Version: 0.0.4-14
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 66
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), vdr-abi-1.7.28-debian
Homepage: http://vdr.schmirler.de
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-svdrpservice/vdr-plugin-svdrpservice_0.0.4-14_armhf.deb
Size: 17622
SHA256: 05b4abeae0a984c94f703dc5b216a0ee2de67ffbe78495b0d6fe99c80bd769e9
SHA1: c4bf9f18ec35831a2357f53e3895a2d0e71de35d
MD5sum: 209a825742261ae3dc2357613c450c91
Description: VDR plugin that provides a SVDRP service for other plugins
 This VDR plugin offers SVDRP connections as a service to other plugins.
 Connecting to streamdev's VTP server port is possible too.

Package: vdr-plugin-vcd
Version: 0.9-22
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 118
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), vdr-abi-1.7.28-debian
Homepage: http://www.heiligenmann.de/vdr/
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-vcd/vdr-plugin-vcd_0.9-22_armhf.deb
Size: 43398
SHA256: 5555bef42915da9125aa095f82b0f303cbb0bf6b3299600e0163c5d37b353daf
SHA1: 2cda4c70c074400777651db05c4385c16e24bd2b
MD5sum: fe43bbd8186c80e2e506803a814f38c6
Description: VDR Plugin for playing (S)VCD's
 This plugin for the Linux Video Disc Recorder VDR allows you to play your
 (S)VCD's within VDR.

Package: vdr-plugin-weather
Version: 0.2.1e-63
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 157
Depends: ftplib3 (>= 3.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), vdr-abi-1.7.28-debian
Homepage: http://www.moldaner.de/vdr/
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-weather/vdr-plugin-weather_0.2.1e-63_armhf.deb
Size: 50244
SHA256: 51cce4ee699f0a4b71b4a629d1ca7a773e450883221bfa86cc44e96ad974b9d8
SHA1: 6697f0538faee13fc16cc2149b98d91ce868a17e
MD5sum: ac8b371f4459bd4f0b45860235ac5405
Description: Weather plugin for VDR
 Displays the current weather conditions for a selected location.
 It gets the METAR data from ftp://weather.noaa.gov.

Package: vdr-plugin-xine
Version: 0.9.4-7
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 572
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), vdr-abi-1.7.28-debian, libxine2-vdr
Suggests: y4mscaler, mjpegtools, netpbm
Homepage: http://home.vr-web.de/~rnissl/
Priority: extra
Section: misc
Filename: pool/main/v/vdr-plugin-xine/vdr-plugin-xine_0.9.4-7_armhf.deb
Size: 208664
SHA256: fd3df517e05448b5522ebfa74c40b7ca958d9916f3db6598bf899a06810b46bf
SHA1: 102405758d1ee983cceca7cceb03b9602b944d41
MD5sum: c4c0313885154c68427b122317ddb278
Description: allows xine to be a display for vdr, the Video Disk Recorder
 Plugin for vdr which allows you to use xine, gxine or some other frontend
 for libxine as a display, instead of relying on an MPEG decoder / TV
 output card.
 .
 xine >= 0.99.2 and gxine >= 0.4.0 have built-in support for vdr. Other
 frontends may need to be patched.
 .
 If you want to use the SVDRP 'grab' command, you'll need mjpegtools
 (from Christian Marillat's archive) and y4mscaler.

Package: vdr-plugin-xineliboutput
Version: 1.0.7+cvs20120609.1902-1
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 735
Depends: libc6 (>= 2.13-28), libextractor3, libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), vdr-abi-1.7.28-debian
Homepage: http://sourceforge.net/projects/xineliboutput
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-xineliboutput/vdr-plugin-xineliboutput_1.0.7+cvs20120609.1902-1_armhf.deb
Size: 271012
SHA256: 83166dfe238c540b944490d1f23140f430f8e4222416063d047d15374f234dbd
SHA1: fa7c8c6bacb0ce6f28a5b41d50d5de2b7cc34697
MD5sum: 2cf15e644b8819905892ebff8f561c83
Description: VDR plugin for Xine based sofdevice frontends
 This VDR plugin provides an audio, video and control stream, that several
 libxine based local and remote frontends can use.

Package: vdradmin-am
Version: 3.6.9-2
Installed-Size: 1788
Maintainer: Debian VDR Team 
Architecture: all
Replaces: vdradmin
Provides: vdradmin
Depends: perl, libio-compress-perl, libtemplate-perl, liburi-perl, libio-socket-inet6-perl, libsocket6-perl, liblocale-gettext-perl | libintl-perl, libwww-perl, adduser, lsb-base, libtemplate-plugin-javascript-perl
Suggests: vdr (>= 1.7.15), libauthen-sasl-perl, vdr-plugin-epgsearch (>= 0.9.23)
Conflicts: vdradmin
Size: 419086
SHA256: 6172e02d23ed58ea97508dd6e7a7d4cc36bbda68386bb11436cef1902b9b31fb
SHA1: 720086a18b98b8b00a8e80d8fd33a77e633c220d
MD5sum: 53c551c156e77db52004959e95e8a355
Description: Web-based administration tool for vdr
 vdradmin-am provides a webinterface for managing
 the Linux Video Disk Recorder (vdr)
Homepage: http://andreas.vdr-developer.org/vdradmin-am/index.html
Tag: implemented-in::perl, interface::web, network::server, role::program,
 web::application, works-with::video
Section: video
Priority: optional
Filename: pool/main/v/vdradmin-am/vdradmin-am_3.6.9-2_all.deb

Package: vectoroids
Version: 1.1.0-11
Architecture: armhf
Maintainer: Christian T. Steigies 
Installed-Size: 535
Depends: libc6 (>= 2.13-28), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11)
Priority: optional
Section: games
Filename: pool/main/v/vectoroids/vectoroids_1.1.0-11_armhf.deb
Size: 295254
SHA256: 1c0f31c9c3acd25e3447dc50f1562c4f5431ebe8d684ffab10f6324f9fd2c33b
SHA1: a88d854d023cdeb770f3dcaefdb01ce6affc9498
MD5sum: 4f07bed8ab4998f14fbbfde3de9ff0ec
Description: vector-based rock-shooting
 "Vectoroids" is a vector-based rock-shooting game similar to the
 arcade classic "Asteroids."
 Pilot a spaceship and destroy asteroids by shooting them into smaller and
 smaller pieces while trying to avoid being smashed or shot by UFOs.
 .
 "Vectoroids" is an SDL game based on the source for
 "Agendaroids," an X-Window game written for the Agenda VR3 Linux-based PDA
 written by the same author.

Package: velocity
Version: 1.7-4
Installed-Size: 975
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: ant, libcommons-collections3-java, libcommons-lang-java (>= 2.6), libcommons-logging-java, libexcalibur-logkit-java, libjdom1-java (>= 1.1.2), liblog4j1.2-java (>= 1.2.16), libservlet2.5-java, libwerken.xpath-java
Recommends: liboro-java
Suggests: velocity-doc
Size: 829826
SHA256: a79becd865068b1887b06919f570fc9fd8619ad90044ca5b2a24625071ef13a2
SHA1: 634aa3b998773494f536c386afead62c7f750842
MD5sum: 51f7165e7c2a3dbf6dd59641df393bba
Description: Java-based template engine for web application
 Velocity is a Java-based template engine. It permits anyone to use
 the simple yet powerful template language to reference objects
 defined in Java code.
 When Velocity is used for web development, Web designers can work in
 parallel with Java programmers to develop web sites according to the
 Model-View-Controller (MVC) model, meaning that web page designers
 can focus solely on creating a site that looks good, and programmers
 can focus solely on writing top-notch code. Velocity separates Java
 code from the web pages, making the web site more maintainable over
 the long run and providing a viable alternative to Java Server Pages
 (JSPs) or PHP.
Homepage: http://velocity.apache.org/
Tag: devel::lang:java, devel::web
Section: java
Priority: optional
Filename: pool/main/v/velocity/velocity_1.7-4_all.deb

Package: velocity-doc
Source: velocity
Version: 1.7-4
Installed-Size: 12679
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: ant-doc, default-jdk-doc, libcommons-logging-java-doc, libjdom1-java-doc, liblog4j1.2-java-doc
Suggests: velocity
Size: 2278194
SHA256: 37fb990f8909b17f5a9aab9d58954b4d2b2a743ffd2357117345b0efc4816980
SHA1: 6347ee9ae665e9d4794f0cc2f5c92346c31dabfe
MD5sum: 9c0df8cc0daa9cd4ecaf0831a2ec7053
Description: Documentation for velocity
 Velocity is a Java-based template engine which is useful to develop
 Model-View-Controller (MVC) model web application. It permits anyone
 to use the simple yet powerful template language to reference objects
 defined in Java code. This package provides documents and examples for
 velocity.
Homepage: http://velocity.apache.org/
Tag: devel::lang:java, role::documentation
Section: doc
Priority: optional
Filename: pool/main/v/velocity/velocity-doc_1.7-4_all.deb

Package: velvet
Version: 1.2.03~nozlibcopy-1
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 2192
Pre-Depends: dpkg (>= 1.15.6)
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.4), zlib1g (>= 1:1.2.6)
Recommends: python
Suggests: velvet-example
Homepage: http://www.ebi.ac.uk/~zerbino/velvet/
Priority: optional
Section: science
Filename: pool/main/v/velvet/velvet_1.2.03~nozlibcopy-1_armhf.deb
Size: 688852
SHA256: 52c9bc31d70112758d33ccf5239fead8b0481b10fccca78c959baab7e55ebadd
SHA1: ce9d3bfc737cb89e98a4709b9c6a86376f7bb5db
MD5sum: 6bcbdd85eea6b65ee88c94940864fb00
Description: Nucleic acid sequence assembler for very short reads
 Velvet is a de novo genomic assembler specially designed for short read
 sequencing technologies, such as Solexa or 454, developed by Daniel Zerbino and
 Ewan Birney at the European Bioinformatics Institute (EMBL-EBI), near
 Cambridge, in the United Kingdom.
 .
 Velvet currently takes in short read sequences, removes errors then produces
 high quality unique contigs. It then uses paired read information, if
 available, to retrieve the repeated areas between contigs.

Package: velvet-example
Source: velvet
Version: 1.2.03~nozlibcopy-1
Installed-Size: 6013
Maintainer: Debian Med Packaging Team 
Architecture: all
Pre-Depends: dpkg (>= 1.15.6)
Size: 6065742
SHA256: b9ec8d27c7bd64c4ae9a5e7bcecdc73368d3890a849691aa428f20128c4a124a
SHA1: 314ae4939acc357830141995f37e60f7f00511cf
MD5sum: 3752216870f25dbe21dcf698da23d3f1
Description: Example data for the Velvet sequence assembler
 Velvet is a de novo genomic assembler specially designed for short read
 sequencing technologies, such as Solexa or 454, developed by Daniel Zerbino and
 Ewan Birney at the European Bioinformatics Institute (EMBL-EBI), near
 Cambridge, in the United Kingdom.
 .
 Velvet currently takes in short read sequences, removes errors then produces
 high quality unique contigs. It then uses paired read information, if
 available, to retrieve the repeated areas between contigs.
 .
 This package contains the example data distributed in the sources of Velvet,
 a de novo genomic assembler.
Homepage: http://www.ebi.ac.uk/~zerbino/velvet/
Section: science
Priority: optional
Filename: pool/main/v/velvet/velvet-example_1.2.03~nozlibcopy-1_all.deb

Package: vera
Version: 1.17-6
Installed-Size: 215
Maintainer: Debian QA Group 
Architecture: all
Depends: dpkg (>= 1.15.4) | install-info, info | info-browser
Size: 219722
SHA256: 26f9559691d3531539f7ed28acd7349912044585596fa848ff488b289088f92e
SHA1: 4e53742035309b3e2d7459537eadcb6d6f04a422
MD5sum: 3c6d52989743dc70592c58e3113834cf
Description: Dictionary of computer related acronyms -- info format
 The free edition of V.E.R.A. - Virtual Entity of Relevant Acronyms -
 is a comprehensive dictionary of computer related acronyms with more
 than 11000 entries.  This package contains the dictionary formatted
 as a single info file.
 .
 Note that this version is usually older than the one that is run on
 the V.E.R.A. homepage.
Homepage: http://home.snafu.de/ohei/vera/vera-e.html
Tag: made-of::dictionary, made-of::info, role::data, suite::gnu
Section: text
Priority: optional
Filename: pool/main/v/vera/vera_1.17-6_all.deb

Package: verbiste
Version: 0.1.34-1
Architecture: armhf
Maintainer: Tomasz Buchert 
Installed-Size: 776
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libverbiste-0.1-0, libxml2 (>= 2.6.27)
Homepage: http://sarrazip.com/dev/verbiste.html
Priority: optional
Section: text
Filename: pool/main/v/verbiste/verbiste_0.1.34-1_armhf.deb
Size: 98368
SHA256: 8ca9e6f4b29541ce10486902876657418b310384171ec4b5ae5c04825ad3eb08
SHA1: ff1a6f91579d61503049053cc35ecabbfbe2cbbd
MD5sum: 417509cf0fc0ca7466af5fdf3aec0444
Description: French and Italian conjugator
 Verbiste is a program that gives the complete conjugation for French and
 Italian verbs. The knowledge base contains over 6800 verbs.
 .
 This package contains the command line programs and the data.

Package: verbiste-el
Source: verbiste
Version: 0.1.34-1
Installed-Size: 94
Maintainer: Tomasz Buchert 
Architecture: all
Depends: verbiste (>= 0.1.34-1), emacsen-common
Recommends: emacs23 | xemacs21 | emacsen
Size: 15050
SHA256: ecf2193a6892bed3229d2f2472eef9080294098355f5bf3c6591cd58a12fbb41
SHA1: aaac196c326a2911bc218f5bfb23b37ffe18ba99
MD5sum: 570541e425cb8f6518ff681e60516c14
Description: French and Italian conjugator - emacs extension
 Verbiste is a program that gives the complete conjugation for French and
 Italian Verbs. The knowledge base contains over 6800 verbs.
 .
 This package contains an emacs interface to verbiste.
Homepage: http://sarrazip.com/dev/verbiste.html
Tag: implemented-in::lisp, role::plugin, suite::emacs
Section: lisp
Priority: optional
Filename: pool/main/v/verbiste/verbiste-el_0.1.34-1_all.deb

Package: verbiste-gnome
Source: verbiste
Version: 0.1.34-1
Architecture: armhf
Maintainer: Tomasz Buchert 
Installed-Size: 166
Depends: gconf-service, libart-2.0-2 (>= 2.3.18), libatk1.0-0 (>= 1.12.4), libbonobo2-0 (>= 2.15.0), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomecanvas2-0 (>= 2.11.1), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), liborbit2 (>= 1:2.14.10), libpango1.0-0 (>= 1.14.0), libpopt0 (>= 1.14), libsm6, libstdc++6 (>= 4.4.0), libverbiste-0.1-0, libxml2 (>= 2.6.27), verbiste (>= 0.1.34-1)
Homepage: http://sarrazip.com/dev/verbiste.html
Priority: optional
Section: gnome
Filename: pool/main/v/verbiste/verbiste-gnome_0.1.34-1_armhf.deb
Size: 59090
SHA256: eb05b93d6d487986ec122d5fd2054789eb22026c6c04d0998789e3177262338a
SHA1: 25f5fff350e5dfa29444d04617020c5715ac84cc
MD5sum: cb881392b5345e9c9f43932e463a6aa0
Description: French and Italian conjugator - GNOME interface
 Verbiste is a program that gives the complete conjugation for French and
 Italian verbs. The knowledge base contains over 6800 verbs.
 .
 This package contains a GNOME graphical interface.

Package: verilator
Version: 3.833-1
Architecture: armhf
Maintainer: Debian Electronics Team 
Installed-Size: 7017
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6)
Suggests: gtkwave, systemc
Homepage: http://www.veripool.org/wiki/verilator
Priority: optional
Section: electronics
Filename: pool/main/v/verilator/verilator_3.833-1_armhf.deb
Size: 2721910
SHA256: 0efb88e1514a4c1feb975f8f3eb200fbf8a196957309d6c2d94a3d6c7f63436e
SHA1: d0da3fb6b5670ee14b3762425982050b7e416aac
MD5sum: 2f8472f6489c7ef18e2e367a033c2516
Description: fast free Verilog simulator
 Verilator is the fastest free Verilog HDL simulator, and beats many commercial
 simulators. It compiles synthesizable Verilog (not test-bench code!), plus
 some PSL, SystemVerilog and Synthesis assertions into C++ or SystemC code.
 It is designed for large projects where fast simulation performance is of
 primary concern, and is especially well suited to generate executable models
 of CPUs for embedded software design teams.

Package: verilog
Source: iverilog
Version: 0.9.5-1
Installed-Size: 31
Maintainer: Debian Electronics Team 
Architecture: all
Depends: iverilog
Size: 6264
SHA256: c9913fa714c8fccaea24248d414aad99017294378e2e25e392911b319a09efa4
SHA1: 2a75893582d3de1b5e346305fb7de44440920088
MD5sum: d66277d35d54d6a0a06d0257b5a2924f
Description: Icarus verilog compiler (transitional package)
 Icarus Verilog is intended to compile all of the Verilog HDL as
 described in the IEEE-1364 standard. It is not quite there
 yet. It does currently handle a mix of structural and behavioral
 constructs.
 .
 The compiler can target either simulation, or netlist (EDIF).
 .
 This is a dummy transitional package that will ensure a proper upgrade path.
 This package may be safely removed after upgrading.
Homepage: http://iverilog.icarus.com
Tag: field::electronics, role::metapackage
Section: electronics
Priority: optional
Filename: pool/main/i/iverilog/verilog_0.9.5-1_all.deb

Package: veromix
Version: 0.18.3-1
Installed-Size: 143
Maintainer: Nik Lutz 
Architecture: all
Depends: python3 (>= 3.1.3-13~), python3-dbus, python3-gi, veromix-common
Size: 23656
SHA256: 453b942774e1eb10d6c5e83a79642fedee9512bf873bc99d983b8fdd4f69be8b
SHA1: a89a08ac6f273486ac2d04be095e65747a209c1f
MD5sum: 41516719177ed0f4c8f71c6e92b0d230
Description: Mixer for the PulseAudio sound server (GTK+)
 Veromix is a mixer for the PulseAudio sound server.
 .
 Features:
  * control volume of each playback stream
  * control volume of devices (hardware)
  * control volume of input devices (microphone)
  * move playback streams between different devices (drag icon of playback
    stream and drop it on target device)
  * mute/unmute (click icon)
  * volume meter (on mouse over)
  * PopUp-Applet (icon-representation if inside panel)
  * can life in system tray or notification area
  * kill playback streams
  * set default output device
  * Middle-click on panel icon mutes default output
  * Mouse-wheel over panel icon increases/decreases volume of default output
  * supports global hotkeys
  * set volume above 100%
 .
 This package contains the GTK+ frontend for GNOME and others.
Homepage: http://code.google.com/p/veromix-plasmoid/
Section: sound
Priority: optional
Filename: pool/main/v/veromix/veromix_0.18.3-1_all.deb

Package: veromix-common
Source: veromix
Version: 0.18.3-1
Installed-Size: 387
Maintainer: Nik Lutz 
Architecture: all
Depends: ladspa-sdk, pulseaudio, swh-plugins
Suggests: python (>= 2.6.6-7~), python3
Size: 58370
SHA256: 1059244826edfd0f992502eb76e82fb28ed4d6f6c13e50156f3e1976fd528d6f
SHA1: b64799564403a94a3e45364e9bb1354094afba2f
MD5sum: 30e9fecadb0153b16fd792f120ff4303
Description: Mixer for the PulseAudio sound server (common)
 Veromix is a mixer for the PulseAudio sound server.
 .
 This package contains the common files.
Homepage: http://code.google.com/p/veromix-plasmoid/
Section: sound
Priority: optional
Filename: pool/main/v/veromix/veromix-common_0.18.3-1_all.deb

Package: verse
Version: 0.22.6
Architecture: armhf
Maintainer: Roberto C. Sanchez 
Installed-Size: 130
Depends: dialog, libc6 (>= 2.4)
Priority: optional
Section: doc
Filename: pool/main/v/verse/verse_0.22.6_armhf.deb
Size: 33330
SHA256: 5849cd2427eb829fc7b642d9e8118c6675cbb4f15e1c8d2798f791ac78db3fae
SHA1: 828b06b78584df5e93bd7fb2912e6fccc67b5e85
MD5sum: c95565ecf307eb4d1c48923198505c37
Description: Daily Devotional Verse from KJV Bible
 Verse displays a Bible Verse on each login. A verse from the King James
 Version of the Bible is assigned to each day.

Package: veusz
Version: 1.15-1
Installed-Size: 3321
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python-numpy, python-qt4, veusz-helpers (>= 1.15-1), python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8)
Suggests: python-pyfits
Size: 953356
SHA256: 5ff6658e5af57de3761ecfedf5ae14ff30155dc1fc5c326d0882d71be56320ba
SHA1: c6d2ded240035ef779986b903428c82354c18268
MD5sum: 082634a380f59333ae1b31bd91e65f0b
Description: 2D scientific plotting application with graphical interface
 Veusz is a 2D scientific plotting and graphing package, designed to
 produce publication-ready Postscript or PDF output. Veusz provides a GUI,
 command line and scripting interface (based on Python) to its plotting
 facilities. The plots are built using an object-based system to
 provide a consistent interface.
Homepage: http://home.gna.org/veusz/
Tag: devel::lang:python, devel::library, implemented-in::python,
 interface::commandline, interface::x11, role::devel-lib, role::program,
 science::data-acquisition, science::plotting, science::visualisation,
 uitoolkit::qt, use::viewing, x11::application, x11::library
Section: science
Priority: optional
Filename: pool/main/v/veusz/veusz_1.15-1_all.deb

Package: veusz-helpers
Source: veusz
Version: 1.15-1
Architecture: armhf
Maintainer: Python Applications Packaging Team 
Installed-Size: 357
Depends: python-numpy (>= 1:1.6.1), python-qt4, python-numpy-abi9, python2.7 | python2.6, python (>= 2.6), python (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.6.1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.3.0), sip-api-8.1
Suggests: veusz (= 1.15-1)
Breaks: veusz (<< 1.15-1)
Homepage: http://home.gna.org/veusz/
Priority: optional
Section: science
Filename: pool/main/v/veusz/veusz-helpers_1.15-1_armhf.deb
Size: 112656
SHA256: fcb73055ce6f5a915574b9788e1b324f6856d71056c9470d479941c7f4234f66
SHA1: d2dbb5327d28ef6b409ab61b7fbf54c69b0a9a6c
MD5sum: 3fc233147f1bd780fd73edbc75f58bb1
Description: Architecture-specific helper module for Veusz
 This package contains the architecture specific files for Veusz, a scientific
 plotting package. This package contains the Python extension modules used
 internally by Veusz.

Package: veusz-helpers-dbg
Source: veusz
Version: 1.15-1
Architecture: armhf
Maintainer: Python Applications Packaging Team 
Installed-Size: 7391
Depends: veusz-helpers (= 1.15-1), python-numpy (>= 1:1.6.1), python-qt4, python-numpy-abi9, python2.7-dbg | python2.6-dbg, python-dbg (>= 2.6), python-dbg (<< 2.8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.6.1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.3.0), sip-api-8.1
Recommends: python-numpy-dbg, python-qt4-dbg
Homepage: http://home.gna.org/veusz/
Priority: extra
Section: debug
Filename: pool/main/v/veusz/veusz-helpers-dbg_1.15-1_armhf.deb
Size: 3007338
SHA256: 1784300b20cd1bf5784d632a740ae4998b92683a24edde272e2ffb7cc8766890
SHA1: 24b749ff998e1101d350faf319494cfd6bf4631a
MD5sum: 761d0ec586b95f38399c91a419faaa84
Description: Architecture-specific helper module for Veusz (debug extension)
 This package contains the architecture specific files for Veusz compiled to
 be able to use the Python debugging package or using standard Python with
 debugging symbols.

Package: vfdata-morisawa5
Source: morisawa
Version: 0.0.20020122-14
Installed-Size: 2372
Maintainer: Atsuhito KOHDA 
Architecture: all
Depends: ptex-bin, dvipsk-ja (>= 5.95a+jp1.7a-1)
Recommends: dvi2ps, okumura-clsfiles
Suggests: dvi2ps-fontdesc-morisawa5, ttf-japanese-mincho, ttf-japanese-gothic
Conflicts: xdvik-ja (<< 22.84.10)
Size: 635032
SHA256: 9c74eb80e21c5d68db5d3a849506a1acbf84760ea88ecff8942d45dc79b59918
SHA1: 8e140a6d8002598240142f37b0d75d2eae7f9a10
MD5sum: a6392fea7fb6bf79330391c1b427eda5
Description: Font files for Morisawa Basic-5 type faces for pTeX
 Contains VF/TFM files for Ryumin-Light, GothicBBB-Medium, FutoMinA101-Bold,
 FutoGoB101-Bold and Jun101-Light.
 .
 It will be easy to use morisawa.sty of okumura-clsfiles if you wish to use
 these fonts in pTeX.
Tag: culture::japanese, made-of::font, role::app-data
Section: tex
Priority: optional
Filename: pool/main/m/morisawa/vfdata-morisawa5_0.0.20020122-14_all.deb

Package: vflib3
Source: vflib3 (3.6.14.dfsg-3)
Version: 3.6.14.dfsg-3+b1
Architecture: armhf
Maintainer: OHURA Makoto 
Installed-Size: 5521
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libkpathsea6, libt1-5 (>= 5.1.0)
Suggests: watanabe-vfont (>> 1-6.6), asiya24-vfont (>> 1-6.6)
Conflicts: asiya24-vfont (<< 1-6.6), watanabe-vfont (<< 1-6.6)
Priority: optional
Section: libs
Filename: pool/main/v/vflib3/vflib3_3.6.14.dfsg-3+b1_armhf.deb
Size: 1306730
SHA256: 4d7665917186eed00aa74a12b4fa72bd9dc64cedbaca08aca27aa4d40cd70553
SHA1: aea0e2988a85e1e3dee8f589028721858c75165a
MD5sum: 1eaf7ae0f7e91078b7e428b8d68d9d46
Description: Versatile Font Library
 VFlib3 is a font rasterizer library for multi-lingual information
 processing.  Using VFlib3, you can obtain bitmap data of characters(glyphs)
 from various fonts in a unified manner.
 .
 VFlib3 can handle almost all font formats available - it now supports
 PK, GF, VF, TFM, OFM level 0, OVF, PCF, BDF, HBF, Syotai-Club, JG, eKanji
 and more.  TrueType and Type 1 fonts are also supported via FreeType2
 and T1lib respectively.  Font search via kpathsea is supported, too.
 .
 This package contains the shared library and configuration files
 needed to run programs using VFlib3.

Package: vflib3-bin
Source: vflib3 (3.6.14.dfsg-3)
Version: 3.6.14.dfsg-3+b1
Architecture: armhf
Maintainer: OHURA Makoto 
Installed-Size: 449
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libkpathsea6, libt1-5 (>= 5.1.0), libx11-6, libxext6, vflib3
Priority: optional
Section: devel
Filename: pool/main/v/vflib3/vflib3-bin_3.6.14.dfsg-3+b1_armhf.deb
Size: 131064
SHA256: 79e2814a7fe52561e420a0740feed992ab6dd392dd6222838b37abf32f57088c
SHA1: 65851de0c6318c9631cb1b8e9af52a4b29499cc1
MD5sum: f1ed561cf78327a8ff903d55194199ee
Description: Sample programs using VFlib3
 VFlib3 is a font rasterizer library for multi-lingual information
 processing.  Using VFlib3, you can obtain bitmap data of characters(glyphs)
 from various fonts in a unified manner.
 .
 VFlib3 can handle almost all font formats available - it now supports
 PK, GF, VF, TFM, OFM level 0, OVF, PCF, BDF, HBF, Syotai-Club, JG, eKanji
 and more.  TrueType and Type 1 fonts are also supported via FreeType2
 and T1lib respectively.  Font search via kpathsea is supported, too.
 .
 This package contains a few sample programs that come with VFlib3.

Package: vflib3-dev
Source: vflib3 (3.6.14.dfsg-3)
Version: 3.6.14.dfsg-3+b1
Architecture: armhf
Maintainer: OHURA Makoto 
Installed-Size: 700
Depends: vflib3 (= 3.6.14.dfsg-3+b1), libfreetype6-dev, libkpathsea-dev, libt1-dev, libc6-dev
Priority: optional
Section: libdevel
Filename: pool/main/v/vflib3/vflib3-dev_3.6.14.dfsg-3+b1_armhf.deb
Size: 193780
SHA256: a7df53d3b23cfba1521970aa79ed8c8c6d7720862c2f53aadc13fa13e727eed5
SHA1: 10de17bf61bc74e35e73d0eae33be22d0c9f7df2
MD5sum: b97d905aa22357cc897d156aa4f09217
Description: Development files for VFlib3
 VFlib3 is a font rasterizer library for multi-lingual information
 processing.  Using VFlib3, you can obtain bitmap data of characters(glyphs)
 from various fonts in a unified manner.
 .
 VFlib3 can handle almost all font formats available - it now supports
 PK, GF, VF, TFM, OFM level 0, OVF, PCF, BDF, HBF, Syotai-Club, JG, eKanji
 and more.  TrueType and Type 1 fonts are also supported via FreeType2
 and T1lib respectively.  Font search via kpathsea is supported, too.
 .
 This package contains the header file and static library for developing
 with VFlib3.

Package: vflib3-doc
Source: vflib3
Version: 3.6.14.dfsg-3
Installed-Size: 1046
Maintainer: OHURA Makoto 
Architecture: all
Recommends: www-browser, postscript-viewer, info-browser
Suggests: vflib3
Size: 836086
SHA256: 994a5447c5c31a2ffb072e551ffc9db6351f3ba1cd00654c580b13683eb92a3f
SHA1: 71a9b927c36b654e55fb0bf76439a58404231da3
MD5sum: 88a40d2ac456b35740532b7f24fc46d1
Description: Documentation for VFlib3
 VFlib3 is a font rasterizer library for multi-lingual information
 processing.  Using VFlib3, you can obtain bitmap data of characters(glyphs)
 from various fonts in a unified manner.
 .
 VFlib3 can handle almost all font formats available - it now supports
 PK, GF, VF, TFM, OFM level 0, OVF, PCF, BDF, HBF, Syotai-Club, JG, eKanji
 and more.  TrueType and Type 1 fonts are also supported via FreeType2
 and T1lib respectively.  Font search via kpathsea is supported, too.
 .
 This package contains the VFlib3 documentation in various formats.
Tag: culture::japanese, devel::doc, devel::library, role::documentation,
 works-with::font
Section: doc
Priority: optional
Filename: pool/main/v/vflib3/vflib3-doc_3.6.14.dfsg-3_all.deb

Package: vftool
Version: 2.0alpha-4.1
Architecture: armhf
Maintainer: Atsuhito KOHDA 
Installed-Size: 166
Depends: libc6 (>= 2.7)
Priority: optional
Section: tex
Filename: pool/main/v/vftool/vftool_2.0alpha-4.1_armhf.deb
Size: 40556
SHA256: 858fdaad1ada8fb4133d20f0fd7e1cca395e18b2995d8bea6dffb4b23567b29b
SHA1: 48cb2830c11e86f98dee5a920688ed8025332e6f
MD5sum: 337ca7fa58e0864f094b33143c0bdb64
Description: a tool to generate VF files for dvi2ps/dvi2dvi
 You can use PostScript fonts and/or TrueType fonts etc. in your TeX files
 with the VF files generated by vftool.

Package: vfu
Version: 4.10-1.1
Architecture: armhf
Maintainer: William Vera 
Installed-Size: 373
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libpcre3 (>= 8.10), libstdc++6 (>= 4.4.0), libtinfo5, tar (>= 1.20-1), bzip2, unzip
Homepage: http://cade.datamax.bg/vfu/
Priority: optional
Section: utils
Filename: pool/main/v/vfu/vfu_4.10-1.1_armhf.deb
Size: 164882
SHA256: b793494df0e4901f189413155fda18151bbe9bb772ffd2ec0649ccfa6ea5ba08
SHA1: 102eced56089fd6eef1d1e03a3526da4bb7b4531
MD5sum: 8e7756d7bcf7b404903dc6c68cab3196
Description: A versatile text-based filemanager
 vfu is a nice filemanager using the ncurses
 library. It has many nice features:
 .
  * Fast one-key commands
  * Filename completion and wildcard expansion
  * Directory tree with sizes
  * File-type colorization
  * Archives support (TAR, TGZ, BZ2, and many more)
  * FTP support through archive-like interface
  * Internal text/hex file viewer and hex editor
  * Automount feature
  * Extensive user-defined external support/utils!

Package: vgabios
Version: 0.7a-3
Installed-Size: 338
Maintainer: Debian QEMU Team 
Architecture: all
Conflicts: bochs (<< 2.1.1+20040903-1)
Size: 147514
SHA256: 9b75e7c8f496faadcbc41dac07821f20c6d6e76c2258257f53fa9b6776beaefb
SHA1: fa0797f1cc48022b2c6ca62477aa52ce76b1bf43
MD5sum: 2180515d637c2bca3973529747b372a7
Description: VGA BIOS software for the Bochs and Qemu emulated VGA card
 The goal of this project is to provide a Video BIOS for Bochs and Qemu.
 This VGA BIOS is very specific to the bochs/qemu emulated VGA card.
 .
 WARNING: It is NOT meant to drive a physical vga card. You will probably
 fry it if you try. You have been warned.
Homepage: http://savannah.nongnu.org/projects/vgabios/
Tag: hardware::emulation, role::app-data
Section: misc
Priority: extra
Filename: pool/main/v/vgabios/vgabios_0.7a-3_all.deb

Package: vgrabbj
Version: 0.9.6-5.1
Architecture: armhf
Maintainer: Michael Janssen 
Installed-Size: 121
Depends: ftplib3 (>= 3.1), libc6 (>= 2.13-28), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libv4l-0 (>= 0.5.0), zlib1g (>= 1:1.1.4)
Homepage: http://vgrabbj.gecius.de/
Priority: optional
Section: graphics
Filename: pool/main/v/vgrabbj/vgrabbj_0.9.6-5.1_armhf.deb
Size: 51736
SHA256: 54da63408548dfce08e4f008e3e76ca815c484d4d37160b663cadaa6cf4ce1a8
SHA1: 158114dd62754853f7c56bd732dc780c3a2705cd
MD5sum: 4b234b9dee4d320e45355ca33c549208
Description: grabs an image from a camera and puts it in jpg/png format
 vgrabbj is a program that will grab an image from a v4l compatible
 device (usually a webcam of some sort) and save it in a jpg or png
 file.

Package: via-bin
Source: via
Version: 2.0.4-2
Architecture: armhf
Maintainer: Lipsia Group 
Installed-Size: 558
Depends: lesstif2 (>= 1:0.94.4), libatlas3gf-base, libc6 (>= 2.13-28), libgsl0ldbl (>= 1.9), libice6 (>= 1:1.0.0), libpng12-0 (>= 1.2.13-4), libsm6, libvia2, libx11-6, libxext6, libxmu6, libxt6
Recommends: libvia-doc
Conflicts: via, via-utils
Replaces: via-utils
Homepage: http://www.cbs.mpg.de/institute/software/lipsia
Priority: optional
Section: science
Filename: pool/main/v/via/via-bin_2.0.4-2_armhf.deb
Size: 184598
SHA256: b879b20ed9077b8fe3c4dd8cc07c8d51b523719ae0979ffdd5b4f7fc6f7bca50
SHA1: 93c5995b71df522fb93bce5f1d9debfb1208b053
MD5sum: 0d3e1baa2765a41eff07d517738333e3
Description: tools for volumetric image analysis
 VIA is a volumetric image analysis suite for functional and structural
 (medical) images. The suite consists of different tools ranging from
 simple data handling over viewers to complex image transformation.
 .
 All tools operate on data in VISTA format. The package contains several
 converters from e.g. PNG, PGM or PNM to this data format and back.

Package: vidalia
Version: 0.2.20-2
Architecture: armhf
Maintainer: Ulises Vitulli 
Installed-Size: 5738
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.7.0~beta1), libstdc++6 (>= 4.4.0), debconf (>= 1.5.11) | debconf-2.0, ucf, adduser, tor (>= 0.2.2.29-beta-1)
Suggests: iceweasel-torbutton, apparmor
Homepage: https://www.torproject.org/projects/vidalia
Priority: extra
Section: net
Filename: pool/main/v/vidalia/vidalia_0.2.20-2_armhf.deb
Size: 3017134
SHA256: b62be7749d4487b04c6b3fc44e6239b5494519b9a54e7788b94a730fd06ee651
SHA1: 9552dac49c6475d65f71db8ab34b38fecc8f5605
MD5sum: d3180fa52e49ddb80f28ee65372b7f37
Description: controller GUI for Tor
 Vidalia offers a graphical user interface to start and stop Tor, view
 its status at a glance, and monitor its bandwidth usage.
 .
 Vidalia also makes it easy to contribute to
 the Tor network by helping you set up a Tor server.
 .
 Tor protects privacy in communications via a distributed network of
 relays run by volunteers all around the world: it prevents anybody
 watching Internet connections from learning what sites you visit,
 and it prevents the visited sites from learning your physical
 location. Tor works with web browsers, instant messaging programs,
 remote login clients, and many other TCP-based applications.

Package: videocut
Version: 0.2.0-11
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 409
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0), libxine1 (>= 1.1.8), libxine1-x
Homepage: http://code.google.com/p/videocut/
Priority: optional
Section: video
Filename: pool/main/v/videocut/videocut_0.2.0-11_armhf.deb
Size: 172780
SHA256: 7256d880d67086846866b4a355d5c78b93ba5dfcdb52340269b4a43a640e7251
SHA1: 8fbe0876ef7c41311a6b01078ef949a14b855bf2
MD5sum: 78be108869f553ff1bcbb8f237bcdd89
Description: application for creating compositions of screenshots from videos
 VideoCut is an open source desktop application specialized for
 creating compositions of screenshots from video files.
 Additional you can also export your whole composition in one
 image file with additional audio and video header information.

Package: videogen
Version: 0.32-5
Architecture: armhf
Maintainer: Bas Zoetekouw 
Installed-Size: 94
Depends: bc, libc6 (>= 2.4)
Homepage: http://www.dynaweb.hu/opensource/videogen/
Priority: optional
Section: x11
Filename: pool/main/v/videogen/videogen_0.32-5_armhf.deb
Size: 28328
SHA256: 7ae6d663d087455e9525310d3135ec9da9a052871f9ddc25211cec84695e7914
SHA1: 63b89e9953e25c60ee78be45cf1be606601f9a27
MD5sum: 7e12fbbadc717e550b2019b2f5846ff7
Description: Create arbitrary-res modelines using hardware parameters
 Videogen is a small but nice utility to create modelines you can
 insert into your xorg.conf and fb.modes files.
 .
 Modeline is created by telling the program the resolution you want
 and your video hardware parameters (maximum video adapter
 bandwidth, maximum HCF and VCF of the monitor etc).
 .
 Note that for most modern installations, Xorg will handle its own modesetting
 automatically, and you don't need videogen to generate any Modelines.
 .
 The tool 'some_modes' may help you to create some common modes fast.

Package: videolan-doc
Version: 20070626-1
Installed-Size: 13908
Maintainer: Debian multimedia packages maintainers 
Architecture: all
Size: 10325186
SHA256: 11d1a5fe22890ce32964e1ab33947ce0b35c9d4e40a2f52265f6a6d199ee1f1f
SHA1: 784a8936be5a7b6a2aa0136d4b6973db8f5fd3f1
MD5sum: 0d7f9873907cb213741fc9aef0b48b42
Description: documentation for the VideoLAN streaming solution
 This package contains useful documentation for the VideoLAN streaming
 solution: the VLC Play HOWTO (a complete guide to using VLC as a media
 player), the VLC Streaming HOWTO (a guide to using VLC as a streaming
 solution), the VLS user guide and the VideoLAN FAQ.
Tag: hardware::video, made-of::html, made-of::pdf, role::documentation,
 works-with::video
Section: doc
Priority: optional
Filename: pool/main/v/videolan-doc/videolan-doc_20070626-1_all.deb

Package: viewmol
Version: 2.4.1-18
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 5793
Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libpng12-0 (>= 1.2.13-4), libpython2.7 (>= 2.7), libtiff5 (>> 4.0.0-1~), libx11-6, libxi6, libxmu6, libxt6, python (>= 2.6.6-7~)
Suggests: openbabel, xfonts-cyrillic
Priority: optional
Section: science
Filename: pool/main/v/viewmol/viewmol_2.4.1-18_armhf.deb
Size: 2230280
SHA256: 1454f0c947a605895230f1cf9e2ebdcb3d33d04ba888949414c2de71d8509caa
SHA1: 668be401942a6af8366410abafd6c14565a32b09
MD5sum: ceaf5ae8530d3285e7e0224fb715c448
Description: A graphical front end for computational chemistry programs.
 Viewmol is a graphical front end for computational chemistry programs.
 It is able to graphically aid in the generation of molecular structures for
 computations and to visualize their results.
 .
 At present Viewmol includes input filters for Discover, DMol3, Gamess,
 Gaussian 9x/03, Gulp, Mopac, PQS, Turbomole, and Vamp outputs as well as
 for PDB files. Structures can be saved as Accelrys' car-files, MDL files,
 and Turbomole coordinate files. Viewmol can generate input files for
 Gaussian 9x/03. Viewmol's file format has been added to OpenBabel so that
 OpenBabel can serve as an input as well as an output filter for
 coordinates.

Package: viewpdf.app
Version: 1:0.2dfsg1-4
Architecture: armhf
Maintainer: Debian GNUstep maintainers 
Installed-Size: 238
Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), libpopplerkit0
Provides: pdf-viewer
Homepage: http://home.gna.org/gsimageapps/
Priority: optional
Section: gnustep
Filename: pool/main/v/viewpdf.app/viewpdf.app_0.2dfsg1-4_armhf.deb
Size: 49660
SHA256: 317a5b9281678e5dfe9aaddcf03c651f0b7fcc9d9c9079c49e24d2427d167154
SHA1: 289174750a188f6dea8c96e443ff94aedaa736b3
MD5sum: 3967856480ad55f79cb3e546a26d1521
Description: Portable Document Format (PDF) viewer for GNUstep
 ViewPDF is an application to view and navigate in PDF documents.
 .
 Key Features
  * Zoom
  * Keyboard shortcuts for fast navigation

Package: viewvc
Version: 1.1.5-1.4+deb7u1
Installed-Size: 1596
Maintainer: David Martínez Moreno 
Architecture: all
Replaces: viewcvs (<< 0.9.4)
Depends: python, python-support (>= 0.90.0), python-subversion, cvs | subversion, rcs
Suggests: cvsgraph, viewvc-query, libapache2-mod-python, python-tk, mime-support
Conflicts: viewcvs (<< 0.9.4)
Size: 610770
SHA256: b5b4dbed87dbde6aa44eaf90faf55cbece4f09d535abaf6286660ff461f4658c
SHA1: 4737102ca8f312ec20d2b7741edeaa6efb764ee2
MD5sum: dc04c549aa65fa7385f45ba7e84f2127
Description: web interface for CVS and/or Subversion repositories
 ViewVC is a browser (web) interface for CVS and Subversion version
 control repositories.  It generates templatized HTML to present
 navigable directory, revision, and change log listings.  It can display
 specific versions of files as well as diffs between those versions.
 .
 Basically, ViewVC provides the bulk of the report-like functionality you
 expect out of your version control tool, but much more prettily than the
 average textual command-line program output.
 .
 ViewVC can be used in two modes, both of which are supported by this
 package: (1) by running the simple stand-alone server
 "viewvc-standalone", with or without a GUI, and/or (2) by integrating
 ViewVC with Apache (or another CGI-enabled HTTP server), using either
 CGI or mod-python.  This second mode is the normal way ViewVC is used.
Homepage: http://www.viewvc.org/
Recommends: python-pygments, apache2 | httpd-cgi
Section: devel
Priority: optional
Filename: pool/main/v/viewvc/viewvc_1.1.5-1.4+deb7u1_all.deb

Package: viewvc-query
Source: viewvc
Version: 1.1.5-1.4+deb7u1
Installed-Size: 90
Maintainer: David Martínez Moreno 
Architecture: all
Replaces: viewvc (<< 0.9.2-6)
Depends: viewvc (= 1.1.5-1.4+deb7u1), python-mysqldb, python
Suggests: mysql-server
Size: 11808
SHA256: ac1e598c7f55f9a76f592383872a521d329f06fa3a7b1b5289e3861d3f4b9c6a
SHA1: 8d948ef04e91fdc06ebccefc96a00d523c164feb
MD5sum: 183fc60da3a3319fcdb3232ac65d3c2d
Description: utility to query CVS and Subversion commit database
 ViewVC is a browser (web) interface for CVS and Subversion version
 control repositories.  It generates templatized HTML to present
 navigable directory, revision, and change log listings.  It can display
 specific versions of files as well as diffs between those versions.
 .
 This package includes query.cgi (and equivalent mod-python modules): a
 utility to query a separate CVS and Subversion commit database.  You can
 search for multiple matches by typing comma-separated lists into the
 text fields.  Regular expressions and wildcards are also supported.  It
 requires a stand-alone MySQL database server.
Homepage: http://www.viewvc.org/
Section: devel
Priority: optional
Filename: pool/main/v/viewvc/viewvc-query_1.1.5-1.4+deb7u1_all.deb

Package: vifm
Version: 0.4-1
Architecture: armhf
Maintainer: Edelhard Becker 
Installed-Size: 188
Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5
Recommends: vim-addon-manager
Priority: optional
Section: utils
Filename: pool/main/v/vifm/vifm_0.4-1_armhf.deb
Size: 67062
SHA256: aa8c7e6a9a01c701cc91ddaa5679446364e429b9693d955dd90750c01f023619
SHA1: 9a9b45f022ba405d6e4c67771896e25ba701aa29
MD5sum: d6e23afe4aa63da7cab323ed478acb48
Description: a ncurses based file manager with vi like keybindings
 Vifm is a ncurses based file manager with vi like keybindings.  If
 you use vi, vifm gives you complete keyboard control over your files
 without having to learn a new set of commands.

Package: vigor
Version: 0.016-19+deb7u1
Architecture: armhf
Maintainer: Colin Watson 
Installed-Size: 483
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5, libx11-6, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), perl-tk
Provides: editor
Priority: extra
Section: editors
Filename: pool/main/v/vigor/vigor_0.016-19+deb7u1_armhf.deb
Size: 250996
SHA256: 7be83c405b07bc22a34e143ac28953dfd3fc61f3920526fc5bd18c5a136ed556
SHA1: 9b37d6e687bef8da052d26beca20ef42212f8546
MD5sum: 865ef924c4b0cf89dbcffe5a360e8278
Description: nvi with the evil paperclip
 Vigor is a perpetration of the Microsoft Word "Office Assistant" paperclip,
 based on nvi. It was inspired by Pitr of the "User Friendly" comic strip
 (http://www.userfriendly.org/).
 .
 Some people have speculated that Vigor was created under the influence of
 the evil Crud Puppy. The maintainer of this Debian package would like to
 stress his firm belief that these foul rumours are entirely without
 foundation.

Package: viking
Version: 1.3-1
Architecture: armhf
Maintainer: Bernd Zeimetz 
Installed-Size: 1809
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libexif12, libexpat1 (>= 2.0.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgps20 (>= 3.3), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), zlib1g (>= 1:1.1.4)
Recommends: gpsbabel
Suggests: gpsd
Homepage: http://viking.sf.net
Priority: optional
Section: utils
Filename: pool/main/v/viking/viking_1.3-1_armhf.deb
Size: 635250
SHA256: a35ab90ae85a92eb29a8876adc5d2f4bba1d0895f2848ceb3247381e2cd54b08
SHA1: 5f3829086107bf5e00391d259d632c3412f1f6f0
MD5sum: 6c18dbf2678fd857fabc319c1a3f4031
Description: GPS data editor, analyzer and viewer
 Viking aims to be easy to use, yet powerful in accomplishing a wide
 variety of GPS related tasks. It uses a hierarchical layering system
 to organize GPS data, maps, and other layer types with spatial data,
 such as coordinate lines.
 .
 Some of the things you can use Viking for are:
 .
  * Uploading and downloading waypoints, tracks to/from GPS.
  * Realtime GPS tracking and track recording.
  * Preparing tracks and waypoints for trips using maps from services
    such as OpenStreetmap and Terraserver. You only need to upload the data
    to your GPS before you leave. The maps together with your tracks
    and waypoints can also be printed and used during the trip.
  * After trips, tracks and waypoints from GPS can be downloaded,
    stored, managed and reused in later trips.
  * Analyzing OHV and hiking trips, understanding where you went and
    how far you were from something.
  * Making waypoints and tracks to follow to easily get someplace
    you've never been before or don't have GPS data for but Terraserver
    maps exist for it.
  * Making maps with coordinate lines.
  * Analyzing speed at different places, adding waypoints where you forgot
    to mark one but did slow down or stop.

Package: vile
Version: 9.8g-2
Architecture: armhf
Maintainer: Brendan O'Dea 
Installed-Size: 799
Depends: vile-common (= 9.8g-2), libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libperl5.14 (>= 5.14.2), libtinfo5
Provides: editor
Priority: optional
Section: editors
Filename: pool/main/v/vile/vile_9.8g-2_armhf.deb
Size: 376344
SHA256: 993d31b6a2ccabc396e3df527d05af98682d86919a145bec46a929bde0211f60
SHA1: 9217133ecd0252aa3bf6156eec9f876498fa33c1
MD5sum: 5e0be23f2e5e486a346b7379a572929d
Description: VI Like Emacs - vi work-alike
 vile is a text editor which is extremely compatible with vi in terms of
 ``finger feel''.  In addition, it has extended capabilities in many areas,
 notably multi-file editing and viewing, syntax highlighting, key rebinding,
 an optional embedded perl interpreter, and real X window system support.
 .
 This package contains the character-mode binary.  Required support files
 are contained in vile-common.  Source code highlighting filters are in
 vile-filters.  An X11 binary is in the xvile package.

Package: vile-common
Source: vile
Version: 9.8g-2
Installed-Size: 1696
Maintainer: Brendan O'Dea 
Architecture: all
Replaces: vile (<< 9.2g-1)
Depends: libio-pty-perl
Recommends: vile | xvile
Suggests: vile-filters
Size: 768240
SHA256: 33393263376bc1c2d88523290ad2929a847881d58bec871d7d6c594708398321
SHA1: 2897a43ddc10900431240febba2f743e58805d2b
MD5sum: 4c61d761adb34956dcb792b47c6da3c5
Description: VI Like Emacs - support files for vile/xvile
 Shared files for the vile and xvile editors.
Tag: devel::editor, interface::text-mode, role::app-data, uitoolkit::ncurses,
 use::editing, works-with::text
Section: editors
Priority: optional
Filename: pool/main/v/vile/vile-common_9.8g-2_all.deb

Package: vile-filters
Source: vile
Version: 9.8g-2
Architecture: armhf
Maintainer: Brendan O'Dea 
Installed-Size: 1707
Depends: vile-common (= 9.8g-2), libc6 (>= 2.13-28)
Priority: optional
Section: editors
Filename: pool/main/v/vile/vile-filters_9.8g-2_armhf.deb
Size: 517238
SHA256: f0b02aad5a9084f375cd87103b854da51bf634f71ca0225309c8852dc0b2483a
SHA1: 619821e8ef7eda6ee25bdbdf05ff731a7272b622
MD5sum: b9c1812dccf40fecd5e27ca0b32a820b
Description: VI Like Emacs - highlighting filters for vile/xvile
 Text highlighting filters for various languages and file formats for
 the vile and xvile editors.

Package: vilistextum
Version: 2.6.9-1.1
Architecture: armhf
Maintainer: Siegfried-Angel Gevatter Pujals 
Installed-Size: 90
Depends: libc6 (>= 2.4)
Homepage: http://bhaak.dyndns.org/vilistextum/
Priority: optional
Section: text
Filename: pool/main/v/vilistextum/vilistextum_2.6.9-1.1_armhf.deb
Size: 25364
SHA256: 1a537c4ac9b3b9e21aeacac2e04263a043afaa0b143485c23732c0fa89f2ea79
SHA1: abfa947c619e60cfb2642fcf8f207e31da2224df
MD5sum: c79de08cf717a39fd0f977984715583b
Description: a HTML to text converter
 Vilistextum is a small and fast HTML to text / ascii converter
 specifically programmed to get the best out of incorrect html. It is
 quite fault-tolerant and deals well with badly-formed or otherwise
 quirky HTML. It is able to optimize for ebook reading, collapse
 multiple blank lines, and create footnotes out of links.

Package: vim
Version: 2:7.3.547-7+deb7u4
Architecture: armhf
Maintainer: Debian Vim Maintainers 
Installed-Size: 1581
Depends: vim-common (= 2:7.3.547-7+deb7u4), vim-runtime (= 2:7.3.547-7+deb7u4), libacl1 (>= 2.2.51-8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgpm2 (>= 1.20.4), libselinux1 (>= 1.32), libtinfo5
Suggests: ctags, vim-doc, vim-scripts
Provides: editor
Homepage: http://www.vim.org/
Priority: optional
Section: editors
Filename: pool/main/v/vim/vim_7.3.547-7+deb7u4_armhf.deb
Size: 673614
SHA256: 4b04eb15659a2309b252ea19666394096cb7ff4f9dcb3114502ef75ed8349c74
SHA1: d497c4b3d64b6b7d5786ed506cceae1d305e080b
MD5sum: 08717b1ca5b5a4bf427066fc9a6243db
Description: Vi IMproved - enhanced vi editor
 Vim is an almost compatible version of the UNIX editor Vi.
 .
 Many new features have been added: multi level undo, syntax
 highlighting, command line history, on-line help, filename
 completion, block operations, folding, Unicode support, etc.
 .
 This package contains a version of vim compiled with a rather
 standard set of features.  This package does not provide a GUI
 version of Vim.  See the other vim-* packages if you need more
 (or less).

Package: vim-addon-manager
Version: 0.5.2
Installed-Size: 115
Maintainer: Debian Vim Maintainers 
Architecture: all
Depends: ruby, vim-common
Recommends: vim | gvim
Size: 19040
SHA256: 9df4e16464ae9334eb2db7677f5d44e87eb1aee055b3d24124f66e51db9960e5
SHA1: fd881b202dc2117940141893a9170b785c27cd36
MD5sum: 8200dc78c7312903a1c8e249cdf2ff84
Description: manager of addons for the Vim editor
 vim-addon-manager is a tool for managing addons for the Vim
 editor.
 .
 Using the vim-addons command line the user can list the addons
 installed on its system (i.e. which are registered in the vim
 addons registry) and install or uninstall each of them in its
 per-user configuration directory (~/.vim).  Similar actions can
 be performed by the system administrator to affect all system
 users.
 .
 Override of addons which are enabled per default on the system,
 so that they are not enabled for the current user, is possible
 too.
Tag: admin::configuring, implemented-in::ruby, interface::commandline,
 role::program, scope::application, use::configuring, works-with::TODO
Section: editors
Priority: extra
Filename: pool/main/v/vim-addon-manager/vim-addon-manager_0.5.2_all.deb

Package: vim-athena
Source: vim
Version: 2:7.3.547-7+deb7u4
Architecture: armhf
Maintainer: Debian Vim Maintainers 
Installed-Size: 1972
Depends: vim-gui-common (= 2:7.3.547-7+deb7u4), vim-common (= 2:7.3.547-7+deb7u4), vim-runtime (= 2:7.3.547-7+deb7u4), libacl1 (>= 2.2.51-8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgpm2 (>= 1.20.4), libice6 (>= 1:1.0.0), liblua5.1-0, libperl5.14 (>= 5.14.2), libpython2.7 (>= 2.7), libruby1.9.1 (>= 1.9.2.0), libselinux1 (>= 1.32), libsm6, libtinfo5, libx11-6, libxaw7, libxmu6, libxpm4, libxt6, tcl8.5 (>= 8.5.0)
Suggests: cscope, vim-doc
Provides: editor, gvim, vim, vim-lua, vim-perl, vim-python, vim-ruby, vim-tcl
Homepage: http://www.vim.org/
Priority: extra
Section: editors
Filename: pool/main/v/vim/vim-athena_7.3.547-7+deb7u4_armhf.deb
Size: 831470
SHA256: 89e345145888eee07971e9baf7a51cec151ed9ce619295a5616d0656f9bc386c
SHA1: 8c29b044319ae62c6455b59b6fdb538e58c684b4
MD5sum: 341cfa53a15b01c0953881dcdc3bafba
Description: Vi IMproved - enhanced vi editor - with Athena GUI
 Vim is an almost compatible version of the UNIX editor Vi.
 .
 Many new features have been added: multi level undo, syntax
 highlighting, command line history, on-line help, filename
 completion, block operations, folding, Unicode support, etc.
 .
 This package contains a version of vim compiled with a Athena GUI
 and support for scripting with Lua, Perl, Python, Ruby, and Tcl.

Package: vim-common
Source: vim
Version: 2:7.3.547-7+deb7u4
Architecture: armhf
Maintainer: Debian Vim Maintainers 
Installed-Size: 287
Depends: libc6 (>= 2.13-28)
Recommends: vim | vim-gnome | vim-gtk | vim-athena | vim-nox | vim-tiny
Homepage: http://www.vim.org/
Priority: important
Section: editors
Filename: pool/main/v/vim/vim-common_7.3.547-7+deb7u4_armhf.deb
Size: 162618
SHA256: e3e9cd9454ad9ab30ab3b113411887de515b189474843892019f7411a96666c9
SHA1: 6d9a0fc0e00b10c43e57c50873de307b2a3391c7
MD5sum: 72fb9cbd2ebeb46e9b830ea3a3a9a528
Description: Vi IMproved - Common files
 Vim is an almost compatible version of the UNIX editor Vi.
 .
 Many new features have been added: multi level undo, syntax
 highlighting, command line history, on-line help, filename
 completion, block operations, folding, Unicode support, etc.
 .
 This package contains files shared by all non GUI-enabled vim
 variants (vim and vim-tiny currently) available in Debian.
 Examples of such shared files are: manpages, common executables
 like xxd, and configuration files.

Package: vim-conque
Source: conque
Version: 2.3-1
Installed-Size: 292
Maintainer: Harish Badrinath 
Architecture: all
Depends: python (>= 2.6.6-7~), vim-nox | vim-python
Recommends: vim-addon-manager
Size: 55558
SHA256: 91f5865564aec9e220cf02b65d87af64d53c7a13504848d90399ef147a2180df
SHA1: 2a091464d740dfbdd2c768338f637c146a81a580
MD5sum: 13ce029b6dd7179bf07cc6eb1c1fc3c0
Description: plugin for running interactive commands in a Vim buffer
 This package provides a Vim plugin which allows interactive programs such as
 Bash to be run inside a Vim buffer. In other words, it is a terminal emulator
 which uses a Vim buffer to display the program output.
Homepage: https://code.google.com/p/conque/
Section: editors
Priority: optional
Filename: pool/main/c/conque/vim-conque_2.3-1_all.deb

Package: vim-dbg
Source: vim
Version: 2:7.3.547-7+deb7u4
Architecture: armhf
Maintainer: Debian Vim Maintainers 
Installed-Size: 21302
Depends: vim (= 2:7.3.547-7+deb7u4) | vim-gtk (= 2:7.3.547-7+deb7u4) | vim-gnome (= 2:7.3.547-7+deb7u4) | vim-nox (= 2:7.3.547-7+deb7u4) | vim-common (= 2:7.3.547-7+deb7u4) | vim-athena (= 2:7.3.547-7+deb7u4) | vim-tiny (= 2:7.3.547-7+deb7u4)
Homepage: http://www.vim.org/
Priority: extra
Section: debug
Filename: pool/main/v/vim/vim-dbg_7.3.547-7+deb7u4_armhf.deb
Size: 4682582
SHA256: d1179034f9da724cddc3cedafc42864327483f54ed83628a65f1c97cbd7ec639
SHA1: d83046578bda016653d21056791f2e92b4cb4fef
MD5sum: d49066aad9461bbec642e10209eba18a
Description: Vi IMproved - enhanced vi editor (debugging symbols)
 Vim is an almost compatible version of the UNIX editor Vi.
 .
 Many new features have been added: multi level undo, syntax
 highlighting, command line history, on-line help, filename
 completion, block operations, folding, Unicode support, etc.
 .
 This package contains gdb debugging symbols for the vim packages.

Package: vim-doc
Source: vim
Version: 2:7.3.547-7+deb7u4
Installed-Size: 8838
Maintainer: Debian Vim Maintainers 
Architecture: all
Size: 1662046
SHA256: 215360b8e722fa2082365157367f269aa7001f053924badd2ba11230eeb4936b
SHA1: 74c60f4841781b098e7288fa1f97c08ac9b51945
MD5sum: bb3794786c82094394a23dcfaef9d492
Description: Vi IMproved - HTML documentation
 Vim is an almost compatible version of the UNIX editor Vi.
 .
 Many new features have been added: multi level undo, syntax
 highlighting, command line history, on-line help, filename
 completion, block operations, folding, Unicode support, etc.
 .
 This package contains the HTML version of the online
 documentation.
Homepage: http://www.vim.org/
Section: doc
Priority: optional
Filename: pool/main/v/vim/vim-doc_7.3.547-7+deb7u4_all.deb

Package: vim-gnome
Source: vim
Version: 2:7.3.547-7+deb7u4
Architecture: armhf
Maintainer: Debian Vim Maintainers 
Installed-Size: 2019
Depends: vim-gui-common (= 2:7.3.547-7+deb7u4), vim-common (= 2:7.3.547-7+deb7u4), vim-runtime (= 2:7.3.547-7+deb7u4), libacl1 (>= 2.2.51-8), libbonoboui2-0 (>= 2.15.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnome2-0 (>= 2.17.3), libgnomeui-0 (>= 2.22.0), libgpm2 (>= 1.20.4), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), liblua5.1-0, libpango1.0-0 (>= 1.14.0), libperl5.14 (>= 5.14.2), libpython2.7 (>= 2.7), libruby1.9.1 (>= 1.9.2.0), libselinux1 (>= 1.32), libsm6, libtinfo5, libx11-6, libxt6, tcl8.5 (>= 8.5.0)
Suggests: cscope, vim-doc, ttf-dejavu, gnome-icon-theme
Provides: editor, gvim, vim, vim-lua, vim-perl, vim-python, vim-ruby, vim-tcl
Homepage: http://www.vim.org/
Priority: extra
Section: editors
Filename: pool/main/v/vim/vim-gnome_7.3.547-7+deb7u4_armhf.deb
Size: 843702
SHA256: 860777a729ae8be006e3e8a02e5033723c32932dac4256ab08ab2198a5de50e3
SHA1: 8c63fd50b777ea90a136d1f7e14827d5490cd975
MD5sum: 893a41ef5ab92b39b9849207694a5710
Description: Vi IMproved - enhanced vi editor - with GNOME2 GUI
 Vim is an almost compatible version of the UNIX editor Vi.
 .
 Many new features have been added: multi level undo, syntax
 highlighting, command line history, on-line help, filename
 completion, block operations, folding, Unicode support, etc.
 .
 This package contains a version of vim compiled with a GNOME2 GUI
 and support for scripting with Lua, Perl, Python, Ruby, and Tcl.

Package: vim-gtk
Source: vim
Version: 2:7.3.547-7+deb7u4
Architecture: armhf
Maintainer: Debian Vim Maintainers 
Installed-Size: 2015
Depends: vim-gui-common (= 2:7.3.547-7+deb7u4), vim-common (= 2:7.3.547-7+deb7u4), vim-runtime (= 2:7.3.547-7+deb7u4), libacl1 (>= 2.2.51-8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgpm2 (>= 1.20.4), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), liblua5.1-0, libpango1.0-0 (>= 1.14.0), libperl5.14 (>= 5.14.2), libpython2.7 (>= 2.7), libruby1.9.1 (>= 1.9.2.0), libselinux1 (>= 1.32), libsm6, libtinfo5, libx11-6, libxt6, tcl8.5 (>= 8.5.0)
Suggests: cscope, vim-doc, ttf-dejavu, gnome-icon-theme
Provides: editor, gvim, vim, vim-lua, vim-perl, vim-python, vim-ruby, vim-tcl
Homepage: http://www.vim.org/
Priority: extra
Section: editors
Filename: pool/main/v/vim/vim-gtk_7.3.547-7+deb7u4_armhf.deb
Size: 842574
SHA256: 3c796b37eeb405f90de64cd43ffe424366144d8f7bdf92bfa4083b7f7a5f071c
SHA1: 4257eac1ac7e8a0c7f1d6968a1013251804ca975
MD5sum: dfa92eafe81c675f056b2a08d5bff99b
Description: Vi IMproved - enhanced vi editor - with GTK2 GUI
 Vim is an almost compatible version of the UNIX editor Vi.
 .
 Many new features have been added: multi level undo, syntax
 highlighting, command line history, on-line help, filename
 completion, block operations, folding, Unicode support, etc.
 .
 This package contains a version of vim compiled with a GTK2 GUI
 and support for scripting with Lua, Perl, Python, Ruby, and Tcl.

Package: vim-gui-common
Source: vim
Version: 2:7.3.547-7+deb7u4
Installed-Size: 270
Maintainer: Debian Vim Maintainers 
Architecture: all
Replaces: vim-runtime (<< 2:7.2.438+hg~d44112feb815-3)
Breaks: vim-runtime (<< 2:7.2.438+hg~d44112feb815-3)
Size: 143396
SHA256: d367fb3536b53f6396ae9552cea5b4a43c164252a0961a0908bcd1ea1c2fd5de
SHA1: 9f402359aba15e1229731a15cb177a7af90605d1
MD5sum: db233bb755266f3d9186827e22102b15
Description: Vi IMproved - Common GUI files
 Vim is an almost compatible version of the UNIX editor Vi.
 .
 Many new features have been added: multi level undo, syntax
 highlighting, command line history, on-line help, filename
 completion, block operations, folding, Unicode support, etc.
 .
 This package contains files shared by all GUI-enabled vim
 variants available in Debian.  Examples of such shared files are:
 gvimtutor, icons, desktop environments settings, and menu entries.
Homepage: http://www.vim.org/
Recommends: vim-gnome | vim-gtk | vim-athena
Section: editors
Priority: optional
Filename: pool/main/v/vim/vim-gui-common_7.3.547-7+deb7u4_all.deb

Package: vim-latexsuite
Version: 20120125.768-2
Installed-Size: 801
Maintainer: Johann Felix Soden 
Architecture: all
Depends: python, vim-common (>= 1:7.1.314), vim
Recommends: texlive-base-bin, vim-addon-manager
Suggests: xpdf | pdf-viewer, gv | postscript-viewer, xdg-utils
Enhances: vim
Size: 333864
SHA256: e3615577e6e111d4226b9b21210e39f8be08a3918b95227ff49d00ef59ef326d
SHA1: 8e88acf5fd05199ebfed3ff81266d2dd5ba2a223
MD5sum: 0faa267fc2ee39ebd1e93c95455913eb
Description: view, edit and compile LaTeX documents from within Vim
 vim-latexsuite attempts to provide a comprehensive set of tools to view,
 edit and compile LaTeX documents in Vim. Together, they provide tools starting
 from macros to speed up editing LaTeX documents to functions for forward
 searching .dvi documents.
 .
 This package also provides help to LaTeX in Vim.
 .
 By default, vim-latexsuite is not enabled. Please read
 /usr/share/doc/vim-latexsuite/README.Debian after installing the package.
Homepage: http://vim-latex.sourceforge.net/
Tag: devel::TODO, devel::editor, interface::text-mode, role::plugin,
 uitoolkit::ncurses, use::editing, use::typesetting,
 works-with-format::tex, works-with::text
Section: editors
Priority: extra
Filename: pool/main/v/vim-latexsuite/vim-latexsuite_20120125.768-2_all.deb

Package: vim-lesstif
Source: vim
Version: 2:7.3.547-7+deb7u4
Installed-Size: 115
Maintainer: Debian Vim Maintainers 
Architecture: all
Depends: vim-athena
Size: 87658
SHA256: b252aca29583c84c0681348ac028f7d34f940e0762aeab1c3297f8e089bdba11
SHA1: 02644922ca050cf69916b53616138777182f52c4
MD5sum: 964435aca4fccf16e8f00efa51740d1d
Description: Vi IMproved - enhanced vi editor (transitional package)
 This package is a transitional package from vim-lesstif to vim-athena.
Homepage: http://www.vim.org/
Section: editors
Priority: extra
Filename: pool/main/v/vim/vim-lesstif_7.3.547-7+deb7u4_all.deb

Package: vim-migemo
Source: cmigemo
Version: 20110227-7
Installed-Size: 48
Maintainer: Youhei SASAKI 
Architecture: all
Depends: vim, vim-addon-manager, cmigemo
Size: 5082
SHA256: 37fa6a4b5fee1d58737edc53214d5e5b70613c5f4619b154e74b3a3a7a086b43
SHA1: 2ba76ec11054ff3383fb863a7fcf820ce70b2c91
MD5sum: 54e8ebd8670a9d63359330bbc39cc535
Description: VIM plugin for C/Migemo
 Migemo is a tool that supports Japanese incremental search with Romaji.
 Originally migemo is written in Ruby. C/Migemo provides search tool and
 library written in C.
 .
 This package provides C/Migemo plugin for VIM.
Homepage: http://code.google.com/p/cmigemo/
Section: utils
Priority: optional
Filename: pool/main/c/cmigemo/vim-migemo_20110227-7_all.deb

Package: vim-nox
Source: vim
Version: 2:7.3.547-7+deb7u4
Architecture: armhf
Maintainer: Debian Vim Maintainers 
Installed-Size: 1764
Depends: vim-common (= 2:7.3.547-7+deb7u4), vim-runtime (= 2:7.3.547-7+deb7u4), libacl1 (>= 2.2.51-8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgpm2 (>= 1.20.4), liblua5.1-0, libperl5.14 (>= 5.14.2), libpython2.7 (>= 2.7), libruby1.9.1 (>= 1.9.2.0), libselinux1 (>= 1.32), libtinfo5, tcl8.5 (>= 8.5.0)
Suggests: cscope, vim-doc
Provides: editor, vim, vim-lua, vim-perl, vim-python, vim-ruby, vim-tcl
Homepage: http://www.vim.org/
Priority: extra
Section: editors
Filename: pool/main/v/vim/vim-nox_7.3.547-7+deb7u4_armhf.deb
Size: 748302
SHA256: 5046a13320dc7cc0b0e0c831b88ab2173b4c6c6fc53551f043d0a89c689edfc7
SHA1: 2bb84faf8d6eb7f1235915728a1736b3cf19f1e9
MD5sum: 521501adb59bcb207675141b9267e1c5
Description: Vi IMproved - enhanced vi editor - with scripting languages support
 Vim is an almost compatible version of the UNIX editor Vi.
 .
 Many new features have been added: multi level undo, syntax
 highlighting, command line history, on-line help, filename
 completion, block operations, folding, Unicode support, etc.
 .
 This package contains a version of vim compiled with support for
 scripting with Lua, Perl, Python, Ruby, and Tcl but no GUI.

Package: vim-puppet
Source: puppet
Version: 2.7.23-1~deb7u4
Installed-Size: 331
Maintainer: Puppet Package Maintainers 
Architecture: all
Replaces: puppet (<< 0.25.4-1)
Breaks: puppet (<< 0.25.4-1)
Size: 270134
SHA256: dd5c798fdebdb7f249847c7aa87919a8be2bbc7ead19eb480091bcc638b27430
SHA1: 76b08b130ef1e0c369a7f1406d425e93a21facf0
MD5sum: f43f55bf853613d60f1875268b60f41e
Description: syntax highlighting for puppet manifests in vim
 The vim-puppet package provides filetype detection and syntax highlighting for
 puppet manifests (files ending with ".pp").
 .
 As per the Debian vim policy, installed addons are not activated
 automatically, but the "vim-addon-manager" tool can be used for this
 purpose.
Homepage: http://projects.puppetlabs.com/projects/puppet
Recommends: vim-addon-manager
Section: admin
Priority: optional
Filename: pool/main/p/puppet/vim-puppet_2.7.23-1~deb7u4_all.deb

Package: vim-rails
Version: 4.5~20110829-1
Installed-Size: 292
Maintainer: Antonio Terceiro 
Architecture: all
Depends: vim, vim-addon-manager
Recommends: vim-scripts
Size: 61812
SHA256: 89740cb1f04aa9c8f15c6d462f9ed3a6f57936adcc050a6c930e175a9ec41596
SHA1: 331043a8b393d61450be640f266da775dac47fd1
MD5sum: 2ed608a1d306834facd850fe202b620a
Description: vim development tools for Rails development
 vim-rails provides several tools for Rails development with vim:
 .
  * only affects buffers of files inside Rails projects.
  * fills key variables like 'makeprg', 'path' with appropriate values for your
    application.
  * enhanced syntax highlighting for files in Rails projects.
  * interface to Rails' scripts/*
  * automated partial extraction
  * integration with project and dbext vim addons, present in the vim-scripts
    package.
Section: editors
Priority: optional
Filename: pool/main/v/vim-rails/vim-rails_4.5~20110829-1_all.deb

Package: vim-runtime
Source: vim
Version: 2:7.3.547-7+deb7u4
Installed-Size: 22368
Maintainer: Debian Vim Maintainers 
Architecture: all
Breaks: vim-tiny (<< 2:7.3.547-7+deb7u4)
Size: 4586086
SHA256: 99ed5b46643b3c3ff14b3c8ea21c43ed9bda0bb45cbc874861b31be6bdd8e31f
SHA1: 18c5c433b4b9c96f5b1bf9d64ac80b37d5e89711
MD5sum: 43de7b574200ee6534cb3a908618ad4d
Description: Vi IMproved - Runtime files
 Vim is an almost compatible version of the UNIX editor Vi.
 .
 Many new features have been added: multi level undo, syntax
 highlighting, command line history, on-line help, filename
 completion, block operations, folding, Unicode support, etc.
 .
 This package contains vimtutor and the architecture independent runtime
 files, used, if available, by all vim variants available in Debian.
 Example of such runtime files are: online documentation, rules for
 language-specific syntax highlighting and indentation, color schemes,
 and standard plugins.
Homepage: http://www.vim.org/
Enhances: vim-tiny
Recommends: vim | vim-gnome | vim-gtk | vim-athena | vim-nox | vim-tiny
Section: editors
Priority: optional
Filename: pool/main/v/vim/vim-runtime_7.3.547-7+deb7u4_all.deb

Package: vim-scripts
Version: 20121007
Installed-Size: 3522
Maintainer: Debian Vim Maintainers 
Architecture: all
Recommends: vim, vim-addon-manager
Suggests: perlsgml, libtemplate-perl
Enhances: vim
Size: 797714
SHA256: 544861d6fde8861acf31db84f4899fb5b7b1d739920175bea1ce70c44713c86d
SHA1: bf277999c6715fa347b32cd7d8c8e23d7731278a
MD5sum: 4b60d54f132132253d9a97d25ad361d3
Description: plugins for vim, adding bells and whistles
 Vim is a very capable editor. Its scripting support allows the use of plugins
 that enhance the functionality of it. Many people have written scripts, they
 are scattered all over the web; however, there's a central resource on
 http://vim.sf.net to start with.
 .
 This is a collection of some of those scripts on a purely subjective and biased
 basis.  Users can thus have some nice scripts without having to go searching.
 The scripts can be installed globally or on a per user basis.
Homepage: http://www.vim.org/scripts/
Tag: devel::editor, role::plugin, uitoolkit::ncurses, use::editing,
 works-with::text
Section: editors
Priority: optional
Filename: pool/main/v/vim-scripts/vim-scripts_20121007_all.deb

Package: vim-syntax-go
Source: golang
Version: 2:1.0.2-1.1+rpi1
Architecture: all
Maintainer: Ondřej Surý 
Installed-Size: 138
Depends: vim
Recommends: vim-addon-manager
Suggests: golang-go
Homepage: http://golang.org/
Priority: optional
Section: devel
Filename: pool/main/g/golang/vim-syntax-go_1.0.2-1.1+rpi1_all.deb
Size: 30778
SHA256: dd52ed7195b15b9e812ae1ce645a7f97d19ea89e9885a2f68b77f1d7226de31d
SHA1: caa3e4cb21263d482e796ed7a29795403a8433d9
MD5sum: 28868ab40acb79a4bbb030c26b326bf9
Description: Go programming language - Vim highlighting syntax files
 This package provides syntax files for the Vim editor for editing code
 in Google's Go programming language.

Package: vim-syntax-gtk
Version: 20110314-1
Installed-Size: 1200
Maintainer: Laurent Bigonville 
Architecture: all
Recommends: vim-addon-manager
Size: 228636
SHA256: b254b85532d3e16cd30fe4d40914c9a01d6d6d7d9fc2322cf81f5c9478169679
SHA1: 476525ef56e53af0ffe936eb6a99c3b30eb5ab82
MD5sum: b363b75d0695a4f07fbe90ef01ca89c9
Description: Syntax files to highlight GTK+ keywords in vim
 A collection of C extension syntax files for Xlib, Glib, GObject, GDK,
 GdkPixBuf, GTK+, ATK, Pango, Cairo, libgimp, libgnome, libgnomecanvas,
 libgnomeui, libglade, gtkglext, VTE, linc, GConf, ORBit, Evince, GIO
 libsoup, libunique, GStreamer, GObject-Introspection and Json-Glib.
Homepage: http://www.vim.org/scripts/script.php?script_id=1000
Tag: role::app-data, role::plugin, works-with::software:source
Section: editors
Priority: optional
Filename: pool/main/v/vim-syntax-gtk/vim-syntax-gtk_20110314-1_all.deb

Package: vim-tiny
Source: vim
Version: 2:7.3.547-7+deb7u4
Architecture: armhf
Maintainer: Debian Vim Maintainers 
Installed-Size: 700
Depends: vim-common (= 2:7.3.547-7+deb7u4), libacl1 (>= 2.2.51-8), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libselinux1 (>= 1.32), libtinfo5
Suggests: indent
Provides: editor
Homepage: http://www.vim.org/
Priority: important
Section: editors
Filename: pool/main/v/vim/vim-tiny_7.3.547-7+deb7u4_armhf.deb
Size: 280158
SHA256: 4178374540a10c86f8c7c88d156dcd3f3b0c442d564230db413f52acd7452f9e
SHA1: d524ec3ee6949fe92ceb8a832799ad036c00d419
MD5sum: e37ffe61e67bd09d60619c4e52d89d2d
Description: Vi IMproved - enhanced vi editor - compact version
 Vim is an almost compatible version of the UNIX editor Vi.
 .
 Many new features have been added: multi level undo, syntax
 highlighting, command line history, on-line help, filename
 completion, block operations, folding, Unicode support, etc.
 .
 This package contains a minimal version of vim compiled with no
 GUI and a small subset of features in order to keep small the
 package size. This package does not depend on the vim-runtime
 package, but installing it you will get its additional benefits
 (online documentation, plugins, ...).

Package: vim-vimerl
Version: 1.4.1+git20120509.89111c7-2
Installed-Size: 130
Maintainer: Per Andersson 
Architecture: all
Provides: vim-erlang
Depends: erlang-base | erlang-base-hipe
Recommends: vim-addon-manager
Suggests: erlang-edoc
Size: 18832
SHA256: 69922c136522c809445f8ff9539c3d59e87b3ade639c2f279e7c169b350819ba
SHA1: 2fe1a33c7bea04cc63df9f3d9b9b01e2ab556958
MD5sum: c537b52974f26fb4a439f00454796738
Description: Erlang plugin for Vim
 Vimerl is a plugin for programming in Erlang. It provides several nice
 features to make your life easier when writing code.
 .
 The plugin offers the following features:
  - Code indenting
  - Code folding
  - Code omni completion
  - Syntax checking with quickfix support
  - Code skeletons for the OTP behaviours
  - Uses configuration from Rebar
Homepage: http://github.com/jimenezrick/vimerl
Section: editors
Priority: optional
Filename: pool/main/v/vim-vimerl/vim-vimerl_1.4.1+git20120509.89111c7-2_all.deb

Package: vim-vimerl-syntax
Source: vim-vimerl
Version: 1.4.1+git20120509.89111c7-2
Installed-Size: 55
Maintainer: Per Andersson 
Architecture: all
Provides: vim-erlang-syntax
Recommends: vim-addon-manager
Size: 6896
SHA256: e9377a934a260eb713c4356a611846caf78e3fe6cffc850c98b464b3ac786f33
SHA1: 4a73794c7411c628fe7fb7e32865139897b5536a
MD5sum: 1edbfe8d8d4c4f6a7acf6ed8eed11291
Description: Erlang syntax for Vim
 Vimerl is a plugin for programming in Erlang. It provides several nice
 features to make your life easier when writing code.
 .
 This package offers the syntax hilighting feature.
Homepage: http://github.com/jimenezrick/vimerl
Section: editors
Priority: optional
Filename: pool/main/v/vim-vimerl/vim-vimerl-syntax_1.4.1+git20120509.89111c7-2_all.deb

Package: vim-vimoutliner
Source: vimoutliner
Version: 0.3.4+pristine-9
Installed-Size: 436
Maintainer: Patrick Schoenfeld 
Architecture: all
Replaces: vimoutliner
Depends: vim | gvim, perl, python, libxml-writer-perl, libpalm-perl
Recommends: vim-addon-manager
Size: 82136
SHA256: b6023d87d53ecc172072f42f56c4ba666305186d2ff1ccda8d83ef2e7758d8fd
SHA1: ccccdfa98357b38858004e10cbcddd57658c9201
MD5sum: 315259a61204da7beb3c977d4b565711
Description: script for building an outline editor on top of Vim
 Vimoutliner provides commands for using the Vim text editor as an
 outline editor, to organize text hierarchically into discrete
 sections.
Homepage: http://www.vimoutliner.org/
Tag: devel::editor, interface::text-mode, role::plugin, uitoolkit::ncurses,
 use::editing, use::text-formatting, works-with::text
Section: editors
Priority: optional
Filename: pool/main/v/vimoutliner/vim-vimoutliner_0.3.4+pristine-9_all.deb

Package: vimhelp-de
Version: 7.3.101122-2
Installed-Size: 684
Maintainer: Meike Reichle 
Architecture: all
Depends: vim (>= 2:7.3) | vim-gnome (>= 2:7.3) | vim-gtk (>= 2:7.3) | vim-lesstif (>= 2:7.3) | vim-nox (>= 2:7.3) | vim-tiny (>= 2:7.3), vim (<< 2:7.4) | vim-gnome (<< 2:7.4) | vim-gtk (<< 2:7.4) | vim-lesstif (<< 2:7.4) | vim-nox (<< 2:7.4) | vim-tiny (<< 2:7.4)
Size: 199348
SHA256: 91f938b30172211713fbf7bfb5e40659e52e38b531258e101410feab4f6e175e
SHA1: b0ffd65e705c1fcbe732a7405ead5273d51407c6
MD5sum: 3034afba9cff6108966144a1d3ea96df
Description: Vi IMproved - Documentation files (German translation)
 This is the German translation on vim's in-line help files. Vim is an advanced
 text editor that seeks to provide the power of the de-facto Unix editor 'Vi',
 with a more complete feature set. It is highly versatile and can be extensively
 customized.
Homepage: http://www.florianrehnisch.de/vimhelp/
Tag: culture::german, devel::editor, role::documentation
Section: doc
Priority: extra
Filename: pool/main/v/vimhelp-de/vimhelp-de_7.3.101122-2_all.deb

Package: vinagre
Version: 3.4.2-2
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 5129
Depends: libavahi-common3 (>= 0.6.16), libavahi-gobject0 (>= 0.6.22), libavahi-ui-gtk3-0 (>= 0.6.30), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.28.0), libgnome-keyring0 (>= 2.20.3), libgtk-3-0 (>= 3.0.3), libgtk-vnc-2.0-0 (>= 0.3.10), libtelepathy-glib0 (>= 0.13.16), libvte-2.90-9 (>= 1:0.27.2), libxml2 (>= 2.7.4), dconf-gsettings-backend | gsettings-backend
Recommends: gnome-icon-theme, rdesktop
Homepage: http://www.gnome.org/projects/vinagre/
Priority: optional
Section: gnome
Filename: pool/main/v/vinagre/vinagre_3.4.2-2_armhf.deb
Size: 2281056
SHA256: d82309df9de1a712f7cec1a63775cbabbb957bcefb2b02d43960c68c6d07049f
SHA1: ebec0de4ef0b9aab98f88d19e31d5743f796bcd1
MD5sum: 04f4e667ed750758f39a1a1beb7e3034
Description: remote desktop client for the GNOME Desktop
 Vinagre is a remote desktop client integrated into the GNOME Desktop.
 .
 With Vinagre you can have several connections open simultaneously,
 bookmark your servers thanks to the Favorites support, store the
 passwords in the GNOME keyring, and browse the network to look for
 VNC servers.
 .
 Supported protocols are VNC, SSH, and RDP.

Package: vinetto
Version: 0.6.0~alpha-1
Installed-Size: 124
Maintainer: Danny van der Meeren 
Architecture: all
Depends: python, python-support (>= 0.2)
Size: 12416
SHA256: c57b3cefe735a7e980aaf4351d8cb51be449a1277555152265d38eb0ccaf9b50
SHA1: 95f48fbafc39f96f2114599490009469312489b8
MD5sum: 9779798b9fb80e5752c5cd99ad208872
Description: A forensics tool to examine Thumbs.db files
 A tool intended for forensics examinations. It is a console program to extract
 thumbnail images and their metadata from those thumbs.db files generated under
 Windows. Used in forensic environments.
Tag: admin::forensics, admin::recovery, role::program, security::forensics
Section: utils
Priority: optional
Filename: pool/main/v/vinetto/vinetto_0.6.0~alpha-1_all.deb

Package: vino
Version: 3.4.2-1
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 2684
Depends: libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libcairo2 (>= 1.10.0), libdbus-glib-1-2 (>= 0.78), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.31.8), libgnome-keyring0 (>= 2.20.3), libgnutls26 (>= 2.12.17-0), libgtk-3-0 (>= 3.0.0), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libnotify4 (>= 0.7.0), libsm6, libsoup2.4-1 (>= 2.24.0), libtelepathy-glib0 (>= 0.11.13), libx11-6, libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxtst6, zlib1g (>= 1:1.1.4), dconf-gsettings-backend | gsettings-backend
Recommends: gvfs
Suggests: vinagre, gnome-user-guide
Breaks: gnome-session-bin (<< 3.0)
Homepage: http://live.gnome.org/Vino
Priority: optional
Section: gnome
Filename: pool/main/v/vino/vino_3.4.2-1_armhf.deb
Size: 570906
SHA256: 402ebf841d1095e3f3d02a7c036221e3942edc70888783189e8dec172bd5a77c
SHA1: 6f8ed6c5d5005760632ecde4af1856bed2639a9f
MD5sum: 362ff76650f71b809de5675d9ecce0b2
Description: VNC server for GNOME
 VNC is a protocol that allows remote display of a user's desktop. This
 package provides a VNC server that integrates with GNOME, allowing you
 to export your running desktop to another computer for remote use or
 diagnosis.

Package: viridian
Version: 1.2-3
Installed-Size: 777
Maintainer: Charlie Smotherman 
Architecture: all
Depends: python (>= 2.6.6-7~), python-gtk2, python-gobject, python-dbus, python-gst0.10, gstreamer0.10-tools, gstreamer0.10-gnonlin, gstreamer0.10-alsa | gstreamer0.10-pulseaudio, gstreamer0.10-plugins-base, gstreamer0.10-plugins-good, gstreamer0.10-plugins-base-apps, gstreamer0.10-x, gstreamer0.10-nice, libgstreamer0.10-0, gnome-media, gnome-codec-install, gstreamer0.10-fluendo-mp3, hicolor-icon-theme
Recommends: python-notify
Size: 408986
SHA256: 476c7ba1fc84449be0d2bcb71961ef2d9130cd8874f19f3c56d6517c6dafbe12
SHA1: 1654b041c5063e3846e8ae1488b018b92ea5d96d
MD5sum: fc1182a7f81399868e39b07d41b70481
Description: play audio streams from an Ampache server
 Viridian Player is a front-end for the Ampache server.  Viridian utilizes
 Ampache's XML API to send and receive meta-data and stream information from
 the Ampache server.  Viridian is written in Python and is very small and
 feature rich, which makes it great for minimalistic setups where a heavier,
 bloated player won't due.
Homepage: http://www.daveeddy.com
Tag: network::client, role::program, use::playing, works-with::audio
Section: sound
Priority: optional
Filename: pool/main/v/viridian/viridian_1.2-3_all.deb

Package: virt-goodies
Version: 0.4-1
Installed-Size: 96
Maintainer: Petter Reinholdtsen 
Architecture: all
Depends: python-libvirt, libvirt-bin, python, uuid-runtime
Recommends: munin-node
Size: 8022
SHA256: cd5bece5d10e83ac354f67893914b688f63c5720226e2f99f866dc6215c5b014
SHA1: d213b783d886d44c61fda0e29b3400dec7e2f2d8
MD5sum: c2a95528f0763728a2e128eaa79284bb
Description: A collection of helpful virtualisation related tools
 Included tools are:
 .
  * A libvirt munin plugin.
  * A script to convert VMWare Workstation and Server VM's to kvm/libvirt ones.
Homepage: http://launchpad.net/virt-goodies
Section: admin
Priority: extra
Filename: pool/main/v/virt-goodies/virt-goodies_0.4-1_all.deb

Package: virt-manager
Version: 0.9.1-4
Installed-Size: 5372
Maintainer: Debian Libvirt Maintainers 
Architecture: all
Depends: gconf2 (>= 2.28.1-2), python, python-support (>= 0.90.0), python-gtk2, python-glade2, python-gnome2, python-dbus, python-urlgrabber, python-vte, librsvg2-common, python-libvirt (>= 0.7.1), virtinst (>= 0.600.1), python-gtk-vnc (>= 0.3.8), python-ipy (>= 0.70), python-spice-client-gtk
Recommends: libvirt-bin (>= 0.4.6), gnome-icon-theme
Suggests: virt-viewer, ssh-askpass, gnome-keyring, python-gnomekeyring, hal, python-guestfs
Size: 1080882
SHA256: 52795a6a47ae58a98b3566431468216c52f5703f60e42ddbc6695d4106d4953c
SHA1: f49b61703d30b163d27517cec7f6db66e3deeec6
MD5sum: 772e3e375cffc01905307164892eefbe
Description: desktop application for managing virtual machines
 It presents a summary view of running domains and their live performance &
 resource utilization statistics. A detailed view presents graphs showing
 performance & utilization over time. Ultimately it will allow creation of new
 domains, and configuration & adjustment of a domain's resource allocation &
 virtual hardware.  Finally an embedded VNC client viewer presents a full
 graphical console to the guest domain.
 .
 NOTE: the GUI is still considered experimental.
Homepage: http://virt-manager.et.redhat.com/
Tag: admin::monitoring, admin::virtualization, implemented-in::python,
 interface::x11, role::program, scope::utility, uitoolkit::gtk
Section: admin
Priority: optional
Filename: pool/main/v/virt-manager/virt-manager_0.9.1-4_all.deb

Package: virt-top
Version: 1.0.7-1
Architecture: armhf
Maintainer: Debian Libvirt Maintainers 
Installed-Size: 652
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libncursesw5 (>= 5.6+20070908), libtinfo5, libvirt0 (>= 0.9.10~rc1)
Homepage: http://et.redhat.com/~rjones/virt-top/
Priority: extra
Section: admin
Filename: pool/main/v/virt-top/virt-top_1.0.7-1_armhf.deb
Size: 196310
SHA256: 9d7af97cb12d4f09dc6c2b7627ad128ce872a864c4d903bd4035f2b9c25b353a
SHA1: 8e6fb62dd85508d8a2a74e3ebe6d5b42bd0618de
MD5sum: 3973c234ace105a5eb56d915068d8c41
Description: show stats of virtualized domains
 virt-top is modelled after the "ordinary" top utility and many keys and
 command line options are the same.
 .
 It uses libvirt so it capable of showing stats across a variety of
 different virtualization systems.

Package: virt-viewer
Version: 0.5.3-1
Architecture: armhf
Maintainer: Debian Libvirt Maintainers 
Installed-Size: 1018
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk-3-0 (>= 3.0.0), libgtk-vnc-2.0-0 (>= 0.3.10), libvirt0 (>= 0.9.7~rc1), libxml2 (>= 2.7.4)
Suggests: netcat
Homepage: http://virt-manager.et.redhat.com/
Priority: extra
Section: admin
Filename: pool/main/v/virt-viewer/virt-viewer_0.5.3-1_armhf.deb
Size: 206010
SHA256: 1d80153aef11757b6ecc8bc2c65be14cac302e8c88664ed1b19ecaeca2954d05
SHA1: abf64f654df9b2b67471e58fe1dfceee99a3029f
MD5sum: 8b3eec4dc2049e69955b0e3f1e6040a7
Description: Displaying the graphical console of a virtual machine
 The console is accessed using the VNC protocol. The guest can be referred to
 based on its name, ID, or UUID. If the guest is not already running, then the
 viewer can be told to wait until is starts before attempting to connect to the
 console The viewer can connect to remote hosts to lookup the console
 information and then also connect to the remote console using the same network
 transport.

Package: virt-what
Version: 1.12-1
Architecture: armhf
Maintainer: Debian Libvirt Maintainers 
Installed-Size: 64
Depends: libc6 (>= 2.13-28)
Homepage: http://et.redhat.com/~rjones/virt-what
Priority: extra
Section: admin
Filename: pool/main/v/virt-what/virt-what_1.12-1_armhf.deb
Size: 12536
SHA256: 9c3a4beab04b0ca381387f1ff4bf31342ff432f9f68587a63da13952a1c393e2
SHA1: f07ed718f887cdbf788b34e8c4238135c3df001a
MD5sum: 2fe1dbfb961398884160746f87b712f7
Description: detect if we are running in a virtual machine
 Virt-what is a shell script which can be used to detect if the program is
 running in a virtual machine.
 .
 The program prints out a list of "facts" about the virtual machine, derived
 from heuristics. One fact is printed per line.

Package: virtaal
Version: 0.7.1-1
Installed-Size: 3603
Maintainer: Debian l10n developers 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), translate-toolkit (>= 1.9.0), python-gtk2, python-gobject, python-glade2, python-pycurl, python-lxml
Recommends: python-gtkspell, libreoffice-common, python-levenshtein, python-psycopg2
Size: 1016606
SHA256: 5081c2c8580700bf230fb1dc857d00d9169f6f603bae3a4f08f68d5ac16ab238
SHA1: 6da568d5ba7e3ebfefe90e7a8842a2b07c43e5c7
MD5sum: 4a0f6b261a74384e73d2f3810e98d1ba
Description: graphical localisation editor
 Virtaal is a graphical localisation editor which supports a variety of formats
 (including PO and XLIFF files).
Homepage: http://translate.sourceforge.net/wiki/virtaal/index
Tag: devel::i18n, implemented-in::python, interface::x11, role::program,
 scope::application, uitoolkit::gtk, use::checking, use::converting,
 use::editing, works-with::dictionary, x11::application
Section: python
Priority: optional
Filename: pool/main/v/virtaal/virtaal_0.7.1-1_all.deb

Package: virtinst
Version: 0.600.1-3+deb7u2
Installed-Size: 1435
Maintainer: Debian Libvirt Maintainers 
Architecture: all
Depends: python (>= 2.4), python-support (>= 0.90.0), python-libvirt (>= 0.4.6), python-libxml2, python-urlgrabber
Recommends: virt-viewer, qemu
Size: 387896
SHA256: f91f22f42352f0f08350d45c1e2cee0da710dc83ee2c6491c27bfe7bb33d3ee3
SHA1: 5507dece33dcca069daf2e19e4ad9c1e04f13ce7
MD5sum: ce8c7f783b84a893c85d6618a2ebd4ea
Description: Programs to create and clone virtual machines
 Virtinst is a set of commandline tools to create virtual machines using
 libvirt:
 .
 virt-install: provision new virtual machines
 virt-clone: clone existing virtual machines
 virt-image: create virtual machines from an image description
 virt-convert: convert virtual machines between formats
Homepage: http://virt-manager.et.redhat.com/
Tag: admin::virtualization, implemented-in::python, role::program
Section: admin
Priority: extra
Filename: pool/main/v/virtinst/virtinst_0.600.1-3+deb7u2_all.deb

Package: virtualbox-dkms
Source: virtualbox
Version: 4.1.42-dfsg-1+deb7u1
Installed-Size: 4025
Maintainer: Debian Virtualbox Team 
Architecture: all
Replaces: virtualbox-ose-dkms (<< 4.0.6-dfsg-1~), virtualbox-ose-source (<< 3.1.0-dfsg-1~)
Depends: dkms (>= 2.1.0.0), virtualbox (>= 4.1.42-dfsg-1+deb7u1)
Breaks: virtualbox-ose-dkms (<< 4.0.6-dfsg-1~)
Size: 522010
SHA256: 1caf6cb83ad38483e2e806ec508c4622dc21f48a6b540c05850cd9997c2bb338
SHA1: 8a921445668141495872962d0ad7a91af0dd2f62
MD5sum: 6cec4b085aeb2eb899b00027e9676e6f
Description: x86 virtualization solution - kernel module sources for dkms
 VirtualBox is a free x86 virtualization solution allowing a wide range
 of x86 operating systems such as Windows, DOS, BSD or Linux to run on a
 Linux system.
 .
 This package provides the source code for the virtualbox kernel module to be
 build with dkms. Kernel sources or headers are required to compile this
 module.
Homepage: http://www.virtualbox.org/
Pre-Depends: dpkg (>= 1.15.6~)
Section: kernel
Priority: optional
Filename: pool/main/v/virtualbox/virtualbox-dkms_4.1.42-dfsg-1+deb7u1_all.deb

Package: virtualbox-guest-dkms
Source: virtualbox
Version: 4.1.42-dfsg-1+deb7u1
Installed-Size: 3464
Maintainer: Debian Virtualbox Team 
Architecture: all
Replaces: virtualbox-ose-guest-dkms (<< 4.0.6-dfsg-1~), virtualbox-ose-guest-source (<< 3.1.0-dfsg-1~)
Depends: dkms (>= 2.1.0.0), virtualbox-guest-utils (>= 4.1.42-dfsg-1+deb7u1)
Breaks: virtualbox-ose-guest-dkms (<< 4.0.6-dfsg-1~)
Size: 455586
SHA256: 1c0f5c0c211599095219cd0dd6dcc5b262a908ed41a19d368a561bf635487a72
SHA1: 207200085ec5f9fd16d7a03f059ed6a94ec4d6ab
MD5sum: adc34531e45e1846879958a97c802d59
Description: x86 virtualization solution - guest addition module source for dkms
 VirtualBox is a free x86 virtualization solution allowing a wide range
 of x86 operating systems such as Windows, DOS, BSD or Linux to run on a
 Linux system.
 .
 This package provides the source code for the virtualbox guest addition kernel
 modules to be build with dkms. Kernel sources or headers are required to
 compile these modules.
Homepage: http://www.virtualbox.org/
Pre-Depends: dpkg (>= 1.15.6~)
Section: kernel
Priority: optional
Filename: pool/main/v/virtualbox/virtualbox-guest-dkms_4.1.42-dfsg-1+deb7u1_all.deb

Package: virtualbox-guest-source
Source: virtualbox
Version: 4.1.42-dfsg-1+deb7u1
Installed-Size: 634
Maintainer: Debian Virtualbox Team 
Architecture: all
Replaces: virtualbox-ose-guest-source (<< 4.0.6-dfsg-1~)
Depends: build-essential, debhelper (>= 5), bzip2, kbuild
Breaks: virtualbox-ose-guest-source (<< 4.0.6-dfsg-1~)
Size: 556786
SHA256: 365cdbbf49e7a0a8b88f98e17e85251c3d5e9cb19daec2a4035e10b30909c086
SHA1: 09bb0d68b5d8fb29ae7e54b76e3aba151db33887
MD5sum: 1e6eefe071ccd6dc684f575b97858127
Description: x86 virtualization solution - guest addition module source
 VirtualBox is a free x86 virtualization solution allowing a wide range
 of x86 operating systems such as Windows, DOS, BSD or Linux to run on a
 Linux system.
 .
 This package provides the source code for the virtualbox guest addition kernel
 modules. The virtualbox-guest-utils package is also required in order to
 make use of these modules. Kernel sources or headers are required to compile
 these modules.
Homepage: http://www.virtualbox.org/
Recommends: module-assistant
Section: kernel
Priority: optional
Filename: pool/main/v/virtualbox/virtualbox-guest-source_4.1.42-dfsg-1+deb7u1_all.deb

Package: virtualbox-ose
Source: virtualbox
Version: 4.1.42-dfsg-1+deb7u1
Installed-Size: 119
Maintainer: Debian Virtualbox Team 
Architecture: all
Depends: virtualbox
Size: 41242
SHA256: c045be76b2ce8df6b5b9ad3944f145a5277cbf825b2c5d67f6491e3ff48fcdcd
SHA1: bc5deee844f8578e6ad29c96af6437d681db03f4
MD5sum: 21a3cc553fb2b6e3b06772ead37621e6
Description: transitional package for virtualbox
 This is a dummy transitional package for virtualbox and can be
 safely removed after the installation is complete.
Homepage: http://www.virtualbox.org/
Section: misc
Priority: optional
Filename: pool/main/v/virtualbox/virtualbox-ose_4.1.42-dfsg-1+deb7u1_all.deb

Package: virtualbox-ose-dbg
Source: virtualbox
Version: 4.1.42-dfsg-1+deb7u1
Installed-Size: 119
Maintainer: Debian Virtualbox Team 
Architecture: all
Depends: virtualbox-dbg
Size: 41248
SHA256: 7f0a26c4d47c8167a2b8b71c9d196ed36654c7de4be1da22e267d5e8379781b0
SHA1: 3476b6c6862b0f92d401ba88454a80662417e8d5
MD5sum: 7053bee6e0bb8afd2bd754d0ceae75a8
Description: transitional package for virtualbox-dbg
 This is a dummy transitional package for virtualbox-dbg and can be
 safely removed after the installation is complete.
Homepage: http://www.virtualbox.org/
Section: devel
Priority: extra
Filename: pool/main/v/virtualbox/virtualbox-ose-dbg_4.1.42-dfsg-1+deb7u1_all.deb

Package: virtualbox-ose-dkms
Source: virtualbox
Version: 4.1.42-dfsg-1+deb7u1
Installed-Size: 119
Maintainer: Debian Virtualbox Team 
Architecture: all
Depends: virtualbox-dkms
Size: 41254
SHA256: b557ac5c0dd654272aa17e9797fce677436bcc5e16ae6e01b90ef19f80de71d6
SHA1: c0c4606efbd46bfe622396e44be6be729056c270
MD5sum: ea6edffe468cc159a05ebe53ae23b40c
Description: transitional package for virtualbox-dkms
 This is a dummy transitional package for virtualbox-dkms and can be
 safely removed after the installation is complete.
Homepage: http://www.virtualbox.org/
Section: kernel
Priority: extra
Filename: pool/main/v/virtualbox/virtualbox-ose-dkms_4.1.42-dfsg-1+deb7u1_all.deb

Package: virtualbox-ose-fuse
Source: virtualbox
Version: 4.1.42-dfsg-1+deb7u1
Installed-Size: 119
Maintainer: Debian Virtualbox Team 
Architecture: all
Depends: virtualbox-fuse
Size: 41252
SHA256: 7f625e0ac61aad8de34f01c7e5f88b1d9b7d5c3b0b5439f63a5e31b3056cfac7
SHA1: 08494c2e3166e4591df248e516fb773b61419ee3
MD5sum: 6f494cfbaf10f04541bd0834b72671bf
Description: transitional package for virtualbox-fuse
 This is a dummy transitional package for virtualbox-fuse and can be
 safely removed after the installation is complete.
Homepage: http://www.virtualbox.org/
Section: misc
Priority: optional
Filename: pool/main/v/virtualbox/virtualbox-ose-fuse_4.1.42-dfsg-1+deb7u1_all.deb

Package: virtualbox-ose-guest-dkms
Source: virtualbox
Version: 4.1.42-dfsg-1+deb7u1
Installed-Size: 119
Maintainer: Debian Virtualbox Team 
Architecture: all
Depends: virtualbox-guest-dkms
Size: 41264
SHA256: 7b76091634973e2309ff945b2b5da68459a385c0ea300e23ad35c6b5f6870c0d
SHA1: 081414bc70114aa4473951db01026b7a2de56a59
MD5sum: e0328acf6f3f9cd3944873ab90eaec2a
Description: transitional package for virtualbox-guest-dkms
 This is a dummy transitional package for virtualbox-guest-dkms and can be
 safely removed after the installation is complete.
Homepage: http://www.virtualbox.org/
Section: kernel
Priority: extra
Filename: pool/main/v/virtualbox/virtualbox-ose-guest-dkms_4.1.42-dfsg-1+deb7u1_all.deb

Package: virtualbox-ose-guest-source
Source: virtualbox
Version: 4.1.42-dfsg-1+deb7u1
Installed-Size: 119
Maintainer: Debian Virtualbox Team 
Architecture: all
Depends: virtualbox-guest-source
Size: 41262
SHA256: 22b42bd2d76a58c1be508ba5860b74982241e6f7907f7d75ca5227e0621d3f99
SHA1: dfce6bbda98d8b6485c2f2c477ab3fe6a2972abe
MD5sum: e9aacd48b78f22b11bc382c84be5e3fb
Description: transitional package for virtualbox-guest-source
 This is a dummy transitional package for virtualbox-guest-source and can be
 safely removed after the installation is complete.
Homepage: http://www.virtualbox.org/
Section: misc
Priority: extra
Filename: pool/main/v/virtualbox/virtualbox-ose-guest-source_4.1.42-dfsg-1+deb7u1_all.deb

Package: virtualbox-ose-guest-utils
Source: virtualbox
Version: 4.1.42-dfsg-1+deb7u1
Installed-Size: 119
Maintainer: Debian Virtualbox Team 
Architecture: all
Depends: virtualbox-guest-utils
Size: 41264
SHA256: 849959ed67c353b24f6409004e15e187fa02bf392dd039d2441000c0c8883565
SHA1: 4de9d31c57a2f21832c5550493f77e845debb1d8
MD5sum: 541261393dce5695e4ab898dd0c15214
Description: transitional package for virtualbox-guest-utils
 This is a dummy transitional package for virtualbox-guest-utils and can be
 safely removed after the installation is complete.
Homepage: http://www.virtualbox.org/
Section: misc
Priority: extra
Filename: pool/main/v/virtualbox/virtualbox-ose-guest-utils_4.1.42-dfsg-1+deb7u1_all.deb

Package: virtualbox-ose-guest-x11
Source: virtualbox
Version: 4.1.42-dfsg-1+deb7u1
Installed-Size: 119
Maintainer: Debian Virtualbox Team 
Architecture: all
Depends: virtualbox-guest-x11
Size: 41266
SHA256: aa4daf4e7a86af6da60b87000c35a642c90f34f38ac822ebdf7670abe4520d32
SHA1: cf3ea5e9031f87155c7338ffe05675087bd0e7ff
MD5sum: d089b3eefe58b8b0444f86b0e75ee322
Description: transitional package for virtualbox-guest-x11
 This is a dummy transitional package for virtualbox-guest-x11 and can be
 safely removed after the installation is complete.
Homepage: http://www.virtualbox.org/
Section: misc
Priority: extra
Filename: pool/main/v/virtualbox/virtualbox-ose-guest-x11_4.1.42-dfsg-1+deb7u1_all.deb

Package: virtualbox-ose-qt
Source: virtualbox
Version: 4.1.42-dfsg-1+deb7u1
Installed-Size: 119
Maintainer: Debian Virtualbox Team 
Architecture: all
Depends: virtualbox-qt
Size: 41250
SHA256: fe9caa8898b5d7e63070ed6bc67a3eb1fb786a04d16d0db8e53f1cb94924024f
SHA1: 1dc025da680836322f5f701a4f51391baeb19359
MD5sum: 9f5a96e4a499d67d0386764a986cfc48
Description: transitional package for virtualbox-qt
 This is a dummy transitional package for virtualbox-qt and can be
 safely removed after the installation is complete.
Homepage: http://www.virtualbox.org/
Section: misc
Priority: extra
Filename: pool/main/v/virtualbox/virtualbox-ose-qt_4.1.42-dfsg-1+deb7u1_all.deb

Package: virtualbox-ose-source
Source: virtualbox
Version: 4.1.42-dfsg-1+deb7u1
Installed-Size: 119
Maintainer: Debian Virtualbox Team 
Architecture: all
Depends: virtualbox-source
Size: 41258
SHA256: 3bd9072a1c385977efb5a08bc680601b55f7518723ea902a5d769f3fb4959135
SHA1: ce962d51150de273cc03917cfc07252770a38cdd
MD5sum: 2795ce075cd6598ad6dd92c51ac927c9
Description: transitional package for virtualbox-source
 This is a dummy transitional package for virtualbox-source and can be
 safely removed after the installation is complete.
Homepage: http://www.virtualbox.org/
Section: misc
Priority: optional
Filename: pool/main/v/virtualbox/virtualbox-ose-source_4.1.42-dfsg-1+deb7u1_all.deb

Package: virtualbox-source
Source: virtualbox
Version: 4.1.42-dfsg-1+deb7u1
Installed-Size: 703
Maintainer: Debian Virtualbox Team 
Architecture: all
Replaces: virtualbox-ose-source (<< 4.0.6-dfsg-1~)
Depends: build-essential, debhelper (>= 5), bzip2, kbuild
Breaks: virtualbox-ose-source (<< 4.0.6-dfsg-1~)
Size: 626890
SHA256: 86c6f289fb5c8985e7bfc76084e1a44f5922b05ce51d40fcd98e0d35a4427972
SHA1: 30fe74138d13998cdd7fc9a9d3c02edf865f9e45
MD5sum: c131e9a790fbbd16c5628347c644e262
Description: x86 virtualization solution - kernel module source
 VirtualBox is a free x86 virtualization solution allowing a wide range
 of x86 operating systems such as Windows, DOS, BSD or Linux to run on a
 Linux system.
 .
 This package provides the source code for the virtualbox kernel module.
 The virtualbox package is also required in order to make use of these
 modules. Kernel sources or headers are required to compile this module.
Homepage: http://www.virtualbox.org/
Recommends: module-assistant
Section: misc
Priority: optional
Filename: pool/main/v/virtualbox/virtualbox-source_4.1.42-dfsg-1+deb7u1_all.deb

Package: virtualbricks
Version: 0.6.352-1
Installed-Size: 1504
Maintainer: Francesco Namuri 
Architecture: all
Replaces: qemulator (<< 0.5-4)
Provides: qemulator
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-gtk2, python-glade2, libglade2-0, python-pygraphviz, python-imaging, vde2
Recommends: qemu, gksu, vde2-cryptcab
Suggests: python-eggtrayicon, kvm-qemu
Breaks: qemulator (<< 0.5-4)
Size: 174516
SHA256: cac6ac386290b3456944415d005a48883d80090c4ed194d3ffa3b3967f074b5d
SHA1: 09ba61162c08cec667be715d2dc11a15a19b4d60
MD5sum: d96a7451b7d9050ec4440888c6c05a5d
Description: is a Virtualization suite for qemu/kvm VMs and vde2 networks
 Virtualbricks is a fully featured virtualization suite and a complete GUI to
 manage Qemu/KVM and Virtual Distributed Ethernet. It provides easy but
 detailed device configuration interface, programmable control of the running
 processes and a graphical interactive view of the virtual network topology.
Homepage: http://virtualbricks.eu/
Section: otherosfs
Priority: extra
Filename: pool/main/v/virtualbricks/virtualbricks_0.6.352-1_all.deb

Package: virtualenvwrapper
Version: 3.4-2
Installed-Size: 1202
Maintainer: Jan Dittberner 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), python-virtualenv, libjs-sphinxdoc (>= 1.0), bash-completion
Size: 276974
SHA256: 5c20cd5ccc167fff49181179cdf0949e6f79bfc1a73b5c79fedbf8849545dc93
SHA1: 86ed15017e69d50279e19908f5d08ce24256e1b8
MD5sum: 3bf582a0d26b06dfab069a7af6288bdd
Description: extension to virtualenv for managing multiple virtual Python environments
 virtualenvwrapper is a set of extensions to Ian Bicking's virtualenv
 tool. The extensions include wrappers for creating and deleting
 virtual environments and otherwise managing your development
 workflow, making it easier to work on more than one project at a time
 without introducing conflicts in their dependencies.
Homepage: http://www.doughellmann.com/projects/virtualenvwrapper/
Section: python
Priority: optional
Filename: pool/main/v/virtualenvwrapper/virtualenvwrapper_3.4-2_all.deb

Package: virtuoso-minimal
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: all
Maintainer: Debian Krap Maintainers 
Installed-Size: 143
Depends: virtuoso-opensource-6.1-bin (>= 6.1.4+dfsg1-7+rpi1), libvirtodbc0 (>= 6.1.4+dfsg1-7+rpi1)
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: metapackages
Filename: pool/main/v/virtuoso-opensource/virtuoso-minimal_6.1.4+dfsg1-7+rpi1_all.deb
Size: 90856
SHA256: a0d10c0344a7de030c3225341a12bc23d0aa67b6d53ecc02f92b2fb8f78556f1
SHA1: a3c2c2a7559cdecaa41b381a9eedeea189c31608
MD5sum: 07067df41cfa81048c48768cce16cd79
Description: high-performance database - core dependency package
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This is an empty package depending on the current "best" version of the
 core Virtuoso binaries, as recommended by the maintainers (currently
 virtuoso-opensource-6.1-bin). This should usually be depended on by
 all packages which use Virtuoso as an embedded database.

Package: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: all
Maintainer: Debian Krap Maintainers 
Installed-Size: 147
Depends: virtuoso-opensource-6.1 (>= 6.1.4+dfsg1-7+rpi1)
Recommends: virtuoso-server, virtuoso-vsp-startpage, virtuoso-vad-conductor
Suggests: virtuoso-vad-doc, virtuoso-vad-demo, virtuoso-vad-tutorial, virtuoso-vad-rdfmappers, virtuoso-vad-sparqldemo, virtuoso-vad-syncml, virtuoso-vad-bpel, virtuoso-vad-isparql, virtuoso-vad-ods, virtuoso-vad-dbpedia, virtuoso-vad-facetedbrowser
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: metapackages
Filename: pool/main/v/virtuoso-opensource/virtuoso-opensource_6.1.4+dfsg1-7+rpi1_all.deb
Size: 93416
SHA256: 4dab0d7fb7161692a3e82f56badc734c0819b6ee95f3759dbc54dc6c4ab7c894
SHA1: 2a15e5665d94a3007745675a502482bc004c8a91
MD5sum: f7c9c4d17d0565d3050d48a716958552
Description: high-performance database
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 Virtuoso supports SPARQL embedded into SQL for querying RDF data stored
 in its database. SPARQL benefits from low-level support in the engine
 itself, such as SPARQL-aware type-casting rules and a dedicated IRI data
 type.
 .
 Install this metapackage for the full suite of packages that make up
 Virtuoso OSE ("Open-Source Edition").

Package: virtuoso-opensource-6.1
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: armhf
Maintainer: Debian Krap Maintainers 
Installed-Size: 1159
Pre-Depends: dpkg (>= 1.15.7.2~)
Depends: virtuoso-opensource-6.1-common (= 6.1.4+dfsg1-7+rpi1), virtuoso-opensource-6.1-bin (= 6.1.4+dfsg1-7+rpi1), libvirtodbc0 (= 6.1.4+dfsg1-7+rpi1), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libmagickcore5 (>= 8:6.7.7.10), libmagickwand5 (>= 8:6.7.7.10), libpcre3 (>= 8.10), libwbxml2-0 (>= 0.10.0), debconf (>= 0.5) | debconf-2.0
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: database
Filename: pool/main/v/virtuoso-opensource/virtuoso-opensource-6.1_6.1.4+dfsg1-7+rpi1_armhf.deb
Size: 311612
SHA256: e4ac0ed1cc9959b282c5d6452776007e09feb6a9d37372ad1d5c5c0d49b78c22
SHA1: ca37f64acc1004d75b4043723ce4e4faed0af456
MD5sum: b4776cb50e6cc4252c4868b513b05d69
Description: high-performance database - support files
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package provides the Virtuoso server framework.

Package: virtuoso-opensource-6.1-bin
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: armhf
Maintainer: Debian Krap Maintainers 
Installed-Size: 10683
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libpcre3 (>= 8.10), libreadline6 (>= 6.0), libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4)
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: database
Filename: pool/main/v/virtuoso-opensource/virtuoso-opensource-6.1-bin_6.1.4+dfsg1-7+rpi1_armhf.deb
Size: 3867122
SHA256: b08f18201a217c80bb31da134efb8911498e76e3503a092d8337c1b8d3d01791
SHA1: 80853c8b18665945770c748749b5bba51598b66f
MD5sum: 88ae56ca25c51e93fa3895cb25025b56
Description: high-performance database - binaries
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the core Virtuoso binaries.

Package: virtuoso-opensource-6.1-common
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: armhf
Maintainer: Debian Krap Maintainers 
Installed-Size: 181
Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0)
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: database
Filename: pool/main/v/virtuoso-opensource/virtuoso-opensource-6.1-common_6.1.4+dfsg1-7+rpi1_armhf.deb
Size: 105986
SHA256: 63f2c5c7211249eef8351ce10b221da3656b99bd5a440948b2ffc750efbc361e
SHA1: 615f3362835f1c74a586f07de8d0ef427f9e6a0a
MD5sum: c845692098198bdb27ed648064407aa2
Description: high-performance database - common files
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains files common to all versions of Virtuoso.

Package: virtuoso-server
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: all
Maintainer: Debian Krap Maintainers 
Installed-Size: 143
Depends: virtuoso-opensource-6.1 (>= 6.1.4+dfsg1-7+rpi1)
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: metapackages
Filename: pool/main/v/virtuoso-opensource/virtuoso-server_6.1.4+dfsg1-7+rpi1_all.deb
Size: 90826
SHA256: 0019333556779d77f7b87924eb1f021dfc3afdc53023b5ddaaf81a24efb87b12
SHA1: 1d7dddb2ef0911d1c1fb24c67e21e6f82c3ee832
MD5sum: 8745dc1cf6bcee16873048f7749f2622
Description: high-performance database - server dependency package
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This is an empty package depending on the current "best" version of the
 Virtuoso server framework, as recommended by the maintainers (currently
 virtuoso-opensource-6.1). Install this package if in doubt about
 which version you need.

Package: virtuoso-vad-bpel
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: all
Maintainer: Debian Krap Maintainers 
Installed-Size: 1668
Depends: virtuoso-opensource
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: database
Filename: pool/main/v/virtuoso-opensource/virtuoso-vad-bpel_6.1.4+dfsg1-7+rpi1_all.deb
Size: 378676
SHA256: b1c78483cfd2d0920ced12a91cc004e91f49d84dcdcee7849bf5a80643519786
SHA1: c74d2f55b06c569c7bd595c6c1cede5bb8e7bba7
MD5sum: 95dde9e3319b4b1b47458df848f34ca5
Description: high-performance database - BPEL module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for Business Process Execution Language support.

Package: virtuoso-vad-conductor
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: all
Maintainer: Debian Krap Maintainers 
Installed-Size: 6249
Depends: virtuoso-opensource
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: database
Filename: pool/main/v/virtuoso-opensource/virtuoso-vad-conductor_6.1.4+dfsg1-7+rpi1_all.deb
Size: 1355446
SHA256: bf3ef27c3ec9cd1829ef22fae45328ba4431abe688cf044afcd3f4751194d2de
SHA1: 2d2e210c74d24c4321e469dc6a5ca75e0a1be833
MD5sum: 69a1c2702c1d9b5815e22db99bf21fa7
Description: high-performance database - conductor module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for the administration interface.

Package: virtuoso-vad-demo
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: all
Maintainer: Debian Krap Maintainers 
Installed-Size: 22878
Depends: virtuoso-opensource
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: doc
Filename: pool/main/v/virtuoso-opensource/virtuoso-vad-demo_6.1.4+dfsg1-7+rpi1_all.deb
Size: 12028232
SHA256: 4850e4dc342177d409cac6427634f56456b8cff626a47f43caedb069bbe56914
SHA1: 44457ec41f76122cc1a785c5b06987ab3084b43f
MD5sum: 066139b4bfda31ad828e18b8915c8150
Description: high-performance database - demo module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for the demonstration application.

Package: virtuoso-vad-doc
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: all
Maintainer: Debian Krap Maintainers 
Installed-Size: 81205
Depends: virtuoso-opensource
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: doc
Filename: pool/main/v/virtuoso-opensource/virtuoso-vad-doc_6.1.4+dfsg1-7+rpi1_all.deb
Size: 63715614
SHA256: 494064dfdec8cb26173e3a923977c2df0a723bbfe3edcfe36a2b171e9255a142
SHA1: 274c8082f77158c1c5cb2eddb303bb8963b27952
MD5sum: 965c3beea644c79baee72d5d6cba0ce2
Description: high-performance database - documentation module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for the documentation.

Package: virtuoso-vad-isparql
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: all
Maintainer: Debian Krap Maintainers 
Installed-Size: 2389
Depends: virtuoso-opensource
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: database
Filename: pool/main/v/virtuoso-opensource/virtuoso-vad-isparql_6.1.4+dfsg1-7+rpi1_all.deb
Size: 810884
SHA256: a08ae35e55cdfe1dc8796b6f5912928ae8b67569d1432cb17c857ff276df93f7
SHA1: c908362703c9ddcef56937cb47c461fddf71ab3f
MD5sum: 480228dc06437bada56183ff14b76203
Description: high-performance database - iSPARQL module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for iSPARQL support.

Package: virtuoso-vad-ods
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: all
Maintainer: Debian Krap Maintainers 
Installed-Size: 21430
Depends: virtuoso-opensource
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: database
Filename: pool/main/v/virtuoso-opensource/virtuoso-vad-ods_6.1.4+dfsg1-7+rpi1_all.deb
Size: 5830856
SHA256: 4bfbea687009cb31a4866ecc11b8c37c51e080dcf01ce90e5cda340e304f35ae
SHA1: 7eaed1df92a6398c9bc9043df74cd7f677f8bad8
MD5sum: 7d20446eda786a74531338a6ef5e992e
Description: high-performance database - Open Data Spaces module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for Open Data Spaces support.

Package: virtuoso-vad-rdfmappers
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: all
Maintainer: Debian Krap Maintainers 
Installed-Size: 4755
Depends: virtuoso-opensource
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: database
Filename: pool/main/v/virtuoso-opensource/virtuoso-vad-rdfmappers_6.1.4+dfsg1-7+rpi1_all.deb
Size: 2594316
SHA256: d1baa58bf423f368aeb2460aa6708959da7cea3b73c2b923574bbba74412a057
SHA1: caaac808bbe4db7f0880a0c0f50ef2d814cc0ba4
MD5sum: 9817cf8f5061f59429a384c5ff986eb1
Description: high-performance database - RDF mappers module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for the RDF mappers application.

Package: virtuoso-vad-sparqldemo
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: all
Maintainer: Debian Krap Maintainers 
Installed-Size: 3088
Depends: virtuoso-opensource
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: database
Filename: pool/main/v/virtuoso-opensource/virtuoso-vad-sparqldemo_6.1.4+dfsg1-7+rpi1_all.deb
Size: 652418
SHA256: 35fc24f5ac1cd3a5547acbe70be05d32bee3745a81e0b8f4430ee5d00f92338e
SHA1: c7775827a84fd56eadda91bb322bf9eba394453e
MD5sum: f96ed858ac3cff8a4b90cba46ed2ca74
Description: high-performance database - SPARQL demo module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for the SPARQL demo application.

Package: virtuoso-vad-syncml
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: all
Maintainer: Debian Krap Maintainers 
Installed-Size: 239
Depends: virtuoso-opensource
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: database
Filename: pool/main/v/virtuoso-opensource/virtuoso-vad-syncml_6.1.4+dfsg1-7+rpi1_all.deb
Size: 111084
SHA256: 0be1f2ec34cd3b846e3a970aa47a0306ff2a9df3b6334aad67f8e6459674913a
SHA1: fa61fcdfa1cad8fbf0f10af9a89caa21aad17e31
MD5sum: 446f462f19f3053d1357e8f46d839408
Description: high-performance database - SyncML module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for Synchronization Markup Language support.

Package: virtuoso-vad-tutorial
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: all
Maintainer: Debian Krap Maintainers 
Installed-Size: 22249
Depends: virtuoso-opensource
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: doc
Filename: pool/main/v/virtuoso-opensource/virtuoso-vad-tutorial_6.1.4+dfsg1-7+rpi1_all.deb
Size: 5258634
SHA256: 1dd5f32863b4a926ace0e50905cd60676f0f1385b613c789cf6f009271062288
SHA1: 5218aa9443ea8ac1341371d0b57dd1c5b90347df
MD5sum: 294a7ba9d937fb38c75395f1cfc9afc4
Description: high-performance database - tutorial module
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the Virtuoso Application Distribution module
 for the tutorial application.

Package: virtuoso-vsp-startpage
Source: virtuoso-opensource
Version: 6.1.4+dfsg1-7+rpi1
Architecture: all
Maintainer: Debian Krap Maintainers 
Installed-Size: 1304
Depends: virtuoso-opensource
Homepage: http://virtuoso.openlinksw.com/wiki/main/Main/
Priority: optional
Section: database
Filename: pool/main/v/virtuoso-opensource/virtuoso-vsp-startpage_6.1.4+dfsg1-7+rpi1_all.deb
Size: 577108
SHA256: 7e873a5aa8953648db4cddcd2418aa20517fcbce418f3771498d2ea1846f7fdb
SHA1: e3967f9710ae94ef3062a2e1804d38a566bad3ff
MD5sum: d40f42af9461e098dc37a5c54f8eac5e
Description: high-performance database - web interface files
 OpenLink Virtuoso is a high-performance object-relational SQL database.
 It provides transactions, a smart SQL compiler, hot backup, SQL:1999
 support, a powerful stored-procedure language supporting server-side
 Java or .NET, and more. It supports all major data-access interfaces,
 including ODBC, JDBC, ADO.NET, and OLE/DB.
 .
 This package contains the files for Virtuoso's web interface.

Package: viruskiller
Version: 1.03-1+dfsg1-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 2644
Pre-Depends: dpkg (>= 1.15.6)
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-net1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4)
Homepage: http://www.parallelrealities.co.uk/projects/virusKiller.php
Priority: optional
Section: games
Filename: pool/main/v/viruskiller/viruskiller_1.03-1+dfsg1-1_armhf.deb
Size: 2408820
SHA256: d173c961e97bc226eab8a09760eb3cd5de1cb8f2811feb85039dfe7f5795b64f
SHA1: b69bedd2fb362cc56b368c07142fb88f89f29894
MD5sum: d9b8eebdca731bef9b4835539a04f3ba
Description: Game about viruses invading your computer
 Your computer has been invaded! Dozens of little viruses are pouring in via
 security holes in Microsoft Internet Explorer, Microsoft Outlook, Microsoft
 MSN Messenger and Microsoft Recycle Bin! Using your trusty mouse you must
 shoot the buggers before they can destroy your files! Some will steal them
 from their home directories and take them back to their security hole. Others
 will just eat them right there on the spot! See how long you and your computer
 can survive the onslaught!

Package: visitors
Version: 0.7-9
Architecture: armhf
Maintainer: Romain Francoise 
Installed-Size: 222
Depends: libc6 (>= 2.7)
Recommends: graphviz
Homepage: http://www.hping.org/visitors/
Priority: optional
Section: web
Filename: pool/main/v/visitors/visitors_0.7-9_armhf.deb
Size: 85790
SHA256: f8643c36d86ca2629e76b254804172f2b146ec7db7095d88ff028453bd8e1e27
SHA1: df3b2aa51d409e39f3efefc045c8e1d26cd344e7
MD5sum: 271a73ac61d2c0bba844b6b204343c45
Description: fast web server log analyzer
 Visitors is a very fast web server log analyzer designed to be run from
 the command line, with support for text or html output and real-time
 statistics generation.  It can handle most web server logs including
 Apache access logs and is very easy to use: no configuration file and
 no database are required.  It can also generate visual path analysis
 graphs using Graphviz.

Package: visolate
Version: 2.1.6~svn8+dfsg1-1
Installed-Size: 292
Maintainer: Christian M. Amsüss 
Architecture: all
Depends: libcommons-cli-java, libjava3d-java, libvecmath-java
Size: 196330
SHA256: 60bad1c543f4887d6deaebfe65911921c12b60f9454e87e9b9f1f85d9c8dc98c
SHA1: 660dcdab9a8553a42a89aa6d70cf3e056343991c
MD5sum: 90fe074dd9f2df1ed788f036401da853
Description: tool for engraving PCBs using CNCs
 Visolate reads the gerber files describing printed circuit boards and converts
 them into the G-code (RS-274 code) needed to engrave they layout into a board
 using a CNC machine. Precise renditions of the original layout can be created
 as well as voronoi fillings of the original layout, shortening the toolpath.
Homepage: https://sourceforge.net/projects/visolate/
Tag: field::electronics, implemented-in::java, interface::commandline,
 interface::x11, role::program, scope::utility, use::converting,
 works-with::image:vector, x11::application
Section: electronics
Priority: optional
Filename: pool/main/v/visolate/visolate_2.1.6~svn8+dfsg1-1_all.deb

Package: vistrails
Version: 2.0.alpha~1-3
Installed-Size: 28978
Maintainer: Alastair McKinstry 
Architecture: all
Depends: python, python-numpy, python-support (>= 0.90.0), python-scipy, python-qt4, python-matplotlib, python-suds, python-sip, python-vtk, python-qt4-gl
Size: 16173144
SHA256: d5f90957c99dc6e347ba95188c7c3997967a7b58345fbf1b46b249147faf5b36
SHA1: e61934f26c77b47d504a4e71b4d62abb7173ca99
MD5sum: 9f5d433e17901772e00b89e1c2823daa
Description: Science visualisation workflow toolkit
 VisTrails is an open-source scientific workflow and provenance management
 system developed at the University of Utah that provides support for
 data exploration and visualization. Whereas workflows have been traditionally
 used to automate repetitive tasks, for applications that are exploratory
 in nature, such as simulations, data analysis and visualization, very little
 is repeated---change is the norm. As an engineer or scientist generates and
 evaluates hypotheses about data under study, a series of different, albeit
 related, workflows are created while a workflow is adjusted in an interactive
 process. VisTrails was designed to manage these rapidly-evolving workflows.
Homepage: http://www.vistrails.org/index.php/Main_Page
Tag: role::program
Section: science
Priority: extra
Filename: pool/main/v/vistrails/vistrails_2.0.alpha~1-3_all.deb

Package: visual-regexp
Version: 3.1-3
Installed-Size: 92
Maintainer: Wences Arana 
Architecture: all
Depends: tk8.5, tclvfs
Size: 31470
SHA256: 083119d53320a03ec3f3e3d77273cd6a9fc3e5fec82bc525395543afb1294715
SHA1: 1181af84286fd47f3161f166fd055c7c89d72669
MD5sum: 07833937deca66b26a246630245a4c32
Description: Interactively debug regular expressions
 This Tcl script shows the result of running a regular expression,
 making debugging relatively easy.  It also assists in the construction
 of regular expressions.
Homepage: http://laurent.riesterer.free.fr/regexp/
Tag: implemented-in::tcl, interface::x11, role::program, uitoolkit::tk,
 use::searching, use::viewing, works-with::text, x11::application
Section: devel
Priority: optional
Filename: pool/main/v/visual-regexp/visual-regexp_3.1-3_all.deb

Package: visualboyadvance
Version: 1.8.0.dfsg-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 1676
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4)
Homepage: http://sourceforge.net/projects/vba
Priority: optional
Section: otherosfs
Filename: pool/main/v/visualboyadvance/visualboyadvance_1.8.0.dfsg-1_armhf.deb
Size: 439610
SHA256: 48e6e1eab54f63d6fe188485940947d934d37f9453505f53b0c34711a539e0b9
SHA1: e87b1571afcb4c39f65a5d5ca55836584335536f
MD5sum: 7837cb292bb88875db176b2ef27c3e6a
Description: full featured Game Boy Advance emulator
 VisualBoyAdvance is a Game Boy Advance emulator that works with
 many ROMs that are publically available. It features save states
 (like those that are available in ZSNES), full screen support,
 joystick support, the all-important 'speedup emulation' key for
 impatient gamers, and a lot more.
 .
 It also contains many useful tools for Game Boy Advance developers,
 such as powerful GDB and gprof integration.
 .
 This package contains a version of VisualBoyAdvance compiled with
 the original GUI-less SDL interface.

Package: visualboyadvance-gtk
Source: visualboyadvance
Version: 1.8.0.dfsg-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 1723
Depends: visualboyadvance (= 1.8.0.dfsg-1), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglademm-2.4-1c2a (>= 2.6.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpng12-0 (>= 1.2.13-4), libsdl1.2debian (>= 1.2.11), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4)
Homepage: http://sourceforge.net/projects/vba
Priority: optional
Section: otherosfs
Filename: pool/main/v/visualboyadvance/visualboyadvance-gtk_1.8.0.dfsg-1_armhf.deb
Size: 480282
SHA256: 4d0af4da2951f3c55054b2069a8171b67b93bb24e8030962b9f4502634ef28df
SHA1: c8bb7280ccf8c2ae16b5287d1e240b600504b561
MD5sum: f99a1742adda35ffb7b07e51b4949206
Description: GTK+ front-end to VisualBoyAdvance emulator
 VisualBoyAdvance is a Game Boy Advance emulator that works with
 many ROMs that are publically available. It features save states
 (like those that are available in ZSNES), full screen support,
 joystick support, the all-important 'speedup emulation' key for
 impatient gamers, and a lot more.
 .
 It also contains many useful tools for Game Boy Advance developers,
 such as powerful GDB and gprof integration.
 .
 This package contains a version of VisualBoyAdvance with GTK+ support.

Package: vitables
Version: 2.1-1
Installed-Size: 2120
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: python (>= 2.6.6-7~), python-qt4 (>= 4.4), python-tables (>= 2.0), python-numpy, libjs-jquery
Size: 890178
SHA256: 233894a9e1ac4a84d7953331d4028677aa6961ac40f14bd09169be586a7e7924
SHA1: 27b5ae094a9ecda34b74030e661ad361383a9e9e
MD5sum: 4ff83ebd634788ca715c2e1c603a2be1
Description: graphical tool to browse and edit PyTables and HDF5 files
 ViTables is a component of the PyTables family. It is a graphical
 tool for browsing and editing files in both PyTables and HDF5
 formats.
 .
 ViTables capabilities include easy navigation through the data
 hierarchy, displaying of real data and its associated metadata, a
 simple, yet powerful, browsing of multidimensional data and much
 more.
 .
 One of the greatest strengths of ViTables is its ability to display
 very large tables. Tables with one thousand millions of rows (and
 beyond) are navigated stunningly fast and with very low memory
 requirements. So, if you ever need to browse very large tables, don't
 hesitate, ViTables is your choice.
Homepage: http://vitables.berlios.de
Section: python
Priority: extra
Filename: pool/main/v/vitables/vitables_2.1-1_all.deb

Package: vite
Version: 1.2+svn1347-3
Architecture: armhf
Maintainer: Samuel Thibault 
Installed-Size: 3612
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libotf-trace1, libqt4-opengl (>= 4:4.6), libqt4-xml (>= 4:4.6), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.6.1), libsm6, libstdc++6 (>= 4.6), libx11-6, libxext6
Multi-Arch: foreign
Homepage: http://vite.gforge.inria.fr/
Priority: optional
Section: devel
Filename: pool/main/v/vite/vite_1.2+svn1347-3_armhf.deb
Size: 2525162
SHA256: c80a1518e1b12f75525efaad69998991697dfe5a72f060b1e5dd77ce31ab1c9a
SHA1: b3b2d43569f7a9185bfc5c7d195cae19c2c7ddd0
MD5sum: 16fc5efdde11b4aef37731f3411e7c43
Description: Efficient visual trace explorer
 ViTE is a powerful portable and open source profiling tool to visualize
 the behaviour of parallel applications. Thanks to its scalable design,
 ViTE helps programmers to efficiently analyze the performance of
 potentially large applications.
 .
 ViTE currently enables the visualisation of traces using the Pajé format
 (open format, see http://www-id.imag.fr/Logiciels/paje/) and has various
 functionalities such as exporting a view in a svg format to integrate
 the results easily in a report, or viewing statistics.

Package: vkeybd
Version: 1:0.1.18d-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 121
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libx11-6, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0)
Homepage: http://www.alsa-project.org/~tiwai/alsa.html
Priority: optional
Section: sound
Filename: pool/main/v/vkeybd/vkeybd_0.1.18d-2_armhf.deb
Size: 35716
SHA256: 3f3fc9b563e22adbc8fa60d3234579d77a1d1acf35ec7a346f3382cca94c916b
SHA1: aa28b171051299f37c53e1b7fe8e38c73da791c8
MD5sum: d33b2fc3c388f22b8ee1492c99d6e2f8
Description: Virtual MIDI Keyboard
 This program allows you to use the Linux AWE32 driver, OSS MIDI sequencer,
 or ALSA MIDI sequencer and provides "virtual" keyboard (the musical type),
 which can be "played" using the keyboard or mouse under X11.

Package: vlan
Version: 1.9-3
Architecture: armhf
Maintainer: Ard van Breemen 
Installed-Size: 143
Depends: libc6 (>= 2.4), iproute
Priority: extra
Section: misc
Filename: pool/main/v/vlan/vlan_1.9-3_armhf.deb
Size: 39974
SHA256: 0a6b3ded8730d50ae812b814e5391c2bab4bbfadc5a18a0ae2d92e64e0cb76e8
SHA1: 8dc172acd63f62e9a01402d93d8e12226a57cb8e
MD5sum: 2535a316a8a78bf74a6cf6655af0b023
Description: user mode programs to enable VLANs on your ethernet devices
 This package contains the user mode programs you need to add and remove
 VLAN devices from your ethernet devices.
 .
 A typical application for a VLAN enabled box is a single wire firewall,
 router or load balancer.
 .
 You need a VLAN Linux kernel for this.  Linux kernel versions >= 2.4.14
 have VLAN support.

Package: vlc
Version: 2.0.3-5+deb7u2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 2883
Pre-Depends: dpkg (>= 1.15.6~)
Depends: fonts-freefont-ttf, vlc-nox (= 2.0.3-5+deb7u2), libaa1 (>= 1.4p5), libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.16), libavutil51 (>= 6:0.8.3-1~), libc6 (>= 2.13-28), libcaca0 (>= 0.99.beta17-1), libfreetype6 (>= 2.2.1), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libice6 (>= 1:1.0.0), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.7.0~beta1), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libsm6, libstdc++6 (>= 4.6), libtar0, libva-x11-1 (>> 1.0.15~), libva1 (>> 1.0.15~), libvlccore5 (>= 2.0.0), libx11-6, libxcb-composite0, libxcb-keysyms1 (>= 0.3.9), libxcb-randr0 (>= 1.1), libxcb-render0, libxcb-shape0, libxcb-shm0, libxcb-xfixes0, libxcb-xv0 (>= 1.2), libxcb1 (>= 1.6), libxext6, libxinerama1, libxpm4, zlib1g (>= 1:1.2.3.3)
Recommends: vlc-plugin-notify (= 2.0.3-5+deb7u2), vlc-plugin-pulse (= 2.0.3-5+deb7u2), xdg-utils
Suggests: videolan-doc
Breaks: vlc-data (<< 1.1.5), vlc-nox (<< 2.0.2)
Replaces: vlc-data (<< 1.1.5), vlc-nox (<< 2.0.2)
Provides: mp3-decoder
Homepage: http://www.videolan.org/vlc/
Priority: optional
Section: video
Filename: pool/main/v/vlc/vlc_2.0.3-5+deb7u2_armhf.deb
Size: 909186
SHA256: c2fa75ea8b7b598528595c9cc6e90fc2aba1fdac52db1ae490a8912e19bd9263
SHA1: dd0575d0bf4bc07677e7c1dfb591bb1160e1831e
MD5sum: e011e184bcaedce17983a577f881ba40
Description: multimedia player and streamer
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 VLC can also be used as a streaming server that duplicates the stream it
 reads and multicasts them through the network to other clients, or serves
 them through HTTP.
 .
 VLC has support for on-the-fly transcoding of audio and video formats, either
 for broadcasting purposes or for movie format transformations. Support for
 most output methods is provided by this package, but features can be added
 by installing additional audio plugins (vlc-plugin-pulse, vlc-plugin-sdl)
 or video plugins (vlc-plugin-sdl).

Package: vlc-data
Source: vlc
Version: 2.0.3-5+deb7u2
Installed-Size: 26401
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Replaces: mozilla-plugin-vlc (<< 0.9.2-1), vlc (<< 0.9.2-1), vlc-nox (<< 2.0.1-3)
Breaks: vlc-nox (<< 2.0.1-3)
Size: 5120376
SHA256: 679d2a64db56f5e41d5e66f54bad6de2b579e0c566216b2e79380da19556c12c
SHA1: 49b0a5fe43f59287e98abf82b789d73a7fba57d3
MD5sum: a25c0e7e5e9e789101351ab00285592a
Description: Common data for VLC
 Localisations, HTTP interface files, Lua scripts for VLC media player
 .
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
Homepage: http://www.videolan.org/vlc/
Pre-Depends: dpkg (>= 1.15.6~)
Section: graphics
Priority: optional
Filename: pool/main/v/vlc/vlc-data_2.0.3-5+deb7u2_all.deb

Package: vlc-dbg
Source: vlc
Version: 2.0.3-5+deb7u2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 47969
Pre-Depends: dpkg (>= 1.15.6~)
Depends: vlc-nox (= 2.0.3-5+deb7u2)
Homepage: http://www.videolan.org/vlc/
Priority: extra
Section: debug
Filename: pool/main/v/vlc/vlc-dbg_2.0.3-5+deb7u2_armhf.deb
Size: 11443090
SHA256: f6b6903e108c6033c77aa9bba0573446d067bf7f14fe906a95619075c70d09b0
SHA1: 76ba63dd481bf9e3add158d56ca43e15e5832ff6
MD5sum: 8e7956939f243919fa50fe81be86517b
Description: debugging symbols for vlc
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 This package contains the debugging symbols for vlc.

Package: vlc-nox
Source: vlc
Version: 2.0.3-5+deb7u2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 7785
Pre-Depends: dpkg (>= 1.15.6~)
Depends: liba52-0.7.4, libasound2 (>= 1.0.16), libass4 (>= 0.9.7), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavc1394-0 (>= 0.5.3), libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.16), libavformat53 (>= 6:0.8.3-1~), libavutil51 (>= 6:0.8.3-1~), libbluray1, libc6 (>= 2.13-28), libcddb2, libcdio13 (>= 0.83), libdbus-1-3 (>= 1.2.16), libdc1394-22, libdca0, libdirac-decoder0, libdirac-encoder0, libdirectfb-1.2-9, libdvbpsi7 (>= 0.2.0), libdvdnav4 (>= 4.2.0+20120524), libdvdread4, libebml3, libfaad2 (>= 2.7), libflac8 (>= 1.2.1), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgpg-error0 (>= 1.10), libiso9660-8 (>= 0.83), libkate1 (>= 0.3.0), liblircclient0, liblua5.1-0, libmad0 (>= 0.15.1b-3), libmatroska5, libmodplug1, libmpcdec6 (>= 1:0.1~r435), libmpeg2-4, libmtp9 (>= 1.1.0), libncursesw5 (>= 5.6+20070908), libogg0 (>= 1.1.0), libpng12-0 (>= 1.2.13-4), libpostproc52 (>= 6:0.8.3-1~), libproxy0 (>= 0.2.3), libraw1394-11, libresid-builder0c2a, libsamplerate0 (>= 0.1.7), libschroedinger-1.0-0 (>= 1.0.10), libshout3, libsidplay2, libsmbclient (>= 3.0.24), libspeex1 (>= 1.2~beta3-1), libspeexdsp1 (>= 1.2~beta3.2-1), libstdc++6 (>= 4.6), libswscale2 (>= 6:0.8.3-1~), libtag1c2a (>= 1.7), libtheora0 (>= 1.0), libtinfo5, libtwolame0, libudev0 (>= 146), libupnp6 (>= 1.4.3), libusb-1.0-0 (>= 2:1.0.8), libv4l-0 (>= 0.5.0), libvcdinfo0 (>= 0.7.21), libvlc5 (>= 2.0.0), libvlccore5 (>= 2.0.2), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libx264-123, libxml2 (>= 2.7.4), libzvbi0 (>= 0.2.11), zlib1g (>= 1:1.2.0.2)
Replaces: vlc (<< 1.1.0)
Provides: mp3-decoder
Homepage: http://www.videolan.org/vlc/
Priority: optional
Section: video
Filename: pool/main/v/vlc/vlc-nox_2.0.3-5+deb7u2_armhf.deb
Size: 2296738
SHA256: bf9fe6ef0996acf14e2b4ec9519c23cc1eb22e9502921890ec943ad5a19410e5
SHA1: c354cb55f361a5e59160ec57daf9a9edc8df7600
MD5sum: 60fcbd26f2f6d74288a2fa08653cbda5
Description: multimedia player and streamer (without X support)
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.
 .
 VLC can also be used as a streaming server that duplicates the stream it
 reads and multicasts them through the network to other clients, or serves
 them through HTTP.
 .
 VLC has support for on-the-fly transcoding of audio and video formats, either
 for broadcasting purposes or for movie format transformations. Support for
 most output methods is provided by this package, but features can be added
 by installing additional audio plugins (vlc-plugin-pulse, vlc-plugin-sdl,
 vlc-plugin-jack) or video plugins (vlc-plugin-sdl).
 .
 This package contains a version of VLC that does not require X and that is
 thus suitable for headless servers.

Package: vlc-plugin-fluidsynth
Source: vlc
Version: 2.0.3-5+deb7u2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 54
Pre-Depends: dpkg (>= 1.15.6~)
Depends: fluid-soundfont-gm | musescore-soundfont-gm, vlc-nox (= 2.0.3-5+deb7u2), libc6 (>= 2.13-28), libfluidsynth1, libgcc1 (>= 1:4.4.0), libvlccore5 (>= 2.0.0)
Breaks: vlc-nox (<< 2.0.0)
Homepage: http://www.videolan.org/vlc/
Priority: optional
Section: video
Filename: pool/main/v/vlc/vlc-plugin-fluidsynth_2.0.3-5+deb7u2_armhf.deb
Size: 6360
SHA256: 19340dec91c1cda4431487de1f96e946e6c9d58a76cab8391f7ad4506cfa2517
SHA1: 4a49975aaadebd624ef978715c2e391fea5cd47e
MD5sum: c76a71f8e321965040b4734d7313c653
Description: FluidSynth plugin for VLC
 This plugin adds support for playing MIDI file via the FluidSynth software
 synthesizer to the VLC media player.
 .
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.

Package: vlc-plugin-jack
Source: vlc
Version: 2.0.3-5+deb7u2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 72
Pre-Depends: dpkg (>= 1.15.6~)
Depends: vlc-nox (= 2.0.3-5+deb7u2), libc6 (>= 2.13-28), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libvlccore5 (>= 2.0.0)
Breaks: vlc-nox (<< 2.0.0)
Homepage: http://www.videolan.org/vlc/
Priority: optional
Section: video
Filename: pool/main/v/vlc/vlc-plugin-jack_2.0.3-5+deb7u2_armhf.deb
Size: 9200
SHA256: 4d40bd72595f6a7881ba87d28a33c79c4205297db3f6be7a2e0bcc90e8264e2e
SHA1: 31b4a6cf4dde1cbd4de80b3ac9b95668ced39f13
MD5sum: e0f0f63ab259ebc803b8b31df37f01aa
Description: Jack audio plugins for VLC
 These plugins add support for JACK to the VLC media player. To
 activate the audio output module, use the `--aout jack' flag or
 select the `jack' audio output plugin from the preferences menu.
 For the jack input, use `vlc jack://channels=...:ports=...'
 .
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.

Package: vlc-plugin-notify
Source: vlc
Version: 2.0.3-5+deb7u2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 50
Pre-Depends: dpkg (>= 1.15.6~)
Depends: vlc-nox (= 2.0.3-5+deb7u2), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libvlccore5 (>= 2.0.0)
Breaks: vlc-nox (<< 2.0.0)
Replaces: vlc-nox (<< 1.1.2)
Homepage: http://www.videolan.org/vlc/
Priority: optional
Section: video
Filename: pool/main/v/vlc/vlc-plugin-notify_2.0.3-5+deb7u2_armhf.deb
Size: 5042
SHA256: b5a045f626c265c3fa12171f4ca58b929e2d442f246aca6e0e4c82fdaf3a6b27
SHA1: f95cd7738a3f76260c1d8620ef66f00eeb17a589
MD5sum: 857aece8f0cff67c78d0a6afb989e3ad
Description: LibNotify plugin for VLC
 This plugin adds support for libnotify track change notification to the
 VLC media player.
 .
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.

Package: vlc-plugin-pulse
Source: vlc
Version: 2.0.3-5+deb7u2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 106
Pre-Depends: dpkg (>= 1.15.6~)
Depends: vlc-nox (= 2.0.3-5+deb7u2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpulse0 (>= 0.99.4), libvlccore5 (>= 2.0.0)
Breaks: vlc-nox (<< 2.0.0)
Homepage: http://www.videolan.org/vlc/
Priority: optional
Section: video
Filename: pool/main/v/vlc/vlc-plugin-pulse_2.0.3-5+deb7u2_armhf.deb
Size: 15814
SHA256: d8582b8e3c432aeab5253ff9494642d001fbf6d1535017a3394ad24065ae7ad8
SHA1: 1a336772f77024af9a9350cac47bd082f007758b
MD5sum: 9f7991eebe501a4cc104bd479c3d2b7e
Description: PulseAudio plugin for VLC
 This plugin adds support for PulseAudio to the VLC media player. To
 activate the audio output module, use the `--aout pulse' flag or
 select the `pulse' audio output plugin from the preferences menu.
 .
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.

Package: vlc-plugin-sdl
Source: vlc
Version: 2.0.3-5+deb7u2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 58
Pre-Depends: dpkg (>= 1.15.6~)
Depends: vlc-nox (= 2.0.3-5+deb7u2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsdl1.2debian (>= 1.2.11), libsm6, libvlccore5 (>= 2.0.0), libx11-6
Breaks: vlc-nox (<< 2.0.0)
Homepage: http://www.videolan.org/vlc/
Priority: optional
Section: video
Filename: pool/main/v/vlc/vlc-plugin-sdl_2.0.3-5+deb7u2_armhf.deb
Size: 8378
SHA256: ed771a8218f4ae4bdd9e7ed1ae9b26e3ee132a5510be0447c790c3524b16bda2
SHA1: b6e01693f7ea4c26aad4b3ac0ab7923eb12e1629
MD5sum: 43d9e126453d7964a10e193fe05d525a
Description: SDL video and audio output plugin for VLC
 This plugin adds support for the Simple DirectMedia Layer library to
 the VLC media player. To activate it, use the `--vout sdl' or
 `--aout sdl' flags or select the `sdl' video or audio output plugin
 from the preferences menu.
 .
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.

Package: vlc-plugin-svg
Source: vlc
Version: 2.0.3-5+deb7u2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 54
Pre-Depends: dpkg (>= 1.15.6~)
Depends: vlc-nox (= 2.0.3-5+deb7u2), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), librsvg2-2 (>= 2.14.4), libvlccore5 (>= 2.0.0)
Breaks: vlc-nox (<< 2.0.0)
Homepage: http://www.videolan.org/vlc/
Priority: optional
Section: video
Filename: pool/main/v/vlc/vlc-plugin-svg_2.0.3-5+deb7u2_armhf.deb
Size: 5406
SHA256: c00231b93000af4ddf04508d067954ffb7606c012aa0c22016886ecc5892a818
SHA1: 93e1d23556c0c5a37e8b8a2f6d1f5f037b0663af
MD5sum: ef87498d6d059634a06bc38f168252ca
Description: SVG plugin for VLC
 This plugin allows you to render SVG graphics on top of the video. It is a text
 renderer, and must be activated through the '--text-renderer svg' option. When
 sent non-SVG data, it will convert it to SVG using a template that can be
 specified by the svg-template-file option.
 .
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.

Package: vlc-plugin-zvbi
Source: vlc
Version: 2.0.3-5+deb7u2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 58
Pre-Depends: dpkg (>= 1.15.6~)
Depends: vlc-nox (= 2.0.3-5+deb7u2), libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4), libvlccore5 (>= 2.0.0), libzvbi0 (>= 0.2.11), zlib1g (>= 1:1.1.4)
Breaks: vlc-nox (<< 2.0.0)
Homepage: http://www.videolan.org/vlc/
Priority: optional
Section: video
Filename: pool/main/v/vlc/vlc-plugin-zvbi_2.0.3-5+deb7u2_armhf.deb
Size: 7714
SHA256: ce7a46cc71ec513cd848f30a3d0f5aa4a8de90b0cc2b388909f958e4648fd6e7
SHA1: 6ce8b85d2eefcd4e45fd4c3453e50d152baa7e17
MD5sum: 1600f49fff7aa93278e914082407b923
Description: VBI teletext plugin for VLC
 This plugin adds support for VBI teletext to the VLC media player.
 .
 VLC is the VideoLAN project's media player. It plays MPEG, MPEG-2, MPEG-4,
 DivX, MOV, WMV, QuickTime, WebM, FLAC, MP3, Ogg/Vorbis files, DVDs, VCDs,
 podcasts, and multimedia streams from various network sources.

Package: vlock
Version: 2.2.2-3
Architecture: armhf
Maintainer: Alexander Wirt 
Installed-Size: 150
Depends: libc6 (>= 2.4), libpam0g (>= 0.99.7.1), libpam-modules, adduser
Conflicts: suidmanager (<< 0.50)
Priority: optional
Section: utils
Filename: pool/main/v/vlock/vlock_2.2.2-3_armhf.deb
Size: 37688
SHA256: 185e934013ee4862f9d80ba304f7c0769055562fc707b06ddd7239b6e0277b08
SHA1: 4a53c9549d79c112402d683b6352489c91e0f151
MD5sum: 5bf25eb05fa432f3f4a6e1cd9a578173
Description: Virtual Console locking program
 vlock either locks the current terminal (which may be any kind of
 terminal, local or remote), or locks the entire virtual console
 system, completely disabling all console access.  vlock gives up
 these locks when either the password of the user who started vlock
 or the root password is typed.

Package: vlogger
Version: 1.3-3.1
Installed-Size: 92
Maintainer: RISKO Gergely 
Architecture: all
Depends: perl, libtimedate-perl
Suggests: libdbi-perl
Size: 14696
SHA256: b5563c648f0edc888ad09feb28f9a5975652c17f6165ae57c94321ff624b93a0
SHA1: 5cb6a6777997784b86ad355a2dd9e5154eeecff9
MD5sum: 7cc444b892d5785a18a280d5760e0bc0
Description: virtual web logfile rotater/parser
 Vlogger is a little piece of code borned to handle dealing with large
 amounts of virtualhost logs.  It's bad news that apache can't do this
 on its own.  Vlogger takes piped input from apache, splits it off to
 separate files based on the first field.  It uses a file handle cache
 so it can't run out of file descriptors.  It will also start a new
 logfile every night at midnight, and maintain a symlink to the most
 recent file.  For security, it can drop privileges and do a chroot to
 the logs directory.
Tag: admin::logging, implemented-in::perl, interface::daemon, role::program,
 scope::utility, use::organizing, works-with::logfile
Section: web
Priority: optional
Filename: pool/main/v/vlogger/vlogger_1.3-3.1_all.deb

Package: vm
Version: 8.1.0-1
Installed-Size: 3492
Maintainer: Manoj Srivastava 
Architecture: all
Provides: mail-reader
Depends: ucf (>= 0.08), emacs23 | emacsen, make, dpkg (>= 1.15.4) | install-info
Suggests: exim4 | sendmail | mail-transport-agent, stunnel
Conflicts: semi, semi1.12, wemi, wemi1.12
Size: 782322
SHA256: cfb5fcbe47018bdf0610df825bd8e72a98d7106e8261f1155f9c774c7f0b23db
SHA1: 2e8411bdb485e660ed173a5ebe4adf8feeb72300
MD5sum: bed16117704005326ec18a1b36058807
Description: A mail user agent for Emacs.
 VM (View Mail) is an Emacs subsystem that allows UNIX mail to be read
 and disposed of within Emacs.  Commands exist to do the normal things
 expected of a mail user agent, such as generating replies, saving
 messages to folders, deleting messages and so on.  There are other
 more advanced commands that do tasks like bursting and creating
 digests, message forwarding, and organizing message presentation
 according to various criteria.  With smtpmail in modern emacsen, you do
 not need an MTA locally in order to use VM.
 .
 This package does not cater to XEmacs, since vm comes (by default)
 bundled in with XEmacs.
Homepage: https://launchpad.net/vm
Tag: implemented-in::lisp, mail::user-agent, network::client, role::plugin,
 role::program, suite::emacs, use::editing, works-with::mail
Section: mail
Priority: optional
Filename: pool/main/v/vm/vm_8.1.0-1_all.deb

Package: vm-bonus-el
Source: emacs-goodies-el
Version: 35.2+nmu1
Installed-Size: 125
Maintainer: Peter S Galbraith 
Architecture: all
Depends: vm (>= 8.0.12-1), bogofilter, procmail
Size: 45068
SHA256: c3618a3fd869b039fb9ea52799c53ff79354fa817f2bb9869a9d4d6a0b8d9ce0
SHA1: a076b2f822825dc07042ddc225821f8f172ee841
MD5sum: f5e54ef32aebc89603ff00286c568897
Description: vm-bogofilter add-on for VM
 This package contains vm-bogofilter, an Emacs-Lisp files that provide
 extra support to VM, a mail user agent for Emacs.
 .
 This package contains:
  vm-bogofilter.el - Interface between VM and the bogofilter spam filter.
 .
 See /usr/share/doc/vm-bonus-el/README.Debian for short description
 of the file and its configuration.
Section: lisp
Priority: optional
Filename: pool/main/e/emacs-goodies-el/vm-bonus-el_35.2+nmu1_all.deb

Package: vmfs-tools
Version: 0.2.5-1
Architecture: armhf
Maintainer: Mike Hommey 
Installed-Size: 238
Depends: libc6 (>= 2.13-28), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0), libuuid1 (>= 2.16)
Priority: extra
Section: otherosfs
Filename: pool/main/v/vmfs-tools/vmfs-tools_0.2.5-1_armhf.deb
Size: 113968
SHA256: 50479d91f16439c23aa53ad16022b27e33a7bc67897df311ac826e7012ccffa2
SHA1: 04ce2bbf9f47f91c2b9c1cf0dd57f4a5f65d1151
MD5sum: 9fcf4d5b9094fe164bdac6036bcb63c8
Description: Tools to access VMFS filesystems
 VMFS is a clustered filesystem designed to store virtual machine disks for
 VMware ESX or ESXi Server hosts. This set of tools allows to access these
 filesystems from some other non ESX/ESXi host for e.g. maintenance tasks.
 .
 Only read access is available at the moment, but write access is under
 works. Multiple extents are supported.
 .
 The VMFS can be accessed with a command line tool or mounted through a
 userspace filesystem (FUSE-based).

Package: vmm
Version: 0.6.0-2
Installed-Size: 1213
Maintainer: martin f. krafft 
Architecture: all
Depends: python (>= 2.6.6-3~), python (<< 2.8), python-psycopg2, dovecot-core (>= 2)
Recommends: postfix, dovecot-lmtpd (>= 2), dovecot-pgsql (>= 2), postgresql-client
Size: 276372
SHA256: ac6cce716ae0857b6509f4784b27e60caa939a49275decd6aaf12b5ceb3904fb
SHA1: f5747ec7bf274d834d863c9d3c0f5cead90b4437
MD5sum: 82b001f9cec0e9d6702ea6dd2015bcef
Description: manage mail domains/accounts/aliases for Dovecot and Postfix
 Virtual Mail Manager is a command-line tool for administrators/postmasters to
 manage (alias-)domains, accounts, aliases and relocated users. It is designed
 for Dovecot and Postfix with a PostgreSQL backend.
Homepage: http://vmm.localdomain.org/
Section: mail
Priority: extra
Filename: pool/main/v/vmm/vmm_0.6.0-2_all.deb

Package: vmpk
Version: 0.4.0-3
Architecture: armhf
Maintainer: Mehdi Dogguy 
Installed-Size: 1576
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.4.0), libx11-6
Recommends: qjackctl, qsynth
Homepage: http://vmpk.sourceforge.net/
Priority: extra
Section: sound
Filename: pool/main/v/vmpk/vmpk_0.4.0-3_armhf.deb
Size: 505546
SHA256: d76339642c0903c237dd3877e162ce4ba91db16898183f6b4ec6952817c2e572
SHA1: ab114600d067e9c23741359756926c9e32ba6246
MD5sum: ff277c6d59b77b4a5d045e0baba704b8
Description: Virtual MIDI Piano Keyboard
 Virtual MIDI Piano Keyboard is a MIDI events generator and
 receiver. It doesn't produce any sound by itself, but can be used to
 drive a MIDI synthesizer (either hardware or software, internal or
 external). You can use the computer's keyboard to play MIDI notes,
 and also the mouse. You can use the Virtual MIDI Piano Keyboard to
 display the played MIDI notes from another instrument or MIDI file
 player.

Package: vncsnapshot
Version: 1.2a-5.1
Architecture: armhf
Maintainer: Benoit Mortier 
Installed-Size: 110
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.1.4)
Recommends: vnc4server | tightvncserver
Homepage: http://vncsnapshot.sourceforge.net/
Priority: optional
Section: x11
Filename: pool/main/v/vncsnapshot/vncsnapshot_1.2a-5.1_armhf.deb
Size: 40726
SHA256: d3551b036f34f17a9d2c6c76fcd88c4fb5d800eb8b8056552a6607276ac6fdff
SHA1: 4e8c5609f59fe86f22cbd9883fcf6af81a43008f
MD5sum: d8ab4dffd0e22919d3281f1069a31367
Description: A utility that takes JPEG snapshots from VNC servers
 VNC Snapshot is a command-line program for VNC. It will save a JPEG
 image of the VNC server's screen.
 .
 To use this you must be able to connect directly to the VNC server via
 a TCP connection.  It does not yet work for connections tunnelled over
 SSH.

Package: vnstat
Version: 1.11-1
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 277
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Suggests: vnstati
Homepage: http://humdi.net/vnstat/
Priority: optional
Section: net
Filename: pool/main/v/vnstat/vnstat_1.11-1_armhf.deb
Size: 96424
SHA256: f60fa427d8c49f8a1c857b78af60dee34ab4e234b52d2a962544d54987dbb090
SHA1: 342e1bcc7927f162d9e6ccfcb5df3ada426fda8d
MD5sum: 917e57ae1d842ae8c9e26401d7bb9dbd
Description: console-based network traffic monitor
 vnStat is a network traffic monitor for Linux. It keeps a log of
 daily network traffic for the selected interface(s). vnStat is not
 a packet sniffer. The traffic information is analyzed from the /proc
 filesystem, so vnStat can be used without root permissions.

Package: vnstati
Source: vnstat
Version: 1.11-1
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 157
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgd2-xpm (>= 2.0.36~rc1~dfsg), vnstat (= 1.11-1)
Homepage: http://humdi.net/vnstat/
Priority: optional
Section: net
Filename: pool/main/v/vnstat/vnstati_1.11-1_armhf.deb
Size: 50966
SHA256: eab1aec65bf86a73a6a500bb55346ca64f04b8b773e52d0dbea5b333414f73b6
SHA1: 7b6a1b56851b3e0f0155496498c10d18bd1074d4
MD5sum: 2ea1d0695b50b72fc507800b92a8154c
Description: image output support for vnStat
 vnStati provides image output support for statistics collected using
 vnStat. The image file format is limited to png. All basic outputs
 of vnStat are supported excluding live traffic features.
 The image can be outputted either to a file or to standard output.

Package: vo-aacenc-dbg
Source: vo-aacenc
Version: 0.1.2-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 164
Depends: libvo-aacenc0 (= 0.1.2-1)
Multi-Arch: same
Homepage: http://opencore-amr.git.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/v/vo-aacenc/vo-aacenc-dbg_0.1.2-1_armhf.deb
Size: 117512
SHA256: 5304dbde6f8b29fafd3914469d877c8be521782e6786ae6a3f294d396cd91074
SHA1: 66e87f0aa3e61cd6865bcbee9d522cb51f3a6357
MD5sum: 115201dcb101295484c3a83813829ae2
Description: VisualOn AAC encoder library (debugging symbols)
 This library contains an encoder implementation of the Advanced Audio
 Coding (AAC) audio codec. The library is based on a codec implementation
 by VisualOn, part of the Stagefright framework from the Google
 Android project.
 .
 This package contains the debugging symbols.

Package: vo-amrwbenc-dbg
Source: vo-amrwbenc
Version: 0.1.2-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 140
Depends: libvo-amrwbenc0 (= 0.1.2-1)
Multi-Arch: same
Homepage: http://opencore-amr.git.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/v/vo-amrwbenc/vo-amrwbenc-dbg_0.1.2-1_armhf.deb
Size: 92868
SHA256: eb032b9c31f22c282a27176168111a8c5fe8aed162340fc6814fcc1b340f7a2f
SHA1: 682ae2d79ed2dec538fb3694c524849a21992789
MD5sum: 3d04c47ce70c5966375e63989a0fe32f
Description: VisualOn AMR-WB encoder library (debugging symbols)
 This library contains an encoder implementation of the Adaptive Multi
 Rate Wideband (AMR-WB) audio codec. The library is based on a codec
 implementation by VisualOn, part of the Stagefright framework from the
 Google Android project.
 .
 This package contains the debugging symbols.

Package: vobcopy
Version: 1.2.0-2
Architecture: armhf
Maintainer: Stephen Birch 
Installed-Size: 118
Depends: libc6 (>= 2.13-28), libdvdread4
Homepage: http://vobcopy.org
Priority: optional
Section: utils
Filename: pool/main/v/vobcopy/vobcopy_1.2.0-2_armhf.deb
Size: 53428
SHA256: b5ff069eacaa6679d026236ae6d93b8a1b64a7ad9ba67d6a2c80543c45ed3f2d
SHA1: 62b2f5fb3710ab50183082e0f9a83560ab95d497
MD5sum: 2779c4acf350c1c0c4b4dbd0bb1eda29
Description: Tool to copy DVD VOBs to hard disk
 Copies DVD .vob files to harddisk and merges them into file(s)
 with the name extracted from the DVD. Checks for sufficient free space
 on the destination drive and compares the copied size to the size on DVD
 in case something went wrong.
 .
 Can also mirror the DVD movie content and copy single
 specified files.

Package: vocproc
Version: 0.2-3
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 245
Depends: libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcairomm-1.0-1 (>= 1.6.4), libfftw3-3, libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libglibmm-2.4-1c2a (>= 2.31.22), libgtk2.0-0 (>= 2.8.0), libgtkmm-2.4-1c2a (>= 1:2.24.0), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.4.0)
Provides: lv2-plugin
Homepage: http://hyperglitch.com/dev/VocProc
Priority: optional
Section: sound
Filename: pool/main/v/vocproc/vocproc_0.2-3_armhf.deb
Size: 57448
SHA256: f0ad81fed88b335ed4186928549f278c014f4bbdd7aff002399f4ebea48a6b38
SHA1: 118cdfeeb6403adbe7c4569510387bb990db4e85
MD5sum: a3d422da8ed18df3156737ab7cda8a68
Description: LV2 plugin for pitch shifting and vocoding
 VocProc is a LV2 plugin for pitch shifting (with or without formant
 correction), vocoding, automatic pitch correction and harmonizing
 of singing voice.
 .
 This package contains the vocproc LV2 plugin.

Package: vodovod
Version: 1.10-2
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 782
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6)
Homepage: http://home.gna.org/vodovod/
Priority: optional
Section: games
Filename: pool/main/v/vodovod/vodovod_1.10-2_armhf.deb
Size: 412174
SHA256: 01d03a21f3389e6726f1effc6cf4b10fd15b72f94f57c709738ec9cb855facee
SHA1: c2f796ffb2100b82ff4e3dd9929602ea8211ba83
MD5sum: 990be041c9dc11c1714eef7909e15b22
Description: puzzle game, you must lead the water to the storage tank
 Vodovod is a game similar to Pipe Mania and Pipe Dream, in which you have to
 lead the water from the house to the storage tank. You get a limited number
 of pipes on each level and need to combine them to lead the water from the
 house at the top of the screen to the storage tank at the bottom. It Has
 joystick/joypad support.

Package: voikko-fi
Source: suomi-malaga
Version: 1.12-1
Architecture: armhf
Maintainer: Timo Jyrinki 
Installed-Size: 7556
Breaks: libvoikko1 (<< 2.2-1)
Homepage: http://voikko.sourceforge.net/
Priority: optional
Section: text
Filename: pool/main/s/suomi-malaga/voikko-fi_1.12-1_armhf.deb
Size: 1292758
SHA256: f96d2f3747f098fcf3367d60295d4711ac7770c5e72172d99bd60a9a26c74145
SHA1: fa8559a7f27780ecd6d10732bcd757a1527e2f75
MD5sum: 8b56f390b492a694f8ff8eee8808402f
Description: Description of Finnish morphology written in Malaga
 Suomi-Malaga is a description of Finnish morphology written in Malaga.
 .
 This package contains a compiled version of Suomi-Malaga and basic Finnish
 vocabulary suitable for use in spell-checking and hyphenation system Voikko.

Package: volti
Version: 0.2.3-5
Installed-Size: 515
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Depends: python-alsaaudio, python-dbus, python-gobject, python-gtk2, python-xlib, python (>= 2.6.6-7~)
Size: 154950
SHA256: 7274bd9917722329ff785600b78036238e675c5bbf16ac5478d3f0f516a1d6f7
SHA1: 3c7cd057f4c35e34a9174559cca2517cf56238bf
MD5sum: 1c2bf7dde89650e768fabf7fefee82b1
Description: control audio volume from system tray/notification area
 Volti is a lightweight GTK+ application for controlling audio
 volume from system tray/notification area.
 .
 Features:
  * no PulseAudio, GStreamer, Phonon etc. only ALSA is needed
  * internal mixer application, either choosing any mixer app
    is possible
  * left click opens volume scale (slider)
  * scroll wheel on tray icon changes volume, increment in
    percents is configurable
  * configurable middle click to toggle 'mute' or 'show mixer'
  * nice tooltip with card and volume info
  * support for multimedia keys
  * support desktop notifications on keys events
Homepage: http://code.google.com/p/volti/
Section: sound
Priority: optional
Filename: pool/main/v/volti/volti_0.2.3-5_all.deb

Package: volumecontrol.app
Version: 0.5-3.1
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 139
Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1)
Priority: optional
Section: sound
Filename: pool/main/v/volumecontrol.app/volumecontrol.app_0.5-3.1_armhf.deb
Size: 20740
SHA256: 1f16293a3240735628e941c13cde8c27f3b9ffa97c08a3258e279299c72a3f24
SHA1: 856e3a18baf02d6a3f40dcc4f475ad92d65f443e
MD5sum: cc0e6f9d7e95b39cae852185567a0f96
Description: Audio mixer for GNUstep
 VolumeControl is a GNUstep program for adjusting the audio mixer
 on systems that use the OSS API. It allows the sound level,
 left/right speakers, muting for master, PCM, bass, and treble
 levels to be controlled.
 .
  Homepage: http://www.linuks.mine.nu/volumecontrol/

Package: volumeicon-alsa
Source: volumeicon
Version: 0.4.6-1
Architecture: armhf
Maintainer: Andrew Gainer 
Installed-Size: 177
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libnotify4 (>= 0.7.0), libx11-6, alsa-base
Suggests: alsamixergui | aumix-gtk | kmix | gnome-alsamixer, notify-osd | xfce4-nofityd | notification-daemon
Homepage: http://softwarebakery.com/maato/volumeicon.html
Priority: optional
Section: sound
Filename: pool/main/v/volumeicon/volumeicon-alsa_0.4.6-1_armhf.deb
Size: 38974
SHA256: 6825b6725ed64c87507b3771ea26a26934fb49da9acc3508792af8055ffbbb21
SHA1: ee7bcbb6d4e8f86550f5fd9530e69bdd4daac0a5
MD5sum: 3d3c4ac8c07a4fa65d485b2eed4f128e
Description: systray volume icon for alsa
 This is a lightweight volume control that sits in your systray. This
 version is compatible with ALSA.
 Features:
    * Change volume by scrolling on the systray icon
    * Ability to choose which channel to control
    * Configurable stepsize (percentage of volume
        increase/decrease per scrollwheel step)
    * Several icon themes (with gtk theme as default)
    * Configurable external mixer
    * Volume slider

Package: volview
Version: 3.4-3
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 41892
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libgdcm2.2, libgl1-mesa-glx | libgl1, libinsighttoolkit3.20, libkwwidgets1.0.1009, libstdc++6 (>= 4.6), libvtk5.8, libvtkedge, tcl-vtk, tcl8.5 (>= 8.5.0), zlib1g (>= 1:1.1.4)
Homepage: http://www.kitware.com/products/volview.html
Priority: optional
Section: science
Filename: pool/main/v/volview/volview_3.4-3_armhf.deb
Size: 15952074
SHA256: ad2f901bd0efb7011c1c9fc61d8e616d8dac8160dba1d3b2e0c73c410e8d3da5
SHA1: 16a4e4c88ccc650bc59a0be13ef25402dac477f4
MD5sum: 59ee73a03e6486e29f4e45cf9cae8b00
Description: Advanced volume visualization tool
 VolView is an intuitive, interactive system for volume visualization that
 allows researchers to quickly explore and analyze complex 3D medical or
 scientific data on Windows, Mac and Linux computers. Users can easily load and
 interactively explore datasets using 2D and 3D display methods and tools. 3D
 tools include volume rendering, maximum intensity projections, and oblique
 reformatting. The ability to save an entire visualization session allows users
 to easily stop and start sessions. Advanced users can perform custom data
 processing using a simple plug-in API.

Package: voms-clients
Source: voms
Version: 2.0.8-1
Architecture: armhf
Maintainer: Mattias Ellert 
Installed-Size: 386
Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libvomsapi1
Homepage: https://wiki.italiangrid.it/VOMS
Priority: optional
Section: net
Filename: pool/main/v/voms/voms-clients_2.0.8-1_armhf.deb
Size: 184412
SHA256: 81c703ea38966c550be9d09f3d3209828f36d4fa34a488f6b73b5d9fd2aea7b4
SHA1: 39ceb42d63d3f93f3e5b2015ad27d87680fb201c
MD5sum: 8d08492559de7978e097f59fa3936cee
Description: Virtual Organization Membership Service Clients
 The Virtual Organization Membership Service (VOMS) is an attribute authority
 which serves as central repository for VO user authorization information,
 providing support for sorting users into group hierarchies, keeping track of
 their roles and other attributes in order to issue trusted attribute
 certificates and SAML assertions used in the Grid environment for
 authorization purposes.
 .
 This package provides command line applications to access the VOMS
 services.

Package: voms-dbg
Source: voms
Version: 2.0.8-1
Architecture: armhf
Maintainer: Mattias Ellert 
Installed-Size: 4805
Depends: libvomsapi1 (= 2.0.8-1) | voms-clients (= 2.0.8-1) | voms-server (= 2.0.8-1)
Homepage: https://wiki.italiangrid.it/VOMS
Priority: extra
Section: debug
Filename: pool/main/v/voms/voms-dbg_2.0.8-1_armhf.deb
Size: 1831576
SHA256: 2f935b4246b17420bfbdc72da5167915287048750bd3d434d20c9951c4801440
SHA1: f556efb580ee968f7ecee77ffda726f9cd368939
MD5sum: 12ef50150a2481a8aa4cb610cba816fe
Description: Virtual Organization Membership Service - Debug Symbols
 This package provides dubug symbols for the Virtual Organization Membership
 Service (VOMS).

Package: voms-dev
Source: voms
Version: 2.0.8-1
Architecture: armhf
Maintainer: Mattias Ellert 
Installed-Size: 647
Depends: libvomsapi1 (= 2.0.8-1), libssl-dev
Suggests: voms-doc
Homepage: https://wiki.italiangrid.it/VOMS
Priority: optional
Section: libdevel
Filename: pool/main/v/voms/voms-dev_2.0.8-1_armhf.deb
Size: 216832
SHA256: 6cb0625ee68626b3ae5eff75d6b38b802fe06572879bdc0bab7d52c532e9b94a
SHA1: 3222ee932489d5cd50ee7de4b2d37e1128843430
MD5sum: 0d1dd4a3bfa2b9bb8e6425af0119b0cd
Description: Virtual Organization Membership Service C++ API Development Files
 The Virtual Organization Membership Service (VOMS) is an attribute authority
 which serves as central repository for VO user authorization information,
 providing support for sorting users into group hierarchies, keeping track of
 their roles and other attributes in order to issue trusted attribute
 certificates and SAML assertions used in the Grid environment for
 authorization purposes.
 .
 This package offers header files for programming with the VOMS libraries.

Package: voms-doc
Source: voms
Version: 2.0.8-1
Installed-Size: 1281
Maintainer: Mattias Ellert 
Architecture: all
Depends: voms-dev, libjs-jquery
Size: 486634
SHA256: c8cc61447b2480a635719ba8d1b1bea26cfa84eedb6c3ebb28dfc618a3af8156
SHA1: a284338ec47b904c2fa389c156eb8eb96e509534
MD5sum: 8d019855b32b213648f08a0badbb95ae
Description: Virtual Organization Membership Service Documentation Files
 Documentation for the Virtual Organization Membership Service.
Homepage: https://wiki.italiangrid.it/VOMS
Tag: devel::doc, made-of::html, made-of::pdf, role::documentation
Section: doc
Priority: optional
Filename: pool/main/v/voms/voms-doc_2.0.8-1_all.deb

Package: voms-mysql-plugin
Version: 3.1.6-1
Architecture: armhf
Maintainer: Mattias Ellert 
Installed-Size: 108
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmysqlclient18 (>= 5.5.13-1), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.3.0), voms-server
Homepage: https://wiki.italiangrid.it/VOMS
Priority: optional
Section: libs
Filename: pool/main/v/voms-mysql-plugin/voms-mysql-plugin_3.1.6-1_armhf.deb
Size: 23614
SHA256: 219d10e0cf2cdc5a92c2c07ca9f04a4faa706aff47d8e243ef2af788f9abf08b
SHA1: c1073c47956a2d74742d7e42c8ee305decd252be
MD5sum: c25d883ad03992d781d446206ab44c89
Description: VOMS server plugin for MySQL
 The Virtual Organization Membership Service (VOMS) is an attribute authority
 which serves as central repository for VO user authorization information,
 providing support for sorting users into group hierarchies, keeping track of
 their roles and other attributes in order to issue trusted attribute
 certificates and SAML assertions used in the Grid environment for
 authorization purposes.
 .
 This package offers the MySQL implementation for the VOMS server.

Package: voms-mysql-plugin-dbg
Source: voms-mysql-plugin
Version: 3.1.6-1
Architecture: armhf
Maintainer: Mattias Ellert 
Installed-Size: 223
Depends: voms-mysql-plugin (= 3.1.6-1)
Homepage: https://wiki.italiangrid.it/VOMS
Priority: extra
Section: debug
Filename: pool/main/v/voms-mysql-plugin/voms-mysql-plugin-dbg_3.1.6-1_armhf.deb
Size: 68038
SHA256: 459062cb3be8b2315858db102058c544cc6020898589cd57f77130231c9891f4
SHA1: 298a6eee52efa4f4f62f5a7af3704f62412b0d4c
MD5sum: 5f004a64a76f842d5d22899247098ba4
Description: VOMS server plugin for MySQL - Debug Symbols
 This package provides dubug symbols for the Virtual Organization Membership
 Service (VOMS) mysql plugin.

Package: voms-server
Source: voms
Version: 2.0.8-1
Architecture: armhf
Maintainer: Mattias Ellert 
Installed-Size: 389
Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgsoap2, libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libvomsapi1, adduser
Suggests: voms-mysql-plugin
Homepage: https://wiki.italiangrid.it/VOMS
Priority: optional
Section: net
Filename: pool/main/v/voms/voms-server_2.0.8-1_armhf.deb
Size: 151566
SHA256: f269b67b498872c89056c751ff492991814f283800ccda2ac4dd9d1e7338383c
SHA1: 852aa98e1cbd1b3e08bc198b4cc101da5421919f
MD5sum: e4e17b2d7ec7bdc3e460ce9d53f9de9d
Description: Virtual Organization Membership Service Server
 The Virtual Organization Membership Service (VOMS) is an attribute authority
 which serves as central repository for VO user authorization information,
 providing support for sorting users into group hierarchies, keeping track of
 their roles and other attributes in order to issue trusted attribute
 certificates and SAML assertions used in the Grid environment for
 authorization purposes.
 .
 This package provides the VOMS service.

Package: vorbis-tools
Version: 1.4.0-1+deb7u1
Architecture: armhf
Maintainer: Debian Xiph.org Maintainers 
Installed-Size: 712
Depends: libao4 (>= 1.1.0), libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libflac8 (>= 1.2.1), libogg0 (>= 1.1.0), libspeex1 (>= 1.2~beta3-1), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.2.3)
Priority: optional
Section: sound
Filename: pool/main/v/vorbis-tools/vorbis-tools_1.4.0-1+deb7u1_armhf.deb
Size: 276758
SHA256: e2f2e8ef1c488ab505b0e47e49d0619a53f3918a16c3a7f05b41abdb732575ac
SHA1: 75a0dee3c421fa84b7a58c3c4fd79936341864bb
MD5sum: 229f0afe8f3577a39c3a7bd1f6ddc364
Description: several Ogg Vorbis tools
 vorbis-tools contains oggenc (an encoder), ogg123 (a playback tool),
 ogginfo (displays ogg information), oggdec (decodes ogg files), vcut
 (ogg file splitter), and vorbiscomment (ogg comment editor).
 .
 ogg123 can play both Ogg Vorbis and FLAC audio streams.

Package: vorbis-tools-dbg
Source: vorbis-tools
Version: 1.4.0-1+deb7u1
Architecture: armhf
Maintainer: Debian Xiph.org Maintainers 
Installed-Size: 451
Depends: vorbis-tools (= 1.4.0-1+deb7u1)
Priority: extra
Section: debug
Filename: pool/main/v/vorbis-tools/vorbis-tools-dbg_1.4.0-1+deb7u1_armhf.deb
Size: 194046
SHA256: 25eda979685754bf06a5ae5d11021b5673bac547ddd5e5c4feeac09653d567f5
SHA1: 29c8737403cc7a31ad3fa3db9125bba8271d9bdd
MD5sum: 726d61a70de540cafce7ac5c62af8d46
Description: several Ogg Vorbis tools (debug files)
 vorbis-tools contains oggenc (an encoder), ogg123 (a playback tool),
 ogginfo (displays ogg information), oggdec (decodes ogg files), vcut
 (ogg file splitter), and vorbiscomment (ogg comment editor).
 .
 ogg123 can play both Ogg Vorbis and FLAC audio streams.
 .
 This package contains debugging symbols useful for tracking bugs in
 applications with vorbis-tools.

Package: vorbisgain
Version: 0.37-2
Architecture: armhf
Maintainer: Daniel Martí 
Installed-Size: 87
Depends: libc6 (>= 2.13-28), libogg0 (>= 1.0rc3), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2)
Homepage: http://sjeng.org/vorbisgain.html
Priority: optional
Section: sound
Filename: pool/main/v/vorbisgain/vorbisgain_0.37-2_armhf.deb
Size: 28698
SHA256: ba1d96ea7ea76303e439404467d6572a35b00a31c8e3f41183d7861068d53825
SHA1: d2acd2430ed6d5d6e1d1fe5c5050b4c7a65b1919
MD5sum: 86534ca81eb98b5bc70e47c6536b6757
Description: add Replay Gain volume tags to Ogg Vorbis files
 vorbisgain calculates a percieved volume of an Ogg Vorbis file using
 the Replay Gain algorithm. It then stores a per-track and per-album
 volume adjustment in the file's tags, to let songs play back  with a
 uniform volume. The process is non-destructive and does not change the
 audio data at all.
 .
 Many audio players in Debian support reading these tags, including XMMS,
 Quod Libet, amaroK, Muine, and Rhythmbox.

Package: vowpal-wabbit
Version: 6.1-1
Architecture: armhf
Maintainer: Yaroslav Halchenko 
Installed-Size: 8265
Depends: libboost-program-options1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), zlib1g (>= 1:1.2.3.3)
Homepage: http://hunch.net/~vw/
Priority: optional
Section: science
Filename: pool/main/v/vowpal-wabbit/vowpal-wabbit_6.1-1_armhf.deb
Size: 8056830
SHA256: e8b3a6e67e8b0311967fdeede723794d59598d889247306d68992508b820b91e
SHA1: 23e98b9e42cffa7b67fa9284fb05c81e95221e3b
MD5sum: 183dda501b8acc9e93597a361af2c033
Description: fast and scalable online machine learning algorithm
 Vowpal Wabbit is a fast online machine learning algorithm. The core
 algorithm is specialist gradient descent (GD) on a loss function
 (several are available). VW features:
  - flexible input data specification
  - speedy learning
  - scalability (bounded memory footprint, suitable for distributed
    computation)
  - feature pairing

Package: voxbo
Version: 1.8.5~svn1246-1
Architecture: armhf
Maintainer: NeuroDebian Team 
Installed-Size: 18582
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgsl0ldbl (>= 1.9), libpng12-0 (>= 1.2.13-4), libqt4-network (>= 4:4.5.3), libqt4-qt3support (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libstdc++6 (>= 4.6), libx11-6, libxext6, libxi6, libxrender1, zlib1g (>= 1:1.1.4)
Suggests: mni-colin27-nifti, matlab-spm8
Homepage: http://www.voxbo.org
Priority: extra
Section: science
Filename: pool/main/v/voxbo/voxbo_1.8.5~svn1246-1_armhf.deb
Size: 5450078
SHA256: 978d0d8c0287fd9abbf4b6a0eddec670ce41d550c6ebb92c8df78468adb7b2c3
SHA1: 537bd3513e8d9dcdb838caf8359a05418ee8fbbe
MD5sum: 10bd8f11b57a0eb64816227cbb9137fa
Description: processing, statistical analysis, and display of brain imaging data
 This is a toolkit for analysis of functional neuroimaging (chiefly
 fMRI) experiments and voxel-based lesion-behavior mapping. VoxBo
 supports the modified GLM (for autocorrelated data), as well as the
 standard GLM for non-autocorrelated data. The toolkit is designed to be
 interoperable with AFNI, FSL, SPM and others.

Package: vpb-driver-source
Source: vpb-driver
Version: 4.2.55-1
Installed-Size: 121
Maintainer: Ron Lee 
Architecture: all
Depends: module-assistant, debhelper (>> 4.0.0), make, bzip2
Size: 86480
SHA256: 3bc1703760a4a481ef46ae6581d9e5dd95529f720ee0888f18b48571fab53137
SHA1: bf8a28e9d437cdfa77e7041b825f3263df70b804
MD5sum: a474dacf6fe09fa834f31bd5be7220f1
Description: Voicetronix telephony hardware driver source
 This package provides the source code for the Voicetronix kernel modules.
 Kernel source or headers are required to compile these modules.
 .
 To build a binary module package to suit your running kernel, do:
 m-a a-i vpb-driver
Tag: admin::kernel, implemented-in::c, role::source, use::driver
Section: kernel
Priority: optional
Filename: pool/main/v/vpb-driver/vpb-driver-source_4.2.55-1_all.deb

Package: vpb-utils
Source: vpb-driver
Version: 4.2.55-1
Architecture: armhf
Maintainer: Ron Lee 
Installed-Size: 166
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libvpb0 (>= 4.2.22)
Priority: optional
Section: comm
Filename: pool/main/v/vpb-driver/vpb-utils_4.2.55-1_armhf.deb
Size: 61528
SHA256: 0b20c083e838de2beac69d475fedfa8eff818f91ab210ccce99cde2d4f8a5d9c
SHA1: 4a63f84c06fcfc4b06681c89367f958e03820b36
MD5sum: 4feaf300a3ed8c6d3546eef9c0e415cc
Description: Voicetronix telephony hardware userspace tools
 This package provides the userspace support utilities for Voicetronix
 telephony hardware.

Package: vpim
Version: 0.695-1.1~deb7u1
Installed-Size: 266
Maintainer: Joey Schulze 
Architecture: all
Depends: ruby
Suggests: facter
Size: 66512
SHA256: 97176ca1ab2468d3010c086809323da1867836002b50b053ced5e15f15262367
SHA1: 03eb94d16730f28eb767f1d90e7d3f14be0fb0d7
MD5sum: 41d978406e124a4fbb30815c431ebfea
Description: Ruby support for vCard and iCalendar
 This package provides calendaring, scheduling, and contact support
 for Ruby through vCard and iCalendar, the standard formats for
 exchange and storage of contact information and calendars, respectively.
Homepage: http://vpim.rubyforge.org/
Section: libs
Priority: optional
Filename: pool/main/v/vpim/vpim_0.695-1.1~deb7u1_all.deb

Package: vpnc
Version: 0.5.3r512-2
Architecture: armhf
Maintainer: Florian Schlichting 
Installed-Size: 227
Pre-Depends: dpkg (>= 1.15.7.2)
Depends: libc6 (>= 2.8), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), perl
Recommends: iproute
Suggests: resolvconf
Homepage: http://www.unix-ag.uni-kl.de/~massar/vpnc/
Priority: extra
Section: net
Filename: pool/main/v/vpnc/vpnc_0.5.3r512-2_armhf.deb
Size: 90890
SHA256: acb9f8f53e9f9a949ec1a543a3fb52738534c258cbee30907fc205a9b3fcaa3f
SHA1: cbf77d818c0f44e9abe3e82c796b761ede3aa69c
MD5sum: d4d343649cdf492a853eff7042407a15
Description: Cisco-compatible VPN client
 vpnc is a VPN client compatible with cisco3000 VPN Concentrator (also
 known as Cisco's EasyVPN equipment). vpnc runs entirely in userspace
 and does not require kernel modules except for the tun driver to
 communicate with the network layer.
 .
 It supports most of the features needed to establish connection to the
 VPN concentrator: MD5 and SHA1 hashes, 3DES and AES ciphers, PFS and
 various IKE DH group settings.

Package: vpnc-scripts
Version: 0.1~git20120602-2
Installed-Size: 70
Maintainer: Mike Miller 
Architecture: all
Depends: net-tools
Recommends: iproute
Suggests: dnsmasq, resolvconf, openssh-server
Size: 12116
SHA256: 6689a48f185c6a6e14faa540404f563b27b209a6d9ff0a8bf8df743792949d02
SHA1: efdfaa0d85410516562138ed88b0957f36e7c8c9
MD5sum: 93fe4846b23fb0550db415967fb09e96
Description: Network configuration scripts for VPNC and OpenConnect
 This package contains scripts required to configure routing and name
 services when invoked by the VPNC or OpenConnect Cisco VPN clients.
 The primary script automatically configures network routes and name
 servers for the active VPN connection. It also provides hooks for
 executing custom actions at various stages of the connection and
 disconnection process.
 .
 An alternate replacement script is also provided that contains the VPN
 in a network namespace which is accessible via SSH.
Homepage: http://www.infradead.org/openconnect/vpnc-script.html
Section: net
Priority: optional
Filename: pool/main/v/vpnc-scripts/vpnc-scripts_0.1~git20120602-2_all.deb

Package: vprerex
Source: prerex
Version: 6.4.0-3
Architecture: armhf
Maintainer: Ryan Kavanagh 
Installed-Size: 133
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpoppler-qt4-3 (>= 0.18), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), dpkg (>= 1.14.18), tex-common (>= 3), prerex, xterm
Homepage: http://www.ctan.org/tex-archive/graphics/prerex
Priority: optional
Section: tex
Filename: pool/main/p/prerex/vprerex_6.4.0-3_armhf.deb
Size: 37030
SHA256: 3a19375c91dfa03c6eb7a4c0433d0510c0cfe188f9deff298e555b7b2249bdb2
SHA1: 6a00a04d22745acfa5304e9de62d595912ddd438
MD5sum: c38308ff5f712ba2a0aa3de37992e877
Description: Qt interface to prerex, a course prerequisite chart editor
 vprerex provides a Qt interface to prerex by integrating the prerex editor
 with a PDF viewer. This allows conveying coordinates of course boxes, arrows
 and background points back to prerex through the use of the clipboard.
 .
 prerex is an editor for creating esthetically pleasing course prerequisite
 charts using the TikZ LaTeX package.
 .
 Course prerequisite charts allow students to easily determine which
 prerequisites, corequisites and recommended prerequisites they need for a
 given course and their time slots. Course prerequisite charts also highlight
 the courses required by a degree.
 .
 prerex is used by departments in several universities, including Queen's
 University and Suffolk University.

Package: vpx-tools
Source: libvpx
Version: 1.1.0-1+rpi1
Architecture: armhf
Maintainer: Sebastian Dröge 
Installed-Size: 165
Depends: libvpx1 (= 1.1.0-1+rpi1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Multi-Arch: foreign
Homepage: http://www.webmproject.org
Priority: optional
Section: utils
Filename: pool/main/libv/libvpx/vpx-tools_1.1.0-1+rpi1_armhf.deb
Size: 73794
SHA256: 4b475663763022713ffea2319953d8f8a4a0bcfdf971ba875df106a0bc68d812
SHA1: 340a0f6a5396f7896de7728d54a1dc4b896910a5
MD5sum: e39a3bfe57770869a1e42f8e86894669
Description: VP8 video codec encoding/decoding tools
 VP8 is an open video codec, originally developed by On2 and released
 as open source by Google Inc. It is the successor of the VP3 codec,
 on which the Theora codec was based.
 .
 This package contains the commandline tools vpxdec and vpxenc.

Package: vrflash
Version: 0.24-6
Architecture: armhf
Maintainer: Jonas Smedegaard 
Installed-Size: 92
Depends: libc6 (>= 2.4)
Homepage: http://sourceforge.net/projects/agos
Priority: extra
Section: otherosfs
Filename: pool/main/v/vrflash/vrflash_0.24-6_armhf.deb
Size: 31166
SHA256: 3c62cbcb94d4e8cc0b7097f53b0086d4f60f8af2d67ff3fc59c7ee60d6848c5a
SHA1: 4b55c621cb03d8a8e43215312590b22cfadd9850
MD5sum: 09612e7f5012e1de0deab2175c123bc8
Description: tool to flash kernels and romdisks to Agenda VR
 The Agenda VR is a handheld computer that runs Linux.  VRFlash
 communicates with the Agenda's boot monitor (PMON) over a serial
 line to install new software on the device.  The kernel and the
 root image can be upgraded this way.

Package: vrfy
Version: 990522-8
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 66
Depends: libc6 (>= 2.11)
Replaces: netstd (<< 3.07-3)
Homepage: http://www.sepp.ee.ethz.ch/sepp/vrfy-990522-to.html
Priority: optional
Section: mail
Filename: pool/main/v/vrfy/vrfy_990522-8_armhf.deb
Size: 23194
SHA256: c49f2e14b3286c40b0951f04fefef51b5d3dba891fa61267158fe0e418de6b3f
SHA1: d3a523e7c95ca9e3ed0ffec29fb59dc657900a28
MD5sum: 37af0c8c43706225640b0039f8b2a968
Description: verify electronic mail addresses
 'vrfy' is a tool to verify e-mail addresses and mailing lists.  In its
 simplest form it takes an address "user@domain", figures out the MX hosts
 for "domain", and issues the SMTP command VRFY at the primary MX host
 (optionally all), or at "domain" itself if no MX hosts exist.  Without
 "domain" it goes to "localhost".
 .
 More complex capabilities are:
  * recursively expanding forward files or mailing lists,
  * detecting mail forwarding loops,
  * understanding full-blown RFC822 address specifications,
  * syntax checking that can be carried out either locally or remotely,
  * various options provided to exploit alternative protocol suites
    if necessary, and to print many forms of verbose output.
 .
 Obvious limitations exist (mostly due to a lousy VRFY command
 implementation on some hosts), but otherwise, it works pretty well.

Package: vrms
Version: 1.16
Installed-Size: 100
Maintainer: Debian vrms Maintainers 
Architecture: all
Size: 12954
SHA256: 21d2e42eb0881630aba74bc68f41da437d6eb7f16aeb788bdc31d50d871eef81
SHA1: a92574b3c84a27136803e35f0d719602e8d1af14
MD5sum: 4906d41f8b231ee985137d8ff5ca3ed6
Description: virtual Richard M. Stallman
 The vrms program will analyze the set of currently-installed packages
 on a Debian-based system, and report all of the packages from the
 non-free and contrib trees which are currently installed.
 .
 In some cases, the opinions of Richard M. Stallman and the Debian project
 have diverged since this program was originally written.  In such cases, this
 program follows the Debian Free Software Guidelines.
 .
 Note that vrms is not limited to Debian systems only (which means that
 it also works with Debian-derived distributions such as Ubuntu). It is
 also not limited to Linux-based systems.
 .
 Future versions of vrms may include an option to also display text
 from the public writings of RMS and others that explain why use of each
 of the installed non-free packages might cause moral issues for some in
 the Free Software community.  This functionality is not yet included.
Homepage: http://vrms.alioth.debian.org/
Tag: admin::package-management, implemented-in::perl, interface::commandline,
 role::program, scope::utility, suite::debian, use::checking,
 works-with::software:package
Section: admin
Priority: optional
Filename: pool/main/v/vrms/vrms_1.16_all.deb

Package: vrrpd
Version: 1.0-2
Architecture: armhf
Maintainer: Javier Fernandez-Sanguino Pen~a 
Installed-Size: 88
Depends: libc6 (>= 2.7)
Homepage: http://sourceforge.net/projects/vrrpd/
Priority: optional
Section: net
Filename: pool/main/v/vrrpd/vrrpd_1.0-2_armhf.deb
Size: 30996
SHA256: 8abea690be37c30d9ca6f287672dbd779f6de1ba60967ed634f3ce7fa8714929
SHA1: d98ae32cbfe8c22d26fca48e15e341ed5e7b2195
MD5sum: 315740bb41ddcf74f5eedc285b3894c1
Description: Virtual Router Redundancy Protocol user-space implementation
 This package provides an implementation of VRRPv2 as specified in rfc2338.
 It runs in the Linux kernel's userspace (as root).
 .
 VRRP can be used to setup high-availability services in Debian since two
 machines can use the same (virtual) IP address which is transfered upon
 failover. Some kernel features need to be enabled (please read the FAQ)

Package: vsd2odg
Source: writerperfect
Version: 0.8.1-4
Architecture: armhf
Maintainer: Rene Engelhard 
Installed-Size: 141
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgsf-1-114 (>= 1.14.8), libstdc++6 (>= 4.3.0), libvisio-0.0-0 (>= 0.0.15), libwpd-0.9-9, libwpg-0.2-2, libxml2 (>= 2.6.27)
Recommends: libreoffice-draw (>= 3.5.0~beta)
Priority: optional
Section: utils
Filename: pool/main/w/writerperfect/vsd2odg_0.8.1-4_armhf.deb
Size: 63930
SHA256: c93934f94eb04db21ed46587a2ad1444927e6f6f56ef5cabcf3fa18d67572dfc
SHA1: 86d39e0d79fe9f238efa388f11a3db91f789ffa6
MD5sum: 2b5da331c60e9bd657e4289991137bed
Description: Visio to OpenDocument converter
 This package contains a utility for converting Visio files
 into OpenDocument draw documents.

Package: vsdump
Version: 0.0.45-1
Architecture: armhf
Maintainer: Juan Angulo Moreno 
Installed-Size: 102
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgsf-1-114 (>= 1.14.8)
Priority: extra
Section: utils
Filename: pool/main/v/vsdump/vsdump_0.0.45-1_armhf.deb
Size: 36004
SHA256: df6a5d7d42854cf08ea8cbe4f0eae348bb5cd8846519d2f25af5e3d0efe4ec19
SHA1: dda76bb251295c23597720a9af30f5bc7b295a5e
MD5sum: e6171be9c605ad55c48cc3e62654a857
Description: Convert Microsoft Visio diagrams .vsd, .vss
 The VSdump utility converts Microsoft Visio 2000, 2002 and 2003
 diagrams to XML.

Package: vserver-debiantools
Version: 0.8.1
Installed-Size: 112
Maintainer: Ola Lundqvist 
Architecture: all
Depends: util-vserver (>= 0.30.204-5sarge2), debootstrap (>= 0.3.3), binutils, rsync, iproute
Recommends: dnsutils
Conflicts: vserver
Size: 33550
SHA256: f68c7f408e44168a523b295f5a75c893ad027629dd43f7531d1860f5c4994d30
SHA1: 00e5a7227a86a73fb58e653e5951feceaed2ff93
MD5sum: f9408a7ebea7879986858026be633e15
Description: Tools to manage Debian virtual servers
 This package contain tools to manage Debian based virtual servers.
 There are tools to create and duplicate a virtual server. There is also a
 tool to strip a copy of a normal Debian installation from hardware dependent
 things.
Tag: hardware::emulation, role::program, scope::utility, suite::debian
Section: utils
Priority: optional
Filename: pool/main/v/vserver-debiantools/vserver-debiantools_0.8.1_all.deb

Package: vsftpd
Version: 2.3.5-3
Architecture: armhf
Maintainer: Daniel Baumann 
Installed-Size: 321
Depends: debconf (>= 0.5) | debconf-2.0, libc6 (>= 2.7), libcap2 (>= 2.10), libpam0g (>= 0.99.7.1), libssl1.0.0 (>= 1.0.0), libwrap0 (>= 7.6-4~), adduser, libpam-modules, netbase
Recommends: logrotate
Conflicts: ftp-server
Replaces: ftp-server
Provides: ftp-server
Homepage: http://vsftpd.beasts.org/
Priority: extra
Section: net
Filename: pool/main/v/vsftpd/vsftpd_2.3.5-3_armhf.deb
Size: 148660
SHA256: 35e50d3d04773349b5d77b3d4563550effe8927b13d6c3b319ab975d49d69687
SHA1: 0495e2e665d947ea94f77887f0b347169bf51c0a
MD5sum: 555761711dfdb0aba35070e318c5d06e
Description: lightweight, efficient FTP server written for security
 This package provides the "Very Secure FTP Daemon", written from
 the ground up with security in mind.
 .
 It supports both anonymous and non-anonymous FTP access, PAM authentication,
 bandwidth limiting, and the Linux sendfile() facility.

Package: vstream-client
Version: 1.2-6.1
Architecture: armhf
Maintainer: Paul Hedderly 
Installed-Size: 116
Depends: libc6 (>= 2.4)
Conflicts: libvstream-dev
Replaces: libvstream-dev
Homepage: http://code.google.com/p/vstream-client
Priority: extra
Section: libs
Filename: pool/main/v/vstream-client/vstream-client_1.2-6.1_armhf.deb
Size: 30696
SHA256: b3d01431f61c624d219dc579cf27621b518f92e8f62bc12a1f0dbcc6d5077576
SHA1: 0f82247bd21f57c539f623ba673c5aec2c9ccb7f
MD5sum: da3c115ad7670bd56dac9755084b4150
Description: library and utility to fetch media streams from a TIVO
 Vstream-client is a fork off of the vstream library from the
 tivo-mplayer project. It has been stripped down to just the
 client code, and includes an example client application. If
 you have vserver installed on your Tivo (which most tivo hackers
 do), then you can use this simple client to stream .ty files
 from it. MPlayer includes support for this library. This
 library can be used by MPlayer/MEncoder to watch/re-encode tivo
 streams live over a network.

Package: vstream-client-dev
Source: vstream-client
Version: 1.2-6.1
Architecture: armhf
Maintainer: Paul Hedderly 
Installed-Size: 174
Conflicts: libvstream-dev
Replaces: vstream-client (<= 1.2-4)
Homepage: http://code.google.com/p/vstream-client
Priority: extra
Section: libdevel
Filename: pool/main/v/vstream-client/vstream-client-dev_1.2-6.1_armhf.deb
Size: 41646
SHA256: 7d04308bf64fb4d5b0c3e61041531cb9daf5560d7f6a4bbf9b32a3f676bc01f1
SHA1: eb924a5e07c731a41ad782ac353765f594b5dbde
MD5sum: 22ab1bbe099970a62b33ac1ff0e84e59
Description: Development headers for vstream-client
 Vstream-client is a fork off of the vstream library from the
 tivo-mplayer project. It has been stripped down to just the
 client code, and includes an example client application. If
 you have vserver installed on your Tivo (which most tivo hackers
 do), then you can use this simple client to stream .ty files
 from it. MPlayer includes support for this library. This
 library can be used by MPlayer/MEncoder to watch/re-encode tivo
 streams live over a network.
 .
 This package contains the headers for development.

Package: vtgrab
Version: 0.1.8-3
Architecture: armhf
Maintainer: RISKO Gergely 
Installed-Size: 95
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5
Priority: optional
Section: admin
Filename: pool/main/v/vtgrab/vtgrab_0.1.8-3_armhf.deb
Size: 29828
SHA256: 2d4e9034c8f571af85a5177d3bf7bd3f10b09158e7508d7bf29c9c4e989bf762
SHA1: b5195c6b0fd4372ef2a74236114734d8dee41908
MD5sum: 33d3f24a7a319ce37a68d61d89a30c71
Description: A VNC like console monitoring
 This is a utility for monitoring the screen of another machine.
 It only works for text consoles: for X, the idea is to use VNC
 instead.

Package: vtk-doc
Source: vtk
Version: 5.8.0-13
Architecture: all
Maintainer: Debian Science Team 
Installed-Size: 594622
Depends: doc-base
Suggests: libvtk5-dev, vtk-examples, vtkdata
Homepage: http://www.vtk.org/
Priority: optional
Section: doc
Filename: pool/main/v/vtk/vtk-doc_5.8.0-13_all.deb
Size: 78705324
SHA256: 0f29d7f658ebdb64326c2a03db39c850209a2131a50d0456dff6d1d0c9c7fd5d
SHA1: e589b7fd6de897947ad63d885de2ad03d8826731
MD5sum: 8f213c17bbbbb93609daeb7f336adae1
Description: VTK class reference documentation
 The Visualization Toolkit (VTK) is an object oriented, high level
 library that allows one to easily write C++ programs, Tcl, Python and
 Java scripts that do 3D visualization.
 .
 This package contains exhaustive HTML documentation for the all the
 documented VTK C++ classes.  The documentation was generated using
 doxygen and some excellent perl scripts from Sebastien Barre et. al.
 Please read the README.docs in /usr/share/doc/vtk-doc/ for details.
 The documentation is available under /usr/share/doc/vtk/html.

Package: vtk-examples
Source: vtk
Version: 5.8.0-13
Architecture: all
Maintainer: Debian Science Team 
Installed-Size: 2422
Suggests: libvtk5-dev, tcl-vtk, python-vtk, vtk-doc, python, tclsh, libqt4-dev
Homepage: http://www.vtk.org/
Priority: optional
Section: graphics
Filename: pool/main/v/vtk/vtk-examples_5.8.0-13_all.deb
Size: 578954
SHA256: c4f7db04e0232112040f7f0e880a31c46524754915d324f7a55fc3fd6057e453
SHA1: dba4873242325e1b7b995c3fab760ed29f6089e8
MD5sum: 4477fc6fff21ae3b928bc16431a1638e
Description: C++, Tcl and Python example programs/scripts for VTK
 The Visualization Toolkit (VTK) is an object oriented, high level
 library that allows one to easily write C++ programs, Tcl, Python and
 Java scripts that do 3D visualization.
 .
 This package contains examples from the VTK source. To compile
 the C++ examples you will need to install the vtk-dev package as well.
 Some of them require the libqt4-dev package.
 .
 The Python and Tcl examples can be run with the corresponding packages
 (python-vtk, tcl-vtk).

Package: vtkdata
Version: 5.8.0-1
Installed-Size: 103552
Maintainer: A. Maitland Bottoms 
Architecture: all
Suggests: vtk-examples
Conflicts: vtkdata-installer
Size: 79951760
SHA256: 57627095e2e9b30de85437f6a353ff494e910dd0dd1a2161c1f5c71805af88af
SHA1: 0f4d401b4a6e3f3686ad581723dca5c299481425
MD5sum: 57b895bf11af2b0a21cca384252cdc59
Description: Example data for VTK
 The Visualization Toolkit comes with example C++, Tcl and
 Python code. These examples reference data files installed
 by this package.
 .
 During building of VTK packages this data is used in the
 testing stages.
Tag: devel::examples, devel::lang:c++, devel::ui-builder, role::app-data
Section: graphics
Priority: optional
Filename: pool/main/v/vtkdata/vtkdata_5.8.0-1_all.deb

Package: vtprint
Version: 2.0.2-12
Architecture: armhf
Maintainer: Joe Nahmias 
Installed-Size: 124
Depends: libc6 (>= 2.13-28)
Priority: optional
Section: text
Filename: pool/main/v/vtprint/vtprint_2.0.2-12_armhf.deb
Size: 67424
SHA256: 2748400b4b35f88d7b192cde02d9f72dc2bfbe9126959392e2a443e4ca3cc97b
SHA1: d15fa2547e7e3a282adba1009270bb0dd13dff34
MD5sum: 4d0a076027ed0ea5be622c7aea5ecc98
Description: Prints to term emulator via ANSI codes
 This program allows users to print from a remote UNIX host to a
 printer attached to their local terminal or emulator, which makes it
 great for printing files at home, etc.  (It only does text files,
 though.)

Package: vttest
Version: 2.7+20120603-1
Architecture: armhf
Maintainer: Andreas Bombe 
Installed-Size: 212
Depends: libc6 (>= 2.13-28)
Homepage: http://invisible-island.net/vttest/
Priority: optional
Section: utils
Filename: pool/main/v/vttest/vttest_2.7+20120603-1_armhf.deb
Size: 90450
SHA256: 82a9a7be3312df777e76b64d0a256d605e1058c99383d3eedb4f7745ac7aa3eb
SHA1: 70a6996e37a18af41e4e0f2a160e197d125a8201
MD5sum: 5260db05eb2b1796b5faba0e30e45693
Description: tool for testing VT100 compatibility of terminals
 This package provides a program designed to test the functionality of
 a VT100 terminal (or emulator). It also supports analysis of VT220,
 VT420, and xterm.
 .
 The program is menu-driven and contains full on-line operating
 instructions. It tests both display (escape sequence) and keyboard
 handling.

Package: vtun
Version: 3.0.2-4
Architecture: armhf
Maintainer: Martín Ferrari 
Installed-Size: 188
Depends: libc6 (>= 2.4), liblzo2-2, libssl1.0.0 (>= 1.0.0), zlib1g (>= 1:1.1.4), udev | makedev
Homepage: http://vtun.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/v/vtun/vtun_3.0.2-4_armhf.deb
Size: 67336
SHA256: af317df66316bbbc755b2f969c232c95e8a10a037890d237ba7ffea16e907b4a
SHA1: 77f85aa3cbe8fea5f79facd04b53dfc4da8ac8ca
MD5sum: 45272b660a8b41a9fa8e8464bb35a0e1
Description: virtual tunnel over TCP/IP networks
 VTun is the easiest way to create virtual tunnels over TCP/IP networks
 with traffic shaping and compression.
 .
 It supports IP, PPP, SLIP, Ethernet and other tunnel types.
 .
 VTun is easily and highly configurable, it can be used for various
 network tasks.
 .
 VTun requires the universal TUN/TAP kernel module which can be found at
 http://vtun.sourceforge.net/tun/index.html or in the 2.4 and newer Linux
 kernels.
 .
 Note: This program includes an "encryption" feature intended to protect the
 tunneled data as it travels across the network. However, the protocol it uses
 is known to be very insecure, and you should not rely on it to deter anyone
 but a casual eavesdropper. See the included README.Encryption file for more
 information.

Package: vtwm
Version: 5.4.7-2.2
Architecture: armhf
Maintainer: Branden Robinson 
Installed-Size: 407
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), librplay3 (>= 3.3.2-2), libsm6, libx11-6, libxext6, libxmu6, libxpm4, libxt6
Provides: x-window-manager
Homepage: http://www.vtwm.org/
Priority: optional
Section: x11
Filename: pool/main/v/vtwm/vtwm_5.4.7-2.2_armhf.deb
Size: 223384
SHA256: f175d571b4998b6ce1042e7d954203ef0589b1824b15c2f5d9ad3cd2b4d8e1aa
SHA1: 53029b7ff4a2ccb8b48f21d69b524d7c0e85fbb1
MD5sum: b35566a30965480d37608d254d750529
Description: Virtual Tab Window Manager
 VTWM is an enhanced version of the Tab window manager (TWM) that adds many
 features -- primarily a virtual desktop, meaning that what is currently on
 screen is just a portion of a larger workspace.  What portion of the virtual
 desktop that is displayed, and whatever windows might be visible within it,
 are simple point-and-click operations within a scaled representation of the
 workspace.

Package: vuze
Source: azureus
Version: 4.3.0.6-5
Installed-Size: 76
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: azureus, libswt-cairo-gtk-3-jni, libswt-gnome-gtk-3-jni, libswt-webkit-gtk-3-jni | libswt-mozilla-gtk-3-jni
Size: 30596
SHA256: a3a47c6dbdc9a32a7f9cd868517ac07773d10563bcb06ece02a91e3f2b5097af
SHA1: a338a325b645c9fdb6b9dceaeb1c05f5bd4013de
MD5sum: 3e5b5f29cfb7f4876e64b4f7cf7c0f85
Description: Multimedia BitTorrent client
 BitTorrent is a peer-to-peer file distribution tool.
 .
 Vuze is a multimedia BitTorrent client based on Azureus intended for
 DVD and HD video. It includes some social-networking features to
 share videos among friends.
 .
 Vuze offers subscriptions to preferred content, an embed media player and
 web-browser, support for multiple Content Networks, sharing .vuze files,
 popularity contexts, categories and tagging, IPv6 compact tracker support,
 automatic URL handling, and a plugin architecture; besides other features.
 .
 This package is part of the azureus package, and provides the dependencies
 necessary to run the new user interface.
Homepage: http://azureus.sourceforge.net
Tag: network::client, protocol::bittorrent, role::program, use::downloading
Section: net
Priority: optional
Filename: pool/main/a/azureus/vuze_4.3.0.6-5_all.deb

Package: vym
Version: 2.2.0-1
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 5635
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), zip, unzip, xsltproc
Suggests: ruby | ruby-interpreter
Homepage: http://www.insilmaril.de/vym/
Priority: optional
Section: kde
Filename: pool/main/v/vym/vym_2.2.0-1_armhf.deb
Size: 2420688
SHA256: 41a315d7276f94b60a11d4cdb581f3b0381060a4272aff656d13896a1c2ed3d5
SHA1: f033f7ac6bac121498ae2f99ded9b50438fb78d3
MD5sum: 9a97ebfd023ffbbf0bd46190609bfe64
Description: mindmapping tool
 Vym is a mind mapping program. It is useful to organize thoughts and
 do structure work. In addition to that it has a lot of helpful
 shortcuts.
 .
 A mindmap is a multicolored and image centered radial diagram that
 represents semantic or other connections between portions of learned
 material.  For example, it can graphically illustrate the structure
 of a thesis outline, a project plan, or the government institutions
 in a state.  Mindmaps have many applications in personal, family,
 educational, and business situations.  Possibilities include
 note-taking, brainstorming, summarizing, revising and general
 clarifying of thoughts.

Package: vzdump
Version: 1.2.6-3
Installed-Size: 96
Maintainer: Ola Lundqvist 
Architecture: all
Depends: perl, vzctl, cstream, rsync, liblockfile-simple-perl, exim4 | mail-transport-agent
Suggests: xdelta
Size: 27774
SHA256: c5290f5b8e4f25e199131194c1150874d43baf5b13cb65b3e95ac1a5d7dd01ea
SHA1: 439767ae2f2ae1b8b7d5170257bd1e93215c0d41
MD5sum: d45d328b97753ee04018e1b07daddec4
Description: OpenVZ backup scripts
 This package contains the vzdump script to backup and restore openvz images.
Homepage: http://www.proxmox.com/cms_proxmox/en/virtualization/openvz/vzdump/
Tag: admin::backup, role::program
Section: admin
Priority: extra
Filename: pool/main/v/vzdump/vzdump_1.2.6-3_all.deb

Package: w-scan
Version: 20120605-1
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 303
Depends: libc6 (>= 2.13-28)
Homepage: http://wirbel.htpc-forum.de/w_scan/index2.html
Priority: extra
Section: video
Filename: pool/main/w/w-scan/w-scan_20120605-1_armhf.deb
Size: 109950
SHA256: f2fabd6fbe1a27de69820a3c118e359f069d07b9bdf6c86fe9c4935019856070
SHA1: 15f93a92514daaf433b056ef981e91b4f4f71793
MD5sum: 675d16f9e82db95cb5445cbf4dac5ca3
Description: Channel scanning tool for DVB and ATSC channels
 w_scan scans for DVB-S/S2, DVB-T, DVB-C and ATSC channels and creates a
 channels.conf, that can be used directly by the Linux Video Disc Recorder VDR.
 It is also possible to output channels in a format readable by the
 czap/tzap tools provided by linuxtv-dvb-zap, xine, totem, kaffeine or me-tv.
 .
 Unlike the scan utility in linuxtv-dvb-apps, there is no need to specify
 an initial transponder and w_scan automatically detects the DVB or ATSC
 card to be used.

Package: w2do
Version: 2.3.1-3
Installed-Size: 152
Maintainer: Salvatore Bonaccorso 
Architecture: all
Depends: perl
Size: 34948
SHA256: 2d31ed2580d35a7dd5066db0aef6d7fc01d43162c08fb5af768ddabae6a8396f
SHA1: 41a36328e95c6e25638b5d74d00f9c1cc6a2d7d7
MD5sum: adbd5f9af9d691d98b72b056edf483b1
Description: simple text-based todo manager
 w2do is a simple to use yet efficient command-line todo manager
 written in Perl.
 .
 Features:
  * Well-arranged, fully filterable, plain text or coloured tabular
    output.
  * Support for group, due date and priority categories.
  * Capable of managing multiple todo lists.
  * Detailed statistics with visual progress representation.
  * Completely command driven and therefore suitable for usage in
    scripts.
  * Export to HTML 4.01 Strict via w2html utility.
  * Export to plain text via w2text utility.
Homepage: http://w2do.blackened.cz/
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility, works-with::text
Section: utils
Priority: optional
Filename: pool/main/w/w2do/w2do_2.3.1-3_all.deb

Package: w3-dtd-mathml
Version: 2.0.0.0-5
Installed-Size: 604
Maintainer: Debian XML/SGML Group 
Architecture: all
Depends: sgml-base (>= 1.26+nmu2), xml-core (>= 0.12)
Suggests: docbook-mathml
Size: 107332
SHA256: 1f886eb4e043a63042c72b69c0f5be26e277f4b497f43006bab24f0e8d491aae
SHA1: 078d18848f03c5553041f761f52c368e1ae29ec8
MD5sum: 64fa5ac5a1c1b035d6f65513683b35b2
Description: Mathematical Markup Language V2.0 DTD
 The Mathematical Markup Language (MathML) V2.0 is an XML
 application for describing mathematical notation
 and capturing both its structure and content.
 The docbook-mathml package allows you to generate output
 documents from source files that use MathML markup.
 .
 Includes a prototype extension of the XHTML 1.1 DTD
 that incorporates MathML 2.0.
Homepage: http://www.w3.org/TR/MathML2/
Tag: field::mathematics, made-of::html, role::app-data
Section: text
Priority: optional
Filename: pool/main/w/w3-dtd-mathml/w3-dtd-mathml_2.0.0.0-5_all.deb

Package: w3af
Version: 1.0-rc3svn3489-1
Installed-Size: 1356
Maintainer: Luciano Bello 
Architecture: all
Depends: python, python-support (>= 0.90.0), w3af-console (>= 1.0-rc3svn3489-1), python-gtk2 (>= 2.12), graphviz
Size: 543180
SHA256: 99e79e75677b12f2d19f433636201861ca4c44f61677da09d369ba0e3ec67520
SHA1: d6b776ff67a7ac6bd6be563e823d790de9d72e38
MD5sum: 82e74546554658599b41800c4b7951b7
Description: framework to find and exploit web application vulnerabilities
 w3af is a Web Application Attack and Audit Framework which aims
 to identify and exploit all web application vulnerabilities.
 .
 This package provides a graphical user interface (GUI) for the framework.  If
 you want a command-line application only, install w3af-console.
 .
 The framework has been called the "metasploit for the web", but it's actually
 much more than that, because it also discovers the web application
 vulnerabilities using black-box scanning techniques!
 .
 The w3af core and it's plugins are fully written in Python. The project has
 more than 130 plugins, which identify and exploit SQL injection, cross site
 scripting (XSS), remote file inclusion and more.
Homepage: http://w3af.sourceforge.net/
Tag: implemented-in::python, interface::x11, role::program, uitoolkit::gtk,
 x11::application
Section: net
Priority: extra
Filename: pool/main/w/w3af/w3af_1.0-rc3svn3489-1_all.deb

Package: w3af-console
Source: w3af
Version: 1.0-rc3svn3489-1
Installed-Size: 58856
Maintainer: Luciano Bello 
Architecture: all
Depends: python, python (>= 2.6) | python-simplejson, python-beautifulsoup, python-soappy, python-pypdf, python-openssl, python-pysqlite2
Conflicts: python-json
Size: 20780474
SHA256: 98d0f9e6dc042c37b88da2ab67fb218f7e5184ef7a64d91d8ee6cea11b28ee55
SHA1: 83c22766fc53c47737554c852a8a2891f52f50ff
MD5sum: 22c8b6df5f055bae34816f19cc514712
Description: framework to find and exploit web application vulnerabilities (CLI only)
 w3af is a Web Application Attack and Audit Framework which aims
 to identify and exploit all web application vulnerabilities.
 .
 This package provides a command line interface (CLI) only. The graphical user
 interface (GUI) is provided by the package w3af.
 .
 The framework has been called the "metasploit for the web", but it's actually
 much more than that, because it also discovers the web application
 vulnerabilities using black-box scanning techniques!
 .
 The w3af core and it's plugins are fully written in Python. The project has
 more than 130 plugins, which identify and exploit SQL injection, cross site
 scripting (XSS), remote file inclusion and more.
Homepage: http://w3af.sourceforge.net/
Tag: implemented-in::python, interface::commandline, role::program
Section: net
Priority: extra
Filename: pool/main/w/w3af/w3af-console_1.0-rc3svn3489-1_all.deb

Package: w3c-dtd-xhtml
Version: 1.2-4
Installed-Size: 579
Maintainer: Nicholas Bamber 
Architecture: all
Depends: sgml-base (>= 1.26+nmu2), xml-core (>= 0.12), sgml-data (>= 1.9.1)
Conflicts: w3c-sgml-lib
Size: 92540
SHA256: 79958678128ab9421790eb039c0f18844ea934819e8cec3069e9149f3b0526e6
SHA1: 014d61ca308f4b2498c5db23092a5b05224ee1f6
MD5sum: 1e37bf6bf8666f25475c5fdf85ffdc97
Description: W3C eXtensible HyperText Markup Language (XHTML) DTD
 XHTML is a family of XML based document types that reproduce,
 subset and extend HTML4. This package includes Document Type
 Definitions for the eXtensible HyperText Markup Language (XHTML)
 versions Basic, 1.0 and 1.1.
Homepage: http://www.w3.org/TR/xhtml11/
Tag: made-of::html, protocol::http, role::app-data, works-with-format::html,
 works-with::text
Section: text
Priority: optional
Filename: pool/main/w/w3c-dtd-xhtml/w3c-dtd-xhtml_1.2-4_all.deb

Package: w3c-linkchecker
Version: 4.81-7
Installed-Size: 233
Maintainer: Debian Perl Group 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, perl, libcss-dom-perl, libhtml-parser-perl, libwww-perl (>= 5.833), liburi-perl (>= 1.53), libconfig-general-perl, libnet-ip-perl, libterm-readkey-perl, libencode-locale-perl, ucf
Recommends: w3c-markup-validator, apache2 | httpd-cgi
Size: 66756
SHA256: d13b132e122dba8792c67d21b1fc5587e67cef4b077bfa82942436ae760fc42d
SHA1: 6ff871d0ae9d390bff2ca4ed4b3f2389f05f25f6
MD5sum: 23e4ef6b008e0ef5e9a380c5ba31c7b2
Description: tool to verify the links in a web page are still valid
 The checklink tool is a program that reads an HTML or XHTML document,
 extracts a list of anchors and links and checks that no anchor is defined twice
 and that all the links are dereferenceable, including the fragments. It warns
 about HTTP redirects, including directory redirects, and can check recursively
 a part of a web site. The program can be used either as a command line tool or
 as a CGI script. The official site is available as
 http://validator.w3.org/checklink.
Homepage: http://search.cpan.org/dist/W3C-LinkChecker/
Tag: implemented-in::perl, interface::commandline, interface::web,
 protocol::http, role::program, use::checking, web::cgi
Section: perl
Priority: optional
Filename: pool/main/w/w3c-linkchecker/w3c-linkchecker_4.81-7_all.deb

Package: w3c-markup-validator
Version: 1.2+dfsg-6
Installed-Size: 4265
Maintainer: Nicholas Bamber 
Architecture: all
Depends: perl, apache2 | httpd-cgi, libwww-perl (>= 6), liburi-perl, libhtml-template-perl, libhtml-parser-perl (>= 3.60), libtext-iconv-perl, libset-intspan-perl, libnet-ip-perl, libconfig-general-perl, w3c-sgml-lib (>= 1.2-2), libencode-hanextra-perl, libhtml-encoding-perl, libjson-perl, libxml-libxml-perl (>= 1.70), libsgml-parser-opensp-perl, liblwp-protocol-https-perl
Recommends: libhtml-tidy-perl, libencode-jis2k-perl
Suggests: libwebservice-validator-html-w3c-perl, libtest-html-w3c-perl, libxml-xpath-perl
Size: 2475718
SHA256: 20d6e648203985bbce6d80e228499fe47e6bd39338429310556e6cd052d46edc
SHA1: 29f176442a345b9cbe123f398c63fe4d23c25bf0
MD5sum: d0ae9a97deb34bec1f045e2c5bbedf85
Description: W3C Markup Validator
 The W3C Markup Validator is a CGI script which lets you enter the URL of a web
 page which will be then checked against a validating SGML parser for
 conformance to official W3C recommendations. Pages can also be uploaded.
 .
 These are the same scripts that are available on the W3C web site,
 http://validator.w3.org/.
Homepage: http://validator.w3.org/about.html
Tag: implemented-in::perl, interface::web, protocol::http, role::program,
 use::checking, web::cgi, works-with-format::html,
 works-with-format::sgml, works-with::text
Section: web
Priority: optional
Filename: pool/main/w/w3c-markup-validator/w3c-markup-validator_1.2+dfsg-6_all.deb

Package: w3c-sgml-lib
Version: 1.2-3
Installed-Size: 3429
Maintainer: Nicholas Bamber 
Architecture: all
Depends: xml-core (>= 0.12)
Conflicts: w3c-dtd-xhtml
Breaks: w3c-markup-validator (<< 1.2+dfsg-6)
Size: 568168
SHA256: 5aa17b80badea3c3d4e3d8b367736734834155f4be36cfdf98828ebe968573d1
SHA1: 6846700992a5fa66cbd2ff3b53262e72f2573f00
MD5sum: ee51ef831899e92c8cab164a04db2f28
Description: w3.org DTD and catalog files
 This package consists of all the definition files used by the
 W3C Markup Validator. It consists of DTDs and modules, entity files
 and XML and SGML catalogs. The catalogs are registered with the root
 catalogs.
Homepage: http://validator.w3.org/sgml-lib
Tag: devel::web, role::app-data
Section: text
Priority: optional
Filename: pool/main/w/w3c-sgml-lib/w3c-sgml-lib_1.2-3_all.deb

Package: w3cam
Version: 0.7.2-6.2
Architecture: armhf
Maintainer: Martin Meredith 
Installed-Size: 140
Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4)
Homepage: http://mpx.freeshell.net/
Priority: optional
Section: web
Filename: pool/main/w/w3cam/w3cam_0.7.2-6.2_armhf.deb
Size: 41636
SHA256: 3918d07ce89d7515975345fd9231bae839d5cf4b7039e772dc9e5ec946392592
SHA1: d82c5d9aad7ff0b553b991e2451176f351647327
MD5sum: 84a73ad92c5557714f159f3ca51c7482
Description: a simple CGI to retrieve images from video4linux device
 w3cam is a simple CGI to retrieve images from video4linux device.
 In other words this program will only run on Linux machines
 which support a video4linux-device.
 .
 w3cam supports a plain mode and a gui mode. In the gui mode a html
 with a form is supplied to change some parameters with the mouse

Package: w3m
Version: 0.5.3-8
Architecture: armhf
Maintainer: Tatsuya Kinoshita 
Installed-Size: 1886
Depends: libc6 (>= 2.13-28), libgc1c2 (>= 1:7.1), libgcc1 (>= 1:4.4.0), libgpm2 (>= 1.20.4), libssl1.0.0 (>= 1.0.0), libtinfo5, zlib1g (>= 1:1.1.4)
Recommends: ca-certificates
Suggests: w3m-img, mime-support, menu (>> 1.5), w3m-el, man-db, migemo
Conflicts: w3m-ssl
Replaces: w3m-ssl, w3mmee
Provides: www-browser
Multi-Arch: foreign
Homepage: http://sourceforge.net/projects/w3m
Priority: standard
Section: web
Filename: pool/main/w/w3m/w3m_0.5.3-8_armhf.deb
Size: 1218756
SHA256: 6af7705d745c1eed367ea2d22359dcf4a6e16923dac1739ea7a8b0fc0f2e6ff6
SHA1: d7b18ae790992f7c9427a5f7f4276c83b65d5da1
MD5sum: c29b380dc2ea6f4072ded71493ada98e
Description: WWW browsable pager with excellent tables/frames support
 w3m is a text-based World Wide Web browser with IPv6 support.
 It features excellent support for tables and frames. It can be used
 as a standalone file pager, too.
 .
  * You can follow links and/or view images in HTML.
  * Internet message preview mode, you can browse HTML mail.
  * You can follow links in plain text if it includes URL forms.
  * With w3m-img, you can view inline images.

Package: w3m-el
Version: 1.4.4-11
Installed-Size: 2011
Maintainer: Tatsuya Kinoshita 
Architecture: all
Replaces: w3m-el-snapshot
Depends: emacs | emacs23 | emacs22 | emacs21 | emacs-snapshot | apel (>= 10.5), w3m (>= 0.3) | w3m-ssl (>= 0.3) | w3mmee (>= 0.3), dpkg (>= 1.15.4) | install-info
Recommends: apel, flim
Suggests: mule-ucs, imagemagick, wv, xlhtml, ppthtml, libmoe1.5, xpdf-utils, bzip2, namazu2, perl-doc
Enhances: gnus, mew, mew-beta, wl, wl-beta
Conflicts: apel (<< 10.5), flim (<< 1:1.14.3), w3m-el-snapshot
Size: 709190
SHA256: 16fe5dece5fe4eff95893d9bafcddc59a9a788c9634511ea2cd5e050ac8cb6f4
SHA1: d2bea4e6b4cd24d892b4b3dd72bd321b8e0c2442
MD5sum: 0bae530ef1667ab2be8e2a3a8a2f8d77
Description: simple Emacs interface of w3m
 Emacs-w3m is an interface program of w3m, a pager with WWW capability.
 It can be used as a lightweight WWW browser on emacsen.
 .
 To use the optional features shimbun (interface with web newspapers),
 w3m-namazu (search files with Namazu), w3m-perldoc (view perl documents),
 etc., install the required packages flim, namazu2, perl-doc, etc.
Homepage: http://emacs-w3m.namazu.org/
Tag: implemented-in::lisp, protocol::http, role::plugin, suite::emacs,
 use::browsing, use::editing, web::browser, works-with-format::html,
 works-with::text
Section: lisp
Priority: optional
Filename: pool/main/w/w3m-el/w3m-el_1.4.4-11_all.deb

Package: w3m-el-snapshot
Version: 1.4.483+0.20120614-1
Installed-Size: 2488
Maintainer: Tatsuya Kinoshita 
Architecture: all
Replaces: w3m-el
Provides: w3m-el
Depends: emacs | emacs23 | emacs22 | emacs21 | emacs-snapshot | apel (>= 10.5), w3m (>= 0.3) | w3m-ssl (>= 0.3) | w3mmee (>= 0.3), dpkg (>= 1.15.4) | install-info
Recommends: apel, flim
Suggests: mule-ucs, imagemagick, wv, xlhtml, ppthtml, libmoe1.5, xpdf-utils, bzip2, namazu2, perl-doc
Enhances: gnus, mew, mew-beta, wl, wl-beta
Conflicts: apel (<< 10.5), flim (<< 1:1.14.3), w3m-el
Size: 911530
SHA256: c24a4c9ff76fcb6ddc0d5cc518a0eb98137ca3edc54a8da1f7291afacbce0687
SHA1: e2403b522775fc8291f6c8b2d5a6ee982a5838ba
MD5sum: dc2f70cef769ca1ada8a53535069c6ac
Description: simple Emacs interface of w3m (development version)
 Emacs-w3m is an interface program of w3m, a pager with WWW capability.
 It can be used as a lightweight WWW browser on emacsen.
 .
 To use the optional features shimbun (interface with web newspapers),
 w3m-namazu (search files with Namazu), w3m-perldoc (view perl documents),
 etc., install the required packages flim, namazu2, perl-doc, etc.
 .
 This package provides a development snapshot version of emacs-w3m.
Homepage: http://emacs-w3m.namazu.org/
Tag: implemented-in::lisp, interface::TODO, interface::text-mode,
 interface::x11, network::client, protocol::http, role::plugin,
 role::program, suite::emacs, use::browsing, web::browser,
 works-with-format::html, works-with::text, x11::application
Section: lisp
Priority: extra
Filename: pool/main/w/w3m-el-snapshot/w3m-el-snapshot_1.4.483+0.20120614-1_all.deb

Package: w3m-img
Source: w3m
Version: 0.5.3-8
Architecture: armhf
Maintainer: Tatsuya Kinoshita 
Installed-Size: 166
Depends: w3m (= 0.5.3-8), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libimlib2, libx11-6, libxext6, zlib1g (>= 1:1.1.4)
Homepage: http://sourceforge.net/projects/w3m
Priority: optional
Section: web
Filename: pool/main/w/w3m/w3m-img_0.5.3-8_armhf.deb
Size: 112420
SHA256: 94a1d35511c348cbf79999268eb8866277340bb8127f42a7c856fd72b770da58
SHA1: 410a14754e67b42512ab139654105e34d161331b
MD5sum: b588102010395e426225d8a735808e6b
Description: inline image extension support utilities for w3m
 w3m-img provides some utilities to support inline images for w3m
 on terminal emulator in X Window System environments and Linux
 framebuffer.

Package: w9wm
Version: 0.4.2-7
Architecture: armhf
Maintainer: Mats Erik Andersson 
Installed-Size: 92
Depends: libc6 (>= 2.4), libx11-6, libxext6
Provides: x-window-manager
Homepage: http://www.drieu.org/code/w9wm.en.html
Priority: optional
Section: x11
Filename: pool/main/w/w9wm/w9wm_0.4.2-7_armhf.deb
Size: 31246
SHA256: 8e8ab099b2f0e511aa94d0a7968a58922456bd19fea09a1dba3f2c833f629fda
SHA1: 5f91c3d4ee6e061e338fce534aa8abfdec929b4a
MD5sum: 1f3e40a9c9fdc9c70312aec9a146b942
Description: enhanced window manager based on 9wm
 w9wm is a small window manager. It can be used to imitate the
 appearance expected from a plan9 conforming desktop.
 .
 It shares most of its appearance with the window manager 9wm,
 from which it descends. It provides some additional features
 such as support for virtual screens as well as keyboard bindings.

Package: wader-core
Source: wader
Version: 0.5.10-1
Installed-Size: 979
Maintainer: Alex Chiang 
Architecture: all
Provides: modemmanager
Depends: python-dbus, python-gudev, python-messaging, python-serial, python-twisted-core, python-tz, python-wader (= 0.5.10-1), python (>= 2.6.6-7~)
Recommends: mobile-broadband-provider-info, python-m2crypto, python-twisted-conch, usb-modeswitch, wvdial | network-manager
Conflicts: modemmanager
Size: 173328
SHA256: ddb841d92020aae7584ad01a5a4298819e89b295d093bb864274d29078857005
SHA1: 1009fc8633275d709de674c47102f8925a6c65ce
MD5sum: d570b43047254695db956a38f22deabf
Description: alternative D-Bus service for managing modems
 A drop-in replacement for modemmanager and alternative implementation of the
 ModemManager API, with extensive support for many UMTS devices, simple plugin
 system for supporting new devices, robust USSD stack, multipart SMS, MMS
 reception, extensible AT engine, and handles binary SMS cleanly.
 .
 If your 3G device does not work well with ModemManager, you may wish to read
   https://github.com/andrewbird/wader/blob/master/SUPPORTED_DEVICES
 to learn if wader-core is a better alternative for you.
 .
 This package provides the wader-core daemon.
Homepage: https://github.com/andrewbird/wader
Section: net
Priority: optional
Filename: pool/main/w/wader/wader-core_0.5.10-1_all.deb

Package: wah-plugins
Version: 0.0.2-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 43
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1)
Suggests: ams
Provides: ladspa-plugin
Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/index.html
Priority: optional
Section: sound
Filename: pool/main/w/wah-plugins/wah-plugins_0.0.2-2_armhf.deb
Size: 6970
SHA256: af775e5071c7a62b0b5ee320ca2469d63c1d51c9cc3c8181fb30c5f49335d572
SHA1: 5b638fb19d7ca71e813d7c94c21346f09111059f
MD5sum: 36de57fb178d84474272ae13206eb53a
Description: auto-wah LADSPA plugin
 This LADSPA plugin is the combination of a 'wah' pedal and
 a simple envelope follower.
 .
 Controls are:
  - Freq: the resonance frequency of wah filter.
    This would normally be controlled using a
    midi pedal.
  - Mix: crossfades between dry and wet signal, the
    first is the original input signal and the latter
    is the pure output of the resonant filter amplified
    by 18 dB.
 .
 The three remaining parameters control the envelope
 follower. This produces a control signal that is
 added to the 'Freq' setting.
  - Drive: input gain to the envelope follower (so
    this gain is not in the signal path).
  - Decay: controls the decay time of the envelope.
  - Range: controls how much the envelope is allowed
    to modify the basic frequency.

Package: wajig
Version: 2.7.3
Installed-Size: 148
Maintainer: Graham Williams 
Architecture: all
Depends: python3 (>= 3.2), apt, python3-apt, aptitude, dpkg (>= 1.16.2)
Suggests: debconf, reportbug, apt-move, dpkg-repack, alien, fakeroot, apt-file, locales, deborphan, vrms, sudo, apt-show-versions, dctrl-tools, debsums, netselect-apt, dpkg-dev
Size: 55458
SHA256: dd2238401ce5e5d57492ee0c63d08a57876fabce0aa38a5ac906eac92de98a52
SHA1: 47fcf1b11a4f2cc1f2cc9a72ff93014420b6a425
MD5sum: 80568fe2f2bd579fd270af176e7f2c15
Description: simple and unified package management for Debian
 Wajig is a single commandline wrapper around apt, apt-cache, dpkg,
 /etc/init.d scripts and more, intended to be easy to use and providing
 extensive documentation for all of its functions.
 .
 With a suitable sudo(1) configuration, most (if not all) package installation
 as well as creation tasks can be done from a user shell. Wajig is also
 suitable for general system administration.
Homepage: http://wajig.togaware.com
Tag: admin::package-management, implemented-in::python,
 interface::commandline, role::program, scope::application,
 suite::debian, use::configuring, use::searching,
 works-with::software:package, works-with::software:source
Section: admin
Priority: optional
Filename: pool/main/w/wajig/wajig_2.7.3_all.deb

Package: wakeonlan
Version: 0.41-11
Installed-Size: 56
Maintainer: Thijs Kinkhorst 
Architecture: all
Depends: perl, perl-modules
Size: 11510
SHA256: d93c21fe7023fd98ec9461047a67f1099addae6a46278e2fb0aea97d55d73f60
SHA1: 988ce3c2f1c6d04f715da153b8a7bfc74dac895a
MD5sum: 6d4c609468083aeedc329a2df9b77079
Description: Sends 'magic packets' to wake-on-LAN enabled ethernet adapters
 With this package you can remotely wake up and power on machines which have
 motherboards or network cards that support 'Wake-on-Lan' packets.
 .
 The tool allows you to wake up a single machine, or a group of machines.
 .
 You need the MAC addresses of machines to construct the WOL packets, but,
 in contrast to 'etherwake', you do not need root privileges to use the
 program itself as UDP packets are used.
Homepage: http://gsd.di.uminho.pt/jpo/software/wakeonlan/
Tag: admin::boot, implemented-in::perl, interface::commandline,
 network::configuration, protocol::ethernet, protocol::udp,
 role::program, scope::utility, use::transmission
Section: net
Priority: optional
Filename: pool/main/w/wakeonlan/wakeonlan_0.41-11_all.deb

Package: wamerican
Source: scowl
Version: 7.1-1
Installed-Size: 990
Maintainer: Don Armstrong 
Architecture: all
Provides: wordlist
Depends: debconf (>= 0.5) | debconf-2.0
Size: 272134
SHA256: 00f1b5f51ba10b047e329af36f3220ccba3f39e30640746a4b55a35df6d9b3b6
SHA1: 4adda6e2c4498033291c4a3a531844e2a530e77f
MD5sum: 93c566cec37a781dd04c1d15541d7fb8
Description: American English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/american-english
 containing a list of English words with American spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 There are also -small, -large, and -huge versions of this word list,
 and there are wbritish* and wcanadian* packages as well.
Homepage: http://wordlist.sourceforge.net/
Tag: made-of::dictionary, role::app-data, use::checking
Section: text
Priority: standard
Filename: pool/main/s/scowl/wamerican_7.1-1_all.deb

Package: wamerican-huge
Source: scowl
Version: 7.1-1
Installed-Size: 3484
Maintainer: Don Armstrong 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0
Size: 915710
SHA256: 39a9104a898d81ee533859c1280614fc0c4554e73981c1855faa10de15ad55d6
SHA1: 10967a0c537780bb6aaa41335195d974a4948ebb
MD5sum: 464321e53250f2cbe073b0b3e7f4c6a2
Description: American English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/american-english-huge
 containing a list of English words with American spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is an even larger list than the one installed by wamerican-large;
 nothing prevents you installing both (and others) at the same time.
 .
 There are also -small and standard versions of this word list,
 and there are wbritish* and wcanadian* packages as well.
Homepage: http://wordlist.sourceforge.net/
Tag: made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/scowl/wamerican-huge_7.1-1_all.deb

Package: wamerican-insane
Source: scowl
Version: 7.1-1
Installed-Size: 6744
Maintainer: Don Armstrong 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0
Size: 1787704
SHA256: 8a42b60ebf214dd3d1967bc3a4480073c19256e6631e00baa88e0b4ca08361f1
SHA1: e0fbff46022cc04ee08e275a26d670e82c3828eb
MD5sum: 1ebdb9cad9481e0a26e70856beec803a
Description: American English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/american-english-insane
 containing a list of English words with American spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is an even larger list than the one installed by wamerican-huge,
 and possibly contains invalid words (as well as words that are very
 uncommon). Nothing prevents you installing both (and others) at the
 same time.
 .
 There are also -small and standard versions of this word list,
 and there are wbritish* and wcanadian* packages as well.
Homepage: http://wordlist.sourceforge.net/
Tag: made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/scowl/wamerican-insane_7.1-1_all.deb

Package: wamerican-large
Source: scowl
Version: 7.1-1
Installed-Size: 1637
Maintainer: Don Armstrong 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0
Size: 460442
SHA256: ce27950cea309f7e6121b3d7a7be95cddb274f4a2fdb7b9341f83c2f2d74b870
SHA1: 1c9bf893db082368b1e2ed26c6ef472d8fddfa21
MD5sum: 468c688a44a6a82b7f044dc9b0ee86cd
Description: American English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/american-english-large
 containing a list of English words with American spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is a larger list than the one installed by wamerican; nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -small and -huge version of this word list,
 and there are wbritish* and wcanadian* packages as well.
Homepage: http://wordlist.sourceforge.net/
Tag: made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/scowl/wamerican-large_7.1-1_all.deb

Package: wamerican-small
Source: scowl
Version: 7.1-1
Installed-Size: 530
Maintainer: Don Armstrong 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0
Size: 146194
SHA256: aaefae9a74ef4eee2c6875345e07acecad8bc7a7e485646f156c82d18e4d4644
SHA1: c60d65317792c4a816d98e45e1df37ffbe14945f
MD5sum: a6458b5c20ec4522d88365e90297c0fb
Description: American English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/american-english-small
 containing a list of English words with American spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is a smaller list than the one installed by wamerican; nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -large and -huge version of this word list,
 and there are wbritish* and wcanadian* packages as well.
Homepage: http://wordlist.sourceforge.net/
Tag: made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/scowl/wamerican-small_7.1-1_all.deb

Package: wammu
Version: 0.36-2
Installed-Size: 2421
Maintainer: Michal Čihař 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-gammu (>= 0.24), python-wxgtk2.8
Recommends: timidity, python-bluez | python-bluetooth, python-dbus, notification-daemon
Suggests: gmobilemedia
Size: 714434
SHA256: 14f105f4bff8a5cdb1a0cc3142087a1c824ae45f62548e5efec388cc2cded34b
SHA1: 86298a3d4fc7eec0be244ab11f24c340886d8e08
MD5sum: cf9be3d8fbdf17c6299f8761b02f3fbd
Description: GTK application to control your mobile phone
 Mobile phone manager using Gammu as its backend.
 .
 It works with any phone that Gammu supports, including many models from
 Nokia, Siemens, and Alcatel. It has complete support (read, edit,
 delete, copy) for contacts, todo, and calendar. It can read, save, and
 send SMS. It includes an SMS composer for multi-part SMS messages, and
 it can display SMS messages that include pictures. Currently, only text
 and predefined bitmaps or sounds can be edited in the SMS composer. It
 can export messages to an IMAP4 server (or other email storage).
 .
 This program does not support browsing files in phone, use gMobileMedia
 instead.
Homepage: http://wammu.eu/
Tag: admin::hardware, hardware::TODO, hardware::embedded, hardware::modem,
 hardware::usb, implemented-in::python, interface::x11, role::program,
 scope::application, suite::gnome, uitoolkit::gtk, uitoolkit::wxwidgets,
 use::organizing, use::synchronizing, use::transmission,
 works-with::pim, x11::application
Section: comm
Priority: optional
Filename: pool/main/w/wammu/wammu_0.36-2_all.deb

Package: wapiti
Version: 1.1.6-4
Installed-Size: 57
Maintainer: Thomas Bläsing 
Architecture: all
Depends: python (>= 2.6.6-7~)
Recommends: python-utidylib (>= 0.2), python-ctypes (>= 1.0.1)
Size: 18450
SHA256: f11cfb91497f2b135ae970d1965ed7fe6ed1b2e8bb4de1467b95a2da249a879a
SHA1: 42fe3fbb5aa70bf578343cab6f07e5a2a881654c
MD5sum: 7982103e568393b35997d24d21f9adac
Description: Web application vulnerability scanner
 Wapiti allows you to audit the security of your web applications.
 It performs "black-box" scans, i.e. it does not study the source code of the
 application but will scans the web pages of the deployed web applications,
 looking for scripts and forms where it can inject data.
 Once it gets this list, Wapiti acts like a fuzzer, injecting payloads to see
 if a script is vulnerable.
 Wapiti can detect the following vulnerabilities:
    - File Handling Errors (Local and remote include/require, fopen, ...)
    - Database Injection (PHP/JSP/ASP SQL Injections and XPath Injections)
    - XSS (Cross Site Scripting) Injection
    - LDAP Injection
    - Command Execution detection (eval(), system(), passtru()...)
    - CRLF Injection (HTTP Response Splitting, session fixation...)
Homepage: http://wapiti.sourceforge.net/
Tag: implemented-in::python, role::program, use::analysing
Section: python
Priority: optional
Filename: pool/main/w/wapiti/wapiti_1.1.6-4_all.deb

Package: wapua
Version: 0.06.1-2
Installed-Size: 252
Maintainer: Axel Beckert 
Architecture: all
Depends: perl, libwww-perl, perl-tk, libhtml-parser-perl
Suggests: wap-wml-tools, html2wml
Size: 41294
SHA256: 3c5d5d5bc93617ff4358adac1c321a1cb0a31c89dc36c1152a3385f7537f37dc
SHA1: 79fcfc091872a0e9dbb523cb63c3de7b4ff1f7a3
MD5sum: 797f4fb691f6ec39593dca578b852cb0
Description: Web browser for WAP WML pages
 wApua is a web browser for browsing WAP (Wireless Application
 Protocol) pages written in the Wireless Markup Language (WML;
 versions 1.1 and 1.2 are supported). Its main purpose is to test or
 surf WAP pages without connecting with a WAP capable mobile phone,
 which may be expensive or impossible, i.e. in case of WAP pages on
 the local filesystem.
 .
 wApua is written in Perl using libwww-perl and Perl/Tk.
 .
 Included in the package is the command line front-end wbmp2xbm to
 wApua's internal converter for decoding WAP Wireless Bitmaps (WBMP)
 into X Bitmaps (XBM).
Homepage: http://fsinfo.noone.org/~abe/wApua/
Tag: implemented-in::perl, interface::x11, network::client, protocol::http,
 role::program, uitoolkit::tk, use::browsing, use::checking,
 use::viewing, web::browser, works-with::file, works-with::image,
 works-with::text, x11::application
Section: web
Priority: optional
Filename: pool/main/w/wapua/wapua_0.06.1-2_all.deb

Package: warmux
Version: 1:11.04.1+repack-4
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 1907
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libsdl-gfx1.2-4 (>= 2.0.22), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-net1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libx11-6, libxml2 (>= 2.7.4), warmux-data (= 1:11.04.1+repack-4)
Breaks: wormux (<< 1:11.01)
Replaces: wormux (<< 1:11.01)
Homepage: http://www.wormux.org/
Priority: optional
Section: games
Filename: pool/main/w/warmux/warmux_11.04.1+repack-4_armhf.deb
Size: 850822
SHA256: c73d8dde7038227fe0aa7d9cb3e3eba6ccaee9ca97a2e5b2194f7685f4733f70
SHA1: 0af1452f997699efa3ed10a0056d9d9da9e86362
MD5sum: 234d72886142a90a8fab72751eac3985
Description: turn-based artillery game on 2D maps
 WarMUX is a free game in the genre of "turn-based artillery games"
 like Scorched Earth or Worms.
 Several players can play together, either locally or over the network.
 It is also possible to compete against computer-driven teams.
 The teams are styled after the mascots of various free software projects.

Package: warmux-data
Source: warmux
Version: 1:11.04.1+repack-4
Installed-Size: 105007
Maintainer: Debian Games Team 
Architecture: all
Replaces: wormux-data (<< 1:11.01)
Depends: ttf-dejavu-core, fonts-vlgothic, ttf-wqy-microhei
Breaks: wormux-data (<< 1:11.01)
Size: 100653736
SHA256: 88387168c85ff714229a2d6307fc0f92a01f11bedb87ad32e61f36f4138a13b0
SHA1: 061e0618db3d34c2c85c788a493d9286be59c09a
MD5sum: 72645cb8dfed4b8df3ae2b5b245cb9d5
Description: data files for the WarMUX game
 WarMUX is a free game in the genre of "turn-based artillery games"
 like Scorched Earth or Worms.
 Several players can play together, either locally or over the network.
 It is also possible to compete against computer-driven teams.
 The teams are styled after the mascots of various free software projects.
 .
 This package contains data like maps and teams. If you want to play
 the game, you need to install the warmux package.
Homepage: http://www.wormux.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/warmux/warmux-data_11.04.1+repack-4_all.deb

Package: warmux-dbg
Source: warmux
Version: 1:11.04.1+repack-4
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 11968
Depends: warmux (= 1:11.04.1+repack-4) | warmux-server (= 1:11.04.1+repack-4)
Breaks: wormux-dbg (<< 1:11.01)
Replaces: wormux-dbg (<< 1:11.01)
Homepage: http://www.wormux.org/
Priority: extra
Section: debug
Filename: pool/main/w/warmux/warmux-dbg_11.04.1+repack-4_armhf.deb
Size: 11690848
SHA256: acea95a158f9c7ea6113868f89b37ba6cdf079a786d279ccd6b316fa7ffe8080
SHA1: 6497d9eac755d05adab5f8b4b167c54fbc8e63cd
MD5sum: 146ad4c67d381a98427ec0e1d93241a3
Description: debugging symbols for the WarMUX game
 WarMUX is a free game in the genre of "turn-based artillery games"
 like Scorched Earth or Worms.
 Several players can play together, either locally or over the network.
 It is also possible to compete against computer-driven teams.
 The teams are styled after the mascots of various free software projects.
 .
 This package contains the debugging symbols associated with warmux and
 warmux-server.

Package: warmux-servers
Source: warmux
Version: 1:11.04.1+repack-4
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 253
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libsdl-net1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6)
Breaks: wormux-servers (<< 1:11.01)
Replaces: wormux-servers (<< 1:11.01)
Homepage: http://www.wormux.org/
Priority: optional
Section: games
Filename: pool/main/w/warmux/warmux-servers_11.04.1+repack-4_armhf.deb
Size: 111322
SHA256: 864a90cc5de58827ac09dc24850dd97b2058e19978f2c27bddf49a98130d2ef2
SHA1: 341ac6dd453faf4c4fefa61f24dcc698a96ac202
MD5sum: dea6c23964ba8be014db2c6c1ae532f2
Description: stand alone server and game index server for WarMUX
 WarMUX is a free game in the genre of "turn-based artillery games"
 like Scorched Earth or Worms.
 Several players can play together, either locally or over the network.
 It is also possible to compete against computer-driven teams.
 The teams are styled after the mascots of various free software projects.
 .
 This package contains the stand alone server and the index server for
 WarMUX. You will need this package if you want to host a standalone
 WarMUX server or a WarMUX index server which can be always available
 for anyone who might want to play.
 .
 Note that if you want to play a network game, this package is not
 absolutely necessary since any of the game players can host the game.
 .
 If you want to play the game, you need to install the warmux package.

Package: warzone2100
Version: 3.1~beta11-2
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 3353
Depends: warzone2100-data (<= 3.1~beta11-2), warzone2100-data (>= 3.1~beta11), libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglc0 (>= 0.7.1), libglew1.7 (>= 1.7.0), libglu1-mesa | libglu1, libminiupnpc5, libogg0 (>= 1.0rc3), libopenal1, libphysfs1 (>= 1.1.1), libpng12-0 (>= 1.2.13-4), libqt4-network (>= 4:4.5.3), libqt4-script (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), libtheora0 (>= 1.0~beta1), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libx11-6, libxrandr2, zlib1g (>= 1:1.1.4)
Recommends: warzone2100-music (<= 3.1~beta11-2), warzone2100-music (>= 3.1~beta11)
Homepage: http://www.wz2100.net/
Priority: optional
Section: games
Filename: pool/main/w/warzone2100/warzone2100_3.1~beta11-2_armhf.deb
Size: 1653234
SHA256: c005a53bed88636ee4e183d2e5d7851846a919116c92f0a8598e6e30879329b5
SHA1: 09c90e38a562120c4ae789089eb262dbd513bc87
MD5sum: c4602bc30de61854f7bd67d37edcd8cd
Description: 3D real time strategy game
 In Warzone 2100 you command the forces of “The Project” in a battle to
 rebuild the world after mankind has almost been destroyed by nuclear
 missiles.
 .
 The game offers campaign, tutorial, multi-player and single-player skirmish
 modes.
 .
 An extensive tech tree with over 400 different technologies, combined with
 the unit design system, allows for a wide variety of possible units and
 tactics.
 .
 Warzone 2100 was created by Pumpkin Studios, published by Eidos Interactive
 and is currently developed by the Warzone 2100 Project.
 .
 The campaign video sequences are not yet distributed here, please see the
 Warzone 2100 website for details on downloading and installing them.

Package: warzone2100-data
Source: warzone2100
Version: 3.1~beta11-2
Installed-Size: 61067
Maintainer: Debian Games Team 
Architecture: all
Recommends: warzone2100
Size: 48729078
SHA256: 6035d74ac12154ffca70bee73efc05f06515e2acbd1f5712474f2a41cf3a5ee4
SHA1: e4575f44ced0a1521899d1db28dce5c1bc262851
MD5sum: 81a3da1ed67023e598cbda31b918165b
Description: data files for warzone2100
 This package contains data, docs and translations for the warzone2100 game.
 .
 It contains the campaign and multiplayer data, several mods (including
 a more advanced AI, different terrain textures, new maps and scavengers)
 and documentation for the game.
Homepage: http://www.wz2100.net/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/warzone2100/warzone2100-data_3.1~beta11-2_all.deb

Package: warzone2100-dbg
Source: warzone2100
Version: 3.1~beta11-2
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 6931
Depends: warzone2100 (= 3.1~beta11-2)
Homepage: http://www.wz2100.net/
Priority: extra
Section: debug
Filename: pool/main/w/warzone2100/warzone2100-dbg_3.1~beta11-2_armhf.deb
Size: 6575500
SHA256: 47dac69a67f5e44a34829856944fd7881bf3932d858a340ae36f0c6078438230
SHA1: a73ad7c1ff8187a652c403b81be0967cb79a555f
MD5sum: 7bd3f60117955bb5787ecc13810cfa93
Description: debug files for warzone2100
 This package contains debug information for the warzone2100 game.
 .
 It can be used to debug warzone2100 using GDB if the game crashes
 due to programming errors. warzone2100 also generates crash dumps
 and places them in the /tmp directory.

Package: warzone2100-music
Source: warzone2100
Version: 3.1~beta11-2
Installed-Size: 17960
Maintainer: Debian Games Team 
Architecture: all
Recommends: warzone2100
Size: 18269014
SHA256: b20ff68459f3864a96f0933e7d63aec8f2ebdec1293aae5b9ced74fcea917764
SHA1: 703c24ec2144d292f0497f57c5f898ddaa334f6a
MD5sum: 01195229fcef6b70ad9b9ee07c33a54f
Description: official music for warzone2100
 This package contains official music for the warzone2100 game.
 .
 It contains the background music for the menu and during the game,
 which varies between abstract, atmospheric and post-apocalyptic beats,
 a perfect soundscape for a heated battle with the enemy.
Homepage: http://www.wz2100.net/
Tag: made-of::audio, role::app-data
Section: games
Priority: optional
Filename: pool/main/w/warzone2100/warzone2100-music_3.1~beta11-2_all.deb

Package: watch-maildirs
Source: mswatch
Version: 1.2.0-2.1
Architecture: armhf
Maintainer: Jonas Smedegaard 
Installed-Size: 103
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libstdc++6 (>= 4.3.0)
Recommends: openssh-server | ssh-server
Enhances: imap-server
Homepage: http://mswatch.sourceforge.net/
Priority: optional
Section: mail
Filename: pool/main/m/mswatch/watch-maildirs_1.2.0-2.1_armhf.deb
Size: 35796
SHA256: 7721d348f63c5aaf6e0bea5a378a418fe4666082bc617f99195185ac499bb91c
SHA1: b2f9c04a92d6b14efa4982b6571d9c0ecaef06e8
MD5sum: 5e31268637ae6629c8dec00a4ee61cc5
Description: mswatch helper tools to watch maildirs and trigger on-demand syncs
 mswatch is a command line Unix program that keeps two mailboxes
 synchronized more efficiently and with shorter delays than periodically
 synchronizing them.
 .
 mswatch watches mailboxes for changes to know when to initiate mailbox
 syncs. Using mswatch, your mail synchronization program can be called
 on demand instead of through polling, resulting in prompter mail
 delivery and lower bandwidth usage and server load.
 .
 mswatch is designed to work in conjunction with mailbox synchronization
 programs, and currently supports watching Linux (2.4+) hosted Maildirs
 (including Maildir folders, Maildir++). Future support for additional
 mailbox formats, especially for mbox, is planned.
 .
 This package provides the watch_maildirs and inputkill tools for use on
 imap server hosts.

Package: watchdog
Version: 5.12-1
Architecture: armhf
Maintainer: Michael Meskes 
Installed-Size: 204
Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, makedev (>= 2.3.1-24) | udev, lsb-base (>= 3.2-14)
Homepage: http://watchdog.sourceforge.net
Priority: extra
Section: admin
Filename: pool/main/w/watchdog/watchdog_5.12-1_armhf.deb
Size: 81716
SHA256: d48e1c32f1b5a791fbe79aacffa66563e01493ee0c3fb825f04ba9c0f7fe3a2c
SHA1: a9394f0a39db4125f211bb3b3de8ef71a8a06a7c
MD5sum: d4f503de1a24dd05db98d25fdfac3058
Description: system health checker and software/hardware watchdog handler
 The watchdog program writes to /dev/watchdog every ten seconds. If
 the device is opened but not written to within a minute, the machine
 will reboot. This feature is available when the kernel is built with
 "software watchdog" support (standard in Debian kernels) or if the
 machine is equipped with a hardware watchdog (in which case this
 package can also be used to "pet" it, resetting its timer).
 .
 The kernel software watchdog's ability to reboot will depend on the
 state of the machine and interrupts.
 .
 The watchdog tool itself runs several health checks and acts
 appropriately if the system is not in good shape.

Package: wav2cdr
Version: 2.3.4-1
Architecture: armhf
Maintainer: Edgar Antonio Palma de la Cruz 
Installed-Size: 110
Depends: libc6 (>= 2.4)
Homepage: http://volker.dnsalias.net/soft/index.html#wav2cdr
Priority: extra
Section: sound
Filename: pool/main/w/wav2cdr/wav2cdr_2.3.4-1_armhf.deb
Size: 46998
SHA256: 987659e2abe85e1b5c220649c385aae474a0ee631d4ec53ae9172e5493095704
SHA1: 8c40f77bcf552f3cf799b409b198745bd97f18ad
MD5sum: 3e8afb9fb44a53022c53cf34eb7985cc
Description: Converts wav files into CD-ROM audio file format
 This program converts wav sound files into a format suitable for CD-ROMs, and
 can perform some editing functions like cutting or volume change. It is rather
 rudimentary, the wav file must have the same sampling parameters as CD audio.
 Runs both on big and little endian machines.

Package: wavbreaker
Version: 0.11-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 380
Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpulse0 (>= 0.99.1), libxml2 (>= 2.7.4)
Suggests: moodbar
Homepage: http://wavbreaker.sourceforge.net/
Priority: extra
Section: x11
Filename: pool/main/w/wavbreaker/wavbreaker_0.11-1_armhf.deb
Size: 133082
SHA256: 03e15ac6bbd26fcdc3f03a2098388a9b0596b86ac4405dac9c5491e7cf9744ba
SHA1: 0441002b33618a062b5b5e3fe3262de697f47f8b
MD5sum: ae59010774199015ffefb834cf309d64
Description: tool to split wave files into multiple chunks
 This application's purpose in life is to take a wave file and
 break it up into multiple wave files.  It makes a clean break
 at the correct position to burn the files to an audio cd
 without any dead air between the tracks.

Package: wavemon
Version: 0.7.5-3
Architecture: armhf
Maintainer: Jonathan McCrohan 
Installed-Size: 145
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5
Homepage: http://eden-feed.erg.abdn.ac.uk/wavemon
Priority: extra
Section: net
Filename: pool/main/w/wavemon/wavemon_0.7.5-3_armhf.deb
Size: 54312
SHA256: 524c060011dcddcec48262bf1341ed6b9c9edd004bcc21413d340625dfea5bf2
SHA1: a24035d644a546bea58b0589f1f760213d625fba
MD5sum: 8bba7369c16b203747075e85fb04ebaf
Description: Wireless Device Monitoring Application
 Wavemon allows you to watch signal and noise levels, packet
 statistics, device configuration and network parameters of your
 wireless network hardware.

Package: wavesurfer
Version: 1.8.8p3-1
Installed-Size: 992
Maintainer: Ron Lee 
Architecture: all
Depends: libsnack2 (>= 2.2), tk8.5 | wish
Size: 200350
SHA256: 6e3e5c488f4a1d0949949bda23fbc0d942c3615f082abed4561a9c8321c6a658
SHA1: 9303cbbd8b406e5a29b8f4c17f0ac262cabd154b
MD5sum: d73cd848ecb8e19e34245e465e8ff760
Description: Sound Manipulation Program
 Wavesurfer is a sound manipulation program, it has been designed to suit both
 novice and advanced users. WaveSurfer has a simple and logical user interface
 that provides functionality in an intuitive way and which can be adapted to
 different tasks.
Homepage: http://www.speech.kth.se/wavesurfer
Tag: interface::x11, role::program, scope::application, sound::mixer,
 uitoolkit::tk, use::editing, works-with-format::mp3,
 works-with-format::wav, works-with::audio, x11::application
Section: sound
Priority: optional
Filename: pool/main/w/wavesurfer/wavesurfer_1.8.8p3-1_all.deb

Package: wavpack
Version: 4.60.1-3
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 156
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libwavpack1 (>= 4.60.0)
Homepage: http://www.wavpack.com
Priority: optional
Section: sound
Filename: pool/main/w/wavpack/wavpack_4.60.1-3_armhf.deb
Size: 68282
SHA256: f525c7d3d5e57b01c3749efa29f6e95dba8e0e610b502301a8aff949e768727f
SHA1: 69f27b2998302e5d6221e11d89bfc47f66253244
MD5sum: 2a9c47f4759c42898f5771bc2cc9d595
Description: audio codec (lossy and lossless) - encoder and decoder
 WavPack is a completely open audio compression format providing lossless,
 high-quality lossy, and a unique hybrid compression mode. Although the
 technology is loosely based on previous versions of WavPack, the new version
 4 format has been designed from the ground up to offer unparalleled
 performance and functionality.
 .
 This package contains an encoder and decoder for wavpack files.

Package: wayv
Version: 0.3-5
Architecture: armhf
Maintainer: Nick Rusnov 
Installed-Size: 159
Depends: libc6 (>= 2.13-28), libx11-6, libxtst6
Priority: optional
Section: x11
Filename: pool/main/w/wayv/wayv_0.3-5_armhf.deb
Size: 68266
SHA256: 262a8b4f808b812f50c9b08a020f0ed10a2decd13fcdfe4aec72e5f24baea65c
SHA1: b54f1ebacaabe046fa2afbaf013b6029947c5482
MD5sum: 94bc18f4d99bf74364495c9a1f0bb4a3
Description: Experimental hand writing/gesture recognition program
 Enables human computer interaction, especially using gestures inputed via
 the mouse.

Package: wbar
Version: 1.3.3+dfsg2-1
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 104
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libimlib2, libstdc++6 (>= 4.4.0), libx11-6, libxext6, zlib1g (>= 1:1.1.4)
Recommends: gnome-extra-icons, ttf-dustin
Homepage: http://code.google.com/p/wbar/
Priority: optional
Section: x11
Filename: pool/main/w/wbar/wbar_1.3.3+dfsg2-1_armhf.deb
Size: 28562
SHA256: 4ec6424c0fda8e464cffcb308ca8ec1b9aa392e6a5adc5128ec396a67c248ba7
SHA1: 27c73fffbaffe3021d7642679613a0b8de990eab
MD5sum: acba89301be6634c86468944aeac6eee
Description: light and fast launch bar
 Wbar is a quick launch bar. It's fast, light and cool eye-candy.
 .
 Initially developed for Fluxbox, then tested on WindowMaker, Xfce, GNOME, etc.
 .
 It can run on top of desktops such as xfdesktop or nautilus with the
 -above-desk switch.

Package: wbox
Version: 5-1
Architecture: armhf
Maintainer: Alberto Furia 
Installed-Size: 67
Depends: libc6 (>= 2.4)
Homepage: http://www.hping.org/wbox/
Priority: optional
Section: web
Filename: pool/main/w/wbox/wbox_5-1_armhf.deb
Size: 21478
SHA256: 4d8b19b0e9db66a46af43af0f073a6dbb9d14d9594385c31a94cd42fadeeda1a
SHA1: d88337bdff9783aab8d2657954d63c29dd7db267
MD5sum: 20b58e563260e0b86b3332b85491c466
Description: HTTP testing tool and configuration-less HTTP server
 Wbox aims to help you having fun while testing HTTP related stuff.
 You can use it to perform many tasks, including the following.
  * Benchmarking how much time it takes to generate content
    for your web application.
  * Web server and web application stressing.
  * Testing virtual domains configuration without the need to alter
    your local resolver.
  * Check if your redirects are working correctly emitting
    the right HTTP code.
  * Test if the HTTP compression is working and if it is actually
    serving pages faster.
  * Use it as a configuration-less HTTP server to share files!
    (it's as simple as % wbox servermode webroot /tmp)

Package: wbrazilian
Source: br.ispell
Version: 3.0~beta4-15
Installed-Size: 3016
Maintainer: Agustin Martin Domingo 
Architecture: all
Provides: wordlist
Depends: debconf (>= 0.5) | debconf-2.0, dictionaries-common (>= 0.20)
Size: 690572
SHA256: da80073c84fb46d0bd2cd6c5f35f61422db7fa6b43735ce93ee4a9dfb9a6aff4
SHA1: c1b20ee3f42090fe40e03e79dff9b0f1de6b37a3
MD5sum: 3bf2599a5882ea7795fe7ad7ce8ef2f4
Description: Brazilian Portuguese wordlist
 This package provides the file /usr/share/dict/brazilian containing a
 list of Portuguese words with Brazilian spellings. It was obtained
 from the br.ispell dictionary developed by Ricardo Ueda Karpischek.
Homepage: http://www.ime.usp.br/~ueda/br.ispell/
Tag: culture::brazilian, made-of::dictionary, role::app-data
Section: text
Priority: optional
Filename: pool/main/b/br.ispell/wbrazilian_3.0~beta4-15_all.deb

Package: wbritish
Source: scowl
Version: 7.1-1
Installed-Size: 990
Maintainer: Don Armstrong 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0
Size: 271942
SHA256: 04201157efa55dcb4b118a3d49b207f6f90c8c85351e74a4dc60c08fe5652e31
SHA1: c1c48c5efd4ec460ea4052912b36e0ca92d7eb77
MD5sum: 0f9741ee20523d63a73c216ce4164848
Description: British English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/british-english
 containing a list of English words with British spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 There are also -small, -large, and -huge versions of this word list,
 and there are wamerican* and wcanadian* packages as well.
Homepage: http://wordlist.sourceforge.net/
Tag: culture::british, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/scowl/wbritish_7.1-1_all.deb

Package: wbritish-huge
Source: scowl
Version: 7.1-1
Installed-Size: 3486
Maintainer: Don Armstrong 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0
Size: 915834
SHA256: b789aec0eb32ccf56d802f92961584a9f0bfb8587a14c1171c4536e6617b658a
SHA1: 54dc18397a166cd8c07f96a7da1d99fbcf55676c
MD5sum: aa918093937527a38d8c270862a770b6
Description: British English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/british-english-huge
 containing a list of English words with British spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is an even larger list than the one installed by wbritish-large;
 nothing prevents you installing both (and others) at the same time.
 .
 There are also -small and standard versions of this word list,
 and there are wamerican* and wcanadian* packages as well.
Homepage: http://wordlist.sourceforge.net/
Tag: culture::british, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/scowl/wbritish-huge_7.1-1_all.deb

Package: wbritish-insane
Source: scowl
Version: 7.1-1
Installed-Size: 6746
Maintainer: Don Armstrong 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0
Size: 1788220
SHA256: e6dfc9c526393848c25514c804550cf2fb8c83c19dadb82df1e4e497805eb860
SHA1: f48cb841b8351be90ccce2e6a55d04a9683c669d
MD5sum: 99e18dff65600f03fb74af3fac953a68
Description: British English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/british-english-insane
 containing a list of English words with British spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is an even larger list than the one installed by wbritish-huge,
 and possibly contains invalid words (as well as words that are very
 uncommon). Nothing prevents you installing both (and others) at the
 same time.
 .
 There are also -small and standard versions of this word list,
 and there are wamerican* and wcanadian* packages as well.
Homepage: http://wordlist.sourceforge.net/
Tag: culture::british, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/scowl/wbritish-insane_7.1-1_all.deb

Package: wbritish-large
Source: scowl
Version: 7.1-1
Installed-Size: 1638
Maintainer: Don Armstrong 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0
Size: 460404
SHA256: 1e36301ea5f927ec8118110d5aa499dd367608339438a67cc1b588e7b799d60f
SHA1: 1e5f52748901625c04f9b5b3ece945558b3bf57a
MD5sum: 24a8a483d2b5e30cfd5db0d13098c4f2
Description: British English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/british-english-large
 containing a list of English words with British spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is a larger list than the one installed by wbritish; nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -small and -huge versions of this word list,
 and there are wamerican* and wcanadian* packages as well.
Homepage: http://wordlist.sourceforge.net/
Tag: culture::british, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/scowl/wbritish-large_7.1-1_all.deb

Package: wbritish-small
Source: scowl
Version: 7.1-1
Installed-Size: 531
Maintainer: Don Armstrong 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0
Size: 146316
SHA256: 75ed99d20431cf59202aa03ad83d1020e1c68431d942a4e835e06a5f6e78c42a
SHA1: ae1305519ba66511c99ce9157b8c33a7487436f0
MD5sum: 7abdf642f17d93457955cc7ba451ceef
Description: British English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/british-english-small
 containing a list of English words with British spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is a smaller list than the one installed by wbritish; nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -large and -huge version of this word list,
 and there are wamerican* and wcanadian* packages as well.
Homepage: http://wordlist.sourceforge.net/
Tag: culture::british, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/scowl/wbritish-small_7.1-1_all.deb

Package: wbulgarian
Source: bgoffice
Version: 4.1-3
Installed-Size: 9512
Maintainer: Damyan Ivanov 
Architecture: all
Provides: wordlist
Depends: dictionaries-common, debconf (>= 0.5) | debconf-2.0
Size: 2105760
SHA256: bc3d6e088b6de6d7c8594c38f8dea59afda660eb9c1dd0abcd63bf3d8f0c5c93
SHA1: 31a70304e66f7a82f3097819c11e5a3e57ed6f59
MD5sum: 75588fc58851e01a9fb842777875a830
Description: Bulgarian dictionary words for /usr/share/dict
 This package contains an alphabetic list of Bulgarian words, provided in the
 file /usr/share/dict/bulgarian. This list can be used by spelling checkers,
 and by programs such as look(1).
Homepage: http://bgoffice.sourceforge.net/
Tag: culture::bulgarian, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/b/bgoffice/wbulgarian_4.1-3_all.deb

Package: wcalc
Version: 2.4-1.1
Architecture: armhf
Maintainer: Daniele Sempione 
Installed-Size: 310
Depends: libc6 (>= 2.4), libgmp10, libmpfr4 (>= 3.1.0), libreadline6 (>= 6.0)
Priority: optional
Section: math
Filename: pool/main/w/wcalc/wcalc_2.4-1.1_armhf.deb
Size: 132922
SHA256: da1d954ae1d950950af2835121bb5a580a20d6214d75fbd6b6379624583bd52e
SHA1: e3b026f6cf1bf5419563bf3a2529f892a6b3c870
MD5sum: 3ef3f445357b3ce6b24be43bb78d73e6
Description: A flexible command-line scientific calculator
 Wcalc is a very capable calculator. It has standard functions
 (sin, asin, and sinh for example, in either radians or degrees),
 many pre-defined constants (pi, e, c, etc.), support for using
 variables, "active" variables, a command history, hex/octal/binary
 input and output, unit conversions, embedded comments, and an
 expandable expression entry field. It evaluates expressions using
 the standard order of operations.
 .
 Wcalc uses intuitive expressions. For example, Wcalc will evaluate:
 5sin 4!-7*2(4%6)^2 to be -221.96631678

Package: wcanadian
Source: scowl
Version: 7.1-1
Installed-Size: 990
Maintainer: Don Armstrong 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0
Size: 272090
SHA256: e25c244a29c2c09233070b32ee6db977fa76fcace64ba810c5cceedbd4fbf75e
SHA1: ecad69aa879807d7cf3999b52dd27008c0f8cb71
MD5sum: 98617111cf8eeb4e1a1eccdeef80deb0
Description: Canadian English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/canadian-english
 containing a list of English words with Canadian spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 There are also -small, -large and -huge versions of this word list,
 and there are wbritish* and wamerican* packages as well.
Homepage: http://wordlist.sourceforge.net/
Tag: made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/scowl/wcanadian_7.1-1_all.deb

Package: wcanadian-huge
Source: scowl
Version: 7.1-1
Installed-Size: 3486
Maintainer: Don Armstrong 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0
Size: 916210
SHA256: 13115f5b4038da4b247328073ea2cb3a53014d3a5aa34582eb3c71227e40a17a
SHA1: b9be1b5f9652a7b16743689dba22ebf33554f041
MD5sum: 5828c727a51cee9854f7e6e003e497f3
Description: Canadian English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/canadian-english-huge
 containing a list of English words with Canadian spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is an even larger list than the one installed by wcanadian-large;
 nothing prevents you installing both (and others) at the same time.
 .
 There are also -small and standard versions of this word list,
 and there are wbritish* and wamerican* packages as well.
Homepage: http://wordlist.sourceforge.net/
Tag: made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/scowl/wcanadian-huge_7.1-1_all.deb

Package: wcanadian-insane
Source: scowl
Version: 7.1-1
Installed-Size: 6747
Maintainer: Don Armstrong 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0
Size: 1788432
SHA256: 9e391463da1de6d07a1b9b6a3a60e97f76f6f114ac7f4ccfb3a6e439c2b33bce
SHA1: 825b15d56870b86d5acbe367a06d222aa25e685e
MD5sum: 58dd5cc3ff626eb0071f1d53dd475a8f
Description: Canadian English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/canadian-english-insane
 containing a list of English words with Canadian spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is an even larger list than the one installed by wcanadian-huge,
 and possibly contains invalid words (as well as words that are very
 uncommon). Nothing prevents you installing both (and others) at the
 same time.
 .
 There are also -small and standard versions of this word list,
 and there are wbritish* and wamerican* packages as well.
Homepage: http://wordlist.sourceforge.net/
Tag: made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/scowl/wcanadian-insane_7.1-1_all.deb

Package: wcanadian-large
Source: scowl
Version: 7.1-1
Installed-Size: 1638
Maintainer: Don Armstrong 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0
Size: 460784
SHA256: 117efc0164d2cb58188317b778658c760c2b2a3897f6411a88ca9bc99435ce07
SHA1: 53e1ee30202580d02e30e6dd88031c83f69f3dcd
MD5sum: 42bbcffb69e2730435bd953f3cf3a943
Description: Canadian English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/canadian-english-large
 containing a list of English words with Canadian spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is a larger list than the one installed by wcanadian; nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -small and -huge versions of this word list,
 and there are wbritish* and wamerican* packages as well.
Homepage: http://wordlist.sourceforge.net/
Tag: made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/scowl/wcanadian-large_7.1-1_all.deb

Package: wcanadian-small
Source: scowl
Version: 7.1-1
Installed-Size: 531
Maintainer: Don Armstrong 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0
Size: 146366
SHA256: 4ce0c7f96d56311a4938f6e7710d8d1fafbff7e6195ad0666095746358737e32
SHA1: 4f8e8105ab56740e3c0f65438673f39ff8d19c79
MD5sum: 2e2bb446dd094cd54cd58bda40b3ddc3
Description: Canadian English dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/canadian-english-small
 containing a list of English words with Canadian spellings.
 This list can be used by spelling checkers, and by programs such
 as look(1).
 .
 This is a smaller list than the one installed by wcanadian; nothing
 prevents you installing both (and others) at the same time.
 .
 There are also -large and -huge versions of this word list,
 and there are wbritish* and wamerican* packages as well.
Homepage: http://wordlist.sourceforge.net/
Tag: made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/scowl/wcanadian-small_7.1-1_all.deb

Package: wcatalan
Source: softcatala-spell
Version: 0.20111230b-4
Installed-Size: 6875
Maintainer: Jordi Mallach 
Architecture: all
Provides: wordlist
Depends: debconf (>= 0.5) | debconf-2.0, dictionaries-common (>= 1.1)
Size: 1545924
SHA256: d793f05391d644dc1bc7e3509de2a4ad4bb1c9a471be4aa42a986c0a0d27a1e7
SHA1: 80880eb4f19f41ff7f04d49b327596180e221a11
MD5sum: 8529ca5ed4e470f308c52b5ae290d199
Description: Catalan dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/catala containing
 an alphabetic list of Catalan words.
 .
 It was put together by Joan Moratinos using data from different sources.
Homepage: http://www.softcatala.org/wiki/Corrector_ortogr%C3%A0fic
Tag: culture::catalan, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/softcatala-spell/wcatalan_0.20111230b-4_all.deb

Package: wcd
Version: 5.2.1-2
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 291
Depends: libc6 (>= 2.13-28), libncursesw5 (>= 5.6+20070908), libtinfo5, libunistring0
Homepage: http://freshmeat.net/projects/wcd
Priority: optional
Section: utils
Filename: pool/main/w/wcd/wcd_5.2.1-2_armhf.deb
Size: 98028
SHA256: 23a35350fc58ab4a82f795c480ec94ced7359d3abc83560467bca858c7f94275
SHA1: 66b72248cebac3189726aa7acd695dcde7a1b3a9
MD5sum: 15edf3b898e92801e503fbd8dc315374
Description: saves time typing when you want to change directories
 Wcd (Wherever Change Directory) is a program to quickly change directories.
 It saves time typing at the keyboard. One needs to type only a part of a
 directory name and wcd will jump to it. By default, wcd searches for a
 directory with a name that begins with what has been typed, but the use of
 wildcards is also fully supported.

Package: wcslib-dev
Source: wcslib
Version: 4.13.4-1
Architecture: armhf
Maintainer: Ole Streicher 
Installed-Size: 1548
Depends: libwcs4 (= 4.13.4-1)
Suggests: wcslib-doc
Homepage: http://www.atnf.csiro.au/people/mcalabre/WCS/
Priority: optional
Section: libdevel
Filename: pool/main/w/wcslib/wcslib-dev_4.13.4-1_armhf.deb
Size: 320370
SHA256: 86dbc9962305fbbc4ead4ff793979440407cd4cdc76e28d4f532e0735f162ffe
SHA1: 9283a4540fecc2abce8ae30c676c28fd8e164e6b
MD5sum: 1a15b38953f088c9f02e029cf0ba9f17
Description: Header files and static library for libwcs
 WCSLIB is a C library, supplied with a full set of Fortran wrappers, that
 implements the "World Coordinate System" (WCS) standard in FITS (Flexible
 Image Transport System).
 .
 This package contains the static library and the C header files.

Package: wcslib-doc
Source: wcslib
Version: 4.13.4-1
Installed-Size: 3544
Maintainer: Ole Streicher 
Architecture: all
Suggests: wcslib-dev
Size: 1209582
SHA256: 0ba547d6424593b5898a6034b0b949e4bdc722a6e4197f8a02fbaaaf4b69d08d
SHA1: b6a16a2f620ceb48f4740208fb72f7bcd12dc3f2
MD5sum: 0ca3b8b2dca6c0f38fa0a3dd2e2dc0fa
Description: API documentation for wcslib
 WCSLIB is a C library, supplied with a full set of Fortran wrappers, that
 implements the "World Coordinate System" (WCS) standard in FITS (Flexible
 Image Transport System).
 .
 This package contains the API documentation for WCSLIB.
Homepage: http://www.atnf.csiro.au/people/mcalabre/WCS/
Tag: devel::doc, field::astronomy, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/w/wcslib/wcslib-doc_4.13.4-1_all.deb

Package: wcslib-tools
Source: wcslib
Version: 4.13.4-1
Architecture: armhf
Maintainer: Ole Streicher 
Installed-Size: 590
Depends: libwcs4 (= 4.13.4-1), libc6 (>= 2.13-28), libcfitsio3 (>= 3.060), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.3)
Homepage: http://www.atnf.csiro.au/people/mcalabre/WCS/
Priority: optional
Section: science
Filename: pool/main/w/wcslib/wcslib-tools_4.13.4-1_armhf.deb
Size: 165878
SHA256: cad97d2a75ea2f74410f74f5ef971d05df19b0b1ac6f905f04255f6d9299929a
SHA1: 7d462df13868e724132b8e845841ed13fef32cc8
MD5sum: d3235efb3055cda643cbc97026fe6d09
Description: Command line tools utilizing wcslib
 WCSLIB is a C library, supplied with a full set of Fortran wrappers, that
 implements the "World Coordinate System" (WCS) standard in FITS (Flexible
 Image Transport System).
 .
 This package contains the utility programs fitshdr, wcsware, and HPXcvt
 that are included in wcslib.

Package: wcstools
Version: 3.8.5-1
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 1006
Depends: libwcstools0 (= 3.8.5-1), libc6 (>= 2.13-28)
Homepage: http://tdc-www.harvard.edu/software/wcstools/
Priority: optional
Section: science
Filename: pool/main/w/wcstools/wcstools_3.8.5-1_armhf.deb
Size: 382012
SHA256: f876936344cd48d1f5f768b8371939749f91ebe7e2bdd3df81e1968b65be7221
SHA1: 6018c3725ea5eb3b9e0cf6d3bd0fabcfc151c925
MD5sum: e276a2d888069ae25e1c0455a8db74f1
Description: Handle the WCS of a FITS image
 WCSTools is a set of software utilities, written in C, which create,
 display and manipulate the world coordinate system of a FITS or IRAF
 image, using specific keywords in the image header which relate pixel
 position within the image to position on the sky.  Auxillary programs
 search star catalogs and manipulate images.
 .
 This file contains the binary tools.

Package: wdanish
Source: dsdo
Version: 1.6.25-1.1
Installed-Size: 3904
Maintainer: Jonas Smedegaard 
Architecture: all
Provides: wordlist
Depends: debconf (>= 0.5) | debconf-2.0, dictionaries-common
Size: 821104
SHA256: 52217ba95fb6bfb0c32f06830ad8749cbae3f993d9aba4d5975226689eb489fd
SHA1: 2ebdd6d0faa1140f4cf4e83e53d22eaed1e53042
MD5sum: af60c2709ab94d8e2ce651b54bfed3bc
Description: The Comprehensive Danish Dictionary (DSDO) - wordlist
 The Comprehensive Danish Dictionary (DSDO) is a free spell-checking
 dictionary for Danish published by Skaane Sjaelland Linux User Group
 (SSLUG).  One thing which makes this dictionary different from most
 other dictionaries is that it basically is the result of a vote among
 the proof-readers.  The editorial group has _not_ proof-read all the
 words in the dictionary, but guides the proof-readers and keeps track
 of the overall status of the dictionary.
 .
 This is a flat list of Danish words and names. This can be used by
 spellcheckers or any other purpose needing a lot of words.
Homepage: http://da.speling.org/
Tag: culture::danish, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/d/dsdo/wdanish_1.6.25-1.1_all.deb

Package: wdg-html-validator
Version: 1.6.2-7
Installed-Size: 1192
Maintainer: Debian QA Group 
Architecture: all
Depends: sgml-data, opensp (>= 1.5release-1.1), libwww-perl, libhtml-parser-perl, libunicode-string-perl, libunicode-map8-perl, libi18n-charset-perl (>= 1.12-1), w3c-dtd-xhtml, libjconv-bin, perl
Recommends: apache | httpd
Suggests: wdg-html-reference
Conflicts: wdg-html-reference (<< 4.0)
Size: 480260
SHA256: e8928ca7f6febf992b7d8d120e6c8e7de76bef43db9e582341271fce0e7a3971
SHA1: e81c048c07f2c17ce9b78b158e0f582ddd1fbcc4
MD5sum: e33f399c0358162d38619317884ab052
Description: WDG HTML Validator
 This is a CGI script which lets you enter the URL of a web page which will
 be then checked against a validating SGML parser for conformance to official
 HTML standards.  Pages can also be uploaded and HTML can be directly entered.
 .
 A command-line version is also included in the package.
 .
  Author: Liam Quinn 
Homepage: http://www.htmlhelp.com/tools/validator/
Tag: implemented-in::perl, interface::web, protocol::http, role::program,
 scope::utility, use::checking, web::cgi, works-with-format::html,
 works-with::text
Section: web
Priority: optional
Filename: pool/main/w/wdg-html-validator/wdg-html-validator_1.6.2-7_all.deb

Package: wdiff
Version: 1.1.2-1
Architecture: armhf
Maintainer: Santiago Vila 
Installed-Size: 883
Depends: libc6 (>= 2.13-28), libtinfo5, dpkg (>= 1.15.4) | install-info
Homepage: http://www.gnu.org/software/wdiff/
Priority: optional
Section: text
Filename: pool/main/w/wdiff/wdiff_1.1.2-1_armhf.deb
Size: 186466
SHA256: f15c54543384949c31e3f8ebb8b76890f269d0b948335855c9f8a7f82dc82f45
SHA1: 8f0c2654901778fd445373a02161e62fbd699236
MD5sum: cb941c5261affd218cdff2931f93c388
Description: Compares two files word by word
 `wdiff' is a front-end to GNU `diff'.  It compares two files, finding
 which words have been deleted or added to the first in order to create
 the second.  It has many output formats and interacts well with
 terminals and pagers (notably with `less').  `wdiff' is particularly
 useful when two texts differ only by a few words and paragraphs have
 been refilled.

Package: wdiff-doc
Source: wdiff
Version: 1.1.2-1
Installed-Size: 138
Maintainer: Santiago Vila 
Architecture: all
Size: 44416
SHA256: 3162bba7e3560c03580446d0758069b4b982ad2418b6ebdee1bc7eaa0ab011ea
SHA1: d8470187b7b408e366ab1080523e48bf01d7167a
MD5sum: a2dcfa428368d20923871b6794b47c6c
Description: Documentation for GNU wdiff
 This package contains the HTML documentation for GNU wdiff.
Homepage: http://www.gnu.org/software/wdiff/
Tag: interface::text-mode, made-of::html, role::documentation, suite::gnu,
 uitoolkit::ncurses, use::synchronizing, works-with::text
Section: doc
Priority: optional
Filename: pool/main/w/wdiff/wdiff-doc_1.1.2-1_all.deb

Package: wdm
Version: 1.28-13+deb7u1
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 934
Depends: libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1), libselinux1 (>= 1.32), libwings2 (>= 0.95.0), libwraster3 (>= 0.95.0), libwutil2 (>= 0.95.0), libx11-6, libxau6, libxdmcp6, libxinerama1, libxmu6, debconf (>= 1.5.20) | debconf-2.0, libpam-runtime (>= 0.76-13.1), libpam-modules, psmisc, x11-apps, x11-common, x11-xserver-utils, x11-utils
Suggests: xfonts-base | xfs
Provides: x-display-manager
Homepage: http://voins.program.ru/wdm
Priority: optional
Section: x11
Filename: pool/main/w/wdm/wdm_1.28-13+deb7u1_armhf.deb
Size: 333530
SHA256: 123183483604641de2b5b3696573b55cd34173b4780a187455d8cb56c8dae13f
SHA1: fae8faaee381cb11bb4bb9586b057a995b86ecf4
MD5sum: 3480509149b8fcd2cf85d18fbfd24ffc
Description: WINGs Display Manager - an xdm replacement with a WindowMaker look
 This is an xdm replacement based on the WINGs widget set.  WINGs is the
 NeXT-like widget set used by WindowMaker and some other programs.  wdm
 provides a nice and versatile login panel along with xdm functionality.

Package: wdutch
Source: dutch
Version: 1:2.10-1
Installed-Size: 4199
Maintainer: Thijs Kinkhorst 
Architecture: all
Provides: wordlist
Depends: dictionaries-common, debconf (>= 0.5) | debconf-2.0
Size: 1376724
SHA256: 9ad36b58377fe9d502d9f6e28b50d1e2a6335830e0c803e912afc1a4dc9a7c4a
SHA1: 1a234c00ff3014821bd762aeba51ce34891574df
MD5sum: cb70126a99dac939ee420524200b84e5
Description: list of Dutch words
 This package contains an alphabetic list of Dutch words.
 .
 This word list, from the OpenTaal project, uses the official
 spelling of 2005 and has been officially approved by the TaalUnie.
 .
 See the idutch package for the same wordlist in a format suitable
 for the spelling checker Ispell.
Homepage: http://www.opentaal.org/
Tag: culture::dutch, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/d/dutch/wdutch_2.10-1_all.deb

Package: weather-util
Version: 2.0-1
Installed-Size: 156
Maintainer: Jeremy Stanley 
Architecture: all
Depends: python (>= 2.6.6-3~)
Recommends: weather-util-data
Size: 30760
SHA256: 835f51c37f264afc245ffbbb707092a3bfc222e248f38008e7fc2e41e9b87463
SHA1: 05d3998c118b2f990e3e58307497ba88a4a1ba8f
MD5sum: c4f55d24121550805e5450816b6f161b
Description: command-line tool to obtain weather conditions and forecasts
 This utility is intended to provide quick access to current weather conditions
 and forecasts. Presently, it is capable of providing data for localities
 throughout the United States of America and some select locations globally by
 retrieving and processing METAR data from the National Oceanic and Atmospheric
 Administration and forecasts from the National Weather Service.
 .
 The weather-util-data package is recommended to enable searches for nearby
 sources of weather data--otherwise you have to look up and build configuration
 for all the data source URIs yourself.
Homepage: http://fungi.yuggoth.org/weather/
Tag: implemented-in::python, interface::commandline, network::client,
 role::program, scope::utility, use::monitor
Section: utils
Priority: extra
Filename: pool/main/w/weather-util/weather-util_2.0-1_all.deb

Package: weather-util-data
Source: weather-util
Version: 2.0-1
Installed-Size: 5851
Maintainer: Jeremy Stanley 
Architecture: all
Size: 5947614
SHA256: 599092a860040c3de24ea17d725fc9df5f508fe3bdb14232cf4efda202ac1177
SHA1: c446285f7afe2e1adad54041bed5e4cf7a002b53
MD5sum: 44a0e488ff087b3f622eb3538a18df37
Description: optional correlation data for weather-util search feature
 This package provides precomputed lookup tables used by the weather utility in
 the weather-util package when performing searches for proximal weather data.
 The included tables consist of great arc positional correlations between
 IATA/FAA (International Air Transport Association and USA Federal Aviation
 Agency) airport codes, ICAO (International Civil Aviation Organization)
 weather station codes, NWS (USA National Weather Service) alert/forecast
 zones, FIPS (USA Federal Information Processing Systems) location codes, USA
 Census Bureau Gazeteer place names and ZCTAs (USA Census ZIP Code Tabulation
 Areas). These tables can also be automatically rebuilt from updated public
 sources using the weather utility in the weather-util package.
Homepage: http://fungi.yuggoth.org/weather/
Section: utils
Priority: extra
Filename: pool/main/w/weather-util/weather-util-data_2.0-1_all.deb

Package: weathermap4rrd
Version: 1.1.999+1.2rc3-2
Installed-Size: 112
Maintainer: Julien Danjou 
Architecture: all
Depends: perl, libgd-gd2-perl | libgd-gd2-noxpm-perl, librrds-perl
Size: 14454
SHA256: 91465f0ffd72562bb8a283ed1ae6834028a01b5dacee3f92893331499daa38cf
SHA1: 34b02e73dbd374243c683af802444ac1c870a6e3
MD5sum: 1d794704594e2dbbef085c195ae08968
Description: script that generates picture network links utilization
 Network Weathermap4RRD is a perl script that generates picture network
 links utilization. Data used to create graph are acquired from RRDTool
 databases and are displayed as two ways colored arrows on a map
 representing the logical topology of the network.
Tag: implemented-in::c, implemented-in::perl
Section: net
Priority: extra
Filename: pool/main/w/weathermap4rrd/weathermap4rrd_1.1.999+1.2rc3-2_all.deb

Package: webalizer
Version: 2.23.05-1
Architecture: armhf
Maintainer: Julien Viard de Galbert 
Installed-Size: 1449
Pre-Depends: debconf (>= 1.4.58) | debconf-2.0
Depends: libc6 (>= 2.13-28), libdb5.1, libgcc1 (>= 1:4.4.0), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libgeoip1 (>= 1.4.8+dfsg), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.2.3.3)
Suggests: httpd
Homepage: http://www.mrunix.net/webalizer/
Priority: optional
Section: web
Filename: pool/main/w/webalizer/webalizer_2.23.05-1_armhf.deb
Size: 372818
SHA256: 83dfd8caccd5ce73f3be334ef5aa4b5a65a7731462625b663d3772da6d901469
SHA1: a7e843e791af048d1dbcdde9a32ad986025ac28b
MD5sum: 526967a6846768692e8979e1da4e8b95
Description: web server log analysis program
 The Webalizer was designed to scan web server log files in various formats
 and produce usage statistics in HTML format for viewing through a browser.
 The Webalizer produces yearly, monthly, daily and hourly statistics.  In
 the monthly reports, various statistics may be produced to show overall
 usage, usage by day and hour, usage by visiting sites, URLs, user agents
 (browsers), referrers and country.  The Webalizer is highly configurable by
 use of either command line options or a configuration file, allowing the
 program to be tailored to individual needs easily.

Package: webauth-tests
Source: webauth
Version: 4.1.1-2
Installed-Size: 192
Maintainer: Russ Allbery 
Architecture: all
Depends: perl, libapache2-webauth
Suggests: libapache2-mod-php5
Size: 46998
SHA256: 2f1628ad886e8f5a8310361d711d9cf99664b4b94128bf26f30391029e621b2e
SHA1: 02a41d7b5f644dd4a1d89e2d70c11c63cfd800ca
MD5sum: 6860f0642b23ceaaba18de88a7f2cfc0
Description: Tests for the WebAuth authentication modules
 WebAuth is a cookie-based web authentication system built on top of
 Kerberos.  It relies on a central authentication server that handles all
 user authentication for a domain and creates user authentication
 credentials for any web server that needs strong authentication.
 .
 This package contains test HTML files and Apache 2 configuration
 fragments to test a new installation of the WebAuth modules.
Homepage: http://webauth.stanford.edu/
Tag: admin::login, protocol::http, protocol::kerberos, role::TODO,
 security::authentication, suite::apache
Section: web
Priority: optional
Filename: pool/main/w/webauth/webauth-tests_4.1.1-2_all.deb

Package: webauth-utils
Source: webauth
Version: 4.1.1-2
Architecture: armhf
Maintainer: Russ Allbery 
Installed-Size: 93
Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), libwebauth6 (>= 4.0.0)
Homepage: http://webauth.stanford.edu/
Priority: optional
Section: web
Filename: pool/main/w/webauth/webauth-utils_4.1.1-2_armhf.deb
Size: 43928
SHA256: 09f5f26db973c87bd6dc4af8858c0137d16658b7b7e4964a0a8cdb3df0e40fd6
SHA1: c00ad6e4306bd89f15869b150ebfba2724a7f38c
MD5sum: b8893b407323bd0e7c237734ad498238
Description: Command-line utilities for WebAuth authentication
 WebAuth is a cookie-based web authentication system built on top of
 Kerberos.  It relies on a central authentication server that handles all
 user authentication for a domain and creates user authentication
 credentials for any web server that needs strong authentication.
 .
 This package contains an additional command-line tool to manipulate
 WebAuth keyrings.

Package: webauth-weblogin
Source: webauth
Version: 4.1.1-2
Installed-Size: 176
Maintainer: Russ Allbery 
Architecture: all
Depends: perl, libwebauth-perl (>= 4.0.0~), libwebkdc-perl (>= 4.0.0~)
Recommends: httpd-cgi
Suggests: libapache2-mod-auth-kerb
Size: 96450
SHA256: 2eed546fe798832e784357e0f21257656f879a3b0fd0a1a4db0a81a8c2f9e6c2
SHA1: 86e401b3a2d049bf030553843ef858db2c84a1eb
MD5sum: 83096fcacc97aa857b5e5174c7b34295
Description: Central login server for WebAuth authentication
 WebAuth is a cookie-based web authentication system built on top of
 Kerberos.  It relies on a central authentication server that handles all
 user authentication for a domain and creates user authentication
 credentials for any web server that needs strong authentication.
 .
 This package contains the CGI-based WebLogin server that handles initial
 user authentication and building authentication tokens for WebAuth
 servers.  Only one WebLogin server is needed to support a site WebAuth
 installation.  It is normally run on the same system as the WebKDC.
Homepage: http://webauth.stanford.edu/
Tag: implemented-in::perl, interface::web, protocol::http,
 protocol::kerberos, role::program, security::authentication,
 suite::apache
Section: web
Priority: optional
Filename: pool/main/w/webauth/webauth-weblogin_4.1.1-2_all.deb

Package: webcam
Source: xawtv
Version: 3.102-3
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 131
Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), xawtv-plugins (= 3.102-3)
Recommends: ftp, ssh
Priority: extra
Section: net
Filename: pool/main/x/xawtv/webcam_3.102-3_armhf.deb
Size: 66112
SHA256: dae26d4099fed35bff155dd23a96fc00b101c647778669198e8171409dc3fbd5
SHA1: 8790f0d3494ac1b03431df5aa49db9ae3eb75692
MD5sum: 6223e3493f7fc305a8d8137a35269ce9
Description: image grabber and uploader
 This package provides an utility that captures images from a
 video4linux device such as bttv, annotates them and uploads them to a
 webserver in an endless loop using FTP or SSH.

Package: webcamd
Version: 0.7.6-5
Installed-Size: 104
Maintainer: Julien Danjou 
Architecture: all
Depends: perlmagick, perl, xawtv, libnet-perl
Size: 11586
SHA256: 6f391b4c269e34303f8b1a92105e472647d84ecc9248e5162dc889e889ad554d
SHA1: 64283a02d6217f7019151a372004c51de24678aa
MD5sum: 9e7e0ca2c5f8c4504d7eb02c62e2c308
Description: Capture images from video devices
 webcamd take images from your webcam and put it in your
 local web directory or upload it by FTP.
Tag: hardware::camera, interface::daemon, network::server, protocol::ftp,
 role::program
Section: net
Priority: optional
Filename: pool/main/w/webcamd/webcamd_0.7.6-5_all.deb

Package: webcheck
Version: 1.10.4
Installed-Size: 296
Maintainer: Arthur de Jong 
Architecture: all
Depends: python (>= 2.3), python-support (>= 0.90.0)
Recommends: python-beautifulsoup (>= 3.0.2), python-utidylib
Suggests: lynx | www-browser
Size: 65936
SHA256: ac827b94e977376f5600bd0f751db799101e39bc5dc547d6c81bb8dc39012b3e
SHA1: 4c6b410115bb053f2b11ae05de77eaf721f275eb
MD5sum: 8c86cbd9811cede862e70d7e33306378
Description: website link and structure checker
 webcheck is a website checking tool for webmasters. It crawls a given
 website and generates a number of reports in the form of html pages.
 It is easy to use and generates simple, clear and readable reports.
 .
 Features of webcheck include:
  * support for http, https, ftp and file schemes
  * view the structure of a site
  * track down broken links
  * find potentially outdated and new pages
  * list links pointing to external sites
  * can run without user intervention
Homepage: http://arthurdejong.org/webcheck/
Tag: admin::monitoring, devel::debugger, implemented-in::python,
 interface::commandline, interface::web, made-of::html, network::client,
 protocol::ftp, protocol::http, role::program, scope::application,
 use::browsing, use::checking, use::scanning, web::application,
 works-with-format::html
Section: web
Priority: extra
Filename: pool/main/w/webcheck/webcheck_1.10.4_all.deb

Package: webcit-dbg
Source: webcit
Version: 8.14-dfsg-1
Architecture: armhf
Maintainer: Debian Citadel Team 
Installed-Size: 1096
Depends: citadel-webcit (= 8.14-dfsg-1)
Homepage: http://www.citadel.org/
Priority: extra
Section: debug
Filename: pool/main/w/webcit/webcit-dbg_8.14-dfsg-1_armhf.deb
Size: 419188
SHA256: 3b23132ff57b53e963d318689f284a5975ed5a3fd11d6c84158a8c7c5190b20f
SHA1: a7fac8c4b489f9cf280743012640b38d7fa4fd91
MD5sum: cbdc4f399d510d0a03358cdde2335446
Description: web-based frontend to Citadel - debugging symbols
 WebCit is a web-based, AJAX-enabled frontend to the Citadel groupware system.
 It is an attractive web middleware layer that allows user-friendly access.
 By combining WebCit and Citadel, you can have a versatile online environment
 with many users concurrently accessing the same system using the user
 interface of their choice (text, web, or downloaded client software).
 .
 This package provides the debugging symbols for WebCit.

Package: webdruid
Version: 0.5.4-12.1
Architecture: armhf
Maintainer: Klaus Reimer 
Installed-Size: 1497
Depends: ttf-freefont, libc6 (>= 2.13-28), libdb5.1, libfreetype6 (>= 2.2.1), libgd2-noxpm (>= 2.0.36~rc1~dfsg) | libgd2-xpm (>= 2.0.36~rc1~dfsg), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4)
Suggests: graphviz
Priority: optional
Section: web
Filename: pool/main/w/webdruid/webdruid_0.5.4-12.1_armhf.deb
Size: 356630
SHA256: 2ffc91908bdb0ec5bd1c527124ad23bbdfdeac4971c434eacb33e1fe3ac3dbb5
SHA1: f52b3c4651983c72a96ed9cec4b4cdba751d5c1c
MD5sum: dba45443a60180b481becd29af1a4174
Description: A web server log file analysis tool
 A fast, free, flexible web server log file analysis program.  Produces
 XHTML output for viewing with a web browser.  Features multiple language
 support, incremental processing capabilities, reverse DNS lookup support,
 export via tab separated ascii files to popular databases and spreadsheets,
 and much more.  Supports standard CLF and combined (Apache), as well as W3C
 (IIS) logs format.

Package: webfs
Version: 1.21+ds1-8.1
Architecture: armhf
Maintainer: Mats Erik Andersson 
Installed-Size: 218
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), debconf (>= 0.5) | debconf-2.0, ucf
Provides: httpd
Homepage: http://linux.bytesex.org/misc/webfs.html
Priority: optional
Section: httpd
Filename: pool/main/w/webfs/webfs_1.21+ds1-8.1_armhf.deb
Size: 69502
SHA256: 25c35ed73f88663b7d108c3f5ebca96b56eee24d4bc76139fe42e8362e222431
SHA1: ac3776473f8aef02f60f5146ad7d32861503dced
MD5sum: a532e9fc6e151c1fae605a3ba08a7837
Description: lightweight HTTP server for static content
 This package provides a simple web server for mostly static content,
 with limited CGI support (GET requests only).
 .
 Example uses include giving HTTP access to the contents of an FTP
 site, or exporting some files by quickly starting a web server
 without any need to edit configuration files first.

Package: webgen0.4
Version: 0.4.7-8
Installed-Size: 701
Maintainer: Arnaud Cornet 
Architecture: all
Depends: ruby1.8, ruby-cmdparse, rdoc
Recommends: ruby-redcloth, ruby-rmagick, libexif-ruby, ruby-bluecloth, ruby-builder, webgen0.4-doc
Conflicts: webgen (<= 0.3.8-3), webgen0.5 (<= 0.5.13+dfsg-1)
Breaks: webgen (<= 0.3.8-3), webgen0.5 (<= 0.5.13+dfsg-1)
Size: 398094
SHA256: 1710b81117eb06f56ddf7575435deefbde5a2fa460cdd2953643273ba427101f
SHA1: 488a750b28ad88d1340a6c040874f5ef62d03b22
MD5sum: 5a321d7ae55b1d16354880556f7abdf0
Description: template based static website generator
 Webgen is used to generate web pages from page description and template files.
 You create one template file in which you define the layout of your page and
 where the content should go. After that you can create page description files
 in which you only define the content.
 .
 This package contains the 0.4 series of webgen releases. The most
 recent can be found in the webgen0.5 package.
Homepage: http://webgen.rubyforge.org/
Ruby-Versions: ruby1.8
Tag: devel::web, implemented-in::ruby, interface::commandline, role::program,
 works-with::text
Section: web
Priority: optional
Filename: pool/main/w/webgen0.4/webgen0.4_0.4.7-8_all.deb

Package: webgen0.4-doc
Source: webgen0.4
Version: 0.4.7-8
Installed-Size: 4521
Maintainer: Arnaud Cornet 
Architecture: all
Size: 3048766
SHA256: b9ca57b11e368200a8eb3f41375ca4837b925c4c9529282e574748d4bc95a9c5
SHA1: 4ce57511bf63f2fc061d6a0862fc33d03b595f4b
MD5sum: 603aa3add5b79835f171e8bb689d1b3f
Description: template based static website generator
 Webgen is used to generate web pages from page description and template files.
 You create one template file in which you define the layout of your page and
 where the content should go. After that you can create page description files
 in which you only define the content.
 .
 This package contains webgen HTML documentation, also found on webgen's
 website.
Homepage: http://webgen.rubyforge.org/
Tag: devel::doc, role::documentation
Section: doc
Priority: optional
Filename: pool/main/w/webgen0.4/webgen0.4-doc_0.4.7-8_all.deb

Package: webgen0.5
Version: 0.5.14+dfsg1-3
Installed-Size: 547
Maintainer: Marc Dequènes (Duck) 
Architecture: all
Depends: ruby | ruby-interpreter, ruby-cmdparse, ruby-maruku
Recommends: ruby-redcloth | ruby-bluecloth, ruby-rmagick, ruby-kramdown
Suggests: webgen0.5-doc, ruby-exif, ruby-builder, rake, ruby-haml, ruby-erubis
Conflicts: webgen (<= 0.3.8-3), webgen0.4 (<= 0.4.7-4)
Breaks: webgen (<= 0.3.8-3), webgen0.4 (<= 0.4.7-4)
Size: 162952
SHA256: a9d4908ef365925e7e04733bdd9c5a977f60d777a7734b52559b439721961a5d
SHA1: fb4c1e06375f047325177c7352d07dda2be07456
MD5sum: 81cec713f4e56bf536b1f7e534fd784b
Description: fast, powerful, and extensible static website generator
 webgen is used to generate static websites from templates and content
 files (which can be written in a markup language). It can generate
 dynamic content like menus on the fly and comes with many powerful
 extensions.
Homepage: http://webgen.rubyforge.org
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: devel::web, implemented-in::ruby, role::program
Section: web
Priority: optional
Filename: pool/main/w/webgen0.5/webgen0.5_0.5.14+dfsg1-3_all.deb

Package: webgen0.5-doc
Source: webgen0.5
Version: 0.5.14+dfsg1-3
Installed-Size: 2805
Maintainer: Marc Dequènes (Duck) 
Architecture: all
Depends: libjs-jquery
Suggests: doc-base
Size: 278978
SHA256: 255119d1b5ae0d8497e374b50d22252b77aed88eefe1024eaf98a3eb09debf9e
SHA1: e4b1fbd7dc0381a66d02ec0028295644b5efbbe6
MD5sum: a11904d261ae9af43c47e0430fd35158
Description: fast, powerful, and extensible static website generator -- API documentation
 webgen is used to generate static websites from templates and content
 files (which can be written in a markup language). It can generate
 dynamic content like menus on the fly and comes with many powerful
 extensions.
 .
 This is the Rdoc-generated documentation for Webgen.
Homepage: http://webgen.rubyforge.org
Tag: devel::doc, devel::web, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/w/webgen0.5/webgen0.5-doc_0.5.14+dfsg1-3_all.deb

Package: webhttrack
Source: httrack
Version: 3.46.1-1
Architecture: armhf
Maintainer: Xavier Roche 
Installed-Size: 151
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libhttrack2, zlib1g (>= 1:1.1.4), webhttrack-common, iceape-browser | iceweasel | icecat | mozilla | firefox | mozilla-firefox | www-browser
Suggests: httrack, httrack-doc
Enhances: httrack
Replaces: webhttrack-common (<< 3.43.9-2)
Homepage: http://www.httrack.com
Priority: optional
Section: web
Filename: pool/main/h/httrack/webhttrack_3.46.1-1_armhf.deb
Size: 60742
SHA256: e51f257b44e8d8fcd216b81aeac736230e7640b3e9832089bef7c8871104f9b9
SHA1: b68fc72d85d7485448d8092f6afdcf7c06575f4f
MD5sum: ae5ff222a44974c81f7863a0e6ed69db
Description: Copy websites to your computer, httrack with a Web interface
 WebHTTrack is an offline browser utility, allowing you to download a World
 Wide website from the Internet to a local directory, building recursively
 all directories, getting html, images, and other files from the server to
 your computer, using a step-by-step web interface.
 .
 WebHTTrack arranges the original site's relative link-structure. Simply
 open a page of the "mirrored" website in your browser, and you can
 browse the site from link to link, as if you were viewing it online.
 HTTrack can also update an existing mirrored site, and resume
 interrupted downloads. WebHTTrack is fully configurable, and has an
 integrated help system.
 .
  Snapshots: http://www.httrack.com/page/21/

Package: webhttrack-common
Source: httrack
Version: 3.46.1-1
Installed-Size: 1240
Maintainer: Xavier Roche 
Architecture: all
Size: 396062
SHA256: dc187848bc97f16b1ffe0131f0779bfa21b309c87a7837d17d816cba814e53b0
SHA1: f4d9510f3f319d6564da0b0bf21d42b618b30b79
MD5sum: ff44888757c0e91b720398d9f0df60dd
Description: webhttrack common files
 This package is the common files of webhttrack, website copier and
 mirroring utility
Homepage: http://www.httrack.com
Tag: role::app-data
Section: web
Priority: optional
Filename: pool/main/h/httrack/webhttrack-common_3.46.1-1_all.deb

Package: webissues
Version: 1.0.2-1
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 4830
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-sql (>= 4:4.6.1), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.7.0~beta1), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0)
Suggests: webissues-server
Homepage: http://webissues.mimec.org/
Priority: optional
Section: x11
Filename: pool/main/w/webissues/webissues_1.0.2-1_armhf.deb
Size: 2491924
SHA256: 4056d9d6cd74cccb6764d4239b72adb09489a5f4bf9201746e5375b0ba197d52
SHA1: cc975ade23c192b4d34c4440e284408a69391f3a
MD5sum: 379916f36b54c70fd1cfc2c32b256de7
Description: network system supporting team collaboration
 Webissues is a system supporting team collaboration
 accross the Internet. It can be used for storing bugs
 and other information with customizable attributes,
 comments and file attachements.

Package: webissues-dbg
Source: webissues
Version: 1.0.2-1
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 21836
Depends: webissues (= 1.0.2-1)
Homepage: http://webissues.mimec.org/
Priority: extra
Section: debug
Filename: pool/main/w/webissues/webissues-dbg_1.0.2-1_armhf.deb
Size: 9038748
SHA256: 3c25b0a93d9cec1d3f1b72738cdc2658a8c213a7a03dc1407bbfd0c39fb8555c
SHA1: b94e822a026fe20b47cb7bed4a35c22d1d910773
MD5sum: c10c338f4a76686d2ecb326889d189dd
Description: network system supporting team collaboration (dbg symbols)
 Webissues is a system supporting team collaboration
 accross the Internet. It can be used for storing bugs
 and other information with customizable attributes,
 comments and file attachements.
 .
 This package contains the debugging symbols for webissues.

Package: webissues-server
Version: 0.8.5-3
Installed-Size: 524
Maintainer: Debian QA Group 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, dbconfig-common, php5, php5-mysql | php5-pgsql
Recommends: mysql-server | postgresql
Size: 64300
SHA256: 3081df3f766fb153027eca052394cb192344d559af33d7cd971f4b0b1421afe0
SHA1: 2732bc44b231cf842be38e43c50ab7ca44ddda3e
MD5sum: 55419d1a98fbdc9f8b789c6147fc7905
Description: a network system supporting team collaboration (server)
 Webissues is a system supporting team collaboration
 accross the Internet. It can be used for storing bugs
 and other information with customizable attributes,
 comments and file attachements.
 .
 This package contains the server files.
Homepage: http://webissues.mimec.org/
Tag: devel::bugtracker, implemented-in::php, role::program
Section: web
Priority: optional
Filename: pool/main/w/webissues-server/webissues-server_0.8.5-3_all.deb

Package: webkit-image-gtk
Source: webkit-image
Version: 0.0.svn25399-3
Architecture: armhf
Maintainer: David Paleino 
Installed-Size: 44
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.20.0), libjavascriptcoregtk-1.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libwebkitgtk-1.0-0 (>= 1.3.10)
Priority: optional
Section: utils
Filename: pool/main/w/webkit-image/webkit-image-gtk_0.0.svn25399-3_armhf.deb
Size: 5518
SHA256: 576dcc495088679fe1cf282a54d4bd3f19e654ab5651b5d72c16f17ca65f9323
SHA1: 3366e551f601b3408daeb118b41b27837610073d
MD5sum: d93a252bf1840c8ec0268c3844dbd04f
Description: generate images from webpages - GTK+ version
 webkit-image is a small tool that lets you generate images
 from web page snapshots.
 .
 This package contains the GTK+ version.

Package: webkit-image-qt
Source: webkit-image
Version: 0.0.svn25399-3
Architecture: armhf
Maintainer: David Paleino 
Installed-Size: 55
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.3.0)
Priority: optional
Section: utils
Filename: pool/main/w/webkit-image/webkit-image-qt_0.0.svn25399-3_armhf.deb
Size: 10864
SHA256: 74fbab39b660018b87aca7759e7572118be2954430ca63d30b95991971df0d4b
SHA1: f6d25beb86b6ae8a961d85c2e61f8a6cd771d488
MD5sum: 22154b697f2b5af37748fe89a2990c80
Description: generate images from webpages - Qt version
 webkit-image is a small tool that lets you generate images
 from web page snapshots.
 .
 This package contains the Qt version.

Package: webkit2pdf
Version: 0.2-4
Architecture: armhf
Maintainer: Ricardo Mones 
Installed-Size: 97
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.12.0), libjavascriptcoregtk-1.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libpoppler-glib8 (>= 0.18), libsoup2.4-1 (>= 2.4.0), libwebkitgtk-1.0-0 (>= 1.3.10)
Homepage: http://webkit2pdf.sourceforge.net/
Priority: optional
Section: web
Filename: pool/main/w/webkit2pdf/webkit2pdf_0.2-4_armhf.deb
Size: 19576
SHA256: b27fcd7e83e1f8eb63432caba83f3722c7ec8a5f71daf1147a8d5a93a61a0b46
SHA1: 68b220c3341f2cd3a1f5213ddc29ac9ae78fc3ec
MD5sum: 405bd38add7b8d0bd604f33b822595a8
Description: export web pages to PDF files or printer
 Webkit2pdf is a little GTK+ tool designed to fetch web pages and
 export them to numbered PDF files (or to print them directly).
 .
 Despite being small it sports a minimalistic GUI for previewing
 the final result before exporting or printing. It can also work
 without GUI if the right parameters are supplied on invokation.
 .
 Specifying paper size and output directory is also supported.

Package: weblint-perl
Source: libhtml-lint-perl
Version: 2.20+dfsg-1
Installed-Size: 57
Maintainer: Debian Perl Group 
Architecture: all
Replaces: weblint
Provides: weblint
Depends: perl, libhtml-lint-perl
Breaks: weblint
Size: 14476
SHA256: b3173a7d46fc63499fdd5fa93b1f6327fae77744d2a5490eb2d1cf9a11affa55
SHA1: 5a895dae331d2b81e3dce10a557e515f1ac60ed1
MD5sum: 2454c630880f843da5c2046cfea5c5fb
Description: syntax and minimal style checker for HTML
 weblint is a perl script which picks fluff off html pages, much in the same
 way traditional lint picks fluff off C programs.
 .
 The script is a complete rewrite of Neil Bowers' original weblint script.
 It currently supports HTML 4.0 (and only HTML 4.0).
Homepage: http://search.cpan.org/dist/HTML-Lint/
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility, use::checking, works-with-format::html,
 works-with::text
Section: perl
Priority: optional
Filename: pool/main/libh/libhtml-lint-perl/weblint-perl_2.20+dfsg-1_all.deb

Package: webmagick
Version: 2.02-11
Installed-Size: 821
Maintainer: Jotam Jr. Trejo 
Architecture: all
Depends: dpkg (>= 1.15.4) | install-info, graphicsmagick, libgraphics-magick-perl, ghostscript, gsfonts
Size: 367908
SHA256: 77ad0b3f870321410cbb61cee7632cfd739497104fc97f9845f162339f0d621a
SHA1: 5c6d1165375266d58a853829b49ab1728ce04f98
MD5sum: 75a3b5e5e5786027c5a8144864d168a0
Description: create gallery thumbnails for website
 WebMagick provides a means of easily putting image collections on the
 Web. It recurses through directory trees, building HTML pages and
 imagemap (GIF or JPEG) files to allow the user to navigate through
 collections of thumbnail images (somewhat similar to 'xv') and select
 the images to view with a mouse click.
Homepage: http://sourceforge.net/projects/webmagick/
Tag: implemented-in::perl, role::program, scope::utility, use::browsing,
 use::organizing, works-with-format::html, works-with::image,
 works-with::image:raster, works-with::text
Section: web
Priority: optional
Filename: pool/main/w/webmagick/webmagick_2.02-11_all.deb

Package: weboob
Version: 0.c-4.1
Installed-Size: 822
Maintainer: Romain Bignon 
Architecture: all
Replaces: boobank (<< 0.a-2), boobmsg (<< 0.a-2), chatoob (<< 0.a-2), galleroob (<< 0.a-2), geolooc (<< 0.a-2), havesex (<< 0.a-2), monboob (<< 0.a-2), pastoob (<< 0.a-2), radioob (<< 0.a-2), traveloob (<< 0.a-2), videoob (<< 0.a-2), webcontentedit (<< 0.a-2), weboorrents (<< 0.a-2), wetboobs (<< 0.a-2)
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-weboob-core (>= 0.c-4.1), python-html2text, python-prettytable
Breaks: boobank (<< 0.a-2), boobmsg (<< 0.a-2), chatoob (<< 0.a-2), galleroob (<< 0.a-2), geolooc (<< 0.a-2), havesex (<< 0.a-2), monboob (<< 0.a-2), pastoob (<< 0.a-2), radioob (<< 0.a-2), traveloob (<< 0.a-2), videoob (<< 0.a-2), webcontentedit (<< 0.a-2), weboorrents (<< 0.a-2), wetboobs (<< 0.a-2)
Size: 242538
SHA256: 47bc9fec64a52ac7e39805419ad06d2485bcabe48b2073de798f35753f80c41a
SHA1: 93d0fc3db79d3dad1245c00d0d1a08cb0536fb77
MD5sum: 01fd4ee1ff453fdbfe995183c8caa608
Description: CLI applications to interact with websites
 This package contains command-line applications including:
  * boobank: Bank accounts management
  * boobathon: Application to participate to a boobathon
  * boobill: Application allowing to get and download bills
  * boobmsg: Read and post messages on websites from console
  * boobooks: List your books rented or booked at the library
  * boobtracker: Search and download torrents
  * comparoob: Compare prices of products.
  * flatboob: Look for a house
  * galleroob: Galleries search tool
  * geolooc: Geolocalize IP addresses from console
  * havedate: Increase your success on dating websites
  * monboob: Email proxy for websites containing messages
  * pastoob: Paste long text and see pastes
  * radioob: Radio search and listening tool
  * translaboob: Translate functions.
  * traveloob: Travel search tool
  * videoob: Video search tool
  * webcontentedit: Edit website contents from the command line
  * weboorrents: Torrent search tool
  * wetboobs: Weather forecast search tool
Homepage: http://www.weboob.org/
Section: python
Priority: optional
Filename: pool/main/w/weboob/weboob_0.c-4.1_all.deb

Package: weboob-qt
Source: weboob
Version: 0.c-4.1
Installed-Size: 624
Maintainer: Romain Bignon 
Architecture: all
Replaces: python-weboob-qt (<< 0.a-2), qboobmsg (<< 0.a-2), qhavesex (<< 0.a-2), qvideoob (<< 0.a-2), qwebcontentedit (<< 0.a-2)
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-weboob-core (>= 0.c-4.1), python-qt4-phonon
Breaks: python-weboob-qt (<< 0.a-2), qboobmsg (<< 0.a-2), qhavesex (<< 0.a-2), qvideoob (<< 0.a-2), qwebcontentedit (<< 0.a-2)
Size: 123984
SHA256: 8bc6a139a0dd249a957d5703615eddd8d07002cb3ff7c34b46ab3f6da7d229a5
SHA1: 869d6238473ba73cb0e3cc3d7325d68676b5b3b4
MD5sum: 26b5eab77eeaa0461300d847d3f6cb8f
Description: Qt applications to interact with websites
 This package contains Qt applications including:
  * qboobmsg: Read and post messages on websites
  * qflatboob: Look for a house
  * qhavedate: Increase your success on dating websites
  * qvideoob: Video search tool
  * qwebcontentedit: Edit website contents
Homepage: http://www.weboob.org/
Section: python
Priority: optional
Filename: pool/main/w/weboob/weboob-qt_0.c-4.1_all.deb

Package: weborf
Version: 0.13-3
Architecture: armhf
Maintainer: Salvo 'LtWorf' Tomaselli 
Installed-Size: 121
Depends: libc6 (>= 2.13-28), libmagic1
Suggests: php5-cgi (>= 5.2.11.dfsg.1)
Homepage: http://galileo.dmi.unict.it/wiki/weborf/
Priority: optional
Section: httpd
Filename: pool/main/w/weborf/weborf_0.13-3_armhf.deb
Size: 37252
SHA256: 4c70afb41639bb0dcd7c6ea9bf2891c5f1f3d206fa5af6f53722f86a1e5d5b74
SHA1: 53c9e299d9171a5d080b18ddd9747d54d4c34319
MD5sum: 23b73e71e5b036607ef325c7cac0e780
Description: Fast and small webserver meant to be run without root privileges
 Weborf is a configurationless webserver mainly meant to allow users to
 easily share their directories over the web.
 Has limited support to webdav, allowing its use by GNOME and KDE filemanagers.
 It also supports cgi.

Package: weborf-daemon
Source: weborf
Version: 0.13-3
Installed-Size: 56
Maintainer: Salvo 'LtWorf' Tomaselli 
Architecture: all
Depends: weborf (>= 0.13)
Size: 11438
SHA256: 64e7b26fafec763faa8cb3288daad007aa86401bdb6f1d0ac9b90ca12a1565d6
SHA1: 5999b6caf2b04a943e607436f1c91049badd31a8
MD5sum: f0ae562dd6ab2ae42acdf253425346e8
Description: init script for weborf
 Weborf is a configurationless webserver mainly meant to allow users to
 easily share their directories over the web.
 Has limited support to webdav, allowing its use by GNOME and KDE filemanagers.
 It also supports cgi.
 .
 This package provides a SystemV daemon to start weborf using init.
Homepage: http://galileo.dmi.unict.it/wiki/weborf/
Section: httpd
Priority: optional
Filename: pool/main/w/weborf/weborf-daemon_0.13-3_all.deb

Package: webp
Source: libwebp
Version: 0.1.3-3+nmu1
Architecture: armhf
Maintainer: Jeff Breidenbach 
Installed-Size: 84
Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libwebp2
Homepage: http://webp.googlecode.com
Priority: extra
Section: graphics
Filename: pool/main/libw/libwebp/webp_0.1.3-3+nmu1_armhf.deb
Size: 27742
SHA256: a8a079e840c6d87e159cb515fc09dd5fc128d391906fed2d3bc6587c179c0ed5
SHA1: 3eed789d08aedb5637aee7211a83c5ba6fa11a5f
MD5sum: cdf4854199a212945472087aa6904aed
Description: Lossy compression of digital photographic images.
 Image Compression format, based on the VP8 codec.
 WebP uses the modern VP8 compression format to deliver efficient
 compression of images for the web. More than 30% extra gain over
 optimized JPEG, for same quality, is not unusual.

Package: websimba
Source: simba
Version: 0.8.4-4.2
Installed-Size: 117
Maintainer: Radu Spineanu 
Architecture: all
Depends: apache | apache-ssl | apache-perl | apache2, wwwconfig-common, debconf (>= 0.5) | debconf-2.0, simba (= 0.8.4-4.2)
Size: 17220
SHA256: 28a460ca5b70e1f8f851b58f18f411eb1d940f0f5a763a528359152352e6df62
SHA1: 3c1ce4b84f442b49b9facf5c4b362f7672fa523c
MD5sum: ee939d4f6849b318bccfe28e204a26a1
Description: web interface for simba
 This package contains the web interface for simba.
 .
 Simba was created to be _the_ mirroring tool, to get more control
 over the mirrored content and (most importantly) more control over
 the reports you can generate using the mirrored content data.
Homepage: http://simba.packages.ro/
Section: perl
Priority: optional
Filename: pool/main/s/simba/websimba_0.8.4-4.2_all.deb

Package: websvn
Version: 2.3.3-1.1+deb7u3
Installed-Size: 910
Maintainer: Pierre Chifflier 
Architecture: all
Depends: apache2 | httpd, libapache2-mod-php5 | php5 | php5-cgi | libapache2-mod-php4 | php4 (>= 4.1.0) | php4-cgi, subversion, debconf (>= 0.5) | debconf-2.0, po-debconf, ucf (>= 0.8), php-geshi
Size: 268278
SHA256: 240ea7b92ff2be81b73afd0c9d06dffff4653d711ba0f97c10b9a395e3874fdf
SHA1: fbd4622ae8388baee34420df7f9fdd8f9a88165a
MD5sum: b534dfe5aab368d30b11e482ac803710
Description: interface for Subversion repositories written in PHP
 WebSVN is a set of PHP scripts that provides remote access to Subversion
 repositories. It supports several repositories, can be customized, supports
 Apache MultiViews, and can provide RSS feeds.
Homepage: http://websvn.tigris.org/
Recommends: enscript
Section: devel
Priority: optional
Filename: pool/main/w/websvn/websvn_2.3.3-1.1+deb7u3_all.deb

Package: weechat
Version: 0.3.8-1+deb7u3
Installed-Size: 56
Maintainer: Emmanuel Bouthenot 
Architecture: all
Depends: weechat-curses (>= 0.3.8-1+deb7u3)
Suggests: weechat-doc (= 0.3.8-1+deb7u3)
Size: 33314
SHA256: 587818d35ae13bcbd460c2f9f4730561d031899f3d0402befd754085863cc4be
SHA1: 4d68a390224e63f1ff09b80af7bf2b994d6ab7e6
MD5sum: 824233b46ddf1f1fd0d0917854a8030f
Description: Fast, light and extensible chat client
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 It is customizable and extensible with plugins/scripts, and includes:
  - nicklist
  - smart hotlist
  - horizontal and vertical split
  - double charset support (decode/encode)
  - FIFO pipe for remote control
  - 256 colors support
  - incremental text search
  - dynamic filtering of buffer content
  - Perl, Python, Ruby, Lua, Tcl and Scheme scripting
  - spell checking
  - highly customizable and extensible
  - and much more!
Homepage: http://weechat.org/
Section: net
Priority: optional
Filename: pool/main/w/weechat/weechat_0.3.8-1+deb7u3_all.deb

Package: weechat-core
Source: weechat
Version: 0.3.8-1+deb7u3
Architecture: armhf
Maintainer: Emmanuel Bouthenot 
Installed-Size: 2596
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0)
Suggests: weechat-doc (= 0.3.8-1+deb7u3)
Replaces: weechat-common, weechat-plugins (<< 0.3.0)
Homepage: http://weechat.org/
Priority: optional
Section: net
Filename: pool/main/w/weechat/weechat-core_0.3.8-1+deb7u3_armhf.deb
Size: 915988
SHA256: 45b19e8fa279d8b49deee09777fe9129602f7a6538096c21b995918f6dc87a80
SHA1: 38a41c593c68131f37564bbbef2388b7ccfee2e6
MD5sum: ab17982a275fc0f7c40437a9fcaf1338
Description: Fast, light and extensible chat client - core files
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package provides core plugins and locales files for WeeChat. It
 currently ships the following plugins: alias, xfer, irc, charset and
 logger. It is useless without weechat-curses.

Package: weechat-curses
Source: weechat
Version: 0.3.8-1+deb7u3
Architecture: armhf
Maintainer: Emmanuel Bouthenot 
Installed-Size: 615
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libncursesw5 (>= 5.6+20070908), libtinfo5, weechat-core (= 0.3.8-1+deb7u3)
Recommends: weechat-plugins (= 0.3.8-1+deb7u3)
Suggests: weechat-doc (= 0.3.8-1+deb7u3)
Conflicts: weechat-common
Breaks: weechat-scripts (<= 20090221-1)
Homepage: http://weechat.org/
Priority: optional
Section: net
Filename: pool/main/w/weechat/weechat-curses_0.3.8-1+deb7u3_armhf.deb
Size: 301550
SHA256: 223686d2a4a7abca75584888a70ffe45191c719e715648602a4ad66d813530ee
SHA1: f04070f87cb621aec7ca2c21539617cbd9502705
MD5sum: 3455ce7689ef91a189ec4763849d909b
Description: Fast, light and extensible chat client - console client
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 It is customizable and extensible with plugins/scripts, and includes:
  - nicklist
  - smart hotlist
  - horizontal and vertical split
  - double charset support (decode/encode)
  - FIFO pipe for remote control
  - 256 colors support
  - incremental text search
  - dynamic filtering of buffer content
  - Perl, Python, Ruby, Lua, Tcl and Scheme scripting
  - spell checking
  - highly customizable and extensible
  - and much more!
 .
 This package provides the console client (ncurses).

Package: weechat-dbg
Source: weechat
Version: 0.3.8-1+deb7u3
Architecture: armhf
Maintainer: Emmanuel Bouthenot 
Installed-Size: 4949
Depends: weechat-curses (= 0.3.8-1+deb7u3) | weechat-core (= 0.3.8-1+deb7u3), weechat-plugins (= 0.3.8-1+deb7u3)
Homepage: http://weechat.org/
Priority: extra
Section: debug
Filename: pool/main/w/weechat/weechat-dbg_0.3.8-1+deb7u3_armhf.deb
Size: 1909774
SHA256: 04fd0e9f5b5f4131c51e523d2d9b1c749f48b58b37b200044ec9b42d57039cc0
SHA1: ba3bae334c5e7f8b01c6079ee57d40521165f599
MD5sum: 3c46465e5d4345e162518ef907badea7
Description: Fast, light and extensible chat client - debugging symbols
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package contains gdb debugging symbols for the WeeChat packages.

Package: weechat-dev
Source: weechat
Version: 0.3.8-1+deb7u3
Installed-Size: 171
Maintainer: Emmanuel Bouthenot 
Architecture: all
Size: 47354
SHA256: fa3bf20850a90650b365a3438501193f42a6d9f129ce50bd563a117983a3b948
SHA1: a7ecb7d0ff0d0c08b06b2ca0ea1a00ad998c82dd
MD5sum: 55ca3e59bbb981d9d0ae3b4fb70a86a4
Description: Fast, light and extensible chat client - developement headers
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package contains the headers needed to build plugins.
Homepage: http://weechat.org/
Section: devel
Priority: optional
Filename: pool/main/w/weechat/weechat-dev_0.3.8-1+deb7u3_all.deb

Package: weechat-doc
Source: weechat
Version: 0.3.8-1+deb7u3
Installed-Size: 7374
Maintainer: Emmanuel Bouthenot 
Architecture: all
Size: 830252
SHA256: 03a8f7e2ace7ff0fbcdbd9a3a8083231bab812b255f7cf1037cbe12bc64a1859
SHA1: 47c5816bbdf21f0728de264ef0b49999cc6c92b1
MD5sum: 50e484b6d4f9cfeb109876990d22ea51
Description: Fast, light and extensible chat client - documentation
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package contains the html documentation for WeeChat.
Homepage: http://weechat.org/
Section: doc
Priority: optional
Filename: pool/main/w/weechat/weechat-doc_0.3.8-1+deb7u3_all.deb

Package: weechat-plugins
Source: weechat
Version: 0.3.8-1+deb7u3
Architecture: armhf
Maintainer: Emmanuel Bouthenot 
Installed-Size: 1394
Depends: guile-1.8-libs, libaspell15 (>= 0.60.7~20110707), libc6 (>= 2.13-28), liblua5.1-0, libperl5.14 (>= 5.14.2), libpython2.7 (>= 2.7), libruby1.9.1 (>= 1.9.2.0), tcl8.5 (>= 8.5.0), zlib1g (>= 1:1.2.0), weechat-curses (= 0.3.8-1+deb7u3)
Suggests: weechat-scripts (>> 20090221-1)
Homepage: http://weechat.org/
Priority: optional
Section: net
Filename: pool/main/w/weechat/weechat-plugins_0.3.8-1+deb7u3_armhf.deb
Size: 445720
SHA256: 8bb677933e0efe31194438f63636f3faf0a128d56aee5fa2be5f3b9fe48d1b44
SHA1: 404b9c43fc7790cd2c4a90b04435856745a20c77
MD5sum: f9e4551e15296b93ea8ea1f8e0b953fd
Description: Fast, light and extensible chat client - plugins
 WeeChat (Wee Enhanced Environment for Chat) is a fast and light chat client
 for many operating systems. Everything can be done with a keyboard.
 .
 This package provides some plugins to enhance WeeChat. It currently
 ships the following plugins:
  - Perl, Python, Ruby, Lua, Tcl and Scheme scripting
  - Spell checking (thanks to aspell)
  - FIFO pipe for remote control
  - IRC proxy
  - Realtime input/output changes through regular expressions

Package: weechat-scripts
Version: 20120603-1
Installed-Size: 2278
Maintainer: Emmanuel Bouthenot 
Architecture: all
Depends: weechat-plugins (>= 0.3.6), base-files (>= 4.0.1)
Suggests: fortune-mod, esound-clients
Size: 556146
SHA256: e318a46bade40b3a95723d0dc531519d1ff8bc77e35f11d2dce6ca56e1d1c8c6
SHA1: 145b03c09146ed3855737a022b20ce365a7e7964
MD5sum: caaa0cd6abc67433f505592602abd3dc
Description: script collection for the WeeChat IRC client
 This is a collection of scripts to use with the WeeChat IRC client. It is
 useful if you want to enhance its functionnalities. It includes scripts written
 in all languages supported by weechat.
 .
 These scripts can be downloaded individually at
 http://weechat.flashtux.org/plugins.php
Homepage: http://weechat.flashtux.org/plugins.php
Tag: role::app-data
Section: net
Priority: extra
Filename: pool/main/w/weechat-scripts/weechat-scripts_20120603-1_all.deb

Package: weex
Version: 2.6.1-8
Architecture: armhf
Maintainer: Ludovic Drolez 
Installed-Size: 232
Depends: libc6 (>= 2.13-28)
Priority: optional
Section: net
Filename: pool/main/w/weex/weex_2.6.1-8_armhf.deb
Size: 86698
SHA256: 29d4d82c891bd59568d798d5cf846a59e8355c54f89fe508e8c45775545fccfb
SHA1: 9a1420b96be15cd82bd39aa982c78992e458938c
MD5sum: 455f74f0445efe0ee1fd89e00dce07d5
Description: Non-interactive FTP client for updating web pages
 Weex is an utility designed to automate the task
 of remotely maintaining a web page or other FTP archive.
 With weex, the maintainer of a web site or archive that must
 be administered through FTP interaction can largely ignore
 that process.
 The archive administrator simply creates a local directory
 that serves as an exact model for the off-site data.
 All modifications and direct interaction is done locally to
 this directory structure. When the administrator wishes to
 coordinate the data on the remote site with that of the
 local model directory, simply executing weex accomplishes
 this in the most bandwidth-efficient fashion by only
 transferring files that need updating. The program will
 create or remove (!) files or directories as necessary to
 accurately establish the local model on the remote server.

Package: weirdx
Version: 1.0.32-6
Installed-Size: 502
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: default-jre | java6-runtime, jarwrapper (>= 0.5)
Suggests: libjsch-java, libesd-java
Size: 283118
SHA256: 3c7993de19844f6243dfd3a36cba73ae7b073ef5796a811e5dafa7828f2ec43e
SHA1: 406fa8368f26212ea6bef530b3a10070a32c763f
MD5sum: 49ef3a83d34b9862d0e7b3b1677b7ec0
Description: X server in Java
 A complete X server implementation in Java which can run standalone or as an
 applet. It supports remote X and (using libjsch-java) X forwarded over SSH.
 .
 Also includes a library for embedding WeirdX in other Java programs or
 Applets.
Homepage: http://www.jcraft.com/weirdx/
Tag: devel::lang:java, implemented-in::java, interface::x11, role::program,
 x11::xserver
Section: misc
Priority: optional
Filename: pool/main/w/weirdx/weirdx_1.0.32-6_all.deb

Package: weka
Version: 3.6.6-1
Installed-Size: 7866
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: default-jre | openjdk-6-jre | sun-java6-jre, java-wrappers, cup (>= 0.11a+20060608)
Size: 7146044
SHA256: deefab584d39d2e2b0e102a766a2989dd20b559fa5f564fce8cd360a442e44ea
SHA1: 151e7c16a12245c638ff7e9e59a64608d84e7f17
MD5sum: 2ca652dba64339900d1ff006899da695
Description: Machine learning algorithms for data mining tasks
 Weka is a collection of machine learning algorithms in Java that can
 either be used from the command-line, or called from your own Java
 code. Weka is also ideally suited for developing new machine learning
 schemes.
 .
 Implemented schemes cover decision tree inducers, rule learners, model
 tree generators, support vector machines, locally weighted regression,
 instance-based learning, bagging, boosting, and stacking. Also included
 are clustering methods, and an association rule learner. Apart from
 actual learning schemes, Weka also contains a large variety of tools
 that can be used for pre-processing datasets.
 .
 This package contains the binaries and examples.
Homepage: http://www.cs.waikato.ac.nz/~ml/weka/
Tag: field::statistics, implemented-in::java, interface::commandline,
 interface::x11, role::program, science::calculation, scope::utility,
 use::analysing, use::calculating, works-with::db, works-with::text,
 x11::application
Section: science
Priority: optional
Filename: pool/main/w/weka/weka_3.6.6-1_all.deb

Package: weka-doc
Source: weka
Version: 3.6.6-1
Installed-Size: 42867
Maintainer: Debian Java Maintainers 
Architecture: all
Recommends: weka
Size: 6898502
SHA256: 10d4683c2f6eb0da92bd1345373c65697af9654ce4fa0e822fd36c7b02a097ad
SHA1: f0a928352d710239cd1815c657e22752b23400e2
MD5sum: 6f9ecc142df70edf9fdcaba92d0f5de8
Description: Machine learning algorithms for data mining tasks
 Weka is a collection of machine learning algorithms in Java that can
 either be used from the command-line, or called from your own Java
 code. Weka is also ideally suited for developing new machine learning
 schemes.
 .
 Implemented schemes cover decision tree inducers, rule learners, model
 tree generators, support vector machines, locally weighted regression,
 instance-based learning, bagging, boosting, and stacking. Also included
 are clustering methods, and an association rule learner. Apart from
 actual learning schemes, Weka also contains a large variety of tools
 that can be used for pre-processing datasets.
 .
 This package contains the documentation.
Homepage: http://www.cs.waikato.ac.nz/~ml/weka/
Tag: devel::doc, devel::lang:java, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/w/weka/weka-doc_3.6.6-1_all.deb

Package: weplab
Version: 0.1.5-2
Architecture: armhf
Maintainer: Adam Cécile (Le_Vert) 
Installed-Size: 99
Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8)
Homepage: http://weplab.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/w/weplab/weplab_0.1.5-2_armhf.deb
Size: 42604
SHA256: 16ff97393e2dd8d8cac48f77799ce06a51073c68048eeff80ec86a520b3474b8
SHA1: d16f77e777e02f532435a0f45bd63d2f11b6063a
MD5sum: 739fe67137ae455061b4282794d79c95
Description: tool designed to break WEP keys
 WepLab is a tool designed to teach how WEP works, what different
 vulnerabilities it has, and how they can be used in practice to
 break a WEP protected wireless network.
 .
 WepLab can dump network traffic, analyse it or crack the WEP key.

Package: wesnoth
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 21
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10 (>= 1:1.10.3-3+deb7u2), wesnoth-1.10-data (= 1:1.10.3-3+deb7u2)
Size: 1280
SHA256: f8d488a229d6884987dca3b32bf52e26b7405d2503a12fda00f3483d13aeded2
SHA1: 1ed6222f6bd802fb3cd88f13731cdf95e28be263
MD5sum: 776e904deb66d112313d5a8da136e142
Description: fantasy turn-based strategy game - complete suite (metapackage)
 This metapackage pulls in the complete set of the latest stable version, most
 helpful if you always want to have all the official campaigns installed. If
 you only want to play over the network with other players or want to play only
 a few campaigns you just need to install the wesnoth-core package and the
 wanted campaign packages.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: game::strategy, implemented-in::c++, interface::x11, network::client,
 role::program, uitoolkit::sdl, use::gameplaying, x11::application
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 29
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10.3-3+deb7u2), wesnoth-1.10-core (<< 1:1.10.3-3+deb7u2.~), wesnoth-1.10-data (= 1:1.10.3-3+deb7u2), wesnoth-1.10-httt (= 1:1.10.3-3+deb7u2), wesnoth-1.10-tsg (= 1:1.10.3-3+deb7u2), wesnoth-1.10-trow (= 1:1.10.3-3+deb7u2), wesnoth-1.10-ttb (= 1:1.10.3-3+deb7u2), wesnoth-1.10-ei (= 1:1.10.3-3+deb7u2), wesnoth-1.10-utbs (= 1:1.10.3-3+deb7u2), wesnoth-1.10-did (= 1:1.10.3-3+deb7u2), wesnoth-1.10-nr (= 1:1.10.3-3+deb7u2), wesnoth-1.10-sof (= 1:1.10.3-3+deb7u2), wesnoth-1.10-sotbe (= 1:1.10.3-3+deb7u2), wesnoth-1.10-l (= 1:1.10.3-3+deb7u2), wesnoth-1.10-aoi (= 1:1.10.3-3+deb7u2), wesnoth-1.10-thot (= 1:1.10.3-3+deb7u2), wesnoth-1.10-low (= 1:1.10.3-3+deb7u2), wesnoth-1.10-dm (= 1:1.10.3-3+deb7u2), wesnoth-1.10-dw (= 1:1.10.3-3+deb7u2)
Recommends: wesnoth-1.10-music (= 1:1.10.3-3+deb7u2)
Size: 1374
SHA256: 9c679e022ab365c9240aab42eaf82d9a0f36ae0043abf8a8262c9874c05aafe0
SHA1: d3d3d193d959ae7a5421a760cc3f241a557959c0
MD5sum: bbc978f0c5a2f1defad1400b7588c7e0
Description: fantasy turn-based strategy game - complete suite (branch 1.10)
 This package depends on the complete set of packages built from the wesnoth
 source package but the server (which can be found in the
 wesnoth-1.10-server package), most helpful if you always
 want to have all the official campaigns installed.  If you only want to play
 over the network with other players or want to play only a few campaigns you
 just need to install the wesnoth-1.10-core package and
 the wanted campaign packages.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-aoi
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 5274
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 3737496
SHA256: 84e9bb694ff639cc645453d8c10666fd1fb739693e207c0c43104db51814e27b
SHA1: 74375b23b527a53f2596aa65d608dd862d4c658e
MD5sum: d3fc34b6c3d048c1add46546725831d1
Description: "An Orcish Incursion" official campaign for Wesnoth (branch 1.10)
 This package contains the "An Orcish Incursion" campaign for Wesnoth:
 "Defend the forests of the elves against the first orcs to reach the Great
 Continent, learning valuable tactics as you do so."
 (Novice level, 7 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-aoi_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-core
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 11719
Depends: libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libfontconfig1 (>= 2.9.0), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgomp1 (>= 4.2.1), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.22.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-net1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libsm6, libstdc++6 (>= 4.6), libx11-6, libxext6, wesnoth-1.10-data (= 1:1.10.3-3+deb7u2)
Suggests: wesnoth
Homepage: http://wesnoth.org/
Priority: optional
Section: games
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-core_1.10.3-3+deb7u2_armhf.deb
Size: 4446260
SHA256: a60dcb967c4d59150aa2d057ead9a44590fc22111c6a80ff8399453b1ac80264
SHA1: 82449a1dfcf6d04c0029b8b4351f45c697b91751
MD5sum: a4f229266c892bfdaca60b0cc7ffc539
Description: fantasy turn-based strategy game (branch 1.10)
 This package contains the main program for wesnoth. It can be used to play
 multiplayer games.  If you want to play campaigns you will have to install
 them individually, but if you prefer to have all the official campaigns
 installed please be advised to install the wesnoth-1.10 package which depends
 on all of them.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.10-data
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 121558
Maintainer: Debian Games Team 
Architecture: all
Depends: ttf-dejavu
Recommends: fonts-droid
Suggests: wesnoth-1.10-music
Size: 100856512
SHA256: 12e3f10a797423f3388a1ae5c58aeed5e8cd197005613124c78638da14304b41
SHA1: 39dd6afc9160e49de7dd3b76f3d7b0a8523e2ada
MD5sum: cb66f050c24e6e15089d97fbf5601fe7
Description: data files for Wesnoth (branch 1.10)
 This package contains the sound files and graphics for Wesnoth. It is required
 for being able to play wesnoth or create maps with the editor.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-data_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-dbg
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 3975
Depends: wesnoth-1.10-core (= 1:1.10.3-3+deb7u2), wesnoth-1.10-data (= 1:1.10.3-3+deb7u2)
Homepage: http://wesnoth.org/
Priority: extra
Section: debug
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-dbg_1.10.3-3+deb7u2_armhf.deb
Size: 862212
SHA256: 0fea996ddf7b8163b6acd156a4d293da82155f8fda2ec49a80f1de899f67cc90
SHA1: 70e442358079589b9382bcf28d25dfe69b1f6939
MD5sum: b987acfc0229871dcbe2fd8703eb7955
Description: fantasy turn-based strategy game (debugging symbols for branch 1.10)
 This package contains the debugging symbols for the wesnoth binaries.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.10-did
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 7907
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 5937942
SHA256: 78c278dcb787fb8a3b073083a99024f1fd9791a31e8d71d436164f043118023c
SHA1: b96c10f2fa9ff2e9187ab5049e54c021d34c2b30
MD5sum: 186ffafea139009d6d934263ad126aad
Description: "Descent Into Darkness" official campaign for Wesnoth (branch 1.10)
 This package contains the "Descent Into Darkness" campaign for Wesnoth:
 "Learn the dark arts of necromancy in order to save your people from an orcish
 incursion."
 (Intermediate level, 12 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-did_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-dm
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 9189
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 6022650
SHA256: cb949e1f40d920c7f945bfcc8e54b81d9b85373cd3b1f400005b7fbdd5a9470e
SHA1: 9783e8868e11147f5ed9a514a041b082c04df988
MD5sum: 5b23e1b92d71edb1da6415c8efc0fba5
Description: "Delfador's Memoirs" official campaign for Wesnoth (branch 1.10)
 This package contains the "Delfador's Memoirs" campaign for Wesnoth:
 "Wesnoth seems to be slipping inexorably into chaos, as marauding orcs pour
 south across the Great River, and mysterious and deadly creatures roam the
 night. Who is the shadowy Iliah-Malal? Can you defeat him before he destroys
 all life in Wesnoth?"
 (Intermediate level, 19 scenarios)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-dm_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-dw
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 5871
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 4100070
SHA256: 0578aaadb8bdda438fd930d84649d7b93bb19ae733596413fdc2c8eda52e8841
SHA1: 31f093832a4171c2cc4bb22a400c6be6e9e3f5b7
MD5sum: ca56aa642ac7536b8d047ad89caa377b
Description: "Dead Water" official campaign for Wesnoth (branch 1.10)
 This package contains the "Dead Water" campaign for Wesnoth:
 "You are Kai Krellis, son and heir of the last merman king but only a child. A
 necromancer is turning your subjects into undead slaves! Lead your people on a
 mission to convince a powerful mer-sorceress to help you repel the invasion.
 The oceans near the Northern Lands are perilous, so you will need cunning and
 bravery to survive. But first you need to gain the respect of your troops!"
 (Intermediate level, 10 scenarios)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-dw_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-ei
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 7939
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 5139226
SHA256: 8590d07edc8513a5e3654bd3b404d5859d315e1555a732380c74989d1d355cf9
SHA1: b3ad239774a2128baaa391c4fbc6fdbc7bc0e177
MD5sum: 724b74dd82fbdb9e9f93a34c1eb188b1
Description: "The Eastern Invasion" official campaign for Wesnoth (branch 1.10)
 This package contains the "The Eastern Invasion" campaign for Wesnoth:
 "There are rumors of undead attacks on the eastern border of Wesnoth. You, an
 officer in the Royal Army, have been sent to the eastern front to protect the
 villagers and find out what is happening."
 (Intermediate level, 16 scenarios.)"
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-ei_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-httt
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 13706
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 7297468
SHA256: 7d9e92f7fb4228890f5834f3db5bcfc856bb5f24262ca380748871dd2d015c66
SHA1: e62654545fd8799edac5caa5999bead11eeaa9f1
MD5sum: 0bb0728446a35a17d733dd29a919b82d
Description: "Heir to the Throne" official campaign for Wesnoth (branch 1.10)
 This package contains the "Heir to the Throne" campaign for Wesnoth:
 "Fight to regain the throne of Wesnoth, of which you are the legitimate
 heir."
 (Novice level, 23 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-httt_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-l
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 8586
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 6395812
SHA256: 00366b2047fd936deb0a5b45b2cfd46435d88cb82c58e7b74c45cab0f0ba7835
SHA1: a3bc59f862aa58c4d937d3b50261ae026e663073
MD5sum: 9648c9fec9aa8e98eead9fa62eff93c1
Description: "Liberty" official campaign for Wesnoth (branch 1.10)
 This package contains the "Liberty" campaign for Wesnoth:
 "As the shadow of civil war lengthens across Wesnoth, a band of hardy
 marchlanders revolts against the tyranny of Queen Asheviere. To win their way
 to freedom, they must defeat not just the trained blades of Wesnothian troops
 but darker foes including orcs and undead."
 (Intermediate level, 8 scenarios)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-l_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-low
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 12400
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 9136240
SHA256: 568218ccbf0fe302cd68ff3466b484081b39bbaa948a502a79362778f867000d
SHA1: 8c8c4c8f3f313f6540373c903eeacd6b6a8c68cc
MD5sum: 79a97902363b3947c9c6ef3728b0bacd
Description: "Legend of Wesmere" official campaign for Wesnoth (branch 1.10)
 This package contains the "Legend of Wesmere" campaign for Wesnoth:
 "The tale of Kalenz, the High Lord who rallied his people after the second
 orcish invasion of the Great Continent and became the most renowned hero in
 the recorded history of the Elves."
 (Intermediate level, 18 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-low_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-music
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 141845
Maintainer: Debian Games Team 
Architecture: all
Size: 143861114
SHA256: 1529f4cef6e31dabb4d94d2b910fe78e6ae05916966b40413efc671820c19f26
SHA1: 2997276334cc5a1682666b1f67228642dd9ee0c0
MD5sum: cc738777c6b2b9e7a50e71b3193aeacf
Description: music files for Wesnoth (branch 1.10)
 This package contains the music files for Wesnoth. It is not required but
 gives nice background music and encouraged.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-music_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-nr
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 8239
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 3936012
SHA256: 8dd59d15d7e3b8b4369f11911af78dc0cbc1453e1ce5d2e399c461bda196cab6
SHA1: c6d32c74928155b34464624a38f8062e71ea07fd
MD5sum: 51d2f8f4a42af6ee0d7c6b6bf26b194c
Description: "Northern Rebirth" official campaign for Wesnoth (branch 1.10)
 This package contains the "Northern Rebirth" campaign for Wesnoth:
 "For the people of Dwarven Doors the choice was stark: either drudge as
 downtrodden slaves for the orcs until the end of their brief and miserable
 lives, or risk all for freedom and rise up against their cruel overlords.
 Little did they suspect that their struggle would be the hinge of great events
 that might restore the Northlands to the glory they had once known."
 (Expert level, 13 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-nr_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-server
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 1307
Depends: libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libsdl-net1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), lsb-base
Homepage: http://wesnoth.org/
Priority: optional
Section: games
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-server_1.10.3-3+deb7u2_armhf.deb
Size: 734882
SHA256: f3d03db599aa0cc738bbb6188afe80524a436ab07e737f55ae19f7e2a3bbade9
SHA1: 0213038aba8ddda42a3b694e9a08157f3c83b687
MD5sum: 425e62feb032c11befa7ed54b0c2693e
Description: multiplayer network server for Wesnoth (branch 1.10)
 This package contains the multiplayer network server for Wesnoth.  You need it
 if you want to host multiplayer games on your computer and don't want to use
 the official servers.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.10-sof
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 6666
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 3935868
SHA256: 464cee92520ac63f0ffb80ec80300b2f2989107c1acb39926831f022dd3f508a
SHA1: 97153c7e8519a6cdd8cb5fb7e07d29147089b829
MD5sum: 647a7cdaa42ae568cb11e44ffeb5140a
Description: "The Sceptre of Fire" official campaign for Wesnoth (branch 1.10)
 This package contains the "The Sceptre of Fire" campaign for Wesnoth:
  "The land of Wesnoth's banner bold
  Comes not from its own land;
  It comes from Dwarfdom, grim and old
  Made by a runesmith's hand.
  So now I tell from whence it came -
  The Fire-sceptre great -
  And of the makers of the same,
  Their tale I now relate..."
 (Expert level, 9 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-sof_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-sotbe
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 9157
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 6083228
SHA256: 0ee39fb59e450e011de78e9182418aab4839162fd8c0a55d20c5945a045f3f6b
SHA1: 40ecc863bc6227406241ba4836f4255604ee9116
MD5sum: 41b7795c429e30386521c3fab324f7b3
Description: "Son of the Black-Eye" official campaign for Wesnoth (branch 1.10)
 This package contains the "Son of the Black-Eye" campaign for Wesnoth:
 "Your father Karun Black-Eye was the greatest orcish leader that ever lived.
 Now, as his son, it's up to you to thwart the selfish designs of the humans
 who have broken the old agreements with the orcs and are bent upon taking your
 lands. Unite the warring orcish tribes, bring together the Orcish Council and
 call up the Great Horde to send the human-worms and their wose-born allies to
 the land of the dead!"
 (Expert level, 18 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-sotbe_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-thot
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 5795
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 3877552
SHA256: 2845af2dcb81b952e8d2206d11e789ef68ff37cc77530433a5725ec8f2180a6a
SHA1: 52668c017c3105c0bc95f39d01ae1b4df1c585da
MD5sum: 574c28ba9613bee85d61d655313e3840
Description: "The Hammer of Thursagan" official campaign for Wesnoth (branch 1.10)
 This package contains the "The Hammer of Thursagan" campaign for Wesnoth:
 "In the first years of the Northern Alliance, an expedition from Knalga seeks
 out their kin at Kal Kartha and to learn the fate of the legendary Hammer of
 Thursagan. The perils of their journey through the wild Northern Lands, though
 great, pale beside the evil they will face at its end."
 (Intermediate level, 11 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-thot_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-tools
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 824
Maintainer: Debian Games Team 
Architecture: all
Depends: python, wesnoth-1.10-data (= 1:1.10.3-3+deb7u2)
Enhances: wesnoth-1.10-core
Size: 346978
SHA256: 468d1fd8cc00aee6c55c3cd51ca1460034ca9a90d2bf5fe0607de6d4219de3cf
SHA1: 0f2af1da36fc20740198fbcba3bd3b0b01695362
MD5sum: ef647a8c068bd089bb9499df0ecf9ffa
Description: tools for campaign developers for Wesnoth (branch 1.10)
 This package contains various tools for Wesnoth that are especially useful for
 campaign developers, including but not limited to scripts supporting the
 generation and checking of WML (Wesnoth Markup Language).  You can find them
 in the directory /usr/share/games/wesnoth/1.10/data/tools
 after installation of the package.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-tools_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-trow
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 15529
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 11121110
SHA256: 49a1674dcc69dd917463e814e47656459723de78419cce0da324f3b2ec9e523b
SHA1: 8f4eb27cf629d8989b80832be2ff8d77e743584c
MD5sum: ec3358b6cad427fa1bca65703dd5ae6d
Description: "The Rise of Wesnoth" official campaign for Wesnoth (branch 1.10)
 This package contains the "The Rise of Wesnoth" campaign for Wesnoth:
 "Lead Prince Haldric through the destruction of the Green Isle and across the
 Ocean to establish the very kingdom of Wesnoth itself. The confrontation with
 Lich-Lord Jevyan awaits..."
 (Intermediate level, 24 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-trow_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-tsg
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 7486
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 4668196
SHA256: d33a77fb8e147f2cf8b51ba9c69a2e67b815411074996190d550bd48c72f6f0b
SHA1: c5f0804c1dd7664060dab2abce96d998e9e9244b
MD5sum: 83d167ba64ef33863d7f991fd64102e8
Description: "The South Guard" official campaign for Wesnoth (branch 1.10)
 This package contains the "The South Guard" campaign for Wesnoth:
 "A young Knight, Deoran, is dispatched to take command of the South Guard...
 Note: This campaign is designed as an introduction to Wesnoth. The 'Civilian'
 difficulty level is aimed at first-time players."
 (Novice level, 9 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-tsg_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-ttb
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 4280
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 3047530
SHA256: 817bd71aceffff38dfa86c0c311141070ca58c89173c70ddff11f164ae7d69d1
SHA1: dd44e3556af07530169e840618fb8535b6f10c86
MD5sum: 9c87f96847a758c59c7b367cc83dd2fa
Description: "A Tale of Two Brothers" official campaign for Wesnoth (branch 1.10)
 This package contains the "A Tale of Two Brothers" campaign for Wesnoth:
 "An evil mage is threatening the small village of Maghre and its inhabitants.
 The village’s mage sends to his warrior brother for help, but not all goes as
 planned. Can you help?"
 (Novice level, 4 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-ttb_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.10-utbs
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 18368
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10), wesnoth-1.10-core (<< 1:1.11)
Size: 8042194
SHA256: 8d13c4bb5f8ff7599534a5437f4950ec060289a61b3ffabbcad30f1e4dd083eb
SHA1: 70d5775d88f83aecabfa5411207aee4a9cc19530
MD5sum: 35b05fee33a0e2cb6cd0dabdb122f83f
Description: "Under the Burning Suns" official campaign for Wesnoth (branch 1.10)
 This package contains the "Under the Burning Suns" campaign for Wesnoth:
 "In the distant future a small band of elves struggles to survive amidst the
 ruins of fallen empires. Lead your people out of the desert on an epic journey
 to find a new home."
 (Expert level, 10 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-1.10-utbs_1.10.3-3+deb7u2_all.deb

Package: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 20
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8.6-1), wesnoth-1.8-core (<< 1:1.8.6-1.~), wesnoth-1.8-data (= 1:1.8.6-1), wesnoth-1.8-httt (= 1:1.8.6-1), wesnoth-1.8-tsg (= 1:1.8.6-1), wesnoth-1.8-trow (= 1:1.8.6-1), wesnoth-1.8-ttb (= 1:1.8.6-1), wesnoth-1.8-ei (= 1:1.8.6-1), wesnoth-1.8-utbs (= 1:1.8.6-1), wesnoth-1.8-did (= 1:1.8.6-1), wesnoth-1.8-nr (= 1:1.8.6-1), wesnoth-1.8-sof (= 1:1.8.6-1), wesnoth-1.8-sotbe (= 1:1.8.6-1), wesnoth-1.8-l (= 1:1.8.6-1), wesnoth-1.8-aoi (= 1:1.8.6-1), wesnoth-1.8-thot (= 1:1.8.6-1), wesnoth-1.8-low (= 1:1.8.6-1), wesnoth-1.8-dm (= 1:1.8.6-1)
Recommends: wesnoth-1.8-music (= 1:1.8.6-1)
Size: 1184
SHA256: 3e3e1277eda00246529f7928f042574bce58b5180410d9190e7deb6bdf7efea1
SHA1: a127456e0961ff60f69a402637298c1fec52d39d
MD5sum: 75670199045b77bb13958c1140f31ab2
Description: fantasy turn-based strategy game - complete suite (branch 1.8)
 This package depends on the complete set of packages built from the wesnoth
 source package but the server (which can be found in the
 wesnoth-1.8-server package), most helpful if you always
 want to have all the official campaigns installed.  If you only want to play
 over the network with other players or want to play only a few campaigns you
 just need to install the wesnoth-1.8-core package and
 the wanted campaign packages.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: game::strategy, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8_1.8.6-1_all.deb

Package: wesnoth-1.8-aoi
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 4496
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8), wesnoth-1.8-core (<< 1:1.9)
Size: 2902968
SHA256: 4afda04123cd32fabc5fdab2121c763ae8e2e746c5d82ddf003254d67ab49c9f
SHA1: 78a802d74080a780d94ca4f961186887a535b400
MD5sum: 87eb2a47ad0f8b407cdd955d1188b3eb
Description: "An Orcish Incursion" official campaign for Wesnoth (branch 1.8)
 This package contains the "An Orcish Incursion" campaign for Wesnoth:
 "Defend the forests of the elves against the first orcs to reach the Great
 Continent, learning valuable tactics as you do so."
 (Novice level, 7 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-aoi_1.8.6-1_all.deb

Package: wesnoth-1.8-data
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 121452
Maintainer: Debian Games Team 
Architecture: all
Depends: ttf-dejavu
Recommends: ttf-wqy-zenhei (>= 0.8.38-1)
Suggests: wesnoth-1.8-music
Size: 82967810
SHA256: b5ec96446d098d2c24bb9d47f6a5f1c14d7e6e92f18d93b9da1a79d376837197
SHA1: 3d7d22d094601a16766944120718a1b7f90e643c
MD5sum: 52b4aae3f98d7380ceb0de574835bc77
Description: data files for Wesnoth (branch 1.8)
 This package contains the sound files and graphics for Wesnoth. It is required
 for being able to play wesnoth or create maps with the editor.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-data_1.8.6-1_all.deb

Package: wesnoth-1.8-did
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 7368
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8), wesnoth-1.8-core (<< 1:1.9)
Size: 4868918
SHA256: 260f90f8b850bf1c08d444a9b24d5e989f0b3731cad1d86b12e824942bd30de2
SHA1: 054400ed1313267f7c89c496adbd6ca15b3ce182
MD5sum: 1a6dc994239b537090207883423a48af
Description: "Descent Into Darkness" official campaign for Wesnoth (branch 1.8)
 This package contains the "Descent Into Darkness" campaign for Wesnoth:
 "Learn the dark arts of necromancy in order to save your people from an orcish
 incursion."
 (Expert level, 11 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-did_1.8.6-1_all.deb

Package: wesnoth-1.8-dm
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 8440
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8), wesnoth-1.8-core (<< 1:1.9)
Size: 5205016
SHA256: 8f794d0808c875734eac34753246690d35e2486c116af5f1e41a7784579d8923
SHA1: 91e74ebf4d6693f9d4406f06c6b8e337a62b8d67
MD5sum: 45e3d8e4ab4349c191a536b2b64b1fd8
Description: "Delfador's Memoirs" official campaign for Wesnoth (branch 1.8)
 This package contains the "Delfador's Memoirs" campaign for Wesnoth:
 "Wesnoth seems to be slipping inexorably into chaos, as marauding orcs pour
 south across the Great River, and mysterious and deadly creatures roam the
 night. Who is the shadowy Iliah-Malal? Can you defeat him before he destroys
 all life in Wesnoth?"
 (Intermediate level, 23 scenarios)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-dm_1.8.6-1_all.deb

Package: wesnoth-1.8-ei
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 8360
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8), wesnoth-1.8-core (<< 1:1.9)
Size: 5130804
SHA256: 165ae07eb2401f5c1614b6e30f3ccf1537a2e726f5180c88d098416eced382ea
SHA1: 21b6a91c11091595e34cbb23eb8fb713555e33e0
MD5sum: a46fbaf27e4a08fda6514a40b6084832
Description: "The Eastern Invasion" official campaign for Wesnoth (branch 1.8)
 This package contains the "The Eastern Invasion" campaign for Wesnoth:
 "There are rumors of undead attacks on the eastern border of Wesnoth. You, an
 officer in the Royal Army, have been sent to the eastern front to protect the
 villagers and find out what is happening."
 (Intermediate level, 18 scenarios.)"
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-ei_1.8.6-1_all.deb

Package: wesnoth-1.8-httt
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 14408
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8), wesnoth-1.8-core (<< 1:1.9)
Size: 7454324
SHA256: 5cb851a77d24f98a9fa1500ebf08bcd3767ebe5e1af245e303f5fc53639e0d55
SHA1: 03b678276fcf8ffb6eb74ee74da60d9d4e71aa78
MD5sum: c34149ddf550631bc660a273fd64dd28
Description: "Heir to the Throne" official campaign for Wesnoth (branch 1.8)
 This package contains the "Heir to the Throne" campaign for Wesnoth:
 "Fight to regain the throne of Wesnoth, of which you are the legitimate
 heir."
 (Novice level, 25 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-httt_1.8.6-1_all.deb

Package: wesnoth-1.8-l
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 8504
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8), wesnoth-1.8-core (<< 1:1.9)
Size: 5938708
SHA256: e0fb0c5605faf8b4af72577b302edc15ad8d16f81d59226557b287c49a8e67ec
SHA1: d37d111de6a8488c3d9d88752b9ebe9c16c45688
MD5sum: 63380637e71e72be4d13a98956e99008
Description: "Liberty" official campaign for Wesnoth (branch 1.8)
 This package contains the "Liberty" campaign for Wesnoth:
 "As the shadow of civil war lengthens across Wesnoth, a band of hardy
 marchlanders revolts against the tyranny of Queen Asheviere. To win their way
 to freedom, they must defeat not just the trained blades of Wesnothian troops
 but darker foes including orcs and undead."
 (Intermediate level, 9 scenarios)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-l_1.8.6-1_all.deb

Package: wesnoth-1.8-low
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 12028
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8), wesnoth-1.8-core (<< 1:1.9)
Size: 8643712
SHA256: 53e62300f757001fca634e8676c26069124cb070dad41c3269183b1485f7f91f
SHA1: 40b90afa198e5c5d88a71f0f2c92ff128c2656d4
MD5sum: 24901e8f54d4e03d536874c6b1ac557f
Description: "Legend of Wesmere" official campaign for Wesnoth (branch 1.8)
 This package contains the "Legend of Wesmere" campaign for Wesnoth:
 "The tale of Kalenz, the High Lord who rallied his people after the second
 orcish invasion of the Great Continent and became the most renowned hero in
 the recorded history of the Elves."
 (Intermediate level, 17 playable scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-low_1.8.6-1_all.deb

Package: wesnoth-1.8-music
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 136684
Maintainer: Debian Games Team 
Architecture: all
Size: 138270388
SHA256: 3f0d2650ebd759c27ecad203c728863c28f89e13b8ba874aaa189ed2333cbbc9
SHA1: ee67f13a92e10100f73cc993f16e65ed0ab8e8e9
MD5sum: 3e0c0deb2f73065764a86c3deba87075
Description: music files for Wesnoth (branch 1.8)
 This package contains the music files for Wesnoth.  It is not required but
 gives nice background music and encouraged.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: made-of::audio, role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-music_1.8.6-1_all.deb

Package: wesnoth-1.8-nr
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 8264
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8), wesnoth-1.8-core (<< 1:1.9)
Size: 3769900
SHA256: a11a80a88c35c6680bc03a6f3e2c4fcd4e5cebf12fb1d386b9ad9cec16a2325c
SHA1: 988d262e051f6645ed413c8a03133e40a5f0d99f
MD5sum: c36c3674bcd0e4a0be6a78a1d510b5a7
Description: "Northern Rebirth" official campaign for Wesnoth (branch 1.8)
 This package contains the "Northern Rebirth" campaign for Wesnoth:
 "For the people of Dwarven Doors the choice was stark: either drudge as
 downtrodden slaves for the orcs until the end of their brief and miserable
 lives, or risk all for freedom and rise up against their cruel overlords.
 Little did they suspect that their struggle would be the hinge of great events
 that might restore the Northlands to the glory they had once known."
 (Expert level, 14 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-nr_1.8.6-1_all.deb

Package: wesnoth-1.8-sof
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 5168
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8), wesnoth-1.8-core (<< 1:1.9)
Size: 1890384
SHA256: 2127c2bbac24be9ebb9ef168446b1851521a4e45be792cacea6634a0921c61bf
SHA1: 6e498135e93afc2fa2335d181ccf2758728d21fc
MD5sum: 214a14b6b0010a6a6168a131827c75b7
Description: "The Sceptre of Fire" official campaign for Wesnoth (branch 1.8)
 This package contains the "The Sceptre of Fire" campaign for Wesnoth:
  "The land of Wesnoth's banner bold
  Comes not from its own land;
  It comes from Dwarfdom, grim and old
  Made by a runesmith's hand.
  So now I tell from whence it came -
  The Fire-sceptre great -
  And of the makers of the same,
  Their tale I now relate..."
 (Expert level, 9 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-sof_1.8.6-1_all.deb

Package: wesnoth-1.8-sotbe
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 9336
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8), wesnoth-1.8-core (<< 1:1.9)
Size: 5951446
SHA256: 38f05e0319206862153cc317431beac3fb322a6b55d1ffd8f923f35326cc9fce
SHA1: faaf92a65fd5d963519e2e14a2ceaa7b424c7445
MD5sum: fa1dae1f2b9d7a417589109f7be8bedf
Description: "Son of the Black-Eye" official campaign for Wesnoth (branch 1.8)
 This package contains the "Son of the Black-Eye" campaign for Wesnoth:
 "Your father Karun Black-Eye was the greatest orcish leader that ever lived.
 Now, as his son, it's up to you to thwart the selfish designs of the humans
 who have broken the old agreements with the orcs and are bent upon taking your
 lands. Unite the warring orcish tribes, bring together the Orcish Council and
 call up the Great Horde to send the human-worms and their tree-shagger allies
 to the land of the dead!"
 (Expert level, 18 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-sotbe_1.8.6-1_all.deb

Package: wesnoth-1.8-thot
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 6008
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8), wesnoth-1.8-core (<< 1:1.9)
Size: 3437212
SHA256: a6b1585d1a8b473087204d3df9e9aac5a10a3adb2aabaaeeccc2b28b98fb3750
SHA1: cf027b7bc207c57331fd1725dbfe16c64499a40b
MD5sum: ce1c18b79e435993539ad6e221a104e7
Description: "The Hammer of Thursagan" official campaign for Wesnoth (branch 1.8)
 This package contains the "The Hammer of Thursagan" campaign for Wesnoth:
 "In the first years of the Northern Alliance, an expedition from Knalga seeks
 out their kin at Kal Kartha and to learn the fate of the legendary Hammer of
 Thursagan. The perils of their journey through the wild Northern Lands, though
 great, pale beside the evil they will face at its end."
 (Intermediate level, 13 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-thot_1.8.6-1_all.deb

Package: wesnoth-1.8-tools
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 804
Maintainer: Debian Games Team 
Architecture: all
Depends: python, wesnoth-1.8-data (= 1:1.8.6-1)
Enhances: wesnoth-1.8-core
Size: 293252
SHA256: de7d8958d3706f03078635c750b06cbbb1169ecceed6411985459b1c485bc37f
SHA1: 0f16302fe8b87669667733185f409627b02756b1
MD5sum: 80aea82543c4f8f02a972df9f3487046
Description: tools for campaign developers for Wesnoth (branch 1.8)
 This package contains various tools for Wesnoth that are especially useful for
 campaign developers, including but not limited to scripts supporting the
 generation and checking of WML (Wesnoth Markup Language).  You can find them
 in the directory /usr/share/games/wesnoth/1.8/data/tools
 after installation of the package.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-tools_1.8.6-1_all.deb

Package: wesnoth-1.8-trow
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 11944
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8), wesnoth-1.8-core (<< 1:1.9)
Size: 6867860
SHA256: 7bbad0a76640b6cc00efde4f982c29a77ccedef32a86de036964c022aee87f50
SHA1: 783206a248a2c6199c7583fb58c55f114e277712
MD5sum: 4bafa63de6c301b5e45a0407574369e1
Description: "The Rise of Wesnoth" official campaign for Wesnoth (branch 1.8)
 This package contains the "The Rise of Wesnoth" campaign for Wesnoth:
 "Lead Prince Haldric through the destruction of the Green Isle and across the
 Ocean to establish the very kingdom of Wesnoth itself. The confrontation with
 Lich-Lord Jevyan awaits..."
 (Intermediate level, 25 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-trow_1.8.6-1_all.deb

Package: wesnoth-1.8-tsg
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 6076
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8), wesnoth-1.8-core (<< 1:1.9)
Size: 2734494
SHA256: 20be3442cf31488d90c588079d39b2117e091f90a2a36f471f715f7468326e10
SHA1: 88b542cd354be849b6281503596147b1a4c84695
MD5sum: d7c5c8a26a8999c4739b1b7d45fb41e7
Description: "The South Guard" official campaign for Wesnoth (branch 1.8)
 This package contains the "The South Guard" campaign for Wesnoth:
 "A young Knight, Deoran, is dispatched to take command of the South Guard...
 Note: This campaign is designed as an introduction to Wesnoth. The 'Civilian'
 difficulty level is aimed at first-time players."
 (Novice level, 9 or 10 scenarios depending on the branch you take.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-tsg_1.8.6-1_all.deb

Package: wesnoth-1.8-ttb
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 4000
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8), wesnoth-1.8-core (<< 1:1.9)
Size: 2716688
SHA256: 886d0d412d422fa7c9417d2945053bec9f33302daf24cd64e09ce07a961dca19
SHA1: 7364ef1cba6213ccd53460aea2481ca86d1ebdc8
MD5sum: ef751785313ff2dcd11fc555b03f0b6d
Description: "A Tale of Two Brothers" official campaign for Wesnoth (branch 1.8)
 This package contains the "A Tale of Two Brothers" campaign for Wesnoth:
 "An evil mage is threatening the local village and its inhabitants. When their
 leader Bjarn sends for aid from his brother Arne, he is victorious, but Bjarn
 himself is kidnapped. Can you rescue him?"
 (Novice level, 4 scenarios; the 'Hard' version may challenge more experienced
 players.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-ttb_1.8.6-1_all.deb

Package: wesnoth-1.8-utbs
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 19872
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8), wesnoth-1.8-core (<< 1:1.9)
Size: 8091372
SHA256: 5bc3008fc394b146809f9c78b65e8e6777ecc1941d9cc8cec843f8bc8fc9dc7e
SHA1: 211ec00c20119cb465d54633e6c1d8bbdd8d5571
MD5sum: 199c89b3335962a9da9201b8b5737aa7
Description: "Under the Burning Suns" official campaign for Wesnoth (branch 1.8)
 This package contains the "Under the Burning Suns" campaign for Wesnoth:
 "In the distant future a small band of elves struggles to survive amidst the
 ruins of fallen empires. Lead your people out of the desert on an epic journey
 to find a new home."
 (Expert level, 11 scenarios, some under reconstruction)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-1.8-utbs_1.8.6-1_all.deb

Package: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 21
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14-1), wesnoth-1.9-core (<< 1:1.9.14-1.~), wesnoth-1.9-data (= 1:1.9.14-1), wesnoth-1.9-httt (= 1:1.9.14-1), wesnoth-1.9-tsg (= 1:1.9.14-1), wesnoth-1.9-trow (= 1:1.9.14-1), wesnoth-1.9-ttb (= 1:1.9.14-1), wesnoth-1.9-ei (= 1:1.9.14-1), wesnoth-1.9-utbs (= 1:1.9.14-1), wesnoth-1.9-did (= 1:1.9.14-1), wesnoth-1.9-nr (= 1:1.9.14-1), wesnoth-1.9-sof (= 1:1.9.14-1), wesnoth-1.9-sotbe (= 1:1.9.14-1), wesnoth-1.9-l (= 1:1.9.14-1), wesnoth-1.9-aoi (= 1:1.9.14-1), wesnoth-1.9-thot (= 1:1.9.14-1), wesnoth-1.9-low (= 1:1.9.14-1), wesnoth-1.9-dm (= 1:1.9.14-1), wesnoth-1.9-dw (= 1:1.9.14-1)
Recommends: wesnoth-1.9-music (= 1:1.9.14-1)
Size: 1188
SHA256: 8e4e52312282802650cb7f73924734f9bf13db5e574031b7dfd4c3009ffce4ae
SHA1: 108a27aaab7d634373edf81c785e6c481225853f
MD5sum: 9555f7226aa7071fb708d8f753470afb
Description: fantasy turn-based strategy game - complete suite (branch 1.9)
 This package depends on the complete set of packages built from the wesnoth
 source package but the server (which can be found in the
 wesnoth-1.9-server package), most helpful if you always
 want to have all the official campaigns installed.  If you only want to play
 over the network with other players or want to play only a few campaigns you
 just need to install the wesnoth-1.9-core package and
 the wanted campaign packages.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9_1.9.14-1_all.deb

Package: wesnoth-1.9-aoi
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 5165
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 3694758
SHA256: b6f2ab4ef8b61f656e287620ce3a8252431f8b659c7a106c5b49a12fc8056152
SHA1: 3adb4994e157886afdb6b4cfeea3b135860ecd18
MD5sum: 91b0a7be747fb233e50d012a0309b938
Description: "An Orcish Incursion" official campaign for Wesnoth (branch 1.9)
 This package contains the "An Orcish Incursion" campaign for Wesnoth:
 "Defend the forests of the elves against the first orcs to reach the Great
 Continent, learning valuable tactics as you do so."
 (Novice level, 7 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-aoi_1.9.14-1_all.deb

Package: wesnoth-1.9-core
Source: wesnoth-1.9
Version: 1:1.9.14-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 11749
Depends: libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libfontconfig1 (>= 2.9.0), libfribidi0 (>= 0.19.2), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgomp1 (>= 4.2.1), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.22.0), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-net1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libsm6, libstdc++6 (>= 4.6), libx11-6, libxext6, wesnoth-1.9-data (= 1:1.9.14-1)
Suggests: wesnoth
Homepage: http://wesnoth.org/
Priority: optional
Section: games
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-core_1.9.14-1_armhf.deb
Size: 4440272
SHA256: dc5a503af9ab5948509a32a8fb7db52f06376bc53b5d5ac0d83b6ff9944ed012
SHA1: 75b260940134aaad879510fc18338bac277f481a
MD5sum: c59204084fac22c1eae68d81d9faf2d6
Description: fantasy turn-based strategy game (branch 1.9)
 This package contains the main program for wesnoth. It can be used to play
 multiplayer games.  If you want to play campaigns you will have to install
 them individually, but if you prefer to have all the official campaigns
 installed please be advised to install the wesnoth-1.9 package which depends
 on all of them.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.9-data
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 113756
Maintainer: Debian Games Team 
Architecture: all
Depends: ttf-dejavu
Recommends: fonts-droid
Suggests: wesnoth-1.9-music
Size: 96755688
SHA256: 8e9f79b7b0dba9530146c6684076ddf6363a4b430e238346b04387a13223aae8
SHA1: 87e087a033c865946d107c0d2c5eea9ece620445
MD5sum: d3fb082389ee17f022891a9a63c7936c
Description: data files for Wesnoth (branch 1.9)
 This package contains the sound files and graphics for Wesnoth. It is required
 for being able to play wesnoth or create maps with the editor.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-data_1.9.14-1_all.deb

Package: wesnoth-1.9-dbg
Source: wesnoth-1.9
Version: 1:1.9.14-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 4013
Depends: wesnoth-1.9-core (= 1:1.9.14-1), wesnoth-1.9-data (= 1:1.9.14-1)
Homepage: http://wesnoth.org/
Priority: extra
Section: debug
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-dbg_1.9.14-1_armhf.deb
Size: 862116
SHA256: de6b947e7e7705ad8a072ebdd477b20a12d0d7086d6293806bff5820a75d3003
SHA1: ae8794f649bf8151d1aa8d50408e1c7f1a6e3393
MD5sum: 48d09f98ccfea1509c837ad3d0aa4289
Description: fantasy turn-based strategy game (debugging symbols for branch 1.9)
 This package contains the debugging symbols for the wesnoth binaries.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.9-did
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 7875
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 5925482
SHA256: 375a8e9bf930ee300e52dcc67f49da411a977f3f54854371e4e4a626f782cf46
SHA1: d18052e4415d819483e8aaa9e53705fd00651547
MD5sum: 651f3d7458fb6834e2df0225b71288ca
Description: "Descent Into Darkness" official campaign for Wesnoth (branch 1.9)
 This package contains the "Descent Into Darkness" campaign for Wesnoth:
 "Learn the dark arts of necromancy in order to save your people from an orcish
 incursion."
 (Expert level, 11 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-did_1.9.14-1_all.deb

Package: wesnoth-1.9-dm
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 8948
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 5918128
SHA256: 929f75aa9152e63c6594e7ebe1eb3e5d0b87327a4d2410fa2a579f5a0a100528
SHA1: 4fca8e85f62b910469cacdf761add5c9add4cdca
MD5sum: 6a016c94df1b274f280d131b8bb42e15
Description: "Delfador's Memoirs" official campaign for Wesnoth (branch 1.9)
 This package contains the "Delfador's Memoirs" campaign for Wesnoth:
 "Wesnoth seems to be slipping inexorably into chaos, as marauding orcs pour
 south across the Great River, and mysterious and deadly creatures roam the
 night. Who is the shadowy Iliah-Malal? Can you defeat him before he destroys
 all life in Wesnoth?"
 (Intermediate level, 23 scenarios)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-dm_1.9.14-1_all.deb

Package: wesnoth-1.9-dw
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 5594
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 3928334
SHA256: 4b93f67087a2444de874526d1db5bee9e02cd7a13d8e59f40ae60e6039feb472
SHA1: d4b5d0e58120da8644de7f544c613a5e53a5b1b9
MD5sum: 60ee587528518e2dec2f0e07b4ea3f73
Description: "Dead Water" official campaign for Wesnoth (branch 1.9)
 This package contains the "Dead Water" campaign for Wesnoth:
 "You are Kai Krellis, son and heir of the last merman king but only a child. A
 necromancer is turning your subjects into undead slaves! Lead your people on a
 mission to convince a powerful mer-sorceress to help you repel the invasion.
 The oceans near the Northern Lands are perilous, so you will need cunning and
 bravery to survive. But first you need to gain the respect of your troops!"
 (Intermediate level, 10 scenarios)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-dw_1.9.14-1_all.deb

Package: wesnoth-1.9-ei
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 7809
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 5084266
SHA256: 321d78870b68e661b03136be4ac1ed31c34943289825fa8b00ea4c756981dc4b
SHA1: f5fdf562b9848c07da689b9a698d3c66b1a40ee3
MD5sum: fe2ce55a71762bd49b2ad7888c3eec45
Description: "The Eastern Invasion" official campaign for Wesnoth (branch 1.9)
 This package contains the "The Eastern Invasion" campaign for Wesnoth:
 "There are rumors of undead attacks on the eastern border of Wesnoth. You, an
 officer in the Royal Army, have been sent to the eastern front to protect the
 villagers and find out what is happening."
 (Intermediate level, 18 scenarios.)"
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-ei_1.9.14-1_all.deb

Package: wesnoth-1.9-httt
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 13560
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 7242470
SHA256: cb79dbc49c4ccc62328ba690eb2903f0b9eb9e49e7c3b7d658d2125f53a1919d
SHA1: f783db6d19a0030b0852ccbe02e30bfa4dfd0daa
MD5sum: 06c328111741931bd78f4ebc8068fc86
Description: "Heir to the Throne" official campaign for Wesnoth (branch 1.9)
 This package contains the "Heir to the Throne" campaign for Wesnoth:
 "Fight to regain the throne of Wesnoth, of which you are the legitimate
 heir."
 (Novice level, 25 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-httt_1.9.14-1_all.deb

Package: wesnoth-1.9-l
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 8455
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 6341416
SHA256: db78520ca170e9754d7a077ec42c1e0e3940c3fd2c23439f46e22be770ee2a87
SHA1: 3bd5ed170aa3cf7b4320009e09615f66ef577fd2
MD5sum: 4c54c9229abcaeea2196691174184477
Description: "Liberty" official campaign for Wesnoth (branch 1.9)
 This package contains the "Liberty" campaign for Wesnoth:
 "As the shadow of civil war lengthens across Wesnoth, a band of hardy
 marchlanders revolts against the tyranny of Queen Asheviere. To win their way
 to freedom, they must defeat not just the trained blades of Wesnothian troops
 but darker foes including orcs and undead."
 (Intermediate level, 9 scenarios)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-l_1.9.14-1_all.deb

Package: wesnoth-1.9-low
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 11869
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 8805690
SHA256: 8a66a3de935b8252f9320e04edef2218faf8063cee2c0a9bb8d1b0fabb755f73
SHA1: f6360d20d66e2eb12a5e84878d14b2a8091aa68d
MD5sum: 0700b7492a8bce106dbd26bee88a3e8c
Description: "Legend of Wesmere" official campaign for Wesnoth (branch 1.9)
 This package contains the "Legend of Wesmere" campaign for Wesnoth:
 "The tale of Kalenz, the High Lord who rallied his people after the second
 orcish invasion of the Great Continent and became the most renowned hero in
 the recorded history of the Elves."
 (Intermediate level, 17 playable scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-low_1.9.14-1_all.deb

Package: wesnoth-1.9-music
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 141841
Maintainer: Debian Games Team 
Architecture: all
Size: 143857096
SHA256: 49dd33536cba5fe67bacf5bee5bd6bc1c07f91cb72e0b84e1f3f58ab0fc83115
SHA1: 7dbcbdf6abdcb275fb0dfb708ec29a337c8ecd76
MD5sum: 5f55cb61e787e6a27c17ad41f905244f
Description: music files for Wesnoth (branch 1.9)
 This package contains the music files for Wesnoth. It is not required but
 gives nice background music and encouraged.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: made-of::audio, role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-music_1.9.14-1_all.deb

Package: wesnoth-1.9-nr
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 7472
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 3617110
SHA256: 98fca6fee68e21c484a93149e2587a3bb4fcf0d608a51586b9dc15f4767cc47f
SHA1: d76a1d3ae8bf24575164985cef50fbf321256f32
MD5sum: e416441c4c36f8ec44ac47bd8c1efcfb
Description: "Northern Rebirth" official campaign for Wesnoth (branch 1.9)
 This package contains the "Northern Rebirth" campaign for Wesnoth:
 "For the people of Dwarven Doors the choice was stark: either drudge as
 downtrodden slaves for the orcs until the end of their brief and miserable
 lives, or risk all for freedom and rise up against their cruel overlords.
 Little did they suspect that their struggle would be the hinge of great events
 that might restore the Northlands to the glory they had once known."
 (Expert level, 14 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-nr_1.9.14-1_all.deb

Package: wesnoth-1.9-server
Source: wesnoth-1.9
Version: 1:1.9.14-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 1528
Depends: libboost-iostreams1.49.0 (>= 1.49.0-1), libboost-program-options1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgomp1 (>= 4.2.1), libsdl-net1.2, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), lsb-base
Homepage: http://wesnoth.org/
Priority: optional
Section: games
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-server_1.9.14-1_armhf.deb
Size: 727574
SHA256: 46399d0c13d72571355c03e6506262eec1c4fc2768245e1c1f78cda2cc8fd362
SHA1: 50f0ed1e5da170937858720db982db16b426c947
MD5sum: ef2dd8884844f72b2e0804e157663f11
Description: multiplayer network server for Wesnoth (branch 1.9)
 This package contains the multiplayer network server for Wesnoth.  You need it
 if you want to host multiplayer games on your computer and don't want to use
 the official servers.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.

Package: wesnoth-1.9-sof
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 6480
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 3863878
SHA256: 88b47e7723a6b9fc91176a84cf0700d48c3ae3bf1e316f2e0c08a0e6052c3e3f
SHA1: 50ab341ae03b79a4ab2f8ef9b2d0bd00b305a5d9
MD5sum: 539fe4e3ec27e8513fb2ac88a07b2ca6
Description: "The Sceptre of Fire" official campaign for Wesnoth (branch 1.9)
 This package contains the "The Sceptre of Fire" campaign for Wesnoth:
  "The land of Wesnoth's banner bold
  Comes not from its own land;
  It comes from Dwarfdom, grim and old
  Made by a runesmith's hand.
  So now I tell from whence it came -
  The Fire-sceptre great -
  And of the makers of the same,
  Their tale I now relate..."
 (Expert level, 9 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-sof_1.9.14-1_all.deb

Package: wesnoth-1.9-sotbe
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 8873
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 5919956
SHA256: 4f1247b6549bfe71f93fc2d73202c0e00185926e46eb2fafd8f7a850814a7ca8
SHA1: bd06d8420a244161028a3cc48e73ebe5c36d3a38
MD5sum: f8e6cc14a262cbb2436cb63f4ebca77b
Description: "Son of the Black-Eye" official campaign for Wesnoth (branch 1.9)
 This package contains the "Son of the Black-Eye" campaign for Wesnoth:
 "Your father Karun Black-Eye was the greatest orcish leader that ever lived.
 Now, as his son, it's up to you to thwart the selfish designs of the humans
 who have broken the old agreements with the orcs and are bent upon taking your
 lands. Unite the warring orcish tribes, bring together the Orcish Council and
 call up the Great Horde to send the human-worms and their tree-shagger allies
 to the land of the dead!"
 (Expert level, 18 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-sotbe_1.9.14-1_all.deb

Package: wesnoth-1.9-thot
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 5707
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 3840796
SHA256: bda28834bc834703c4e7c41d9aecdbd73f095da557f8fcd8e59bf1755af01ee5
SHA1: 4984c559edd49261bd02c75b87b25767534a9f4a
MD5sum: a7becb393ccad33c60a1bc4a92ccba48
Description: "The Hammer of Thursagan" official campaign for Wesnoth (branch 1.9)
 This package contains the "The Hammer of Thursagan" campaign for Wesnoth:
 "In the first years of the Northern Alliance, an expedition from Knalga seeks
 out their kin at Kal Kartha and to learn the fate of the legendary Hammer of
 Thursagan. The perils of their journey through the wild Northern Lands, though
 great, pale beside the evil they will face at its end."
 (Intermediate level, 13 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-thot_1.9.14-1_all.deb

Package: wesnoth-1.9-tools
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 773
Maintainer: Debian Games Team 
Architecture: all
Depends: python, wesnoth-1.9-data (= 1:1.9.14-1)
Enhances: wesnoth-1.9-core
Size: 330390
SHA256: c77670753e53644844f9005a000660996e2e6347da9bacf13fcb7ea4b7f6f2a7
SHA1: b7cf5df123f62310acc6de67368c4eb15ab539af
MD5sum: e1d7d1fc10551b4450fb0216aa0d6b23
Description: tools for campaign developers for Wesnoth (branch 1.9)
 This package contains various tools for Wesnoth that are especially useful for
 campaign developers, including but not limited to scripts supporting the
 generation and checking of WML (Wesnoth Markup Language).  You can find them
 in the directory /usr/share/games/wesnoth/1.9/data/tools
 after installation of the package.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-tools_1.9.14-1_all.deb

Package: wesnoth-1.9-trow
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 15367
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 11025098
SHA256: 31d1a4714e3229d96ed12ce4d525501bd8ca9841ec1d05cc8ced626f696f9021
SHA1: 6848f30e05ce05e1757a1772675cce73309422e1
MD5sum: d7377c2343da2be90f91136105772209
Description: "The Rise of Wesnoth" official campaign for Wesnoth (branch 1.9)
 This package contains the "The Rise of Wesnoth" campaign for Wesnoth:
 "Lead Prince Haldric through the destruction of the Green Isle and across the
 Ocean to establish the very kingdom of Wesnoth itself. The confrontation with
 Lich-Lord Jevyan awaits..."
 (Intermediate level, 25 scenarios.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-trow_1.9.14-1_all.deb

Package: wesnoth-1.9-tsg
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 7358
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 4616232
SHA256: 412b8b16263b52d9605ed8f7c224a782d5aae3b9c8166ec9f41cb6b2abc9d164
SHA1: f888ad79e014b3061f2c8c6af5ac9c1c889f589e
MD5sum: cb69ce31b3da423e8a4c60d8d4c85173
Description: "The South Guard" official campaign for Wesnoth (branch 1.9)
 This package contains the "The South Guard" campaign for Wesnoth:
 "A young Knight, Deoran, is dispatched to take command of the South Guard...
 Note: This campaign is designed as an introduction to Wesnoth. The 'Civilian'
 difficulty level is aimed at first-time players."
 (Novice level, 9 or 10 scenarios depending on the branch you take.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-tsg_1.9.14-1_all.deb

Package: wesnoth-1.9-ttb
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 4176
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 2998452
SHA256: b5431ac73871a3cafcd6c3553f6e4c2211512c5fe1cd72e2944e36bf570f2443
SHA1: 697966d0328f763d7962088160b8130c6bd7da38
MD5sum: f7db0a75e390a923bc5c11a824d0afd9
Description: "A Tale of Two Brothers" official campaign for Wesnoth (branch 1.9)
 This package contains the "A Tale of Two Brothers" campaign for Wesnoth:
 "An evil mage is threatening the local village and its inhabitants. When their
 leader Bjarn sends for aid from his brother Arne, he is victorious, but Bjarn
 himself is kidnapped. Can you rescue him?"
 (Novice level, 4 scenarios; the 'Hard' version may challenge more experienced
 players.)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-ttb_1.9.14-1_all.deb

Package: wesnoth-1.9-utbs
Source: wesnoth-1.9
Version: 1:1.9.14-1
Installed-Size: 17720
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.9-core (>= 1:1.9.14), wesnoth-1.9-core (<< 1:1.9.14.~)
Size: 7808800
SHA256: e08ac3a8c43a98bfd9b40657b2ea70ef990ed5d0e4fd1de3e437b2fb18cd4a41
SHA1: 5f9284ba464101ee67e2b34603a37725fb027628
MD5sum: c7a84b3605c088fbbac64f7b75f0e6f1
Description: "Under the Burning Suns" official campaign for Wesnoth (branch 1.9)
 This package contains the "Under the Burning Suns" campaign for Wesnoth:
 "In the distant future a small band of elves struggles to survive amidst the
 ruins of fallen empires. Lead your people out of the desert on an epic journey
 to find a new home."
 (Expert level, 11 scenarios, some under reconstruction)
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.9/wesnoth-1.9-utbs_1.9.14-1_all.deb

Package: wesnoth-core
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 21
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-core (>= 1:1.10.3-3+deb7u2), wesnoth-1.10-data (= 1:1.10.3-3+deb7u2)
Suggests: wesnoth
Size: 1182
SHA256: 6f6dc0428c8cc7a308cfc7d0ffe724982e36f524a554add4a2d33a908053a645
SHA1: b09b204cb6f0706bf47849b37e0f57105ec06708
MD5sum: 38becce03aa7f777bb4426fc00b9bb53
Description: fantasy turn-based strategy game (metapackage)
 This metapackage pulls in the core wesnoth package which allows you to play
 using the latest stable version.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: game::strategy, role::program, uitoolkit::sdl, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-core_1.10.3-3+deb7u2_all.deb

Package: wesnoth-editor
Source: wesnoth-1.8
Version: 1:1.8.6-1
Installed-Size: 24
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.8-core (>= 1:1.8.6-1), wesnoth-1.8-data (= 1:1.8.6-1)
Size: 1244
SHA256: a186e7e0fe663ec07a53637deceacde31f02b2363c0e1e05d0a90a360533eca3
SHA1: e8c2cf187e6da0bc8f8adb26180bbd8e964e6c04
MD5sum: 1f83b538b327b47986d2f00731bd7f1c
Description: map editor for Wesnoth (transitional package)
 This transitional package pulls in the core wesnoth package which now has an
 included map editor.  There is no standalone version anymore.  You can safely
 remove this package after the upgrade.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: game::strategy, interface::x11, role::program, scope::utility,
 uitoolkit::sdl, use::editing, x11::application
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.8/wesnoth-editor_1.8.6-1_all.deb

Package: wesnoth-music
Source: wesnoth-1.10
Version: 1:1.10.3-3+deb7u2
Installed-Size: 21
Maintainer: Debian Games Team 
Architecture: all
Depends: wesnoth-1.10-music (= 1:1.10.3-3+deb7u2), wesnoth-1.10-data (= 1:1.10.3-3+deb7u2)
Size: 1158
SHA256: 0ae19452eaa623d77f2b92337aac52cd350c5e4b960e2e0b5ea9d9f03be68fda
SHA1: dc2b4210e18307c95e397eea7cbc7bbf02520f98
MD5sum: 3dc60a1bbe9ce988b98ce00ad3e29c76
Description: music files for Wesnoth (metapackage)
 This metapackage pulls in the music package for use with the latest stable
 version of wesnoth.
 .
 Battle for control of villages, using variety of units which have advantages
 and disadvantages in different types of terrains and against different types
 of attacks.  Units gain experience and advance levels, and are carried over
 from one scenario to the next in a campaign.
Homepage: http://wesnoth.org/
Tag: game::strategy, made-of::audio, role::app-data, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/w/wesnoth-1.10/wesnoth-music_1.10.3-3+deb7u2_all.deb

Package: west-chamber-common
Source: west-chamber
Version: 20100405+svn20111107.r124-1
Architecture: armhf
Maintainer: Ying-Chun Liu (PaulLiu) 
Installed-Size: 104
Depends: xtables-addons-common, iptables, libc6 (>= 2.13-28)
Homepage: http://code.google.com/p/scholarzhang/
Priority: extra
Section: admin
Filename: pool/main/w/west-chamber/west-chamber-common_20100405+svn20111107.r124-1_armhf.deb
Size: 33520
SHA256: 884b54c8dae8dc01f64db1665bde0838237aa8d1183acbc351344ffa91a8701b
SHA1: 0e7e9b9ed5d34d3fc6103ae904fc7c049522597c
MD5sum: 0b9d2b927c658c931a208bc8b5ff2636
Description: iptable extension for bypassing content filtering firewall
 West-chamber is an iptable extension named after the famous Chinese ancient
 fiction - Romance of the West Chamber. It is based on the idea of
 T. Ptacek's paper in 1998 which allows users go through some
 content-filtered firewall without 3rd-party proxies.
 .
 This package contains the extension for iptables. It needs corresponding
 kernel modules to work properly.

Package: west-chamber-dkms
Source: west-chamber
Version: 20100405+svn20111107.r124-1
Installed-Size: 97
Maintainer: Ying-Chun Liu (PaulLiu) 
Architecture: all
Depends: make, dkms, bzip2, linux-headers-generic | linux-headers, iptables-dev (>= 1.4.3), xtables-addons-dkms (>= 1.35)
Recommends: west-chamber-common
Size: 18700
SHA256: 47c8c443dc2cd974ced67886649e8aad634b8fd11cfc40a313e2b52887e826a8
SHA1: e6ea49db1b4be9a36641353cc04678d3dc40b65a
MD5sum: 1da060e33409db0834b17190ced924cf
Description: iptable extension for bypassing content filtering firewall (dkms)
 West-chamber is an iptable extension named after the famous Chinese ancient
 fiction - Romance of the West Chamber. It is based on the idea of
 T. Ptacek's paper in 1998 which allows users go through some
 content-filtered firewall without 3rd-party proxies.
 .
 The dkms package will automatically compile the driver for your current
 kernel version.
Homepage: http://code.google.com/p/scholarzhang/
Section: admin
Priority: extra
Filename: pool/main/w/west-chamber/west-chamber-dkms_20100405+svn20111107.r124-1_all.deb

Package: west-chamber-source
Source: west-chamber
Version: 20100405+svn20111107.r124-1
Installed-Size: 49
Maintainer: Ying-Chun Liu (PaulLiu) 
Architecture: all
Depends: module-assistant, debhelper (>= 7), make, bzip2, iptables-dev (>= 1.4.3), xtables-addons-source (>= 1.32)
Size: 19472
SHA256: a3e41c37e388d5b0e9e507e59dccd0f3dd29fc9bb4b64017247ed13e6ec105a8
SHA1: 21e07a8c53482df5d6d79e20a004e848ca2295df
MD5sum: fa8923a2ed27beccb3511e98ed15f908
Description: iptable extension for bypassing content filtering firewall (module source)
 West-chamber is an iptable extension named after the famous Chinese ancient
 fiction - Romance of the West Chamber. It is based on the idea of
 T. Ptacek's paper in 1998 which allows users go through some
 content-filtered firewall without 3rd-party proxies.
 .
 This package provides the source code for the west-chamber kernel modules.
 The west-chamber-common package is also required in order to make use of these
 modules. Kernel source or headers are required to compile these modules.
Homepage: http://code.google.com/p/scholarzhang/
Section: admin
Priority: extra
Filename: pool/main/w/west-chamber/west-chamber-source_20100405+svn20111107.r124-1_all.deb

Package: wfaroese
Source: ispell-fo
Version: 0.4.1-1
Installed-Size: 4945
Maintainer: Agustin Martin Domingo 
Architecture: all
Provides: wordlist
Depends: debconf (>= 0.5) | debconf-2.0, dictionaries-common (>= 0.20)
Size: 1156496
SHA256: 176f7ce697966af50a12e18e6679c5f146174946ed2042216073944dd0b77366
SHA1: 4eef4e2ad6e99ce28c4ac366ef9705110a428eae
MD5sum: 16dcff12c06281b3cdc321ea6783bff3
Description: Faroese dictionary / wordlist
 This is a flat list of Faroese words and names. This can be used
 by spellcheckers or any other purpose needing a lot of words.
Homepage: http://fo.speling.org
Tag: culture::faroese, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/i/ispell-fo/wfaroese_0.4.1-1_all.deb

Package: wfinnish
Source: ispell-fi
Version: 0.7-18
Installed-Size: 9880
Maintainer: Debian QA Group 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.25), debconf | debconf-2.0
Size: 1946000
SHA256: 4f9709166c0e8f1d904fffdcbee4328697119c75a2cc46c8a725c7eda2758b9b
SHA1: 4a6207acef4458814edad3b8cb5f73a36bc2b3e1
MD5sum: ca824dae4e8905d89e33d718f5a46734
Description: A small Finnish dictionary for /usr/share/dict
 This is a list of Finnish words and names in various inflected forms,
 containing roughly 0.7 million items, to be used for whatever purpose you
 may think of.
 .
 Larger lists (roughly two or over six million items) can be manually
 generated from the medium-size and large Finnish Ispell dictionaries
 in packages ifinnish and ifinnish-large.  Please find
 instructions in /usr/share/doc/wfinnish/README.Debian after installing
 this package.
Tag: culture::finnish, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: extra
Filename: pool/main/i/ispell-fi/wfinnish_0.7-18_all.deb

Package: wfo
Version: 0.1-2
Installed-Size: 1344
Maintainer: NIIBE Yutaka 
Architecture: all
Depends: ruby, ruby1.8, libhtree-ruby1.8, libopenssl-ruby1.8
Suggests: gnupg
Size: 75424
SHA256: 5dcaa6a136d705fd2e4891961540d42d0cb8b99edf01a20f41f6e5bed84fe42d
SHA1: b8d83256fde43929640cc9bb753ef78518b61c3b
MD5sum: e2d6363c68a3ed38167dbfb339f38652
Description: Offline editing tool for Wiki pages and blogs
 wfo is a offline frontend for wikis and blogs.  You can checkout a
 wiki page to a local file, edit the file, and commit to the page.
 .
 Homepage: http://www.a-k-r.org/wfo/
Tag: implemented-in::ruby, role::program, use::editing, web::blog, web::wiki
Section: web
Priority: optional
Filename: pool/main/w/wfo/wfo_0.1-2_all.deb

Package: wfrench
Version: 1.2.3-10
Installed-Size: 1565
Maintainer: Khalid El Fathi 
Architecture: all
Provides: wordlist
Depends: debconf (>= 0.5) | debconf-2.0, dictionaries-common, debconf
Size: 357230
SHA256: b50e4d312464706e4eb454ac2133d6795882b3605bc2139c9def949bbee0a52c
SHA1: b4ee1af12aae6278d94cd907d13e1e3c6a53c2e9
MD5sum: 45dba59fa51e282179c00514e4d1e4b3
Description: French dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/french
 containing a list of French words.  This list can be used by spelling
 checkers, and by programs such as look(1).
Tag: culture::french, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/w/wfrench/wfrench_1.2.3-10_all.deb

Package: wfut
Source: libwfut
Version: 0.2.1-2
Architecture: armhf
Maintainer: Michael Koch 
Installed-Size: 73
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsigc++-2.0-0c2a (>= 2.0.2), libstdc++6 (>= 4.3.0), libwfut-0.2-1
Homepage: http://www.worldforge.org/
Priority: optional
Section: utils
Filename: pool/main/libw/libwfut/wfut_0.2.1-2_armhf.deb
Size: 23070
SHA256: 77d337d16da0210d82273246e11c963a1d2f71e353ef80da1a94be994b4148bf
SHA1: 0edc6f3c2230ef96d17e46a98e0868959719c8a6
MD5sum: 41f21c1ab5d57030d39b9831cf14ead2
Description: WorldForge Update Tool (executable)
 WFUT is a content distribution system initially intended to provide media
 updates for WorldForge. It can download and update game data and artwork for
 WorldForge gaming clients.
 .
 This package contains the wfut command line utility. With it a user can
 interactivly download and update game data and artwork.

Package: wgaelic
Source: igaelic
Version: 0.50-8
Installed-Size: 224
Maintainer: Alastair McKinstry 
Architecture: all
Depends: dictionaries-common, debconf (>= 0.5) | debconf-2.0
Size: 52278
SHA256: ac541d296d227ee2601f84a71f84f5df175aeeb0d6c235389ab0eeed54447687
SHA1: ef2fa2184f7bba78fdbbd7ef98ba305aaae7ad3c
MD5sum: 9d183102c8c369e2ef7a8409447f21c1
Description: A Scots Gaelic word list
 This package provides the file /usr/share/dict/gaelic containing a list
 of Scots Gaelic words.  This list can be used by spelling checkers, and by
 programs such as look(1).
Tag: made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/i/igaelic/wgaelic_0.50-8_all.deb

Package: wgalician-minimos
Source: ispell-gl
Version: 0.5-35
Installed-Size: 6068
Maintainer: Agustin Martin Domingo 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 1.1), debconf (>= 0.5) | debconf-2.0
Size: 1248782
SHA256: 6b552ba32770f66678039797aa603326e4dae654dc57c0d8c8f885301b19ad44
SHA1: 67155bca2982439ca0ba5e50fb8019447b8e5cb4
MD5sum: ee4509cd7d6b55aaeb87056b68c8f1b3
Description: Wordlist for Galician (minimos)
 This a list of words for Galician, using the "minimos"
 standard, as put together by Andre Ventas and Ramon Flores.
 .
 There are at least three orthographic conventions for Galician:
 ILG (official), reintegrationist and minimos. ILG uses orthographic
 conventions more similar to Spanish; reintegrationists are
 weighed towards Portuguese. Minimos tries to reach a middle
 consensus point.
Homepage: http://ispell-gl.sourceforge.net
Tag: made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/i/ispell-gl/wgalician-minimos_0.5-35_all.deb

Package: wgerman-medical
Source: medicalterms
Version: 20110608-1
Installed-Size: 336
Maintainer: Tobias Quathamer 
Architecture: all
Provides: wordlist
Depends: debconf (>= 0.5) | debconf-2.0, dictionaries-common
Size: 65756
SHA256: fb07031d3699a21dce0d2d89dcb3184164392ec9559415fa10e1ef051fdc12f7
SHA1: 599aa1803a8ad68dbde50f6d7ec0c47d6d2cd322
MD5sum: fef9ae266fe06b030e98bc860b06fd57
Description: German medical dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/german-medical containing
 a list of German medical words. This list can be used by spelling checkers,
 and by programs such as look(1).
Homepage: http://launchpad.net/medicalterms
Tag: culture::german, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/m/medicalterms/wgerman-medical_20110608-1_all.deb

Package: wget
Version: 1.13.4-3+deb7u6
Architecture: armhf
Maintainer: Noël Köthe 
Installed-Size: 1774
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgpg-error0 (>= 1.10), libidn11 (>= 1.13), zlib1g (>= 1:1.1.4), dpkg (>= 1.15.4) | install-info
Conflicts: wget-ssl
Multi-Arch: foreign
Homepage: http://www.gnu.org/software/wget/
Priority: important
Section: web
Filename: pool/main/w/wget/wget_1.13.4-3+deb7u6_armhf.deb
Size: 752360
SHA256: 61f967e83de1021bbdcb8aa36d7709881e85c3bd0a1ae5e5ea3df804e4d88c2b
SHA1: 8a1ed445fb22d20153d040744f03637a3e4607e0
MD5sum: c04a520b1765d27a555b0fb0d411c8e0
Description: retrieves files from the web
 Wget is a network utility to retrieve files from the web
 using HTTP(S) and FTP, the two most widely used internet
 protocols. It works non-interactively, so it will work in
 the background, after having logged off. The program supports
 recursive retrieval of web-authoring pages as well as FTP
 sites -- you can use Wget to make mirrors of archives and
 home pages or to travel the web like a WWW robot.
 .
 Wget works particularly well with slow or unstable connections
 by continuing to retrieve a document until the document is fully
 downloaded. Re-getting files from where it left off works on
 servers (both HTTP and FTP) that support it. Both HTTP and FTP
 retrievals can be time stamped, so Wget can see if the remote
 file has changed since the last retrieval and automatically
 retrieve the new version if it has.
 .
 Wget supports proxy servers; this can lighten the network load,
 speed up retrieval, and provide access behind firewalls.

Package: wget-el
Version: 0.5.0-8
Installed-Size: 180
Maintainer: Josue Abarca 
Architecture: all
Depends: emacs23 | emacsen, wget
Recommends: w3m-el
Size: 37728
SHA256: e7dd1e4d42c5921bfe52d6542cc5c0ae9f322230e6f69adcc5211da2ce31fc8d
SHA1: 1b1d55378493446d63565b7078c93df01a552e3e
MD5sum: 2975f212d16ae4d7a298fa44050b96f9
Description: interface for wget on Emacsen
 GNU wget is a free software package for retrieving files
 using HTTP, HTTPS and FTP, the most widely-used Internet
 protocols. It is a non-interactive command line tool.
 This package contains an interface program of GNU wget for
 Emacsen.
Homepage: http://pop-club.hp.infoseek.co.jp/emacs/emacs-wget/
Tag: implemented-in::lisp, interface::commandline, protocol::ftp,
 protocol::http, role::plugin, suite::emacs, use::downloading,
 use::synchronizing
Section: lisp
Priority: optional
Filename: pool/main/w/wget-el/wget-el_0.5.0-8_all.deb

Package: whatsnewfm
Version: 0.7.2-1
Installed-Size: 93
Maintainer: Christian Garbs 
Architecture: all
Depends: perl, libberkeleydb-perl, exim4 | mail-transport-agent
Recommends: procmail | maildrop
Size: 27198
SHA256: fdf44a2443a5fe4982d3bef1563ff594f5759f59b283fd66fb13b5eda75e1fd1
SHA1: 7f9957c7347e2bf6b2c329ef06cd570e6adc5868
MD5sum: 549e53562801b1a4fd1255357c53bb0d
Description: utility to filter the daily newsletter from freecode.com
 whatsnewfm is a utility to filter the daily newsletter from freecode.com,
 formerly known as freshmeat.net.
 .
 The main purpose is to cut the huge newsletter to a smaller size by
 only showing items that you didn't see before.
 .
 The items already seen will be stored in a database.  After some
 time, the items expire and will be shown again the next time they are
 included in a newsletter.
 .
 If you find an item that you consider particularly useful, you can
 add it to a "hot" list.  Items in the hot list are always checked for
 updates so that you don't miss anything about your favourite
 programs.
Homepage: http://www.cgarbs.de/whatsnewfm.en.html
Tag: implemented-in::perl, interface::commandline, mail::filters,
 role::program, scope::utility, works-with::mail,
 works-with::software:package
Section: mail
Priority: optional
Filename: pool/main/w/whatsnewfm/whatsnewfm_0.7.2-1_all.deb

Package: whatweb
Version: 0.4.8~git20120606-1
Installed-Size: 3583
Maintainer: Laszlo Boszormenyi (GCS) 
Architecture: all
Depends: ruby | ruby-interpreter
Recommends: ruby-json, ruby-rchardet
Size: 1274096
SHA256: 90d8391c49c6d663407cbe8c92de6d978e22523030f8bea9fdfdd4f4c5717471
SHA1: f87b350e1974c47565204dc426580b128d24e473
MD5sum: e10a9c0946568d196cf26ad5ba8a82d9
Description: Next generation web scanner
 WhatWeb identifies websites. It recognises web technologies including
 content management systems (CMS), blogging platforms, statistic/analytics
 packages, JavaScript libraries, web servers, and embedded devices.
 .
 WhatWeb has over 900 plugins, each to recognise something different.
 It also identifies version numbers, email addresses, account IDs,
 web framework modules, SQL errors, and more.
Homepage: http://www.morningstarsecurity.com/research/whatweb
Section: ruby
Priority: extra
Filename: pool/main/w/whatweb/whatweb_0.4.8~git20120606-1_all.deb

Package: when
Version: 1.1.29-1
Installed-Size: 166
Maintainer: Salvatore Bonaccorso 
Architecture: all
Depends: perl
Recommends: m4
Size: 51702
SHA256: 28559928a1a4f3f79fdffbb06f39385a071e5f983b1da6be1e5d05fc487eb975
SHA1: ded1144bbd9ba63da1fa284120efa4ec72d5f67f
MD5sum: 49e656820d0ef0f56645be191f829e7d
Description: tiny personal calendar
 Minimalistic personal calendar. It runs from the command
 line, and it uses a plain text file format, which you can edit
 using your favorite editor.
Homepage: http://www.lightandmatter.com/when/when.html
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility, use::timekeeping
Section: misc
Priority: extra
Filename: pool/main/w/when/when_1.1.29-1_all.deb

Package: whereami
Version: 0.3.34-0.3
Installed-Size: 416
Maintainer: Andrew McMillan 
Architecture: all
Depends: perl, fping | iputils-ping | netbase | dhcp-client | isc-dhcp-client
Recommends: wireless-tools, resolvconf, iputils-arping
Suggests: pcmcia-cs, fping, net-tools, ifplugd, ethtool, squid | oops
Size: 63332
SHA256: 2448d768b266a3a2a0a3598bfe61c53d196823dbdd074c26a20fd3c881192da6
SHA1: db02990f51a7063ad64c76bd7e70239b512defc2
MD5sum: c444183f3465b6aa3ff7495881a4ca0b
Description: Automatically reconfigure your (laptop) system for a new location
 whereami is a set of useful scripts and a coordinating system for
 automatically re-locating your computer within the current (network)
 environment.
 .
 Typically, you would use whereami to automatically detect and
 re-configure your laptop when you move between a variety of diverse
 networks and/or docking environments.
 .
 Although whereami will work best if all of your networks assign
 addresses through dhcp, this is not a pre-requisite and the system
 allows any technique to be used to ascertain the new location with
 as little ongoing user intervention as possible.
 .
 Having ascertained the correct location, whereami will run appropriate
 (user-configured) scripts to adjust the laptop operation to suit the
 current environment.
 .
 See the man pages for more information.  You may also get useful
 assistance from the debian-laptop mailing list, which is
 frequented by several of the users and contributors.
Tag: hardware::laptop, implemented-in::perl, implemented-in::shell,
 network::configuration, protocol::dhcp, role::program, scope::utility
Section: net
Priority: extra
Filename: pool/main/w/whereami/whereami_0.3.34-0.3_all.deb

Package: wherpygo
Version: 0.2-1
Installed-Size: 154
Maintainer: Bas Wijnen 
Architecture: all
Depends: python (>= 2.6.6-7~), python-lua, python-gps
Size: 34408
SHA256: e02a960820d6ca66c7448cbc403c21d1ea91d8760956d1fccf6a0177f3863fd5
SHA1: b069f731818886d16c17251ddb4238b98c3e07a5
MD5sum: 44425011c6f7632e45f5ece9cc4b8355
Description: player for wherigo cartridges
 A sister-site of geocaching.com is wherigo.org. There, people can publish
 cartridge files, which can contain tour guides, puzzles (often leading to a
 geocache), or other adventure-style games. The adventures always make use of a
 gps receiver to know your position, and part of the adventure is to actually
 walk around with your legs instead of your keys. A player is required to use
 those cartridges. The site provides a non-free player. There are several other
 players available for different phones.
 .
 Wherpygo is another player. It is designed for a netbook. That is not a usual
 platform for the game, because taking a netbook with you is not very
 comfortable. However, the good thing about it is that the interface has more
 features than the default interface. It also includes a debugging mode, which
 can be used by cartridge writers, or players who are stuck.
Section: games
Priority: optional
Filename: pool/main/w/wherpygo/wherpygo_0.2-1_all.deb

Package: whichman
Version: 2.4-7
Architecture: armhf
Maintainer: Robert Luberda 
Installed-Size: 71
Depends: libc6 (>= 2.4)
Homepage: http://linuxfocus.org/~guido/#whichman
Priority: optional
Section: utils
Filename: pool/main/w/whichman/whichman_2.4-7_armhf.deb
Size: 19306
SHA256: fd27ae121c5e8f69812bde9c239ebe5dbf677e4bc7270a115dc8714b0eb4bebf
SHA1: fed5ee6c4547ef0b947a875140f5b796efd2a39f
MD5sum: c3a0f90d294b5f1624305341fe045d6d
Description: Fault tolerant search utilities: whichman, ftff, ftwhich
 whichman uses a fault tolerant approximate matching algorithm to search
 for man-pages that match approximately the specified name.
 The fault tolerant matching is very useful in cases where you remember only
 roughly the name of a command.
 .
    Example: whichman netwhat
    This finds netstat.8: /usr/share/man/man8/netstat.8
 .
 ftff searches the directory tree. This is a case in-sensitive and fault
 tolerant way of 'find . -name xxxx -print'.
 .
 ftwhich finds files which are in one of the directories in your PATH
 and uses a fault tolerant search algorithm.

Package: whichwayisup
Version: 0.7.9-2
Installed-Size: 1540
Maintainer: Debian Games Team 
Architecture: all
Depends: ttf-dejavu-core, python, python-pygame, python-ogg
Size: 894902
SHA256: 58958326bacbecc7f60c1578dc95250e5b79754278d94c67c95208362d10cc1a
SHA1: 9439bc2bf0975b03615e427be66c3bf51d6f752c
MD5sum: bb23739e4605638a79154ca612d3ac22
Description: 2D platform game with a slight rotational twist
 Which Way Is Up, a traditional and challenging 2D platform game with a
 slight rotational twist. Help a mysterious big-eared salaryman named Guy
 find his keys in a labyrinth of dangers and bad dialogue.
Homepage: http://hectigo.net/puskutraktori/whichwayisup/
Tag: game::platform, implemented-in::python, interface::x11, role::program,
 uitoolkit::sdl, use::gameplaying, x11::application
Section: games
Priority: extra
Filename: pool/main/w/whichwayisup/whichwayisup_0.7.9-2_all.deb

Package: whiff
Version: 0.001-1
Installed-Size: 76
Maintainer: Debian Perl Group 
Architecture: all
Depends: perl (>= 5.6.0-16), libfile-which-perl
Size: 8544
SHA256: d8a7eac1ced8283c7a074ecaee5f4a119ef070cfba0356e78dad253f89fea9b6
SHA1: 33b3f8da3c692644d049a7dfc628101bebccc9f6
MD5sum: 9060d0be4e96d529662456b23d33ba16
Description: helper to find the first executable of a series of alternatives
 whiff command, which takes a number of command names and returns the first one
 that exists and is executable.
 .
 It assumes that all the arguments form a best-first list of
 alternatives, and only prints the path to the first alternative found in
 the path. If none is found, it prints an error (to stderr) and exits
 with an error code.
 .
 A programmer interface is available in the App::Whiff module.
Homepage: http://search.cpan.org/dist/App-Whiff/
Tag: implemented-in::perl, role::program, use::searching
Section: perl
Priority: optional
Filename: pool/main/w/whiff/whiff_0.001-1_all.deb

Package: whiptail
Source: newt
Version: 0.52.14-11.1
Architecture: armhf
Maintainer: Alastair McKinstry 
Installed-Size: 79
Depends: libc6 (>= 2.13-28), libnewt0.52, libpopt0 (>= 1.14), libslang2 (>= 2.2.4)
Homepage: https://fedorahosted.org/newt/
Priority: important
Section: utils
Filename: pool/main/n/newt/whiptail_0.52.14-11.1_armhf.deb
Size: 33270
SHA256: 149c358c4d58b30ab1c8ac03ff8aceac3b3848e522ac70160354c525b6d8c214
SHA1: 64ac0d9232f4271eca5e49bb577e8c4958198632
MD5sum: 391478d0ed7b7f4f92d85a23e14e37e8
Description: Displays user-friendly dialog boxes from shell scripts
 Whiptail is a "dialog" replacement using newt instead of ncurses. It
 provides a method of displaying several different types of dialog boxes
 from shell scripts. This allows a developer of a script to interact with
 the user in a much friendlier manner.

Package: whitedune
Version: 0.30.10-1.1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 3756
Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxi6, libxmu6, libxt6, zlib1g (>= 1:1.1.4)
Recommends: xfonts-100dpi | xfonts-100dpi-transcoded | xfonts-75dpi | xfonts-75dpi-transcoded
Suggests: whitedune-docs, x-www-browser
Homepage: http://vrml.cip.ica.uni-stuttgart.de/dune/
Priority: optional
Section: graphics
Filename: pool/main/w/whitedune/whitedune_0.30.10-1.1_armhf.deb
Size: 1497338
SHA256: f6449c1a3a0db0615a15b35737d79427e6dbe690abf5d7a2847190ef0cac0346
SHA1: cf8522e563cd89e8cb000af19cc9e4df71a2f752
MD5sum: 9cb89c624c1b071488ab2a442a572c82
Description: graphical VRML97/X3D viewer, editor, 3D modeller and animation tool
 Whitedune can read VRML97 files, display and let the user change the
 scenegraph and all fields of all nodes.
 .
 The most powerful 3D modelling features of whitedune support the
 VRML97 Amendment1 style NURBS nodes and Superformula based PROTOs.
 This is mainly convenient for building some rounded shapes.
 .
 Whitedune supports some 3D inputdevices like joysticks, gamepads
 or all devices supported via the Xinput protocol and also quadbuffer stereo
 visuals.

Package: whitedune-docs
Source: whitedune
Version: 0.30.10-1.1
Installed-Size: 8591
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Size: 7486158
SHA256: 7c1c22fc3975f60efdeb43774f21bedb9d8c8b8c57b29072f806a2912fcbcefb
SHA1: 077cc968aece5d9e2e0762257eadda9b86136515
MD5sum: f664a82c1e16709c519a6e58a2d03842
Description: documentation for whitedune
 This package contains useful documentation for the whitedune VRML editor
 .
 VRML97 (Virtual Reality Modelling Language) is the ISO standard for
 displaying 3D data over the web.
 .
 VRML has support for animation, realtime
 interaction and multimedia (image, movie, sound). VRML97 can be written
 by popular programs like maya, catia, 3D Studio MAX, cinema4D, blender,
 wings3d and others, but (unlike whitedune) most of this programs support
 only a small part of the VRML97 features.
Homepage: http://vrml.cip.ica.uni-stuttgart.de/dune/
Tag: made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/w/whitedune/whitedune-docs_0.30.10-1.1_all.deb

Package: whizzytex
Version: 1.3.2-1.1
Installed-Size: 1183
Maintainer: Junichi Uekawa 
Architecture: all
Depends: emacs23 | emacsen, texlive-latex-base, advi, dpkg (>= 1.14.18), dpkg (>= 1.15.4) | install-info, tex-common (>= 3)
Recommends: gv, xpdf-reader | pdf-viewer
Size: 729368
SHA256: 97db969d3cab87b25a953e24383c828bca535b1f22714c615e7844d8375af854
SHA1: b0e7cd8b53cf1325c9a57ca15b4fec387ca67d57
MD5sum: 5b9e88de22432d3f4ba661fce4406207
Description: a WYSIWYG emacs environment for LaTeX
 WhizzyTeX is an emacs minor mode for incrementally
 (TeXing and) previewing a LaTeX file while editing at real-time.
 .
 It works with ghostview-based and xdvi-based previewers,
 but enhanced visual effects and wider variety of options
 will be available when using the advi DVI-previewer.
Tag: implemented-in::lisp, role::plugin, suite::emacs, use::editing,
 works-with-format::tex, works-with::text
Section: tex
Priority: optional
Filename: pool/main/w/whizzytex/whizzytex_1.3.2-1.1_all.deb

Package: whohas
Version: 0.29-0.3
Installed-Size: 237
Maintainer: Jonathan Wiltshire 
Architecture: all
Depends: perl, libwww-perl, libxml-simple-perl
Size: 193352
SHA256: 0d4b94060b9eb01b3dd402185a689df46fe866f47cb1f7c788279752a7e97062
SHA1: 22c82d71a20d49c6a6d2055031c962d6527ba883
MD5sum: da7bfa306a3f47c13984c8ecc04b1b42
Description: query multiple distributions' package archives
 whohas is a command line tool that allows you to query several package
 collections at once.
 .
 It supports Arch Linux (and AUR), Debian, Fedora, Gentoo, openSUSE, Slackware
 (and linuxpackages.net), Source Mage Linux, Ubuntu, FreeBSD, NetBSD, OpenBSD,
 Fink, Mandriva, MacPorts and opkg (OpenMoko community) repositories.
 .
 whohas was designed to help package maintainers find ebuilds, pkgbuilds, and
 similar package definitions from other distributions to learn from. However, it
 can also be used by normal users who want to know which distribution provides
 certain packages, and which version of a given package is in use in each
 distribution or in each release of a distribution.
Homepage: http://www.philippwesche.org/200811/whohas/intro.html
Tag: admin::package-management, implemented-in::perl, role::program,
 works-with::text
Section: utils
Priority: extra
Filename: pool/main/w/whohas/whohas_0.29-0.3_all.deb

Package: whois
Version: 5.1.1~deb7u1
Architecture: armhf
Maintainer: Marco d'Itri 
Installed-Size: 337
Depends: libc6 (>= 2.13-28), libidn11 (>= 1.13)
Priority: standard
Section: net
Filename: pool/main/w/whois/whois_5.1.1~deb7u1_armhf.deb
Size: 58832
SHA256: 6ec5abc6b308686c7d87611194917d3ce2fc68a2d27da5173bac4776193474a5
SHA1: a3958143b76d1674ff9acd5e8d2413dc52c0da60
MD5sum: 921e5f28777641ed4ea8e9d87f7403fa
Description: intelligent WHOIS client
 This package provides a commandline client for the WHOIS (RFC 3912)
 protocol, which queries online servers for information such as contact
 details for domains and IP address assignments.
 It can intelligently select the appropriate WHOIS server for most queries.
 .
 The package also contains mkpasswd, a features-rich front end to the
 password encryption function crypt(3).

Package: whowatch
Version: 1.6.0a-2
Architecture: armhf
Maintainer: KELEMEN Peter 
Installed-Size: 126
Depends: libc6 (>= 2.7), libncurses5 (>= 5.5-5~), libtinfo5
Priority: optional
Section: admin
Filename: pool/main/w/whowatch/whowatch_1.6.0a-2_armhf.deb
Size: 42178
SHA256: 4aa996715e6f48473f840c092c9685b393b929edcfd93dfdb1f01375d4209e30
SHA1: 236da275451f6a1cd4e59232236626a1056def3b
MD5sum: 1e8c66a474c7f319e69944bcd0a5ed43
Description: Real-time user logins monitoring tool
 whowatch is a ncurses who-like utility that displays information about the
 users currently logged on to the machine, in real-time.  Besides standard
 information (login name, tty, host, user's process), the type of the
 connection (ie. telnet or ssh) is shown.

Package: why
Version: 2.30+dfsg-5
Architecture: armhf
Maintainer: Debian OCaml Maintainers 
Installed-Size: 41750
Depends: libc6 (>= 2.13-28), liblablgtk2-ocaml-yeug0, ocaml-base-nox-3.12.1, frama-c-base (= 20111001+nitrogen+dfsg-4), make
Recommends: alt-ergo
Suggests: libwhy-coq (= 2.30+dfsg-5)
Homepage: http://why.lri.fr/
Priority: optional
Section: math
Filename: pool/main/w/why/why_2.30+dfsg-5_armhf.deb
Size: 16667612
SHA256: fe54c052797d832881d60cc0535c6e479dd1c86aa8a34eae3cf2cddfe9e700b0
SHA1: 17feb1799dbbe95aaccf0a6a847788757ae2d1f8
MD5sum: eea158959826e52a7731b07a84ee4b1e
Description: Software verification tool
 Why aims at being a verification conditions generator (VCG) back-end
 for other verification tools. It provides a powerful input language
 including higher-order functions, polymorphism, references, arrays and
 exceptions. It generates proof obligations for many systems: the proof
 assistants Coq, PVS, Isabelle/HOL, HOL 4, HOL Light, Mizar and the
 decision procedures Simplify, Alt-Ergo, Yices, CVC Lite and haRVey.

Package: why-examples
Source: why
Version: 2.30+dfsg-5
Installed-Size: 1638
Maintainer: Debian OCaml Maintainers 
Architecture: all
Depends: libwhy-coq, why
Size: 194416
SHA256: f250f51b380abf1a5addee6fad83d6205fc29ddee442208dcaf857566226d931
SHA1: 6b4cc5ca3ae4825ff07837b1da6215b06ee83fb1
MD5sum: 42044debb6746e52695581980b87e0fb
Description: Examples of programs certified with Why
 Why aims at being a verification conditions generator (VCG) back-end
 for other verification tools. It provides a powerful input language
 including higher-order functions, polymorphism, references, arrays and
 exceptions. It generates proof obligations for many systems: the proof
 assistants Coq, PVS, Isabelle/HOL, HOL 4, HOL Light, Mizar and the
 decision procedures Simplify, Alt-Ergo, Yices, CVC Lite and haRVey.
 .
 This package contains examples of programs verified using Why.
Homepage: http://why.lri.fr/
Tag: devel::doc, devel::examples, role::documentation
Section: doc
Priority: optional
Filename: pool/main/w/why/why-examples_2.30+dfsg-5_all.deb

Package: whysynth
Version: 20090403-1.2
Architecture: armhf
Maintainer: rosea grammostola 
Installed-Size: 3450
Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfftw3-3, libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), liblo7 (>= 0.26~repack), libpango1.0-0 (>= 1.14.0)
Suggests: qjackctl, vkeybd, dssi-host-jack
Homepage: http://www.smbolton.com/whysynth.html
Priority: optional
Section: sound
Filename: pool/main/w/whysynth/whysynth_20090403-1.2_armhf.deb
Size: 1849326
SHA256: 4dffd5df5e7de51c8cddb909651ca205cb94ebbc921e9e3005827b7f3761e099
SHA1: cd93ed68b990caa52323e0cabcfc1952f45f050e
MD5sum: ce72c7580c7226cb2624df3bea917483
Description: DSSI Soft Synth Interface
 Disposable Soft Synth Interface (DSSI).  A brief list of features:
   - 4 oscillators, 2 filters, 3 LFOs, and 5 envelope generators per voice.
   - 10 oscillator modes minBLEP, wavecycle, asynchronous granular, three
     FM modes, waveshaper, noise, PADsynth, and phase distortion.
   - 6 filter modes.
   - flexible modulation and mixdown options.
 DSSI is a plugin API for software instruments (soft synths) with user
 interfaces, permitting them to be hosted in-process by audio applications.
 More information on DSSI can be found at: http://dssi.sourceforge.net/

Package: whyteboard
Version: 0.41.1-4
Installed-Size: 2064
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-wxgtk2.8
Size: 361442
SHA256: a6f58eaa8dcb95e0a2251639dba4a455ef40235cf7113faaffe627141d356810
SHA1: 3b1875c8b7c1dbcdf7786f0897003774dc899b42
MD5sum: cdc0ccc02742285ee59b6153e160f816
Description: overlay painting and annotation application
 Whyteboard is a free painting application for Linux, Windows and Mac. It is
 suited towards creating visual presentations and for overlaying PDF images
 with annotations.
Homepage: http://whyteboard.org/
Tag: interface::x11, office::presentation, role::program,
 uitoolkit::wxwidgets, x11::application
Section: text
Priority: extra
Filename: pool/main/w/whyteboard/whyteboard_0.41.1-4_all.deb

Package: wicd
Version: 1.7.2.4-4
Installed-Size: 48
Maintainer: David Paleino 
Architecture: all
Depends: wicd-daemon (= 1.7.2.4-4), wicd-gtk (= 1.7.2.4-4) | wicd-curses (= 1.7.2.4-4) | wicd-cli (= 1.7.2.4-4) | wicd-client
Size: 15922
SHA256: 7d7b6268eb999f5531720f59ac8ffb1a1be6d1d6ca227e18ac799f756fa7f8e0
SHA1: fec37bc41c6fcb6265d625ba45db321ca96190ff
MD5sum: a1b6d8d5bbd2f0ca1c154c1f6c822826
Description: wired and wireless network manager - metapackage
 Wicd is a general-purpose network configuration server which aims
 to provide a simple but flexible interface for connecting to networks.
 Its features include:
  * wide variety of settings;
  * ability to connect to (and maintain profiles for) both wired and
    wireless networks;
  * support for many encryption schemes, including WEP, WPA, WPA2 and
    custom schemes;
  * wireless-tools compatibility;
  * tray icon showing network activity and signal strength;
  * lack of GNOME dependencies (although it does require GTK+), making it
    easy to use in Xfce, Fluxbox, Openbox, Enlightenment, etc.
 .
 This is a metapackage, it allows installation of all the components of
 Wicd, including one of the clients, which must be manually chosen.
Homepage: http://wicd.sourceforge.net/
Tag: implemented-in::python, network::configuration, role::metapackage,
 role::program, scope::utility, use::configuring
Section: net
Priority: optional
Filename: pool/main/w/wicd/wicd_1.7.2.4-4_all.deb

Package: wicd-cli
Source: wicd
Version: 1.7.2.4-4
Installed-Size: 87
Maintainer: David Paleino 
Architecture: all
Replaces: wicd (<< 1.7.0~a3)
Provides: wicd-client
Depends: python (>= 2.6.6-7~), wicd-daemon (= 1.7.2.4-4)
Recommends: sudo
Breaks: wicd (<< 1.7.0~a3)
Size: 19556
SHA256: 3cbaa47e2c078426e811f6cebf8c27bb7f8000124870550a4dd2ce5645d9233a
SHA1: da4eef87b551dcd84d83cc7c921c4de3a8c44e67
MD5sum: dbc161aa2da5f470037beac04b89da9b
Description: wired and wireless network manager - scriptable console client
 Wicd is a general-purpose network configuration server which aims
 to provide a simple but flexible interface for connecting to networks.
 Its features include:
  * wide variety of settings;
  * ability to connect to (and maintain profiles for) both wired and
    wireless networks;
  * support for many encryption schemes, including WEP, WPA, WPA2 and
    custom schemes;
  * wireless-tools compatibility.
 .
 This package provides a scriptable console client.
Homepage: http://wicd.sourceforge.net/
Tag: admin::hardware, interface::commandline, interface::daemon,
 interface::text-mode, network::client, network::configuration,
 network::service, role::program, use::configuring
Section: net
Priority: optional
Filename: pool/main/w/wicd/wicd-cli_1.7.2.4-4_all.deb

Package: wicd-curses
Source: wicd
Version: 1.7.2.4-4
Installed-Size: 195
Maintainer: David Paleino 
Architecture: all
Replaces: wicd (<= 1.6.2.2-4)
Provides: wicd-client
Depends: python (>= 2.6.6-7~), python-urwid, wicd-daemon (= 1.7.2.4-4)
Recommends: sudo
Breaks: wicd (<= 1.6.2.2-4)
Size: 45320
SHA256: 771f0f9ce475043c6e8a5fae76a05ed5f5c21bb9b0d9cf3c5b484961e2c38249
SHA1: 6af66104968e520532e1fb9646a2c1f00ec1111b
MD5sum: ca938326e9b019e71798a12b191a6067
Description: wired and wireless network manager - Curses client
 Wicd is a general-purpose network configuration server which aims
 to provide a simple but flexible interface for connecting to networks.
 Its features include:
  * wide variety of settings;
  * ability to connect to (and maintain profiles for) both wired and
    wireless networks;
  * support for many encryption schemes, including WEP, WPA, WPA2 and
    custom schemes;
  * wireless-tools compatibility.
 .
 This package provides the Curses/Urwid client, which is the client
 of choice in environments without X server.
Homepage: http://wicd.sourceforge.net/
Tag: implemented-in::python, interface::text-mode, network::configuration,
 role::program, uitoolkit::ncurses
Section: net
Priority: optional
Filename: pool/main/w/wicd/wicd-curses_1.7.2.4-4_all.deb

Package: wicd-daemon
Source: wicd
Version: 1.7.2.4-4
Installed-Size: 1655
Maintainer: David Paleino 
Architecture: all
Replaces: wicd (<= 1.6.2.2-4)
Depends: python (>= 2.6.6-7~), python-dbus, python-gobject, dbus, wpasupplicant, wireless-tools, dhcpcd | isc-dhcp-client | pump | udhcpc, net-tools | ethtool, net-tools | iproute, adduser, lsb-base (>= 3.2-13), psmisc, iputils-ping | inetutils-ping, python-wicd (= 1.7.2.4-4)
Pre-Depends: debconf (>= 1.5.34)
Recommends: wicd-gtk (= 1.7.2.4-4) | wicd-curses (= 1.7.2.4-4) | wicd-cli (= 1.7.2.4-4) | wicd-client, rfkill
Suggests: pm-utils
Breaks: wicd (<= 1.6.2.2-4)
Size: 229322
SHA256: 98c9a3bd6359a94444bf13ef6a7f7b30d9b5b830e31cf30a2796557b86fd0f47
SHA1: f38ec08316ed44a1ea34d62d0368623c16b8a200
MD5sum: 0cf4f6820e0758bc8de96324eab8b33c
Description: wired and wireless network manager - daemon
 Wicd is a general-purpose network configuration server which aims
 to provide a simple but flexible interface for connecting to networks.
 Its features include:
  * wide variety of settings;
  * ability to connect to (and maintain profiles for) both wired and
    wireless networks;
  * support for many encryption schemes, including WEP, WPA, WPA2 and
    custom schemes;
  * wireless-tools compatibility.
 .
 This package contains the daemon needed to run Wicd.
Homepage: http://wicd.sourceforge.net/
Tag: implemented-in::python, interface::daemon, network::configuration,
 role::program
Section: net
Priority: optional
Filename: pool/main/w/wicd/wicd-daemon_1.7.2.4-4_all.deb

Package: wicd-gtk
Source: wicd
Version: 1.7.2.4-4
Installed-Size: 552
Maintainer: David Paleino 
Architecture: all
Replaces: wicd (<= 1.6.2.2-4)
Provides: wicd-client
Depends: python (>= 2.6.6-7~), python-gtk2, python-glade2, wicd-daemon (= 1.7.2.4-4)
Recommends: gksu, python-notify
Breaks: wicd (<= 1.6.2.2-4)
Size: 118526
SHA256: 9fe0684b2dc529bee44d102d0ed8e812b87d3f86059a7e9c4e8034630eea6336
SHA1: 489343a3b20eaed18d62de82151e91d46e57113b
MD5sum: db1ecdf0b5afb25e6090a46c36cc874e
Description: wired and wireless network manager - GTK+ client
 Wicd is a general-purpose network configuration server which aims
 to provide a simple but flexible interface for connecting to networks.
 Its features include:
  * wide variety of settings;
  * ability to connect to (and maintain profiles for) both wired and
    wireless networks;
  * support for many encryption schemes, including WEP, WPA, WPA2 and
    custom schemes;
  * wireless-tools compatibility.
 .
 This package provides the GTK+ client, which has no GNOME dependencies,
 making it easy to use in Xfce, Fluxbox, Openbox, Enlightenment, ...
 It also supports a tray icon showing network activity and signal
 strength.
Homepage: http://wicd.sourceforge.net/
Tag: implemented-in::python, interface::x11, network::configuration,
 role::program, scope::application, uitoolkit::gtk, x11::application
Section: net
Priority: optional
Filename: pool/main/w/wicd/wicd-gtk_1.7.2.4-4_all.deb

Package: wicd-kde
Version: 0.3.0-2
Architecture: armhf
Maintainer: Iker Salmón San Millán (shaola) 
Installed-Size: 858
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.5), libkdeui5 (>= 4:4.4.5), libplasma3 (>= 4:4.5.90), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.5.3), libsolid4 (>= 4:4.4.5), libstdc++6 (>= 4.4.0), wicd-daemon
Provides: wicd-client
Homepage: https://projects.kde.org/projects/extragear/network/wicd-kde
Priority: optional
Section: kde
Filename: pool/main/w/wicd-kde/wicd-kde_0.3.0-2_armhf.deb
Size: 205934
SHA256: 8de8fec42433c17a5b76f24a5e3043b8ec8217cb0f5178e7b0857b051f5997fd
SHA1: ef1519bf48c7e1a66941cb3950319a680af93c81
MD5sum: 69691f2c0559dcebf86bef138bfeb8c1
Description: Wired and wireless network manager - plasmoid
 Wicd is a general-purpose network configuration server which aims
 to provide a simple but flexible interface for connecting to networks.
 Its features include:
 wide variety of settings.
  * ability to connect to (and maintain profiles for) both wired and
    wireless networks;
  * support for many encryption schemes, including WEP, WPA, WPA2 and
    custom schemes;
  * wireless-tools compatibility.
 .
 This package provides the KDE plasmoid designed to integrate in the KDE
 desktop environment.
 It also supports a tray icon showing network activity and signal
 strength.

Package: wide-dhcpv6-client
Source: wide-dhcpv6
Version: 20080615-11.1
Architecture: armhf
Maintainer: Jérémie Corbier 
Installed-Size: 254
Depends: libc6 (>= 2.7), debconf (>= 0.5) | debconf-2.0, lsb-base, sharutils
Homepage: http://wide-dhcpv6.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/w/wide-dhcpv6/wide-dhcpv6-client_20080615-11.1_armhf.deb
Size: 99330
SHA256: 3f98c011f8ad02f315a742253fd52b89979f4531c722cf4c313c3032719d9f9e
SHA1: ff0a6dc5643a8b58694f1e4fe7087900ab857faa
MD5sum: a3bc22631c085f0d165bc2359d690ec3
Description: DHCPv6 client for automatic IPv6 hosts configuration
 This is the DHCPv6 client from WIDE project. For more information visit the
 project web site at http://wide-dhcpv6.sourceforge.net/
 .
 DHCPv6 allows prefix delagation and host configuration for the IPv6 network
 protocol.
 .
 Multiple network interfaces are supported by this DHCPv6 package.

Package: wide-dhcpv6-relay
Source: wide-dhcpv6
Version: 20080615-11.1
Architecture: armhf
Maintainer: Jérémie Corbier 
Installed-Size: 108
Depends: libc6 (>= 2.4), debconf (>= 0.5) | debconf-2.0, lsb-base
Homepage: http://wide-dhcpv6.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/w/wide-dhcpv6/wide-dhcpv6-relay_20080615-11.1_armhf.deb
Size: 41746
SHA256: ba29442c912bda06007b0535eb2683e069148500c4050e636cb9d151d39937ef
SHA1: c36dd26d77db0ef854fa96fd24441b51a518a516
MD5sum: a6e86e5adeab79a91ad5b2a2e0e6c49b
Description: DHCPv6 relay for automatic IPv6 hosts configuration
 This is the DHCPv6 relay from WIDE project. For more information visit the
 project web site at http://wide-dhcpv6.sourceforge.net/
 .
 DHCPv6 allows prefix delagation and host configuration for the IPv6 network
 protocol.
 .
 Multiple network interfaces are supported by this DHCPv6 package.

Package: wide-dhcpv6-server
Source: wide-dhcpv6
Version: 20080615-11.1
Architecture: armhf
Maintainer: Jérémie Corbier 
Installed-Size: 220
Depends: libc6 (>= 2.7), debconf (>= 0.5) | debconf-2.0, lsb-base
Homepage: http://wide-dhcpv6.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/w/wide-dhcpv6/wide-dhcpv6-server_20080615-11.1_armhf.deb
Size: 84096
SHA256: 72add6dcade7a6a8b0f6c93dd4eea308d6605c50c39283b6309b92d3f510a955
SHA1: f06caa61e293f8c71dc69fa0cd1c3da2cf2ed21d
MD5sum: 7ecadb8e1a1d9880f62c7311695382c8
Description: DHCPv6 server for automatic IPv6 hosts configuration
 This is the DHCPv6 server from WIDE project. For more information visit the
 project web site at http://wide-dhcpv6.sourceforge.net/
 .
 DHCPv6 allows prefix delagation and host configuration for the IPv6 network
 protocol.
 .
 Multiple network interfaces are supported by this DHCPv6 package.

Package: widelands
Version: 1:17-3
Architecture: armhf
Maintainer: Martin Quinson 
Installed-Size: 5258
Depends: widelands-data (= 1:17-3), libboost-signals1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglew1.7 (>= 1.7.0), liblua5.1-0, libpng12-0 (>= 1.2.13-4), libsdl-gfx1.2-4 (>= 2.0.22), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl-net1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4), ttf-freefont
Homepage: http://www.widelands.org
Priority: extra
Section: games
Filename: pool/main/w/widelands/widelands_17-3_armhf.deb
Size: 2179664
SHA256: 69a33d686957d5719576bedeea2cd65f52d5a8a11bc347b306c65adbb773bd93
SHA1: 75a6ada6571d8deb14abf255ab1899d9e77a490d
MD5sum: 67206083987105583443bb8a84754c51
Description: fantasy real-time strategy game
 Widelands is a strategy game aiming for gameplay similar to Settlers II by
 BlueByte.
 .
 In this game, you start out on a small piece of land with nothing more than
 a few of useful resources. Using those, you can build yourself an empire
 with many thousands of inhabitants. On your way towards this goal, you will
 have to build up an economic infrastructure, explore the lands around you
 and face enemies who are trying to rule the world just like you do.

Package: widelands-data
Source: widelands
Version: 1:17-3
Installed-Size: 200740
Maintainer: Martin Quinson 
Architecture: all
Depends: ttf-freefont
Size: 176313568
SHA256: 0dc7fea66a373771f1aaed5c00138d7bada110947ee99d6109f4056e12609827
SHA1: 9697f297b1910bae6e41c4beb517eaf2b2bf1a81
MD5sum: 72b0c1ec6406674be03db1324e37d317
Description: fantasy real-time strategy game (data files)
 This package contains the graphics and levels for Widelands.
 .
 Widelands is a strategy game aiming for gameplay similar to Settlers II by
 BlueByte.
 .
 In this game, you start out on a small piece of land with nothing more than
 a few of useful resources. Using those, you can build yourself an empire
 with many thousands of inhabitants. On your way towards this goal, you will
 have to build up an economic infrastructure, explore the lands around you
 and face enemies who are trying to rule the world just like you do.
Homepage: http://www.widelands.org
Tag: role::app-data
Section: games
Priority: extra
Filename: pool/main/w/widelands/widelands-data_17-3_all.deb

Package: widelands-dbg
Source: widelands
Version: 1:17-3
Architecture: armhf
Maintainer: Martin Quinson 
Installed-Size: 86676
Depends: widelands (= 1:17-3)
Homepage: http://www.widelands.org
Priority: extra
Section: debug
Filename: pool/main/w/widelands/widelands-dbg_17-3_armhf.deb
Size: 32551000
SHA256: 57405f35827b3afccf9816fe5a728bffaa32e8a9e7d8c8f1eaeb9aa91181ab59
SHA1: 44261f47280571b674aabd3485485f4b8334b9ad
MD5sum: ddfa721b3afb4f9a1e8a8a38caec83be
Description: fantasy real-time strategy game (debug cruft)
 This package contains the debugging symbols associated to widelands.
 .
 If you don't want to debug widelands (nor report bugs against it), you
 don't need it.

Package: widemargin
Version: 1.0.11-4
Installed-Size: 4544
Maintainer: Debian CLI Applications Team 
Architecture: all
Depends: mono-runtime (>= 2.10.1), libglib2.0-cil (>= 2.12.10-1ubuntu1), libgtk2.0-cil (>= 2.12.10-1ubuntu1), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-sqlite4.0-cil (>= 2.10.7), libmono-system-core4.0-cil (>= 2.10.3), libmono-system-data4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.7)
Size: 1454732
SHA256: 66c063f26a0438d28e88ebb26aea875c95c3e4f02950a4a81eb2d948de58a733
SHA1: 8a73c9e74b6bdd9f2e9fb8ae248699115769ae2f
MD5sum: 86577d8b57eb50bb98e87d50e96b1a59
Description: bible reading and study application
 Wide Margin is a bible reading and study application. It has a focus on
 speed and simplicity. Features include, as you type searching and passage
 navigation, familiar browser based interface, full navigation history and
 a built in reading planner which will have you read the old testament
 once and the new testament twice every year.
Homepage: https://bitbucket.org/trampster/widemargin
Tag: field::religion, implemented-in::c-sharp, interface::x11, role::program,
 uitoolkit::gtk, x11::application
Section: gnome
Priority: extra
Filename: pool/main/w/widemargin/widemargin_1.0.11-4_all.deb

Package: wifi-radar
Version: 2.0.s08+dfsg-1.1
Installed-Size: 220
Maintainer: Patrick Winnertz 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, python, python-gtk2 (>= 2.0), dhcp3-client | dhcp-client, wireless-tools, menu
Recommends: wpasupplicant
Size: 52378
SHA256: f5cf425a31c108b617dbedb6d3fe3ac24cffbbf6bb7cd858c7c373a8880d6bea
SHA1: c3f3da370270cd488b994e774b7f14ce7cd4dfb9
MD5sum: c67e1b9d51fbf3ee64ca9bb28b43872e
Description: graphical utility for managing Wi-Fi profiles
 WiFi Radar enables you to scan for available wireless networks and create
 profiles containing the network configuration options for your preferred
 networks.  You can drag and drop your preferred networks to arrange the
 profile priority.
 .
 You need to have root access to use this program.
Homepage: http://wifi-radar.berlios.de/
Tag: implemented-in::python, interface::daemon, interface::x11,
 network::configuration, role::program, uitoolkit::gtk, x11::application
Section: net
Priority: extra
Filename: pool/main/w/wifi-radar/wifi-radar_2.0.s08+dfsg-1.1_all.deb

Package: wiggle
Version: 0.8+dfsg1-1
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 138
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5
Homepage: http://cgi.cse.unsw.edu.au/~neilb/source/wiggle/
Priority: optional
Section: vcs
Filename: pool/main/w/wiggle/wiggle_0.8+dfsg1-1_armhf.deb
Size: 64516
SHA256: 654ef6f0302dfec6149a88be910f25c1eec45ec4ddc142abe06992e9336eff6b
SHA1: 50583b2f76537fc0da15bcca08df6fab5ff06b8b
MD5sum: 0e63ffc92f02e200a6af9ad61076838e
Description: apply patches with conflicting changes
 Wiggle is a program for applying patches that 'patch' cannot
 apply due to conflicting changes in the original.
 .
 Wiggle will always apply all changes in the patch to the original.
 If it cannot find a way to cleanly apply a patch, it inserts it
 in the original in a manner similar to 'merge', and report an
 unresolvable conflict.

Package: wiipdf
Version: 1.4-2
Architecture: armhf
Maintainer: Michael Stapelberg 
Installed-Size: 46
Depends: libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libcwiid1 (>= 0.6.00+svn184), xpdf
Homepage: http://michael.stapelberg.de/wiipdf
Priority: optional
Section: utils
Filename: pool/main/w/wiipdf/wiipdf_1.4-2_armhf.deb
Size: 6732
SHA256: 7838b8bbcff199d16a5584ec6b43af1bf5acdbbc09579bb3f3f048dd15a0108f
SHA1: b1035f20772ca024e83dacda58c615d727d48a33
MD5sum: 03e9c0983518c3f1db21ef35ce896ede
Description: present a PDF file using your wiimote
 wiipdf is a tiny tool to present a PDF using xpdf and your wiimote.
 After providing it the bluetooth-ID of your wiimote it connects and
 lets you use the A and B button to go one slide forward respectively
 backward in your presentation. Each keypress is confirmed by a short
 rumble of your wiimote.

Package: wiki2beamer
Version: 0.9.4-1
Installed-Size: 432
Maintainer: Jan Hauke Rahm 
Architecture: all
Depends: python
Recommends: latex-beamer, texlive-fonts-recommended
Size: 342126
SHA256: 69589ca947b77695a9d5956d664389b135eb4ea6b95aca9ee51e1fc3edab609b
SHA1: bdff43317c725b4712dfa474605a6301b0a66bf4
MD5sum: 04eafcfdd00cd294adcbf51a6e46c75c
Description: Tool to create LaTeX beamer presentations in wiki syntax
 wiki2beamer is a small tool to create LaTeX Beamer presentations from text
 files with a wiki-like syntax. Thus, it enables the user to create beamer
 presentations in a less time-consuming way.
 .
 Written in Python it's very small and portable.
Homepage: http://www-user.tu-chemnitz.de/~mren/wiki2beamer/doku.php
Tag: implemented-in::python, role::program, scope::utility, use::converting
Section: text
Priority: extra
Filename: pool/main/w/wiki2beamer/wiki2beamer_0.9.4-1_all.deb

Package: wikipedia2text
Version: 0.11-2
Installed-Size: 64
Maintainer: Axel Beckert 
Architecture: all
Depends: lynx | www-browser, liburi-perl
Recommends: less | most
Suggests: elinks | links2 | links | lynx | lynx-cur | w3m
Size: 9106
SHA256: dd454151e2664867ce56c221d7a9a0f5ac5e5313cad33cca6d5dfc0e63765ffe
SHA1: 3d5b29596541b164c7443790f3b6151504d8ee3e
MD5sum: 26961b5391e1fc4c31dc496980b27d58
Description: displays Wikipedia articles on the command line
 This script fetches Wikipedia articles (currently supports around 30
 Wikipedia languages) and displays them as plain text in a pager or
 just sends the text to standard out. Alternatively it opens the
 Wikipedia article in a (possibly GUI) web browser or just shows the
 URL of the appropriate Wikipedia article.
Homepage: http://blog.256bit.org/archives/126-Wikipedia-in-der-Shell.html
Tag: implemented-in::shell, interface::commandline, protocol::http,
 role::program, use::text-formatting, use::viewing,
 works-with-format::html, works-with::text
Section: text
Priority: optional
Filename: pool/main/w/wikipedia2text/wikipedia2text_0.11-2_all.deb

Package: wikipediafs
Version: 0.4-4
Installed-Size: 200
Maintainer: Sebastien Delafond 
Architecture: all
Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), fuse-utils (>= 2.2), python-fuse (>= 1:0.2-pre3)
Size: 23756
SHA256: 011e8816951c8c0314724825bc7bc205405ccc52b3899f586bf94569e8c5d815
SHA1: 04dd132d09f195606d719c3f20e2ea7b491c9d2b
MD5sum: 5e96a28635fa539908d699e2558b1b3a
Description: View and edit Wikipedia articles as if they were real files
 WikipediaFS is a mountable Linux virtual file system that enables you
 to deal with Wikipedia (or any Mediawiki-based site) articles as if
 they were real files.
 .
 It is thus possible to use a real text editor to view and edit
 articles.
 .
 http://wikipediafs.sourceforge.net
Homepage: http://wikipediafs.sourceforge.net
Python-Version: 2.6, 2.7
Tag: admin::filesystem, implemented-in::python, interface::commandline,
 network::client, protocol::http, role::plugin, use::organizing
Section: utils
Priority: extra
Filename: pool/main/w/wikipediafs/wikipediafs_0.4-4_all.deb

Package: wikkid
Version: 0.1+bzr69-1
Installed-Size: 492
Maintainer: Debian Bazaar Maintainers 
Architecture: all
Depends: python-bzrlib (>= 2.0~), python-jinja2, python-pygments, python-twisted-core, python-twisted-web, python-webob, python-zope.interface, python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-docutils, python-twisted
Recommends: bzr
Enhances: bzr
Size: 77240
SHA256: 7faadbfd8a40d07a9f58ad651784e57f20e27b719115e3fc0c3e69d758a184b2
SHA1: 878d8c1662fdb72b5a7f27f16a13bc1872e31ee1
MD5sum: 9e949c4a4b6fbb72397e89b91701f78f
Description: Bazaar-backed wiki
 A wiki that is backed by Bazaar that allows local branching of the wiki for
 later merging. It doesn't have any page locks and uses Bazaar's three way
 merging.
Homepage: http://launchpad.net/wikkid
Tag: devel::rcs, implemented-in::python, interface::web, protocol::http,
 role::plugin, role::program, web::wiki, works-with::vcs
Section: web
Priority: optional
Filename: pool/main/w/wikkid/wikkid_0.1+bzr69-1_all.deb

Package: wildmidi
Version: 0.2.3.4-2.1
Architecture: armhf
Maintainer: Emmet Hikory 
Installed-Size: 83
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libwildmidi1 (>= 0.2.3)
Homepage: http://wildmidi.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/w/wildmidi/wildmidi_0.2.3.4-2.1_armhf.deb
Size: 22942
SHA256: 394460ead7bc0c60550b3c108ca13b01f1d07d9959e130f4fe2e5f7f315f29af
SHA1: 24714acee24df1fb97d4fa9bed119f3e49822ab1
MD5sum: 54be0d246d4bae7e8ca878b96519c298
Description: software MIDI player
 Minimal MIDI player implementation based on the wildmidi library

Package: wiliki
Version: 0.6.2-1
Installed-Size: 299
Maintainer: YAEGASHI Takeshi 
Architecture: all
Depends: gauche, gauche-gdbm
Recommends: apache2 | httpd-cgi
Size: 69532
SHA256: 7f59faaf64d6648cc939675804911f1be3eef8b22b5a3143d8e4be4ec1b32ebf
SHA1: 0de6041555f6e42fb285a78d61c46077409c83b5
MD5sum: 269c0eb5630f4fbf803e77a6079e29a3
Description: Yet another Wiki clone written in Scheme
 WiLiKi is yet another Wiki-clone suitable for intra-net collaborative
 work in multilingual environment.  The features include multiple
 back-end databases, permission and version control, and easy access
 from other programs.
Homepage: http://practical-scheme.net/wiliki/wiliki.cgi
Tag: implemented-in::scheme, interface::web, role::program, web::wiki
Section: web
Priority: optional
Filename: pool/main/w/wiliki/wiliki_0.6.2-1_all.deb

Package: wily
Version: 0.13.41-7.2
Architecture: armhf
Maintainer: MJ Ray (Debian) 
Installed-Size: 408
Depends: libc6 (>= 2.4), libx11-6, libxt6
Suggests: 9fonts, rc
Priority: optional
Section: editors
Filename: pool/main/w/wily/wily_0.13.41-7.2_armhf.deb
Size: 185208
SHA256: c7e7d6c350d0b43bccabfe56b8f8d8fe860c0551f456e4ef296c13e20352699e
SHA1: 0c6b91998059c16f259d65e2e4d9d9ed53507898
MD5sum: 54f76741cc41fce6f988cb580cb79c36
Description: A work-alike of the Acme programming environment for Plan 9
 Wily's basic functions are to edit and search for text and to run
 commands. It is intended to eventually be an integrated working
 environment for all tasks involving text. It uses the mouse heavily.
 .
 It emphasises speed for experienced users, but is probably simple
 to learn as it has very few concepts.

Package: wims
Version: 4.03a-7
Architecture: armhf
Maintainer: Georges Khaznadar 
Installed-Size: 48628
Pre-Depends: adduser
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgd2-xpm (>= 2.0.36~rc1~dfsg), debconf (>= 0.5) | debconf-2.0, imagemagick (>= 4:5.4.4.5-1) | graphicsmagick-imagemagick-compat, pari-gp, gnuplot, maxima (>= 5.26.0), maxima-share, octave3.0 | octave, fortunes, texlive-base, texlive-latex-base, texlive-fonts-recommended, mailutils | mailx, units-filter (>= 3.5), chemeq (>= 2.7), graphviz, libjs-prototype, libjs-mootools, ldap-utils, apache2 | apache, wims-modules (>= 4.01c)
Recommends: yacas, gap, wims-help, wims-java-applets
Suggests: wims-extra-all | wims-extra
Conflicts: libwims (<< 3.55), wims-common (<< 3.55), wims-extra (<< 3.62-4), wims-modules-es (<< 3.62-4), wims-modules-fr (<< 3.55)
Replaces: libwims (<< 3.55), wims-common (<< 3.55), wims-modules-es (<< 3.62-4), wims-modules-fr (<< 3.55)
Provides: wims-modules-es, wims-modules-fr
Priority: optional
Section: web
Filename: pool/main/w/wims/wims_4.03a-7_armhf.deb
Size: 20864056
SHA256: 7259684409a2b8235967d3dff3042821aa04e136e2ce774232113524ae5e4740
SHA1: ea114af41bd7b18080c41b54500dfb862438f056
MD5sum: 54056f603608eebec88aec3521d60656
Description: server for educational content: courses, exercises, and exams
 The WWW Interactive Multipurpose Server is an educational platform
 originally developed for mathematics but now also supporting subjects such
 as physics, chemistry, biology, and languages.
 .
 WIMS features a rich set of resources and exercises either for
 autodidactical use or for training classes, including:
 .
  * exercises with automatic feedback and correction, allowing the
    learners to work at their own pace;
  * interactive exercises with randomly assigned data, allowing the
    learners to work on the same type of exercise but with different
    data and as often as they wish;
  * exercises with an automatic scoring system, which enhances learner
    motivation;
  * user-friendly graphics and calculation tools;
  * courses and documents with hyperlinks to the exercise pool;
    examples in the courses can be made random, so the student can get
    more than one instance of an illustration for tricky concepts;
  * support for exams with pre-published contents. As each individual
    exam will have randomly chosen data, training is possible but
    writing each response in advance is not.
 .
 This package contains the WIMS FastCGI server, serving Open Exercise
 Format (OEF) documents. OEF is a very high-level language which
 allows authors to focus on pedagogical issues.

Package: wims-extra-all
Source: wims-extra
Version: 3.62-6
Installed-Size: 241780
Maintainer: Georges Khaznadar 
Architecture: all
Replaces: wims-extra
Provides: wims-extra
Depends: wims
Conflicts: wims-extra
Size: 56349830
SHA256: 09cc2a89eef70ff7ea0962528df70821777ad8951d4404f98708c1a1303ead46
SHA1: afbfc5c01b1e89cbba630eca39a7912ff286eeab
MD5sum: 5f22ca318fc9626230e835653b0836bd
Description: extra modules for Wims
 These modules for Wims are not downloaded with the upstream official package,
 they are distributed directly from the main website of Wims (wims.unice.fr)
 to other Wims servers by automated scripts. These modules come from various
 authors and they have been checked by Wims' main author, Gang Xiao.
 This package enhances the features of Wims.
Tag: role::app-data
Section: web
Priority: optional
Filename: pool/main/w/wims-extra/wims-extra-all_3.62-6_all.deb

Package: wims-extra-es
Source: wims-modules-es
Version: 3.64-1
Installed-Size: 11684
Maintainer: Georges Khaznadar 
Architecture: all
Replaces: wims-extra
Provides: wims-extra
Depends: wims
Conflicts: wims-extra
Size: 699928
SHA256: 52e4b56a4e6888a987a1c593d44b1fae7fd13452ef20f3e3889137196b93ed8b
SHA1: a457b2817e686e379c96ab35aa6efdb81b9469cf
MD5sum: ca8bd3cba85bce311898cb3e818eefd1
Description: Spanish modules for WIMS
 The modules of Wims implement every detail of the user interface,
 ranging from administrative trivia to educational contents. this release of
 Spanish modules has been funded by the project GNU Linex, and is now
 partly merged in the upstream sources, and partly available as modules
 downloadable automatically from the mainstream's website.
Tag: culture::spanish, role::app-data
Section: web
Priority: optional
Filename: pool/main/w/wims-modules-es/wims-extra-es_3.64-1_all.deb

Package: wims-help
Version: 4.01-2
Installed-Size: 18568
Maintainer: Georges Khaznadar 
Architecture: all
Size: 14263792
SHA256: 133d806d7a2a37de6f1e738fdbc01f714ba09e0178a95b55607ce4d294cd1b89
SHA1: afa146cb5bddef4751b55ffee7a39420a8309504
MD5sum: 5e8432f81f5ec8fc9e3ee46a27f5886c
Description: help files for wims
 Wims' modules implement every user interface beyond its main page,
 this package features the help modules.
 .
 WIMS is an acronym for WWW Interactive Mathematics Server. Nowadays
 WIMS serves much more than mathematic contents (physics, chemistry,
 biology, languages).
 .
 The WIMS educational platform features a rich set of resources and
 exercises either with free access or for personalised study.
Homepage: http://wimsedu.info
Tag: role::app-data, role::documentation
Section: web
Priority: extra
Filename: pool/main/w/wims-help/wims-help_4.01-2_all.deb

Package: wims-modules
Source: wims
Version: 4.03a-7
Installed-Size: 7256
Maintainer: Georges Khaznadar 
Architecture: all
Size: 1777198
SHA256: 6e19ea1711cf526a6e9a3773ed4c9a405afa23b5687d996577313e6df96e27d4
SHA1: 802f4c18fad938e8c7fd0becc4dcbd28bed1e9b6
MD5sum: 2b83c63cb107c4f62d2de4ab5508de8a
Description: minimal set of modules used by WIMS
 WIMS uses modules to implement every user interface beyond its main page,
 including administrative tasks, help, mathematic tools, libraries, and a
 few interactive exercises.
 .
 To get the thousands of exercises which give WIMS its power, consider
 installing the recommended package wims-extra-all (or other packages
 which provide wims-extra).
 .
 Other educational materials can be downloaded outside of the Debian
 system by automated updates. See http://wimsedu.info
Tag: role::app-data
Section: web
Priority: optional
Filename: pool/main/w/wims/wims-modules_4.03a-7_all.deb

Package: win32-loader
Version: 0.7.4.7+deb7u2
Installed-Size: 566
Maintainer: Debian Install System Team 
Architecture: all
Suggests: wine
Size: 493864
SHA256: 1a623a60f13ad29a9bffd605c0c592bce537b9558dffc1707fd5674c0b79eeaf
SHA1: 2a02608d570436b3fb79501ac6a15dfd648ad050
MD5sum: 2b442c8d6607539a1f06626f642dde1f
Description: Debian-Installer loader for win32
 This package provides a win32 program that can be used as a loader for
 Debian Installer, acting as a more user-friendly boot mechanism than
 traditional BIOS-based boot.
 .
 This package contains the cdrom/usb-disk add-on that starts a media-based
 install.
Built-Using: cpio (= 2.11+dfsg-0.1), debian-archive-keyring (= 2012.4), gnupg (= 1.4.12-7+deb7u4), grub2 (= 1.99-27+deb7u2), gzip (= 1.5-1.1), ipxe (= 1.0.0+git-20120202.f6840ba-3), loadlin (= 1.6f-1)
Section: utils
Priority: extra
Filename: pool/main/w/win32-loader/win32-loader_0.7.4.7+deb7u2_all.deb

Package: winbind
Source: samba
Version: 2:3.6.6-6+deb7u16
Architecture: armhf
Maintainer: Debian Samba Maintainers 
Installed-Size: 11155
Pre-Depends: dpkg (>= 1.15.6~)
Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libcomerr2 (>= 1.01), libgcc1 (>= 1:4.4.0), libgssapi-krb5-2 (>= 1.10+dfsg~), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.10+dfsg~), libldap-2.4-2 (>= 2.4.7), libpam0g (>= 0.99.7.1), libpopt0 (>= 1.14), libtalloc2 (>= 2.0.4~git20101213), libtdb1 (>= 1.2.7+git20101214), libwbclient0 (= 2:3.6.6-6+deb7u16), zlib1g (>= 1:1.1.4), samba-common (= 2:3.6.6-6+deb7u16), lsb-base (>= 3.0-6), adduser
Recommends: libpam-winbind, libnss-winbind
Replaces: samba (<= 2.2.3-2)
Multi-Arch: foreign
Homepage: http://www.samba.org
Priority: optional
Section: net
Filename: pool/main/s/samba/winbind_3.6.6-6+deb7u16_armhf.deb
Size: 2141884
SHA256: ec69510d85a854eb44fc059d0cec1a552582e4e02a7a0b7b7df6ddef2215b8af
SHA1: ba8156da8f5b30f70aab520bb6ef5b10d277d763
MD5sum: 67fc2edaeebc6edefd76aaddcb67dc0e
Description: Samba nameservice integration server
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file and printer sharing with
 Microsoft Windows, OS X, and other Unix systems.  Samba can also function
 as an NT4-style domain controller, and can integrate with both NT4 domains
 and Active Directory realms as a member server.
 .
 This package provides winbindd, a daemon which integrates authentication
 and directory service (user/group lookup) mechanisms from a Windows
 domain on a Linux system.
 .
 Winbind based user/group lookups via /etc/nsswitch.conf can be enabled via
 the libnss-winbind package. Winbind based Windows domain authentication can
 be enabled via the libpam-winbind package.

Package: winbind4
Source: samba4
Version: 4.0.0~beta2+dfsg1-3.2
Architecture: armhf
Maintainer: Samba Debian Maintainers 
Installed-Size: 243
Depends: samba4 (= 4.0.0~beta2+dfsg1-3.2), libbsd0 (>= 0.0), libc6 (>= 2.13-28), libgensec0, libpam0g (>= 0.99.7.1), libpopt0 (>= 1.14), libsamba-credentials0, libsamba-hostconfig0, libsamba-util0, libtalloc2 (>= 2.0.4~git20101213), libwbclient0 (>= 2:3.2.0)
Enhances: libkrb5-26-heimdal
Conflicts: winbind
Homepage: http://www.samba.org/
Priority: optional
Section: net
Filename: pool/main/s/samba4/winbind4_4.0.0~beta2+dfsg1-3.2_armhf.deb
Size: 84014
SHA256: a5c726cd5230b40b1aa92a7c3b6dbf504e1927bd8be0eaf3a7832f5ee87146f0
SHA1: 06f9afd582304b0ae2332bc647dfe27269c2cd40
MD5sum: 0f8a3fc79130a1faf91c0d22f9455c8e
Description: service to resolve user and group information from Windows NT servers
 Samba is an implementation of the SMB/CIFS protocol for Unix systems,
 providing support for cross-platform file sharing with Microsoft Windows, OS X,
 and other Unix systems.  Samba can also function as a domain controller
 or member server in both NT4-style and Active Directory domains.
 .
 These packages contain snapshot versions of Samba 4, the next-generation
 version of Samba.
 .
 This package provides the winbindd daemon, which provides a
 service for the Name Service Switch capability that is present
 in most modern C libraries (like the GNU C Library - glibc.). Please
 note that the functionality of this version of winbind is behind on that
 of the winbind package.
 .
 The service provided by winbindd is called `winbind' and
 can be used to resolve user and group information from a
 Windows NT server. The service can also provide authentication
 services via an associated PAM module.

Package: windowlab
Version: 1.40-1
Architecture: armhf
Maintainer: Mats Erik Andersson 
Installed-Size: 132
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxft2 (>> 2.1.1)
Suggests: vdesk
Provides: x-window-manager
Homepage: http://www.nickgravgaard.com/windowlab/
Priority: optional
Section: x11
Filename: pool/main/w/windowlab/windowlab_1.40-1_armhf.deb
Size: 44624
SHA256: 841516668d4de0cd3fa5ac6666db02a8be946df9569042ecfdce68ce34cca1a8
SHA1: 4b70a85d67e1630a498f87c0027335db943ef70c
MD5sum: 2d67513c9441b0e0deea8ef539b59ff1
Description: small and simple Amiga-like window manager
 WindowLab is a Window Manager for the X Window System. Features include
 click-to-focus, a simple menu/taskbar combination and integration with
 Debian menu system and Xsessions subsystem.
 .
 WindowLab is incredibly fast and small. It is suitable for lightweight
 systems. Virtual desktops are easily contructed using the independent
 package "vdesk".

Package: windows-el
Version: 2.41-3
Installed-Size: 146
Maintainer: Hubert Chathi 
Architecture: all
Depends: emacs22 | emacsen
Size: 43562
SHA256: 746d5e8f8bcbf10f8b1e58f24176ad1ae19f46f94487f917e2eea3b503bfa77c
SHA1: 350a14c2d0fd0b3c1b5ca763fa01140a7fd372b7
MD5sum: b539e18e3c8f4a528e8e0b53c367769e
Description: window manager for GNU Emacs
 windows.el allows you to switch between window configurations in emacs,
 providing behaviour similar to virtual desktops that is common in several
 window managers.  In addition, you can save window configurations to a file
 and restore them at a later time.
Tag: implemented-in::lisp, role::plugin, suite::emacs, use::editing,
 x11::window-manager
Section: lisp
Priority: optional
Filename: pool/main/w/windows-el/windows-el_2.41-3_all.deb

Package: wine
Version: 1.4.1-4
Architecture: armhf
Maintainer: Debian Wine Party 
Installed-Size: 53
Depends: debconf (>= 0.5) | debconf-2.0, wine-bin (>= 1.4.1-4) | wine64-bin (>= 1.4.1-4)
Suggests: wine-doc, binfmt-support, ttf-mscorefonts-installer, winbind, klamav | clamav
Conflicts: wine1.0, wine1.2, wine1.3, wine1.4
Replaces: wine1.0, wine1.2, wine1.3, wine1.4
Homepage: http://www.winehq.org/
Priority: optional
Section: otherosfs
Filename: pool/main/w/wine/wine_1.4.1-4_armhf.deb
Size: 54320
SHA256: 40ac18fec3a186f397bdb4f8ab01d35c582521328051e040d8a4b8fbd0471a63
SHA1: 3ebd1d716c084e23ed7a1e3d707f0ba21fd5189b
MD5sum: 5031347f1f2801d9fe072ed86e06023f
Description: Windows API implementation - standard suite
 Wine is a free MS-Windows API implementation.
 This is still a work in progress and many applications may still not work.
 .
 This is a virtual package that depends on the standard Wine components.

Package: wine-doc
Version: 1.0.0-1
Installed-Size: 1516
Maintainer: Debian Wine Party 
Architecture: all
Size: 458674
SHA256: 59ff21ad72a3932685fb28346d36657cf1587ffb8235ef87972aa10d43abf7f9
SHA1: 679690f3181b017bbf1d1cc1735d317f18a8d2ab
MD5sum: 3dbdcf52a4fb8c38a2c75b20f147988c
Description: Windows API implementation - documentation
 Wine is a free MS-Windows API implementation.
 This is still a work in progress and many applications may still not work.
 .
 This package consists of the documentation for Wine.
Tag: hardware::emulation, made-of::html, made-of::sgml, role::documentation
Section: doc
Priority: optional
Filename: pool/main/w/wine-doc/wine-doc_1.0.0-1_all.deb

Package: winff
Version: 1.4.2-3
Architecture: armhf
Maintainer: Paul Gevers 
Installed-Size: 4976
Depends: libav-tools | ffmpeg, xterm | x-terminal-emulator, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6
Recommends: winff-doc
Suggests: www-browser, libavcodec-extra-53 | libavcodec-extra-52
Breaks: shared-mime-info (<< 0.40)
Homepage: http://www.winff.org/
Priority: extra
Section: video
Filename: pool/main/w/winff/winff_1.4.2-3_armhf.deb
Size: 1484838
SHA256: 80c600c6f7cdef858c0070ec4f45e8774c9f8e92d58cc54ae85edda0d561af8f
SHA1: 7cdeee455ce9d4f516136d416fd9662c7f6d0783
MD5sum: f5448aea33f9b9f88fedeb319ca8155c
Description: graphical video and audio batch converter using ffmpeg
 WinFF is a graphical user interface for FFmpeg. It will convert
 almost any video file that FFmpeg will convert. WinFF does multiple
 files in multiple formats at one time. You can, for example, convert
 mpeg's, flv's, and mov's into avi's (or DVD/VCD format or MPEG or 3gp
 etc.) all at once.
 .
 This package provides a variety of preset conversion settings for
 common formats and devices. These presets are intended to hit the
 "sweet spot" for each individual codec. They have been written with a
 tip of the balance to quality.
 .
 For most presets to work, it is necessary to have the unstripped version
 of the libavcodec package, which can be obtained by installing
 libavcodec-extra-## as suggested by this package. It might be necessary
 to enable additional repositories to find that package.

Package: winff-dbg
Source: winff
Version: 1.4.2-3
Architecture: armhf
Maintainer: Paul Gevers 
Installed-Size: 15615
Depends: winff (= 1.4.2-3)
Homepage: http://www.winff.org/
Priority: extra
Section: debug
Filename: pool/main/w/winff/winff-dbg_1.4.2-3_armhf.deb
Size: 4010196
SHA256: 4877754e8940ecb50028e29397c1de44dda89fb2e0b9c7a3482b384ce80348e7
SHA1: 34ecafbdc7aaadbea58ce604d6c7dae3833a6c0d
MD5sum: a79a0a49cb334ce5c169a7122a35b672
Description: winff debugging symbols
 WinFF is a graphical user interface for FFmpeg. It will convert
 almost any video file that FFmpeg will convert. WinFF does multiple
 files in multiple formats at one time. You can, for example, convert
 mpeg's, flv's, and mov's into avi's (or DVD/VCD format or MPEG or 3gp
 etc.) all at once.
 .
 This package contains the debugging symbols for winff.

Package: winff-doc
Source: winff
Version: 1.4.2-3
Installed-Size: 1423
Maintainer: Paul Gevers 
Architecture: all
Replaces: winff (<< 1.2.0)
Suggests: pdf-viewer
Size: 1319836
SHA256: c57178e3f71563047ba7f8963a9bd837b04e46669ddebc0b1379b98ebed4b145
SHA1: 1ad99948d0eac353c5e8626b341657e27d765cb8
MD5sum: 87585524d612e66f5c1f78a336d85070
Description: winff documentation
 WinFF is a graphical user interface for FFmpeg. It will convert
 almost any video file that FFmpeg will convert. WinFF does multiple
 files in multiple formats at one time. You can, for example, convert
 mpeg's, flv's, and mov's into avi's (or DVD/VCD format or MPEG or 3gp
 etc.) all at once.
 .
 This package contains the pdf-manuals for winff in several languages.
Homepage: http://www.winff.org/
Tag: made-of::pdf, role::documentation
Section: doc
Priority: extra
Filename: pool/main/w/winff/winff-doc_1.4.2-3_all.deb

Package: wing
Version: 0.7-27.1
Architecture: armhf
Maintainer: Debian allegro packages maintainers 
Installed-Size: 103
Depends: liballegro4.2 (>= 2:4.2.2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), wing-data (= 0.7-27.1)
Priority: optional
Section: games
Filename: pool/main/w/wing/wing_0.7-27.1_armhf.deb
Size: 26394
SHA256: 2fef3b3629fdadce93320eaca4ea34792d5b30641d7e2081b3e3707112aae934
SHA1: 714926693adff4ccfd5029a36b3bdcdfce176238
MD5sum: c42188bc42d3dc24336f6d03f62e7d05
Description: Galaga-like arcade game
 WING is a galaga knock-off arcade game. It features high quality
 pre-rendered graphics, dynamically generated stars in background, single
 player game against computer controlled opponents, 6 levels of play,
 digital sound effects, digital music streams, and a high score list.

Package: wing-data
Source: wing
Version: 0.7-27.1
Installed-Size: 2284
Maintainer: Debian allegro packages maintainers 
Architecture: all
Conflicts: wing (<< 0.7-5)
Size: 742582
SHA256: e0e215f69e686f96a51425aae9c4c3d8dcc9b6ff6845950eddb5a792a8735965
SHA1: 73dceee45e744ee1dd64d570a248d34246d8ec35
MD5sum: c455ea5d19ea8a05f3b1cb83ef4333c3
Description: graphics and audio data for wing
 WING is a galaga knock-off arcade game. It features high quality
 pre-rendered graphics, dynamically generated stars in background, single
 player game against computer controlled opponents, 6 levels of play,
 digital sound effects, digital music streams, and a high score list.
 .
 This package contains the architecture-independent data for wing. For more
 information, see the wing package.
Tag: game::arcade, role::app-data, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/w/wing/wing-data_0.7-27.1_all.deb

Package: wings3d
Version: 1.4.1-4
Architecture: armhf
Maintainer: Debian Erlang Packagers 
Installed-Size: 10953
Depends: erlang-base (>= 1:15.b.1-dfsg) | erlang-base-hipe (>= 1:15.b.1-dfsg), erlang-abi-15.b, erlang-wx (>= 1:15.b.1-dfsg), erlang-xmerl (>= 1:15.b.1-dfsg), erlang-esdl (>= 1.2), libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libjpeg8 (>= 8c)
Suggests: erlang-dialyzer (>= 1:15.b.1-dfsg), erlang-tools (>= 1:15.b.1-dfsg), yafray | aqsis
Homepage: http://www.wings3d.com
Priority: optional
Section: graphics
Filename: pool/main/w/wings3d/wings3d_1.4.1-4_armhf.deb
Size: 8231276
SHA256: a14becd1ca5bb62fba9a95d6caf161fe102c1934ec26070f1b13baf963c10597
SHA1: 3a14fbfecdf16ff37624b99439bf574a85dd644e
MD5sum: 4fcf4803da324b285bb765f24f104406
Description: Nendo-inspired 3D polygon mesh modeller
 Wings 3D is a polygon mesh modeller written entirely in Erlang.  The
 user interface was designed to be easy to use for both beginners and
 advanced users alike.  It was inspired by the famous Nendo modeller
 (from Izware.)
 .
 Unlike similar modelling programs (such as Blender), this program
 does not provide native support for doing animations (though you can
 output its models to an animation tool.)
 .
 Wings 3D supports the following import formats: Nendo (NDO),
 3D Studio (3DS), Wavefront (OBJ), and Adobe Illustrator 8 (AI).
 .
 Wings 3D supports the following export formats: Nendo (NDO),
 3D Studio (3DS), Wavefront (OBJ), VRML (WRL), Renderman (RIB),
 Hash:Animation Master (MDL), Renderware (RWX), Yafray, Toxic,
 and FBX via a third-party plug-in.
 .
 Open Source Erlang is a functional programming language designed at
 the Ericsson Computer Science Laboratory.

Package: wininfo
Version: 0.7-5
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 98
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libx11-6, libxres1
Homepage: http://www.freedesktop.org/wiki/Software/wininfo
Priority: extra
Section: x11
Filename: pool/main/w/wininfo/wininfo_0.7-5_armhf.deb
Size: 31310
SHA256: 7facf46f6279a33120d38845251373d1b7b0ed66972c7155eecc4dd29e5b0587
SHA1: b0b66de8a73255da1e47fadb12c4fca0db748e14
MD5sum: 785afa62236fc948dbc0fc180263c13a
Description: dynamic window information viewer
 This package provides a window information utility for developers of
 applications, toolkits, and window managers. wininfo follows your
 pointer providing information about the windows below. The information
 presented includes:
  - a detailed description of the window hierarchy below the pointer;
  - parsed interpretations of standard properties from both the
    application window and the window manager;
  - information about X server resources used by the application.

Package: winpdb
Version: 1.4.8-2
Installed-Size: 714
Maintainer: Bernd Zeimetz 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8)
Recommends: x-terminal-emulator | screen, python-wxversion, python-wxgtk2.8, python-crypto
Size: 153770
SHA256: cabbc69e4a259977110c70b787e7e86a846d79fbef49985517d69167232d9ba5
SHA1: 6103817bda2771891fd621465182bdf8e26fed01
MD5sum: d6f6eef78299fb25fa739f7a31af2300
Description: Platform independent Python debugger
 Winpdb supports debugging of threads- and curses based applications,
 namespace modification and embedded debugging. As a remote debugger,
 it uses (encrypted) network connections to connect to the debuggee
 (which is running on the same machine, usually).
 .
 Winpdb is the graphical, wx-based frontend to rpdb2, which is also
 included in this package. rpdb2 is text based and able to use screen
 sessions if there is no x-terminal-emulator available.
 .
 Winpdb is up to 20 times faster than pdb.
Homepage: http://www.winpdb.org/
Tag: devel::debugger, devel::lang:python, implemented-in::python,
 interface::text-mode, interface::x11, role::program,
 uitoolkit::wxwidgets, x11::application
Section: python
Priority: optional
Filename: pool/main/w/winpdb/winpdb_1.4.8-2_all.deb

Package: winwrangler
Version: 0.2.4-3
Architecture: armhf
Maintainer: Nobuhiro Iwamatsu 
Installed-Size: 165
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.12), libgtkhotkey1, libpango1.0-0 (>= 1.14.0), libwnck22 (>= 2.30.0-3)
Homepage: http://launchpad.net/winwrangler
Priority: optional
Section: gnome
Filename: pool/main/w/winwrangler/winwrangler_0.2.4-3_armhf.deb
Size: 29504
SHA256: 778370fa19856cf5891d0e4e27a573c806e7f7aa7b4ed80312e34fe208a79e28
SHA1: 64cb366c50a6827aa5db61d0025cc717ce76e020
MD5sum: 5b09e0e4a788dbd778a1f5f01e06e63b
Description: Small desktop daemon to perform advanced window
 Manipulations not commonly found in window managers.
 This package contains support for the '2/3 layout', 'tile'
 and 'expand' actions.

Package: wipe
Version: 0.22-1
Architecture: armhf
Maintainer: Debian Forensics 
Installed-Size: 115
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0)
Homepage: http://lambda-diode.com/software/wipe/
Priority: extra
Section: utils
Filename: pool/main/w/wipe/wipe_0.22-1_armhf.deb
Size: 46056
SHA256: 2dad83c451ed20ba4f1929ec677a5e01daf8e1fa1cbd830f69886ce91bf03d70
SHA1: 6877f1f7a18d14dc2d71c544f3d91a8084409004
MD5sum: cf194c7d08cc5fbe96198c25f6d411ee
Description: Secure file deletion
 Recovery of supposedly erased data from magnetic media is easier than what many
 people would like to believe. A technique called Magnetic Force Microscopy
 (MFM) allows any moderately funded opponent to recover the last two or three
 layers of data written to disk. Wipe repeatedly writes special patterns to the
 files to be destroyed, using the fsync() call and/or the O_SYNC bit to force
 disk access.

Package: wireless-regdb
Version: 2016.06.10-1~deb7u1
Installed-Size: 51
Maintainer: Ben Hutchings 
Architecture: all
Suggests: crda
Size: 8830
SHA256: 55f6b316987a263c6918f7ba94d1f8b7325c2f4580a2b966a965edb10698fd62
SHA1: 7b804b7fc41f2a53361fc4924cf0b714223002dc
MD5sum: b3cd2382d101a0776fbcc554f9d8921b
Description: wireless regulatory database
 This package contains the wireless regulatory database used by the Central
 Regulatory Database Agent (CRDA) to configure wireless devices to operate
 within the radio spectrum allowed in the local jurisdiction.
 .
 This regulatory information is provided with no warranty either expressed or
 implied. Only Linux drivers which use cfg80211 framework can make use of the
 regulatory database and CRDA.
Homepage: https://wireless.wiki.kernel.org/en/developers/regulatory/wireless-regdb
Multi-Arch: foreign
Section: net
Priority: optional
Filename: pool/main/w/wireless-regdb/wireless-regdb_2016.06.10-1~deb7u1_all.deb

Package: wireless-tools
Version: 30~pre9-8
Architecture: armhf
Maintainer: Guus Sliepen 
Installed-Size: 297
Depends: libc6 (>= 2.13-28), libiw30 (>= 30~pre1)
Multi-Arch: foreign
Homepage: http://www.hpl.hp.com/personal/Jean_Tourrilhes/Linux/Tools.html
Priority: extra
Section: net
Filename: pool/main/w/wireless-tools/wireless-tools_30~pre9-8_armhf.deb
Size: 132800
SHA256: 8590f39e76f27d424331bd60fae13f15a9af33d41c8491e99f3b0323d78ab681
SHA1: 1b9a4d3f0d3d442c989badd1909a190ba257e76c
MD5sum: d49d37ddc33c19c730d6554656ddfc92
Description: Tools for manipulating Linux Wireless Extensions
 This package contains the Wireless tools, used to manipulate
 the Linux Wireless Extensions. The Wireless Extension is an interface
 allowing you to set Wireless LAN specific parameters and get the
 specific stats.

Package: wireshark
Version: 1.12.1+g01b65bf-4+deb8u6~deb7u11
Architecture: armhf
Maintainer: Balint Reczey 
Installed-Size: 2011
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk-3-0 (>= 3.3.16), libnl-3-200 (>= 3.2.7), libnl-genl-3-200 (>= 3.2.7), libnl-route-3-200, libpango1.0-0 (>= 1.14.0), libpcap0.8 (>= 0.9.8), libportaudio2 (>= 19+svn20101113), libwireshark5 (>= 1.12.0~rc3), libwiretap4 (>= 1.12.0~rc1), libwsutil4 (>= 1.12.0~rc3), zlib1g (>= 1:1.1.4), wireshark-common (= 1.12.1+g01b65bf-4+deb8u6~deb7u11), xdg-utils
Conflicts: ethereal (<< 1.0.0-3)
Replaces: ethereal (<< 1.0.0-3)
Homepage: http://www.wireshark.org/
Priority: optional
Section: net
Filename: pool/main/w/wireshark/wireshark_1.12.1+g01b65bf-4+deb8u6~deb7u11_armhf.deb
Size: 930136
SHA256: 035710497ca95df3612da0de045f077596756274e618d5a361331e3e6d0c88e8
SHA1: 0089e1a0f16ce698c8a5aae403a57d7bbfdb2672
MD5sum: cbeacd1ade9d554e6004558557772822
Description: network traffic analyzer - GTK+ version
 Wireshark is a network "sniffer" - a tool that captures and analyzes
 packets off the wire. Wireshark can decode too many protocols to list
 here.
 .
 This package provides the GTK+ version of wireshark.

Package: wireshark-common
Source: wireshark
Version: 1.12.1+g01b65bf-4+deb8u6~deb7u11
Architecture: armhf
Maintainer: Balint Reczey 
Installed-Size: 353
Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.31.18), libnl-3-200 (>= 3.2.7), libnl-genl-3-200 (>= 3.2.7), libpcap0.8 (>= 1.0.0), libwireshark5 (>= 1.12.1+g01b65bf-4+deb8u6~deb7u11), libwiretap4 (>= 1.12.1+g01b65bf-4+deb8u6~deb7u11), libwsutil4 (>= 1.12.0~rc3), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, debconf, libcap2-bin
Recommends: wireshark (>= 1.12.1+g01b65bf-4+deb8u6~deb7u11) | tshark (>= 1.12.1+g01b65bf-4+deb8u6~deb7u11)
Conflicts: ethereal-common (<< 1.0.0-3)
Replaces: ethereal-common (<< 1.0.0-3)
Homepage: http://www.wireshark.org/
Priority: optional
Section: net
Filename: pool/main/w/wireshark/wireshark-common_1.12.1+g01b65bf-4+deb8u6~deb7u11_armhf.deb
Size: 205410
SHA256: 07505cf5fdcc4cecff3c0db9e5db5a4c821191b17d39b7bf227e8e6de7b1eef4
SHA1: 44281d6d923bd805b013c6650df5cca206438f94
MD5sum: bec1293bf6f19ec9a20383601ffe36af
Description: network traffic analyzer - common files
 Wireshark is a network "sniffer" - a tool that captures and analyzes
 packets off the wire. Wireshark can decode too many protocols to list
 here.
 .
 This package provides files common to both wireshark (the GTK+ version)
 and tshark (the console version).

Package: wireshark-dbg
Source: wireshark
Version: 1.12.1+g01b65bf-4+deb8u6~deb7u11
Architecture: armhf
Maintainer: Balint Reczey 
Installed-Size: 43655
Depends: wireshark-common (= 1.12.1+g01b65bf-4+deb8u6~deb7u11) | wireshark (= 1.12.1+g01b65bf-4+deb8u6~deb7u11) | tshark (= 1.12.1+g01b65bf-4+deb8u6~deb7u11)
Homepage: http://www.wireshark.org/
Priority: extra
Section: debug
Filename: pool/main/w/wireshark/wireshark-dbg_1.12.1+g01b65bf-4+deb8u6~deb7u11_armhf.deb
Size: 32511176
SHA256: 7c9ce96fb4441ceccfd207b142bc326b34ba8df65c5fdb2a09c9cab21d34b8ee
SHA1: 6116d32c8c44382143d2b946ba900c697951af22
MD5sum: 5d048cd4fea5eda436921a70d3d6745c
Description: network traffic analyzer - debug symbols
 Wireshark is a network "sniffer" - a tool that captures and analyzes
 packets off the wire. Wireshark can decode too many protocols to list
 here.
 .
 This package contains stripped debugging symbols for all Wireshark
 programs and libraries.

Package: wireshark-dev
Source: wireshark
Version: 1.12.1+g01b65bf-4+deb8u6~deb7u11
Architecture: armhf
Maintainer: Balint Reczey 
Installed-Size: 518
Depends: omniidl (>= 4.0.1-2), libpcap0.8-dev, libtool, libglib2.0-dev, python (>= 2.6.6-7~), python (<< 2.8), python-ply, snacc, autotools-dev, debhelper, cdbs, automake, autoconf, libwireshark-dev, libwiretap-dev
Conflicts: ethereal-dev (<< 1.0.0-3)
Replaces: ethereal-dev (<< 1.0.0-3)
Homepage: http://www.wireshark.org/
Priority: optional
Section: devel
Filename: pool/main/w/wireshark/wireshark-dev_1.12.1+g01b65bf-4+deb8u6~deb7u11_armhf.deb
Size: 158348
SHA256: fcb3f027f993d04933665aa5ec5d09662e2693e241c39f7f239c0b7632d18aa7
SHA1: 3c347412194b0a1651d6c6c803fac423dc065ec2
MD5sum: 08d1bc90e513e16f03700d6312e137fb
Description: network traffic analyzer - development tools
 Wireshark is a network "sniffer" - a tool that captures and analyzes
 packets off the wire. Wireshark can decode too many protocols to list
 here.
 .
 This package provides idl2wrs and other files necessary for developing
 new packet dissectors.

Package: wireshark-doc
Source: wireshark
Version: 1.12.1+g01b65bf-4+deb8u6~deb7u11
Installed-Size: 5991
Maintainer: Balint Reczey 
Architecture: all
Size: 4268528
SHA256: e26a8b166256aac38893e84bbea05ac8bbd9946124f09524e0eaafaf447e50e9
SHA1: 1a8bee393a7f7610761bbaf2e7ab41621ef340c6
MD5sum: 888bf5ac72707d3d08cb37840f5f6bfa
Description: network traffic analyzer - documentation
 Wireshark is a network "sniffer" - a tool that captures and analyzes
 packets off the wire. Wireshark can decode too many protocols to list
 here.
 .
 This package contains Wireshark User's guide, Wireshark Developer's Guide
 and the Lua Reference.
Homepage: http://www.wireshark.org/
Multi-Arch: foreign
Section: doc
Priority: extra
Filename: pool/main/w/wireshark/wireshark-doc_1.12.1+g01b65bf-4+deb8u6~deb7u11_all.deb

Package: wireshark-qt
Source: wireshark
Version: 1.12.1+g01b65bf-4+deb8u6~deb7u11
Architecture: armhf
Maintainer: Balint Reczey 
Installed-Size: 2629
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.30.0), libnl-3-200 (>= 3.2.7), libnl-genl-3-200 (>= 3.2.7), libnl-route-3-200, libpcap0.8 (>= 0.9.8), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libwireshark5 (>= 1.12.0~rc3), libwiretap4 (>= 1.12.0~rc1), libwsutil4 (>= 1.12.0~rc3), zlib1g (>= 1:1.1.4), wireshark-common (= 1.12.1+g01b65bf-4+deb8u6~deb7u11), xdg-utils
Conflicts: ethereal (<< 1.0.0-3)
Replaces: ethereal (<< 1.0.0-3)
Homepage: http://www.wireshark.org/
Priority: optional
Section: net
Filename: pool/main/w/wireshark/wireshark-qt_1.12.1+g01b65bf-4+deb8u6~deb7u11_armhf.deb
Size: 1162768
SHA256: 711137050232a8b23c46bb616a8912121157b504b1568149dc65c954a38f2dca
SHA1: fc335507959728032d93dca8662f3f08402dff87
MD5sum: 0cac94c8300a1bf98d355e9013a9f0ab
Description: network traffic analyzer - Qt version
 Wireshark is a network "sniffer" - a tool that captures and analyzes
 packets off the wire. Wireshark can decode too many protocols to list
 here.
 .
 This package provides the Qt version of wireshark.

Package: wirish
Source: iirish
Version: 2.0-21
Installed-Size: 892
Maintainer: Alastair McKinstry 
Architecture: all
Depends: dictionaries-common, debconf (>= 0.5) | debconf-2.0
Size: 205868
SHA256: 8b97bff4839c2924ca9cca8b9dae60212ecc18fa731efc06dba335ab1dae6127
SHA1: cd8c2e05af4b1a769719a349b37a04ae8f2b48f0
MD5sum: 1c9accd815c739418e8f7380b9bb3f33
Description: Irish (Gaeilge) dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/irish containing a list
 of Irish words.  This list can be used by spelling checkers, and by
 programs such as look(1).
Tag: culture::irish, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/i/iirish/wirish_2.0-21_all.deb

Package: wise
Version: 2.4.1-10
Architecture: armhf
Maintainer: Debian Med Packaging Team 
Installed-Size: 9846
Pre-Depends: dpkg (>= 1.15.6~)
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0)
Suggests: wise-doc (= 2.4.1-10)
Homepage: http://www.ebi.ac.uk/~birney/wise2/
Priority: optional
Section: science
Filename: pool/main/w/wise/wise_2.4.1-10_armhf.deb
Size: 853446
SHA256: 6b67a222456220162933b427c9f74bc53e918b53bad670deae04a73957ec3b56
SHA1: dc6222ef4e3cd07d8f2e2e9cef1dcd98cdcdfa22
MD5sum: 20cbbb7e00d54e98d25a019ac876babf
Description: comparison of biopolymers, commonly DNA and protein sequences
 Wise2 is a package focused on comparisons of biopolymers, commonly DNA
 and protein sequences. There are many other packages which do
 this, probably the best known being BLAST package (from NCBI) and the
 Fasta package (from Bill Pearson). There are other packages, such as
 the HMMER package (Sean Eddy) or SAM package (UC Santa Cruz) focused
 on hidden Markov models (HMMs) of biopolymers.
 .
 Wise2's particular forte is the comparison of DNA sequence at the level
 of its protein translation. This comparison allows the simultaneous
 prediction of say gene structure with homology based alignment.
 .
 Wise2 also contains other algorithms, such as the venerable Smith-Waterman
 algorithm, or more modern ones such as Stephen Altschul's generalised
 gap penalties, or even experimental ones developed in house, such as
 dba. The development of these algorithms is due to the ease of developing
 such algorithms in the environment used by Wise2.
 .
 Wise2 has also been written with an eye for reuse and maintainability.
 Although it is a pure C package you can access its functionality
 directly in Perl. Parts of the package (or the entire package) can
 be used by other C or C++ programs without namespace clashes as all
 externally linked variables have the unique identifier Wise2 prepended.

Package: wise-doc
Source: wise
Version: 2.4.1-10
Installed-Size: 1336
Maintainer: Debian Med Packaging Team 
Architecture: all
Pre-Depends: dpkg (>= 1.15.6~)
Recommends: wise (= 2.4.1-10), xpdf-reader | pdf-viewer
Size: 854688
SHA256: d0de49993773f67b38c0d1de99fd746882bc4f8bb0b9f2a7b4e0c9dc3ff27212
SHA1: 515030d468897e19466fefb56b724d8bc42f575a
MD5sum: c39b22759f85ffda3cc4c255c284c606
Description: documentation for the wise package
 This package contains the documentation for Wise2, a package focused on
 comparisons of biopolymers, commonly DNA and protein sequences.
Homepage: http://www.ebi.ac.uk/~birney/wise2/
Tag: field::biology, field::biology:bioinformatics, made-of::html,
 made-of::pdf, role::documentation
Section: doc
Priority: optional
Filename: pool/main/w/wise/wise-doc_2.4.1-10_all.deb

Package: witalian
Version: 1.7.5
Installed-Size: 1332
Maintainer: Davide G. M. Salvetti 
Architecture: all
Provides: wordlist
Depends: dictionaries-common, debconf (>= 0.5) | debconf-2.0, perl
Size: 317328
SHA256: 6acb8886407475281c77eda7938d33477682ce4270cf05fc737a059c52a85f38
SHA1: b2c3377294e50a74a091a654ab1668a9bbe67278
MD5sum: 7f52e3f5093a9be0218872dd8b57fdeb
Description: Italian dictionary words for /usr/share/dict/
 This package contains an alphabetic list of Italian words.
 .
 You may wish to use it in conjunction with a program like spell(1) to
 spell-check an Italian text, or with a program like look(1) for word
 completion.
 .
 It may even be used by the sysadmin to look for weak user passwords.
Tag: culture::italian, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/w/witalian/witalian_1.7.5_all.deb

Package: witty
Version: 3.2.1-2+deb7u1
Installed-Size: 82
Maintainer: Pau Garcia i Quiles 
Architecture: all
Depends: libwt32, libwthttp32 | libwtfcgi32 | libwttest2, libwtext32, libwtdbo32, libwtdbosqlite32, libwtdbopostgres32, libwtdbofirebird32, witty-examples
Size: 51648
SHA256: 33e4a9d6558e995dc28a2010cd7e4cffa7d9eb9fdc19c61eb982c59a9354c01e
SHA1: 582df3c21e2d952994ec8f1903533104c562d0a4
MD5sum: 0add6fbf52a32a6f8828f7bcfd74321b
Description: C++ library for webapps [runtime] (transition package)
 Wt (pronounced 'witty') is a C++ library and application server for
 developing and deploying web applications. The API is widget-centric
 and offers complete abstraction of any web-specific application details.
 .
 This package installs the Witty libraries
 .
 This is a dummy transition package and can be safely removed.
Homepage: http://www.webtoolkit.eu/
Tag: role::dummy
Section: web
Priority: extra
Filename: pool/main/w/witty/witty_3.2.1-2+deb7u1_all.deb

Package: witty-dbg
Source: witty
Version: 3.2.1-2+deb7u1
Installed-Size: 82
Maintainer: Pau Garcia i Quiles 
Architecture: all
Depends: libwt-dbg
Size: 51644
SHA256: 0de40e9f8657444191880684bcad539ea2b751d702448998638457916fee5db4
SHA1: 02733e010c1178668f756e7f3e3da7b0f89cbe67
MD5sum: f99dc0eca20138e47253384d9477700d
Description: C++ library for webapps [debug] (transition package)
 Wt (pronounced 'witty') is a C++ library and application server for
 developing and deploying web applications. The API is widget-centric
 and offers complete abstraction of any web-specific application details.
 .
 This package installs debugging files used to investigate problems with
 binaries included in the Wt packages.
 .
 This is a dummy transition package and can be safely removed.
Homepage: http://www.webtoolkit.eu/
Tag: role::debug-symbols, role::dummy
Section: debug
Priority: extra
Filename: pool/main/w/witty/witty-dbg_3.2.1-2+deb7u1_all.deb

Package: witty-dev
Source: witty
Version: 3.2.1-2+deb7u1
Installed-Size: 82
Maintainer: Pau Garcia i Quiles 
Architecture: all
Depends: libwt-dev, libwthttp-dev | libwtfcgi-dev | libwttest-dev, libwtext-dev, libwtdbo-dev, libwtdbosqlite-dev, libwtdbopostgres-dev, libwtdbofirebird-dev
Size: 51676
SHA256: 00aa287b8c1511b774e87c2569534c6e99a3e21670800102830cb8658fe623a2
SHA1: d13633eabab57e4f30147615516d54d790cef50f
MD5sum: 5fdab95ea9d10fc5578062fab7fbf1a5
Description: C++ library for webapps [devel] (transition package)
 Wt (pronounced 'witty') is a C++ library and application server for
 developing and deploying web applications. The API is widget-centric
 and offers complete abstraction of any web-specific application details.
 .
 This package installs development files for building software that uses the
 Wt libraries.
 .
 This is a dummy transition package and can be safely removed.
Homepage: http://www.webtoolkit.eu/
Tag: devel::library, role::devel-lib, role::dummy
Section: devel
Priority: extra
Filename: pool/main/w/witty/witty-dev_3.2.1-2+deb7u1_all.deb

Package: witty-doc
Source: witty
Version: 3.2.1-2+deb7u1
Installed-Size: 82
Maintainer: Pau Garcia i Quiles 
Architecture: all
Depends: libwt-doc
Recommends: doc-base
Suggests: witty-dev
Size: 51626
SHA256: 1e193eb325a1c1fb2d2e38cef46efa918b75c57d8feac4fbe0ad49b085d24772
SHA1: a096ccf7f3ddc0d407e7b30d2f3433d597e2e70a
MD5sum: c8de0234878b3b9d478ff51aacec6420
Description: C++ library for webapps [doc] (transition package)
 Wt (pronounced 'witty') is a C++ library and application server for
 developing and deploying web applications. The API is widget-centric
 and offers complete abstraction of any web-specific application details.
 .
 This package installs the documentation and examples.
 .
 This is a dummy transition package and can be safely removed.
Homepage: http://www.webtoolkit.eu/
Tag: devel::doc, devel::examples, made-of::html, role::documentation,
 role::dummy
Section: doc
Priority: extra
Filename: pool/main/w/witty/witty-doc_3.2.1-2+deb7u1_all.deb

Package: witty-examples
Source: witty
Version: 3.2.1-2+deb7u1
Architecture: armhf
Maintainer: Pau Garcia i Quiles 
Installed-Size: 24817
Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-signals1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libboost-thread1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libwt32 (>= 3.2.1), libwtdbo32 (>= 3.2.1), libwtdbosqlite32 (>= 3.2.1), libwtext32 (>= 3.2.1), libwthttp32 (>= 3.2.1), libboost-program-options-dev, libqt4-dev
Suggests: libwt-doc, witty-dev
Homepage: http://www.webtoolkit.eu/
Priority: extra
Section: libdevel
Filename: pool/main/w/witty/witty-examples_3.2.1-2+deb7u1_armhf.deb
Size: 6258082
SHA256: c6afd267b5d14f05fa25587912382e8895aea3e596166961b6ec3caa60d51021
SHA1: 9883f69997a027808aea1186f3a28a505391e436
MD5sum: bd54fbed0b13e1e4f3d85b85b70b2c70
Description: C++ library for webapps [examples]
 Wt (pronounced 'witty') is a C++ library and application server for
 developing and deploying web applications. The API is widget-centric
 and offers complete abstraction of any web-specific application details.
 .
 This package installs the examples in binary and source form to the
 /usr/lib/Wt/examples directory.

Package: wizznic
Version: 0.9.2-preview2+dfsg-1.1
Architecture: armhf
Maintainer: Edgar Antonio Palma de la Cruz 
Installed-Size: 204
Depends: libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4), libsdl-image1.2 (>= 1.2.10), libsdl-mixer1.2, libsdl1.2debian (>= 1.2.11), zlib1g (>= 1:1.1.4), wizznic-data
Homepage: http://wizznic.sf.net/
Priority: optional
Section: games
Filename: pool/main/w/wizznic/wizznic_0.9.2-preview2+dfsg-1.1_armhf.deb
Size: 65536
SHA256: 50152e1b00d64fe5dff0b75bc6c42b4ebbc63dc378d80c84a105df9e3d2d5782
SHA1: 4b32cb5db2009d9b92f6a9783a1340e2b35c686a
MD5sum: 8caa7e10de7d0d5c2a82c5535b741e5a
Description: Implementation of the arcade classic Puzznic
 As the original game, your mission is to clear the level of
 bricks, this is done by moving the bricks about, when two or
 more bricks of the same kind are next to each other, or on top
 of each other, they will disappear and points will be given.
 The level has to be cleared of bricks before the time runs out,
 or you will lose a life.

Package: wizznic-data
Source: wizznic
Version: 0.9.2-preview2+dfsg-1.1
Installed-Size: 30856
Maintainer: Edgar Antonio Palma de la Cruz 
Architecture: all
Recommends: wizznic (= 0.9.2-preview2+dfsg-1.1)
Size: 31165270
SHA256: 530ace6107c1fb29d672a6f2cea4eb344a0878f3568f440d00a30614aff3ae07
SHA1: 44a238c3abbbd955675a7cbb13b6f0bcf0e3d03c
MD5sum: 3f47fe7725e5116020e1c4c1f3354f1a
Description: Implementation of the arcade classic Puzznic (data)
 This package represent all the level and sounds of the game
 .
 As the original game, your mission is to clear the level of
 bricks, this is done by moving the bricks about, when two or
 more bricks of the same kind are next to each other, or on top
 of each other, they will disappear and points will be given.
 The level has to be cleared of bricks before the time runs out,
 or you will lose a life.
Homepage: http://wizznic.sf.net/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/w/wizznic/wizznic-data_0.9.2-preview2+dfsg-1.1_all.deb

Package: wkhtmltopdf
Version: 0.9.9-4
Architecture: armhf
Maintainer: Emmanuel Bouthenot 
Installed-Size: 242
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libqtwebkit4 (>= 2.1.0~2011week13), libstdc++6 (>= 4.4.0)
Suggests: xserver | xvfb
Homepage: http://code.google.com/p/wkhtmltopdf/
Priority: extra
Section: utils
Filename: pool/main/w/wkhtmltopdf/wkhtmltopdf_0.9.9-4_armhf.deb
Size: 90336
SHA256: 66d602d849d540d5b8ea337169cd498d35a55f5393806f2c5bd26e9965919fec
SHA1: 1de5adf35f3f2a54beffd40e18a6ed885108a1f1
MD5sum: d3bfaf7bff5cbede2e7e700e3d36c134
Description: Command line utility to convert html to pdf using WebKit
 wkhtmltopdf is a command line program which permits one to create a
 pdf from an url, a local html file or stdin. It produces a pdf like
 rendred with the WebKit engine.
 .
 This program requires an X11 server to run.

Package: wl
Version: 2.14.0-12
Installed-Size: 3916
Maintainer: Tatsuya Kinoshita 
Architecture: all
Replaces: wanderlust2, wl-beta
Provides: imap-client, mail-reader, news-reader
Depends: emacs | emacsen, semi (>= 1.14.6), flim (>= 1:1.14.6), apel (>= 10.6), dpkg (>= 1.15.4) | install-info
Suggests: gnupg, gnutls-bin, openssl, starttls, x-face-el, w3m-el, mule-ucs, bbdb, im, bitmap-mule, namazu2, mu-cite, mhc
Conflicts: wanderlust2, wl-beta, xbase (<< 3.3.2.3a-2)
Size: 918900
SHA256: 690c321f7dd1ca0d60ec584300bab35503009bd3083af24448287ebfe3a5f790
SHA1: 211e5e74240a5b46043eadd7b5ac8aba669d1fb9
MD5sum: afa9c0af13abfc6cf0423afea6aa5ed1
Description: mail/news reader supporting IMAP for emacsen
 Wanderlust is a mail/news management system on emacsen.  It supports
 IMAP4rev1 (RFC2060), NNTP, POP and local message files.
 .
 The main features of Wanderlust:
 .
  - Pure elisp implementation.
  - Supports IMAP4rev1, NNTP, POP (POP3/APOP), MH and Maildir format.
  - Unified access method to messages based on Mew-like Folder Specification.
  - Mew-like Key-bind and mark handling.
  - Manages unread messages.
  - Interactive thread display.
  - Folder Mode shows the list of subscribed folders.
  - Message Cache, Disconnected Operation.
  - MH-like FCC. (Fcc: %Backup and Fcc: $Backup is allowed).
  - MIME compliant (by SEMI).
  - Transmission of news and mail are unified by Message transmitting draft.
  - Graphical list of folders.
  - View a part of message without retrieving the whole message (IMAP4).
  - Server-side message look up (IMAP4). Multi-byte characters are allowed.
  - Virtual Folders.
  - Supports compressed folder using common archiving utilities.
  - Old articles in folders are automatically removed/archived (Expiration).
  - Automatic re-file.
  - Template function makes it convenient to send fixed form messages.
Homepage: http://www.gohome.org/wl/
Tag: implemented-in::lisp, mail::imap, mail::pop, mail::user-agent,
 network::client, protocol::imap, protocol::nntp, protocol::pop3,
 role::plugin, role::program, suite::emacs, use::browsing, use::editing,
 works-with::mail
Section: mail
Priority: extra
Filename: pool/main/w/wl/wl_2.14.0-12_all.deb

Package: wl-beta
Version: 2.15.9+0.20120411-1
Installed-Size: 3436
Maintainer: Tatsuya Kinoshita 
Architecture: all
Replaces: wanderlust2, wl
Provides: imap-client, mail-reader, news-reader, wl
Depends: emacs | emacsen, semi (>= 1.14.6+0.20100125), flim (>= 1:1.14.6), apel (>= 10.7), dpkg (>= 1.15.4) | install-info
Suggests: gnupg, easypg, gnutls-bin, openssl, starttls, x-face-el, w3m-el, mule-ucs, bbdb, im, bitmap-mule, namazu2, bogofilter | bsfilter | spamassassin, mu-cite, mhc
Conflicts: wanderlust2, wl, xbase (<< 3.3.2.3a-2)
Size: 958390
SHA256: 3c33d7d6a5607c1c6a9d2d21e884d3f37a2c6628bf0be3de52a350fc4d8e4a2a
SHA1: 8957b88de7153d82fce6abc6907dfbbe8abbf6b2
MD5sum: aa1e3a64ba172ac2b19c1b835328b6e2
Description: mail/news reader supporting IMAP for emacsen (development version)
 Wanderlust is a mail/news management system on emacsen.  It supports
 IMAP4rev1 (RFC2060), NNTP, POP and local message files.
 .
 The main features of Wanderlust:
 .
  - Pure elisp implementation.
  - Supports IMAP4rev1, NNTP, POP (POP3/APOP), MH and Maildir format.
  - Unified access method to messages based on Mew-like Folder Specification.
  - Mew-like Key-bind and mark handling.
  - Manages unread messages.
  - Interactive thread display.
  - Folder Mode shows the list of subscribed folders.
  - Message Cache, Disconnected Operation.
  - MH-like FCC. (Fcc: %Backup and Fcc: $Backup is allowed).
  - MIME compliant (by SEMI).
  - Transmission of news and mail are unified by Message transmitting draft.
  - Graphical list of folders.
  - View a part of message without retrieving the whole message (IMAP4).
  - Server-side message look up (IMAP4). Multi-byte characters are allowed.
  - Virtual Folders.
  - Supports compressed folder using common archiving utilities.
  - Old articles in folders are automatically removed/archived (Expiration).
  - Automatic re-file.
  - Template function makes it convenient to send fixed form messages.
 .
 This package provides a development snapshot version of Wanderlust.
Homepage: http://www.gohome.org/wl/
Tag: implemented-in::lisp, mail::imap, mail::pop, mail::user-agent,
 network::client, protocol::imap, protocol::nntp, protocol::pop3,
 role::plugin, role::program, suite::emacs, use::browsing, use::editing,
 works-with::mail
Section: mail
Priority: extra
Filename: pool/main/w/wl-beta/wl-beta_2.15.9+0.20120411-1_all.deb

Package: wm-icons
Version: 0.4.0-5.1
Installed-Size: 6492
Maintainer: Manoj Srivastava 
Architecture: all
Enhances: 9wm, aewm, amiwm, blackbox, ctwm, enlightenment, fluxbox, flwm, fvwm1, fvwm2, fvwm95, gwm, icewm, ion, larswm, lwm, olvwm, olwm, pwm, ratpoison, sapphire, sawfish, sawfish-gnome, scwm, twm, vtwm, w9wm, wmaker
Size: 3568352
SHA256: 13560615c9134b6cc491daea10340986815eed9e612d3b58e976aa6d2d29d0fe
SHA1: 581002fc4472d1454d8ed7edf347290f62d58e14
MD5sum: 16111dc3a4bc596d3fb6ca40ad45109c
Description: Themed icon set that is Window Manager agnostic.
 The Window Manager Icons is a set of generic icons for use by window
 managers in a graphical environment.  It is an efficient icon
 distribution designed to be standardized and configurable.  It
 includes several themed icon sets, a template icon set to help
 building new themed icon sets, scripts and configurations for several
 window managers.
 .
 wm-icons comes with different styles and common window manager sizes
 (small menu icons, larger desktop icons, etc.) as well as advice and
 setup for integrating them with various window managers such as FVWM,
 SCWM, etc.  Each set consists of an icon in that size and style for
 each of about 84 different generic categories ("game", "editor",
 "file-manager", etc).
Homepage: http://wm-icons.sourceforge.net/
Tag: made-of::icons, made-of::info, role::app-data, x11::theme
Section: misc
Priority: optional
Filename: pool/main/w/wm-icons/wm-icons_0.4.0-5.1_all.deb

Package: wm2
Version: 4+svn20090216-2
Architecture: armhf
Maintainer: Bernhard R. Link 
Installed-Size: 96
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libx11-6, libxext6
Recommends: xterm | x-terminal-emulator
Provides: x-window-manager
Homepage: http://www.all-day-breakfast.com/wm2/
Priority: optional
Section: x11
Filename: pool/main/w/wm2/wm2_4+svn20090216-2_armhf.deb
Size: 33828
SHA256: 5657e92d64e5f573cb051e5fc24eca66e2a73df1644ef382ed6398e6bf4d442b
SHA1: 6991bfa9372bea7add2ac46b5bd997d511a0396f
MD5sum: df899d3001fbf231948dea981c1a4470
Description: small, unconfigurable window manager
 wm2 is a window manager for X.  It provides an unusual style of window
 decoration and as little functionality as Chris Cannam feels comfortable
 with in a window manager.  wm2 is not configurable, except by editing
 the source and recompiling the code, and is really intended for people
 who don't particularly want their window manager to be too friendly.

Package: wmail
Version: 2.0-3
Architecture: armhf
Maintainer: Julien Danjou 
Installed-Size: 112
Depends: libc6 (>= 2.13-28), libdockapp2, libx11-6
Priority: optional
Section: x11
Filename: pool/main/w/wmail/wmail_2.0-3_armhf.deb
Size: 27620
SHA256: 962cf902eedea10d3e1ae77c8ae15e44e7054454872f54467f5741dd8989ec39
SHA1: 3482a6f3810d34649763eee42c1edd53df1950b7
MD5sum: 299fb183fb6a4a687ab5ddf847b0e6d5
Description: WindowMaker docklet watching your inbox
 wmail is a Window Maker docklet watching your inbox, which is either a
 ordinary mbox or a directory conforming to qmails Maildir format. It
 provides a nice little GUI displaying some useful pieces of information
 about your inbox (as many other nice wm-apps doing nearly the same
 thing...). Per default it uses the $MAIL environment-variable to locate
 the inbox you are using, other mailing mechanisms like POP or IMAP are
 not supported - use a tool like fetchmail to retrieve POP- or IMAP-based
 mail.

Package: wmaker
Version: 0.95.3-2
Architecture: armhf
Maintainer: Rodolfo García Peñas (kix) 
Installed-Size: 994
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libwings2 (>= 0.95.0), libwraster3 (>= 0.95.0), libwutil2 (>= 0.95.1), libx11-6, libxext6, libxinerama1, wmaker-common (>= 0.95.0+20111028-3)
Suggests: wmaker-data, menu, x11-apps, xosview, x-terminal-emulator, desktop-base
Provides: x-window-manager
Homepage: http://windowmaker.org/
Priority: optional
Section: x11
Filename: pool/main/w/wmaker/wmaker_0.95.3-2_armhf.deb
Size: 479536
SHA256: 4729a4060974c9d33ea9f589103c4f7befcba24c8ee3ae1ce969528fca9711a7
SHA1: 9962d26f15b8b4412271cd6352e540f89cbd400a
MD5sum: 61714ead3f5424414fd5e9660f8bea6f
Description: NeXTSTEP-like window manager for X
 Written by Alfredo Kojima almost from scratch, resembles the NeXTStep look
 very closely, and it is now an official GNU project. Window Maker is not
 overloaded with features, and it is easier to configure than most other window
 managers. Its final goal is to produce a window manager that doesn't require
 editing of configuration files. Window Maker is fast and doesn't require tons
 of memory to run.

Package: wmaker-common
Source: wmaker
Version: 0.95.3-2
Installed-Size: 3793
Maintainer: Rodolfo García Peñas (kix) 
Architecture: all
Replaces: wmaker (<< 0.95.0+20111028-3)
Suggests: wmaker
Breaks: wmaker (<< 0.95.0+20111028-3)
Size: 1703536
SHA256: 50a148ed2ebf87cab56217dff4a3d8344959f34e128036fd1c60b159cf98bd14
SHA1: 857f5621d381c42ac56f0284d58e916bdd606852
MD5sum: d85d2c7d74e5d67b3205c83d8eb76910
Description: Window Maker - Architecture independent files
 Written by Alfredo Kojima almost from scratch, resembles the NeXTStep look
 very closely, and it is now an official GNU project. Window Maker is not
 overloaded with features, and it is easier to configure than most other window
 managers. Its final goal is to produce a window manager that doesn't require
 editing of configuration files. Window Maker is fast and doesn't require tons
 of memory to run.
 .
 This package contains the architecture independent files.
Homepage: http://windowmaker.org/
Section: x11
Priority: optional
Filename: pool/main/w/wmaker/wmaker-common_0.95.3-2_all.deb

Package: wmaker-data
Version: 0.9~3-4
Installed-Size: 724
Maintainer: Noel David Torres Taño 
Architecture: all
Suggests: wmaker
Size: 363154
SHA256: f92d6b90bab1434f816c8c489deafaf77d7bb7127cb211820a9c908d999db27b
SHA1: b9ec8a9dc16df3e1463a9aa23540c22083eedd81
MD5sum: 2b1669ef5ec3c54d777e5b0e3f38d6b7
Description: several free icons for use with WindowMaker and others
 They all have a basic NeXTSTEP-like look and feel. These icons are
 placed where the WindowMaker package expects them by default. In
 fact, the wmaker package is set up to use this icons by default.
 .
 These icons are free in the DFSG sense.
Homepage: http://rolamasao.org/wmaker-data
Tag: interface::x11, made-of::icons, role::app-data, suite::gnustep,
 x11::window-manager
Section: x11
Priority: optional
Filename: pool/main/w/wmaker-data/wmaker-data_0.9~3-4_all.deb

Package: wmaker-dbg
Source: wmaker
Version: 0.95.3-2
Architecture: armhf
Maintainer: Rodolfo García Peñas (kix) 
Installed-Size: 3595
Depends: libwraster3 (= 0.95.3-2) | libwings2 (= 0.95.3-2) | libwutil2 (= 0.95.3-2) | wmaker (= 0.95.3-2)
Homepage: http://windowmaker.org/
Priority: extra
Section: debug
Filename: pool/main/w/wmaker/wmaker-dbg_0.95.3-2_armhf.deb
Size: 1479352
SHA256: 1bbe8cf9ea1f721f93c4e6bc7c56dd779755a894653cc7fe583c10f745b03bc6
SHA1: 52e6a4eeddfb1bcd138e09db4c98a2b5641549dc
MD5sum: 6ef7e680638a7005cef923492787a480
Description: Debugging symbols for WindowMaker packages
 Written by Alfredo Kojima almost from scratch, resembles the NeXTStep look
 very closely, and it is now an official GNU project. Window Maker is not
 overloaded with features, and it is easier to configure than most other window
 managers. Its final goal is to produce a window manager that doesn't require
 editing of configuration files. Window Maker is fast and doesn't require tons
 of memory to run.
 .
 This package contains debugging symbols for Window Maker and its core
 libraries (libWINGs, libWutils, libwraster).

Package: wmaloader
Source: wmaloader (0.1-5.1)
Version: 0.1-5.1+b1
Architecture: armhf
Maintainer: Steve McIntyre <93sam@debian.org>
Installed-Size: 72
Depends: libc6 (>= 2.13-28), libupnp6 (>= 1.4.3), adduser
Priority: extra
Section: net
Filename: pool/main/w/wmaloader/wmaloader_0.1-5.1+b1_armhf.deb
Size: 13078
SHA256: 213d40c4eeb6bf947e3c5d43740d2125665411473ca0f2f0d626bbe0389d9806
SHA1: 6c3c8f21a4ab0933e3a87da71eccf764f2688018
MD5sum: e8c1116d615add8d730730cb9f9a3ad4
Description: firmware downloader for Linksys WMA11B media adapter
 wmaloader is a simple program that can download filesystem images to
 the Linksys WMA11B. It performs the same function as the Windows
 XP/2000 Digital Media Adapter Application Loader (supplied with the
 original software) but can run on other operating systems. This
 allows the Linksys Wireless Media adapter to be booted from a Linux
 system. It does *not* supply media files to the device (yet!) but
 even so it might prove useful to those who wish to experiment hacking
 the device.

Package: wmanager
Version: 0.2.1-11
Architecture: armhf
Maintainer: Peter Pentchev 
Installed-Size: 84
Depends: libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.6), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), perl5, chase
Suggests: menu (>> 2.1.26)
Homepage: http://www.daemogorgon.net/software/wmanager/
Priority: optional
Section: x11
Filename: pool/main/w/wmanager/wmanager_0.2.1-11_armhf.deb
Size: 24598
SHA256: d9eb907e19a5831426a577fa03167d33af6a9bedbf1075b4b3b1ded2fbe2a3a7
SHA1: e2782ea9da73af5ae5e6877bb85cb4e5612e46f0
MD5sum: 7cc9008be7ae147ae86b434184588853
Description: window-manager selection tool used at X startup
 wmanager is a small X11 application for selecting a window manager
 at X startup. wmanager looks for a file named '.wmmanagerrc' in
 the user's home directory which contains a list of window managers;
 you can create such a file with wmanagerrc-update. You can also use
 wmanager-loop that runs window managers chosen by the user until
 told to exit.

Package: wmanx
Source: imanx
Version: 0.50-9.1
Installed-Size: 361
Maintainer: Alastair McKinstry 
Architecture: all
Depends: dictionaries-common, debconf (>= 0.5) | debconf-2.0
Size: 103688
SHA256: 3e45ea6461c8d9af5dc7324e03f03e2093473ce033707ec2187f968e2116794d
SHA1: f3337c419b30a7fe51bd85819098ff685f75c07d
MD5sum: d9d6419f1ded16d5c25f267eecf1c7a4
Description: Manx Gaelic dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/manx containing a list
 of Manx Gaelic words.  This list can be used by spelling checkers, and by
 programs such as look(1).
Tag: made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/i/imanx/wmanx_0.50-9.1_all.deb

Package: wmauda
Version: 0.8-2
Architecture: armhf
Maintainer: Rodolfo García Peñas (kix) 
Installed-Size: 99
Depends: libaudclient2 (>= 2.3), libc6 (>= 2.13-28), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libx11-6, audacious (>= 1.4.0), dbus-x11
Homepage: http://www.netswarm.net/misc/
Priority: extra
Section: sound
Filename: pool/main/w/wmauda/wmauda_0.8-2_armhf.deb
Size: 18086
SHA256: 47d961f2d5407c3d63f5a7aa3a60c803ae45d0ef887976967c47a3f1242752d6
SHA1: 89b331eb90e54f14870c3c046f85f3c7facb61a8
MD5sum: 8947609d2076b56e5a5ed53bbc0fdcb3
Description: remote-control dockapp for Audacious
 wmauda is a dockapp for WindowMaker and other window managers that support
 dockable applets. It acts as a remote control for the Audacious media player.
 .
 wmauda is a port of wmxmms to Audacious, itself seen as a replacement for
 XMMS.

Package: wmbattery
Version: 2.41
Architecture: armhf
Maintainer: Joey Hess 
Installed-Size: 95
Depends: libapm1 (>= 3.2.0-7), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libhal1 (>= 0.5.8.1), libx11-6, libxext6, libxpm4, hal
Suggests: wmaker
Homepage: http://kitenet.net/~joey/code/wmbattery/
Priority: extra
Section: x11
Filename: pool/main/w/wmbattery/wmbattery_2.41_armhf.deb
Size: 25106
SHA256: fd0037ab54feff752fcf70c75b1f603dcf81379e120a52257bbf63c2ac1120f0
SHA1: a5eb6b7d88fae20e3735ff6f3e1e3eab5172204c
MD5sum: 7831cd7b5dc71312dc03ea30a9a6e5bc
Description: display laptop battery info, dockable in WindowMaker
 wmbattery displays the status of your laptop's battery in a small icon.
 This includes if it is plugged in, if the battery is charging, how many
 minutes of battery life remain, and battery status (high - green, low -
 yellow, or critical - red).
 .
 There's nothing in the program that makes it require WindowMaker, except
 maybe the look. It can be docked in WindowMaker or AfterStep's dock.
 .
 wmbattery can use HAL, APM, ACPI, or even the SPIC that is in some Sony
 laptops. It supports multi-battery machines, and can estimate how long
 it will take the battery to finish charging or discharging.

Package: wmbiff
Version: 0.4.27-2.1
Architecture: armhf
Maintainer: Neil Spring 
Installed-Size: 250
Depends: libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libx11-6, libxext6, libxpm4, zlib1g (>= 1:1.1.4)
Suggests: ssh-askpass, ruby
Priority: optional
Section: x11
Filename: pool/main/w/wmbiff/wmbiff_0.4.27-2.1_armhf.deb
Size: 97936
SHA256: 77258688c880ad0af5201665c8397aeb5522d984a83259c80394edfa07447302
SHA1: d7c74828d1e208b57b8589b2d982f0d8a72a41a5
MD5sum: 63b2c126e42d6df5daa103ad584b6205
Description: A dockable app that displays information about mailboxes
 WMBiff is an WindowMaker docking utility, that displays the
 number of read and unread messages in (usually) five
 mailboxes. You can also define actions to execute on new
 mail arrival (for example, play a sound file),
 [auto]fetchmail from a remote server, execute your mail
 reader with a mouse click, or list subject lines from unread
 messages. WMBiff also supports notification of incoming Licq
 messages, so you can put Licq in the background.
 .
 At this moment, wmbiff supports unix-style, maildir, pop3, APOP, IMAP
 and IMAPS mailboxes, as well as Licq and GnomeICU history files.
 .
 It also includes scripts to perform miscellaneous tasks. At
 the moment, these include a checker for Debian security updates.
 .
 wmbiff is similar to the wmmaiload package, but features an
 LED-style appearance, IMAPS support, and other minor differences.

Package: wmbubble
Version: 1.46-3
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 118
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6
Suggests: sox, x-terminal-emulator
Priority: optional
Section: x11
Filename: pool/main/w/wmbubble/wmbubble_1.46-3_armhf.deb
Size: 31124
SHA256: 05a22fc50ebb0b7b49bca0c221348b81a1938ae1d5c250fe8eba7b0b68d475a9
SHA1: df6f55d419e97df603e4dbc7d9e96923ff28a8e5
MD5sum: 29092bf7afa27721edbac03f11a0d5ca
Description: system-load meter for Window Maker that features a duck
 A Window Maker dockapp (though it does not specifically require the Window
 Maker window manager) that indicates the system load.
 .
 The more profuse the bubbles, the higher the CPU usage. The higher the water,
 the more memory being used.
 .
 Up to two user-specified programs may be launched from this dockapp.

Package: wmbutton
Version: 0.6.1-3.1
Architecture: armhf
Maintainer: Christian Aichinger 
Installed-Size: 111
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Priority: optional
Section: x11
Filename: pool/main/w/wmbutton/wmbutton_0.6.1-3.1_armhf.deb
Size: 35722
SHA256: bc25c49e18b9b7e6f016487bda33c59f560224ec683e98d7e8829a23722ff8db
SHA1: be411e11817eb44c024d5f7aab80efac0002d101
MD5sum: ba946a21ca9d28cd36f5b081f39df1d1
Description: dockapp displaying nine configurable buttons
 Wmbutton displays nine buttons which can be configured through a config file.
 Each button can be assigned up to three commands (one per mouse button).
 It is intended as a dockapp for X window managers such as Window Maker,
 AfterStep, BlackBox, and Enlightenment.

Package: wmcalclock
Version: 1.25-15
Architecture: armhf
Maintainer: Kevin Coyner 
Installed-Size: 305
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Priority: optional
Section: x11
Filename: pool/main/w/wmcalclock/wmcalclock_1.25-15_armhf.deb
Size: 50386
SHA256: 52a4903ca79f154139d9699a8424200c387ad67b5cda756a2cdcbbf3ca621d82
SHA1: 961cd9dd17ebf33ade74fb2d0cda26ee6d28e328
MD5sum: 16ea448a58ae3d3ba3d09d6d08aa8642
Description: A dock.app which simply tells time and date
 wmCalClock is a dock app specifically written for WindowMaker, but will work
 with other window managers.  It features antialiased text display with the
 ability to choose between different fonts and colors.  wmCalclock supports
 12, 24 and 30 hour time, Greenwich time, Greenwich Mean Sidereal Time, and
 Local Sidereal Time.

Package: wmcdplay
Version: 1.0beta1-10
Architecture: armhf
Maintainer: John H. Robinson, IV 
Installed-Size: 140
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libx11-6, libxext6, libxpm4
Suggests: wmaker
Priority: optional
Section: sound
Filename: pool/main/w/wmcdplay/wmcdplay_1.0beta1-10_armhf.deb
Size: 34920
SHA256: 9943b66c2f7a9836056b16697744df59f1f5eacc8befc89c9c1139a51fb11921
SHA1: 32e436aa5b277310d6cde11075e6af5c28b145ad
MD5sum: d4176712a41736579eadc5c9a7f26dbf
Description: A CD player based on ascd designed for WindowMaker
 There's nothing in the program that makes it *require* WindowMaker,
 except maybe the look. It's extremely customizable, provides great
 control, and runs on a 64x64 window. You can get it too look almost
 like anything, provided of course you READ the documentation.

Package: wmclock
Version: 1.0.14-1
Architecture: armhf
Maintainer: Julien BLACHE 
Installed-Size: 222
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Homepage: http://www.bluestop.org/wmclock/
Priority: optional
Section: x11
Filename: pool/main/w/wmclock/wmclock_1.0.14-1_armhf.deb
Size: 34770
SHA256: 93621f58452395e47188f0bac23e723b5d851acb78b30661af9dcdd68ff4d1e1
SHA1: 947eaacdd0dcd643510e691b13cb66de7df08698
MD5sum: e12f89a20af706fa4018e8f48fc1f832
Description: dockable clock applet for Window Maker
 wmclock is an applet for Window Maker which displays the date and
 time in a dockable tile. It features multiple language support, 24h
 or 12h time display, and can run a user-specified program on mouse
 click.

Package: wmclockmon
Version: 0.8.1-2
Architecture: armhf
Maintainer: Marc 'HE' Brockschmidt 
Installed-Size: 350
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxext6, libxpm4
Priority: optional
Section: x11
Filename: pool/main/w/wmclockmon/wmclockmon_0.8.1-2_armhf.deb
Size: 67282
SHA256: efc36d30d70660c90308af2b29fa73f40cf41c11acf08b64d4d83c06cf271b1a
SHA1: dfc796e73fe54f48f3b618e7fec15bf3034fa813
MD5sum: 862d9678c2f492b42c2f858210169705
Description: Displays a clock in 12/24h mode with alarm mode
 wmclockmon displays a clock like wmtime, but with an alarm timer and
 different styles (14 + user customized ones). There's also a "binary
 clock" style available.

Package: wmcoincoin
Version: 2.5.1e-1
Architecture: armhf
Maintainer: Josselin Mouette 
Installed-Size: 1088
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libimlib2, libpango1.0-0 (>= 1.14.0), libx11-6, libxext6, libxft2 (>> 2.1.1), libxinerama1
Priority: extra
Section: x11
Filename: pool/main/w/wmcoincoin/wmcoincoin_2.5.1e-1_armhf.deb
Size: 487874
SHA256: 8dd58524ac82ff1f0a0b0ac811d7efc289ee1b1570004ada7923764d369163bb
SHA1: 98aff998698988cb9af61571d227a6877ae1b98a
MD5sum: 11cfcb0e17b0edbe0cb77ee519ec3bf3
Description: Humourous dockapp for browsing DaCode sites' news and board
 WMCoinCoin allows you to browse linuxfr.org and other sites based on
 DaCode 1.4, Templeet and many other webchat engines. It will:
  * display website news with new items displayed prominently;
  * display private messages, showing the appropriate web page when
    new messages are received;
  * allow tabbed browsing of multiple sites;
  * display the board/webchat and allow new messages to be posted, with the
    ability to detect, enhance or kill trolls.
 .
 WMCoinCoin, while being full of stupid things, is a real advanced
 chatting client, using HTTP, with low bandwidth requirements.
 .
 URL: http://hules.free.fr/wmcoincoin/ (French)
      http://sourceforge.net/projects/dacode/

Package: wmcpu
Version: 1.4-4
Architecture: armhf
Maintainer: Varun Hiremath 
Installed-Size: 61
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Homepage: http://dockapps.org/file.php/id/306
Priority: optional
Section: x11
Filename: pool/main/w/wmcpu/wmcpu_1.4-4_armhf.deb
Size: 12658
SHA256: 2193c5b3bddbee5bd615ec89d02998e2a78c2ec28d5a12a63f0d9762a015100b
SHA1: 91b9bbfe622eac4de609d97095b6f61ba138e76a
MD5sum: 4360208c14f1649eb70f5f83d36b01ad
Description: Window Maker docking app similar to xosview
 Displays a 64x64 box with:
  * CPU
  * Mem
  * Swap
  * Uptime

Package: wmcpuload
Version: 1.0.1-3.2
Architecture: armhf
Maintainer: Christian Aichinger 
Installed-Size: 80
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Priority: optional
Section: x11
Filename: pool/main/w/wmcpuload/wmcpuload_1.0.1-3.2_armhf.deb
Size: 25222
SHA256: e75b417825e75e8edae370a75b3d98fd5872e7e264059527d36c778068e476e4
SHA1: 63b02f54f2869974a96aab631436a24b0052947e
MD5sum: c663032565c3f9de726b10b56f9d8dc1
Description: Dockapp that displays the current CPU usage
 WMCPULoad is a dockapp for X window managers such as WindowMaker,
 AfterStep, BlackBox and Enlightenment. It displays the current CPU
 usage as percentage value and chart with an LCD-like look. Its
 appearance can be configured from the commandline and it has a
 backlight mode which can be triggered manually or by an adjustable
 alarm percentage.

Package: wmctrl
Version: 1.07-7
Architecture: armhf
Maintainer: Jeroen Schot 
Installed-Size: 75
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libx11-6, libxmu6
Multi-Arch: foreign
Homepage: http://tomas.styblo.name/wmctrl/
Priority: optional
Section: x11
Filename: pool/main/w/wmctrl/wmctrl_1.07-7_armhf.deb
Size: 22362
SHA256: 8eb2f0c2810d0e93f0c92f4012ebcc53cae7fa00cc437edd372d9af9ef004851
SHA1: 9d67b2a912c6940c087e427d4cc3d28d15f611c4
MD5sum: e64b2de86d7a274d9c157000e3748105
Description: control an EWMH/NetWM compatible X Window Manager
 Wmctrl is a command line tool to interact with an
 EWMH/NetWM compatible X Window Manager (examples include
 Enlightenment, icewm, kwin, metacity, and sawfish).
 .
 Wmctrl provides command line access to almost all the features
 defined in the EWMH specification. For example it can maximize
 windows, make them sticky, set them to be always on top. It can
 switch and resize desktops and perform many other useful
 operations.

Package: wmdate
Version: 0.7-4
Architecture: armhf
Maintainer: Varun Hiremath 
Installed-Size: 71
Depends: libc6 (>= 2.13-28), libdockapp2, libx11-6, libxext6, libxpm4
Homepage: http://solfertje.student.utwente.nl/~dalroi/wmdate/
Priority: optional
Section: x11
Filename: pool/main/w/wmdate/wmdate_0.7-4_armhf.deb
Size: 14090
SHA256: e2845e50e7eb60b27c927ea8cdd78523712d9f36640959d130aab8d23ce9118d
SHA1: 59479e28cab3ece00d07fda0ba2468e744e4db3e
MD5sum: 81b4a34de487915974eedf46ba06f456
Description: Calendar for window manager docking bars
 wmdate produces a 64x64 pixel calendar for use in the docking bars of
 window managers.  It was written specificly for Window Maker and goes well
 with docking bars that have a "clock-only" clock app such as pclock.

Package: wmdiskmon
Version: 0.0.2-2
Architecture: armhf
Maintainer: Marc 'HE' Brockschmidt 
Installed-Size: 71
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Priority: optional
Section: x11
Filename: pool/main/w/wmdiskmon/wmdiskmon_0.0.2-2_armhf.deb
Size: 14982
SHA256: 5a7269697ae9c50b9c793917fddff7bd009538e464599cda61703a9da2e9c731
SHA1: 99457beb112d6bf4d1a978d3c3d1845895a7840f
MD5sum: 0ad83d9c5a1baeeb3738a22a218b72bc
Description: dockapp to display disk usage
 wmdiskmon is a dockapp to monitor disk usage. It can be used with X window
 managers like WindowMaker, AfterStep, BlackBox and Enlightenment.

Package: wmdrawer
Version: 0.10.5-1.1
Architecture: armhf
Maintainer: Francois Gurin 
Installed-Size: 111
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libx11-6, libxext6, libxi6
Homepage: http://people.easter-eggs.org/~valos/wmdrawer/
Priority: optional
Section: x11
Filename: pool/main/w/wmdrawer/wmdrawer_0.10.5-1.1_armhf.deb
Size: 36518
SHA256: cad4d11f253b661df7fcc8f3f0433fd64174a8b7ab39c473daf10f036d5acc0f
SHA1: b0609afaab3354bed2ff88f2db7934dd74202e2e
MD5sum: 5ba12fcc5d251b582228ca4719127469
Description: a button launcher
 a dockapp which provides very customisable buttons for quick launching apps

Package: wmf
Version: 1.0.5-6
Architecture: armhf
Maintainer: Francesco Paolo Lovergine 
Installed-Size: 127
Depends: libc6 (>= 2.7)
Suggests: www-browser
Priority: optional
Section: web
Filename: pool/main/w/wmf/wmf_1.0.5-6_armhf.deb
Size: 47078
SHA256: 90a3e8f67872f06fe9987a76abce7acbf6e19d28efc603c60bcab711fba17ead
SHA1: 8bb36d56937618d809577d3da9e06e4952587bb5
MD5sum: 498d5f8fc6abc07cce3e9e6b7be1aca3
Description: Web Mail Folder
 This program converts emails to HTML and makes index files
 (by author, data, subject,thread). Of course there are other programs
 making the same, but here are some features which not all have:
        - correct threading without level limitation
        - quoted-printable text encoding
        - home page hyperlink (X-URL)
        - faces support
        - breaking indexes in different pages to make them smaller
          (don't break between threads)
        - index time limitation (older mail disappears from the index)
        - frame support

Package: wmforkplop
Version: 0.9.3-2
Architecture: armhf
Maintainer: Varun Hiremath 
Installed-Size: 96
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.16.0), libgtop2-7 (>= 2.22.3), libice6 (>= 1:1.0.0), libimlib2, libsm6, libx11-6, libxext6, zlib1g (>= 1:1.1.4), ttf-dejavu
Homepage: http://hules.free.fr/wmforkplop
Priority: optional
Section: x11
Filename: pool/main/w/wmforkplop/wmforkplop_0.9.3-2_armhf.deb
Size: 35212
SHA256: 50b5efc10ec16ebf80216e50da74c6a7f70109a59f37d07284448481b720a36f
SHA1: 0419dd8b529cf01bbec907ea74e10888dfca1511
MD5sum: c13fc4cc50225cc8e45e0baf58a67654
Description: monitors forking activity and displays top CPU consuming processes
 Wmforkplop monitors the forking activity of the kernel and
 displays a list of the most CPU consuming processes.
 Although primarily aimed at Windowmaker, it will run on any
 window manager, either as a dockapp or as a standard X11
 application.

Package: wmfrog
Version: 0.2.0-4
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 255
Depends: wget, libxext6, libc6 (>= 2.13-28), libx11-6, libxpm4
Homepage: http://freshmeat.net/projects/wmfrog
Priority: optional
Section: x11
Filename: pool/main/w/wmfrog/wmfrog_0.2.0-4_armhf.deb
Size: 70448
SHA256: 16ccee5fc98182b24fb5a670238b677c138d5a0b027872a78675a4510dc894ba
SHA1: 6ae35dfb1266ae42e2592095c7ac052498ce4ec4
MD5sum: 3ae47fa55d0cc03c57f6d7fac8b1a637
Description: dockapp for graphically indicating the weather
 This package provides a dockapp for weather reports. It shows:
 .
  - Cloud cover: clear, few, scattered, broken, overcast etc.
  - Precipitation: light, moderate, or heavy rain, hail, or snow.
  - Humidity as a percentage.
  - Wind speed, average and gusting, and direction.
  - Temperature: Celsius or Fahrenheit.
  - Special conditions such as gales, thunderstorms, or tornadoes.
 .
 The name of the reporting station can be configured and the time of the
 last update is displayed.

Package: wmgui
Source: cwiid
Version: 0.6.00+svn201-3
Architecture: armhf
Maintainer: Romain Beauxis 
Installed-Size: 165
Depends: libatk1.0-0 (>= 1.12.4), libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libcwiid1 (>= 0.6.00+svn184), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0)
Homepage: http://abstrakraft.org/cwiid/
Priority: extra
Section: utils
Filename: pool/main/c/cwiid/wmgui_0.6.00+svn201-3_armhf.deb
Size: 56648
SHA256: fcde095f4b67ae02376d5b9132d5ef57734d27c5ced756eb915c18b97530e50d
SHA1: 0cf3ba5916cac2cd0c3a0e91e781b294c58d4347
MD5sum: d61f6617fe5a04af402daf82064c278e
Description: GUI interface to the wiimote
 CWiid is a working userspace driver along with various
 applications implementing event drivers, multiple wiimote
 connectivity, gesture recognition, and other Wiimote-based
 functionality.
 .
 This package provides the GUI to test and display the wiimote data.

Package: wmhdplop
Version: 0.9.9-2.1
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 93
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libice6 (>= 1:1.0.0), libimlib2, libsm6, libx11-6, libxext6, zlib1g (>= 1:1.1.4)
Recommends: hddtemp, ttf-freefont
Homepage: http://hules.free.fr/wmhdplop
Priority: optional
Section: x11
Filename: pool/main/w/wmhdplop/wmhdplop_0.9.9-2.1_armhf.deb
Size: 30862
SHA256: f2a08f48ae3e4ae83781523f6700a0ce51f2fc88336c6b3043797b1fe789b079
SHA1: e2b209a6d6fff87687fff48995267cbb65e3b228
MD5sum: eb057a2513d17972f1b5ad01549b6f3c
Description: hard drive activity monitor dockapp
 It monitors your hard drives by sending visual stimuli to your cortex
 each time your /dev/hdx writes or reads anything. Try to launch
 openoffice and enjoy the wmhdplop show.

Package: wmifinfo
Version: 0.09-5
Architecture: armhf
Maintainer: Enrique Monge 
Installed-Size: 90
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Homepage: http://zevv.nl/play/code/wmifinfo/
Priority: optional
Section: x11
Filename: pool/main/w/wmifinfo/wmifinfo_0.09-5_armhf.deb
Size: 21616
SHA256: f200d7d7a5f4e3bdf4cc6159d1bbe62708fed5e11298603408b6794c18dbc70e
SHA1: aea4f718750f2523f6e2edace0591ce261c546f3
MD5sum: a98486b38931c25276c106fe84c773ae
Description: Dockapp that shows information for all interfaces
 wmifinfo is a simple applet showing basic network info for all available
 interfaces. It shows IP address, netmask, gateway and MAC address in a
 similar way to ifconfig.

Package: wmifs
Version: 1.3b1-20
Architecture: armhf
Maintainer: Martin A. Godisch 
Installed-Size: 86
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Recommends: wmaker
Conflicts: wmppp.app (<= 1.1-1)
Replaces: wmppp.app (<= 1.1-1)
Priority: optional
Section: x11
Filename: pool/main/w/wmifs/wmifs_1.3b1-20_armhf.deb
Size: 23774
SHA256: 648dd14bd0538840d24b0ee74553d7ca10b98e56b37ad7cadadb0618ef5b59a5
SHA1: 72db29fddfdabce6dc3f353fd74c2ef900b910e3
MD5sum: 40eedfdcef852bce4a536946fc2a98cd
Description: WindowMaker dock app for monitoring network traffic
 wmifs is a dockable applet for X11 that can monitor all your network
 interfaces. It's designed for WindowMaker's Dock and AfterStep's Wharf,
 but it's not dependent on these window managers and should work with any
 other.
 .
 WMiFS stands for "Window Maker InterFace Statistics".

Package: wmii
Version: 3.9.2+debian-4
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 956
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libx11-6, libxext6, libxft2 (>> 2.1.1), libxinerama1, libxrandr2, libxrender1, suckless-tools | dwm-tools
Recommends: wmii-doc
Conflicts: wmii2
Replaces: wmii2
Provides: x-window-manager
Homepage: http://www.suckless.org/
Priority: optional
Section: x11
Filename: pool/main/w/wmii/wmii_3.9.2+debian-4_armhf.deb
Size: 396200
SHA256: 23b209103d014b4ece2a24c93c21043d5799538514d2068df718b2736a9b5f9c
SHA1: 022cbb7b5e5a70924bd86885e4a5d1c7fdb755a6
MD5sum: 134170138e0e6fb38d190b0e3f23d484
Description: lightweight tabbed and tiled X11 window manager, version 3
 wmii is a dynamic window manager for X11, which is highly customizable and
 usable with keyboard and mouse. It supports conventional, tabbed and tiled
 window management with low memory usage. It is highly modularized and uses an
 inter-process communication interface which is oriented on the 9p protocol of
 plan9.
 .
 This package contains version 3 of the window manager, wmii2 contains version
 2.

Package: wmii-doc
Version: 1:1-14
Installed-Size: 280
Maintainer: Debian QA Group 
Architecture: all
Recommends: wmii
Size: 237470
SHA256: 8ded435ebca08ffc514fb22ab61b12f1b691769f986fca898818f84afbd43af6
SHA1: d9d5be788912663f7f76b69b302ccc4ae90f34c8
MD5sum: f31b030a93149f9ec3fe1f955079c9c8
Description: lightweight tabbed and tiled X11 window manager, version 3 (documentation)
 wmii is a dynamic window manager for X11, which is highly customizable and
 usable with keyboard and mouse. It supports conventional, tabbed and tiled
 window management with low memory usage. It is highly modularized and uses an
 inter-process communication interface which is oriented on the 9p protocol of
 plan9.
 .
 This package contains the collected documentation of wmii 3.
Homepage: http://www.suckless.org/
Tag: made-of::pdf, made-of::postscript, role::documentation
Section: doc
Priority: optional
Filename: pool/main/w/wmii-doc/wmii-doc_1-14_all.deb

Package: wminput
Source: cwiid
Version: 0.6.00+svn201-3
Architecture: armhf
Maintainer: Romain Beauxis 
Installed-Size: 209
Depends: python-cwiid, libbluetooth3 (>= 4.91), libc6 (>= 2.13-28), libcwiid1 (>= 0.6.00+svn184), libpython2.7 (>= 2.7)
Homepage: http://abstrakraft.org/cwiid/
Priority: extra
Section: utils
Filename: pool/main/c/cwiid/wminput_0.6.00+svn201-3_armhf.deb
Size: 62588
SHA256: f60f8ca80e243ef832ea81b6bd5bfa47107ebe2ae6071656948d6a87433931df
SHA1: 6cb1981210a9a9ec7120483c9cdf811ba915be92
MD5sum: 429f310909d82e95a7ba2c2ff00886ce
Description: Userspace driver for the wiimote
 CWiid is a working userspace driver along with various
 applications implementing event drivers, multiple wiimote
 connectivity, gesture recognition, and other Wiimote-based
 functionality.
 .
 This package provides an event driver for the wiimote, supporting all
 buttons (except Power) and pointer tracking, and featuring a tracking
 algorithm plugin architecture.

Package: wmitime
Version: 0.3-11
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 93
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Suggests: wmaker
Homepage: http://www.freshports.org/x11-clocks/wmitime
Priority: optional
Section: x11
Filename: pool/main/w/wmitime/wmitime_0.3-11_armhf.deb
Size: 19864
SHA256: 0b4dd234884897c5a839435d826498269aa8ec2c5f9e26f5d679f93876dd9df9
SHA1: aa5beafa95b81ce99b70034995c1737bdafa23b6
MD5sum: 3b852ca1e01d64e0555f4bac73e04324
Description: clock dock app showing time and internet time
 WMitime is clock dock app, which shows standard time,
 date. The unique feature is that it can also show Internet time
 with notation @TIME. See  for more about
 Internet time.

Package: wmix
Version: 3.1-5
Architecture: armhf
Maintainer: Fredrik Steen 
Installed-Size: 91
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Priority: extra
Section: x11
Filename: pool/main/w/wmix/wmix_3.1-5_armhf.deb
Size: 24326
SHA256: 523568b51b14e1f586219069d985c61502915bb9cf305007aa21228cdd718391
SHA1: 8746945e95623563eba1d7342b6cafda8826dd40
MD5sum: a953849ea7c71e55d14b36c4e3474d8a
Description: Dockapp mixer for OSS
 Allows toggling record source, muting individual channels,
 adjusting volume and balance, all in a compact dockapp size,
 with TV-like on-screen-display for volume levels.
 .
 Supports mousewheel to adjust current channelvolume
 and can be controlled remotely with SIGUSR1 / SIGUSR2 to adjust the volume.
 Keeps a simple configuration file for user-defined settings.

Package: wml
Version: 2.0.12ds1-3+deb7u1
Architecture: armhf
Maintainer: Debian WML Packaging Team 
Installed-Size: 983
Depends: libc6 (>= 2.13-28), libgd2-xpm (>= 2.0.36~rc1~dfsg), perl (>= 5.14.2-21+rpi2+deb7u1), perlapi-5.14.2, mp4h (>= 1.1.1-1), eperl (>= 2.2.14-2), m4 (>= 1.4-8), slice (>= 1.3.7-0.1), libterm-readkey-perl, libimage-size-perl, libbit-vector-perl
Recommends: tidy (>= 19990415-1), libhtml-clean-perl (>= 0.7-3), linklint, txt2html, iselect (>= 1.2.0-2)
Suggests: freetable, imagemagick, libwww-perl, shtool (>= 1.5.1-1), tardy, w3-recs, weblint-perl
Homepage: https://bitbucket.org/shlomif/website-meta-language
Priority: optional
Section: web
Filename: pool/main/w/wml/wml_2.0.12ds1-3+deb7u1_armhf.deb
Size: 527276
SHA256: 0b433bb5a41f462feaca84a7e8e46620c64516023260c92fe570f47cfe8fc296
SHA1: 070ebb871927e9b8b713f9fc86b4c82b80a1d368
MD5sum: 2ce407281c90a64ea08d52ab25b16075
Description: off-line HTML generation toolkit
 WML (Website META Language) is a free and extensible Webdesigner's
 off-line HTML generation toolkit for Unix.  WML consists of a control
 frontend driving up to nine backends in a sequential pass-oriented
 filtering scheme. Each backend provides one particular core language.
 For maximum power WML additionally ships with a well-suited set of
 include files which provide higher-level features build on top of the
 backends core languages. While not trivial and idiot proof WML provides
 most of the core features real hackers always wanted for HTML
 generation.

Package: wmlongrun
Version: 0.3.0-pre1-4.2
Architecture: armhf
Maintainer: Francois Gurin 
Installed-Size: 80
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Priority: optional
Section: x11
Filename: pool/main/w/wmlongrun/wmlongrun_0.3.0-pre1-4.2_armhf.deb
Size: 17994
SHA256: 151f97f65008a855feee6dd9aa1638a9dc273d25b69a1a889342d83b38129f2c
SHA1: fa9cfbe76dd65b307c7f9a94aa3ffbf8dc67ace4
MD5sum: fe04e1ee0c7d7aaad717228a98fd53af
Description: A program to monitor longrun status
 WMLongRun is a program to monitor LongRun. It displays the current
 LongRun(tm) settings and status: the frequency, the LongRun(tm) flags
 (performance or economy), and the performance level (expressed as a
 percentile). It is a dockapp (dockable application) that is supported by
 X window managers such as Window Maker, AfterStep, BlackBox, and
 Enlightenment.
 .
 It has two user interfaces: an LCD look-alike user interface and an
 LED-interface. If you select the LCD-interfaces, the back-light may be
 turned on/off by clicking the mouse button over the application.

Package: wmmatrix
Version: 0.2-12
Architecture: armhf
Maintainer: Varun Hiremath 
Installed-Size: 157
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Homepage: http://dockapps.org/file.php/id/10
Priority: optional
Section: x11
Filename: pool/main/w/wmmatrix/wmmatrix_0.2-12_armhf.deb
Size: 59920
SHA256: 95e93a417ba761d0bad60de5498c0ccf39dd370fd13934542a448f24325adcf9
SHA1: 7da85a4967306790917cf88a7a1100ea804a1dee
MD5sum: 83b59d99cc6fa5a7e09e6590dd84c60f
Description: View The Matrix in a Window Maker dock application
 wmMatrix displays The Matrix (from the film of the same name) in
 a Window Maker dock application. Based on the xscreensaver module
 created by Jamie Zawinski.
 .
 Although it works best with Window Maker, wmMatrix also works fine
 with other window managers.

Package: wmmemload
Version: 0.1.6-7
Architecture: armhf
Maintainer: Philipp Benner 
Installed-Size: 72
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Priority: optional
Section: x11
Filename: pool/main/w/wmmemload/wmmemload_0.1.6-7_armhf.deb
Size: 17048
SHA256: a894c91bd26f3e0fb22a840997f748f021fc546c5ed4e1f420e9fd6f85084d79
SHA1: b6f0a1b165374a050a43d4e22ea8f443c0cd7cc6
MD5sum: 04719bc091ebbd033690e5d59b603661
Description: WindowMaker dockapp to monitor memory and swap usage
 wmmemload displays the current memory and swap usage as a percentage
 value. It is based on wmcpuload and wmmemmon, and as such looks very
 nice alongside those.
 .
 wmmemload is designed to work with the WindowMaker dock, but will work with
 other window managers as well.

Package: wmmixer
Version: 1.7-1
Architecture: armhf
Maintainer: Rodolfo García Peñas (kix) 
Installed-Size: 98
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6, libxext6, libxpm4, oss-compat
Suggests: wmaker | afterstep
Homepage: http://www.dockapps.org/
Priority: optional
Section: x11
Filename: pool/main/w/wmmixer/wmmixer_1.7-1_armhf.deb
Size: 27492
SHA256: 20aea54d64b9b71124ede7e9f022e1ee57b063eadf78713074afd6ff91fcae12
SHA1: c29935c001f461b1a3c8fa9f203ee401142d7e3e
MD5sum: 50fb4ecc2dcf293a84e9675bcfc2b88c
Description: mixer application designed for WindowMaker
 wmmixer displays the mixer status of your computer in a small icon.
 Most common channels are identified with an appropriate icon. Control
 include a stereo (mono where appropriate) volume control and a recording
 source toggle button.
 .
 It is possible to change between the different channels using two small
 buttons (previous channel, next channel) and to change the volume of the
 channel.
 .
 There's nothing in the program that makes it require WindowMaker, except maybe
 the NeXTStep look and the fact that it properly docks. It can be used with
 other window managers without problems.

Package: wmmon
Version: 1.1+20120402-1
Architecture: armhf
Maintainer: Rodolfo García Peñas (kix) 
Installed-Size: 83
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Homepage: http://repo.or.cz/w/dockapps.git
Priority: optional
Section: x11
Filename: pool/main/w/wmmon/wmmon_1.1+20120402-1_armhf.deb
Size: 20924
SHA256: 1ecfe2aec38f6eb76b8b78c6d799a005c6762a85e472ce5d588c822ebaa439e7
SHA1: 588275bce6b97f4d6a69c5e68b1b2f2a58f6a619
MD5sum: 047656a270c31efe7422685b2bee38f6
Description: Window Maker dockapp for monitoring system information
 WMMon monitors the realtime CPU load as well as the average system load,
 and gives you some nice additional features too. It is intended for
 docking in Window Maker.
 .
 It currently provides:
 .
   * a realtime CPU stress meter;
   * an auto-scaled average system load meter, like xload and wmavgload;
   * a realtime disk I/O stress meter;
   * auto-scaled disk I/O load meter;
   * realtime memory and swap usage meters;
   * a display for system uptime;
   * three user-defined commands to launch.

Package: wmmoonclock
Version: 1.28-1
Architecture: armhf
Maintainer: Rodolfo García Peñas (kix) 
Installed-Size: 784
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Suggests: wmsun, wmaker | afterstep
Priority: optional
Section: x11
Filename: pool/main/w/wmmoonclock/wmmoonclock_1.28-1_armhf.deb
Size: 157390
SHA256: 1eae4d6b8dbb3a0b102cae1bdad46773c397dbae768e96544c3a806cf5ae7dbb
SHA1: a691dd76b81dd7f4171f28f80a5fe446a8a81d95
MD5sum: bf00dd14cb64e053540b531182af4114
Description: WindowMaker moon phase dockapp
 wmMoonClock displays a small pixmap of the current phase of the moon.
 You have to enter your Latitude and Longitude for it to work correctly.
 wmMoonClock is designed to work with the WindowMaker dock, but will
 work with other window managers as well.

Package: wmnd
Version: 0.4.16-1.1
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 128
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Conflicts: wmnd-snmp
Replaces: wmnd-snmp
Homepage: http://www.thregr.org/~wavexx/software/wmnd/
Priority: optional
Section: net
Filename: pool/main/w/wmnd/wmnd_0.4.16-1.1_armhf.deb
Size: 53754
SHA256: ce7a835e89cac532fe7ddc1b4fa15f77866e308a593e65f30467e1a50fef7b17
SHA1: 6df22f830c815e24c7b69f80a67f7b7a8c23a35a
MD5sum: a55c411fb7014595a22f0798121a2b45
Description: Dockapp monitoring network interfaces
 Shows a graph of incoming/outgoing traffic, activity indicators for rx/tx and
 current/maximum rate for rx/tx in bytes or packets.
 .
 Tailored for use with WindowMaker, it will as well work with any other window
 manager though.

Package: wmnd-snmp
Source: wmnd
Version: 0.4.16-1.1
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 131
Depends: libc6 (>= 2.13-28), libsnmp15 (>= 5.4.3~dfsg), libx11-6, libxext6, libxpm4
Conflicts: wmnd
Replaces: wmnd
Homepage: http://www.thregr.org/~wavexx/software/wmnd/
Priority: optional
Section: net
Filename: pool/main/w/wmnd/wmnd-snmp_0.4.16-1.1_armhf.deb
Size: 55570
SHA256: c0a9a5f188ea57f646ada21b03f2368695a1400d59fd947bf6b8e3bd0c93da79
SHA1: bb533ea1bdcc385405ef8d2b27378369daed5409
MD5sum: 1e427fa48c90857803476352fefecb8b
Description: Dockapp monitoring network interfaces with SNMP support
 Shows a graph of incoming/outgoing traffic, activity indicators for rx/tx and
 current/maximum rate for rx/tx in bytes or packets.
 .
 The SNMP input driver can use any IF-MIB compliant data source such as
 most routers and managed switches.
 .
 Tailored for use with WindowMaker, it will as well work with any other window
 manager though.

Package: wmnet
Version: 1.06-1
Architecture: armhf
Maintainer: Martin Lazar 
Installed-Size: 76
Depends: libc6 (>= 2.7), libx11-6, libxext6, netbase
Suggests: wmaker
Homepage: http://www.katharineosborne.com/wmnet/
Priority: optional
Section: x11
Filename: pool/main/w/wmnet/wmnet_1.06-1_armhf.deb
Size: 24176
SHA256: 34c536bd077c983de18b2323ca6e71231dccd9db4817356ca703c4f7f4e7d300
SHA1: 721ed7ede3b97d1d050785467bc86ce44d5512bd
MD5sum: 2f34e099ae532fe4521b2a63ab19836a
Description: network monitor for WindowMaker
 This little  program polls network  statistics and does a  few things
 with the  data it  gets. The speedometer  keeps track of  the current
 speed per second and shows it in a color corresponding to which of rx
 or tx that has the highest speed at the moment.

Package: wmnut
Version: 0.64-1
Architecture: armhf
Maintainer: Arnaud Quette 
Installed-Size: 128
Depends: libc6 (>= 2.13-28), libupsclient1 (>= 2.4.1), libx11-6, libxext6, libxpm4
Homepage: http://wmnut.mgeops.org/
Priority: optional
Section: x11
Filename: pool/main/w/wmnut/wmnut_0.64-1_armhf.deb
Size: 41100
SHA256: 3cede89af8dad70ef5a9a32e0bbb8d9f693f8ea4e0f6e5ab20e212bdf8a30c97
SHA1: 6847db224be16b5a1964d0bc3d5e9f6518c27604
MD5sum: 5fb3fff19daf18c36efc4e303f29669b
Description: WindowMaker dock app that displays UPS statistics from NUT's upsd
 WMNUT monitors UPS statistics through the NUT (Network UPS Tools,
 http://www.networkupstools.org) framework on Linux and other systems. This
 information, presented in a nice visual format, can be invaluable on stations
 using an UPS.

Package: wmpinboard
Version: 1.0-11
Architecture: armhf
Maintainer: Marc 'HE' Brockschmidt 
Installed-Size: 157
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Priority: optional
Section: x11
Filename: pool/main/w/wmpinboard/wmpinboard_1.0-11_armhf.deb
Size: 71352
SHA256: a1a23d240be1620083ec3002d67eb547ac8c1558265671cb0496747ada501f8a
SHA1: e48f5d035e10e32bb6352d1a94d1aef24d18aab6
MD5sum: 24607533e6d557e16b6d6e80a1b92ab5
Description: Window Maker dock applet resembling a miniature pinboard
 Themable Window Maker dock applet resembling a miniature pinboard.
 Icon is titled TODO.  Includes alarm functions.  Think of it as very
 high-tech post-its for your dockbar.

Package: wmppp.app
Version: 1.3.0-8
Architecture: armhf
Maintainer: Chris Waters 
Installed-Size: 99
Depends: ppp, libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Suggests: wmaker
Priority: optional
Section: net
Filename: pool/main/w/wmppp.app/wmppp.app_1.3.0-8_armhf.deb
Size: 24986
SHA256: 30d49b398312669a67414dc11c634caa8053d1670e498542e9b6e6aaa067acc4
SHA1: ccc1e7f53851df22ba8e6e7200f0ada13c892f93
MD5sum: 2750127d127bca6ea09bfb525f33ad11
Description: PPP dial control and network load monitor with NeXTStep look
 wmppp.app provides a PPP activator and network load monitor on a 64x64 mini
 window.  With wmppp you can monitor the total traffic as well as the outbound
 and inbound traffic.  It is designed to work with the WindowMaker dock, but
 will work great with other window managers as well.
 .
 Now with experimental ippp device support.

Package: wmpuzzle
Version: 0.5.1-1
Architecture: armhf
Maintainer: Martin A. Godisch 
Installed-Size: 101
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Suggests: wmaker | afterstep
Priority: optional
Section: games
Filename: pool/main/w/wmpuzzle/wmpuzzle_0.5.1-1_armhf.deb
Size: 24072
SHA256: 1b41637ca4ebd34aa3ff3d8ef2252f93713dfdf225640060c75ba3ffc6f7edf5
SHA1: bb00ba60f0d492f75167b8d08ffa3ca4441b7559
MD5sum: 61a4a98bae1ebef2f4082007d592bb61
Description: WindowMaker dock app 4x4 puzzle
 wmpuzzle provides a 4x4 puzzle on a 64x64 mini window. You can puzzle any
 XPM image you wish. wmpuzzle is designed to work with the WindowMaker dock,
 but will work with other window managers as well. wmpuzzle's upstream
 homepage can be found at http://people.debian.org/~godisch/wmpuzzle/.

Package: wmrack
Version: 1.4-2
Architecture: armhf
Maintainer: Chris Waters 
Installed-Size: 106
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Suggests: wmaker
Homepage: http://wmrack.sourceforge.net
Priority: optional
Section: sound
Filename: pool/main/w/wmrack/wmrack_1.4-2_armhf.deb
Size: 35098
SHA256: 31030143592f763446a0a8676d13f44580a559b18fd0fd86f8f08089b7798f8d
SHA1: be3411f474bfa771464592efa2fd3aa635f23d95
MD5sum: c3e362ab7e3d1b814b3ae2673b438b0f
Description: Combined CD Player + Mixer designed for WindowMaker
 This dockapp combines a CD player and a mixer in one 48x48 window.
 Very efficient on screen real-estate.  Especially handy if you mainly
 want a mixer, and only rarely use a CD player, but want to have one
 around just in case.
 .
 It's designed with WindowMaker in mind, but it works fine with any
 window manager, and can be swallowed by afterstep/fvwm/gnome panel/etc.

Package: wmressel
Version: 0.8-5
Architecture: armhf
Maintainer: Mike Furr 
Installed-Size: 72
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxext6, libxi6, libxinerama1, libxpm4, libxxf86vm1
Priority: optional
Section: x11
Filename: pool/main/w/wmressel/wmressel_0.8-5_armhf.deb
Size: 15674
SHA256: 2fd805f74ca4502f10d285dd5ba89998acd522a82c34a9620ea0714be8b5e837
SHA1: 626a3a1992399f56caadc9a327e56cb3074f8aba
MD5sum: b05cd1b7266d31b8ccf4f91ef8c7f0d9
Description: Dock app to change X11 resolutions
 wmressel is a simple dockable X11 resolution selector for Window
 Maker that displays the current X11 resolution and lets you change
 it through a popup menu.

Package: wmshutdown
Version: 0.2-9
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 77
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libx11-6
Homepage: http://www.rafaelaroca.hpg.ig.com.br/bin
Priority: optional
Section: x11
Filename: pool/main/w/wmshutdown/wmshutdown_0.2-9_armhf.deb
Size: 17598
SHA256: 31f25b62c51c92aac09b08aaa3ffc6486afd8237978223d2cce7b7698cb3bbc9
SHA1: 49e751c8b1a83e72d5736d5b1140d11cbb33e799
MD5sum: d33acb36885132c521b50bc6bde0a2ac
Description: dockapp to shutdown or reboot your machine
 This is a dock applications for Window Maker that allows you to
 shutdown or reboot your machine just by clickig a button on desktop
 and confirming. It's useful just for desktop machines.

Package: wmtemp
Version: 0.0.6-3.3
Architecture: armhf
Maintainer: Riccardo Stagni 
Installed-Size: 74
Depends: libc6 (>= 2.13-28), libsensors4 (>= 1:3.0.0), libx11-6, libxext6, libxpm4, lm-sensors
Homepage: http://gnodde.org/wmtemp/
Priority: extra
Section: x11
Filename: pool/main/w/wmtemp/wmtemp_0.0.6-3.3_armhf.deb
Size: 16286
SHA256: a88ce997315a4ff95e06793618fca4099bdedd11c1e97dc299c205535a72e8fb
SHA1: e3dc4ce3d5cbc53fb2d7399673142e7daec3b7da
MD5sum: f91ff98456bfb0ae42a6404b42ae482e
Description: WM dock applet displaying lm_sensors temperature values
 Nifty small dock applet for Window Maker that continuously displays
 CPU and case temperature by virtue of lm_sensors

Package: wmtime
Version: 1.0b2-10
Architecture: armhf
Maintainer: Paul Harris 
Installed-Size: 77
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Priority: optional
Section: x11
Filename: pool/main/w/wmtime/wmtime_1.0b2-10_armhf.deb
Size: 15776
SHA256: b167496701915c5d3e2a0676052cbe4467c87243de4f0f9c66fc7f03362d81a3
SHA1: 4742a40ec1f299ec5287338ab678dc6073bafbc2
MD5sum: 40a01a83122ed6d9eb1b354165bc0009
Description: Window Maker dockapp that displays the time and date
 WMTime displays the time and date and gives you some nice additional
 features too.  It is intended for docking in Window Maker.
 .
 It currently provides:
 .
   * the time and date;
   * a realtime morphing interface (analog <> digital mode);
   * auto-scaled and anti-aliased hands;
   * localization: displaying the day and date in various languages;
   * three user-defined commands to launch.

Package: wmtv
Version: 0.6.5-16.1
Architecture: armhf
Maintainer: Nicolas Boullis 
Installed-Size: 98
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4, libxxf86dga1, libxxf86vm1, v4l-conf
Suggests: xawtv
Priority: extra
Section: x11
Filename: pool/main/w/wmtv/wmtv_0.6.5-16.1_armhf.deb
Size: 29280
SHA256: a45353c12c24fae3a44561cd7ae001895ad6132919562f92d3ffb95f4a76a964
SHA1: 3bdfa61f90d6bf9dcd1a942ee9768639604b4bea
MD5sum: 6e81c0c95dcf60c6b35e46c57d7f4224
Description: Dockable video4linux TV player for WindowMaker
 A miniature size dockable TV application. It currently supports
 channel presets, PAL/SECAM/NTSC, fine tuning, scanning of TV
 stations, use of external TV applications, and more.

Package: wmwave
Version: 0.4-9
Architecture: armhf
Maintainer: Guido Guenther 
Installed-Size: 88
Depends: libc6 (>= 2.13-28), libiw30 (>= 30~pre1), libx11-6, libxext6, libxpm4
Priority: optional
Section: x11
Filename: pool/main/w/wmwave/wmwave_0.4-9_armhf.deb
Size: 14492
SHA256: 4c31cc769bd7c2c2a96a6da0ea3fcfd88edb14070926a48fe9fb554f27ab2694
SHA1: c98073d1d0b98c512a636b350727570c4e775afd
MD5sum: 70b7b32288667c56eab0443788bda164
Description: Monitor status of an 802.11 wireless ethernet link
 wmwave is dockapp for Window Maker to display statistical information
 about a current wireless ethernet connection.  While made for Window
 Maker, it works with other window managers.
 .
  Homepage: http://wmwave.sourceforge.net

Package: wmweather
Version: 2.4.5-1
Architecture: armhf
Maintainer: Martin A. Godisch 
Installed-Size: 139
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libx11-6, libxext6, libxpm4
Recommends: x11-utils
Suggests: wmaker | afterstep
Homepage: http://people.debian.org/~godisch/wmweather/
Priority: optional
Section: gnustep
Filename: pool/main/w/wmweather/wmweather_2.4.5-1_armhf.deb
Size: 36784
SHA256: 8bff70e7a878cb88b9fce3bd59edc17153b9ff0d37335d924a599d4765484c43
SHA1: 5f9efec8f5befc5b5dd27503536aa152a8790a88
MD5sum: 26d330bdb0ef192be916eaa353cfc32d
Description: WindowMaker dockapp that shows your current weather
 wmweather provides a monitor on a 64x64 mini window that displays the current
 weather. The weather reports are received from NOAA's National Weather
 Service at http://www.nws.noaa.gov/, that is the same source that pilots use.
 .
 wmweather is designed to work with the WindowMaker dock, but will work with
 other window managers as well. xbase-clients are required for the left click
 to bring up the complete METAR record.

Package: wmweather+
Version: 2.13-1
Architecture: armhf
Maintainer: Martin Stigge 
Installed-Size: 177
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libpcre3 (>= 8.10), libwraster3 (>= 0.95.0), libx11-6, libxext6, libxpm4
Suggests: wmaker | x-window-manager, xless
Homepage: http://sourceforge.net/projects/wmweatherplus/
Priority: optional
Section: x11
Filename: pool/main/w/wmweather+/wmweather+_2.13-1_armhf.deb
Size: 67106
SHA256: db2d9e0c2b660320333b743b0b464447660b735e73a2e440241872a63af7d9c9
SHA1: 137ec5ce6207ca50d31f10fc493d23330af9824a
MD5sum: 47f9b00affb3812600cf9a9c4578af35
Description: WindowMaker dock app that shows your current weather
 wmweather+ provides a monitor on a 64x64 mini window that displays the
 current weather conditions, forecast data (US only), and optionally a
 radar image. It will also watch for various warnings and display them
 using an external command.
 .
 wmweather+ is designed to work with the WindowMaker dock, but will work
 with other window managers as well. Think wmweather with a smaller font,
 forecasts, a weather map, and a sky condition display.

Package: wmwork
Version: 0.2.5-4
Architecture: armhf
Maintainer: Martin A. Godisch 
Installed-Size: 86
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Suggests: wmaker | afterstep
Priority: optional
Section: x11
Filename: pool/main/w/wmwork/wmwork_0.2.5-4_armhf.deb
Size: 20174
SHA256: 005e611077c6008fc03ab8a86f6f6312b95d004f537e71c4ad561db3057919fc
SHA1: dc9be846ab91cad65a3523ed29bff8cbb6d0d1a2
MD5sum: 0cb6c48251beef2e45e462aeca408178
Description: Keep track of time worked on projects
 wmwork provides a monitor on a 64x64 mini window that helps you keeping
 track of your time. The idea is based on the program Worklog written
 by Truxton King Fulton II. In contrast to Worklog wmwork is a graphical
 application designed to work with the WindowMaker dock, but will work
 with other window managers as well. wmwork's upstream homepage can be
 found at http://www.godisch.de/debian/wmwork/.

Package: wmxmms2
Version: 0.6-6
Architecture: armhf
Maintainer: Robert Luberda 
Installed-Size: 134
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxmmsclient6 (>= 0.7DrNo), libxpm4
Homepage: http://reboli.nl/
Priority: optional
Section: sound
Filename: pool/main/w/wmxmms2/wmxmms2_0.6-6_armhf.deb
Size: 36714
SHA256: 50d7f0935c2dfac9f2d14a2f4137ba63fcbfe9a0bf6faa572ee52a349db35b76
SHA1: 3e36a8eede9f1c372895553437dd146ae4ffcd4b
MD5sum: d2fe075d1b2d906dfdec895f11bf4368
Description: remote-control dockapp for XMMS2
 wmxmms2 is a dockapp for WindowMaker and other window managers that support
 dockable applets. It acts as a remote control for the XMMS2 media player.

Package: wmxres
Version: 1.2-10
Architecture: armhf
Maintainer: Todd Troxell 
Installed-Size: 83
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4, libxxf86vm1
Suggests: wmaker
Priority: optional
Section: x11
Filename: pool/main/w/wmxres/wmxres_1.2-10_armhf.deb
Size: 14462
SHA256: 7c9df6a0d50ec03624aaa6b53ecdf3978885c62544f3ea77bdc0001cbfdf3930
SHA1: 9786db4810d3a36b4a41998865d1229800f73122
MD5sum: 4abbb7636e531c8540c5ad11664b7507
Description: dock application to select your display mode among those possible
 wmxres is a tiny dockable application that comes in handy when you want a
 specific X mode.  Modes can be scrolled through until the desired one is
 active.

Package: wngerman
Source: igerman98
Version: 20120607-1
Installed-Size: 4400
Maintainer: Roland Rosenfeld 
Architecture: all
Provides: wordlist
Depends: debconf (>= 0.5) | debconf-2.0, dictionaries-common (>= 0.20.0)
Size: 916556
SHA256: b07891bf0c82c0341f28cb3d3fb259c83ffbbe95c52070dea0f0c9c4d38522bf
SHA1: 1a107fc31de46db8c54d2e917026a1455185114e
MD5sum: 9aa593aa9c91d6b8cadea09c24ff42db
Description: New German orthography wordlist
 This is a wordlist with the new German orthography (de-DE-1996),
 which is used since 1998-08-01 and which is obligatory as of
 2005-08-01.
 .
 It is based on the famous hkgerman dictionary (using the old German
 orthography (de-DE-1901), which is available as package wogerman),
 with many corrections and additions.
Homepage: http://j3e.de/ispell/igerman98/
Tag: culture::german, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/i/igerman98/wngerman_20120607-1_all.deb

Package: wnorwegian
Source: norwegian
Version: 2.0.10-5.1
Installed-Size: 20183
Maintainer: Tollef Fog Heen 
Architecture: all
Provides: wordlist
Depends: debconf (>= 0.5) | debconf-2.0, dictionaries-common
Size: 3177150
SHA256: 8ca93835e529d7da21701f208d854eb5bfa688fd6b1dca72a15004e60d6d038c
SHA1: d41d52ab0779bfd9329e8f10a518116003a86abd
MD5sum: 0683c6d84579a7f2cbb1ca5acec65ade
Description: Norwegian word list
 This package provides a list of Norwegian names and words, for general use.
Tag: culture::norwegian, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/n/norwegian/wnorwegian_2.0.10-5.1_all.deb

Package: wodim
Source: cdrkit
Version: 9:1.1.11-2
Architecture: armhf
Maintainer: Joerg Jaspert 
Installed-Size: 750
Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0)
Recommends: genisoimage
Suggests: cdrkit-doc
Conflicts: cdrecord (<< 5:1.0~pre1-1), xcdroast (<< 0.98+0alpha15-11)
Replaces: cdrecord (<< 5:1.0~pre1-1), cdrtools-doc (<< 5:1.0~pre1-1)
Priority: optional
Section: otherosfs
Filename: pool/main/c/cdrkit/wodim_1.1.11-2_armhf.deb
Size: 434278
SHA256: e43648e87363ae1a98356389df5c5d74821bc23cdb8cde666ba283a83caef7db
SHA1: 42462755c4ddf847a6ba382649f076d0dfa0089e
MD5sum: ac9b1699051fa72989c6a2bb260ffb9c
Description: command line CD/DVD writing tool
 wodim allows you to create CDs or DVDs on a CD/DVD recorder.
 It supports writing data, audio, mixed, multi-session, and CD+ disc and DVD
 data and video disks on DVD capable devices, on just about every type of
 CD/DVD recorder out there.
 .
 Please install cdrkit-doc if you want most of the documentation and
 README files.

Package: wogerman
Source: hkgerman
Version: 1:2-28
Installed-Size: 992
Maintainer: Roland Rosenfeld 
Architecture: all
Replaces: wgerman
Provides: wordlist
Depends: debconf (>= 0.5.0.0) | debconf-2.0, dictionaries-common (>= 0.20.0)
Conflicts: wgerman
Size: 332394
SHA256: 7d72827bc42fd55d42ecee642cfcca004ab5555310f138b36837de1e8b43ee57
SHA1: 1145f768d40d9a693d979e7174f9147590ae91d3
MD5sum: 4d5bf46ec03ea448deb72fa8bbae41c6
Description: Old German dictionary for /usr/share/dict
 This is the German dictionary as put together by
 heinz.knutzen@web.de.
 .
 This dictionary refers to the traditional German orthography
 (de-DE-1901).  For the new orthography (de-DE-1996) see package
 wngerman.
Tag: culture::german, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/h/hkgerman/wogerman_2-28_all.deb

Package: wondershaper
Version: 1.1a-6
Installed-Size: 76
Maintainer: Vince Mulhollon 
Architecture: all
Depends: iproute
Size: 15204
SHA256: a3e4f52ceeb3f19d46356df3da0b590d3c083c6426ab2a788e548a50f0e8e29d
SHA1: 75c61025924f3fdc0594f5a235114757e643f417
MD5sum: 18f60fc47b1716e89b17e18088b76eb4
Description: Easy to use traffic shaping script
 An easy to use traffic shaping script that provides these improvements:
  * Low latency for interactive traffic (and pings) at all times
  * Allow websurfing at reasonable speeds while uploading / downloading
  * Make sure uploads don't hurt downloads
  * Make sure downloads don't hurt uploads
 .
 It does this by:
  * Limiting upload speed slightly, to eliminate queues
  * Limiting download speed, while allowing bursts, to eliminate queues
  * Interactive traffic skips the queue
  * ACKs and tiny packets skip the queue
 .
 Configuring the wondershaper requires you to accurately and precisely
 determine your consistent upload and download speeds.
 .
 The wondershaper is the simplest, easiest to use, entry level, traffic
 shaping script provided by Debian.
 .
 After installing this package, read highly the detailed instructions:
 /usr/share/doc/wondershaper/README.Debian
Homepage: http://lartc.org/wondershaper/
Tag: admin::configuring, interface::commandline, network::configuration,
 protocol::ip, role::program, scope::utility, use::configuring
Section: net
Priority: extra
Filename: pool/main/w/wondershaper/wondershaper_1.1a-6_all.deb

Package: woof
Version: 20091227-2
Installed-Size: 76
Maintainer: Andrea Gasparini 
Architecture: all
Depends: python
Size: 9972
SHA256: e85cc9aeec671490078d5a931b993855598165f2cbfc49d3dd0bce9316501f51
SHA1: a37a53b34a4956ca10936f22ec604ac13225e944
MD5sum: 599263c474d7f9032a6dd7f9ce686d2d
Description: share files through HTTP protocol
 Woof (Web Offer One File) is a tool to copy files among hosts. It can serve a
 specified file on HTTP, just for a given number of times, and then exits.
 .
 It can be easily used to share files across the computers on a net, enough the
 other ends have just a browser (that means you can share things between
 different operating systems or different devices, even phones).
 .
 Features include:
  * it can share stuff "one shot" and exit just after he served that file.
  * it can share things among different operating system or different devices
    (e.g.: a smartphone), and allows one to upload files easily.
  * it can also show a simple html form in order to upload file (useful if the
    client hasn't a way to serve the file).
Homepage: http://www.home.unix-ag.org/simon/woof.html
Tag: implemented-in::python, network::service, role::program, scope::utility,
 works-with::file
Section: net
Priority: optional
Filename: pool/main/w/woof/woof_20091227-2_all.deb

Package: wordgrinder
Version: 0.3.3-1
Architecture: armhf
Maintainer: David Given 
Installed-Size: 194
Depends: libc6 (>= 2.13-28), liblua5.1-0, libncursesw5 (>= 5.6+20070908), libtinfo5, liblua5.1-filesystem0
Homepage: http://wordgrinder.sourceforge.net
Priority: optional
Section: editors
Filename: pool/main/w/wordgrinder/wordgrinder_0.3.3-1_armhf.deb
Size: 75014
SHA256: 7f953d2a4b8b39fda17659b0c93d4cceeb67dc321f01e01cf9189243481083cd
SHA1: e723e3111fbf8ed3dd4f75f67b829f50ff16299a
MD5sum: 3dc7861f92b270503644c8832f26cc5e
Description: a simple word processor that runs in a terminal
 WordGrinder is a simple, Unicode-aware word processor that runs in a
 terminal. It supports basic paragraph styles, character styles, just
 enough screen markup to be useful, and is driven via an easy to use
 menu interface.

Package: wordnet
Version: 1:3.0-29
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 169
Depends: wordnet-base (= 1:3.0-29), libc6 (>= 2.13-28)
Recommends: wordnet-gui | artha
Homepage: http://wordnet.princeton.edu/
Priority: optional
Section: text
Filename: pool/main/w/wordnet/wordnet_3.0-29_armhf.deb
Size: 72502
SHA256: 9f6e727f5b8c3c6b2ee21a1a2ac51c2fdf5e15150a523b8180f3f1af2e74cd21
SHA1: 6d805e57fb77613d43fec7be7d355d70eb63de59
MD5sum: 8c80f2dd8adef594aea2906c28cd4db8
Description: electronic lexical database of English language
 WordNet(C) is an on-line lexical reference system whose design is
 inspired by current psycholinguistic theories of human lexical
 memory. English nouns, verbs, adjectives and adverbs are organized
 into synonym sets, each representing one underlying lexical
 concept. Different relations link the synonym sets.
 .
 WordNet was developed by the Cognitive Science Laboratory
 (http://www.cogsci.princeton.edu/) at Princeton University under the
 direction of Professor George A. Miller (Principal Investigator).
 .
 WordNet is considered to be the most important resource available to
 researchers in computational linguistics, text analysis, and many
 related areas. Its design is inspired by current psycholinguistic and
 computational theories of human lexical memory.
 .
 Binary and manpages of WordNet as well as general manpages.

Package: wordnet-base
Source: wordnet
Version: 1:3.0-29
Installed-Size: 28511
Maintainer: Debian Science Team 
Architecture: all
Recommends: wordnet
Size: 8762284
SHA256: 840b5c81fc7dd71d5d30be200d255839ebb08eebab4a8bb6c0ff01be6742e87b
SHA1: f680088d9271c8a0192f4c67f15b30f7ef2832d7
MD5sum: d55fa9511160579771ccfb4a52d7d032
Description: electronic lexical database of English language (base data)
 WordNet(C) is an on-line lexical reference system whose design is
 inspired by current psycholinguistic theories of human lexical
 memory. English nouns, verbs, adjectives and adverbs are organized
 into synonym sets, each representing one underlying lexical
 concept. Different relations link the synonym sets.
 .
 WordNet was developed by the Cognitive Science Laboratory
 (http://www.cogsci.princeton.edu/) at Princeton University under the
 direction of Professor George A. Miller (Principal Investigator).
 .
 Dictionary data and manuals which describe them.
Homepage: http://wordnet.princeton.edu/
Tag: culture::TODO, field::linguistics, made-of::dictionary, role::app-data,
 works-with::dictionary
Section: text
Priority: optional
Filename: pool/main/w/wordnet/wordnet-base_3.0-29_all.deb

Package: wordnet-dev
Source: wordnet
Version: 1:3.0-29
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 164
Depends: wordnet (= 1:3.0-29)
Homepage: http://wordnet.princeton.edu/
Priority: optional
Section: devel
Filename: pool/main/w/wordnet/wordnet-dev_3.0-29_armhf.deb
Size: 66550
SHA256: ed1b852f9c7f3c41fa597db1e85456d005bf81c689ad3b03af6ce37bba85592b
SHA1: b192d9c38046ff30aebb51906d4f5e5a66095c71
MD5sum: faa896e69ef6007944b0433d480d57b9
Description: electronic lexical database of English language (development library)
 WordNet(C) is an on-line lexical reference system whose design is
 inspired by current psycholinguistic theories of human lexical
 memory. English nouns, verbs, adjectives and adverbs are organized
 into synonym sets, each representing one underlying lexical
 concept. Different relations link the synonym sets.
 .
 WordNet was developed by the Cognitive Science Laboratory
 (http://www.cogsci.princeton.edu/) at Princeton University under the
 direction of Professor George A. Miller (Principal Investigator).
 .
 Header files and library as well as manpages for section 3 (development).

Package: wordnet-grind
Source: wordnet
Version: 1:3.0-29
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 106
Depends: libc6 (>= 2.13-28)
Recommends: wordnet
Homepage: http://wordnet.princeton.edu/
Priority: extra
Section: text
Filename: pool/main/w/wordnet/wordnet-grind_3.0-29_armhf.deb
Size: 44470
SHA256: bfd5f45b8834720487b93b7bd790501f29ea550cd73d116722f87e4682a66838
SHA1: d26147cb05ddb29c221d354f697753523fe0a3a5
MD5sum: 917a324115bf9405e56bba26b1ae219c
Description: WordNet lexicographer files processor
 WordNet(C) is an on-line lexical reference system whose design is
 inspired by current psycholinguistic theories of human lexical
 memory. English nouns, verbs, adjectives and adverbs are organized
 into synonym sets, each representing one underlying lexical
 concept. Different relations link the synonym sets.
 .
 WordNet was developed by the Cognitive Science Laboratory
 (http://www.cogsci.princeton.edu/) at Princeton University under the
 direction of Professor George A. Miller (Principal Investigator).
 .
 This package contains the grind processor for WordNet lexicographer
 files.  It can be used to process the lexicographer files from the
 Debian  source tarball into a WordNet binary database file or other
 lexicographer filese provided by the user.

Package: wordnet-gui
Source: wordnet
Version: 1:3.0-29
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 203
Depends: wordnet (= 1:3.0-29), libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libx11-6, libxext6, libxft2 (>> 2.1.1), libxss1, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0)
Homepage: http://wordnet.princeton.edu/
Priority: optional
Section: text
Filename: pool/main/w/wordnet/wordnet-gui_3.0-29_armhf.deb
Size: 51008
SHA256: f5d1b93f342cb7f131c68afe305dba50a5d17091b03832b3a99669a1e33467ea
SHA1: 16998affc217152e62f7258c2672728b63dbac14
MD5sum: 87e82200bdd8bd61b13b83fef89e217d
Description: electronic lexical database of English language
 WordNet(C) is an on-line lexical reference system whose design is
 inspired by current psycholinguistic theories of human lexical
 memory. English nouns, verbs, adjectives and adverbs are organized
 into synonym sets, each representing one underlying lexical
 concept. Different relations link the synonym sets.
 .
 WordNet was developed by the Cognitive Science Laboratory
 (http://www.cogsci.princeton.edu/) at Princeton University under the
 direction of Professor George A. Miller (Principal Investigator).
 .
 WordNet is considered to be the most important resource available to
 researchers in computational linguistics, text analysis, and many
 related areas. Its design is inspired by current psycholinguistic and
 computational theories of human lexical memory.
 .
 This package contains a Tcl/Tk Gui to browse wordnet dictionary.

Package: wordnet-sense-index
Source: wordnet
Version: 1:3.0-29
Installed-Size: 8069
Maintainer: Debian Science Team 
Architecture: all
Recommends: wordnet
Size: 2243388
SHA256: 4aeb352ada1a88671f317c10979690e69bf2c4bf7aac40c79d3105bbc44f538e
SHA1: 5e3ffd34075da18c1d012b1fc61d6d806e1e30db
MD5sum: ae74caa56f09f82365dd52323ba9bd65
Description: electronic lexical database of English language (index.sense)
 WordNet(C) is an on-line lexical reference system whose design is
 inspired by current psycholinguistic theories of human lexical
 memory. English nouns, verbs, adjectives and adverbs are organized
 into synonym sets, each representing one underlying lexical
 concept. Different relations link the synonym sets.
 .
 WordNet was developed by the Cognitive Science Laboratory
 (http://www.cogsci.princeton.edu/) at Princeton University under the
 direction of Professor George A. Miller (Principal Investigator).
 .
 This package contains a large database index.sense which is not
 necessary for normal operation of the wordnet package but is useful
 when using the WordNet::QueryData module which is available at
 http://search.cpan.org/dist/WordNet-QueryData/ .
Homepage: http://wordnet.princeton.edu/
Tag: devel::lang:perl, field::linguistics, made-of::dictionary,
 role::app-data, use::checking, works-with::dictionary
Section: text
Priority: extra
Filename: pool/main/w/wordnet/wordnet-sense-index_3.0-29_all.deb

Package: wordplay
Version: 7.22-17
Architecture: armhf
Maintainer: Pawel Wiecek 
Installed-Size: 276
Depends: libc6 (>= 2.4)
Priority: optional
Section: games
Filename: pool/main/w/wordplay/wordplay_7.22-17_armhf.deb
Size: 106202
SHA256: a24542e6c872a6f5bb7bb2cbd25ab62bdf83035f8eb6b86c2c0cc3387f398961
SHA1: 2455b2971be97746b3987b7c1f2ae9e461e00a54
MD5sum: 8a38c01742adefe490310ff307d59d6c
Description: anagram generator
 Wordplay generates anagrams of words or phrases. For example,
 "Debian GNU/Linux" = "laud benign unix", "nubian lug index",
 "dang nubile unix", or "I debug in lax nun".

Package: wordpress
Version: 3.6.1+dfsg-1~deb7u21
Installed-Size: 12520
Maintainer: Giuseppe Iuculano 
Architecture: all
Depends: libjs-cropper (>= 1.2.2), libphp-phpmailer (>= 5.1), libphp-snoopy (>= 2.0.0), tinymce (<< 3.4.8+dfsg0.0~), tinymce (>= 3.4.8+dfsg0), apache2 | httpd, mysql-client, libapache2-mod-php5 | php5, php5-mysql, php5-gd
Suggests: mysql-server (>= 5.0.15)
Size: 3950908
SHA256: fa41af69e19e1f4eaa5e75494410a35d559ab2d29d6885e504282b08365d3c17
SHA1: 022077e6998982d9774d3bec82c1efd443620615
MD5sum: c132095dc3ccf99096c1d8b5d7e8eb35
Description: weblog manager
 WordPress is a full featured web blogging tool:
    * Instant publishing (no rebuilding)
    * Comment pingback support with spam protection
    * Non-crufty URLs
    * Themable
    * Plugin support
Homepage: http://wordpress.org
Recommends: wordpress-l10n
Section: web
Priority: optional
Filename: pool/main/w/wordpress/wordpress_3.6.1+dfsg-1~deb7u21_all.deb

Package: wordpress-l10n
Source: wordpress
Version: 3.6.1+dfsg-1~deb7u21
Installed-Size: 27394
Maintainer: Giuseppe Iuculano 
Architecture: all
Depends: wordpress (= 3.6.1+dfsg-1~deb7u21)
Size: 8895968
SHA256: 5c914b0d14a20497b36c235852821e38f5ce80a65132791fa11b6d4086325ec7
SHA1: aa240f24810bf8f4b42327f2092947d320f82986
MD5sum: 1fa472b52ebf4a38245e46d58b0c4df3
Description: weblog manager - language files
 WordPress is a full featured web blogging tool:
    * Instant publishing (no rebuilding)
    * Comment pingback support with spam protection
    * Non-crufty URLs
    * Themable
    * Plugin support
 This package contains WordPress language files
Homepage: http://wordpress.org
Section: localization
Priority: optional
Filename: pool/main/w/wordpress/wordpress-l10n_3.6.1+dfsg-1~deb7u21_all.deb

Package: wordpress-openid
Version: 3.3.3-1
Installed-Size: 392
Maintainer: Alexander GQ Gerasiov 
Architecture: all
Depends: wordpress, php-openid (>= 2.1.3), wordpress-xrds-simple
Size: 87504
SHA256: 21261e013ad7ede43eb168719c3515091f5ed80ff6fad553832b90c3e72010c8
SHA1: 0a1fe7dd2c7b5a495d44059de5f5b65aae71c61e
MD5sum: bbb9f7dcc27748f35e44f4a33ff6ef82
Description: OpenID plugin for WordPress
 OpenID is an open standard that allows users to authenticate to websites
 without having to create a new password. This plugin allows users to login to
 their local WordPress account using an OpenID, as well as enabling commenters
 to leave authenticated comments with OpenID. The plugin also includes an
 OpenID provider, enabling users to login to OpenID-enabled sites using their
 own personal WordPress account.
Homepage: http://wordpress.org/extend/plugins/openid/
Tag: implemented-in::php, role::plugin, security::authentication, use::login,
 web::blog
Section: php
Priority: optional
Filename: pool/main/w/wordpress-openid/wordpress-openid_3.3.3-1_all.deb

Package: wordpress-shibboleth
Version: 1.4-2+deb7u1
Installed-Size: 95
Maintainer: Dominic Hargreaves 
Architecture: all
Depends: wordpress (>= 2.8), libapache2-mod-shib2
Size: 20990
SHA256: 9ef8ac50d1d3ac2a234b97373eb3ea6260cf389935b2b7cc71ef5e95ced94e55
SHA1: 83e4bbe995e98dc04440e6e7d9ea621fc733a0a6
MD5sum: c83c269584103763937ee6d4c5513022
Description: Shibboleth plugin for WordPress
 This plugin is designed to support integrating your WordPress blog into
 your existing identity management infrastructure using a Shibboleth
 Service Provider.
 .
 WordPress can be configured so that all standard login requests will be
 sent to your configured Shibboleth Identity Provider or Discovery Service.
 Upon successful authentication, a new WordPress account will be
 automatically provisioned for the user if one does not already exist.
 User attributes (username, first name, last name, display name, nickname,
 and email address) can be synchronized with your enterprise's system of
 record each time the user logs into WordPress.
 .
 Finally, the user's role within WordPress can be automatically set (and
 continually updated) based on any attribute Shibboleth provides. For
 example, you may decide to give users with an eduPersonAffiliation value
 of faculty the WordPress role of editor, while the eduPersonAffiliation
 value of student maps to the WordPress role contributor. Or you may choose
 to limit access to WordPress altogether using a special
 eduPersonEntitlement value.
Homepage: http://wordpress.org/extend/plugins/shibboleth/
Section: php
Priority: optional
Filename: pool/main/w/wordpress-shibboleth/wordpress-shibboleth_1.4-2+deb7u1_all.deb

Package: wordpress-xrds-simple
Version: 1.0-1
Installed-Size: 20
Maintainer: Alexander GQ Gerasiov 
Architecture: all
Depends: wordpress
Size: 5736
SHA256: a645f9d71070661eb80fa1c4f3ea91a7f97a635b03421f2151f9a2eecc09691a
SHA1: 27ccb7d2b04028ac68dde06d1874745c894f64d4
MD5sum: 353058e0b8b4d639312659e980063b65
Description: XRDS-Simple plugin for WordPress
 XRDS-Simple is a profile of XRDS, a service discovery protocol which used in
 the OpenID authentication specification as well as OAuth. This plugin provides
 a generic framework to allow other plugins to contribute their own service
 endpoints to be included in the XRDS service document for the domain.
Homepage: http://wordpress.org/extend/plugins/xrds-simple/
Tag: role::plugin
Section: php
Priority: optional
Filename: pool/main/w/wordpress-xrds-simple/wordpress-xrds-simple_1.0-1_all.deb

Package: worker
Version: 2.19.2-2
Architecture: armhf
Maintainer: Tobias Quathamer 
Installed-Size: 1920
Depends: avfs, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmagic1, libstdc++6 (>= 4.6), libx11-6, libxft2 (>> 2.1.1), worker-data
Recommends: x11-utils, xli | imagemagick
Homepage: http://www.boomerangsworld.de/worker
Priority: optional
Section: x11
Filename: pool/main/w/worker/worker_2.19.2-2_armhf.deb
Size: 888074
SHA256: 244c7204a40ec088b6a84b89e8dbc8f69eca5c9b64dd53165214c17902e00ad3
SHA1: 2f90f74b052a9e7ee8e47a7aa3f7662f8dcea598
MD5sum: 6f9fde4436a8eb18409535005b43e2d5
Description: highly configurable two-paned file manager for X
 Worker is a file manager much like the Amiga's DirOpus.  It can be
 controlled with mouse or keyboard.  There is no restriction on the number
 or type of button functions.  Files are recognized by both extension and
 content, content by recognizing common bytes in the sample files you show
 it.  Drag & Drop is supported.
 .
 If xli or imagemagick is installed, it quickly previews pictures in the
 opposite pane.  If x11-utils is installed, xmessage is used to display
 some informative messages.

Package: worker-data
Source: worker
Version: 2.19.2-2
Installed-Size: 3441
Maintainer: Tobias Quathamer 
Architecture: all
Recommends: worker
Size: 704562
SHA256: 968269ef0555972a1475544b892e1afb78e6a9edb6bb17244ef24dd12417985e
SHA1: b37caba75966523a7cffe3c72305c41bac0d4f97
MD5sum: 838d1675785bd0526b8314a584e68758
Description: data files for worker
 This package contains architecture independent data files for the
 worker file manager.
Homepage: http://www.boomerangsworld.de/worker
Tag: role::app-data
Section: x11
Priority: optional
Filename: pool/main/w/worker/worker-data_2.19.2-2_all.deb

Package: worklog
Version: 1.8-6
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 62
Depends: libc6 (>= 2.7), libncurses5 (>= 5.5-5~), libtinfo5
Homepage: http://www.truxton.com/~trux/software/
Priority: optional
Section: misc
Filename: pool/main/w/worklog/worklog_1.8-6_armhf.deb
Size: 13668
SHA256: 33cc207a8b10658670a81e6e7f98ee120ea32344cedce1d48faf99196cb36fd5
SHA1: 8e56f14fce9d73df998b414030bdf196b94596dc
MD5sum: a62392a1018533ea3053314540e7e1e1
Description: Keep Track of Time worked on Projects
 Worklog is a program that helps you keep track of your time. The author wrote
 this program because he had several projects that required to keep a
 timesheet, sometimes spending 5 minutes here and there doing miscellaneous
 administrative tasks. Rather than invoke an editor on a timesheet file for
 every little segment of time spent doing these tasks, this tool allows one to
 leave a clock running and indicate with one or two keystrokes the onset or
 change of any given task.  Worklog is a simple ncurses based program to do
 just that.

Package: workrave
Version: 1.9.909+abc941eb70-1
Architecture: armhf
Maintainer: Francois Marier 
Installed-Size: 1298
Pre-Depends: multiarch-support
Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libatkmm-1.6-1 (>= 2.22.1), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.10.0), libcairomm-1.0-1 (>= 1.6.4), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgdome2-0, libglib2.0-0 (>= 2.31.8), libglibmm-2.4-1c2a (>= 2.31.22), libgstreamer0.10-0 (>= 0.10.10), libgtk-3-0 (>= 3.2.1), libgtkmm-3.0-1 (>= 3.4.0), libice6 (>= 1:1.0.0), libpanel-applet-4-0 (>= 3.2.1), libpango1.0-0 (>= 1.14.0), libpangomm-1.4-1 (>= 2.27.1), libpulse-mainloop-glib0 (>= 0.99.1), libpulse0 (>= 0.99.1), libsigc++-2.0-0c2a (>= 2.0.2), libsm6, libstdc++6 (>= 4.6), libx11-6, libxml2 (>= 2.6.27), libxss1, libxtst6, workrave-data (= 1.9.909+abc941eb70-1)
Suggests: gnome-shell, gnome-panel (>= 3)
Homepage: http://www.workrave.org/
Priority: extra
Section: gnome
Filename: pool/main/w/workrave/workrave_1.9.909+abc941eb70-1_armhf.deb
Size: 500288
SHA256: 5bcc0d629b7996588b44dc1a3b4fabcbd9ae138d4f11b1038f36210eab4f1b82
SHA1: 88556a3b5816cda93acb02aec90e1ddc8869a383
MD5sum: 6245e93f0c837d4cdd85c04d44726c64
Description: Repetitive Strain Injury prevention tool
 Workrave is a program that assists in the recovery and prevention of
 Repetitive Strain Injury (RSI). The program frequently alerts you to
 take micro-pauses, rest breaks and restricts you to your daily limit.
 .
 It includes a system tray applet that works with GNOME and KDE
 and has network capabilities to monitor your activity even if
 switching back and forth between different computers is part of your
 job.
 .
 Workrave offers many more configuration options than other similar
 tools.

Package: workrave-data
Source: workrave
Version: 1.9.909+abc941eb70-1
Installed-Size: 8358
Maintainer: Francois Marier 
Architecture: all
Replaces: workrave (<< 1.9.909)
Depends: dconf-gsettings-backend | gsettings-backend
Breaks: workrave (<< 1.9.909)
Size: 5183648
SHA256: 735191de83f7a7c039a062cf5c8ff46025276dec5dd8ef98247850b1e743b12c
SHA1: 73eec7ccb7a5e55c5453950892268fe6092e2494
MD5sum: 4d3a9fc1b26b31a7fd8ffba798c2fee0
Description: Repetitive Strain Injury prevention tool (data files)
 Workrave is a program that assists in the recovery and prevention of
 Repetitive Strain Injury (RSI). The program frequently alerts you to
 take micro-pauses, rest breaks and restricts you to your daily limit.
 .
 It includes a system tray applet that works with GNOME and KDE
 and has network capabilities to monitor your activity even if
 switching back and forth between different computers is part of your
 job.
 .
 Workrave offers many more configuration options than other similar
 tools.
 .
 This package contains the required data files common to all architectures.
Homepage: http://www.workrave.org/
Tag: role::app-data
Section: gnome
Priority: extra
Filename: pool/main/w/workrave/workrave-data_1.9.909+abc941eb70-1_all.deb

Package: wormux
Source: warmux
Version: 1:11.04.1+repack-4
Installed-Size: 62
Maintainer: Debian Games Team 
Architecture: all
Depends: warmux
Size: 34942
SHA256: 7113544b508e2558b42ebf14165b5b98af39a1b26fa061da9c9cc3488229d7b3
SHA1: 8c82b40afe0ae5d4d2b12cd6346ea52e87dfb70f
MD5sum: 810f9e1959057d7243cac3392406a2aa
Description: transitional package for warmux
 This is a dummy transitional package for warmux and can be
 safely removed after the installation is complete.
Homepage: http://www.wormux.org/
Tag: game::arcade, game::strategy, implemented-in::c++, interface::x11,
 role::program, scope::application, uitoolkit::sdl, use::gameplaying,
 x11::application
Section: oldlibs
Priority: extra
Filename: pool/main/w/warmux/wormux_11.04.1+repack-4_all.deb

Package: wormux-data
Source: warmux
Version: 1:11.04.1+repack-4
Installed-Size: 62
Maintainer: Debian Games Team 
Architecture: all
Depends: warmux-data
Size: 34954
SHA256: 7e1e2d59e70a262793d9880b08cad734b7cb936a6399c3791c5e0b8e4f33d7a2
SHA1: 3e2f5a5061d5ac0b395e5b534795fbda65fbec16
MD5sum: c1ac1aa1d6d1ef877069e4afe203b803
Description: transitional package for warmux-data
 This is a dummy transitional package for warmux-data and can be
 safely removed after the installation is complete.
Homepage: http://www.wormux.org/
Tag: role::app-data, use::gameplaying
Section: oldlibs
Priority: extra
Filename: pool/main/w/warmux/wormux-data_11.04.1+repack-4_all.deb

Package: wormux-dbg
Source: warmux
Version: 1:11.04.1+repack-4
Installed-Size: 62
Maintainer: Debian Games Team 
Architecture: all
Depends: warmux-dbg
Size: 34954
SHA256: a74d682269f961585de0c8135ad76a8b808e603cb6bbef41d371d0ce6e16a160
SHA1: 07d637619bfbb9d22903a12d5536bf446e27f10c
MD5sum: 6e1c3ee4b92e52ca1031c71af91515e4
Description: transitional package for warmux-dbg
 This is a dummy transitional package for warmux-dbg and can be
 safely removed after the installation is complete.
Homepage: http://www.wormux.org/
Tag: role::debug-symbols, role::dummy
Section: oldlibs
Priority: extra
Filename: pool/main/w/warmux/wormux-dbg_11.04.1+repack-4_all.deb

Package: wormux-servers
Source: warmux
Version: 1:11.04.1+repack-4
Installed-Size: 62
Maintainer: Debian Games Team 
Architecture: all
Depends: warmux-servers
Size: 34958
SHA256: 3cf068323f40376cccdbdb582b2c260f8cc7067f55ff6bd8c76ad1d08ae13e43
SHA1: 6f8de5bbb2228f31ee1d61afe069c8308574bed4
MD5sum: 8238f9fa549376d0bf7fd5b1cdfd3155
Description: transitional package for warmux-servers
 This is a dummy transitional package for warmux-servers and can be
 safely removed after the installation is complete.
Homepage: http://www.wormux.org/
Tag: network::server, role::program, uitoolkit::sdl, use::gameplaying
Section: oldlibs
Priority: extra
Filename: pool/main/w/warmux/wormux-servers_11.04.1+repack-4_all.deb

Package: wotsap
Version: 0.7-2
Installed-Size: 172
Maintainer: Giovanni Mascellani 
Architecture: all
Depends: python, python-imaging, ttf-freefont | ttf-dejavu
Suggests: gnupg, wget
Size: 48948
SHA256: 26e5895f9f1d8a6111ee012ebf8e1721ace798cda9fd9793cc1cfc46f90c5fbb
SHA1: 34bdf8e827d0dbf9acd088c29f4db54dae0d6fbf
MD5sum: 4529ec69e0f73b93a73e2a21bd8f4f28
Description: OpenPGP Web of Trust analyzer and pathfinder
 Wotsap is a tool that analyzes a OpenPGP Web of Trust description and reports
 to the user stastistics about the single keys and the whole network, or
 searches for paths from one key to another.
 .
 OpenPGP is the most widely used email encryption standard, used by encryption
 software such as the GNU Privacy Guard (gpg).  To encrypt to someone or verify
 someone's signature, you need that persons OpenPGP key. Say you want to verify
 a digital signature made by Bob. To get Bob's key is easy with some help from
 keyservers, being sure you got the right key is the tricky part. This is
 accomplished either by meeting Bob in person and exchanging signatures, or by
 trusting someone else, who you have met in person, who claims to have met Bob.
 Or by trusting someone who has met someone who has met Bob. This gives rise to
 a completely decentralized network of trusts between people.
 .
 Wotsap lets you explore the Web of Trust. It works on a compressed copy of the
 Web of Trust in the .wot file format, generated daily and distributed on the
 site of wotsap main writer.
Homepage: http://www.lysator.liu.se/~jc/wotsap/index.html
Tag: implemented-in::python, interface::commandline, role::program,
 use::analysing
Section: misc
Priority: extra
Filename: pool/main/w/wotsap/wotsap_0.7-2_all.deb

Package: wp2x
Version: 2.5-mhi-10.1
Architecture: armhf
Maintainer: Petter Reinholdtsen 
Installed-Size: 136
Depends: libc6 (>= 2.13-28)
Suggests: libwpd-tools
Priority: optional
Section: text
Filename: pool/main/w/wp2x/wp2x_2.5-mhi-10.1_armhf.deb
Size: 38720
SHA256: c8653e3d7343e41c706230873a13d41ebb94ac8ffe8717b2cda0b91f129b768e
SHA1: da1a6e563b93922d7800385636e4b55a6c718d33
MD5sum: a8d63c3b353bfb31ca98b12700be6698
Description: WordPerfect 5.x documents to whatever converter
 This program converts simple WordPerfect 5.0 and 5.1 files into any
 other document processing languages that use plain text files, like
 TeX, LaTeX, troff, GML and HTML.

Package: wpagui
Source: wpa
Version: 1.0-3+deb7u5
Architecture: armhf
Maintainer: Debian/Ubuntu wpasupplicant Maintainers 
Installed-Size: 745
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), wpasupplicant (>= 0.7.3~), libqt4-svg
Recommends: menu
Multi-Arch: foreign
Homepage: http://w1.fi/wpa_supplicant/
Priority: optional
Section: net
Filename: pool/main/w/wpa/wpagui_1.0-3+deb7u5_armhf.deb
Size: 361290
SHA256: 4729c5f71e6411601ab0fd2dfd38c1cd05e97df4cc372442bf99c07c6a3db992
SHA1: 1a78c4a5b44c02485a35dcbff748e3d533c8fce6
MD5sum: 96e43320294d8136563c70c081d2090f
Description: graphical user interface for wpa_supplicant
 wpagui provides a Qt interface for choosing which configured network
 to connect to. It also provides a method for browsing 802.11 SSID scan
 results, an event history log of messages generated by wpa_supplicant,
 and a method to add or edit wpa_supplicant networks.

Package: wpasupplicant
Source: wpa
Version: 1.0-3+deb7u5
Architecture: armhf
Maintainer: Debian/Ubuntu wpasupplicant Maintainers 
Installed-Size: 1159
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.1.4), libncurses5 (>= 5.5-5~), libnl-3-200 (>= 3.2.7), libnl-genl-3-200 (>= 3.2.7), libpcsclite1, libreadline5 (>= 5.2), libssl1.0.0 (>= 1.0.0), libtinfo5, lsb-base (>= 3.0-6), adduser, initscripts (>= 2.88dsf-13.3)
Suggests: wpagui, libengine-pkcs11-openssl
Multi-Arch: foreign
Homepage: http://w1.fi/wpa_supplicant/
Priority: optional
Section: net
Filename: pool/main/w/wpa/wpasupplicant_1.0-3+deb7u5_armhf.deb
Size: 544054
SHA256: 140e17403bcef4febd974278da4b139be401f45dfa8892b1be26d45aca4d2a83
SHA1: 4117e2d5deaad3e1690e41fef27bb931d49e088e
MD5sum: f29716cfd6055cbdd5a9855675969ce1
Description: client support for WPA and WPA2 (IEEE 802.11i)
 WPA and WPA2 are methods for securing wireless networks, the former
 using IEEE 802.1X, and the latter using IEEE 802.11i. This software
 provides key negotiation with the WPA Authenticator, and controls
 association with IEEE 802.11i networks.

Package: wpd2odt
Source: writerperfect
Version: 0.8.1-4
Architecture: armhf
Maintainer: Rene Engelhard 
Installed-Size: 243
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgsf-1-114 (>= 1.14.8), libstdc++6 (>= 4.3.0), libwpd-0.9-9, libwpg-0.2-2, libxml2 (>= 2.6.27)
Priority: optional
Section: utils
Filename: pool/main/w/writerperfect/wpd2odt_0.8.1-4_armhf.deb
Size: 107230
SHA256: 394b20d2257a58aa4ccdfdd369b052a48b6ee418c36c4e3dbc3402e0386c6b15
SHA1: 78b32e8d8f794371c8c8b9ab1efd69eb1b665fad
MD5sum: 3678268f9a2c09378e6b8fcd60997aee
Description: WordPerfect to OpenDocument converter
 This package contains a utility for converting WordPerfect files
 into OpenDocument text documents.

Package: wpg2odg
Source: writerperfect
Version: 0.8.1-4
Architecture: armhf
Maintainer: Rene Engelhard 
Installed-Size: 141
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgsf-1-114 (>= 1.14.8), libstdc++6 (>= 4.3.0), libwpd-0.9-9, libwpg-0.2-2, libxml2 (>= 2.6.27)
Priority: optional
Section: utils
Filename: pool/main/w/writerperfect/wpg2odg_0.8.1-4_armhf.deb
Size: 63942
SHA256: f478ad16c272551bda8137e07f71c4a22dc6801c3b2694a94108511c3212528f
SHA1: 58ece8c2091c9d3ace6c9d5396147fe0c0db0575
MD5sum: e11aabf298491b179d5fbb8a7598b332
Description: WordPerfect Graphics to OpenDocument converter
 This package contains a utility for converting WordPerfect graphic
 files into OpenDocument draw documents.

Package: wpolish
Source: ipolish
Version: 20120520-1
Installed-Size: 48781
Maintainer: Robert Luberda 
Architecture: all
Replaces: manpages-pl (<= 20030214)
Provides: wordlist
Depends: dictionaries-common (>= 0.20.1), debconf (>= 0.5) | debconf-2.0
Size: 9680438
SHA256: 8a7374a84b6bde9d29e3b3ff8600eadad01fb178253bde897630cdae00510791
SHA1: 89191676ad0f8a70331e594815477801ab219fbe
MD5sum: 1f580ee0b58e1252b8627c81e5f80108
Description: Polish dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/polish containing a list
 of Polish words.  This list can be used by spelling checkers, and by
 programs such as look(1).
Homepage: http://www.sjp.pl/
Tag: culture::polish, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/i/ipolish/wpolish_20120520-1_all.deb

Package: wportuguese
Source: ispell.pt
Version: 20120604-1
Installed-Size: 4783
Maintainer: Agustin Martin Domingo 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 0.20), debconf (>= 0.5) | debconf-2.0
Size: 1020620
SHA256: 614d99d4f3271cd59b28f89897b1baf46b8c5167891fb155f49d1d6b6451c76d
SHA1: c813408f2e0039401947d6989553b4fe33698f6d
MD5sum: 3fe8806681e9806d00b9863c8179483c
Description: European Portuguese wordlist
 This package provides the file /usr/share/dict/portuguese containing a
 list of Portuguese words with European spellings. It was obtained
 from the ispell.pt dictionary developed by the Informatics Department
 at Minho's University in Portugal.
Homepage: http://natura.di.uminho.pt/wiki/doku.php?id=dicionarios:ispell
Tag: culture::portuguese, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/i/ispell.pt/wportuguese_20120604-1_all.deb

Package: wpp
Version: 2.13.1.35-3
Installed-Size: 980
Maintainer: Tibor Csögör 
Architecture: all
Depends: perl, libio-stringy-perl
Size: 132394
SHA256: 1ffdea01ae29d6eba6347a93fe2a8d766386b1400c472ebac39737dd12d0e6ff
SHA1: eb7fbd3512e6e28edcce9295244dd96d93be31cf
MD5sum: 6ef93b64281252d923177b7035cafe22
Description: The Web Preprocessor - a Perl script to preprocess HTML files
 WPP is a small Perl script that allows preprocessing of HTML files.
 You can define variables, which are abbreviations for longer
 constructs, and include common HTML fragments.  It's useful for
 giving a uniform layout to different HTML pages.  It can be used in
 cgi-bin programs for automatic generation of pages. With less HTML
 code inside them you can make more flexible and readable scripts.
 .
 WPP provides four separate facilities that you can use as you see
 fit: inclusion of text/HTML fragments, variable expansion,
 conditional generation, macro expansion.
 .
 Homepage: http://wpp.sourceforge.net/
Tag: implemented-in::perl, interface::commandline, role::source,
 use::converting, works-with-format::html, works-with::text
Section: text
Priority: optional
Filename: pool/main/w/wpp/wpp_2.13.1.35-3_all.deb

Package: wps2odt
Source: writerperfect
Version: 0.8.1-4
Architecture: armhf
Maintainer: Rene Engelhard 
Installed-Size: 170
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libgsf-1-114 (>= 1.14.8), libstdc++6 (>= 4.3.0), libwpd-0.9-9, libwpg-0.2-2, libwps-0.2-2, libxml2 (>= 2.6.27)
Priority: optional
Section: utils
Filename: pool/main/w/writerperfect/wps2odt_0.8.1-4_armhf.deb
Size: 73750
SHA256: 636f542ce1ee9b9e69c9ca226cd01b628e82fe4d2e650dde63161e94d57723c7
SHA1: 5e1ef5505bb599b38ec2d7d612ef8e1ebb342119
MD5sum: c02359e718590ad4cf947cc6f4075f84
Description: Works text documents to OpenDocument converter
 This package contains a utility for converting Works text document files
 into OpenDocument text documents.

Package: wput
Version: 0.6.2-3
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 451
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0)
Homepage: http://freshmeat.net/projects/wput
Priority: optional
Section: web
Filename: pool/main/w/wput/wput_0.6.2-3_armhf.deb
Size: 120096
SHA256: 74b69b410f9fae5805e36f1cfae7686774671b0cfa1686a3005b790a66b4135a
SHA1: 80044f2d2fa048347a806a0474de5321f2da3af4
MD5sum: 91a658bf114569f2868542f243c793cd
Description: tiny wget-like ftp-client for uploading files
 Wput is a tiny ftp-client, that uploads files or directories to a
 remote ftp-server.
 .
 Main features are: resuming, time-stamping, wget-like interface,
 proxy-support and speed-limit.

Package: wrapperfactory.app
Version: 0.1.0-4
Architecture: armhf
Maintainer: Debian GNUstep maintainers 
Installed-Size: 324
Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.2.1), gnustep-fslayout-fhs
Recommends: gworkspace.app
Priority: optional
Section: gnustep
Filename: pool/main/w/wrapperfactory.app/wrapperfactory.app_0.1.0-4_armhf.deb
Size: 84522
SHA256: 190b0bfbcebb5cb99ceaf8a207f7b6c759cb5b5eed0e8d5a38300034e38f4b7c
SHA1: 495fb11bad15e570289bd27a93297339cc9e3188
MD5sum: 62d87daaae9f6589d653711bfbe52beb
Description: Application wrappers configuration tool for GNUstep
 This provides an easy way to create GNUstep app-wrappers of non-GNUstep
 applications. It is the most useful in conjunction with GWorkspace
 environment.

Package: wrapsrv
Version: 0.2-1
Architecture: armhf
Maintainer: Robert S. Edmonds 
Installed-Size: 47
Depends: libc6 (>= 2.9)
Priority: optional
Section: net
Filename: pool/main/w/wrapsrv/wrapsrv_0.2-1_armhf.deb
Size: 7432
SHA256: a77fd0b265c98add02e69ecad1370e41160e93b366f5356101eee93b015ee3b7
SHA1: c6dc8aedeea5a38ab1cf4b460d863757e4faad61
MD5sum: 1fa7c98925ce51682eb43eca791fc475
Description: DNS SRV record command line wrapper
 wrapsrv adds support for connecting to a network service based on DNS SRV
 record lookups to commands that do not support the DNS SRV record. wrapsrv
 implements the weighted priority client connection algorithm in RFC 2782.
 The specified command line will be invoked one or more times with %h and %p
 sequences in the command line substituted for the hostname and port elements
 of the selected SRV record.

Package: wreport-common
Source: wreport
Version: 2.4-1
Architecture: armhf
Maintainer: Enrico Zini 
Installed-Size: 5687
Homepage: http://sourceforge.net/p/wreport
Priority: optional
Section: misc
Filename: pool/main/w/wreport/wreport-common_2.4-1_armhf.deb
Size: 514078
SHA256: 6389e2724820f948101343c92fab9bd56de5487f325bfa8b4ffbe3ac3330c7f9
SHA1: 01c678b85e1c6179059e672eacd7bb1d2d8d1daa
MD5sum: 68043de37f52579b11e03bd45e484b32
Description: common data files for libwreport
 libwreport is a C++ library to read and write weather reports in BUFR and CREX
 formats.
 .
 This package contains common wreport data files, such as variable metadata and
 BUFR and CREX decoding tables.

Package: writer2latex
Version: 1.0.2-8
Installed-Size: 57
Maintainer: Debian LibreOffice Maintainers 
Architecture: all
Depends: gij | java2-runtime, libwriter2latex-java, dpkg (>= 1.14.18), tex-common (>= 3)
Recommends: www-browser | x-www-browser | pdf-viewer | libreoffice-writer
Size: 32192
SHA256: de2296cb322fa5f36d0a3c66d2e5cd9567fc55f1ab11db29dceefdcd6e2bdf78
SHA1: bd6380b29723cb3f88f91d316608d39cef874a5c
MD5sum: c3b8d6e9c8479bc4bf792c5b2ffcd7f4
Description: OpenOffice.org Writer/Calc to LaTeX/XHTML converter
 Writer2LaTeX is a java utility to convert OpenOffice.org/LibreOffice
 documents – in particular documents containing formulas – into other
 formats. It is actually a collection of four converters, i.e.:
  1) Writer2LaTeX converts documents into LaTeX 2e format for high quality
     typesetting.
  2) Writer2BibTeX extracts bibliographic data from a document and stores it in
     BibTeX format (works together with Writer2LaTeX).
  3) Writer2xhtml converts documents into XHTML 1.0 or XHTML 1.1+MathML 2.0 with
     CSS2.
  4) Calc2xhtml is a companion to Writer2xhtml that converts Calc documents
     to XHTML 1.0 with CSS2 to display your spreadsheets on the web.
Homepage: http://writer2latex.sourceforge.net
Tag: implemented-in::java, interface::commandline, role::program,
 scope::utility, use::converting, works-with-format::bib,
 works-with-format::html, works-with-format::odf,
 works-with-format::tex, works-with::spreadsheet, works-with::text
Section: text
Priority: optional
Filename: pool/main/w/writer2latex/writer2latex_1.0.2-8_all.deb

Package: writer2latex-manual
Source: writer2latex
Version: 1.0.2-8
Installed-Size: 225
Maintainer: Debian LibreOffice Maintainers 
Architecture: all
Size: 217826
SHA256: f6b2e43beaf065f5b18cb9541193927f19cf50bf3d5311118f6e0566cef9a763
SHA1: 0e1f8d17e7e9d8b185afc41fca9498ae4ef661cc
MD5sum: a77e8b519b555bee382a901ea6386572
Description: OpenOffice.org Writer/Calc to LaTeX/XHTML converter -- manual
 Writer2LaTeX is a java utility to convert OpenOffice.org/LibreOffice
 documents – in particular documents containing formulas – into other
 formats. It is actually a collection of four converters, i.e.:
  1) Writer2LaTeX converts documents into LaTeX 2e format for high quality
     typesetting.
  2) Writer2BibTeX extracts bibliographic data from a document and stores it in
     BibTeX format (works together with Writer2LaTeX).
  3) Writer2xhtml converts documents into XHTML 1.0 or XHTML 1.1+MathML 2.0 with
     CSS2.
  4) Calc2xhtml is a companion to Writer2xhtml that converts Calc documents
     to XHTML 1.0 with CSS2 to display your spreadsheets on the web.
 .
 This package contains the manual.
Homepage: http://writer2latex.sourceforge.net
Tag: made-of::html, made-of::pdf, role::documentation
Section: doc
Priority: optional
Filename: pool/main/w/writer2latex/writer2latex-manual_1.0.2-8_all.deb

Package: writetype
Version: 1.2.130+bzr139-1
Installed-Size: 4194
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python (>= 2.6.6-7~), python-qt4, python-enchant
Recommends: festival, espeak
Size: 1202756
SHA256: bb40ee2c093b877226967bc4c47e37314edd4926c861731e45d4eb61745b07db
SHA1: cad4ee06fad7267632974abc9362cffdbfaabf6f
MD5sum: 0eeaeeaf310992e6eb4dfd13704e3047
Description: application designed to help students write more easily
 WriteType is a simple word processor designed to help young students write
 more easily and accurately. It offers spelling suggestions as students type,
 making the process easier and less frustrating. It also provides the ability
 to read back text through either an eSpeak or a Festival backend. In
 addition, there are special tools for teachers, and the entire application
 is easily translatable.
Homepage: http://writetype.bernsteinforpresident.com/
Section: editors
Priority: optional
Filename: pool/main/w/writetype/writetype_1.2.130+bzr139-1_all.deb

Package: wsjt
Version: 5.9.7.r383-1.6
Architecture: armhf
Maintainer: Debian Hams group 
Installed-Size: 1845
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libportaudio2 (>= 19+svn20101113), libsamplerate0 (>= 0.1.7), python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), python-imaging, python-imaging-tk, python-tk, python-numpy, xterm | x-terminal-emulator
Priority: optional
Section: hamradio
Filename: pool/main/w/wsjt/wsjt_5.9.7.r383-1.6_armhf.deb
Size: 1046714
SHA256: 02d3de9185d02f2eb0ab0196750a19fe31e4f86011799f3fd7e6501aac074c1e
SHA1: 01ed4fb761f640cd0ab1e109a6b835a42b53df5b
MD5sum: f83e31d1587973cda1007948f17a8862
Description: weak-signal amateur radio communications
 WSJT is designed to facilitate Amateur Radio communication under extreme
 weak-signal conditions.  Three very different coding and modulation
 methods are provided: one for communication by "meteor scatter"
 techniques on the VHF bands; one for meteor and ionospheric scatter,
 primarily on the 6 meter band; and one for the very challenging
 EME (Earth-Moon-Earth) path.

Package: wspanish
Version: 1.0.26
Installed-Size: 892
Maintainer: Agustin Martin Domingo 
Architecture: all
Provides: wordlist
Depends: debconf (>= 0.5) | debconf-2.0, dictionaries-common (>= 0.20)
Size: 260250
SHA256: 70175a2aa0c4bbe7570d6f1c028504dd02eea348d90c2aa0292e55c8ab1349dc
SHA1: 1b4c8c6dabddd15e5a426290297a9dcf72610245
MD5sum: 32e853efc2054ef849d5fe28e9913e47
Description: Spanish dictionary words for /usr/share/dict
 This package contains an alphabetic list of some Spanish words.
 It is not intended to be complete.
Tag: culture::spanish, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/w/wspanish/wspanish_1.0.26_all.deb

Package: wswedish
Source: swedish
Version: 1.4.5-2.1
Installed-Size: 1305
Maintainer: Jeremiah C. Foster 
Architecture: all
Provides: wordlist
Depends: debconf (>= 0.5) | debconf-2.0, dictionaries-common (>= 0.20)
Size: 307398
SHA256: f4386317ee97c10032a6ee22847339882da8b9ae1e89596e58d74d3d9a814c4d
SHA1: 61c03816ea63745b3a9ef032fccf3374debc7a29
MD5sum: 3b33909cd9938b19e440f1ecfe6438a0
Description: Swedish dictionary words for /usr/share/dict
 This is a list of 120,000 Swedish words and names, to be used
 for whatever purpose you may think of.
Tag: culture::swedish, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/s/swedish/wswedish_1.4.5-2.1_all.deb

Package: wswiss
Source: igerman98
Version: 20120607-1
Installed-Size: 4401
Maintainer: Roland Rosenfeld 
Architecture: all
Provides: wordlist
Depends: debconf (>= 0.5) | debconf-2.0, dictionaries-common (>= 0.20.0)
Size: 917026
SHA256: cd84c4eac7676caf164561a22f500d2f4c7ce47e572b074e8020178a06f4fb40
SHA1: ae6764af23bae93a9cdc0b31743bd0a6f14cfe2c
MD5sum: e5441bf14a3d2bf58b777b2da6d56177
Description: Swiss (German) orthography wordlist
 This is a wordlist with the new German orthography (de-CH-1996), which
 is used since 1998-08-01 and which is obligatory as of 2005-08-01.
 .
 It is based on the famous hkgerman dictionary (using the old German
 orthography, which is available as wogerman), with many corrections
 and additions.
 .
 This particular rendering supports swiss spelling.  This includes some
 Swiss words, as well as ss instead of German sz ligature.
Homepage: http://j3e.de/ispell/igerman98/
Tag: made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/i/igerman98/wswiss_20120607-1_all.deb

Package: wsynth-dssi
Version: 0.1.3-4
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 338
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), liblo7 (>= 0.26~repack), libpango1.0-0 (>= 1.14.0)
Suggests: dssi-host-jack
Provides: dssi-plugin
Homepage: http://www.nekosynth.co.uk/wiki/wsynth
Priority: optional
Section: sound
Filename: pool/main/w/wsynth-dssi/wsynth-dssi_0.1.3-4_armhf.deb
Size: 174492
SHA256: d30c5666b2b809d265cfe4303b163120dbc90a1f7cc99f2ef0eba1cd111b70f6
SHA1: 3e2ff34b18fd7260471385fb12cc2c924ab8ecba
MD5sum: ae4aa48421864e53f37949788490efaf
Description: hack on Xsynth-DSSI to allow wavetable synthesis
 Wsynth-DSSI is a hack on Xsynth-DSSI to allow wavetable synthesis.

Package: wu-ftpd
Version: 2.6.2-35
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 612
Depends: libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1), debconf (>= 0.5) | debconf-2.0, update-inetd, perl5 | perl, debianutils (>= 1.6), libperl4-corelibs-perl | perl (<< 5.12.3-7)
Suggests: logrotate
Conflicts: ftp-server, logrotate (<< 3.6.5-1), netbase (<< 2.0), wu-ftpd-academ (<< 2.5.0)
Replaces: wu-ftpd-academ (<< 2.5.0)
Provides: ftp-server
Homepage: http://www.wu-ftpd.org/
Priority: extra
Section: net
Filename: pool/main/w/wu-ftpd/wu-ftpd_2.6.2-35_armhf.deb
Size: 291586
SHA256: 0946c80af27d85bd93e981e48cf31f9d5ec9a55c03388b28bacdfffa9c32439d
SHA1: 19662f01e4ea3cddedd2109e99b445297bb411a1
MD5sum: 0d4ab1f8b75e6df10234ee721d3294e5
Description: powerful and widely used FTP server
 This FTP daemon, originally from the Washington University of St. Louis,
 is a powerful, and widely used replacement FTP server for Unix systems.
 The FTP protocol is a method of transferring files between machines on
 a network and/or over the Internet.
 .
 Besides fully supporting the FTP protocol defined in RFCs 959 and
 1579 (and others), it adds the following features:
     o  logging of transfers,
     o  logging of commands,
     o  on the fly compression and archiving,
     o  classification of users on type and location,
     o  per class limits,
     o  per directory upload permissions,
     o  restricted guest accounts,
     o  system wide and per directory messages,
     o  directory aliases,
     o  cdpath,
     o  filename filter,
     o  virtual host support (similar to the Apache HTTP server).
 .
 This release is maintained by the WU-FTPD Development Group as a
 public service to the Internet.

Package: wukrainian
Source: ispell-uk
Version: 1.6.5-2
Installed-Size: 29192
Maintainer: Євгеній Мещеряков 
Architecture: all
Provides: wordlist
Depends: dictionaries-common (>= 1.11.2~), debconf (>= 0.5) | debconf-2.0
Size: 4062182
SHA256: ba815d719d02b12a444225c3f53e01944907de063e10a9257c1ea18759b2d9c2
SHA1: 680203d7ab56af0d21122fe4f43de0600f4db58a
MD5sum: a41b02196e516abcc2de61bce7318dc5
Description: Ukrainian dictionary words for /usr/share/dict
 This package provides the file /usr/share/dict/ukrainian
 containing a list of Ukrainian words.  This list can be used by
 spelling checkers, and by programs such as look(1).
Homepage: http://ispell-uk.sourceforge.net
Tag: culture::ukrainian, made-of::dictionary, role::app-data, use::checking
Section: text
Priority: optional
Filename: pool/main/i/ispell-uk/wukrainian_1.6.5-2_all.deb

Package: wuzzah
Version: 0.53-2
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 70
Depends: libc6 (>= 2.13-28), bsdmainutils
Homepage: http://www.cs.swarthmore.edu/~finney/proj/wuzzah
Priority: optional
Section: misc
Filename: pool/main/w/wuzzah/wuzzah_0.53-2_armhf.deb
Size: 17406
SHA256: ac041334a0ed2c375043ff71b09d2b52bb07032ffeddcb084b0b57e24ea31d01
SHA1: 68f034559297f1a848a844a1c608dedc9c9ab597
MD5sum: 9eeecc2d467b436eee1fb90fe0dd85dd
Description: inobtrusively monitor your friends
 The idea: you have friends on a system, and you want to know when they
 log on and off when you're logged on. what's more, maybe you're tired
 of throwing together crappy/clunky who(1)/w(1)/finger(1) commands in
 obfuscated shell/perl scripts that only half do the job anyway.
 .
 wuzzah is a program that selectively scans a system's utmpx records (where
 logins are stored, among other things), keeping an eye out for your friends
 logging in and out, taking customizable actions on events. wuzzah is small,
 fast, efficient, and written in C.

Package: wv
Version: 1.2.9-3
Architecture: armhf
Maintainer: Daniel Walrond 
Installed-Size: 380
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgsf-1-114 (>= 1.14.8), libwmf0.2-7 (>= 0.2.8.4), libwv-1.2-4 (>= 1.2.9)
Suggests: texlive, ghostscript, elinks | links | lynx, imagemagick, gv | postscript-viewer
Conflicts: mswordview
Replaces: mswordview
Provides: mswordview
Homepage: http://www.abisource.com/projects/
Priority: optional
Section: text
Filename: pool/main/w/wv/wv_1.2.9-3_armhf.deb
Size: 91206
SHA256: 9e64be6364ef5795b75a218c5d0980830e11bce8bf3c363a801c728e43ee2ef2
SHA1: fa1c8b0a2b4f5f9c59e3169ac2671aafeaa06495
MD5sum: 5111893cedf74e0b0769d0a6c795e78c
Description: Programs for accessing Microsoft Word documents
 wvWare (previously known as mswordview) is a library that allows access
 to Microsoft Word files.  It can load and parse the Word 2000, Word 97,
 Word 95, and Word 6 file formats.  (Work is underway to support reading
 earlier formats as well: Word 2 documents are converted to plain text.)
 .
 This package provides the following programs:
 .
  * wvWare: Converts to HTML and LaTeX.  It's used by a small army of
    helper scripts able to preview Word documents and convert them to
    various other formats, like PostScript, PDF, DVI, etc.
 .
  * wvRTF: Converts to Microsoft's Rich Text Format.
 .
  * wvSummary: Displays the summary information stream of all OLE2 files,
    i.e. Excel, PowerPoint, Visio, Access, etc.
 .
  * wvVersion: Outputs the version of the Word format a document is stored
    in.
 .
 Note that conversion to DVI, PostScript, or PDF requires the 'texlive'
 package; PDF conversion also requires Ghostscript.  Having ELinks, Links,
 or Lynx will greatly improve the plain text output.  ImageMagick may help
 with the quality of images.  If you wish to preview Word documents from
 the comfort of your mail reader, install a PostScript viewer like gv.

Package: wvdial
Version: 1.61-4.1
Architecture: armhf
Maintainer: Thierry Randrianiriana 
Installed-Size: 263
Depends: ppp (>= 2.3.0), debconf (>= 0.5.00) | cdebconf, libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.4.0), libuniconf4.6, libwvstreams4.6-base, libwvstreams4.6-extras, debconf (>= 0.5) | debconf-2.0
Homepage: http://alumnit.ca/wiki/index.php?page=WvDial
Priority: optional
Section: comm
Filename: pool/main/w/wvdial/wvdial_1.61-4.1_armhf.deb
Size: 107294
SHA256: 3429bbf72d91a1a8c482005019f6ba14f9d96f5768736b8f5c54fd974379c42a
SHA1: b8e4866be8f9ccdbe156ddc03ee7a9f7c679c044
MD5sum: 2991c8b1424ddc7ce6bb879390c55e2f
Description: intelligent Point-to-Point Protocol dialer
 WvDial sacrifices some of the flexibility of programs like "chat" in order
 to make dialup configuration easier. With WvDial,
 modems are detected automatically and only three additional parameters
 are required: the telephone number, username, and password. WvDial knows
 enough to dial with most modems and log in to most servers without any
 other help.
 .
 In particular, a "chat script" is not required to handle the most common
 situations.

Package: wwl
Version: 1.3+db-1.1
Architecture: armhf
Maintainer: Debian Hamradio Maintainers 
Installed-Size: 45
Depends: libc6 (>= 2.4)
Priority: optional
Section: hamradio
Filename: pool/main/w/wwl/wwl_1.3+db-1.1_armhf.deb
Size: 6178
SHA256: c05d87490d69788a192016001a56edec22f604a187c10510fb9108212c2bb024
SHA1: 4ddbb1f7adb8afbc24b6339445ea40b4ccd27e4a
MD5sum: 70cbd840545162b69aa6a9d5b08fe55e
Description: Calculates distance and azimuth between two Maidenhead locators
 Given two Maidenhead locators, wwl calculates distance (qrb) and azimuth.

Package: wwwconfig-common
Version: 0.2.2
Installed-Size: 192
Maintainer: Ola Lundqvist 
Architecture: all
Suggests: mysql-client, postgresql-client, apache2
Size: 22762
SHA256: d0fb8126fbf295bf00341666917967dac463b94a7befb730da2f6b6d8236eced
SHA1: 3504df20c5b49282d3de7db22bf211a431de3290
MD5sum: eb555287eb2ff25d5c0b9a0c17403060
Description: Debian web auto configuration
 A package to provide common setup scripts for some
 packages that need apache, php and a database.
Tag: admin::configuring, implemented-in::shell, role::app-data,
 suite::debian, use::configuring, web::scripting
Section: web
Priority: optional
Filename: pool/main/w/wwwconfig-common/wwwconfig-common_0.2.2_all.deb

Package: wwwstat
Version: 2.0-7
Installed-Size: 340
Maintainer: Peter Pentchev 
Architecture: all
Depends: perl
Size: 129900
SHA256: c8cefb63fcaa9a2b0c23ebbf13576c8b6d49a9b36b8e038c3b4d0e23cb441a01
SHA1: c7395ae0b40ec2892bd511c5ec1601e95b330057
MD5sum: a2efd3c8a4f3c24f570eeec64ef9d1a9
Description: httpd logfile analysis package
 wwwstat processes a sequence of httpd Common Logfile Format access_log
 files and prior summary outputs, and then outputs a summary of
 the access statistics in a nice HTML format.
 .
 splitlog processes a sequence of httpd Common Logfile Format access_log
 files (or CLF with a one-field prefix) and splits the entries into
 separate files according to the requested URL and/or vhost prefix.
Multi-Arch: foreign
Homepage: http://ftp.ics.uci.edu/pub/websoft/wwwstat/
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility, security::log-analyzer, use::converting, use::viewing,
 works-with-format::html, works-with::logfile, works-with::text
Section: admin
Priority: optional
Filename: pool/main/w/wwwstat/wwwstat_2.0-7_all.deb

Package: wx-common
Source: wxwidgets2.8
Version: 2.8.12.1-12
Architecture: armhf
Maintainer: wxWidgets Maintainers 
Installed-Size: 253
Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libwxbase2.8-0 (>= 2.8.12.1), zlib1g (>= 1:1.1.4)
Conflicts: wx2.6-common
Replaces: libwxgtk2.4-contrib-dev, wx2.6-common, wxwin2.4-headers
Homepage: http://www.wxwidgets.org/
Priority: optional
Section: devel
Filename: pool/main/w/wxwidgets2.8/wx-common_2.8.12.1-12_armhf.deb
Size: 125874
SHA256: 92d5a9df33236dd3f2b5c01df7c5b18ec81e36fd8b9eae254c56e6986e39b389
SHA1: 11f0b8e891dd0e657f684f0b3b5c616488bce2f4
MD5sum: 48f47aee95801b33d7e25871fff3a9d7
Description: wxWidgets Cross-platform C++ GUI toolkit (common support files)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides common support files not bound to any
 particular wxWidgets release, such as font metrics required by some
 ports, and miscellaneous developer aids and binary utilities.

Package: wx2.8-doc
Source: wxwidgets2.8
Version: 2.8.12.1-12
Installed-Size: 7101
Maintainer: wxWidgets Maintainers 
Architecture: all
Provides: wx-doc
Suggests: doc-base, www-browser
Size: 1992354
SHA256: 5dc895df9ae3cd4792e62930fc717bf4a05ecec99bf9addf6a060106ade7197b
SHA1: 671f3173f4951e965e19bf1cce0229b74827e7e7
MD5sum: 250310ce3455ef37cca1922623cec492
Description: wxWidgets Cross-platform C++ GUI toolkit (documentation)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides a HTML version of the wxWidgets reference manual.
Homepage: http://www.wxwidgets.org/
Tag: devel::doc, devel::lang:c++, made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/w/wxwidgets2.8/wx2.8-doc_2.8.12.1-12_all.deb

Package: wx2.8-examples
Source: wxwidgets2.8
Version: 2.8.12.1-12
Installed-Size: 11024
Maintainer: wxWidgets Maintainers 
Architecture: all
Suggests: libwxgtk2.8-dev (= 2.8.12.1-12), wx2.8-doc
Size: 8046072
SHA256: 34f5bf0fb4a00b9b2543f79c80a0badd8bb37b69a9d5d7ba9526d90c8b1f7607
SHA1: a62782dbcd3c6166a55f1d2286d2ac6aa808ab6b
MD5sum: f258b6cb8a40369aff9803aa3ba55f00
Description: wxWidgets Cross-platform C++ GUI toolkit (examples)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package contains examples of using the wxWidgets toolkit in
 C++ and with the wxPython language binding.
Homepage: http://www.wxwidgets.org/
Tag: devel::doc, devel::examples, devel::lang:c++, devel::lang:python,
 role::documentation, uitoolkit::wxwidgets
Section: devel
Priority: optional
Filename: pool/main/w/wxwidgets2.8/wx2.8-examples_2.8.12.1-12_all.deb

Package: wx2.8-headers
Source: wxwidgets2.8
Version: 2.8.12.1-12
Architecture: armhf
Maintainer: wxWidgets Maintainers 
Installed-Size: 6828
Homepage: http://www.wxwidgets.org/
Priority: optional
Section: devel
Filename: pool/main/w/wxwidgets2.8/wx2.8-headers_2.8.12.1-12_armhf.deb
Size: 1578076
SHA256: 49688a3ea975bf738cfc5c93f3af4e6e72e8e454465c5d06c93471911dfe948a
SHA1: d18dbc985b78cba568ccb70abb3bca678ff3aa5b
MD5sum: eae20461b2aeda352914f48fa9dd8d42
Description: wxWidgets Cross-platform C++ GUI toolkit (header files)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides the common header files required to build applications
 using the wxWidgets toolkit.

Package: wx2.8-i18n
Source: wxwidgets2.8
Version: 2.8.12.1-12
Installed-Size: 2088
Maintainer: wxWidgets Maintainers 
Architecture: all
Replaces: wx-i18n, wx2.4-i18n, wx2.5-i18n, wxwin-i18n
Provides: wx-i18n
Conflicts: wx-i18n, wx2.4-i18n, wxwin-i18n
Size: 864594
SHA256: b244cd3e59be63decebf27724b4eec7056e3dc9b2dc7cb86559bf4f13be56cdc
SHA1: 61edfba47d5801a1a9bbb7213dc82c543be95986
MD5sum: a14632b9951c3f2fc40ec59e602b5fed
Description: wxWidgets Cross-platform C++ GUI toolkit (i18n support)
 wxWidgets (formerly known as wxWindows) is a class library for C++ providing
 GUI components and other facilities on several popular platforms (and some
 unpopular ones as well).
 .
 This package provides the i18n message catalogs for wxWidgets.
Homepage: http://www.wxwidgets.org/
Tag: role::app-data
Section: localization
Priority: optional
Filename: pool/main/w/wxwidgets2.8/wx2.8-i18n_2.8.12.1-12_all.deb

Package: wxgeometrie
Version: 0.133.1-1
Installed-Size: 8860
Maintainer: Georges Khaznadar 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-numpy, python-sympy (>= 0.7.1), python-matplotlib, python-wxgtk2.8
Size: 3592884
SHA256: d4ad215e65f0cd0f7cc726cba0943e7fe3462f71c0e77961888abb4364adc2db
SHA1: 2d92d4cc8d5dd4a6b74994393ca20bea7e0a8fb0
MD5sum: f0cd6034f43338df62f5fe6994871264
Description: Swiss army knife for the math teacher
 this application contains every tool you would like to find when
 preparing math courses, exercises or their keys.
Homepage: http://sourceforge.net/projects/wxgeometrie/
Tag: field::mathematics, implemented-in::python, interface::x11,
 role::program, uitoolkit::wxwidgets, x11::application
Section: math
Priority: extra
Filename: pool/main/w/wxgeometrie/wxgeometrie_0.133.1-1_all.deb

Package: wxmaxima
Version: 12.04.0-1
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 2137
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), maxima (>= 5.18), maxima-doc (>= 5.10.0)
Recommends: ttf-jsmath, libgnomeprintui2.2-0
Homepage: http://andrejv.github.com/wxmaxima/
Priority: optional
Section: math
Filename: pool/main/w/wxmaxima/wxmaxima_12.04.0-1_armhf.deb
Size: 780216
SHA256: 19fb4d331c5d8dabab9af77077da3a1faffc25bf462d197042fcdcc38bd2dfc4
SHA1: 65bb47a1d4bd30094f469df2411c9a106e84980d
MD5sum: 588ce21fff1ef3061a3c3910febe3262
Description: GUI for the computer algebra system Maxima
 wxMaxima is a graphical user interface for the computer algebra system
 Maxima. It eases the use of Maxima by making most of its commands available
 through a menu system and by providing input dialogs for commands that
 require more than one argument. It also implements its own display engine
 that outputs mathematical symbols directly instead of depicting them with
 ASCII characters.
 .
 wxMaxima also features 2D and 3D inline plots, simple animations, mixing of
 text and mathematical calculations to create documents, exporting of input
 and output to TeX, and a browser for Maxima's manual including command index
 and full text searching.

Package: wxsqlite3-2.8-dbg
Source: wxsqlite3
Version: 3.0.0.1~dfsg0-2
Architecture: armhf
Maintainer: Alessio Treglia 
Installed-Size: 259
Depends: libwxsqlite3-2.8-0 (= 3.0.0.1~dfsg0-2)
Multi-Arch: same
Homepage: http://wxcode.sourceforge.net/components/wxsqlite3/
Priority: extra
Section: debug
Filename: pool/main/w/wxsqlite3/wxsqlite3-2.8-dbg_3.0.0.1~dfsg0-2_armhf.deb
Size: 186532
SHA256: 979d674c05dcdce2573f5f8a6cd796bcb0de9652dad083ef4706c4a9c11bec41
SHA1: 1c0649cf29113bd385e04582415446e43b8dd65c
MD5sum: dc982c991908484166a445bf3d8c6eaf
Description: Debugging symbols for wxSQLite3 2.8
 wxSQLite3 is a C++ wrapper around the public domain SQLite 3.x database
 and is specifically designed for use in programs based on the wxWidgets
 2.8 library.
 .
 This package contains the debugging symbols for wxSQLite3.

Package: wxsqlite3-doc
Source: wxsqlite3
Version: 3.0.0.1~dfsg0-2
Installed-Size: 1301
Maintainer: Alessio Treglia 
Architecture: all
Size: 196766
SHA256: b4d19d34a142e4c847e7c0ab89ec9a0b4918593c571cd6f3464ea328d4088e7e
SHA1: a72fd5ed8b04bfc8e8be1e949393dbbabe2cb603
MD5sum: 840174fdba6d14a4a1b7cd0fe529b542
Description: Documentation files for wxSQLite3
 wxSQLite3 is a C++ wrapper around the public domain SQLite 3.x database
 and is specifically designed for use in programs based on the wxWidgets
 2.8 library.
 .
 This package contains the documentation files for wxSQLite3, which is
 also available at .
Homepage: http://wxcode.sourceforge.net/components/wxsqlite3/
Tag: devel::doc, role::documentation
Section: doc
Priority: optional
Filename: pool/main/w/wxsqlite3/wxsqlite3-doc_3.0.0.1~dfsg0-2_all.deb

Package: wyg
Version: 1.1.3.0.debian.1-5
Installed-Size: 152
Maintainer: Francesco Pedrini 
Architecture: all
Depends: perl, bison, flex
Size: 30892
SHA256: 47649557f015389f25198c95e7209482e7767456c7e0346ee07ade11bb03389c
SHA1: 6d641e39f4ea3235fce6d922bd3d9ce241d4f30b
MD5sum: 32385e9d6bfb1edabe0c0f932bf5ec2a
Description: (Where's Your Grammar?) command line parser generator
 WYG (Where's Your Grammar?) is a tool that automates the use of lex,
 yacc, and getopt_long to create a front-end for your projects that can
 be configured from files or via the command line.
Tag: devel::code-generator, interface::commandline, role::program,
 scope::utility, works-with::software:source
Section: devel
Priority: optional
Filename: pool/main/w/wyg/wyg_1.1.3.0.debian.1-5_all.deb

Package: wyrd
Version: 1.4.4-1
Architecture: armhf
Maintainer: Kevin Coyner 
Installed-Size: 657
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libncursesw5 (>= 5.6+20070908), libtinfo5, remind (>= 03.00.24), less
Homepage: http://pessimization.com/software/wyrd/
Priority: optional
Section: utils
Filename: pool/main/w/wyrd/wyrd_1.4.4-1_armhf.deb
Size: 245988
SHA256: f8dd786ac0ea29aa4c35c8974128e37d2e2ff64116ca419e48ebb9c1e2024132
SHA1: 6a46e98af7063ff06a4883664ebc17c47897eee1
MD5sum: c17c2a814ea05f39fc60e3798c7797d4
Description: text-based calendar application
 Wyrd acts as an ncurses-based frontend for remind, a scheduler
 application featuring sophisticated date calculation, moon phases,
 sunrise/sunset, Hebrew calendar, alarms, multilingual messages, and
 proper handling of holidays.
 .
 Wyrd displays reminders on a browsable time table along with a
 calendar and lets the user create new timed or untimed reminders.
 Using the remind backend, it is possible to convert the calendar to
 PostScript for printing and to synchronize it with Palm handhelds.

Package: wysihtml-el
Source: wysihtml
Version: 0.13-5.1
Architecture: armhf
Maintainer: Junichi Uekawa 
Installed-Size: 118
Depends: libc6 (>= 2.13-28), iceape-browser | iceweasel, xemacs21-mule | xemacs21-mule-canna-wnn | emacs23 | emacs-snapshot, elserv (>= 0.4.0+0.20011203cvs-3.2), apel, ldp-docbook-xsl (>= 0.0.20040321-0.1), xsltproc
Recommends: psgml
Priority: optional
Section: text
Filename: pool/main/w/wysihtml/wysihtml-el_0.13-5.1_armhf.deb
Size: 17266
SHA256: b621af4c39bfae2f9a99c1c39c0f63bd5bf9ba7bb01d02aa3690c650c56c7913
SHA1: 91a0cb44a329cd2c2d40da071dfd6f895563a780
MD5sum: 9ace72178cf4947b3fdc0fe1eb9e3d02
Description: Almost real-time previewing system for HTML and DocBook
 WYSIHTML is a almost real-time previewing system for HTML
 and DocBook XML files.
 .
 This package facilitates and automates
 editing HTML/DocBook XML source in emacs and
 previewing with iceweasel browser.

Package: wzdftpd
Version: 0.8.3-6.2
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 625
Depends: libc6 (>= 2.13-28), libgnutls26 (>= 2.12.17-0), libpam0g (>= 0.99.7.1), ucf, debconf (>= 0.5) | debconf-2.0, po-debconf
Conflicts: ftp-server
Provides: ftp-server
Homepage: http://www.wzdftpd.net/
Priority: optional
Section: net
Filename: pool/main/w/wzdftpd/wzdftpd_0.8.3-6.2_armhf.deb
Size: 266792
SHA256: 37a21534cabd63dbe7869d092a042b40af19733be6ddc2734f942e77a14f620b
SHA1: 245070c408439d22bdf2c2ab7eaa5811973215d3
MD5sum: cd8bc4564b61117ca9247035d91e94ce
Description: modular, small and efficient ftp server - binaries
 wzdftpd is designed to be run as root or non-root, It supports IPv6, SSL, and
 is multithreaded. Server is fully configurable online using SITE commands, and
 implements the lastest RFC extensions to FTP protocol.
 .
 Features are: flexible user management, acls, virtual users/groups, security,
 speed, bandwidth limitation, per command authorization, virtual directories,
 dynamic ip changes auto-detection, etc.
 .
 It includes several authentication backends, is easily scriptable and provides
 a powerful event-driven system to extend server.

Package: wzdftpd-back-mysql
Source: wzdftpd
Version: 0.8.3-6.2
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 100
Depends: libc6 (>= 2.13-28), libgnutls26 (>= 2.12.17-0), libmysqlclient18 (>= 5.5.24+dfsg-1), libpam0g (>= 0.99.7.1), wzdftpd (= 0.8.3-6.2)
Suggests: mysql-server
Homepage: http://www.wzdftpd.net/
Priority: optional
Section: net
Filename: pool/main/w/wzdftpd/wzdftpd-back-mysql_0.8.3-6.2_armhf.deb
Size: 42066
SHA256: 39813b643157494e5069a557ca482c9acd2f8985197a24d7a4d2cf5ac7579017
SHA1: a75b0f542fe6f70823cddb896f8583015bcd048f
MD5sum: 23b6b60aee267e3e20ba9ea6700e9a87
Description: modular, small and efficient ftp server - MySQL backend
 wzdftpd is designed to be run as root or non-root, It supports IPv6, SSL, and
 is multithreaded. Server is fully configurable online using SITE commands, and
 implements the lastest RFC extensions to FTP protocol.
 .
 Features are: flexible user management, acls, virtual users/groups, security,
 speed, bandwidth limitation, per command authorization, virtual directories,
 dynamic ip changes auto-detection, etc.
 .
 This package provides the MySQL backend for wzdftpd.

Package: wzdftpd-back-pgsql
Source: wzdftpd
Version: 0.8.3-6.2
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 93
Depends: libc6 (>= 2.13-28), libgnutls26 (>= 2.12.17-0), libpam0g (>= 0.99.7.1), libpq5, wzdftpd (= 0.8.3-6.2)
Suggests: postgresql
Homepage: http://www.wzdftpd.net/
Priority: optional
Section: net
Filename: pool/main/w/wzdftpd/wzdftpd-back-pgsql_0.8.3-6.2_armhf.deb
Size: 41212
SHA256: 38fee0e587205897a3b5b8b7199c4f6820514f82e45d8cff9ff0a20745d894f4
SHA1: 4adabeed40a48f511bd52d9720c26110d56c843b
MD5sum: 10183dbb92a3fe9012b7ab48ddf99c90
Description: modular, small and efficient ftp server - PostgreSQL backend
 wzdftpd is designed to be run as root or non-root, It supports IPv6, SSL, and
 is multithreaded. Server is fully configurable online using SITE commands, and
 implements the lastest RFC extensions to FTP protocol.
 .
 Features are: flexible user management, acls, virtual users/groups, security,
 speed, bandwidth limitation, per command authorization, virtual directories,
 dynamic ip changes auto-detection, etc.
 .
 This package provides the PostgreSQL backend for wzdftpd.

Package: wzdftpd-dev
Source: wzdftpd
Version: 0.8.3-6.2
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 309
Depends: wzdftpd (= 0.8.3-6.2)
Homepage: http://www.wzdftpd.net/
Priority: optional
Section: libdevel
Filename: pool/main/w/wzdftpd/wzdftpd-dev_0.8.3-6.2_armhf.deb
Size: 74186
SHA256: 1239664dcd5740b77b7b260becacd9a59914cb5ea2691b30d4c60efe6f5724bd
SHA1: 9751dd24b0707b31e4d9b64020685f963f64a4bb
MD5sum: b924cdba2d4b7676d59cdbdc4b4fa918
Description: modular, small and efficient ftp server - development files
 wzdftpd is designed to be run as root or non-root, It supports IPv6, SSL, and
 is multithreaded. Server is fully configurable online using SITE commands, and
 implements the lastest RFC extensions to FTP protocol.
 .
 Features are: flexible user management, acls, virtual users/groups, security,
 speed, bandwidth limitation, per command authorization, virtual directories,
 dynamic ip changes auto-detection, etc.
 .
 This package provides all needed files to develop extensions for wzdftpd.

Package: wzdftpd-mod-avahi
Source: wzdftpd
Version: 0.8.3-6.2
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 72
Depends: libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), wzdftpd (= 0.8.3-6.2)
Homepage: http://www.wzdftpd.net/
Priority: optional
Section: net
Filename: pool/main/w/wzdftpd/wzdftpd-mod-avahi_0.8.3-6.2_armhf.deb
Size: 32364
SHA256: f1f8233b788d7b67a1bd0a959775cdb305d97c83ecd137717d3bf26bf2326f62
SHA1: c4ed8d1e1b02a7ca87c4ed4c965ab119f1a022e4
MD5sum: e2e8ed30fa0fc92a6e2abba4320b933c
Description: modular, small and efficient ftp server - zeroconf module
 wzdftpd is designed to be run as root or non-root, It supports IPv6, SSL, and
 is multithreaded. Server is fully configurable online using SITE commands, and
 implements the lastest RFC extensions to FTP protocol.
 .
 Features are: flexible user management, acls, virtual users/groups, security,
 speed, bandwidth limitation, per command authorization, virtual directories,
 dynamic ip changes auto-detection, etc.
 .
 This package provides the necessary files to enable zeroconf support in
 wzdftpd, using the Avahi module.

Package: wzdftpd-mod-perl
Source: wzdftpd
Version: 0.8.3-6.2
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 93
Depends: libc6 (>= 2.13-28), libgnutls26 (>= 2.12.17-0), libpam0g (>= 0.99.7.1), libperl5.14 (>= 5.14.2), wzdftpd (= 0.8.3-6.2)
Homepage: http://www.wzdftpd.net/
Priority: optional
Section: net
Filename: pool/main/w/wzdftpd/wzdftpd-mod-perl_0.8.3-6.2_armhf.deb
Size: 40840
SHA256: 2e97bac3c9862c2a9562df425356ac9674277551c554ae17ea77a335ac185afb
SHA1: 236bef93b83bd065a959d09a25721ed2dee54b91
MD5sum: 10634bb773c37ce9e01798a2571234a4
Description: modular, small and efficient ftp server - Perl module
 wzdftpd is designed to be run as root or non-root, It supports IPv6, SSL, and
 is multithreaded. Server is fully configurable online using SITE commands, and
 implements the lastest RFC extensions to FTP protocol.
 .
 Features are: flexible user management, acls, virtual users/groups, security,
 speed, bandwidth limitation, per command authorization, virtual directories,
 dynamic ip changes auto-detection, etc.
 .
 This package provides the necessary files to run Perl plugins in wzdftpd,
 in the Perl module.

Package: wzdftpd-mod-tcl
Source: wzdftpd
Version: 0.8.3-6.2
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 81
Depends: libc6 (>= 2.13-28), libgnutls26 (>= 2.12.17-0), libpam0g (>= 0.99.7.1), tcl8.4 (>= 8.4.16), wzdftpd (= 0.8.3-6.2)
Homepage: http://www.wzdftpd.net/
Priority: optional
Section: net
Filename: pool/main/w/wzdftpd/wzdftpd-mod-tcl_0.8.3-6.2_armhf.deb
Size: 36546
SHA256: 0667392cc842845c4399359dfd236cab8f88a0d46d276f2ade5e67bea4db1a00
SHA1: f320357e95babcba911d4769556e61788d1753c8
MD5sum: 8f753db5be0ad8340720d697e09219c6
Description: modular, small and efficient ftp server - Tcl module
 wzdftpd is designed to be run as root or non-root, It supports IPv6, SSL, and
 is multithreaded. Server is fully configurable online using SITE commands, and
 implements the lastest RFC extensions to FTP protocol.
 .
 Features are: flexible user management, acls, virtual users/groups, security,
 speed, bandwidth limitation, per command authorization, virtual directories,
 dynamic ip changes auto-detection, etc.
 .
 This package provides the necessary files to run Tcl plugins in wzdftpd,
 in the Tcl module.

Package: wzip
Version: 1.1.3
Architecture: armhf
Maintainer: Andreas Franzen 
Installed-Size: 81
Depends: libc6 (>= 2.7)
Priority: optional
Section: math
Filename: pool/main/w/wzip/wzip_1.1.3_armhf.deb
Size: 36500
SHA256: 78768b839d24459495b86ae4d2c5ce86c09fbbba3716ff295eb195a25824accb
SHA1: 3cd4cab4083645e197092b9a6cbd1ce38fc538ae
MD5sum: 45761a755052e2df529f1043cfbcbd02
Description: Lossy compression and denoising
 The program wzip is a preprocessor for LOSSY data compression.
 A sequence of ascii floating-point numbers is transformed into a sequence
 of small integers ready for effective compression with e.g. gzip.
 The program can also be used for effective but time consuming denoising
 of measurement data. Wzip was originally written for the processing of
 EDX-linescans.

Package: x-face-el
Version: 1.3.6.24-12
Installed-Size: 436
Maintainer: Tatsuya Kinoshita 
Architecture: all
Depends: emacs | emacsen, compface
Suggests: netpbm, bitmap-mule, imagemagick, select-xface, bbdb
Size: 109892
SHA256: 47f1fcb65fcdac9d069b240d5f554dae4c7b679ba785107e3e0d7e4793c05d4b
SHA1: 4b0e308e2dc668b41dbc753f486849dc54d08b76
MD5sum: 0a6b7166f137b80e597cfd775a211ccc
Description: utility for displaying X-Face on emacsen
 X-Face utility provides X-Face encoder/decoder for emacsen.
 .
 For GNU Emacs users: This package includes the x-face-e21 feature
 (x-face-e21.el).  It provides displaying an X-Face image inline.  Also,
 it supports the Face field which contains a base64 encoded PNG image.
 If you want to scale Face images, install the netpbm package.
 .
 For XEmacs users: Displaying an X-Face image inline is supported by
 the x-face-xmas feature (x-face-xmas.el).  It is enabled by default.
Homepage: http://www.jpl.org/ftp/pub/elisp/
Tag: implemented-in::lisp, role::plugin, suite::emacs, use::editing,
 use::viewing, works-with::image, works-with::image:raster,
 works-with::mail
Section: lisp
Priority: optional
Filename: pool/main/x/x-face-el/x-face-el_1.3.6.24-12_all.deb

Package: x-loader-omap3-beagle
Source: x-loader
Version: 1.5.1+git20110715+fca7cd2-2
Architecture: armhf
Maintainer: Riku Voipio 
Installed-Size: 89
Homepage: http://gitorious.org/x-loader/x-loader
Priority: optional
Section: misc
Filename: pool/main/x/x-loader/x-loader-omap3-beagle_1.5.1+git20110715+fca7cd2-2_armhf.deb
Size: 19576
SHA256: 33b53d1a372aa2fa43417433235629e1d08cb6334c9e2c2a037b550f129cf06c
SHA1: bf20795611c5d70907058ee70d84c41a259f3651
MD5sum: 33138d59036563d3b23f1e929634b1fb
Description: Board initialization helper for TI OMAP 3 Beagle boards
 The x-loader initializes OMAP based boards to get the hardware
 to a stage where it is possible to load and initialize a
 bootloader (i.e. the commonly used u-boot)
 .
 This package contains a version for TI OMAP 3 Beagle boards.

Package: x-loader-omap3-igepv2
Source: x-loader
Version: 1.5.1+git20110715+fca7cd2-2
Architecture: armhf
Maintainer: Riku Voipio 
Installed-Size: 81
Homepage: http://gitorious.org/x-loader/x-loader
Priority: optional
Section: misc
Filename: pool/main/x/x-loader/x-loader-omap3-igepv2_1.5.1+git20110715+fca7cd2-2_armhf.deb
Size: 16850
SHA256: 8a5b34920128fa839d1a2d8722cc01374ca41a787f3ae56d1c94addca90300eb
SHA1: 4f87499d6449aee5f0bf117ab48472cc9eaef7ba
MD5sum: b739d55d1d3f92b8ad93785d3f67bb3d
Description: Board initialization helper for IGEP v2 and v3 boards
 The x-loader initializes OMAP based boards to get the hardware
 to a stage where it is possible to load and initialize a
 bootloader (i.e. the commonly used u-boot)
 .
 This package contains a version for IGEP v2 and v3 boards.

Package: x-loader-omap3-overo
Source: x-loader
Version: 1.5.1+git20110715+fca7cd2-2
Architecture: armhf
Maintainer: Riku Voipio 
Installed-Size: 85
Homepage: http://gitorious.org/x-loader/x-loader
Priority: optional
Section: misc
Filename: pool/main/x/x-loader/x-loader-omap3-overo_1.5.1+git20110715+fca7cd2-2_armhf.deb
Size: 18012
SHA256: f0813e54a0344595bd4d1a6fe22c2bfeadd5434a14704450efa9bfe829dd2ace
SHA1: 81fdedae4bc4061a5ff29d70c526ad9e09631152
MD5sum: 405b78429317e4f413c2080c85f5e7a2
Description: Board initialization helper for Gumstix Overo boards
 The x-loader initializes OMAP based boards to get the hardware
 to a stage where it is possible to load and initialize a
 bootloader (i.e. the commonly used u-boot)
 .
 This package contains a version for Gumstix Overo boards.

Package: x-loader-omap4-panda
Source: x-loader
Version: 1.5.1+git20110715+fca7cd2-2
Architecture: armhf
Maintainer: Riku Voipio 
Installed-Size: 84
Homepage: http://gitorious.org/x-loader/x-loader
Priority: optional
Section: misc
Filename: pool/main/x/x-loader/x-loader-omap4-panda_1.5.1+git20110715+fca7cd2-2_armhf.deb
Size: 15902
SHA256: 050e682bf96a7527972004803b6b9b7ebf3a5d467b893ed96c7baf2656628f07
SHA1: afc640bed7f9a87d7d3ee49716d3b592f3ab9540
MD5sum: a0d432355364fae1b10bcfd5037aacdf
Description: Board initialization helper for TI OMAP 4 Panda boards
 The x-loader initializes OMAP based boards to get the hardware
 to a stage where it is possible to load and initialize a
 bootloader (i.e. the commonly used u-boot)
 .
 This package contains a version for TI OMAP 4 Panda boards.

Package: x-tile
Version: 2.2.1-2
Installed-Size: 1207
Maintainer: Ricardo Mones 
Architecture: all
Depends: python (>= 2.6.6-7~), python (<< 2.8), python-gtk2, python-gconf
Size: 133030
SHA256: e9b5af9473ad014a263f8c270dd0a7ca6d83b539352e9ede076a626c29051e31
SHA1: ae108d0e6d4961cf53204f4beac05d5f956f74c8
MD5sum: 6b185bc913e91cd7c85ec4620a98623b
Description: tile selected windows in different ways
 X-tile is an application that allows you to select a number of
 windows and tile them in different ways. Works on any X desktop.
 .
 Main features include:
  * Several tiling geometries
  * Undo tiling
  * Invert tiling order
  * Optional system tray docking and menu
  * Filter to exclude windows
  * Filter to include windows by default
  * Command line interface
Homepage: http://www.giuspen.com/x-tile/
Section: x11
Priority: optional
Filename: pool/main/x/x-tile/x-tile_2.2.1-2_all.deb

Package: x11-apps
Version: 7.7~2
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 1684
Depends: libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4), libsm6, libx11-6, libxaw7, libxcursor1 (>> 1.1.2), libxext6, libxft2 (>> 2.1.1), libxkbfile1, libxmu6, libxmuu1, libxrender1, libxt6 (>= 1:1.1.0), cpp
Recommends: xbitmaps
Suggests: mesa-utils
Conflicts: bitmap, oclock, x11perf, xbiff, xcalc, xclipboard, xclock, xconsole, xcursorgen, xditview, xeyes, xgc, xload, xlogo, xmag, xman, xmore, xwd, xwud
Replaces: bitmap, oclock, x11perf, xbase-clients (<= 1:7.2.ds2-3), xbiff, xcalc, xclipboard, xclock, xconsole, xcursorgen, xditview, xeyes, xgc, xload, xlogo, xmag, xman, xmore, xwd, xwud
Priority: optional
Section: x11
Filename: pool/main/x/x11-apps/x11-apps_7.7~2_armhf.deb
Size: 672456
SHA256: 09b8a7162dfde00d4e4b4352204b55ecc28c2102f78287015cda2059e95de1d7
SHA1: e8130326ddacd578995967232512e0a20b2b599a
MD5sum: 04793cd725c188448e4a5e0aab7321d7
Description: X applications
 This package provides a miscellaneous assortment of X applications
 that ship with the X Window System, including:
  - atobm, bitmap, and bmtoa, tools for manipulating bitmap images;
  - ico, a demo program animating polyhedrons;
  - oclock and xclock, graphical clocks;
  - transset, a tool to set opacity property on a window;
  - xbiff, a tool which tells you when you have new email;
  - xcalc, a scientific calculator desktop accessory;
  - xclipboard, a tool to manage cut-and-pasted text selections;
  - xconsole, which monitors system console messages;
  - xcursorgen, a tool for creating X cursor files from PNGs;
  - xditview, a viewer for ditroff output;
  - xedit, a simple text editor for X;
  - xeyes, a demo program in which a pair of eyes track the pointer;
  - xgc, a graphics demo;
  - xload, a monitor for the system load average;
  - xlogo, a demo program that displays the X logo;
  - xmag, which magnifies parts of the X screen;
  - xman, a manual page browser;
  - xmore, a text pager;
  - xwd, a utility for taking window dumps ("screenshots") of the X session;
  - xwud, a viewer for window dumps created by xwd;
  - Xmark, x11perf, and x11perfcomp, tools for benchmarking graphical
    operations under the X Window System;
 .
 The xbiff, xcalc, xconsole, xedit and xman programs use bitmap images
 provided by the xbitmaps package.

Package: x11-common
Source: xorg
Version: 1:7.7+3~deb7u1
Installed-Size: 466
Maintainer: Debian X Strike Force 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, lsb-base (>= 1.3-9ubuntu2)
Size: 283796
SHA256: e3883aab23e2be4907c01bf06ae73d30bff2078bfe8e5366e077a652d39933d2
SHA1: 8ef1c860c29faa423a478de38d6649c71637d56c
MD5sum: 0878bf26dfcd8c40980ca9123ca66023
Description: X Window System (X.Org) infrastructure
 x11-common contains the filesystem infrastructure required for further
 installation of the X Window System in any configuration; it does not
 provide a full installation of clients, servers, libraries, and utilities
 required to run the X Window System.
 .
 A number of terms are used to refer to the X Window System, including "X",
 "X Version 11", "X11", "X11R6", and "X11R7".  The version of X used in
 Debian is derived from the version released by the X.Org Foundation, and
 is thus often also referred to as "X.Org".  All of the preceding quoted
 terms are functionally interchangeable in an Debian system.
Multi-Arch: foreign
Tag: admin::configuring, implemented-in::shell, role::app-data,
 role::program, scope::utility, x11::xserver
Section: x11
Priority: optional
Filename: pool/main/x/xorg/x11-common_7.7+3~deb7u1_all.deb

Package: x11-session-utils
Version: 7.6+2
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 209
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxmuu1, libxt6, cpp
Priority: optional
Section: x11
Filename: pool/main/x/x11-session-utils/x11-session-utils_7.6+2_armhf.deb
Size: 73620
SHA256: adfba8dfa6142bb0664630ddd48497b2166ce03f5d8733deb59770ef5101c9b0
SHA1: 3060fecfd4104f902270ec55f01af7cdf91f33d8
MD5sum: 1d91144898807d82781fa93afe9d8643
Description: X session utilities
 This package provides the X session manager and related tools:
  - rstart;
  - smproxy, a session manager proxy for X clients that do not use the X
    session manager protocol;
  - xsm, a session manager for X sessions;
 Installation of an rsh or ssh daemon (server) is necessary if rstartd is
 to be used, and installation of an rsh or ssh client is necessary if
 rstart is to be used.

Package: x11-utils
Version: 7.7~1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 474
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libfontenc1, libgl1-mesa-glx | libgl1, libx11-6, libx11-xcb1, libxaw7, libxcb-shape0, libxcb1 (>= 1.6), libxcomposite1 (>= 1:0.3-1), libxext6, libxft2 (>> 2.1.1), libxi6, libxinerama1, libxmu6, libxmuu1, libxrandr2 (>= 2:1.2.0), libxrender1, libxt6, libxtst6, libxv1, libxxf86dga1, libxxf86vm1
Suggests: mesa-utils
Multi-Arch: foreign
Priority: optional
Section: x11
Filename: pool/main/x/x11-utils/x11-utils_7.7~1_armhf.deb
Size: 214804
SHA256: 6df1f5e669242aef676bc0543739e12e43c78ae2aae04387eca247b44168cad9
SHA1: f459386bf55c4f8f30050ec347e1b099a9ed1f10
MD5sum: 857cc1eb1b1f0f68368608c192c9dfe6
Description: X11 utilities
 An X client is a program that interfaces with an X server (almost always via
 the X libraries), and thus with some input and output hardware like a
 graphics card, monitor, keyboard, and pointing device (such as a mouse).
 .
 This package provides a miscellaneous assortment of X utilities
 that ship with the X Window System, including:
  - appres, editres, listres and viewres, which query the X resource database;
  - luit, a filter that can be run between an arbitrary application and a
    UTF-8 terminal emulator;
  - xdpyinfo, a display information utility for X;
  - xdriinfo, query configuration information of DRI drivers;
  - xev, an X event displayer;
  - xfd, a tool that displays all the glyphs in a given X font;
  - xfontsel, a tool for browsing and selecting X fonts;
  - xkill, a tool for terminating misbehaving X clients;
  - xlsatoms, which lists interned atoms defined on an X server;
  - xlsclients, which lists client applications running on an X display;
  - xlsfonts, a server font list displayer;
  - xmessage, a tool to display message or dialog boxes;
  - xprop, a property displayer for X;
  - xvinfo, an Xv extension information utility for X;
  - xwininfo, a window information utility for X;
 .
 The editres and viewres programs use bitmap images provided by the
 xbitmaps package. The luit program requires locale information from
 the libx11-data package.

Package: x11-xfs-utils
Version: 7.7~1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 93
Depends: libc6 (>= 2.13-28), libfs6, libx11-6
Conflicts: fslsfonts, fstobdf, showfont, xfsinfo
Replaces: fslsfonts, fstobdf, showfont, xbase-clients (<= 1:7.2.ds2-3), xfsinfo, xutils (<= 1:7.1.ds.3-1)
Multi-Arch: foreign
Priority: optional
Section: x11
Filename: pool/main/x/x11-xfs-utils/x11-xfs-utils_7.7~1_armhf.deb
Size: 26170
SHA256: 464346e2e2ac90edaa25b682bae3695406f766f9fd83dfbc81d40d03540554f1
SHA1: c7549a0152bea27ee7a50c98184fdb80ab30d410
MD5sum: b614cd81f9e0e0d48676d6b1435930e6
Description: X font server utilities
 x11-xfs-utils provides a set of utility programs useful on a system that uses
 an X font server.
 .
 The programs in this package include:
  - fslsfonts, a tool that lists fonts served by an X font server;
  - fstobdf, a tool which retrieves a font in BDF format from an X font server;
  - showfont, a font dumper for use with an X font server;
  - xfsinfo, an X font server information utility.

Package: x11-xkb-utils
Version: 7.7~1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 406
Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxkbfile1, libxt6
Replaces: xbase-clients (<= 1:7.2.ds2-3)
Priority: optional
Section: x11
Filename: pool/main/x/x11-xkb-utils/x11-xkb-utils_7.7~1_armhf.deb
Size: 178240
SHA256: 7696a11794ac74ba1b32d14874c0467d19850b6a595f303c29ecae258946a382
SHA1: 7fae676045e8789270b9588a87a18f14dd5ff2b7
MD5sum: bc6a038d54a6c9f944c5284a4ac62c5f
Description: X11 XKB utilities
 xkbutils contains a number of client-side utilities for XKB, the X11
 keyboard extension.
 .
 setxkbmap is a tool to query and change the current XKB map.
 .
 xkbbell generates a bell event through the keyboard.
 .
 xkbcomp is a tool to compile XKB definitions into map files the server
 can use.
 .
 xkbevd is an experimental tool to listen for certain XKB events and execute
 defined triggers when actions occur.
 .
 xkbprint is a tool to generate an image with the physical representation
 of the keyboard as XKB sees it.
 .
 xkbvleds shows the changing status of keyboard LEDs.
 .
 xkbwatch shows the changing status of modifiers and LEDs.

Package: x11-xserver-utils
Version: 7.7~3
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 427
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libx11-6, libxaw7, libxcursor1 (>> 1.1.2), libxext6, libxi6, libxmu6, libxmuu1, libxrandr2 (>= 2:1.2.99.3), libxrender1, libxt6, libxxf86vm1, cpp
Suggests: nickle, cairo-5c, xorg-docs-core
Conflicts: iceauth, sessreg, xgamma, xhost, xmodmap, xrandr, xrdb, xrefresh, xrgb, xset, xsetmode, xsetpointer, xsetroot, xstdcmap, xvidtune
Replaces: iceauth, sessreg, xbase-clients (<< 1:7.3), xgamma, xhost, xmodmap, xrandr, xrdb, xrefresh, xrgb, xset, xsetmode, xsetpointer, xsetroot, xstdcmap, xutils (<< 1:7.2), xvidtune
Priority: optional
Section: x11
Filename: pool/main/x/x11-xserver-utils/x11-xserver-utils_7.7~3_armhf.deb
Size: 180620
SHA256: fddc0167eb6e855d909605188d8071ac8945ebac593c3e7152b80b1a93c701bd
SHA1: f09e36f16e9168c48ff3b291b342ef4b237828f2
MD5sum: 1a377616fde357adb7edfabaa5f20228
Description: X server utilities
 An X client is a program that interfaces with an X server (almost always via
 the X libraries), and thus with some input and output hardware like a
 graphics card, monitor, keyboard, and pointing device (such as a mouse).
 .
 This package provides a miscellaneous assortment of X Server utilities
 that ship with the X Window System, including:
  - iceauth, a tool for manipulating ICE protocol authorization records;
  - rgb;
  - sessreg, a simple program for managing utmp/wtmp entries;
  - xcmsdb, a device color characteristic utility for the X Color Management
    System;
  - xgamma, a tool for querying and setting a monitor's gamma correction;
  - xhost, a very dangerous program that you should never use;
  - xmodmap, a utility for modifying keymaps and pointer button mappings in X;
  - xrandr, a command-line interface to the RandR extension;
  - xrdb, a tool to manage the X server resource database;
  - xrefresh, a tool that forces a redraw of the X screen;
  - xset, a tool for setting miscellaneous X server parameters;
  - xsetmode and xsetpointer, tools for handling X Input devices;
  - xsetroot, a tool for tailoring the appearance of the root window;
  - xstdcmap, a utility to selectively define standard colormap properties;
  - xvidtune, a tool for customizing X server modelines for your monitor.

Package: x11proto-bigreqs-dev
Source: x11proto-bigreqs
Version: 1:1.1.2-1
Installed-Size: 68
Maintainer: Debian X Strike Force 
Architecture: all
Size: 12762
SHA256: 815f99ab04263882324458f1c8f043ab144c1201af1d4a6b8f40c7cfefa18482
SHA1: 765f67988e102aecac8bfc25355b0335e46e4e8c
MD5sum: 751bc9c53ec765ffecb25a623ce3e774
Description: X11 Big Requests extension wire protocol
 This package provides the wire protocol for the BIG-REQUESTS extension, used to
 send larger requests than usual in order to avoid fragmentation.
 .
 More information about X.Org can be found at:
 
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-bigreqs/x11proto-bigreqs-dev_1.1.2-1_all.deb

Package: x11proto-composite-dev
Source: x11proto-composite
Version: 1:0.4.2-2
Installed-Size: 80
Maintainer: Debian X Strike Force 
Architecture: all
Depends: x11proto-core-dev, x11proto-fixes-dev
Size: 15322
SHA256: 524fcfc77f61bcfda270ae6e2508e2eebdbf39e7d611d8ee13cd7ab38e2c0a44
SHA1: 8a568813b94480df2347af88140ccb34829549a3
MD5sum: 1d5bd92fc0a633a565f83765df13b890
Description: X11 Composite extension wire protocol
 This package provides development headers describing the wire protocol
 for the Composite extension, used to let arbitrary client programs control
 drawing of the final image.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org compositeproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-composite/x11proto-composite-dev_0.4.2-2_all.deb

Package: x11proto-core-dev
Source: x11proto-core
Version: 7.0.23-1
Installed-Size: 1801
Maintainer: Debian X Strike Force 
Architecture: all
Depends: xorg-sgml-doctools (>= 1:1.5)
Size: 773544
SHA256: 97b323494864640e36dbc9becb38df89a1202e4449a20dd38dc5b4dcea5746e9
SHA1: 8807b1f414b4eacc0ceb8f3d39e9c87fcb44acf9
MD5sum: 4c4f42b0ec94689f7d6ce3a7c914b54b
Description: X11 core wire protocol and auxiliary headers
 This package provides development headers describing the wire protocol
 for the core X11 protocol, and also provides a number of utility headers,
 used to abstract OS-specific functions.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-core/x11proto-core-dev_7.0.23-1_all.deb

Package: x11proto-damage-dev
Source: x11proto-damage
Version: 1:1.2.1-2
Installed-Size: 68
Maintainer: Debian X Strike Force 
Architecture: all
Depends: x11proto-fixes-dev, x11proto-core-dev
Size: 11780
SHA256: a4fa4c2f2a24d0a1d49c66315c17d1600d1583ac20f7627bcec3aedc47865f2f
SHA1: 6385d3e7a0645244c34a6821c24bf14b409bc8df
MD5sum: 0286090edf52a48b3bd4cadfb7da6331
Description: X11 Damage extension wire protocol
 This package provides development headers describing the wire protocol
 for the Damage extension, used to notify clients of changes made to
 particular areas.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org damageproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-damage/x11proto-damage-dev_1.2.1-2_all.deb

Package: x11proto-dmx-dev
Source: x11proto-dmx
Version: 1:2.3.1-2
Installed-Size: 59
Maintainer: Debian X Strike Force 
Architecture: all
Breaks: libdmx-dev (<< 1:1.0.99.1)
Size: 5824
SHA256: 1f8bae6db9f5e0a61b180c98fe249ec4163bb12520592e2beeca47ae7ba17e3e
SHA1: 25705cb35c43268809acdd01eff500755a8ec065
MD5sum: 908a5c8fe50479bd9380cb2cedee34ea
Description: X11 Distributed Multihead X extension wire protocol
 This package provides development headers describing the wire protocol
 for the DMX extension, used to set up a distributed multi-head environment
 with a single server acting as a gateway to multiple X servers on multiple
 machines.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org dmxproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-dmx/x11proto-dmx-dev_2.3.1-2_all.deb

Package: x11proto-dri2-dev
Source: x11proto-dri2
Version: 2.6-2
Installed-Size: 76
Maintainer: Debian X Strike Force 
Architecture: all
Size: 11820
SHA256: d198f0e7e5f5c872e09d2e8fe644141bb9cd30be4caec0bbfb65186bab520f30
SHA1: 6d7a204578a9a33db4a425dcceb00da789e94f0b
MD5sum: 023c835d2f36e6ee85653a05f8ef7f1f
Description: X11 DRI2 extension wire protocol
 This package provides development headers describing the wire protocol
 for the DRI2 extension, used to organise direct rendering support
 for 3D clients, and replace the XFree86-DRI extension.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org dri2proto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-dri2/x11proto-dri2-dev_2.6-2_all.deb

Package: x11proto-fixes-dev
Source: x11proto-fixes
Version: 1:5.0-2
Installed-Size: 92
Maintainer: Debian X Strike Force 
Architecture: all
Depends: x11proto-core-dev, x11proto-xext-dev (>= 7.0.99.1)
Size: 19170
SHA256: e08e0aa9b58ffccc7685c6715df77a9c00781575a6229b4497b06b32bd61336c
SHA1: 1b5da01a93a6b7cfa726816aae8ad68987dd30fa
MD5sum: b04251d2b52d3f96d28fe77f946db2a4
Description: X11 Fixes extension wire protocol
 This package provides development headers describing the wire protocol
 for the Fixes extension, which contains a few small extensions to the
 X protocol, including a Region type.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org fixesproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-fixes/x11proto-fixes-dev_5.0-2_all.deb

Package: x11proto-fonts-dev
Source: x11proto-fonts
Version: 2.1.2-1
Installed-Size: 248
Maintainer: Debian X Strike Force 
Architecture: all
Depends: x11proto-core-dev
Size: 69850
SHA256: 52298ef2096b0d80a95e8e462bb7a371f76bb9b8e538d014bacfaf3b18fc315c
SHA1: 5476f1dd79fbf5c5a384d4f40482b436d8860fdb
MD5sum: db36a919b3e11b8a2a402b68fc06f202
Description: X11 font extension wire protocol
 This package provides development headers describing the wire protocol
 for font-related extensions, used to control the server-side font
 configuration, and also the font server headers.
 .
 More information about X.Org can be found at:
 
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-fonts/x11proto-fonts-dev_2.1.2-1_all.deb

Package: x11proto-gl-dev
Source: x11proto-gl
Version: 1.4.15-1
Installed-Size: 155
Maintainer: Debian X Strike Force 
Architecture: all
Replaces: xlibmesa-gl-dev
Size: 31784
SHA256: e2501bc6caca2185867f8c3269c5f06537871bb51fe0898d8eaf870b5c9efe41
SHA1: 92d6ad33993fb52dae772a9982f471c7cee205fb
MD5sum: 30c0fbb4a6e17177682c512fa0ce191d
Description: X11 OpenGL extension wire protocol
 This package provides development headers describing the wire protocol
 for OpenGL-related extensions, used to enable the rendering of
 applications using OpenGL.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org glproto proto module.
Multi-Arch: foreign
Tag: devel::library, hardware::opengl, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-gl/x11proto-gl-dev_1.4.15-1_all.deb

Package: x11proto-input-dev
Source: x11proto-input
Version: 2.2-1
Installed-Size: 495
Maintainer: Debian X Strike Force 
Architecture: all
Depends: x11proto-core-dev
Size: 171868
SHA256: f7cb888a1406c6fd861ebf4e069641f938ec7e889929e85373b35c3f04854952
SHA1: 9a33282d44a58794b438d5e644a4bda360016a93
MD5sum: bf4228040086ffb6b222b830d1c83a76
Description: X11 Input extension wire protocol
 This package provides development headers describing the wire protocol
 for the Input extension, used to control all manner of options related
 to input device handling.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org inputproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-input/x11proto-input-dev_2.2-1_all.deb

Package: x11proto-kb-dev
Source: x11proto-kb
Version: 1.0.6-2
Installed-Size: 1241
Maintainer: Debian X Strike Force 
Architecture: all
Size: 269096
SHA256: b7ff8eceb9f05eb470700807c73fdae88f5f9f8b8fbef869029882264dbebd81
SHA1: a3c11ccb3db6401df307970a9273d86ad9838799
MD5sum: 892865f3dc1b65a8a5c84413c2ce424b
Description: X11 XKB extension wire protocol
 This package provides development headers describing the wire protocol
 for the XKEYBOARD extension, used to control all manner of options
 related to keyboard handling and layout in particular.
 It does not control the addition/enabling/disabling of keyboards;
 this is done with the XINPUT extension.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org kbproto proto module.
Multi-Arch: foreign
Tag: devel::library, hardware::input:keyboard, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-kb/x11proto-kb-dev_1.0.6-2_all.deb

Package: x11proto-print-dev
Source: x11proto-print
Version: 1.0.5-2
Installed-Size: 90
Maintainer: Debian X Strike Force 
Architecture: all
Conflicts: libxp-dev (<< 1:1)
Size: 14940
SHA256: ca24e5d1398212b2828975100c9ae81e3def7c910489cfbf9e22e582d770a5bf
SHA1: 95f73603f296c283fc71b23bde1518a7170d77bb
MD5sum: 3bbddefaa5243b3891d0fadfd0241a01
Description: X11 Printing extension (Xprint) wire protocol
 This package provides development headers describing the wire protocol
 for Xprint, the X11 printing extension.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org printproto proto module.
Multi-Arch: foreign
Tag: devel::library, hardware::printer, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-print/x11proto-print-dev_1.0.5-2_all.deb

Package: x11proto-randr-dev
Source: x11proto-randr
Version: 1.3.2-2
Installed-Size: 116
Maintainer: Debian X Strike Force 
Architecture: all
Size: 39986
SHA256: f35f10087800b438a61280a74a0fb6707dbb77f7a8781d2e23c7e432ec8bec88
SHA1: 6b66efa47ceb5f6aac2bae1cac30101384bfb11b
MD5sum: 17378cc6a05b1991e247afecfb233ac7
Description: X11 RandR extension wire protocol
 This package provides development headers describing the wire protocol
 for the RandR extension, used to change display properties such as
 resolution, rotation, reflection, et al, on the fly.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org randrproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-randr/x11proto-randr-dev_1.3.2-2_all.deb

Package: x11proto-record-dev
Source: x11proto-record
Version: 1.14.2-1
Installed-Size: 136
Maintainer: Debian X Strike Force 
Architecture: all
Breaks: libxtst-dev (<< 2:1.0.99.2)
Size: 33884
SHA256: 288f8b9f4b050ae21f6ab7c70503c66a3177be6611ae08045d128cba9dfa5ea9
SHA1: 903c735a1e4f86618fb16c7e0519ac09673be868
MD5sum: 9ea88f1bd5d211806a56ca1a10cef3f0
Description: X11 Record extension wire protocol
 This package provides development headers describing the wire protocol
 for the Record extension, used to record and play back event sequences.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org recordproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-record/x11proto-record-dev_1.14.2-1_all.deb

Package: x11proto-render-dev
Source: x11proto-render
Version: 2:0.11.1-2
Installed-Size: 88
Maintainer: Debian X Strike Force 
Architecture: all
Depends: x11proto-core-dev
Size: 20800
SHA256: 606d63fab57421afe305e4866edb1948e4f9090409d6f63199838ac269d8cfba
SHA1: 4d189781b9e0be2f810094dd0643a5c0e763d49d
MD5sum: dc5426cb3159e749720268c0735be20f
Description: X11 Render extension wire protocol
 This package provides development headers describing the wire protocol for
 the Render extension, used to implement Porter-Duff operations within X.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org renderproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-render/x11proto-render-dev_0.11.1-2_all.deb

Package: x11proto-resource-dev
Source: x11proto-resource
Version: 1.2.0-3
Installed-Size: 68
Maintainer: Debian X Strike Force 
Architecture: all
Depends: x11proto-core-dev
Size: 10702
SHA256: 07d0c69f577e3a11d2d1cb336c992ca1f0bb71c4e8e99ca69ac37748f13ff1f9
SHA1: 0e94a538673f2a193c71518d146b5dfa43f399fc
MD5sum: d04d1fb966418c64f524aaf0c39bf6a1
Description: X11 Resource extension wire protocol
 This package provides development headers describing the wire protocol
 for the Resource extension, used to measure resource usage from clients
 within the X server.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org resourceproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-resource/x11proto-resource-dev_1.2.0-3_all.deb

Package: x11proto-scrnsaver-dev
Source: x11proto-scrnsaver
Version: 1.2.2-1
Installed-Size: 104
Maintainer: Debian X Strike Force 
Architecture: all
Depends: x11proto-core-dev
Breaks: libxss-dev (<< 1:1.2.0)
Size: 25042
SHA256: 505bb5098c80355c4474df5c8b3677fe1fda74764a52a29f7afca8e3df0603ad
SHA1: 5660bef42accd401efc3a04056330a9e34cbaf2d
MD5sum: fc8b3d0bc4c7e7aefa0177d94382adc4
Description: X11 Screen Saver extension wire protocol
 This package provides development headers describing the wire protocol
 for the MIT-SCREEN-SAVER extension, used to notify the server of client
 screen saver events.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org scrnsaverproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-scrnsaver/x11proto-scrnsaver-dev_1.2.2-1_all.deb

Package: x11proto-video-dev
Source: x11proto-video
Version: 2.3.1-2
Installed-Size: 81
Maintainer: Debian X Strike Force 
Architecture: all
Size: 17376
SHA256: 1245f8c011f2fdf2809cef550ea6ecc723e2b9c8edb0c9bef00423587e93216c
SHA1: 5b615bf4599d76578eb9f6dd205e4cf8de9009fc
MD5sum: 7f970678b9295c02ca042becc8243c46
Description: X11 Video extension wire protocol
 This package provides development headers describing the wire protocol
 for the XVideo and XVideoMotionCompensation extensions, used to accelerate
 playback of videos through X.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org videoproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-video/x11proto-video-dev_2.3.1-2_all.deb

Package: x11proto-xcmisc-dev
Source: x11proto-xcmisc
Version: 1.2.2-1
Installed-Size: 67
Maintainer: Debian X Strike Force 
Architecture: all
Size: 12650
SHA256: 90c76ee26a314308658383d24a76dbf9182289edf3d349041b8cda8e6e9ca696
SHA1: c72361fde6a08a9a1bfe94c80bc174e5fcbef63e
MD5sum: d01a820c2f94534845d91a574d484f36
Description: X11 XC-Miscellaneous extension wire protocol
 This package provides development headers describing the wire protocol
 for the XC-MISC extension, used to get details of XID allocation within
 the server.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xcmiscproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-xcmisc/x11proto-xcmisc-dev_1.2.2-1_all.deb

Package: x11proto-xext-dev
Source: x11proto-xext
Version: 7.2.1-1
Installed-Size: 933
Maintainer: Debian X Strike Force 
Architecture: all
Depends: x11proto-input-dev, x11proto-core-dev
Breaks: libxext-dev (<< 2:1.0.99), libxtst-dev (<< 2:1.0.99)
Size: 273424
SHA256: ef03ac619fca98ac112a93404f23653b7732df8f7d26cea499ccf71ba985eb05
SHA1: 490b9e1fc6cbd145a26e7c5d6fb827ee5dd6725a
MD5sum: 5b6550d53210165fc9cbea73149bef00
Description: X11 various extension wire protocol
 This package provides development headers describing the wire protocol
 for various extensions, the client-side libraries of which are provided
 in the Xext library.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xextproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-xext/x11proto-xext-dev_7.2.1-1_all.deb

Package: x11proto-xf86bigfont-dev
Source: x11proto-xf86bigfont
Version: 1.2.0-3
Installed-Size: 50
Maintainer: Debian X Strike Force 
Architecture: all
Depends: x11proto-core-dev
Size: 4766
SHA256: bfe992eee00783225ef77f993a5d3c2417eff8ab2ad52d4052580328e0d09d22
SHA1: d51652c7080aacee9236e0c8ab3381a4348616fc
MD5sum: 5a4b1f83fed4139cf05a5fb56c9ab77b
Description: X11 Big Fonts extension wire protocol
 This package provides development headers describing the wire protocol
 for the XF86BIGFONT extension, used to make larger font requests possible.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86bigfontproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-xf86bigfont/x11proto-xf86bigfont-dev_1.2.0-3_all.deb

Package: x11proto-xf86dga-dev
Source: x11proto-xf86dga
Version: 2.1-3
Installed-Size: 67
Maintainer: Debian X Strike Force 
Architecture: all
Depends: x11proto-core-dev
Breaks: libxxf86dga-dev (<< 2:1.0.99.1)
Size: 10768
SHA256: 69f9727178f1c3e568e72d9602b5e0fe401a30e639da58af18d2e4398b4f5226
SHA1: 143c523b78f652326567c6395dbdba92ef24b446
MD5sum: e3d7a5f25107a11f3566f86596a24b43
Description: X11 Direct Graphics Access extension wire protocol
 This package provides development headers describing the wire protocol
 for the XFree86-DGA extension, which provides direct, framebuffer-like,
 graphics access.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86dgaproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-xf86dga/x11proto-xf86dga-dev_2.1-3_all.deb

Package: x11proto-xf86dri-dev
Source: x11proto-xf86dri
Version: 2.1.1-2
Installed-Size: 56
Maintainer: Debian X Strike Force 
Architecture: all
Size: 5592
SHA256: ad7b839695a5ae327827e7509992cf307b40f64967558b671edb1781df90c603
SHA1: 2fbff3ba9c433768ae770a26ab9af6d6f1c69e90
MD5sum: 9f7ed8c3f15a19e43c3923955ec790f6
Description: X11 DRI extension wire protocol
 This package provides development headers describing the wire protocol
 for the XFree86-DRI extension, used to organise direct rendering support
 for 3D clients, and help arbiter the requests.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86driproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-xf86dri/x11proto-xf86dri-dev_2.1.1-2_all.deb

Package: x11proto-xf86misc-dev
Source: x11proto-xf86misc
Version: 0.9.3-2
Installed-Size: 53
Maintainer: Debian X Strike Force 
Architecture: all
Size: 5842
SHA256: af02ea0f2fd7355e0727eeb17dbc50e902ebb2d3dd652afab846f11cc2881f93
SHA1: 78375686a118fc1166311470161eaf392f479329
MD5sum: c0a45cf39e72363b085c6e54ae47c1ea
Description: X11 XFree86-Miscellaneous extension wire protocol
 This package provides development headers describing the wire protocol
 for the XFree86-Misc extension, which provides a means to access input
 device configuration settings specific to the XFree86/Xorg DDX.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86miscproto proto module.
Multi-Arch: foreign
Tag: devel::library, hardware::input, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-xf86misc/x11proto-xf86misc-dev_0.9.3-2_all.deb

Package: x11proto-xf86vidmode-dev
Source: x11proto-xf86vidmode
Version: 2.3.1-2
Installed-Size: 80
Maintainer: Debian X Strike Force 
Architecture: all
Breaks: libxxf86vm-dev (<< 1:1.0.99.1)
Size: 6114
SHA256: 772d65dc9808a00197527a77899df50d4222a859b91cc98da17a178c4d78cc7b
SHA1: 65f606577d0bcd90c685ec6a40218fc669a2a2ee
MD5sum: 4279315d40646d8ff522c5a2088ecdae
Description: X11 Video Mode extension wire protocol
 This package provides development headers describing the wire protocol
 for the XFree86-VidMode extension, which provides access to detailed
 timings of video modes currently in use, and a means to modify them.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86vidmodeproto proto module.
Multi-Arch: foreign
Tag: devel::library, hardware::video, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-xf86vidmode/x11proto-xf86vidmode-dev_2.3.1-2_all.deb

Package: x11proto-xinerama-dev
Source: x11proto-xinerama
Version: 1.2.1-2
Installed-Size: 60
Maintainer: Debian X Strike Force 
Architecture: all
Breaks: libxinerama-dev (<< 2:1.1)
Size: 4938
SHA256: b043b371e81e8e276eefca53dc114947bf984a3a004ef007452c3f74560ce140
SHA1: 49666e2ad09fb37d751d7a27a6b494531e602f69
MD5sum: 5638cd02e60540ccaa796d725afe388f
Description: X11 Xinerama extension wire protocol
 This package provides development headers describing the wire protocol
 for the XINERAMA extension, used to use and manage a multiple-screen
 display.
 The terms 'Xinerama' and 'PanoramiX' are generally interchangeable;
 this package used to be named x11proto-panoramix-dev.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xineramaproto proto module.
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/x11proto-xinerama/x11proto-xinerama-dev_1.2.1-2_all.deb

Package: x11vnc
Version: 0.9.13-1
Architecture: armhf
Maintainer: Fathi Boudra 
Installed-Size: 1964
Depends: openssl, tk, x11vnc-data (= 0.9.13-1), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libjpeg8 (>= 8c), libssl1.0.0 (>= 1.0.0), libvncserver0, libx11-6, libxdamage1 (>= 1:1.1), libxext6, libxfixes3, libxinerama1, libxrandr2, libxtst6, zlib1g (>= 1:1.1.4)
Homepage: http://www.karlrunge.com/x11vnc/
Priority: optional
Section: x11
Filename: pool/main/x/x11vnc/x11vnc_0.9.13-1_armhf.deb
Size: 1044018
SHA256: acd68be715819854ec029258677917305694245154fcb012f9c6212161325bea
SHA1: d6eac0990554e83bbf70c7611dc7f45b8ad830e8
MD5sum: 03c58e34d26af3932a3a60756d549403
Description: VNC server to allow remote access to an existing X session
 x11vnc allows one to view remotely and interact with real X displays (i.e. a
 display corresponding to a physical monitor, keyboard, and mouse) with any
 VNC viewer. It has built-in SSL encryption and authentication, UNIX account
 and password support, server-side scaling, single port HTTPS and VNC, mDNS
 service advertising, and TightVNC and UltraVNC file-transfer.

Package: x11vnc-data
Source: x11vnc
Version: 0.9.13-1
Installed-Size: 637
Maintainer: Fathi Boudra 
Architecture: all
Replaces: x11vnc (<< 0.9.10)
Recommends: x11vnc (>= 0.9.13-1)
Size: 523078
SHA256: 2548e1ee087f1e8ecb1028f4ba24df1a21aaa4ab100d90b6ab323a2bed87ab1a
SHA1: 9acd9d11fa37309f41622d4bf4b009e12dc8b06e
MD5sum: b30bd16e70d459bfe3e718c0be580e4c
Description: data files for x11vnc
 This package contains the data files for x11vnc, a VNC server allowing remote
 access to an existing X session. Unless you have 'x11vnc' package installed,
 you probably won't find this package useful.
Homepage: http://www.karlrunge.com/x11vnc/
Tag: role::app-data
Section: x11
Priority: optional
Filename: pool/main/x/x11vnc/x11vnc-data_0.9.13-1_all.deb

Package: x2
Version: 1.1.0-1
Architecture: armhf
Maintainer: Andrea Veri 
Installed-Size: 114
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libgtksourceview2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), libvte9 (>= 1:0.24.0), libx11-6
Homepage: http://gtk-apps.org/content/show.php?content=145463
Priority: extra
Section: editors
Filename: pool/main/x/x2/x2_1.1.0-1_armhf.deb
Size: 31960
SHA256: 0f17700f80f08d046e357b9ac4c12bed6bf0e767e4619dead6726f11cf7768a4
SHA1: 753ae954377e2f934da7adcef805321dbf959e24
MD5sum: 952f612c577d1fe09fe38d98a963af1e
Description: brand new Text Editor for the GNOME desktop
 X2 is a simple text/programming editor developed in house by Rock
 Computing from a dissatisfaction with existing text editors.
 .
 Main features:
         * Small (~110k)
         * Very light memory usage
         * Syntax highlighting
         * Embedded terminal
         * Find/replace/jump to line
         * Preferences to change various settings, including disabling
           all programming features, or only certain ones.

Package: x264
Version: 2:0.123.2189+git35cf912-1+rpi1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 575
Depends: libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libffms2-2, libgcc1 (>= 1:4.4.0), libswscale2 (>= 5:0.8-2~), libx264-123, zlib1g (>= 1:1.1.4)
Homepage: http://www.videolan.org/developers/x264.html
Priority: optional
Section: graphics
Filename: pool/main/x/x264/x264_0.123.2189+git35cf912-1+rpi1_armhf.deb
Size: 270234
SHA256: 1013dd51ea31ab1bb8d72d301d39f14bf8e174c40ca588a52fb39717509575e4
SHA1: b06599e54b2ff768daa9a0de46c1f3d3f6aa6ea4
MD5sum: 6a7003ccebe7b558a0577e7172cdcd8b
Description: video encoder for the H.264/MPEG-4 AVC standard
 x264 is an advanced commandline encoder for creating H.264 (MPEG-4 AVC)
 video streams.
 .
 x264 supports the following features:
  * CABAC (context-based adaptive binary arithmetic coding) and CAVLC
    (context-based adaptive variable length coding
  * multiple reference frames
  * 16x16, 8x8 and 4x4 intra-predicted macroblocks
  * all P-frame inter-predicted macroblock types
  * B-Inter-predicted macroblock types from 16x16 down to 8x8
  * rate distortion optimization
  * multiple rate control modes (constant quantizer, constant quality, single
    or multipass ABR with the option of VBV)
  * scene cut detection
  * adaptive B-frame placement, with the option of keeping B-frames as
    references / arbitrary frame order
  * 8x8 and 4x4 adaptive spatial transform (high profile)
  * lossless mode (high 4:4:4 profile)
  * custom quantization matrices (high profile)
  * parallel encoding on multiple CPUs
  * interlaced streams

Package: x2goclient
Version: 3.99.2.1-5
Architecture: armhf
Maintainer: X2Go Packaging Team 
Installed-Size: 1745
Depends: libc6 (>= 2.13-28), libcups2 (>= 1.4.0), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.6.1), libssh-4 (>= 0.4.7), libstdc++6 (>= 4.6), libx11-6, libxpm4, openssh-client, nxproxy
Recommends: openssh-server
Suggests: pinentry-x2go
Homepage: http://wiki.x2go.org/
Priority: extra
Section: x11
Filename: pool/main/x/x2goclient/x2goclient_3.99.2.1-5_armhf.deb
Size: 962910
SHA256: a44039b5f3dc190d0de9894a22c5e1c0609d4d326dc6b33f97b333460a2964c8
SHA1: c3a11ea090143251347590175ccf5f3d6039c2be
MD5sum: 05efbf3745c9b24eb7a055de9f784deb
Description: X2Go Client application (Qt4)
 X2Go is a serverbased computing environment with
    - session resuming
    - low bandwidth support
    - LDAP support
    - client-side mass storage mounting support
    - client-side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 x2goclient is a graphical client (Qt4) for the X2Go system.
 You can use it to connect to running sessions and start new sessions.

Package: x2goplugin
Source: x2goclient
Version: 3.99.2.1-5
Architecture: armhf
Maintainer: X2Go Packaging Team 
Installed-Size: 1782
Depends: libc6 (>= 2.13-28), libcups2 (>= 1.4.0), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqtcore4 (>= 4:4.8.0), libqtgui4 (>= 4:4.6.1), libssh-4 (>= 0.4.7), libstdc++6 (>= 4.6), libx11-6, libxpm4, openssh-client, nxproxy
Recommends: openssh-server
Suggests: pinentry-x2go
Homepage: http://wiki.x2go.org/
Priority: extra
Section: x11
Filename: pool/main/x/x2goclient/x2goplugin_3.99.2.1-5_armhf.deb
Size: 952944
SHA256: ea9a63013763c783ed241898a9562f18d3dbcfab0312c848aef8b3b31a690d17
SHA1: a1dd9bdab37db3379f68b1dad9eb184215ca411a
MD5sum: 91085f778178b23769d8593c872c08a2
Description: X2Go Client (Qt4) as browser plugin
 X2Go is a serverbased computing environment with
    - session resuming
    - low bandwidth support
    - LDAP support
    - client-side mass storage mounting support
    - client-side printing support
    - audio support
    - authentication by smartcard and USB stick
 .
 x2goclient is a graphical client (qt4) for the X2Go system.
 You can use it to connect to running sessions and start new sessions.
 .
 This package provides x2goclient as QtBrowser-based Mozilla plugin.

Package: x2vnc
Version: 1.7.2-5
Architecture: armhf
Maintainer: Magnus Holmgren 
Installed-Size: 92
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxinerama1, libxss1
Suggests: vnc4server, xvnc4viewer, tightvncserver, xtightvncviewer
Homepage: http://fredrik.hubbe.net/x2vnc.html
Priority: optional
Section: x11
Filename: pool/main/x/x2vnc/x2vnc_1.7.2-5_armhf.deb
Size: 33686
SHA256: 21f267fd32ef9979fe20ac1c53b71cd1a0b1f9d9f37014d51c99b59f5ca88ffa
SHA1: a4ba8d8e6128ff9bef2348caf2c569a3617dcff6
MD5sum: 7edadd9e0bd7cca84edcee0d8eac409d
Description: Link a VNC and an X display together, simulating a multiheaded display
 This program merges the capabilities of x2x and vncviewer.  It will allow a
 machine with an X display and a machine with a VNC server running on its main
 screen to act as if they were two displays connected to one machine. When you
 move your mouse pointer off the screen in a direction of your choosing, the
 pointer will appear on the other screen instead.

Package: x2x
Version: 1.27.svn.20060501-4
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 69
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxtst6
Homepage: http://freshmeat.net/projects/x2x/
Priority: optional
Section: x11
Filename: pool/main/x/x2x/x2x_1.27.svn.20060501-4_armhf.deb
Size: 22702
SHA256: 91b22a53ad6724ca5d5e3563726cfc113c7241fb4c138ea5f29e05b5b9a0769b
SHA1: 38f7b9684e492ba5377e373f98d973595f46be5c
MD5sum: 99f540318431cacdce201f9a0f282356
Description: Link two X displays together, simulating a multiheaded display
 x2x joins a pair of X displays together, as if they were a single
 multiheaded display.  The pointer can be warped between displays,
 or, depending on how you start x2x, can slide from one display to the
 other when it runs off the edge of the screen.  Keyboard focus also
 moves between displays in the way you'd expect, and the X selection
 propagates around.  At least one of the displays involved (specifically,
 the one being controlled remotely) must support the XTEST extension.
 (The servers shipped by Debian support this.)
 .
 x2x is useful when you have two displays on the same desk, as you can use
 a single keyboard and mouse to control both.  If you want to propagate
 your selections between displays which are not sharing a desk, you might
 be more interested in the package propsel.  Propsel does not link the
 displays' keyboard and mouse, which would be confusing in some cases,
 and can propagate between up to 8 displays, whereas x2x can handle only
 two at a time.

Package: x86dis
Source: libdisasm
Version: 0.23-5
Architecture: armhf
Maintainer: Kees Cook 
Installed-Size: 59
Depends: libc6 (>= 2.7), libdisasm0 (>= 0.23)
Homepage: http://bastard.sourceforge.net/libdisasm.html
Priority: optional
Section: utils
Filename: pool/main/libd/libdisasm/x86dis_0.23-5_armhf.deb
Size: 13226
SHA256: b4ae9b7c402660cf08acb7b142f09d3f0592199d8be569029c36451dc91c931b
SHA1: ce7afb81b4d2d17f5cbcb31b604d5afee51be0a7
MD5sum: 42cf4bddc469d17422df787a0477bc05
Description: Frontend to libdisasm
 Libdisasm is a disassembler for Intel x86-compatible object code. It compiles
 as a shared and static library on Linux, FreeBSD, and Win32 platforms. The
 core disassembly engine is contained in files with the prefix "i386", and is
 shared with the x86 ARCH extension of the bastard disassembler.
 .
 This package contains the x86dis command-line frontend.

Package: xa65
Source: xa
Version: 2.3.5-1
Architecture: armhf
Maintainer: David Weinehall 
Installed-Size: 199
Depends: libc6 (>= 2.4)
Homepage: http://www.floodgap.com/retrotech/xa/
Priority: optional
Section: devel
Filename: pool/main/x/xa/xa65_2.3.5-1_armhf.deb
Size: 100642
SHA256: 7a6bc4454846038d017fd81d9a92510e7782e7c353faaf4c7a0b11224dde5b2f
SHA1: b386ce2f7d3b1cd6a28989e71bb44488cba9934d
MD5sum: d98573b5d553e06a67e80c77d5bf43f8
Description: cross-assembler and utility suite for 65xx/65816 processors
 xa65 is a cross-assembler and utility suite for the 65xx series of
 8-bit processors, and the 65816, a 16-bit version of the processor.
 The 6502 was used in computers such as the Commodore 64, Apple II,
 and many more.

Package: xabacus
Version: 7.6.8-3
Architecture: armhf
Maintainer: Florian Ernst 
Installed-Size: 315
Depends: libaudiofile1 (>= 0.3.4), libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxpm4, libxt6
Conflicts: xabacus
Replaces: xabacus
Provides: xabacus
Homepage: http://www.tux.org/~bagleyd/abacus.html
Priority: optional
Section: games
Filename: pool/main/x/xabacus/xabacus_7.6.8-3_armhf.deb
Size: 126314
SHA256: d246f8ad59ed8c303f3a30040af8d4838c02ef6dc12242964a1afd289f615268
SHA1: 0a2b135984cdf3154b779a6bf44503fe1e3f3903
MD5sum: 59c5c492fc8c1335e6a9ca93d4068b5a
Description: simulation of the ancient calculator (plain X version)
 This program is an implementation of the original abacus, it provides
 the Chinese, Japanese, Korean, Roman and Russian version and can be
 modified to allow others.
 .
 This version was compiled without the Motif GUI widget library and thus
 shows limited user interface functionality. See xmabacus for the
 extended version.

Package: xacobeo
Version: 0.13-2
Architecture: armhf
Maintainer: Debian Perl Group 
Installed-Size: 321
Depends: perl (>= 5.14.2-9), perlapi-5.14.2, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), libfile-basedir-perl, libgtk2-ex-entry-pango-perl, libgtk2-sourceview2-perl, libintl-perl, libxml-libxml-perl
Homepage: http://search.cpan.org/dist/Xacobeo/
Priority: optional
Section: perl
Filename: pool/main/x/xacobeo/xacobeo_0.13-2_armhf.deb
Size: 105156
SHA256: 61f6e90c57a17a3f2a5a229bbfdb804b50dc80f9f5eb58277cb547d08f04150f
SHA1: 83be7818af430bd6b1d1e7c12d06beb13271393c
MD5sum: 8abbadf5a88e2758ad32f4676537f0e9
Description: XPath (XML Path Language) visualizer
 Xacobeo provides a simple graphical user interface (GUI) for executing
 XPath queries and seeing their results.
 .
 The GUI tries to provide all the elements that are needed in order to write,
 test and execute XPath queries without too many troubles. It displays the
 Document Object Model (DOM) and the namespaces used. The program registers the
 namespaces automatically and each element is displayed with its associated
 namespaces. All is performed with the idea of being able of running an XPath
 query as soon as possible without having to fight with the document's
 namespaces and by seeing automatically under which namespace each element is.

Package: xalan
Version: 1.10-6
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 85
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libxalan110 (>= 1.10-3.3), libxerces-c28
Multi-Arch: foreign
Homepage: http://xml.apache.org/xalan-c/index.html
Priority: extra
Section: text
Filename: pool/main/x/xalan/xalan_1.10-6_armhf.deb
Size: 24444
SHA256: ad870ec948d99fbfec08f1f804c26d1f5b720276f384e53bedd5ce532136261b
SHA1: 9bf4650c6e226028f0968b1e2d11499d38380b5b
MD5sum: fe27f51351fe5f5a2784d85b79ea5a44
Description: XSLT processor
 This is the Xalan XSLT processor from the Apache Software Foundation.
 .
 This package provides a command line utility to process XML files
 using an XSLT stylesheet

Package: xaos
Version: 3.5+ds1-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 1705
Depends: libaa1 (>= 1.4p5), libc6 (>= 2.7), libgsl0ldbl (>= 1.9), libpng12-0 (>= 1.2.13-4), libx11-6, dpkg (>= 1.15.4) | install-info
Homepage: http://wmi.math.u-szeged.hu/xaos/
Priority: optional
Section: graphics
Filename: pool/main/x/xaos/xaos_3.5+ds1-1_armhf.deb
Size: 620706
SHA256: 84aa3ef8ec8dffbc8f4c6c8783d8be00ac01742c60ecd2fee3a28b691225e64a
SHA1: 72cd0ee48abf195ece524612ecb2f0fd1c12f049
MD5sum: 42a4bd5580aefe3419f9679b6b2cf373
Description: real-time interactive fractal zoomer
 XaoS allows you to zoom and pan around a fractal in
 real time. It can display the animated fractals in graphical
 or even plain text mode.
 .
 It displays the Mandelbrot set or many other fractals and
 allows you to zoom smoothly into the fractal. Various coloring
 modes are provided for both the points inside and outside the
 selected set. In addition, switching between Mandelbrot and Julia
 fractal types is provided.
 .
 Other features include autopilot mode, palette changing,
 image saving, fractal inversion, filters, and a built in fractal
 tutorial.

Package: xapian-doc
Source: xapian-core
Version: 1.2.12-2+deb7u1
Installed-Size: 5042
Maintainer: Olly Betts 
Architecture: all
Recommends: libxapian-dev (= 1.2.12-2+deb7u1)
Size: 2282942
SHA256: a2857d7126015853c94b29445a8c21e1294c8b49946e34ffb4db62f6d8058e8c
SHA1: 619385e1dec2680399b78c9112e95583fe6180c0
MD5sum: 78717fb9b6935bc5603d536def023267
Description: Core Xapian documentation
 This package contains general documentation about Xapian, and more detailed
 API documentation.
 .
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.
Homepage: http://xapian.org/
Tag: implemented-in::c++, role::documentation, use::searching,
 web::search-engine, works-with::text
Section: doc
Priority: optional
Filename: pool/main/x/xapian-core/xapian-doc_1.2.12-2+deb7u1_all.deb

Package: xapian-examples
Source: xapian-core
Version: 1.2.12-2+deb7u1
Architecture: armhf
Maintainer: Olly Betts 
Installed-Size: 416
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.4.0), libuuid1 (>= 2.16), libxapian22, zlib1g (>= 1:1.1.4)
Recommends: libxapian-dev (= 1.2.12-2+deb7u1)
Homepage: http://xapian.org/
Priority: optional
Section: doc
Filename: pool/main/x/xapian-core/xapian-examples_1.2.12-2+deb7u1_armhf.deb
Size: 389510
SHA256: 2f584eadbc7dca68344baa765be4cb094a3fa4ac636bde7be65f0e36cbc1b4d9
SHA1: 9a5e8ebe1af9b51bda724141b40b8c9d3e2bdd84
MD5sum: f6e3ceb4e8116ae54a1e36e62b6b78c4
Description: Xapian simple example programs
 This package contains source code for some example programs which use the
 Xapian library.  The three "simple" examples are also included in binary
 form; binaries for the other examples can be found in the xapian-tools
 package (since they're useful tools in their own right).
 .
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.

Package: xapian-omega
Version: 1.2.12-1
Architecture: armhf
Maintainer: Olly Betts 
Installed-Size: 688
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmagic1, libpcre3 (>= 8.10), libstdc++6 (>= 4.6), libxapian22 (>= 1.2.12)
Recommends: apache2 | httpd-cgi
Suggests: unzip, antiword, libwpd-tools, unrtf, xpdf-utils, ghostscript, catdoc, libwps-tools, catdvi, djvulibre-bin, rpm, perl, libemail-outlook-message-perl, libhtml-parser-perl
Homepage: http://xapian.org/
Priority: optional
Section: web
Filename: pool/main/x/xapian-omega/xapian-omega_1.2.12-1_armhf.deb
Size: 288004
SHA256: eb6ff9db259c2d5649f37917509cf7e5555d5545e00dff9d621859a7017012ed
SHA1: 313652d39ecb3ecade4f2eff41656d3aed3610ad
MD5sum: 4080f006d4315158dc296d934e02ea5f
Description: CGI search interface and indexers using Xapian
 This package contains:
  - the "omega" CGI application which provides a customisable web interface for
    searching Xapian databases.
  - the "omindex" tool for indexing a directory tree of documents into a Xapian
    database in a form suitable for searching with omega.
  - the "scriptindex" indexer, which takes a simple text input format
    representing documents as a set of fields, together with an "index script"
    file specifying actions to be performed on each field, and indexes the
    documents into a Xapian database.
  - some example scripts for converting data from different sources into a form
    suitable for processing with "scriptindex".

Package: xapian-tools
Source: xapian-core
Version: 1.2.12-2+deb7u1
Architecture: armhf
Maintainer: Olly Betts 
Installed-Size: 620
Depends: libxapian22 (= 1.2.12-2+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libuuid1 (>= 2.16), zlib1g (>= 1:1.1.4)
Homepage: http://xapian.org/
Priority: optional
Section: utils
Filename: pool/main/x/xapian-core/xapian-tools_1.2.12-2+deb7u1_armhf.deb
Size: 465838
SHA256: c419170938d047d6af2bf9db75c5fedc081877a2aa53635b947393fc2fe2496c
SHA1: 03dbe724c5d4d7e15a1b8a0dafc22dd9f7c493c8
MD5sum: af254b152d9d882abda90b41d5f4679d
Description: Basic tools for Xapian search engine library
 This package contains several tools related to Xapian.
  - copydatabase: Copy one or more Xapian databases.
  - delve: Inspect the contents of a Xapian database.
  - quartzcheck: Check the validity of a quartz-format Xapian database.
  - quartzcompact: Compact a quartz database, or merge and compact several.
  - quartzdump: Dump (part of) a quartz-format Xapian database.
  - quest: Command line search of a Xapian database.
  - xapian-check: Check the validity of a Xapian database.
  - xapian-compact: Compact a quartz database, or merge and compact several.
  - xapian-progsrv: stdin/stdout based server, for searching databases remotely.
  - xapian-tcpsrv: TCP based server, used for searching databases remotely.
 .
 The Xapian search engine library is a highly adaptable toolkit which allows
 developers to easily add advanced indexing and search facilities to their own
 applications.  It implements the probabilistic model of information retrieval,
 and provides facilities for performing ranked free-text searches, relevance
 feedback, phrase searching, boolean searching, stemming, and simultaneous
 update and searching.  It is highly scalable, and is capable of working with
 collections containing hundreds of millions of documents.

Package: xapm
Source: apmd
Version: 3.2.2-14
Architecture: armhf
Maintainer: Anibal Monsalve Salazar 
Installed-Size: 69
Depends: libapm1 (>= 3.2.0-7), libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxt6
Conflicts: apmd (<< 3.0.2-1.6)
Homepage: http://alumnit.ca/~apenwarr/apmd/
Priority: optional
Section: x11
Filename: pool/main/a/apmd/xapm_3.2.2-14_armhf.deb
Size: 28568
SHA256: e24af4c85ce960c6a9d553a403b39d3da10235df791e42e906f8473f37266036
SHA1: d8bdce40ece944bf1e37f68b3e268b51bfc221ca
MD5sum: 4748fbeb44a951819da814a058c298fa
Description: X program to monitor APM battery status
 On laptop computers, the Advanced Power Management (APM) support
 provides access to battery status information and may help you to
 conserve battery power, depending on your laptop and the APM
 implementation.
 .
 This package contains xapm(1), an X11 utility that displays the
 status of the battery.

Package: xapt
Source: emdebian-crush
Version: 2.2.19
Installed-Size: 266
Maintainer: Neil Williams 
Architecture: all
Replaces: emdebian-crush (<= 2.2.3), pdebuild-cross (<= 2.2.5.1)
Depends: perl, apt, dpkg-cross, dpkg-dev, libconfig-inifiles-perl, libdpkg-perl, liblocale-gettext-perl
Size: 71008
SHA256: ecbc83838d29ade76727ab8dfad01aa45ed1bbd7394b8a0b12100b3bdff315a5
SHA1: 1cde22eddbe38ea07847f5a789193559a7fd3fee
MD5sum: bf9ee93a67c61b25ad58d11c1dd73a65
Description: retrieve, build and install libraries for cross-compiling
 xapt wraps apt and calls dpkg-cross for the collected
 archives. Downloaded files are passed directly to dpkg-cross.
 .
 embuilddeps can be used to parse debian/control to install the
 native and cross dependencies, using apt and xapt respectively,
 for a particular unpacked source package.
Homepage: http://www.emdebian.org/crush/
Tag: admin::package-management, devel::buildtools, devel::packaging,
 hardware::embedded, implemented-in::perl, interface::commandline,
 protocol::http, role::program, scope::utility, suite::debian,
 use::downloading, works-with::software:package
Section: devel
Priority: optional
Filename: pool/main/e/emdebian-crush/xapt_2.2.19_all.deb

Package: xara-gtk
Source: ara
Version: 1.0.31
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 1040
Depends: liblablgtk2-ocaml-yeug0, ocaml-base-nox-3.12.1
Recommends: sudo, apt
Suggests: a2ps
Conflicts: xara-gtk-byte
Replaces: xara-gtk-byte
Provides: xara-gtk-byte
Homepage: http://ara.alioth.debian.org/
Priority: optional
Section: utils
Filename: pool/main/a/ara/xara-gtk_1.0.31_armhf.deb
Size: 220314
SHA256: 3a3e6a1289f8272376eb91419e048ab538205bfbe0bb487cb0deb03678c87d86
SHA1: 3f3b0dd4d5d99d709e67b880a09400209a93460a
MD5sum: 38651209f44c4e25a1a920271332e514
Description: GTK+ utility for searching the Debian package database
 ara is a utility for searching the Debian package database using
 boolean regexp queries.
 .
 ara can perform sophisticated searches on that database. It is possible
 to use any field of the package database as a search criterion and any
 boolean combination thereof.
 .
 ara can also call APT (or any user-configurable command) to install or
 remove packages matching a query.

Package: xarchiver
Version: 1:0.5.2+20090319+dfsg-4.1
Architecture: armhf
Maintainer: Christian Hübschi 
Installed-Size: 1446
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.14.0), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0)
Recommends: xdg-utils, arj, bzip2, rpm, p7zip-full, unzip, zip
Suggests: lha, rar
Homepage: http://xarchiver.sourceforge.net/
Priority: optional
Section: x11
Filename: pool/main/x/xarchiver/xarchiver_0.5.2+20090319+dfsg-4.1_armhf.deb
Size: 509622
SHA256: 788e61a3a94a9ba6af107068d6ecea7bde4603ad5076390d7e5235ca9680386c
SHA1: ae38a663d45f5f2ddfdafc53371abba1a0ef8dca
MD5sum: 87f5f5b2a3951456425e6265f14b27ac
Description: GTK+ frontend for most used compression formats
 Xarchiver is a Desktop Environment independent GTK+ 2 frontend for manipulating
 7z, arj, bzip2, gzip, rar, tar, zip, and RPM files. It allows you to create
 archives and add, extract, and delete files from them. Password protected
 archives in the arj, 7z, rar, and zip formats are supported.

Package: xarclock
Version: 1.0-13
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 65
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6
Homepage: http://freecode.com/projects/xarclock
Priority: optional
Section: x11
Filename: pool/main/x/xarclock/xarclock_1.0-13_armhf.deb
Size: 16908
SHA256: fea343bfb5cb34da881d7112cc8ea599c715cefc65bb35fd03fc8b8e1525c8e2
SHA1: c7a6625728f5dc1d3c4e9e0a680d22bb13c32eec
MD5sum: 5debf17f33a1405fe0e4e4e5a30d5670
Description: reversed xclock
 If clocks had been invented in Argentina (southern hemisphere), they
 would run counter-clockwise. Down there a sundial runs the other way
 around!
 .
 The program is an extension of the standard X clock widget.  New
 features are: Configurable direction of rotation and font support in
 analog mode.

Package: xastir
Version: 2.0.0-2+rpi1
Architecture: armhf
Maintainer: Debian Hams group 
Installed-Size: 4048
Depends: lesstif2 (>= 1:0.94.4), libax25 (>= 0.0.12-rc2+cvs20120204), libbz2-1.0, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libdb5.1, libfreetype6 (>= 2.2.1), libgdal1 (>= 1.8.0), libgeotiff2, libgomp1 (>= 4.2.1), libgraphicsmagick3 (>= 1.3.5), libice6 (>= 1:1.0.0), libjasper1, libjbig0, libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), libltdl7 (>= 2.4.2), libpcre3 (>= 8.10), libpng12-0 (>= 1.2.13-4), libproj0, libshp1, libsm6, libtiff4 (>> 3.9.5-3~), libwmf0.2-7 (>= 0.2.8.4), libx11-6, libxext6, libxml2 (>= 2.6.27), libxp6, libxt6, zlib1g (>= 1:1.1.4)
Suggests: wget, python, festival, gpsman, gpsmanshp, x11-utils
Homepage: http://www.xastir.org/
Priority: extra
Section: hamradio
Filename: pool/main/x/xastir/xastir_2.0.0-2+rpi1_armhf.deb
Size: 1627678
SHA256: 5cbf5642322672594421f9960a19c07809d8de56e0865ae76818c265e218cb3f
SHA1: ab07aec3b49dcac5d48c8b3b21765a084d8d67b2
MD5sum: 81f79a82ea77e2437bbc072d0e5e3149
Description: X Amateur Station Tracking and Information Reporting
 Xastir is an APRS client for X. APRS is the Automatic Position Reporting
 System, a system where objects report their position (usually obtained from
 GPS) on the air; Xastir displays this information graphically.

Package: xauth
Version: 1:1.0.7-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 83
Depends: libc6 (>= 2.13-28), libx11-6, libxau6, libxext6, libxmuu1
Priority: optional
Section: x11
Filename: pool/main/x/xauth/xauth_1.0.7-1_armhf.deb
Size: 34934
SHA256: 7e2cbce7c2bee011985e2de166a9df52da79feae76ca9040056119ecac955adc
SHA1: 9eea659c1eb9a67dcf005f0be2379318db7b1e1b
MD5sum: b04fbd8c73be8d5b9329870e7525a58e
Description: X authentication utility
 xauth is a small utility to read and manipulate Xauthority files, which
 are used by servers and clients alike to control authentication and access
 to X sessions.

Package: xautolock
Version: 1:2.2-3
Architecture: armhf
Maintainer: Roland Stigge 
Installed-Size: 78
Depends: libc6 (>= 2.4), libx11-6, libxext6, libxss1
Recommends: xlockmore | xtrlock | xscreensaver
Priority: optional
Section: x11
Filename: pool/main/x/xautolock/xautolock_2.2-3_armhf.deb
Size: 31172
SHA256: 3fc12bebdef1e9fc69dec1887b592cd8fb773e6cbb602872d010cf3301124ab1
SHA1: fe8542190dc335096b41716b782e8b1dcd8bc4c4
MD5sum: e4e032696f1c80e15d2e75c8303daf33
Description: Program launcher for idle X sessions
 Xautolock monitors input devices under the X Window System, and launches a
 program of your choice if there is no activity after a user-configurable
 period of time.  You can use this to automatically start up a screen locker
 if you have left your computer unattended for some period of time.  The
 program launched need not be a screen locker such as xlock.

Package: xautomation
Version: 1.03-1.1
Architecture: armhf
Maintainer: Kapil Hari Paranjape 
Installed-Size: 156
Depends: libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4), libx11-6, libxtst6
Homepage: http://hoopajoo.net/projects/xautomation.html
Priority: extra
Section: x11
Filename: pool/main/x/xautomation/xautomation_1.03-1.1_armhf.deb
Size: 42168
SHA256: 88d69a07ddf09879a237be37186c095c21375aee09e5d8165c0dbed4e7516346
SHA1: b8a1ec013258b4eefed27c214f8fb7ebe1fb0c27
MD5sum: 44833bda1a89a17c6fa35f134aa3e056
Description: Control X from the command line, and find things on the screen
 Control X from the command line for scripts, and do "visual scraping" to
 find things on the screen. The control interface allows mouse movement,
 clicking, button up/down, key up/down, etc, and uses the XTest extension so
 you don't have the annoying problems that xse has when apps ignore sent
 events. The visgrep program find images inside of images and reports the
 coordinates, allowing programs to find buttons, etc, on the screen to click
 on.

Package: xavante
Version: 2.2.1-1
Installed-Size: 113
Maintainer: Enrico Tassi 
Architecture: all
Depends: lua5.1-socket, lua5.1-copas, lua5.1-coxpcall, lua5.1-filesystem, lua-copas (>= 1.1.6), lua-filesystem (>= 1.5.0)
Recommends: lua5.1-wsapi, lua5.1-cgi
Size: 19442
SHA256: 8be0dbd5ed823e91c84e24d577c20933f85ce67af868721f65e89536c4e3c8a5
SHA1: af98e16c271eb18c7ebace90575bb9613fef7bc9
MD5sum: 27f20d307901f258d38f34bcc320ba94
Description: Lua HTTP 1.1 Web server
 This package contains xavante, a Lua HTTP 1.1 Web server that uses a modular
 architecture based on URI mapped handlers. Xavante currently offers a file
 handler, a redirect handler and a CGILua handler. Those are used for general
 files, URI remapping and CGILua scripts respectively.
 .
 The CGILua handler is considered optional thus xavante just recommends the
 packages needed for that handler.
Homepage: http://keplerproject.github.com/xavante/
Tag: devel::web, implemented-in::lua, network::server, protocol::http,
 role::program
Section: net
Priority: optional
Filename: pool/main/x/xavante/xavante_2.2.1-1_all.deb

Package: xavante-doc
Source: xavante
Version: 2.2.1-1
Installed-Size: 96
Maintainer: Enrico Tassi 
Architecture: all
Recommends: xavante
Size: 23320
SHA256: 6894565ee94f2eafc6b6f2ee13738cca058ff177b6ba85a02888ee4fdef00ee0
SHA1: b0ffe255841f86b0d4f8bda49e800368833a1aa8
MD5sum: b9840ab293f97a5930be83444ea1f6ec
Description: Documentation files for the Xavante web server
 This package contains the documentation for the Xavante web server.
Homepage: http://keplerproject.github.com/xavante/
Tag: made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/x/xavante/xavante-doc_2.2.1-1_all.deb

Package: xaw3dg
Source: xaw3d
Version: 1.5+E-18.2
Architecture: armhf
Maintainer: Francesco Paolo Lovergine 
Installed-Size: 343
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxmu6, libxpm4, libxt6
Conflicts: axe (<< 6.1.2-2), gv (<< 1:3.5.8-30.1), xaw3d (<= 1.3-6), xfig (<< 1:3.2.4-rel-9)
Multi-Arch: same
Priority: optional
Section: x11
Filename: pool/main/x/xaw3d/xaw3dg_1.5+E-18.2_armhf.deb
Size: 153194
SHA256: 450bfd7af7a22a23b60f66566a57bf952f7fb6be67b0990fae3b93b70b8d8974
SHA1: ef06ba83cdbd6fdeee38b1901de3c9e4dc55c221
MD5sum: 22d15500ee9eebe394df80ba70ba135e
Description: Xaw3d widget set
 Xaw3d is a set of 3-D widgets based on the Athena Widget set, which
 adds a three dimensional appearance on some of the widgets of X11
 applications linked with this library.
 .
 Please note that this package no longer adds a three dimensional
 appearance on applications dynamically linked against libXaw.

Package: xaw3dg-dev
Source: xaw3d
Version: 1.5+E-18.2
Architecture: armhf
Maintainer: Francesco Paolo Lovergine 
Installed-Size: 759
Depends: xaw3dg (= 1.5+E-18.2), xutils-dev, libx11-dev, libxmu-dev, libxpm-dev, libxt-dev, x11proto-core-dev, x11proto-xext-dev
Conflicts: xaw3d-dev
Replaces: xaw3dg (<< 1.5+E-16)
Multi-Arch: same
Priority: optional
Section: devel
Filename: pool/main/x/xaw3d/xaw3dg-dev_1.5+E-18.2_armhf.deb
Size: 218848
SHA256: 9c7882c3db068536d6fb8479fc9dac3f5d2e163c635567a590d04eacd46abafe
SHA1: f7249a0254ebddb03a1bc4746891cd2a37f73be9
MD5sum: b31e6d27a645d2b696c08918f729d6f7
Description: Xaw3d widget set development package
 Xaw3d is a set of 3-D widgets based on the R6.1 Athena Widget set, which
 adds a three dimensional appearance on some of the widgets of X11
 applications linked with this library.
 .
 This is the development package (i.e. include files and static library)
 of the xaw3dg library.

Package: xawtv
Version: 3.102-3
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 761
Depends: lesstif2 (>= 1:0.94.4), libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), liblircclient0, libncurses5 (>= 5.5-5~), libpng12-0 (>= 1.2.13-4), libsm6, libtinfo5, libx11-6, libxaw7, libxext6, libxft2 (>> 2.1.1), libxinerama1, libxmu6, libxp6, libxpm4, libxrandr2, libxrender1, libxt6, libxv1, libxxf86dga1, libxxf86vm1, libzvbi0 (>= 0.2.11), zlib1g (>= 1:1.1.4), debconf (>= 0.5) | debconf-2.0, v4l-conf, x11-utils, scantv, xawtv-plugins (= 3.102-3), pia
Suggests: xawtv-plugin-qt (= 3.102-3), tv-fonts
Priority: extra
Section: video
Filename: pool/main/x/xawtv/xawtv_3.102-3_armhf.deb
Size: 368972
SHA256: 887ae4365c2ea3dd6aef327878311ff229bae104de7488f96d9d659f14fbab16
SHA1: 6fb7bf982e8f8c64505389a3f6ec2766d73c452f
MD5sum: 4df8d2a6fb52d6e0008167760d9271c8
Description: television viewer - X11 application
 XawTV is an X11 application which displays television channels. It
 supports video4linux devices and the Xvideo extension.

Package: xawtv-plugin-qt
Source: xawtv
Version: 3.102-3
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 93
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libquicktime2 (>= 2:1.2.2)
Priority: extra
Section: video
Filename: pool/main/x/xawtv/xawtv-plugin-qt_3.102-3_armhf.deb
Size: 42568
SHA256: 71441f62137d23239b12b20ca0b7509759f8d9bee2d025ae77f88dba5c27a65b
SHA1: 3f92c4cf18e6079a3e1b53b2f8d4db23fbdd2a44
MD5sum: ec88163d74c872f5901c787767e487b9
Description: television viewer - QuickTime plugin
 This package provides a plugin to record QuickTime movies with
 xawtv and motv.

Package: xawtv-plugins
Source: xawtv
Version: 3.102-3
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 340
Depends: libc6 (>= 2.13-28), libdv4, libexplain30, libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libv4l-0 (>= 0.5.0)
Priority: extra
Section: video
Filename: pool/main/x/xawtv/xawtv-plugins_3.102-3_armhf.deb
Size: 108828
SHA256: 05c3c4177ede3289306d847aef1aecc263394dd0e1d1c95dab40c8aa35b1c4e7
SHA1: 62c378e7648d6bf0b0ef537a0f27a74478242a7e
MD5sum: 157da4017ce09e9c8d5d7982f27efd20
Description: television viewer - plugins
 This package provides plugins for xawtv and motv: driver interfaces for
 hardware access (video4linux API, etc.), plugins for reading/writing
 movie files and some image processing filters.

Package: xawtv-tools
Source: xawtv
Version: 3.102-3
Architecture: armhf
Maintainer: Krzysztof Burghardt 
Installed-Size: 117
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libncurses5 (>= 5.5-5~), libsm6, libtinfo5, libx11-6, libxaw7, libxext6, libxft2 (>> 2.1.1), libxinerama1, libxmu6, libxpm4, libxrandr2, libxrender1, libxt6, libxv1, libxxf86dga1, libxxf86vm1
Priority: extra
Section: video
Filename: pool/main/x/xawtv/xawtv-tools_3.102-3_armhf.deb
Size: 57734
SHA256: ee7ea535d705610755ad772021a832d66ae96a12063061d338f14d95b4f814e4
SHA1: a384f1a36d9f7bf24630fd09bc22a8facd97ac58
MD5sum: f358d535e6099fc806e0736100b8ec7a
Description: television viewer - tools
 This package provides some tools that may be useful with xawtv:
  - propwatch:    monitors properties of X11 windows. Helps to
                  keep track of xawtv's _XAWTV_STATION property;
  - dump-mixers:  dumps mixer settings to stdout;
  - record:       console sound recorder. It has a simple input
                  level meter which might be useful for
                  troubleshooting sound problems;
  - showriff:     display the structure of RIFF files (avi, wav).

Package: xbacklight
Version: 1.1.2-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 50
Depends: libc6 (>= 2.4), libx11-6, libxrandr2 (>= 2:1.2.0)
Priority: optional
Section: x11
Filename: pool/main/x/xbacklight/xbacklight_1.1.2-1_armhf.deb
Size: 10980
SHA256: 48c7f5f84493d2c9a1b2e5db140e3afdc11dc1b14bab7eecceb28f3cc2aac86a
SHA1: c8e03cc507610da7f5cf28218f1b29c7abd3a9e7
MD5sum: 5ab30c0738648b04df7c44018037cd06
Description: simple utility to set the backlight level
 xbacklight is a simple command-line utility to set the backlight level
 using the RandR 1.2 Backlight output property.

Package: xball
Version: 3.0.1-1.1
Architecture: armhf
Maintainer: Stephen Birch 
Installed-Size: 123
Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libx11-6, libxmu6, libxt6, menu
Priority: optional
Section: games
Filename: pool/main/x/xball/xball_3.0.1-1.1_armhf.deb
Size: 34388
SHA256: 6c601caa113961e57b7f217077a0f7e62a7d471636b3c35797198dded738564d
SHA1: 3ed1015497f6d367b051b14d9a8e15b5b6ee05a3
MD5sum: 5fb04c9b1226f8803b39bd982eb1e1e9
Description: Simulate bouncing balls in a window
 A simple educational game that simulates bouncing balls in a window. Gravity,
 elasticity, and ability to collide can all be adjusted.
 .
 Young children enjoy watching the balls bounce around the screen and are
 fascinated by the program. This is an ideal program for teaching rudimentary
 mouse skills to very young children.

Package: xbase-clients
Source: xorg
Version: 1:7.7+3~deb7u1
Installed-Size: 62
Maintainer: Debian X Strike Force 
Architecture: all
Depends: x11-apps, x11-session-utils, x11-utils, x11-xfs-utils, x11-xkb-utils, x11-xserver-utils, xauth, xinit
Size: 36280
SHA256: f41720dd5206ab2500124f41eb9f6664ee7f3be442e3e5cdca81433f03facb85
SHA1: 60a94bd96b63dc34495ed0b289e52d911deb0bd0
MD5sum: 0fe3648f0c548324c402751b411a72d6
Description: miscellaneous X clients - metapackage
 An X client is a program that interfaces with an X server (almost always via
 the X libraries), and thus with some input and output hardware like a
 graphics card, monitor, keyboard, and pointing device (such as a mouse).
 .
 This package provides a miscellaneous assortment of several dozen X clients
 that ship with the X Window System.
 .
 This package is provided for transition from earlier Debian releases, the
 programs formerly in xutils and xbase-clients having been split out in smaller
 packages.
Tag: role::metapackage
Section: x11
Priority: optional
Filename: pool/main/x/xorg/xbase-clients_7.7+3~deb7u1_all.deb

Package: xbattbar
Version: 1.4.3-1
Architecture: armhf
Maintainer: Dmitry E. Oboukhov 
Installed-Size: 76
Depends: libc6 (>= 2.7), libx11-6
Recommends: acpi
Priority: optional
Section: x11
Filename: pool/main/x/xbattbar/xbattbar_1.4.3-1_armhf.deb
Size: 15164
SHA256: ffa1fbe49a46507382f17176040de12bcf1e713c8e654c85005233bfecf03a77
SHA1: 63afe73ef33e3432cddaf6e1d66df42fbedbc892
MD5sum: 182f1b474166efde2f27cd246e4d8423
Description: Display battery status in X11
 Xbattbar displays the current (laptop) battery status in the X window
 environment. Battery status is obtained through the APM interface.
 .
 The battery indicator of this program is very simple: a simple bar
 at the top/bottom of your display. With its color, it indicates the
 AC-line status (plugged in or off-line), and battery charging/remaining
 level. Also, if the mouse cursor enters the indicator window, a popup
 diagnosis window comes up in the center of your display showing the
 current AC line status and battery level.
 .
 This package supports ACPI and APM checks for battery status.

Package: xbattle
Version: 5.4.1-15
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 255
Depends: libc6 (>= 2.4), libx11-6
Priority: optional
Section: games
Filename: pool/main/x/xbattle/xbattle_5.4.1-15_armhf.deb
Size: 117754
SHA256: bf400e119bc44980362b5e6fc37ae208a41e2df43756301b087aa0e31b7733e1
SHA1: 89d27a8bef3cbee2d02ad74443be097c95cf92ec
MD5sum: 941359fef9bc79969bcca26ac59e3f58
Description: Concurrent multi-player battle strategy game
 xbattle is a concurrent multi-player game which combines elements of
 strategy with arcade-like action to capture a wide range of military
 scenarios.  Opponents play from separate displays, with commands being
 executed concurrently -- the players do not take "turns", but rather they
 all issue their commands simultaneously.  There can be any number of
 players, with each player assigned to a specific team, indicated by marker
 colors.  The game board is a matrix of cells (square, hexes, etc.) that can
 be occupied by colored troops, with the goal of the game being to eliminate
 the enemy from the board by attacking cells occupied by enemy troops.  A
 wide variety of command line options (and previously configured game files)
 provide an abundance of different scenarios and gaming environments.

Package: xbill
Version: 2.1-8
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 267
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxmu6, libxpm4, libxt6
Homepage: http://www.xbill.org/
Priority: extra
Section: games
Filename: pool/main/x/xbill/xbill_2.1-8_armhf.deb
Size: 55792
SHA256: 3b238e3549080f805ba0196cab8523f675a2c11c4fd2d15d67ff98e2174c757a
SHA1: 52ab965ea71592cb0b1c1db8558fe2b258242213
MD5sum: 98d11071a813fa50ea77ceb23b10f885
Description: Get rid of those Wingdows Viruses!
 Ever get the feeling that nothing is going right?  You're a sysadmin, and
 someone's trying to destroy your computers.  The little people running
 around the screen are trying to infect your computers with Wingdows [TM],
 a virus cleverly designed to resemble a popular operating system.  Your
 objective is to click the mouse on them, ending their potential threat.
 If one of the people reaches a computer, it will attempt to replace your
 operating system with the virus it carries. It will then attempt to run off
 the screen with your vital software.

Package: xbindkeys
Version: 1.8.5-1
Architecture: armhf
Maintainer: Joerg Jaspert 
Installed-Size: 123
Depends: guile-1.8-libs, libc6 (>= 2.13-28), libx11-6
Suggests: wish, xbindkeys-config
Homepage: http://www.nongnu.org/xbindkeys/
Priority: optional
Section: x11
Filename: pool/main/x/xbindkeys/xbindkeys_1.8.5-1_armhf.deb
Size: 39208
SHA256: 2e7b850448a11cfbe40b17482a0087366c4b49a153a5a0c9fa946c49102d4616
SHA1: a77ae8c50b5dd1d6414c7a43bde5501310b55b07
MD5sum: 71c66a39de379c9fbdbaf3f61894efdd
Description: Associate a combination of keys or mouse buttons with a shell command
 xbindkeys is a program that allows you to launch shell commands with
 your keyboard or your mouse under the X Window System.
 It links commands to keys or mouse buttons, using a configuration file.
 It's independent of the window manager and can capture all keyboard keys
 (ex: Power, Wake...).
 .
 It optionally supports a guile-based configuration file layout, which enables
 you to access all xbindkeys internals, so you can have key combinations,
 double clicks or timed double clicks take actions. Also all functions that work
 in guile will work for xbindkeys.

Package: xbindkeys-config
Version: 0.1.3-2
Architecture: armhf
Maintainer: Joerg Jaspert 
Installed-Size: 78
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), xbindkeys
Priority: optional
Section: x11
Filename: pool/main/x/xbindkeys-config/xbindkeys-config_0.1.3-2_armhf.deb
Size: 19728
SHA256: 40a8ccda5d9bdf05195137606d011266023d95a3ba4b6a5b8dd5ca911e307101
SHA1: bca79afc7ceea528104ea000acbb59bebdc5ab28
MD5sum: 96d4d9089f52cbd9db4e988ad79a9761
Description: an easy to use gtk program for configuring Xbindkeys
 GTK+ Configure program for xbindkeys.

Package: xbitmaps
Version: 1.1.1-1
Installed-Size: 476
Maintainer: Debian X Strike Force 
Architecture: all
Size: 31836
SHA256: 84be5013255ee0e94081885767ea9b380520368595fdd10dad1e8d9e7bb63bb6
SHA1: 895d6252b64108ae4ed7a1e9e1fa33beccbc8929
MD5sum: e609c04222a238bc36376298c9a31d7b
Description: Base X bitmaps
 This package contains the base X bitmaps, which are used in many legacy X
 clients.
Tag: made-of::icons, role::app-data, x11::theme
Section: x11
Priority: optional
Filename: pool/main/x/xbitmaps/xbitmaps_1.1.1-1_all.deb

Package: xblast
Source: xblast-tnt (2.10.4-3)
Version: 1:2.10.4-3
Installed-Size: 20
Maintainer: Gerfried Fuchs 
Architecture: all
Depends: xblast-tnt
Size: 808
SHA256: 9a3f1f304df3f20015d6decbb862b6dd8b413dc013752f24f8877aa624cb6237
SHA1: 46514e09ed06ad4010a21c120e64fab8a877bc9a
MD5sum: 82cff7f9893ced9afc5a40cd5a499487
Description: game inspired by Dynablaster (dummy upgrade package)
 This dummy package is only there to tell you that the xblast package got
 obsoleted by the xblast-tnt branch of development and help you with an easy
 upgrade.  You can safely remove this package after the upgrade.
Tag: game::arcade, junior::arcade, role::dummy, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/x/xblast-tnt/xblast_2.10.4-3_all.deb

Package: xblast-tnt
Version: 2.10.4-3
Architecture: armhf
Maintainer: Gerfried Fuchs 
Installed-Size: 1373
Depends: libc6 (>= 2.7), libx11-6, xblast-tnt-images, xblast-tnt-models
Recommends: xblast-tnt-levels
Suggests: xblast-tnt-sounds, xblast-tnt-musics
Conflicts: xblast (<= 1:2.6.1-12), xblast-tnt-mini (<= 2.10.2-5)
Replaces: xblast (<= 1:2.6.1-12), xblast-tnt-mini (<= 2.10.2-5)
Provides: xblast, xblast-tnt-mini
Priority: optional
Section: games
Filename: pool/main/x/xblast-tnt/xblast-tnt_2.10.4-3_armhf.deb
Size: 633316
SHA256: 2ad2c65ee895df3e7d4a69d1efcc1329a2da4a104f02307f910ef8f16caeeae8
SHA1: 66d0f224235895b66a30de3085bd0ab244d0ac02
MD5sum: a0bd660bfaa5ac27372749cf34a04ee3
Description: multiplayer blast-the-others game inspired by Dynablaster
 XBlast is a multi-player arcade game inspired by the video/computer game
 Bomberman (Dynablaster).
 .
 Features:
   - 2 to 6 players
   - 1 or 2 players per X-Server (or up to 6 with joysticks)
   - about 1000 levels
   - about 20 player models
   - PoV-Ray rendered color graphics
   - central server support with statistics
 .
 If you want to host games you need to install the xblast-tnt-levels package,
 and you might want to install xblast-tnt-sounds for sound support. The
 xblast-tnt-musics package contains background musics for the game, if you
 like.

Package: xblast-tnt-images
Version: 20050106-2
Installed-Size: 3804
Maintainer: Gerfried Fuchs 
Architecture: all
Size: 874948
SHA256: 8f6d46223859f110e691bea3dbeaf1f8c1d60b4fb0fe6f8425034677b1e304d4
SHA1: 38762c36ffbf80fa8efe6599dbf45769642cb004
MD5sum: bf6c754eb7804ad0a585cfb7f0c02353
Description: image files for xblast-tnt
 XBlast is a multi-player arcade game inspired by the video/computer game
 Bomberman (Dynablaster). This package contains the image files needed for
 the game; both for the regular version and the mini version.
Tag: game::arcade, interface::x11, role::app-data, use::gameplaying,
 x11::application
Section: games
Priority: optional
Filename: pool/main/x/xblast-tnt-images/xblast-tnt-images_20050106-2_all.deb

Package: xblast-tnt-levels
Version: 20050106-2
Installed-Size: 4836
Maintainer: Gerfried Fuchs 
Architecture: all
Enhances: xblast-tnt
Size: 320784
SHA256: a110dec90390cd7e9dff56dad1b2a28c71b01b7f48c817f35b60b20e5f5a9fcc
SHA1: 93c5508b96fedef24079c06882c9acb1d8d78bc1
MD5sum: 4bfb67ae1ff34d960eb9e2a5d8916a04
Description: level files for xblast-tnt
 XBlast is a multi-player arcade game inspired by the video/computer game
 Bomberman (Dynablaster). This package contains the level files which you
 need when you want to host games. If you just want to join other people's
 games you don't need this package.
Tag: game::arcade, interface::x11, role::app-data, use::gameplaying,
 x11::application
Section: games
Priority: optional
Filename: pool/main/x/xblast-tnt-levels/xblast-tnt-levels_20050106-2_all.deb

Package: xblast-tnt-mini
Source: xblast-tnt
Version: 2.10.4-3
Installed-Size: 20
Maintainer: Gerfried Fuchs 
Architecture: all
Depends: xblast-tnt (>= 2.10.4-1)
Size: 830
SHA256: 707b35cac6db5e838774b22571a4f7ba5ede8064db1bca282bb3bc8b54935ef5
SHA1: 3c816d80ed43ae8c14a3d10269ed3a1e8f762176
MD5sum: 3c5d343ec87670a97979065c7759ccc8
Description: game inspired by Dynablaster (dummy upgrade package)
 This dummy package is only there to tell you that the xblast-tnt-mini program
 formerly included in this package got incorporated into the xblast-tnt package
 itself and help you with an easy upgrade.  You can safely remove this package
 after the upgrade.
Tag: game::arcade, role::dummy, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/x/xblast-tnt/xblast-tnt-mini_2.10.4-3_all.deb

Package: xblast-tnt-models
Version: 20050106-3
Installed-Size: 8868
Maintainer: Gerfried Fuchs 
Architecture: all
Size: 1734806
SHA256: 10e695f698ed0a6b174d33d8dd6264b2ee93715c71e069cde3e5e7d07028bb79
SHA1: fb768bbe01edf804f67ad6068cee1b2522898b1a
MD5sum: 37cbc6ed0285368db8739a62ca96d0a6
Description: player models for xblast-tnt
 XBlast is a multi-player arcade game inspired by the video/computer game
 Bomberman (Dynablaster). This package contains the player models needed for
 the game; both for the regular version and the mini version.
Homepage: http://xblast.sf.net/
Tag: game::arcade, interface::x11, role::app-data, use::gameplaying,
 x11::application
Section: games
Priority: optional
Filename: pool/main/x/xblast-tnt-models/xblast-tnt-models_20050106-3_all.deb

Package: xblast-tnt-musics
Version: 20050106-2
Installed-Size: 8460
Maintainer: Gerfried Fuchs 
Architecture: all
Enhances: xblast-tnt
Size: 5313394
SHA256: b44061ac8a5f77a54baf5b29229b7c9f75e141abf376e39624daa49aabe3eff8
SHA1: 63fce3518e5bb6227d81a78cafa1469710914894
MD5sum: b1503dc16c77eb891cdbe1255b4e8fc3
Description: music files for xblast-tnt
 XBlast is a multi-player arcade game inspired by the video/computer game
 Bomberman (Dynablaster).  This package contains the music files.  You only
 need them if you want to listen to background musics during the game.
Tag: game::arcade, interface::x11, role::app-data, use::gameplaying,
 x11::application
Section: games
Priority: optional
Filename: pool/main/x/xblast-tnt-musics/xblast-tnt-musics_20050106-2_all.deb

Package: xblast-tnt-sounds
Version: 20040429-2
Installed-Size: 2796
Maintainer: Gerfried Fuchs 
Architecture: all
Enhances: xblast-tnt
Size: 1602390
SHA256: 52c3908e67899cade547a8c4446486d59c28d6b1d2237e35885de75c9e00fea2
SHA1: f211840d272f0168b298670e7a88b23942ecba55
MD5sum: 5caa721476134fe2dba2ad1ec126aa94
Description: sound files for xblast-tnt
 XBlast is a multi-player arcade game inspired by the video/computer game
 Bomberman (Dynablaster). This package contains the sound files. You only
 need them if you want to listen to sound effects during the game.
Tag: game::arcade, interface::x11, role::app-data, use::gameplaying,
 x11::application
Section: games
Priority: optional
Filename: pool/main/x/xblast-tnt-sounds/xblast-tnt-sounds_20040429-2_all.deb

Package: xbmc
Version: 2:11.0~git20120510.82388d5-1+deb7u1
Installed-Size: 36908
Maintainer: Team XBMC 
Architecture: all
Replaces: xbmc-data, xbmc-skin-confluence, xbmc-standalone
Provides: xbmc-data, xbmc-skin-confluence, xbmc-standalone
Depends: xbmc-bin (>= 2:11.0~git20120510.82388d5-1+deb7u1), xbmc-bin (<< 2:11.0~git20120510.82388d5-1+deb7u1.1~), mesa-utils, x11-utils, ttf-liberation, ttf-dejavu-core, python-imaging, python, python-support (>= 0.90.0)
Breaks: xbmc-data (<< 2:11.0~git20111222.22ad8e4), xbmc-skin-confluence (<< 2:11.0~git20111222.22ad8e4), xbmc-standalone (<< 2:11.0~git20111222.22ad8e4)
Size: 25913954
SHA256: 451b2d24caea887f277921f03e0c73d8f6271be40b13515799939bbc1dc3f5af
SHA1: 3a5407281ff896c0688e7bc4323b26df7699103b
MD5sum: a11cee7a761155ea15f0f0d9b33acd09
Description: XBMC Media Center (arch-independent data package)
 XBMC, recursive acronym for "XBMC Media Center", is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. XBMC is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, XBMC is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to XBMC, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While XBMC functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, XBMC feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package contains all the archiecture independent data needed to have a
 working XBMC.
Homepage: http://xbmc.org/
Recommends: python-qt3
Section: video
Priority: optional
Filename: pool/main/x/xbmc/xbmc_11.0~git20120510.82388d5-1+deb7u1_all.deb

Package: xbmc-data
Source: xbmc
Version: 2:11.0~git20120510.82388d5-1+deb7u1
Installed-Size: 175
Maintainer: Team XBMC 
Architecture: all
Size: 33250
SHA256: e8a1d7d9327cd4bcfc600071893c9f1177876e04092215e56d7fe24625fe705f
SHA1: cee1dd9eb2521996aac23d18e4d13e93689cdda8
MD5sum: c2dff25671cba9f831983aa467f855cb
Description: XBMC Media Center (transitional package)
 XBMC, recursive acronym for "XBMC Media Center", is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. XBMC is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, XBMC is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to XBMC, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While XBMC functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, XBMC feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This is a dummy transitional package. It may be safely removed.
Homepage: http://xbmc.org/
Section: oldlibs
Priority: extra
Filename: pool/main/x/xbmc/xbmc-data_11.0~git20120510.82388d5-1+deb7u1_all.deb

Package: xbmc-eventclients-common
Source: xbmc
Version: 2:11.0~git20120510.82388d5-1+deb7u1
Installed-Size: 269
Maintainer: Team XBMC 
Architecture: all
Depends: python, python-support (>= 0.90.0)
Size: 58260
SHA256: 4dcbc80a7be5f0f4330f26d015cdbc541e311d6c7df8f534fde933ae2a096b8c
SHA1: c667345c9466c95e88c702b9d4bfa8221ca90756
MD5sum: f525ba2207e6fda4ba19c44f420b428e
Description: XBMC Media Center (Event Client Common package)
 XBMC, recursive acronym for "XBMC Media Center", is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. XBMC is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, XBMC is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to XBMC, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While XBMC functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, XBMC feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package is the common package for XBMC Event Client.
Homepage: http://xbmc.org/
Section: video
Priority: optional
Filename: pool/main/x/xbmc/xbmc-eventclients-common_11.0~git20120510.82388d5-1+deb7u1_all.deb

Package: xbmc-eventclients-dev
Source: xbmc
Version: 2:11.0~git20120510.82388d5-1+deb7u1
Installed-Size: 243
Maintainer: Team XBMC 
Architecture: all
Depends: xbmc-eventclients-common (= 2:11.0~git20120510.82388d5-1+deb7u1)
Size: 42760
SHA256: f60dbfda46488cce3f5b6039496993d29772da2defaf671e079c3d75d5ec62e7
SHA1: 6a0f816094098cb6f0becb5785fad1b8e015646a
MD5sum: 96037556610d71a2aa740c12b164b356
Description: XBMC Media Center (Event Client Dev package)
 XBMC, recursive acronym for "XBMC Media Center", is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. XBMC is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, XBMC is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to XBMC, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While XBMC functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, XBMC feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This is the Development package for XBMC Event Client.
Homepage: http://xbmc.org/
Section: libdevel
Priority: optional
Filename: pool/main/x/xbmc/xbmc-eventclients-dev_11.0~git20120510.82388d5-1+deb7u1_all.deb

Package: xbmc-eventclients-j2me
Source: xbmc
Version: 2:11.0~git20120510.82388d5-1+deb7u1
Installed-Size: 193
Maintainer: Team XBMC 
Architecture: all
Depends: xbmc-eventclients-common (= 2:11.0~git20120510.82388d5-1+deb7u1), python, python-bluez | python-lightblue
Size: 35564
SHA256: 7f60e99177d8ed5a9d51250413c87787bae67de1a6db3d57d9d479e557844711
SHA1: b2266b21d6ed1655280cf3fd7239567777461abd
MD5sum: 3ccd337b81ddce4af037d5fc41933f0a
Description: XBMC Media Center (Event Client J2ME package)
 XBMC, recursive acronym for "XBMC Media Center", is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. XBMC is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, XBMC is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to XBMC, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While XBMC functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, XBMC feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package is the J2ME package for XBMC Event Client. This is meant to
 provide a server that communicate with a mobile tool supporting Java ME.
Homepage: http://xbmc.org/
Section: video
Priority: optional
Filename: pool/main/x/xbmc/xbmc-eventclients-j2me_11.0~git20120510.82388d5-1+deb7u1_all.deb

Package: xbmc-eventclients-ps3
Source: xbmc
Version: 2:11.0~git20120510.82388d5-1+deb7u1
Installed-Size: 194
Maintainer: Team XBMC 
Architecture: all
Depends: xbmc-eventclients-common (= 2:11.0~git20120510.82388d5-1+deb7u1), python-bluez | python-lightblue, python
Size: 36042
SHA256: 2238a2080e8b227abb0905485e37cb6aa68e48fe3f0e55fc0d42cf7b2d382b0e
SHA1: de6aef68f116262dcd4acda166f3ab9237ea9880
MD5sum: 917d8388e44eefe935958dfc1f741982
Description: XBMC Media Center (Event Client PS3 package)
 XBMC, recursive acronym for "XBMC Media Center", is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. XBMC is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, XBMC is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to XBMC, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While XBMC functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, XBMC feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package is the PS3 package for XBMC Event Client.
Homepage: http://xbmc.org/
Section: video
Priority: optional
Filename: pool/main/x/xbmc/xbmc-eventclients-ps3_11.0~git20120510.82388d5-1+deb7u1_all.deb

Package: xbmc-eventclients-xbmc-send
Source: xbmc
Version: 2:11.0~git20120510.82388d5-1+deb7u1
Installed-Size: 190
Maintainer: Team XBMC 
Architecture: all
Depends: xbmc-eventclients-common (= 2:11.0~git20120510.82388d5-1+deb7u1), python
Size: 34846
SHA256: bc2fe080ccae874aae1061d400203eb332a6a76af41bc4feea361010ce31e50a
SHA1: 23d6cc48b24a6d4d8148f3f8a9a8c07649d1d077
MD5sum: 2aaf70cc4f50c5bf60b6b105c5abdcef
Description: XBMC Media Center (Event Client XBMC-SEND package)
 XBMC, recursive acronym for "XBMC Media Center", is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. XBMC is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, XBMC is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to XBMC, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While XBMC functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, XBMC feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This package is the XBMC-SEND package for XBMC Event Client.
Homepage: http://xbmc.org/
Section: video
Priority: optional
Filename: pool/main/x/xbmc/xbmc-eventclients-xbmc-send_11.0~git20120510.82388d5-1+deb7u1_all.deb

Package: xbmc-skin-confluence
Source: xbmc
Version: 2:11.0~git20120510.82388d5-1+deb7u1
Installed-Size: 175
Maintainer: Team XBMC 
Architecture: all
Size: 33266
SHA256: fed9d9d8276c43957665ca6f12972074dfc1630252bb6fc8c47b7bda22a87aed
SHA1: b9ef30d58ef9e29916f7df8d26e09b262bbd4608
MD5sum: 14f41ebaf2b74fda3a8b5fa5ab706732
Description: XBMC Media Center (transitional package)
 XBMC, recursive acronym for "XBMC Media Center", is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. XBMC is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, XBMC is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to XBMC, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While XBMC functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, XBMC feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This is a dummy transitional package. It may be safely removed.
Homepage: http://xbmc.org/
Section: oldlibs
Priority: extra
Filename: pool/main/x/xbmc/xbmc-skin-confluence_11.0~git20120510.82388d5-1+deb7u1_all.deb

Package: xbmc-standalone
Source: xbmc
Version: 2:11.0~git20120510.82388d5-1+deb7u1
Installed-Size: 175
Maintainer: Team XBMC 
Architecture: all
Size: 33256
SHA256: fd0ee0bb5f640b8a0d50db2373686846bb011b725d11bc7c5b1f9a36863a3bd7
SHA1: 53a4aefec553519c629d0bee8f2ea042f799b782
MD5sum: 547527b9eb67af6f3b5b3a2469912f1d
Description: XBMC Media Center (transitional package)
 XBMC, recursive acronym for "XBMC Media Center", is an award winning free and
 open source software media-player and entertainment hub for all your digital
 media. XBMC is available for Linux, Mac OS X (Leopard, Tiger and Apple TV)
 and Microsoft Windows, as well as the original Xbox game console. Created in
 2003 by a group of like minded programmers, XBMC is a non-profit project run
 and developed by volunteers located around the world. More than 50 software
 developers have contributed to XBMC, and 100-plus translators have worked to
 expand its reach, making it available in more than 30 languages.
 .
 While XBMC functions very well as a standard media player application for
 your computer, it has been designed to be the perfect companion for your
 HTPC. Supporting an almost endless range of remote controls, and combined
 with its beautiful interface and powerful skinning engine, XBMC feels very
 natural to use from the couch and is the ideal solution for your home
 theater. Once installed, your computer will become a fully functional
 multimedia jukebox.
 .
 This is a dummy transitional package. It may be safely removed.
Homepage: http://xbmc.org/
Section: oldlibs
Priority: extra
Filename: pool/main/x/xbmc/xbmc-standalone_11.0~git20120510.82388d5-1+deb7u1_all.deb

Package: xboard
Version: 4.6.2-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 4396
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxmu6, libxpm4, libxt6, dpkg (>= 1.15.4) | install-info
Recommends: xfonts-100dpi | xfonts-75dpi, fairymax
Suggests: x-terminal-emulator
Homepage: http://savannah.gnu.org/projects/xboard/
Priority: optional
Section: games
Filename: pool/main/x/xboard/xboard_4.6.2-1_armhf.deb
Size: 1287360
SHA256: 92cb96a8f200afb69b7e0a711708eadbd9b00cfd3cba6bf33741bdd251b486b6
SHA1: cd3ee476383df66f53a9c01d6052016c3bb85aa0
MD5sum: bde63f49e1118fcad8cda27a87887d80
Description: X Window System Chess Board
 XBoard is a graphical chessboard that can serve as a user interface
 for chess programs, for the Internet Chess Server, or for electronic
 mail correspondence chess. It supports all major forms of chess,
 such as international chess, xiangqi and shogi. XBoard can also be
 used by itself as viewer for stored chess games.
 .
 XBoard uses the Helvetica font (located in the xfonts-75dpi and
 xfonts-100dpi packages) by default.  However, other fonts can be
 specified via a command line option or an X resource.

Package: xboing
Version: 2.4-31
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 1159
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Homepage: http://xboing.techrescue.org
Priority: optional
Section: games
Filename: pool/main/x/xboing/xboing_2.4-31_armhf.deb
Size: 526666
SHA256: cb809c804b19830a50ecdbf360b7399691ebd111f2c6fb8338fe042e5d1fcbf9
SHA1: 7a09fbcfd4acd61fe01c8c03dba1c165a514ca92
MD5sum: 8915a07d8da612328f46a3809e0da46f
Description: blockout game for X
 XBoing is a blockout type game where you have a paddle which
 you control to bounce a proton ball around the game zone
 destroying blocks.
 .
 Each block carries a different point value. The more blocks
 you destroy, the better your score. The person with the
 highest score wins.
 .
 The arena is filled with blocks and other objects. You have
 a paddle that can move from left to right at the bottom of
 the arena. You control the paddle so that the proton ball
 bounces around blowing up blocks and that it does not go
 past the paddle and out the bottom, much like a pinball game.

Package: xbomb
Version: 2.2a-1
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 94
Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxmu6, libxt6
Homepage: http://www.gedanken.demon.co.uk/xbomb/
Priority: optional
Section: games
Filename: pool/main/x/xbomb/xbomb_2.2a-1_armhf.deb
Size: 26552
SHA256: 57b0a81e05b8c790c3420c196441a0bf4ff0856a966f210189a88461dbd050d1
SHA1: 62c1698761999376350ff6f08cbda3787639d48c
MD5sum: 6458f3c103b20775bc06b858e1d81504
Description: 'minesweeper' game with squares, hexagons or triangles
 A test of speed and logic to find the location of bombs hidden in a grid.
 It offers the standard grid of squares, plus grids of hexagons or triangles,
 each in 3 difficulty levels. All games are played against the clock with a
 high-score table for each combination.

Package: xboxdrv
Version: 0.8.4-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 1580
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.14.0), libstdc++6 (>= 4.6), libudev0 (>= 146), libusb-1.0-0 (>= 2:1.0.8), libx11-6
Recommends: python, python-dbus
Homepage: http://pingus.seul.org/~grumbel/xboxdrv/
Priority: optional
Section: utils
Filename: pool/main/x/xboxdrv/xboxdrv_0.8.4-1_armhf.deb
Size: 658304
SHA256: 42e81ca1e27f178b9d6205f66ecea25ad41f8f643c17e415e7263eae5f7920be
SHA1: 2891eeca75a0292f38d1bdc0e8fb9d7c1b26cfef
MD5sum: 868c9a3d082f98948d3dc3f2ecd0a245
Description: Xbox360 gamepad driver for the userspace
 This is a Xbox/Xbox360 gamepad driver for Linux that works in
 userspace. It is an alternative to the xpad kernel driver and has
 support for Xbox1 gamepads, Xbox360 USB gamepads and Xbox360 wireless
 gamepads. The Xbox360 guitar and some Xbox1 dancemats might work
 too. The Xbox 360 racing wheel is not supported, but shouldn't be to
 hard to add if somebody is interested.
 .
 This driver is only of interest if the xpad kernel driver doesn't
 work for you or if you want more configurabity. If the xpad kernel
 driver works for you there is no need to try this driver.
 .
 In addition to all the Xbox related stuff, the driver also supports
 the Thrustmaster Dual Power 3 gamepad, including rumble, which isn't
 supported by the normal kernel driver.

Package: xbrlapi
Source: brltty
Version: 4.4-10+deb7u1
Architecture: armhf
Maintainer: Debian Accessibility Team 
Installed-Size: 359
Depends: libbrlapi0.5, libc6 (>= 2.13-28), libgpm2 (>= 1.20.4), libx11-6, libxext6, libxtst6
Breaks: brltty-x11 (<< 4.3-3)
Replaces: brltty-x11 (<< 4.3-3)
Homepage: http://mielke.cc/brltty/
Priority: extra
Section: admin
Filename: pool/main/b/brltty/xbrlapi_4.4-10+deb7u1_armhf.deb
Size: 170176
SHA256: 830d055cf4dcb1622a14f244eef63b97ae1513224c4a33f6dc6c82c4af586dae
SHA1: dc04967d898382c65b76d45bd71db21d598cd42c
MD5sum: ce787336d1ebf8498f2a65611ee8697a
Description: Access software for a blind person using a braille display - xbrlapi
 BRLTTY is a daemon which provides access to the Linux console (text mode)
 for a blind person using a braille display.  It drives the braille
 display and provides complete screen review functionality.
 .
 This package provides xbrlapi, a brltty X11 helper which handles proper
 keyboard simulation and window selection.

Package: xbs
Version: 0-8
Architecture: armhf
Maintainer: Matthew Vernon 
Installed-Size: 334
Depends: libc6 (>= 2.7), libx11-6
Priority: optional
Section: science
Filename: pool/main/x/xbs/xbs_0-8_armhf.deb
Size: 115150
SHA256: 050feed7423087978caf393d6b8e98f900eabb1b0c26b1477bd440bd13c09561
SHA1: d77a2fb51df8d6d767749f8b3c8d7103515f0880
MD5sum: 5f7392833a6049ba7da4d59b8d5affab
Description: 3-d models and movies of molecules
 xbs ball-and-sticks plotting program can create still
 and moving three dimensional models of molecules. X11 and
 PostScript output are available.  Models can be rotated,
 scaled, etc.  Various labeling, shading, lighting,
 coloring options are available.

Package: xbubble
Version: 0.5.11.2-3.2
Architecture: armhf
Maintainer: Uwe Hermann 
Installed-Size: 2839
Depends: libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4), libx11-6, xbubble-data (>= 0.5.11.2-1)
Homepage: http://alioth.debian.org/projects/xbubble/
Priority: optional
Section: games
Filename: pool/main/x/xbubble/xbubble_0.5.11.2-3.2_armhf.deb
Size: 150374
SHA256: 75a63437a8e61c32501e309d995278a524b2bb83315112e80c4c49bdbe2ba74c
SHA1: 302aa1428f6f2de9d89c49876632d3fb50d79fef
MD5sum: 9965e2e4d73d7096ff1345b4d9efe01a
Description: A nice Puzzle Bubble clone
 XBubble is a Puzzle Bubble / Bust-A-Move clone.
 .
 Your goal is to remove all the bubbles from the game board. To do this
 you must aim and shoot bubbles. When you manage to match 3 or more
 bubbles of the same color, they burst and disappear. All bubbles which
 hang free now, will fall down and disappear, too.
 .
 XBubble features single player mode, two player mode and
 player vs. computer (five difficulty levels of the AI) and has nice
 graphics, but no sound.

Package: xbubble-data
Source: xbubble
Version: 0.5.11.2-3.2
Installed-Size: 5426
Maintainer: Uwe Hermann 
Architecture: all
Recommends: xbubble
Conflicts: xbubble (<< 0.5.8-1)
Size: 5408466
SHA256: 75ba4bc33ce27f63de1184fad67d7007ca672f6b68065b4c282fe0909384d2d6
SHA1: 566266fb72faafe4fdd9c413e6f6985c3836a18b
MD5sum: 947b9a584141ee969640fc96405c329d
Description: Data files for XBubble, a nice Puzzle Bubble clone
 This package contains the data files for XBubble.
 .
 XBubble is a Puzzle Bubble / Bust-A-Move clone.
 .
 Your goal is to remove all the bubbles from the game board. To do this
 you must aim and shoot bubbles. When you manage to match 3 or more
 bubbles of the same color, they burst and disappear. All bubbles which
 hang free now, will fall down and disappear, too.
 .
 XBubble features single player mode, two player mode and
 player vs. computer (five difficulty levels of the AI) and has nice
 graphics, but no sound.
Homepage: http://alioth.debian.org/projects/xbubble/
Tag: game::tetris, role::app-data, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/x/xbubble/xbubble-data_0.5.11.2-3.2_all.deb

Package: xbuffy
Version: 3.3.bl.3.dfsg-8+deb7u1
Architecture: armhf
Maintainer: Bernhard R. Link 
Installed-Size: 130
Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxt6
Homepage: http://www.fiction.net/blong/programs/#xbuffy
Priority: optional
Section: mail
Filename: pool/main/x/xbuffy/xbuffy_3.3.bl.3.dfsg-8+deb7u1_armhf.deb
Size: 37610
SHA256: a903be1a412108a3ae5a5175e9c2704e3e6eec1cc6a404bd723f221258789712
SHA1: fe14d8b22f372e99f57d8462b815d66c214ffb3d
MD5sum: deb7c090d9cf3da7107f5d9bf748c1b1
Description: monitor mailboxes and/or newsgroups
 Xbuffy is a program that displays how many unread mails you have in
 your different mailboxes.  You can have different titles for the
 mailboxes, and define what shall happen when a new mail arrives.  It
 can beep and invoke a shell command, for example.
 .
 If you press mouse button 1 on the title associated with a mail box,
 a list of From: and Subject: lines of the mails in the mail spool file
 is shown.  If you press mouse button 2, an arbitrary command is
 executed.
 .
 Xbuffy can similarly watch newsgroups via NNTP.

Package: xca
Version: 0.9.3-1
Architecture: armhf
Maintainer: Tino Keitel 
Installed-Size: 1606
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libltdl7 (>= 2.4.2), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.3.0)
Homepage: http://xca.sourceforge.net
Priority: optional
Section: x11
Filename: pool/main/x/xca/xca_0.9.3-1_armhf.deb
Size: 706660
SHA256: dad7c849c2a001d7d970ba000b699f503cd34d6333cf2ed4c8e9055300a68c97
SHA1: c65656e506239846a8a40c55226edc3e99040f9c
MD5sum: 9eb552e62475de70ba535237bd6223d4
Description: x509 Certification Authority management tool based on QT4
 XCA creates and manages Certificate authorities and helps the user to
 create and manage keys, certificates, certificate sign requests,
 certificate revocation lists etc.
 .
 All data is saved in an encrypted, portable database, and can be exported
 in various standard formats. XCA is also available for MacOS X and
 Windows systems.
 .
 For a good workflow, certificate templates can be defined to make the
 creation of new certificates an easy task.

Package: xcal
Version: 4.1-19
Architecture: armhf
Maintainer: Lars Bahner 
Installed-Size: 183
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6
Recommends: lpr
Priority: optional
Section: misc
Filename: pool/main/x/xcal/xcal_4.1-19_armhf.deb
Size: 79006
SHA256: cd72797da48a9bf181b10cfc230db2c96eac26264e7482eaf0e809eb30b82438
SHA1: 523f75ac22de2bb2b9ba12f451c0eaaaf983ac89
MD5sum: a40f4d9e98bde4d68a1430b2ec3b9ca5
Description: a graphical calendar with memos and reminder alarms
 The "classic" calendar app with memos and alarms, xcal normally
 sits idle and displays the date.  When clicked on, pops up a `strip'
 for this month.  Clicking on a day allows you to enter details.
 Automatically parses times entered, and reminds you 5 minutes
 before your appointment.
 .
 Supports daily and weekly repeating events and context-sensitive help.

Package: xcalib
Version: 0.8.dfsg1-2
Architecture: armhf
Maintainer: Jorge Salamero Sanz 
Installed-Size: 64
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxxf86vm1
Suggests: icc-profiles
Homepage: http://xcalib.sourceforge.net/
Priority: extra
Section: x11
Filename: pool/main/x/xcalib/xcalib_0.8.dfsg1-2_armhf.deb
Size: 19754
SHA256: e4ac9d36e38ae5cc99850333a122101961d8e736bf4fe931461dc59cafc87375
SHA1: 16a7e178024082d1bd6ad8c6c5948364244e6790
MD5sum: 96bdc409a95213e83c31e26d4709bfdf
Description: Tiny monitor calibration loader for Xorg
 xcalib loads 'vcgt'-tag of ICC profiles to the X-server
 using the XVidMode Extension in order to calibrate your
 display.

Package: xcb
Version: 2.4-4.3
Architecture: armhf
Maintainer: Michael Schiansky 
Installed-Size: 86
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxt6
Priority: optional
Section: x11
Filename: pool/main/x/xcb/xcb_2.4-4.3_armhf.deb
Size: 23518
SHA256: 7c4375e22952bd9e711d55de2c3639cc5ad13168cbffa0cf92a6a657e0eb73b1
SHA1: 62c6cc28de0432023c781227ed59de671251737a
MD5sum: a848fa7cc9a6a16f655cbe837b1b288a
Description: Pigeon holes for your cut and paste selections
 xcb provides easy access to the cut buffers built into every X server.
 It allows the buffers to be manipulated either via the command line,
 or with the mouse in a point and click manner. This version is also
 utf-8 capable.

Package: xcb-proto
Version: 1.7.1-1
Installed-Size: 475
Maintainer: XCB Developers 
Architecture: all
Size: 65894
SHA256: b035ef21c4ef0bbf680d448a5089fe3d2af9758828c140af8f49e0b06826b3d9
SHA1: 0f169e45e539f10374fb8ebd8474242e676435ac
MD5sum: 4e2b0d24633bb8939e64e29f7a62cc56
Description: X C Binding - protocol descriptions
 xcb-proto contains descriptions of the X Window System core protocol and
 selected extensions in an XML-based data format. The X C Binding (XCB)
 library uses these descriptions to generate much of its code. You only need
 this package if you want to compile XCB or otherwise make use of these
 protocol descriptions.
 .
 The XCB library provides an interface to the X Window System protocol,
 designed to replace the Xlib interface.  XCB provides several advantages over
 Xlib:
 .
  * Size: small library and lower memory footprint
  * Latency hiding: batch several requests and wait for the replies later
  * Direct protocol access: one-to-one mapping between interface and protocol
  * Thread support: access XCB from multiple threads, with no explicit locking
  * Easy creation of new extensions: automatically generates interface from
    machine-parsable protocol descriptions
Multi-Arch: foreign
Homepage: http://xcb.freedesktop.org
Tag: devel::library, role::devel-lib
Section: libdevel
Priority: optional
Filename: pool/main/x/xcb-proto/xcb-proto_1.7.1-1_all.deb

Package: xcfa
Version: 4.3.1-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 2160
Depends: flac, icedax | cdparanoia, vorbis-tools, libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcurl3-gnutls (>= 7.16.2), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.14.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libtagc0 (>= 1.5), libvte9 (>= 1:0.24.0), libx11-6
Recommends: checkmp3, dvd+rw-tools, faad, ghostscript, lame, lsdvd, mp3gain, mplayer, mppenc, musepack-tools, normalize-audio, shntool, sox, vorbisgain, wavpack
Suggests: faac, liba52-0.7.4-dev, monkeys-audio
Homepage: http://www.xcfa.tuxfamily.org/
Priority: optional
Section: sound
Filename: pool/main/x/xcfa/xcfa_4.3.1-1_armhf.deb
Size: 592704
SHA256: 2304b9fc3519d3f97213d460498e4127a4f5baeff4fba31c4e513c4cea603240
SHA1: c18b6378f30930837f2786112fe99ff349c3ddc0
MD5sum: 3c5a9b4b9cd578c6dca9584982262a4d
Description: X Convert File Audio
 XCFA is a tool to extract the contens of Audio-CDs and convert musical
 audio files conversion to FLAC, WAV, OGG, M4A, MPC, MP3, WavPack and
 many other formats.
 .
 Some features follow:
  * Handling of frequency, track and bits.
  * Handling of files shared volume before a recording.
  * Web retrieval of Audio-CD information during treatment.
  * Small cover creation for Audio-CDs.

Package: xcfa-dbg
Source: xcfa
Version: 4.3.1-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 1641
Depends: xcfa (= 4.3.1-1)
Homepage: http://www.xcfa.tuxfamily.org/
Priority: extra
Section: debug
Filename: pool/main/x/xcfa/xcfa-dbg_4.3.1-1_armhf.deb
Size: 640900
SHA256: ad60cc4c143b1480dfafa42ab8f2207b08ad74c84c8e52f859b36e42a18fa69a
SHA1: 8974239ff2811d947c078f8f3c98888ff95de2c4
MD5sum: 959c7953e8b1644bc18090f75869b92c
Description: X Convert File Audio - debugging symbols
 XCFA is a tool to extract the contens of Audio-CDs and convert musical
 audio files conversion to FLAC, WAV, OGG, M4A, MPC, MP3, WavPack and
 many other formats.
 .
 This package contains the debugging symbols for XCFA.

Package: xcftools
Version: 1.0.7-4
Architecture: armhf
Maintainer: Jan Hauke Rahm 
Installed-Size: 234
Depends: xdg-utils, libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4)
Recommends: mime-support
Suggests: x11-common, gimp
Enhances: gimp
Priority: optional
Section: graphics
Filename: pool/main/x/xcftools/xcftools_1.0.7-4_armhf.deb
Size: 100094
SHA256: 0bdb6457673083f04423b09d6fe493c39032b4453b77eb538c2852dd8e293a94
SHA1: ed3ce30cd8764108fcc795d45f33a891dd773c9c
MD5sum: 926b6fbe7fdf032dd8ed78fd09098fab
Description: command-line tools for extracting data for XCF files
 This is a set of fast command-line tools for extracting information
 from the Gimp's native file format XCF.
 The tools are designed to allow efficient use of layered XCF files as
 sources in a build system that use 'make' and similar tools to manage
 automatic processing of the graphics.
 These tools work independently of the Gimp engine and do not require
 the Gimp to even be installed.
 .
 "xcf2pnm" converts XCF files to ppm, pgm or pbm format, flattening
 layers if necessary.
 If the image contains transparency, an alpha map can be written to a
 separate file, or a background color can be specified on the command
 line.
 .
 "xcf2png" converts XCF files to PNG format, flattening layers if
 necessary.
 Transparency information can be kept in the image, or a background
 color can be specified on the command line.
 .
 "xcfinfo" lists information about layers in an XCF file.
 .
 "xcfview" is a wrapper script that flattens an XCF image and displays
 it using an external PNG/PPM viewer.
 To use this script, you must make sure also to install an appropriate
 external viewer, as well as the mime-support package which provides the
 mailcap database.
 .
 The tools can either flatten an XCF file as given, or extract
 specific layers named on the command line.

Package: xchain
Version: 1.0.1-6
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 64
Depends: libc6 (>= 2.4), tk8.5 | wish
Priority: extra
Section: games
Filename: pool/main/x/xchain/xchain_1.0.1-6_armhf.deb
Size: 13536
SHA256: feaa526552cc811e283b48dc2b24b548ca69e764d40a2edf95cad14fc5bcc19d
SHA1: c323796217deeecee0cf150070ca411a6c2eaf9e
MD5sum: 7432c8e8b44551dddccb745230548244
Description: strategy game for 2-4 players
 Chain Reaction is a classic strategy game for 2-4 players. Players take turns
 to place tokens on an 8x8 board. When a square exceeds its maximum value, it
 explodes, setting off the surrounding squares.

Package: xchat
Version: 2.8.8-7.1+deb7u1
Architecture: armhf
Maintainer: Davide Puricelli (evo) 
Installed-Size: 661
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libperl5.14 (>= 5.14.2), libsexy2 (>= 0.1.8), libssl1.0.0 (>= 1.0.0), libx11-6, libxml2 (>= 2.6.27), xchat-common (= 2.8.8-7.1+deb7u1)
Recommends: libpython2.7 (>= 2.7), tcl8.5 (>= 8.5.0), esound-clients | alsa-utils, libnotify4 | libnotify-bin, xdg-utils
Conflicts: xchat-gnome (<< 1:0.11-1)
Replaces: xchat-common (<< 1.6.0-1), xchat-gnome (<< 1:0.11-1)
Provides: irc
Homepage: http://www.xchat.org/
Priority: optional
Section: net
Filename: pool/main/x/xchat/xchat_2.8.8-7.1+deb7u1_armhf.deb
Size: 317550
SHA256: 7460fa35d89078e1be3fd15b915026687efc948b3ed6b40794b78a19509fd5ea
SHA1: 6795a6ded965fb0e95daa78d886b0adb97403a35
MD5sum: 668511bd9bf8a62774e3385b3110e086
Description: IRC client for X similar to AmIRC
 XChat is a graphical IRC Client with a GTK+ GUI. It has a look and feel
 similar to AmIRC for the Amiga. Special features include the mIRC extension
 DCC RESUME and mIRC color, multiple server/channel windows, dialog
 windows, and a plugin API.

Package: xchat-common
Source: xchat
Version: 2.8.8-7.1+deb7u1
Installed-Size: 3242
Maintainer: Davide Puricelli (evo) 
Architecture: all
Depends: gconf2 (>= 2.28.1-2)
Size: 1184798
SHA256: 2fada8c72a4784cc4c6f28e2f1400ff4d7fb59cffe29ed74da10597f26b86827
SHA1: 79557fd22f351b4bfe1179b087d1db4eca63de78
MD5sum: ae1fa928cd488ac995ab333932f403cb
Description: Common files for X-Chat
 This package includes documentation, examples, and locale files for
 the Debian X-Chat packages that are common for all architectures.
Homepage: http://www.xchat.org/
Recommends: xchat
Section: net
Priority: optional
Filename: pool/main/x/xchat/xchat-common_2.8.8-7.1+deb7u1_all.deb

Package: xchat-gnome
Version: 1:0.30.0~git20110821.e2a400-0.2
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 986
Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcanberra-gtk0 (>= 0.3), libcanberra0 (>= 0.2), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libperl5.14 (>= 5.14.2), libpython2.7 (>= 2.7), libsexy2 (>= 0.1.8), libsm6, libssl1.0.0 (>= 1.0.0), libx11-6, tcl8.4 (>= 8.4.16), xchat-gnome-common (= 1:0.30.0~git20110821.e2a400-0.2)
Priority: optional
Section: gnome
Filename: pool/main/x/xchat-gnome/xchat-gnome_0.30.0~git20110821.e2a400-0.2_armhf.deb
Size: 572184
SHA256: d0a043a39f5d929a953c1cc2f8ca162f577e71a27d5b972d9536e8a0641e6110
SHA1: a7ef64b690d803ff101cdd984bf829520d30d56f
MD5sum: c2bf21a4ea422c1585e8c52cadefd741
Description: simple and featureful IRC client for GNOME
 XChat-GNOME is an IRC chat program, based on the popular X-Chat IRC
 client, designed with an emphasis on simplicity. It allows you to join
 multiple IRC channels (chat rooms) at the same time, talk publicly,
 private one-on-one conversations and much more.

Package: xchat-gnome-common
Source: xchat-gnome
Version: 1:0.30.0~git20110821.e2a400-0.2
Installed-Size: 7232
Maintainer: Debian GNOME Maintainers 
Architecture: all
Depends: gconf2 (>= 2.28.1-2)
Size: 2634350
SHA256: c10e5717f1b4573646ee30d80c30e5ba6e03474b51142b092ac86334d078a4af
SHA1: 2c95887e4c867d6e15cc5bf7207522c6d5cb0432
MD5sum: ed4b17d23d810558d6a05eedaf49b8c1
Description: data files for XChat-GNOME
 XChat-GNOME is an IRC chat program, based on the popular X-Chat IRC
 client, designed with an emphasis on simplicity. It allows you to join
 multiple IRC channels (chat rooms) at the same time, talk publicly,
 private one-on-one conversations and much more.
 .
 This package contains the arch-independent files.
Tag: role::app-data, suite::gnome
Section: gnome
Priority: optional
Filename: pool/main/x/xchat-gnome/xchat-gnome-common_0.30.0~git20110821.e2a400-0.2_all.deb

Package: xchat-guile
Version: 0.3-2
Architecture: armhf
Maintainer: Lionel Elie Mamane 
Installed-Size: 80
Depends: guile-1.8-libs, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgmp10, libltdl7 (>= 2.4.2), xchat (>= 2.4.1), guile-1.8
Homepage: http://static.fi/~zeenix/xchat-guile/
Priority: optional
Section: net
Filename: pool/main/x/xchat-guile/xchat-guile_0.3-2_armhf.deb
Size: 19088
SHA256: 6b35de64dfa7a3e307143c9db07b076e370cbf4b59f5217bdc9bf3e10cb75eb6
SHA1: 965fb1881c5450091090040ed854e1d86fa4e5ee
MD5sum: 65f7b47be83491aab674209e7bc357af
Description: Guile scripting plugin for XChat
 Plug-in adding Guile scripting support to XChat.
 .
 Guile is the GNU Scheme implementation, and the official GNU
 scripting language. Scheme is a minimalistic, clean Lisp dialect,
 that is a dynamically typed functional impure programming language
 with reflection.
 .
 XChat is a featureful IRC client with a GTK+2-based graphical user
 interface.

Package: xchat-otr
Source: irssi-plugin-otr
Version: 0.3-2
Architecture: armhf
Maintainer: David Spreen 
Installed-Size: 85
Depends: xchat, libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libglib2.0-0 (>= 2.14.0), libotr2 (>= 3.2.0)
Homepage: http://irssi-otr.tuxfamily.org/
Priority: optional
Section: net
Filename: pool/main/i/irssi-plugin-otr/xchat-otr_0.3-2_armhf.deb
Size: 22074
SHA256: 408bbefa2de591d7a4b962f5c2fbf372b731736163bb9215280d213466cf190e
SHA1: a6010015b449229259e42b49884421571f02e8ff
MD5sum: 3742a618597af4fbc2cdaad0cb006d71
Description: Off-the-Record Messaging Plugin for X-Chat
 Off-the-Record (OTR) Messaging Plugin for the X-Chat IRC Client
 .
 This plugin adds Off-the-Record messaging support for the X-Chat IRC client.
 Although primarily designed for use with the bitlbee IRC2IM gateway, it works
 within any query window, provided that the conversation partner's IRC client
 supports OTR.
 .
 OTR allows you to have private conversations over IM by providing:
  - Encryption
    - No one else can read your instant messages.
  - Authentication
    - You are assured the correspondent is who you think it is.
  - Deniability
    - The messages you send do _not_ have digital signatures that are
      checkable by a third party.  Anyone can forge messages after a
      conversation to make them look like they came from you.  However,
      _during_ a conversation, your correspondent is assured the messages
      he sees are authentic and unmodified.
  - Perfect forward secrecy
    - If you lose control of your private keys, no previous conversation
      is compromised.

Package: xchat-xsys
Version: 2.2.0-2
Architecture: armhf
Maintainer: Ulises Vitulli 
Installed-Size: 76
Depends: xchat (>= 2.4.0), libaudclient2 (>= 2.3), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libpci3 (>= 1:3.1.9-2)
Recommends: audacious
Homepage: http://dev.gentoo.org/~chainsaw/xsys/
Priority: optional
Section: misc
Filename: pool/main/x/xchat-xsys/xchat-xsys_2.2.0-2_armhf.deb
Size: 23498
SHA256: d0d41b0d782f7e68c25929e9af0f5b896187aadc38704f474dd2c031f7289c4c
SHA1: cf8b7ab5c3fbd953e86b28293f69f7054a734f37
MD5sum: c1659c6b94418c0df2cd575706af7298
Description: x-chat plugin that can display your current system statistics
 X-Sys is a plugin for X-Chat that allows you to display your current system
 statistics in a channel, private conversation or just in an echo to yourself
 for testing purposes.
 .
 The plugin can display the following information:
   * Number of CPU's and their specifications.
   * Operating system information.
   * Video card type.
   * Sound card type.
   * Memory and swap usage.
   * Disk usage.
   * Ethernet card type.
   * Network traffic, both current and total.
   * Currently supporting audacious.

Package: xchm
Version: 2:1.20-1
Architecture: armhf
Maintainer: Kartik Mistry 
Installed-Size: 673
Depends: libc6 (>= 2.13-28), libchm1 (>= 0.40), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1)
Conflicts: chmlib
Homepage: http://xchm.sourceforge.net/
Priority: optional
Section: x11
Filename: pool/main/x/xchm/xchm_1.20-1_armhf.deb
Size: 166644
SHA256: bd8ff7e2a2c212786b77883333c0763bbf8eb1684ad68e2f19f06635c72bf563
SHA1: c551ff738df7595e3093e3cd656eb8892eaa7b4e
MD5sum: f754dbd5183fed986b4e19f6b060a089
Description: Compiled HTML Help (CHM) file viewer for X
 xCHM is a viewer for Compiled HTML Help (CHM) files. It can show the contents
 tree if one is available, print the current page and do the usual history
 stunts. It allows one to change fonts and search for text in all the pages of
 the file, or in the page's titles.
 .
 xCHM does not support JavaScript in books.

Package: xcircuit
Version: 3.7.40.dfsg-1
Architecture: armhf
Maintainer: Margarita Manterola 
Installed-Size: 3059
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxpm4, libxt6, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0), zlib1g (>= 1:1.1.4)
Homepage: http://opencircuitdesign.com/xcircuit/
Priority: optional
Section: electronics
Filename: pool/main/x/xcircuit/xcircuit_3.7.40.dfsg-1_armhf.deb
Size: 1072160
SHA256: f53297fdc523cd10e8e77c10d9232292a9d26e35c045942a44616e8f2fce6fc2
SHA1: a4bb00bee22a952732e6c885979070a934a2257b
MD5sum: c1e9c0d13ccbc297432ab9f17e6ffc85
Description: Draw circuit schematics or almost anything
 xcircuit is a generic drawing program tailored for making circuit
 diagrams.
 .
 The graphical interface attempts to maintain consistency between
 X11 window rendering and the pure PostScript output.
 .
 xcircuit is mouse, menu and keyboard driven. The emphasis is on
 single character macros.

Package: xcite
Version: 1.60-1
Installed-Size: 80
Maintainer: TANIGUCHI Takaki 
Architecture: all
Size: 18190
SHA256: 56c6b162b2aff16938b0af12dcc8b4c757b2b357723ae667c0cd44f7eedef59c
SHA1: 84b3b02376c4fb68cfcf970d513f29a009b10e65
MD5sum: c85bfa5b902555626ba075da9d090465
Description: exciting cite utility for Emacsen
 This package enables you to register  as many mail/news citation prefix
 as you like according to each author, and to select those headers
 randomly.
Homepage: http://www.gentei.org/~yuuji/software/
Tag: implemented-in::lisp, role::plugin, suite::emacs, works-with::mail
Section: mail
Priority: extra
Filename: pool/main/x/xcite/xcite_1.60-1_all.deb

Package: xclip
Version: 0.12+svn84-2
Architecture: armhf
Maintainer: Alessandro Ghedini 
Installed-Size: 68
Depends: libc6 (>= 2.13-28), libx11-6, libxmu6
Homepage: http://xclip.sourceforge.net
Priority: optional
Section: x11
Filename: pool/main/x/xclip/xclip_0.12+svn84-2_armhf.deb
Size: 21804
SHA256: 92b65addc4953022cb91489af74ffc1de7a5a8c2dbfadbf10f78da38ee6dd09a
SHA1: 982c55245827c5ad6e6cca0e5e4f699e0b54ae46
MD5sum: 7873acf12aba150eef6535afff6f2ad2
Description: command line interface to X selections
 xclip is a command line utility that is designed to run on any system with an
 X11 implementation. It provides an interface to X selections ("the clipboard")
 from the command line. It can read data from standard in or a file and place
 it in an X selection for pasting into other X applications. xclip can also
 print an X selection to standard out, which can then be redirected to a file
 or another program.

Package: xcolmix
Version: 1.07-10
Architecture: armhf
Maintainer: Peter S Galbraith 
Installed-Size: 60
Depends: libc6 (>= 2.13-28), libforms2, libx11-6
Suggests: x11-common
Priority: optional
Section: utils
Filename: pool/main/x/xcolmix/xcolmix_1.07-10_armhf.deb
Size: 12470
SHA256: 5d182c61e54c23f1ee4ad13b3ec8e592c15f368ac107b64c875470b6dba2da67
SHA1: f30e6de1253f89b21edf3731d969435816d3c6b1
MD5sum: 61346dfc89320d06fd21a7eefc017968
Description: an RGB colour mixer
 xcolmix enables you to mix your own colours in order to determine three
 values (the R/G/B code, or red-green-blue mix) of a colour. You can use
 those colours to, e.g., define the foreground or background of X
 applications which support it. Furthermore, xcolmix lets you retrieve RGB
 values from the X system's database of `predefined' colours.

Package: xcolors
Version: 1.5a-7
Architecture: armhf
Maintainer: Fredrik Hallenberg 
Installed-Size: 68
Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxt6
Priority: optional
Section: x11
Filename: pool/main/x/xcolors/xcolors_1.5a-7_armhf.deb
Size: 10838
SHA256: 405b20c3a4dd1f7627568baf9ebb73526aefd92234caf20a40c6be431324f052
SHA1: 74f4db41a6de9daa85eb3ed5c5f3f1293c282b6c
MD5sum: 9d846d03ccd08ee6a8173db04ffdb7b0
Description: display all X11 color names and colors
 Reads the rgb.txt file that defines the color names the X server
 knows and displays the colors found.

Package: xcolorsel
Version: 1.1a-17
Architecture: armhf
Maintainer: Decklin Foster 
Installed-Size: 156
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxmu6, libxpm4, libxt6, xaw3dg (>= 1.5+E-1)
Priority: optional
Section: x11
Filename: pool/main/x/xcolorsel/xcolorsel_1.1a-17_armhf.deb
Size: 56426
SHA256: d667429350f5d44803e86ee5e5f0a29a6f7220da73150b613228616a2c8a14af
SHA1: 7d2543a2b27952ec18ae21009fe00bea4e13c258
MD5sum: e4ed3494a99641894eec86394373d275
Description: display colors and names in X
 xcolorsel is an X utility that displays the contents of the X color list
 file (/etc/X11/rgb.txt) together with tiles showing how the color looks on
 your screen.  You can cut the color names/definitions in various formats
 (suitable for resource files or C source) so they can be pasted directly
 into source codes or resources.

Package: xcompmgr
Version: 1.1.5-1
Architecture: armhf
Maintainer: Gustavo Franco 
Installed-Size: 71
Depends: libc6 (>= 2.13-28), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxfixes3, libxrender1
Priority: optional
Section: x11
Filename: pool/main/x/xcompmgr/xcompmgr_1.1.5-1_armhf.deb
Size: 23678
SHA256: 629c581e0fd8db5d94b6ed110923d678431a4b285b99c9c526e74c6982115dd3
SHA1: eacc037d887cf8c91f2f4fec88b84c8210c4f20e
MD5sum: e58267cd6e4fdef59b1bd7965ad5a866
Description: X composition manager
 xcompmgr is the standard composition manager for the X Composite extension,
 which allows clients to modify what is drawn to the screen before it
 happens.  This composition manager implements shadows, fading, proper
 translucency, and more.

Package: xcowsay
Version: 1.2-1
Architecture: armhf
Maintainer: Enrico Zini 
Installed-Size: 141
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0)
Homepage: http://www.doof.me.uk/xcowsay/
Priority: optional
Section: games
Filename: pool/main/x/xcowsay/xcowsay_1.2-1_armhf.deb
Size: 72834
SHA256: 58d1f5cc190612602b9360152b0d95fcbb70dcabdeb82646c5fec7fff8f70f9d
SHA1: 57e49b4ab45e19d6d23fd469a49fd369a4f3d549
MD5sum: 1543f46a0c3a7ef50a55e20549db414d
Description: Graphical configurable talking cow
 A graphical configurable talking cow. It's a GTK+ version of the
 classic cowsay Perl script. It displays a cute pop-up cow on your
 desktop with a speech bubble and some customizable text. There's
 also a dream mode where the cow can display images. It comes
 with a fortune(6) wrapper script, xcowfortune, which you can cron
 to deliver periodic fortune cookies via the cow.

Package: xcp-eliloader
Version: 0.1-4
Installed-Size: 96
Maintainer: Pkg Xen 
Architecture: all
Depends: python
Enhances: xcp-xapi
Size: 13012
SHA256: 93dbd57c78378cf4126540e02d772186a0a25034fb7e7cf23745bb0013ceafd6
SHA1: 1793c0a19cc0f8da7fe36527c7a3a51e3005fd55
MD5sum: 12efe68b2cc6c0d508217589b558b712
Description: XenAPI's bootloader for EL-based guests
 The Xen Cloud Platform (XCP) is an open source enterprise-ready server
 virtualization and cloud computing platform, with support for a range of
 guest operating systems, Linux network and storage support.
 .
 XCP addresses the needs of cloud providers, hosting services and data centers
 by combining the isolation and multitenancy capabilities of the Xen hypervisor
 with enhanced security, storage and network virtualization technologies to
 offer a rich set of virtual infrastructure cloud services. The platform
 also address user requirements for security, availability, performance and
 isolation across both private and public clouds.
 .
 XCP consolidates server workloads, enables savings in power, cooling, and
 management costs and thus contributing to environmentally sustainable
 computing, an increased ability to adapt to ever-changing IT environments,
 an optimized use of existing hardware, and an improved level of IT
 reliability.
 .
 This package provides a bootloader for EL-based Linux distributions. This
 bootloader takes care of booting PV guests for distributions that don't have
 good support for operation as a Xen domU guest.
Homepage: https://github.com/xen-org
Section: admin
Priority: extra
Filename: pool/main/x/xcp-eliloader/xcp-eliloader_0.1-4_all.deb

Package: xcrysden
Version: 1.5.53-1
Architecture: armhf
Maintainer: Debichem Team 
Installed-Size: 1055
Depends: bwidget, gawk, imagemagick, openbabel, xcrysden-data, libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libgl1-mesa-glx | libgl1, libgl2ps0, libglu1-mesa | libglu1, libx11-6, libxmu6, tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0)
Homepage: http://www.xcrysden.org/
Priority: optional
Section: science
Filename: pool/main/x/xcrysden/xcrysden_1.5.53-1_armhf.deb
Size: 480384
SHA256: e1c379d40e06d97db1f0ac4ee3e2097c37ba3ef84512c54bd62e29de86a44e48
SHA1: 1b2ea7c3ee84b653c8d7af1644d6d20613ad186f
MD5sum: 92703fb19342ee504074a7b2964833ed
Description: Crystalline and Molecular Structure Visualizer
 XCrySDen is a crystalline and molecular structure visualisation
 program, which aims at display of isosurfaces and contours, which can
 be superimposed on crystalline structures and interactively rotated
 and manipulated. It can run on most UNIX platforms, without any
 special hardware requirements.

Package: xcrysden-data
Source: xcrysden
Version: 1.5.53-1
Installed-Size: 4095
Maintainer: Debichem Team 
Architecture: all
Size: 1795370
SHA256: a6d88aeeeb3b508cb2998f25b5c0fbfc95b7f1b46d4e28319eb679f084505774
SHA1: eae694133b19cb7eb748b8e59407fe9c526f484b
MD5sum: ddf0e123595597aba7c26cfcb5363727
Description: Crystalline and Molecular Structure Visualizer (Data Files)
 XCrySDen is a crystalline and molecular structure visualisation
 program, which aims at display of isosurfaces and contours, which can
 be superimposed on crystalline structures and interactively rotated
 and manipulated. It can run on most UNIX platforms, without any
 special hardware requirements.
 .
 This package contains the architecture independent files.
Homepage: http://www.xcrysden.org/
Section: science
Priority: optional
Filename: pool/main/x/xcrysden/xcrysden-data_1.5.53-1_all.deb

Package: xcursor-themes
Version: 1.0.3-1
Installed-Size: 4092
Maintainer: Debian X Strike Force 
Architecture: all
Size: 491666
SHA256: e6009040d012e1c018860fcee3939354a4cfea27582c6ae54434a13ee361000f
SHA1: 34dfd4d5ee854ae9bd259fdd97b1875864d742f4
MD5sum: 264ba33ab9250906484ec3f94f3d808a
Description: Base X cursor themes
 This package contains the additional base X cursor themes --
 handhelds, redglass, and whiteglass. These themes are not essential
 for the X server to run.
Tag: hardware::input:mouse, made-of::icons, role::app-data, x11::theme
Section: x11
Priority: optional
Filename: pool/main/x/xcursor-themes/xcursor-themes_1.0.3-1_all.deb

Package: xcwcp
Source: unixcw
Version: 3.0.2-1
Architecture: armhf
Maintainer: Debian Hamradio Maintainers 
Installed-Size: 243
Depends: libcw3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.5.3), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), debconf | debconf-2.0
Homepage: http://unixcw.sourceforge.net/
Priority: optional
Section: hamradio
Filename: pool/main/u/unixcw/xcwcp_3.0.2-1_armhf.deb
Size: 68226
SHA256: 4a1d5d2c61720fc7fc9889bf0ad6367fe5b4e050af06e5dad687fd470dbf3200
SHA1: 38f2cf14c99138e5423ec1187477c5bc648a4e55
MD5sum: 5df01716c7d9cb15ef8f6c9e0cba70f1
Description: Morse code tutor - graphical user interface
 The unixcw project provides support for learning to use Morse code.
 .
 This package provides an interactive Morse code tutor with a Qt4
 user interface. It allows choosing from a number of options for
 practice, including sending random characters, random words, and
 characters from the keyboard. It will also receive Morse code sent
 using the keyboard or mouse as a Morse keyer, and display the
 characters it sees.

Package: xd
Version: 3.22.04-1
Architecture: armhf
Maintainer: Frank B. Brokken 
Installed-Size: 152
Depends: libbobcat3 (>= 3.01.00), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0)
Homepage: http://xd-home.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/x/xd/xd_3.22.04-1_armhf.deb
Size: 56780
SHA256: 13aefe6bd6633c6b281af2d7b39be99573e55c2ac2ec7073121ae056715431c6
SHA1: 4a86c4da629551411a76079d1b2bc1e6d5a37e37
MD5sum: b0a3e03db7957feafea263d5ab2a5f48
Description: smart directory change utility
 The program xd is a program smart directory changer. It allows you to change
 to a directory specifying only its initial characters or a subset of the
 initial characters allowing commands like 'xd ulb' to do a 'chdir
 /usr/local/bin'.
 .
 xd can be used in combination with the standard tab-filename completion as
 offered by most shells. But as xd is very easy to use, one is quickly
 addicted to xd when changing to directories that are not close to the current
 working directory. Small changes required thereafter are in practice always
 performed using the facilities offered by the command shell.
 .
 When multiple expansions are available xd offers a list of alternatives from
 which the user may select an option by simply pressing an associated
 key. Directories that are never selected by the user may be ignored using
 directives in xd's configuration file.

Package: xdaliclock
Version: 2.36+debian-1
Architecture: armhf
Maintainer: Kartik Mistry 
Installed-Size: 437
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxt6
Homepage: http://www.jwz.org/xdaliclock/
Priority: optional
Section: x11
Filename: pool/main/x/xdaliclock/xdaliclock_2.36+debian-1_armhf.deb
Size: 62120
SHA256: 14e0b726070ad548e15645b2d5389f81b122c315bbde1ba301213407271e3417
SHA1: d55911809163f3d82df18b27d835c679a7972efe
MD5sum: 1d479f3177db4357f2abf04776867956
Description: Melting digital clock
 The xdaliclock program displays a digital clock; when a digit changes, it
 "melts" into its new shape.
 .
 It can display in 12 or 24 hour modes, and displays the date when a mouse
 button is held down. It has two large fonts built into it, but it can animate
 most other fonts that contain all of the digits. It can also do some funky
 psychedelic colormap cycling, and can use the "shape" extension so that the
 window is shaped like the digits.

Package: xdeb
Version: 0.6.6
Installed-Size: 179
Maintainer: Wookey 
Architecture: all
Depends: python (>= 2.6.6-7~), python-debian (>= 0.1.11), dpkg-dev (>= 1.15), lintian (>= 2.3.0), devscripts (>= 2.10.41), dpkg-cross (>= 2.6.3), apt-utils (>= 0.8.11), python-apt (>= 0.7.91), wget, build-essential, sudo
Recommends: gcc, fakeroot
Breaks: apt (<< 0.7.26~exp6)
Size: 39136
SHA256: 1c9e56b3e2a4f7a8c03804ae72567230d38542fd53a81a67ca6c4b9438526332
SHA1: ebe3ccd11952437c6b2d19fbe2462aea6867f538
MD5sum: be0fc9c796181b0c2f40d2b364daea1d
Description: Cross-build tool for Debian packages
 xdeb allows building a set of packages, using either native or cross
 compilation.  It is based on dpkg-cross and includes heuristics to map
 package names to the build or host architecture.
 .
 xdeb will build source packages from either APT or the current
 directory and can optionally convert existing natively built packages
 to satisfy build-dependencies.  It will also schedule builds in the
 proper order as specified in build-dependencies.
Tag: devel::buildtools, implemented-in::python, role::program, suite::debian
Section: devel
Priority: optional
Filename: pool/main/x/xdeb/xdeb_0.6.6_all.deb

Package: xdelta
Version: 1.1.3-9
Architecture: armhf
Maintainer: LaMont Jones 
Installed-Size: 74
Depends: libc6 (>= 2.4), libglib2.0-0 (>= 2.16.0), libxdelta2 (>= 1.1.3), zlib1g (>= 1:1.1.4)
Priority: optional
Section: utils
Filename: pool/main/x/xdelta/xdelta_1.1.3-9_armhf.deb
Size: 27570
SHA256: 29e123b5853bd5a1b6c76cec9524ccd4e3578e52e6d02c9d2f2d679fecb231b2
SHA1: a3b494e73199814040ec9f9a55e3b87c4bdadb97
MD5sum: 3ca464c479f3f1f71e499f5918b0c15c
Description: A diff utility which works with binary files
 Xdelta is an application program designed to compute changes between
 files.  These changes (deltas) are similar to the output of the
 "diff" program in that they may be used to store and transmit only the
 changes between files.  However, unlike diff, the output of Xdelta is
 not expressed in a human-readable format--Xdelta can also apply
 these deltas to a copy of the original file.  Xdelta uses a fast,
 linear algorithm and performs well on both binary and text files.

Package: xdelta3
Version: 3.0.0.dfsg-1+deb7u1
Architecture: armhf
Maintainer: A Mennucc1 
Installed-Size: 146
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Priority: optional
Section: utils
Filename: pool/main/x/xdelta3/xdelta3_3.0.0.dfsg-1+deb7u1_armhf.deb
Size: 78920
SHA256: cc57a21450e98c0e7638745799f2e2c6b0300735c3640b256a06112567a9d13a
SHA1: 9ad9a15d59dc4ed78fc40876db6b72de3bc0cc2e
MD5sum: 03b7a2241a96a576fd1c37f33965668e
Description: A diff utility which works with binary files
 Xdelta3 is a set of tools designed to compute changes between
 binary files.  These changes (delta files) are similar to the output of the
 "diff" program, in that they may be used to store and transmit only the
 changes between files.  The "delta files" that Xdelta3 manages are
 stored in RFC3284 (VCDIFF) format.

Package: xdemineur
Version: 2.1.1-17
Architecture: armhf
Maintainer: Ralf Treinen 
Installed-Size: 86
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Priority: optional
Section: games
Filename: pool/main/x/xdemineur/xdemineur_2.1.1-17_armhf.deb
Size: 20658
SHA256: ba91879c88e8cacb59cbe79fc26c6f13ba6dad49bb8a86bf0fbea1651269f5e0
SHA1: 5bd3894985dcfdd8e4cc0631ca8ca19b7086196b
MD5sum: d44f3e56a08453cfd4ee8c1a87f2493f
Description: Yet another minesweeper for X
 The object of this game is to find the location of the mines
 hidden in the minefield and to mark their location by placing a
 warning flag.
 .
 There are many minesweeper clones. This one is the most similar to the
 Windows one in terms of look and feel.

Package: xdemorse
Version: 1.3-6
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 106
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0)
Homepage: http://5b4az.chronos.org.uk/pages/morse.html
Priority: extra
Section: hamradio
Filename: pool/main/x/xdemorse/xdemorse_1.3-6_armhf.deb
Size: 31224
SHA256: 057ba79bb71dc536dbc71a205b4bce213d9f3aa035f367cb2d45383880c32d8a
SHA1: fa66997bf314caab41ced1cbaf3ee574c25cc515
MD5sum: c3ef16fb59d25149cf5ff1856e420a09
Description: GTK+ Morse Code Decoding Software
 xdemorse is a GTK+ graphical version of demorse, using the same decoding
 engine. It has an FFT-derived "waterfall" display of the incoming audio
 signal's spectrum, as well as a 'scope-like display of the audio
 detector's output and status of the mark/space discriminator ("slicer").
 xdemorse also has CAT for the FT-847 and this can be used to net the
 receiver's frequency to the incoming signal, by clicking near its trace
 in the waterfall display.

Package: xdesktopwaves
Version: 1.3-3
Architecture: armhf
Maintainer: Miriam Ruiz 
Installed-Size: 99
Depends: libc6 (>= 2.4), libx11-6, libxext6
Homepage: http://xdesktopwaves.sourceforge.net/
Priority: extra
Section: games
Filename: pool/main/x/xdesktopwaves/xdesktopwaves_1.3-3_armhf.deb
Size: 37916
SHA256: 20bcd40c22e63faf0abb0fad5174d350c49a4379852fdb54c1b6b7a0ce40fea3
SHA1: 59266cc617d853b6106ac999085d9b5b36de9ef5
MD5sum: 7f0353b68617974c90b631e39cedd0c9
Description: Simulation of water waves on the X Window System
 xdesktopwaves is a cellular automata setting the background of your
 X Window System under water. Windows and mouse are like ships on
 the sea. Each movement of these ends up in moving water waves. You
 can even have rain and/or storm stirring up the water.

Package: xdeview
Source: uudeview
Version: 0.5.20-3.3
Architecture: armhf
Maintainer: Chris Hanson 
Installed-Size: 195
Depends: libc6 (>= 2.13-28), libuu0, tcl8.4 (>= 8.4.16), tk8.4 (>= 8.4.16)
Suggests: exim4 | mail-transport-agent, inews
Priority: optional
Section: utils
Filename: pool/main/u/uudeview/xdeview_0.5.20-3.3_armhf.deb
Size: 69034
SHA256: e35ce00b4d442c1fe2b14bdaca6f8028431f279e6640eb391f9b251eddef9d51
SHA1: f43b8a9d8fa8739d84a818ae08cbde51dc21e10b
MD5sum: c834c8cc90f8f5489d5be8d11595f507
Description: Smart multi-file multi-part decoder (X11 GUI)
 This is an X11-based decoder for files encoded with the following
 formats: uuencode, xxencode, BASE64, quoted printable, and BinHex.

Package: xdg-user-dirs
Version: 0.14-1
Architecture: armhf
Maintainer: Sebastian Dröge 
Installed-Size: 735
Depends: libc6 (>= 2.13-28)
Homepage: http://www.freedesktop.org/wiki/Software/xdg-user-dirs
Priority: optional
Section: utils
Filename: pool/main/x/xdg-user-dirs/xdg-user-dirs_0.14-1_armhf.deb
Size: 51330
SHA256: d4f988330f6556ceb0d442683a7707d7015739d2598124d6c16225a2ed38f528
SHA1: 3291282248adfcf41ff58103b23e429e3a5438c9
MD5sum: ea18127e1ef8797f8b31ca803a697966
Description: tool to manage well known user directories
 xdg-user-dirs is a tool to help manage "well known" user directories
 like the desktop folder and the music folder. It also handles
 localization (i.e. translation) of the filenames.
 .
 The way it works is that xdg-user-dirs-update is run very early in the
 login phase. This program reads a configuration file, and a set of
 default directories. It then creates localized versions of these
 directories in the users home directory and sets up a config file in
 $(XDG_CONFIG_HOME)/user-dirs.dirs (XDG_CONFIG_HOME defaults to
 ~/.config) that applications can read to find these directories.

Package: xdg-user-dirs-gtk
Version: 0.9-1
Architecture: armhf
Maintainer: Sebastian Dröge 
Installed-Size: 752
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libgtk-3-0 (>= 3.0.0), xdg-user-dirs
Homepage: http://www.freedesktop.org/wiki/Software/xdg-user-dirs
Priority: optional
Section: utils
Filename: pool/main/x/xdg-user-dirs-gtk/xdg-user-dirs-gtk_0.9-1_armhf.deb
Size: 55330
SHA256: 6adb97d250388be26178a0532bacf3047e221a987306c13c96aafe19b4ceed6a
SHA1: 78b19deebbfb5e27c2580d9829922e56d929af0a
MD5sum: 25627bf2414f2c4743c6ea1ccf748938
Description: tool to manage well known user directories (Gtk extension)
 xdg-user-dirs is a tool to help manage "well known" user directories
 like the desktop folder and the music folder. It also handles
 localization (i.e. translation) of the filenames.
 .
 This extension sets the Gtk bookmark file and tracks changes of the locale
 to notify the user on login about changed directory names.

Package: xdg-utils
Version: 1.1.0~rc1+git20111210-6+deb7u4
Installed-Size: 301
Maintainer: Per Olofsson 
Architecture: all
Suggests: gvfs-bin
Size: 81374
SHA256: 070d578b179aaeed3e4c9fd4373d5408f08b1c40c7fb9b0bc01ac943c83ba66f
SHA1: bcffcf57df1470db2a7795bdfe0d6c4f534de0dc
MD5sum: d0b5a77d59c43d38d4b356bd02c2e107
Description: desktop integration utilities from freedesktop.org
 xdg-utils contains utilities for integrating applications with the
 desktop environment, regardless of which desktop environment is used.
 They are part of freedesktop.org's Portland project.
 .
 The following utilities are included:
 .
  * xdg-desktop-menu - Install desktop menu items
  * xdg-desktop-icon - Install icons on the user's desktop
  * xdg-icon-resource - Install icon resources
  * xdg-mime - Gather MIME information about a file
  * xdg-open - Open a URL in the user's preferred application that
               handles the respective URL or file type
  * xdg-email - Open the user's preferred email client, potentially with
                subject and other info filled in
  * xdg-screensaver - Enable, disable, or suspend the screensaver
  * xdg-settings - get various settings (default web browser) from
                   the desktop environment
Homepage: http://portland.freedesktop.org/
Recommends: libfile-mimeinfo-perl, libnet-dbus-perl, libx11-protocol-perl, x11-utils, x11-xserver-utils
Section: utils
Priority: optional
Filename: pool/main/x/xdg-utils/xdg-utils_1.1.0~rc1+git20111210-6+deb7u4_all.deb

Package: xdiskusage
Version: 1.48-10.1
Architecture: armhf
Maintainer: Thierry Randrianiriana 
Installed-Size: 80
Depends: libc6 (>= 2.13-28), libfltk1.1 (>= 1.1.6), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libstdc++6 (>= 4.3.0), libx11-6, libxext6, libxinerama1
Homepage: http://xdiskusage.sourceforge.net/
Priority: optional
Section: x11
Filename: pool/main/x/xdiskusage/xdiskusage_1.48-10.1_armhf.deb
Size: 27116
SHA256: 6f5ca349137f393d27a14ab3271143bb5631f82f49af80a8a061b3c5cb5452bb
SHA1: 74c37bd3f3b7d065383d1b3a553b1acf75595443
MD5sum: b583aa7cbb29e20b1b6210d62af8ef69
Description: Displays a graphic of your disk usage with du
 xdiskusage is a user-friendly program to show you what is using up
 all your disk space. It is based on the design of the "xdu" program
 written by Phillip C. Dykstra. Changes have been made so it runs "du"
 for you, and can display the free space left on the disk, and produce
 a PostScript version of the display.

Package: xdm
Version: 1:1.1.11-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 681
Depends: libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1), libselinux1 (>= 1.32), libx11-6, libxau6, libxaw7, libxdmcp6, libxext6, libxft2 (>> 2.1.1), libxinerama1, libxmu6, libxpm4, libxrender1, libxt6, debconf (>= 1.2.9) | debconf-2.0, x11-utils | xbase-clients | xmessage, cpp, lsb-base (>= 3.2-14), x11-xserver-utils, procps
Provides: x-display-manager
Priority: optional
Section: x11
Filename: pool/main/x/xdm/xdm_1.1.11-1_armhf.deb
Size: 195790
SHA256: 608eb964b9ae1126f4e4caaa784ef7bd74cff5cd8e313fe4f3d5e00f4ed834a5
SHA1: 253c35eda8870265d6e88193cbc158c0dcdd5563
MD5sum: 18d93353d250a9bf64df349697c6543b
Description: X display manager
 xdm manages a collection of X servers, which may be on the local host or
 remote machines.  It provides services similar to those provided by init,
 getty, and login on character-based terminals: prompting for login name and
 password, authenticating the user, and running a session.  xdm supports XDMCP
 (X Display Manager Control Protocol) and can also be used to run a chooser
 process which presents the user with a menu of possible hosts that offer
 XDMCP display management.

Package: xdms
Version: 1.3.2-4
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 75
Depends: libc6 (>= 2.13-28)
Recommends: unadf
Suggests: uae
Homepage: http://zakalwe.fi/~shd/foss/xdms/
Priority: optional
Section: utils
Filename: pool/main/x/xdms/xdms_1.3.2-4_armhf.deb
Size: 25056
SHA256: 45d7f188e290433979dcf01d69e87074c8fb1b5c39a2bb02c3654de4d1d1ed8c
SHA1: 7bbb27af2d8bfad900199dcfaa63952f284a88c9
MD5sum: 56961afcaf380a07b10726638b494dd2
Description: Uncompressor for Amiga DMS archives
 This a tool for decompressing Amiga DMS files. DMS files contain disk
 images that are these days mainly useful for Amiga emulation. It can
 unpack DMS images to ADF images.

Package: xdmx
Source: xorg-server
Version: 2:1.12.4-6+deb7u8
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 1709
Depends: xserver-common (>= 2:1.12.4-6+deb7u8), libaudit0 (>= 1.7.13), libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libpixman-1-0 (>= 0.21.6), libselinux1 (>= 2.0.82), libx11-6 (>= 2:1.4.99.1), libxau6, libxdmcp6, libxext6, libxfixes3, libxfont1 (>= 1:1.4.2), libxi6, libxmuu1, libxrender1
Priority: optional
Section: x11
Filename: pool/main/x/xorg-server/xdmx_1.12.4-6+deb7u8_armhf.deb
Size: 858790
SHA256: 491d5a0894ecd1fb66a2e390903b96413b53466b43cc89a0962fe2b41b32273a
SHA1: b82331efab5608932c8ee4188d19d3367a7ec40b
MD5sum: 3c07771c38b2aecb5cf40ee9d9bab35d
Description: distributed multihead X server
 Xdmx is a proxy X server that uses one or more other X servers as its
 display device(s). It provides multi-head X functionality for displays that
 might be located on different machines. Xdmx functions as a front-end X server
 that acts as a proxy to a set of back-end X servers. All of the visible
 rendering is passed to the back-end X servers. Clients connect to the Xdmx
 front-end, and everything appears as it would in a regular multi-head
 configuration. If Xinerama is enabled (e.g., with +xinerama on the command
 line), the clients see a single large screen.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xserver module.

Package: xdmx-tools
Source: xorg-server
Version: 2:1.12.4-6+deb7u8
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 294
Depends: xdmx, libaudit0 (>= 1.7.13), libc6 (>= 2.13-28), libdmx1, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6
Replaces: xdmx (<= 2:1.1.1-10)
Priority: optional
Section: x11
Filename: pool/main/x/xorg-server/xdmx-tools_1.12.4-6+deb7u8_armhf.deb
Size: 114090
SHA256: c8c46a52266fc678e6b7a113295f18c8b1a730179e1603084d76274070524f17
SHA1: 2fc7765c71d326865453808f4ab50ef634d54e9f
MD5sum: 245a83eceebd50b3e069436b21593d13
Description: Distributed Multihead X tools
 This package provides a collection of tools used for administration of
 the Xdmx server; see the xdmx package for more information.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xserver module.

Package: xdot
Version: 0.4-2
Installed-Size: 117
Maintainer: Python Applications Packaging Team 
Architecture: all
Depends: python (>= 2.6.6-7~), graphviz, python-cairo, python-gtk2
Size: 16398
SHA256: a578ac449e716c66a5a8ea58cc96c7a57f06e26f67a78ca914b72ab8e7e4bb2a
SHA1: 1a6a009d564aa2a3ff13e43e4f5e59d5f22bfeb7
MD5sum: ea277a5faf9609d5cdcfb88d4c569b48
Description: interactive viewer for Graphviz dot files
 xdot is an interactive viewer for graphs written in Graphviz's dot language.
 It uses internally the graphviz's xdot output format as an intermediate
 format, and PyGTK and Cairo for rendering. xdot can be used either as a
 standalone application from command line, or as a library embedded in your
 Python application.
 .
 Features:
  * Since it doesn't use bitmaps it is fast and has a small memory footprint.
  * Arbitrary zoom.
  * Keyboard/mouse navigation.
  * Supports events on the nodes with URLs.
  * Animated jumping between nodes.
  * Highlights node/edge under mouse.
Homepage: http://code.google.com/p/jrfonseca/wiki/XDot
Tag: implemented-in::python, interface::x11, role::program,
 science::visualisation, scope::utility, uitoolkit::gtk, use::viewing
Section: python
Priority: optional
Filename: pool/main/x/xdot/xdot_0.4-2_all.deb

Package: xdotool
Version: 1:2.20100701.2961-3+rvt+deb7u3
Architecture: armhf
Maintainer: Daniel Kahn Gillmor 
Installed-Size: 94
Depends: libc6 (>= 2.13-28), libxdo2 (>= 1:2.20100623.2954)
Homepage: http://www.semicomplete.com/blog/projects/xdotool/
Priority: extra
Section: x11
Filename: pool/main/x/xdotool/xdotool_2.20100701.2961-3+rvt+deb7u3_armhf.deb
Size: 36306
SHA256: 1b3a4cf8e213dcbf9d227062add16c8a52d54318dc9fb8ad41faa2ad9efc936b
SHA1: 5fd34258272e2084822dd42258e61e97687f68e2
MD5sum: e6645f12f375b2de16ec47f066e2c4b3
Description: simulate X11 keyboard/mouse input
 xdotool lets you programatically (or manually) simulate keyboard
 input and mouse activity, move and resize windows, etc. It does this
 using X11's XTEST extension and other Xlib functions.

Package: xdrawchem
Version: 2.0-2
Architecture: armhf
Maintainer: Georges Khaznadar 
Installed-Size: 2496
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libopenbabel4, libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Priority: optional
Section: science
Filename: pool/main/x/xdrawchem/xdrawchem_2.0-2_armhf.deb
Size: 809352
SHA256: 8e9dca7494c029e494385048dd5b01abe91ad3e8f520b016df280d0004b87475
SHA1: e12925d333ebaf54d23d8ba4d1ea7c3714764105
MD5sum: 31520076002ba7f79cd0702988e9125f
Description: Chemical structures and reactions editor
 Xdrawchem is a 2D editor for chemical structures and reactions.  It
 mirrors the abilities of the commercial ChemDraw suite and has file
 compatibility with it as well as other chemical formats through
 OpenBabel.

Package: xdu
Version: 3.0-18
Architecture: armhf
Maintainer: Rémi Vanicat 
Installed-Size: 73
Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxt6
Priority: optional
Section: utils
Filename: pool/main/x/xdu/xdu_3.0-18_armhf.deb
Size: 16580
SHA256: 6d3aaaf4e0aa38cd9686c3444989c9eb25e3bce693679fdbe330862af9043cbe
SHA1: 22e883e838c7181d74c280bf2a720ba8241a4597
MD5sum: 68593d930045c3951955601158951885
Description: display the output of "du" in an X window
 XDU is a program for displaying a graphical tree of disk space
 utilization as reported by the UNIX utility "du".  You can
 navigate up and down in the tree, sort things, and print out
 information.

Package: xdvik-ja
Version: 22.84.16-j1.40+t1lib-1
Architecture: armhf
Maintainer: TSUCHIYA Masatoshi 
Installed-Size: 1003
Depends: libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libkpathsea6, libx11-6, libxaw7, libxmu6, libxpm4, libxt6, zlib1g (>= 1:1.1.4), texlive-binaries (>= 2011~), fonts-ipafont-mincho | fonts-japanese-mincho, fonts-ipafont-gothic | fonts-japanese-gothic, fontconfig
Priority: optional
Section: tex
Filename: pool/main/x/xdvik-ja/xdvik-ja_22.84.16-j1.40+t1lib-1_armhf.deb
Size: 557748
SHA256: 5dc78e19cc45f48b5b8f397d5b66a8425109de5f051b9716e7da857b976a90d6
SHA1: ddcb11c16780bdfecc89849e079bfb68c746914c
MD5sum: aaabed9e10a024646102a94fc4b32970
Description: Japanized DVI Previewer for the X Window System
 xdvi is a program which is used to preview DVI files, such as are produced
 by TeX. This localized version of xdvi can handle Japanese DVI files,
 called pxdvi.

Package: xemacs21
Version: 21.4.22-3.2
Installed-Size: 41
Maintainer: OHURA Makoto 
Architecture: all
Replaces: xemacs, xemacs-widget
Depends: xemacs21-mule (>= 21.4.22-3.2) | xemacs21-mule-canna-wnn (>= 21.4.22-3.2) | xemacs21-nomule (>= 21.4.22-3.2)
Conflicts: xemacs, xemacs-widget
Size: 15916
SHA256: 0e8c8ec6e2c191ef534e116ee04e3eb717ed9b1e84b49ebf8794f9681a8cd19d
SHA1: 32d81fac432c912cbad7b51163e269d433422862
MD5sum: 0b5fd3aef182a783759a160eaee369cc
Description: highly customizable text editor
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 This package exists to cause the installation of the real XEmacs packages.
Tag: devel::editor, interface::x11, role::dummy, role::metapackage,
 suite::emacs, uitoolkit::gtk, use::editing, works-with::dtp,
 works-with::mail, works-with::text, x11::application
Section: editors
Priority: optional
Filename: pool/main/x/xemacs21/xemacs21_21.4.22-3.2_all.deb

Package: xemacs21-basesupport
Source: xemacs21-packages
Version: 2009.02.17.dfsg.1-1
Installed-Size: 72164
Maintainer: OHURA Makoto 
Architecture: all
Depends: perl
Conflicts: xemacs21-support (<= 21.4.13-2)
Size: 23515146
SHA256: 2050d9e932fe3c824c347aa679c07348b5efc8027bd7dba86ef650f6f33defa1
SHA1: d6707c1c7fa10822c7fc16345c94e18012489fee
MD5sum: 76d4a6ac8a7ff9a1e052debe4954491d
Description: Editor and kitchen sink -- compiled elisp support files
 This package contains the base extra elisp files in order to have a
 functioning xemacs21 package.
 .
 This package contains only the compiled elisp files.
Tag: devel::editor, implemented-in::lisp, interface::x11, role::app-data,
 suite::emacs, use::editing, works-with::dtp, works-with::mail,
 works-with::text, x11::application
Section: editors
Priority: optional
Filename: pool/main/x/xemacs21-packages/xemacs21-basesupport_2009.02.17.dfsg.1-1_all.deb

Package: xemacs21-basesupport-el
Source: xemacs21-packages
Version: 2009.02.17.dfsg.1-1
Installed-Size: 18336
Maintainer: OHURA Makoto 
Architecture: all
Size: 13619108
SHA256: 98365555b0f9d5db803c489a1d2852308e3793013a18f07c641c00fbd2855dda
SHA1: af950534255fda3adcc501a55b7ffe74eca0e7cc
MD5sum: 103483453c2bff070a7ffdd354bc8557
Description: Editor and kitchen sink -- source elisp support files
 This package contains the base extra elisp files in order to have a
 functioning xemacs21 package.
 .
 The xemacs21-basesupport package contains the
 compiled versions of these files.
 .
 The major reason to install this package is if you want to learn
 elisp or modify the libraries for your specific installation.
Tag: devel::editor, implemented-in::lisp, interface::x11, role::devel-lib,
 suite::emacs, use::editing, works-with::dtp, works-with::mail,
 works-with::text, x11::application
Section: lisp
Priority: optional
Filename: pool/main/x/xemacs21-packages/xemacs21-basesupport-el_2009.02.17.dfsg.1-1_all.deb

Package: xemacs21-bin
Source: xemacs21
Version: 21.4.22-3.2
Architecture: armhf
Maintainer: OHURA Makoto 
Installed-Size: 1834
Depends: xemacs21-support (= 21.4.22-3.2), libc6 (>= 2.13-28), libdb5.1, libgpm2 (>= 1.20.4), libice6 (>= 1:1.0.0), libldap-2.4-2 (>= 2.4.7), libncurses5 (>= 5.5-5~), libsm6, libtinfo5, libx11-6, libxau6, libxext6, libxmu6, libxt6
Conflicts: suidmanager (<< 0.50)
Priority: optional
Section: editors
Filename: pool/main/x/xemacs21/xemacs21-bin_21.4.22-3.2_armhf.deb
Size: 522896
SHA256: 618477109d568ac4c63aab807fe9784882bf62222606736b0170d881f2fce167
SHA1: 21f41696ca05c9ceb7af19e012794be3a2986ce6
MD5sum: 38581b793d95db38c4562e6a278f6f33
Description: highly customizable text editor -- support binaries
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 After starting it up peruse the Help menu and read more on its
 origins and what it can do.
 .
 You will need one of xemacs21-mule, xemacs21-nomule, or
 xemacs21-mule-canna-wnn to actually run xemacs.

Package: xemacs21-gnome-mule
Source: xemacs21
Version: 21.4.22-3.2
Architecture: armhf
Maintainer: OHURA Makoto 
Installed-Size: 21
Depends: xemacs21-mule
Priority: optional
Section: gnome
Filename: pool/main/x/xemacs21/xemacs21-gnome-mule_21.4.22-3.2_armhf.deb
Size: 898
SHA256: 335205e906a9d86fc1c9def3b2e3b00950fd40a828752d0777852fb80038e378
SHA1: dec0a36f57268da86b5f13a57cabacf91984753a
MD5sum: b9e72d9459eaeabbd706a063ba4c5451
Description: highly customizable text editor -- transitional package
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 This is a transitional package.  Please use xemacs21-mule
 instead.  It can be safely removed.

Package: xemacs21-gnome-mule-canna-wnn
Source: xemacs21
Version: 21.4.22-3.2
Architecture: armhf
Maintainer: OHURA Makoto 
Installed-Size: 21
Depends: xemacs21-mule-canna-wnn
Priority: optional
Section: gnome
Filename: pool/main/x/xemacs21/xemacs21-gnome-mule-canna-wnn_21.4.22-3.2_armhf.deb
Size: 910
SHA256: 4ab6a46700d278250bac1610f28d859cde7968b9b2f869896db14c45977a5369
SHA1: 4c3ca53c9bbfd33e0cfd7d738b389a44a3a193d1
MD5sum: 04b996436c66379f6c0cc679b64b0b94
Description: highly customizable text editor -- transitional package
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 This is a transitional package.  Please use xemacs21-mule-canna-wnn
 instead.  It can be safely removed.

Package: xemacs21-gnome-nomule
Source: xemacs21
Version: 21.4.22-3.2
Architecture: armhf
Maintainer: OHURA Makoto 
Installed-Size: 21
Depends: xemacs21-nomule
Priority: optional
Section: gnome
Filename: pool/main/x/xemacs21/xemacs21-gnome-nomule_21.4.22-3.2_armhf.deb
Size: 898
SHA256: 6195e68dcd16083c299f25613fbaa7cc729ecff74d0fb51bcedfe7b8a6b6c8b9
SHA1: 537cd5e736b1b12c70f9c00a2eed0bf29a9555aa
MD5sum: c65feaa3e917d7f87ac5a2886bb5a5d1
Description: highly customizable text editor -- transitional package
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 This is a transitional package.  Please use xemacs21-nomule
 instead.  It can be safely removed.

Package: xemacs21-mule
Source: xemacs21
Version: 21.4.22-3.2
Architecture: armhf
Maintainer: OHURA Makoto 
Installed-Size: 5760
Depends: xemacs21-support (= 21.4.22-3.2), xemacs21-bin (= 21.4.22-3.2), libc6 (>= 2.13-28), libcompfaceg1, libdb5.1, libgpm2 (>= 1.20.4), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libldap-2.4-2 (>= 2.4.7), libncurses5 (>= 5.5-5~), libpng12-0 (>= 1.2.13-4), libsm6, libtiff4 (>> 3.9.5-3~), libtinfo5, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6, xemacs21-mulesupport (>= 2003.04.23-1), xemacs21-basesupport (>= 2003.04.23-1), emacsen-common
Provides: emacsen, info-browser, mail-reader, news-reader, www-browser, xemacs21
Priority: optional
Section: editors
Filename: pool/main/x/xemacs21/xemacs21-mule_21.4.22-3.2_armhf.deb
Size: 2125288
SHA256: 342ba8306ed7d0fdb79c27bbb00cfef964d4cd443b59ef4956cce5d07eb071ab
SHA1: 8462a02a2e3630c39d1df153030fd9b6ba9c2c4a
MD5sum: 75e3f937dd970ad407f2414398700f74
Description: highly customizable text editor -- Mule binary
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 This package contains the actual binary used to run XEmacs.  It
 depends on the xemacs21-support package for lisp and etc files, and
 the xemacs21-bin package for supporting binaries.  This binary has
 been compiled with support for mule, but no input extensions.
 .
 Mule is the extension to (x)emacs that allows for the display of
 non-European characters (for example Japanese and Korean characters).
 This version of the package is mainly useful for only the display and
 reading of international characters.  It does not have any mechanism
 for entering non-European characters (see the xemacs21-mule-canna-wnn
 package for that).
 .
 This package actually contains one of the three xemacs binaries
 available.  They are xemacs21-nomule, xemacs21-mule and
 xemacs21-mule-canna-wnn.

Package: xemacs21-mule-canna-wnn
Source: xemacs21
Version: 21.4.22-3.2
Architecture: armhf
Maintainer: OHURA Makoto 
Installed-Size: 5978
Depends: xemacs21-support (= 21.4.22-3.2), xemacs21-bin (= 21.4.22-3.2), libc6 (>= 2.13-28), libcanna1g, libcompfaceg1, libdb5.1, libgpm2 (>= 1.20.4), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libldap-2.4-2 (>= 2.4.7), libncurses5 (>= 5.5-5~), libpng12-0 (>= 1.2.13-4), libsm6, libtiff4 (>> 3.9.5-3~), libtinfo5, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6, xemacs21-mulesupport (>= 2003.04.23-1), xemacs21-basesupport (>= 2003.04.23-1), emacsen-common
Suggests: xfonts-cjk
Provides: emacsen, info-browser, mail-reader, news-reader, www-browser, xemacs21
Priority: optional
Section: editors
Filename: pool/main/x/xemacs21/xemacs21-mule-canna-wnn_21.4.22-3.2_armhf.deb
Size: 2219146
SHA256: 4b7575eee3480d41331896198ada847272379e40f598ea4f61f94532c145467b
SHA1: 0abe18a685aaf0dd833752925256d4e72de49f90
MD5sum: 04e181fad154037974827932a6f9b0e9
Description: highly customizable text editor -- Mule binary compiled with Canna and Wnn
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 This package contains the actual binary used to run XEmacs.  It
 depends on the xemacs21-support package for lisp and etc files, and
 the xemacs21-bin package for supporting binaries.  This binary has
 support for the input extensions Canna and WNN compiled in.
 .
 Mule is an extension to (x)emacs that allows for the display and
 input of non-European characters.  This binary allows for input and
 display whereas the xemacs21-mule package only does display.
 .
 This package actually contains one of the three xemacs binaries
 available.  They are xemacs21-nomule, xemacs21-mule and
 xemacs21-mule-canna-wnn.

Package: xemacs21-mulesupport
Source: xemacs21-packages
Version: 2009.02.17.dfsg.1-1
Installed-Size: 18724
Maintainer: OHURA Makoto 
Architecture: all
Conflicts: xemacs21-support (<= 21.4.13-2)
Size: 4497804
SHA256: 02a605873ee5fddffc52fa30737bab39d4962f62859c92e90093a873cbbc63e3
SHA1: aa44c120b35182b2779a18d5ed52777d4b941404
MD5sum: 862ad3cfb75a82f28a84f64c8aa4db96
Description: Editor and kitchen sink -- Mule elisp support files
 This package contains the mule elisp files in order to have a functioning
 mule xemacs21 package.
 .
 Mule is the extension to (x)emacs that allows for the display of
 non-European characters (for example Japanese and Korean characters).
Tag: devel::editor, devel::i18n, implemented-in::lisp, interface::x11,
 role::app-data, suite::emacs, use::editing, works-with::dtp,
 works-with::mail, works-with::text, x11::application
Section: editors
Priority: optional
Filename: pool/main/x/xemacs21-packages/xemacs21-mulesupport_2009.02.17.dfsg.1-1_all.deb

Package: xemacs21-mulesupport-el
Source: xemacs21-packages
Version: 2009.02.17.dfsg.1-1
Installed-Size: 2100
Maintainer: OHURA Makoto 
Architecture: all
Size: 1625296
SHA256: 28d0258217781e677928b30000c9cdddefdb39ea553c761cbf43ca4e15ba3691
SHA1: 8eec04ddb8f967e3541e936bc406c119c4c2eb74
MD5sum: 21c003d8e303b5aebfc22ec220606956
Description: Editor and kitchen sink -- source elisp support files
 This package contains the mule elisp files in order to have a functioning
 mule xemacs21 package.
 .
 Mule is the extension to (x)emacs that allows for the display of
 non-European characters (for example Japanese and Korean characters).
 .
 The xemacs21-mulesupport package contains the
 compiled versions of these files.
 .
 The major reason to install this package is if you want to learn
 elisp or modify the libraries for your specific installation.
Tag: devel::editor, devel::i18n, devel::lang:lisp, devel::library,
 implemented-in::lisp, role::devel-lib, suite::emacs, use::editing,
 works-with::dtp, works-with::mail, works-with::text, x11::application
Section: lisp
Priority: optional
Filename: pool/main/x/xemacs21-packages/xemacs21-mulesupport-el_2009.02.17.dfsg.1-1_all.deb

Package: xemacs21-nomule
Source: xemacs21
Version: 21.4.22-3.2
Architecture: armhf
Maintainer: OHURA Makoto 
Installed-Size: 4937
Depends: xemacs21-support (= 21.4.22-3.2), xemacs21-bin (= 21.4.22-3.2), libc6 (>= 2.13-28), libcompfaceg1, libdb5.1, libgpm2 (>= 1.20.4), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libldap-2.4-2 (>= 2.4.7), libncurses5 (>= 5.5-5~), libpng12-0 (>= 1.2.13-4), libsm6, libtiff4 (>> 3.9.5-3~), libtinfo5, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6, xemacs21-basesupport (>= 2003.04.23-1), emacsen-common
Provides: emacsen, info-browser, mail-reader, news-reader, www-browser, xemacs21
Priority: optional
Section: editors
Filename: pool/main/x/xemacs21/xemacs21-nomule_21.4.22-3.2_armhf.deb
Size: 1922708
SHA256: a18789482f9671b12cd383d1bf9cd728a3cd3e04b636c3b917259dd9b5a13104
SHA1: 33303d7dd6e7a9c2a662b13cf41efa3303fdf9ae
MD5sum: 0f2a4563d908169f5790d9ea5ad4a3d4
Description: highly customizable text editor -- Non-mule binary
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 This package contains the actual binary used to run XEmacs.  It
 depends on the xemacs21-support package for lisp and etc files, and
 the xemacs21-bin package for supporting binaries.  This binary does
 not have any of the mule stuff compiled into it.  It should be faster
 than the xemacs21-mule and xemacs21-mule-canna-wnn packages because
 it does not contain the fairly large bit of code for either the
 display or input of non-European characters.
 .
 This package actually contains one of the three xemacs binaries
 available.  They are xemacs21-nomule, xemacs21-mule and
 xemacs21-mule-canna-wnn.

Package: xemacs21-support
Source: xemacs21
Version: 21.4.22-3.2
Installed-Size: 8973
Maintainer: OHURA Makoto 
Architecture: all
Replaces: xemacs-support
Depends: emacsen-common, xemacs21 (= 21.4.22-3.2), install-info
Suggests: xemacs21-supportel (= 21.4.22-3.2)
Conflicts: sgml-base (<< 1.23), xemacs-support
Size: 4710566
SHA256: 85c879cb3ca8e34182870815eedb181175f63f775a09e2bc04c26e0615b48daf
SHA1: 404c7b55ce9b7591e7dbff3b553e1823e420c594
MD5sum: d9deac9203d9f298f4323e3f3dce88fa
Description: highly customizable text editor -- architecture independent support files
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 Support and architecture independent files for XEmacs 21.4.22.  This
 includes the files found in etc and all required elisp library files
 (mostly compiled (.elc files), but a few uncompiled (.el files)).
Tag: devel::editor, implemented-in::lisp, role::app-data, suite::emacs,
 use::editing, works-with::dtp, works-with::mail, works-with::text
Section: editors
Priority: optional
Filename: pool/main/x/xemacs21/xemacs21-support_21.4.22-3.2_all.deb

Package: xemacs21-supportel
Source: xemacs21
Version: 21.4.22-3.2
Installed-Size: 1301
Maintainer: OHURA Makoto 
Architecture: all
Depends: xemacs21-support (= 21.4.22-3.2)
Size: 1304076
SHA256: b582757093658ed51bce1ff8bfc5fc3e93832dd29f28b2fbb7d5c71c111106da
SHA1: ccc3a307037efbf113a99f030682e01cd82de5a7
MD5sum: 1ca416a6302af02ea99645b009916652
Description: highly customizable text editor -- non-required library files
 XEmacs is a full fledged programming language with a mail reader,
 news reader, info browser, web browser, calendar, specialized editor
 for more programming languages and other formats than most people
 encounter in a lifetime, and much more.
 .
 This package contains all the non-necessary library files for
 XEmacs.  The xemacs21-support package contains the compiled versions
 of these files.
 .
 The major reason to install this package is if you want to learn
 elisp or modify the libraries for your specific installation.
Tag: devel::editor, devel::lang:lisp, devel::library, implemented-in::lisp,
 role::devel-lib, suite::emacs, use::editing, works-with::dtp,
 works-with::mail, works-with::text, x11::application
Section: editors
Priority: optional
Filename: pool/main/x/xemacs21/xemacs21-supportel_21.4.22-3.2_all.deb

Package: xen-docs-4.1
Source: xen
Version: 4.1.6.lts1-14
Installed-Size: 1178
Maintainer: Debian Xen Team 
Architecture: all
Size: 1174578
SHA256: cae54d7195eef02e79a71cb3d9f72488636232c2d0ed6c2447608d143a2a138c
SHA1: 70d46f2f806e11bd614a293c1d5e8671e6e25573
MD5sum: 3b857e4c07cf295a6eb36409deb0e434
Description: Documentation for Xen
 This package contains all the large documentation files for Xen.  This
 includes a description interface (both the API, and a nice explanation of
 how XEN works).
Section: doc
Priority: optional
Filename: pool/main/x/xen/xen-docs-4.1_4.1.6.lts1-14_all.deb

Package: xen-tools
Version: 4.3.1-1
Installed-Size: 604
Maintainer: Axel Beckert 
Architecture: all
Depends: debootstrap | cdebootstrap, perl-modules, libtext-template-perl, libconfig-inifiles-perl, libfile-slurp-perl, openssh-client
Recommends: xen-hypervisor-amd64 | xen-hypervisor-i386 | xen-hypervisor-i386-pae, rinse (>= 1.9.1-1), libexpect-perl, xen-utils
Suggests: reiserfsprogs, xfsprogs, cfengine2, btrfs-tools
Size: 252030
SHA256: 5e7e34e36069cb4c40c45f4520ac7e9c9141c6568b04852819727bc8dff81cb4
SHA1: 3e046fff753f922a4729b6b83f086df4e0ffb16b
MD5sum: 2d4f4d6479820fc91821fb45a32e2fc5
Description: Tools to manage Xen virtual servers
 This package contains tools to manage Debian based Xen virtual servers.
 .
 Using the scripts you can easily create fully configured Xen guest
 domains (DomU) which can be listed, updated, or copied easily.
 .
 xen-tools currently can install:
 .
   * Debian 3.1 Sarge (i386 only)
   * Debian 4.0 Etch
   * Debian 5.0 Lenny
   * Debian 6.0 Squeeze
   * Debian 7.0 Wheezy
   * Debian Sid (Unstable)
   * Ubuntu 6.06 Dapper Drake (LTS)
   * Ubuntu 6.10 Edgy Eft
   * Ubuntu 7.04 Feisty Fawn
   * Ubuntu 7.10 Gutsy Gibbon
   * Ubuntu 8.04 Hardy Heron (LTS)
   * Ubuntu 8.10 Intrepid Ibex
   * Ubuntu 9.04 Jaunty Jackaplope
   * Ubuntu 9.10 Karmic Koala
   * Ubuntu 10.04 Lucid Lynx (LTS)
   * Ubuntu 10.10 Maverick Meerkat
   * Ubuntu 11.04 Natty Narwhal
   * Ubuntu 11.10 Oneiric Ocelot
   * Ubuntu 12.04 Precise Pangolin (LTS)
   * CentOS 5
   * CentOS 6
Homepage: http://xen-tools.org/software/xen-tools
Tag: admin::virtualization, devel::debian, implemented-in::perl,
 interface::commandline, role::program
Section: utils
Priority: extra
Filename: pool/main/x/xen-tools/xen-tools_4.3.1-1_all.deb

Package: xen-utils-common
Source: xen
Version: 4.1.6.lts1-14
Installed-Size: 265
Maintainer: Debian Xen Team 
Architecture: all
Depends: gawk, lsb-base, python, udev, xenstore-utils, ucf
Size: 82512
SHA256: 19e760d8f267d6333c1cf913ea3246be04a69c2980fc6ae0b1a9c39ba9ef53ea
SHA1: 3214c2b9f8d27b053fb4adbab81dd7f8e25eed19
MD5sum: 9a1e0107addeb7ccb3ca07dde3a0bc33
Description: Xen administrative tools - common files
 The userspace tools to manage a system virtualized through the Xen virtual
 machine monitor.
Section: admin
Priority: optional
Filename: pool/main/x/xen/xen-utils-common_4.1.6.lts1-14_all.deb

Package: xenomai-doc
Source: xenomai
Version: 2.6.0-2
Installed-Size: 30516
Maintainer: Roland Stigge 
Architecture: all
Replaces: xenomai-docs
Suggests: xenomai
Conflicts: xenomai-docs
Size: 19560756
SHA256: cead008fb56a37e04fdc2e8178e2cdf446f5a2b95350a678b8e5758cf9c2d8b0
SHA1: 39776cf09299bec8e73723d48b871d935d765a42
MD5sum: e69c16bce9edbf77b2385dcbe45120b3
Description: Xenomai documentation
 Xenomai is a real-time development framework cooperating with the Linux
 kernel in order to provide a pervasive, interface-agnostic, hard real-time
 support to user-space applications, seamlessly integrated into the GNU/Linux
 environment. Xenomai provides its own API and emulation layers ("skins") to
 make migration from other RTOS easier. Examples are: pSOS+, VxWorks, VRTX,
 uiTRON, RTAI, POSIX.
 .
 Documentation for Xenomai realtime API.
Homepage: http://www.xenomai.org/
Tag: devel::doc, made-of::html, made-of::pdf, role::documentation
Section: doc
Priority: extra
Filename: pool/main/x/xenomai/xenomai-doc_2.6.0-2_all.deb

Package: xevil
Version: 2.02r2-10
Architecture: armhf
Maintainer: Brandon Barnes 
Installed-Size: 1882
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6, libxpm4
Homepage: http://www.xevil.com/
Priority: optional
Section: games
Filename: pool/main/x/xevil/xevil_2.02r2-10_armhf.deb
Size: 541804
SHA256: 119e90ca590a9823ace92c86203aac321892f08f0fc2e98995d8e4e719542c22
SHA1: b771baa6f81f3284b77a9b00c27f7784ea8d1b9f
MD5sum: 30ec6b37a46f1e483bec9cbab1a81d91
Description: A violent side-scrolling game for X
 XEvil is a 3rd person, side-view, fast-action,
 kill-them-before-they-kill-you game. Fight against either
 computer-controlled enemies, or another player.
 .
 You sinned in life. And now you must pay. Satan pits the recently
 deceased against each other to fight for rank in Hell. Your skill
 determines your fate for all eternity. This contest is known as XEvil.

Package: xfaces
Version: 3.3-28
Architecture: armhf
Maintainer: Hakan Ardo 
Installed-Size: 314
Depends: libaudio2, libc6 (>= 2.13-28), libcompfaceg1, libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6
Suggests: picons
Priority: optional
Section: mail
Filename: pool/main/x/xfaces/xfaces_3.3-28_armhf.deb
Size: 78002
SHA256: 6a7a7af9cbc7098569d7341d04fea7a0661fae3e1ab45ff6ace8928c3eb42304
SHA1: 4d70f15e92a3f5cfd4e4d2acf44206def512c8af
MD5sum: 7fcabf46589b5b065524ad4d77a7e008
Description: Displays an image for each piece of mail in your mailbox
 XFaces is a program that will display an image for each
 piece of mail in your mail box. This lets you know at a
 glance who you have mail from. XFaces starts out (when you
 have no mail) looking like a color xbiff. As you receive
 mail XFaces becomes a column of mail images. Some of XFaces
 features:
  - Both mono and color images.
  - Optional Shaped window support with both xpm and xbm.
  - Sound support using the NetAudio protocol. The NetAudio server
    currently supports Sun's SparcStation (SunOS 4.1.X and Solaris
    2.2), SGI's Indigo and NCD MCX X terminals running NCDware 3.1 or
    later.
  - Face images can be "compressed" so that each image will only show
    up once on your display. This way, if you receive 30 messages from
    one user, list or whatever the image will only show up once.
  - You can use regular expressions to search any headers to choose
    images and sounds or ignore that mail message completely. This
    lets you specify an image/sound for mail that comes from a mailing
    list or ignore mail that you have already seen but left in your
    system mailbox.
  - Compatibility support for Rich Burridge's faces program. This
    includes the ability to run user command to generate the image
    list.
  - Support for POP mailboxes.
  - XFaces can kick off shell commands based upon mailbox contents.
    You could have yourself paged when a high priority item needs your
    attention.
  - XFaces can run external filters to convert images into Xpm so that
    image formats that XFaces does not understand can be used.
  - The annotations for mail items include username, hostname, count,
    user@host, the contents of any header.

Package: xfburn
Version: 0.4.3-5
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 1723
Depends: libburn4 (>= 1.2.2), libc6 (>= 2.13-28), libexo-1-0 (>= 0.5.0), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgstreamer-plugins-base0.10-0 (>= 0.10.12), libgstreamer0.10-0 (>= 0.10.0), libgtk2.0-0 (>= 2.14.0), libgudev-1.0-0 (>= 146), libisofs6 (>= 1.2.2), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2)
Priority: optional
Section: xfce
Filename: pool/main/x/xfburn/xfburn_0.4.3-5_armhf.deb
Size: 453640
SHA256: 344bc7333f4bd474d20fa4db283ccae311c2ee6de7d93f1818acf688d759fbcd
SHA1: 113d4004d0fa6c776b32e30f2367d41da3c4293f
MD5sum: 5e370b7626c134a9b1722bde6ecfb041
Description: CD-burner application for Xfce Desktop Environment
 Xfburn is a tool to help burning CDs and DVDs. It fits well in the Xfce
 Desktop Environment but can be used anywhere.
 .
 It's currently in development so some features may be missing, but it's
 already able to burn data.

Package: xfce-keyboard-shortcuts
Source: libxfce4ui
Version: 4.8.1-1
Installed-Size: 74
Maintainer: Debian Xfce Maintainers 
Architecture: all
Suggests: libxfce4ui-1-0
Conflicts: libxfcegui4-4 (<< 4.6.1-2)
Size: 25590
SHA256: f98b756a989c406e72d674cc55875f1f1a3ee7ae16157b1f383202ec1d1d6c3a
SHA1: 8437f617ef69d7e056e6755a2a393fb336ec8db1
MD5sum: 1feecff223ba1ea49e8f6033c38d8421
Description: xfce keyboard shortcuts configuration
 This package only contains the default shortcut configuration for Xfce.
Homepage: http://www.xfce.org/
Tag: role::app-data, suite::xfce
Section: xfce
Priority: optional
Filename: pool/main/libx/libxfce4ui/xfce-keyboard-shortcuts_4.8.1-1_all.deb

Package: xfce4
Version: 4.8.0.3
Installed-Size: 40
Maintainer: Debian Xfce Maintainers 
Architecture: all
Depends: xfwm4 (>= 4.8.0), xfconf (>= 4.8.0), xfce4-settings (>= 4.8.0), xfce4-panel (>= 4.8.0), xfdesktop4 (>= 4.8.0), thunar (>= 1.2.0), xfce4-utils (>= 4.8.0), gtk2-engines-xfce (>= 2.8.0), xfce4-session (>= 4.8.0), xfce4-appfinder (>= 4.8.0), xfce4-mixer (>= 4.8.0), orage (>= 4.8.0)
Recommends: xorg, desktop-base (>= 5.0.4), thunar-volman (>= 0.6.0), tango-icon-theme (>= 0.8.90), xfce4-notifyd
Suggests: xfprint4, xfce4-goodies
Size: 7644
SHA256: ebe4146861b4411f6cdbec2abed92a5e022419c3f352b1027d57f71924ae84e7
SHA1: 10d1d6b75ba91eead06712c40d1fe6646e75dd13
MD5sum: 288fdf7a90ca750777b5fcd4ed7c1ff4
Description: Meta-package for the Xfce Lightweight Desktop Environment
 Xfce is a lightweight desktop environment for unix-like operating systems.
 It aims to be fast and lightweight, while still being visually appealing and
 easy to use.  Designed for productivity, it loads and executes applications
 fast, while conserving system resources.
 .
 This package is a metapackage;  it depends on the core packages of the
 Xfce4 desktop environment and recommends some extra Xfce4 packages.  If you
 intend to use Xfce4 and want the full experience then installing this
 package and the packages it Recommends is a great place to start.
 If you just want to pick and choose the core components then feel free to
 remove this package.
Homepage: http://www.xfce.org/
Tag: admin::configuring, interface::x11, role::dummy, scope::utility,
 suite::xfce, uitoolkit::gtk, use::configuring, x11::application
Section: xfce
Priority: optional
Filename: pool/main/x/xfce4/xfce4_4.8.0.3_all.deb

Package: xfce4-appfinder
Version: 4.8.0-3
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 711
Depends: libc6 (>= 2.13-28), libgarcon-1-0 (>= 0.1.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.16.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), libxfconf-0-2 (>= 4.6.0)
Homepage: http://www.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-appfinder/xfce4-appfinder_4.8.0-3_armhf.deb
Size: 100888
SHA256: 7189cc043fcfebefd7d722a288f91752009ef31eff914026b303d506c5cf3d3f
SHA1: 8effc2cce71218794723242c1f2a125cb865f8e3
MD5sum: 94dbff311efd15e404f3979ca1e01a69
Description: Application finder for the Xfce4 Desktop Environment
 This is an application finder for the Xfce4 Desktop Environment.
 It will search for installed applications on your system.

Package: xfce4-artwork
Version: 0.1.1a~git+20110420-1
Installed-Size: 10168
Maintainer: Debian Xfce Maintainers 
Architecture: all
Size: 10145892
SHA256: ef74e35df591017747c5d97b7c0002054039f3501afed497a3b7221d6bb1bd66
SHA1: 6a75fb8747f6c624201f5bfda396636ad7a40773
MD5sum: 66bbcf07e71ee228d0c0b8832b2d63cb
Description: additional artwork for the Xfce4 Desktop Environment
 A pack of contributed wallpaper designed especially for showing off Xfce4.
Homepage: http://goodies.xfce.org/
Tag: interface::x11, role::app-data, suite::xfce, uitoolkit::gtk,
 x11::application
Section: xfce
Priority: optional
Filename: pool/main/x/xfce4-artwork/xfce4-artwork_0.1.1a~git+20110420-1_all.deb

Package: xfce4-battery-plugin
Version: 1.0.5-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 888
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-battery-plugin/xfce4-battery-plugin_1.0.5-1_armhf.deb
Size: 140988
SHA256: 1b7208bf62a9007be75833a958312337619c47c6527dd9edd826b80c1746bdea
SHA1: 637d2f9cf6cd3650a9af07373554c9aaba402ed9
MD5sum: 9067cd9f41c29531888645707f499005
Description: battery monitor plugin for the Xfce4 panel
 A battery monitor panel plugin for Xfce4, compatible with APM and ACPI, using
 either /proc files or the new sysfs files for recent kernels.  You can use this
 applet to monitor your battery level and be notified when your battery reaches
 low and critcal levels. The applet can even be programmed to execute a command
 on low and critcal levels.

Package: xfce4-cellmodem-plugin
Version: 0.0.5-3
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 128
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libpci3 (>= 1:3.1.9-2), libusb-0.1-4 (>= 2:0.1.12), libxfce4util4 (>= 4.3.99.2), libxfcegui4-4 (>= 4.7.0), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/projects/panel-plugins/xfce4-cellmodem-plugin
Priority: optional
Section: utils
Filename: pool/main/x/xfce4-cellmodem-plugin/xfce4-cellmodem-plugin_0.0.5-3_armhf.deb
Size: 27544
SHA256: 29ec7dd6ff6cbc1e48e9e13317b7eb00de03b453055cc574d1bcaaa0baffc4da
SHA1: aaa24b567ddea4e69ffc64b5db585ca04799c218
MD5sum: 762a18844a0dee33050f59d8d47defd8
Description: cellular modem plugin for the Xfce4 panel
 The cellmodem plugin is a monitoring plugin for cellular modems.
 It reports provider and signal quality for GPRS/UMTS(3G)/HSDPA(3.5G)
 modem cards. It works with (mostly) all cards which support an
 out-of-band channel for monitoring purposes.
 .
 The current features include:
  * Display the current network type (GPRS/UMTS)
  * Display the current signal level
  * Configure the maximum signal level
  * Configure the low and critical signal level
  * Asking for PIN if modem needs it
  * Quick visual feedback on modem and registration status via LEDs

Package: xfce4-clipman
Source: xfce4-clipman-plugin
Version: 2:1.2.3-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 1821
Depends: libc6 (>= 2.13-28), libexo-1-0 (>= 0.5.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libunique-1.0-0 (>= 1.0.0), libx11-6, libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), libxfconf-0-2 (>= 4.6.0), libxtst6
Conflicts: xfce4-clipman-plugin (<< 2:1.1.3-4.1)
Replaces: xfce4-clipman-plugin (<< 2:1.1.3-4.1)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-clipman-plugin/xfce4-clipman_1.2.3-1_armhf.deb
Size: 668818
SHA256: 1f8f5ca7512a5a187f70a630547eaeff9ac785670011e6f6ff40b9f086ed5ce6
SHA1: dee01073f2384285e910373cf2b5786f48349c4f
MD5sum: d78861ceaa79395732277680cc883cdf
Description: clipboard history utility
 Clipman enables you to store and recall X selections, as well as GTK+
 clipboard content. You can also define actions to be triggered by selecting
 some text pattern.
 .
 This package uses Xfce libraries but can be used without the Xfce panel
 and only requires a system tray (notification area).

Package: xfce4-clipman-plugin
Version: 2:1.2.3-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 125
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libexo-1-0 (>= 0.5.0), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), libxfconf-0-2 (>= 4.6.0), libxtst6, xfce4-panel (>= 4.7.7), xfce4-clipman (>= 2:1.1.3-4.1)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-clipman-plugin/xfce4-clipman-plugin_1.2.3-1_armhf.deb
Size: 38230
SHA256: b616033a13991d275544e5038045c904963104b0222aa4f7aa6c979faf123b1d
SHA1: ad4251620771beaf45d892fb85dc84123132b9b7
MD5sum: 0aed7db8a23814ed1eb771ff29642cef
Description: clipboard history plugin for Xfce panel
 Clipman enables you to store and recall X selections, as well as GTK+
 clipboard content. You can also define actions to be triggered by selecting
 some text pattern.
 .
 This package contains the Xfce panel plugin.

Package: xfce4-cpufreq-plugin
Version: 1.0.0-4
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 465
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libxfce4util4 (>= 4.3.99.2), libxfcegui4-4 (>= 4.7.0), xfce4-panel (>= 4.7.7)
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-cpufreq-plugin/xfce4-cpufreq-plugin_1.0.0-4_armhf.deb
Size: 50906
SHA256: 8766d576acaeacf945c1542bd4681c811f61fb6b4120b690e5bd4b86d65d7b00
SHA1: 5fe5d75cab15943e382865d38072ccf548c611d9
MD5sum: 09eb0d02f5d3e03bf3e90248a8a46f12
Description: cpufreq information plugin for the Xfce4 panel
 This plugin displays the current frequency of the CPU, in GHz or MHz as
 necessary. It also displays the frequency relative to the maximum CPU
 frequency as an accordingly colored progress bar.

Package: xfce4-cpugraph-plugin
Version: 1.0.3-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 601
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-cpugraph-plugin/xfce4-cpugraph-plugin_1.0.3-1_armhf.deb
Size: 81796
SHA256: e6aa6f94109a04501c4c37772673a374a8fcace54eb2a9db4c4d124390e67415
SHA1: 1d6ef4419d7fa1ed6fd7e4fcc342b82f90387603
MD5sum: 4c773c832fd5ddd44fcd6fd08c8e5401
Description: CPU load graph plugin for the Xfce4 panel
 The CPU graph plugin displays a graph of your latest system load.

Package: xfce4-datetime-plugin
Version: 0.6.1-3
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 245
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxfce4util4 (>= 4.3.99.2), libxfcegui4-4 (>= 4.7.0), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-datetime-plugin/xfce4-datetime-plugin_0.6.1-3_armhf.deb
Size: 26794
SHA256: 12ba6392ad2709a10971f4724dbf8bf9b81921fd35ea3ef9a1ff5f7f1e06042b
SHA1: cc1f9240181be1cfacb0d8ec0b848caddab2698b
MD5sum: 3542a5ab773f51c8b2a06c0bf070923f
Description: date and time plugin for the Xfce4 panel
 This plugin shows the date and time in the panel, and a calendar appears when
 you left-click on it.

Package: xfce4-dbg
Source: xfce4
Version: 4.8.0.3
Installed-Size: 36
Maintainer: Debian Xfce Maintainers 
Architecture: all
Depends: libxfce4util4-dbg, libxfce4ui-1-dbg, libgarcon-1-0-dbg, libexo-1-0-dbg, exo-utils-dbg, libxfconf-0-2-dbg, xfdesktop4-dbg, xfce4-panel-dbg, thunar-dbg
Size: 6496
SHA256: ccd135afb6870b0447711fb490a889375422d1ccb334331ca150e97f6f72f420
SHA1: 4c9931515f155a614be7031ad62a37a72cb1f642
MD5sum: 47cee1bc121a82828d2cd77ff1af183e
Description: meta-package for debugging symbols in Xfce
 Xfce is a lightweight desktop environment for unix-like operating systems.
 .
 This package depends on all debugging package for the core Xfce packages.
Homepage: http://www.xfce.org/
Tag: role::debug-symbols, role::metapackage, suite::xfce
Section: debug
Priority: extra
Filename: pool/main/x/xfce4/xfce4-dbg_4.8.0.3_all.deb

Package: xfce4-dev-tools
Version: 4.10.0-2
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 134
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.18.0)
Recommends: autoconf, automake, intltool, libtool
Homepage: http://foo-projects.org/~benny/projects/xfce4-dev-tools/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-dev-tools/xfce4-dev-tools_4.10.0-2_armhf.deb
Size: 38340
SHA256: d2841252390384d3d2114a1f55a692baecefb16f1c4bc688892f1ceb50184f72
SHA1: e9d20f7b88419c118ff2079b68bf05f026231305
MD5sum: c1385de1dbc07097fdb984c0ecfde3ac
Description: Script to help building Xfce from git
 xfce4-dev-tools provide an easy way to handle the setup and maintenance of a
 projects build framework. It's required to build Xfce applications from git.

Package: xfce4-dict
Version: 0.6.0-5
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 779
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxfce4util4 (>= 4.3.99.2), libxfcegui4-4 (>= 4.7.0), xfce4-panel (>= 4.7.7)
Recommends: aspell | ispell
Provides: dict-client
Homepage: http://goodies.xfce.org/projects/applications/xfce4-dict
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-dict/xfce4-dict_0.6.0-5_armhf.deb
Size: 162120
SHA256: 52e5f8891cc0aad4d806f14311096f76abeec97c998996f0da97791727245def
SHA1: 7032227ac5984d366d16603c831b4da5c17c8cb5
MD5sum: 554846c7b291afd3c76d313fa44b6f65
Description: Dictionary plugin for Xfce4 panel
 This program allows you to search different kinds of dictionary services for
 words or phrases and shows you the result. Currently you can query a Dict
 server (RFC 2229), any online dictionary service by opening a web browser or
 search for words using the aspell/ispell program.
 .
 xfce4-dict contains a stand-alone application called “xfce4-dict” and a panel
 plugin for the Xfce panel.

Package: xfce4-diskperf-plugin
Version: 2.5.4-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 531
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-diskperf-plugin/xfce4-diskperf-plugin_2.5.4-1_armhf.deb
Size: 70558
SHA256: 965dd50c2bf54cd2a685e5ada3bd02f036f59b1dc19d020a4bc91a6dfe0b4e37
SHA1: 371091d2ca7c23e4f63e56c0939401c451af0c00
MD5sum: 938f78ce8688b9f597f6544499519ed4
Description: disk performance display plugin for the Xfce4 panel
 The DiskPerf plugin displays disk/partition performance statistics based on
 the rsect/wsect data provided by the Linux kernel.

Package: xfce4-fsguard-plugin
Version: 1.0.1-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 672
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/projects/panel-plugins/xfce4-fsguard-plugin
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-fsguard-plugin/xfce4-fsguard-plugin_1.0.1-1_armhf.deb
Size: 92164
SHA256: 0c2cc124772d1d71d9f9235672f67d0c54e53c3a30164bcf41ec030136977114
SHA1: 5ad2336138d5faf61865f4a30f4ce7dd9d007db9
MD5sum: c8216f3e3dfa9aa35436e536872b97c6
Description: filesystem monitor plugin for the Xfce4 panel
 The fsguard plugin checks free space on a chosen mount point
 frequently and displays an alarm if free space is less than
 given alarm limit.

Package: xfce4-genmon-plugin
Version: 3.4.0-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 458
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/projects/panel-plugins/xfce4-genmon-plugin
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-genmon-plugin/xfce4-genmon-plugin_3.4.0-1_armhf.deb
Size: 50662
SHA256: d97c7557771551ed35d15c2c3945edf4eecb53593bce86bcf4f5ee541b22c519
SHA1: 62f61d6a500da3dc7054c6a44a619fcdec83f7b3
MD5sum: 3edad5893b8c69879c602e26cbe9c065
Description: Generic Monitor for the Xfce4 panel
 This plugin repeatedly spawns the indicated script/program, displaying
 its output as a string in the panel. It is useful for periodic status
 monitoring.

Package: xfce4-goodies
Version: 4.8.2
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 27
Depends: xfce4-artwork, xfce4-battery-plugin, xfce4-clipman-plugin, xfce4-cpufreq-plugin, xfce4-cpugraph-plugin, xfce4-datetime-plugin, xfce4-diskperf-plugin, xfce4-fsguard-plugin, xfce4-genmon-plugin, xfce4-mailwatch-plugin, xfce4-mount-plugin, xfce4-netload-plugin, xfce4-notes-plugin, xfce4-places-plugin, xfce4-quicklauncher-plugin, xfce4-sensors-plugin, xfce4-smartbookmark-plugin, xfce4-systemload-plugin, xfce4-timer-plugin, xfce4-verve-plugin, xfce4-wavelan-plugin, xfce4-weather-plugin, xfce4-xkb-plugin, thunar-archive-plugin, thunar-media-tags-plugin, mousepad, ristretto, squeeze, xfburn, xfce4-dict, xfce4-notifyd | notification-daemon | notify-osd, xfce4-screenshooter, xfce4-taskmanager, xfce4-terminal, xfce4-volumed
Recommends: xfce4-power-manager
Suggests: xfce4-cellmodem-plugin, xfce4-linelight-plugin, xfce4-messenger-plugin, xfce4-mpc-plugin, xfce4-radio-plugin, xfce4-xfapplet-plugin, xfswitch-plugin, xfce4-hdaps, thunar-thumbnailers, gigolo, parole, xfmpc
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-goodies/xfce4-goodies_4.8.2_armhf.deb
Size: 4626
SHA256: 801d325e09acb9396e6da105fec83e5c40d8bd763731b4f5f4b918a4e0261b82
SHA1: 0c3e8d3da7772ffc5d6906ca6ce4d6e9cfd6e004
MD5sum: c228d2912e4ceec46c316fc43c57f37b
Description: enhancements for the Xfce4 Desktop Environment
 The "Goodies for Xfce" project includes additional software and artwork that
 are related to the Xfce desktop, but not part of the official release.
 .
 This package will install the following Xfce4 related plugins:
   * Extra artwork (xfce4-artwork)
   * Battery levels monitor (xfce4-battery-plugin)
   * Clipboard history (xfce4-clipman-plugin)
   * CPU frequency management plugin (xfce4-cpufreq-plugin)
   * CPU utilisation graphs (xfce4-cpugraph-plugin)
   * Date and time plugin (xfce4-datetime-plugin)
   * Disk performance display (xfce4-diskperf-plugin)
   * Filesystem monitor (xfce4-fsguard-plugin)
   * Generic monitor, for displaying any command result (xfce4-genmon-plugin)
   * Mail watcher (xfce4-mailwatch-plugin)
   * Mount plugin (xfce4-mount-plugin)
   * Network load monitor (xfce4-netload-plugin)
   * Notes plugin (xfce4-notes-plugin)
   * Quick access to bookmarked folders, recent documents and removable
     media (xfce4-places-plugin)
   * Tiny launchers (xfce4-quicklaunchers)
   * Sensors plugin, frontend to lm-sensors (xfce4-sensors-plugin)
   * Smartbookmarks plugin (xfce4-smartbookmark-plugin)
   * System load monitor (xfce4-systemload-plugin)
   * Timer plugin (xfce4-timer-plugin)
   * Command line with history (xfce4-verve-plugin)
   * Wireless lan monitor (xfce4-wavelan-plugin)
   * Weather monitor (xfce4-weather-plugin)
   * Keyboard configuration (xfce4-xkb-plugin)
   * Archive management for Thunar (thunar-archive-plugin)
   * Media tags editor for Thunar (thunar-media-tags-plugin)
 .
 It'll install some standalone applications too:
   * Tiny text editor (mousepad)
   * Images viewer (ristretto)
   * Archive manager (squeeze)
   * CD/DVD burner (xfburn)
   * Frontend to dictionnaries (xfce4-dict)
   * Notification daemon (xfce4-notifyd)
   * Tool to take screenshots (xfce4-screenshooter)
   * Task manager (xfce4-taskmanager)
   * Terminal emulator (xfce4-terminal)
 .
 Some packages are only suggested because they bring too much dependencies,
 but you may find them interesting:
   * Cellular modem plugin (xfce4-cellmodem-plugin)
   * Search plugin, frontend to locate (xfce4-linelight-plugin)
   * DBus messaging plugin (xfce4-messenger-plugin)
   * Another commandline plugin (xfce4-minicmd-plugin)
   * Frontends to MPD (xfce4-mpc-plugin, xfmpc)
   * Radio plugin (xfce4-radio-plugin))
   * GNOME applet plugin (xfce4-xfapplet-plugin)
   * Fast-user switching plugin (xfswitch-plugin)
   * ThinkPads HDAPS plugin (xfce4-hdaps)
   * Additional thumbnailer for Thunar (thunar-thumbnailers)
   * GIO/GVfs frontend to manage connections to remote filesystems (gigolo)
   * Media player (parole)
   * Power Manager (xfce4-power-manager)
 .
 This is a metapackage to ease upgrades, installations, and provide a
 consistent upgrade path from previous versions. It can safely be removed with
 no ill effects.

Package: xfce4-indicator-plugin
Source: xfce4-indicator-plugin (0.5.0-1)
Version: 0.5.0-1+b1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 385
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libindicator7 (>= 0.4.90), libxfce4util4 (>= 4.3.99.2), libxfconf-0-2 (>= 4.6.0), xfce4-panel (>= 4.7.7)
Recommends: indicator-messages-gtk2
Provides: indicator-renderer
Homepage: http://goodies.xfce.org/projects/panel-plugins/xfce4-indicator-plugin/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-indicator-plugin/xfce4-indicator-plugin_0.5.0-1+b1_armhf.deb
Size: 38354
SHA256: 3d9c325ee766e66b0f9ce86c978ac0535090e89ddb62740d3ec74c4d7246b96d
SHA1: ffff4af1ba8bc4c8987ef3d86767f724d0ecb57c
MD5sum: 18bc47ce128b895a1a2415ec33f6124c
Description: plugin to display information from applications in the Xfce4 panel
 A small plugin to display information from various applications consistently
 in the Xfce4 panel as described in Ubuntus MessagingMenu design specification.

Package: xfce4-linelight-plugin
Version: 0.1.7-2
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 114
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libxfce4util4 (>= 4.3.99.2), libxfcegui4-4 (>= 4.7.0), xfce4-panel (>= 4.7.7), locate | mlocate
Homepage: http://goodies.xfce.org/projects/panel-plugins/xfce4-linelight-plugin/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-linelight-plugin/xfce4-linelight-plugin_0.1.7-2_armhf.deb
Size: 22168
SHA256: 3a8ecc90e76626fbb3f114b87974b1def2ccd17e8307bd2e8c8faed4c090d8c5
SHA1: 6d01637ef8ca7966e8a1db14d1b6f206f4a20c7a
MD5sum: aeb5df598dfc03d02fb31838558e0a32
Description: Search plugin for Xfce panel
 linelight is a simple frontend for the locate search. The search results are
 listed in sections (music, video, images, ... ) and can be executed directly
 from the Xfce panel.

Package: xfce4-mailwatch-plugin
Version: 1.1.0-5
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 934
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0), libgtk2.0-0 (>= 2.24.0), libxfce4util4 (>= 4.6.0), libxfcegui4-4 (>= 4.7.0), xfce4-panel (>= 4.7.7)
Homepage: http://spuriousinterrupt.org/projects/mailwatch
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-mailwatch-plugin/xfce4-mailwatch-plugin_1.1.0-5_armhf.deb
Size: 371820
SHA256: 4e5d17b4c17442d233c780589c95b46dcdec8b55f84a13d6ee0bc5ccb1c8b395
SHA1: 21cba55f92a4127fb95060a718c01b2258709bfd
MD5sum: fd033a15bcaaabf4c20f1bf712789e7b
Description: mail watcher plugin for the Xfce4 panel
 Mail checker panel plugin with support for local, POP3, IMAP,
 and Gmail accounts.

Package: xfce4-messenger-plugin
Version: 0.1.0-5
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 128
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libxfce4util4 (>= 4.3.99.2), libxfcegui4-4 (>= 4.7.0), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-messenger-plugin/xfce4-messenger-plugin_0.1.0-5_armhf.deb
Size: 34954
SHA256: 1bb82dccd11e590612e30dfb40bc5874ae23c4c38d12eddfcdd66ea7e1c718f9
SHA1: a9f590695324ab27c0c0d5f6cc29c99f222d9745
MD5sum: 2f1b713f39c9acbd2fd1ac44477a6f98
Description: Dbus messages plugin for xfce4-panel
 Xfce4 Messenger Plugin for Xfce4 Panel is a plugin that listens DBus
 messages and displays received messages in panel and/or popup
 window, and maintains a log of received messages.

Package: xfce4-mixer
Version: 4.8.0-3
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 1050
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgstreamer-plugins-base0.10-0 (>= 0.10.14), libgstreamer0.10-0 (>= 0.10.0), libgtk2.0-0 (>= 2.24.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), libxfconf-0-2 (>= 4.6.0), xfce4-panel (>= 4.7.7), gstreamer0.10-alsa | gstreamer0.10-audiosink, gstreamer0.10-plugins-base
Replaces: xfce4-mixer-alsa, xfce4-mixer-oss
Homepage: http://www.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-mixer/xfce4-mixer_4.8.0-3_armhf.deb
Size: 242158
SHA256: ffa8bcc6bf66979260e5d5e803bc9099235e6fa5e6fe2efc86eefa282c1755f7
SHA1: 7e16b8399f5261dc2edda3d35d26f06e05460e5c
MD5sum: 1fcc8eeb577dd3a4c4dc626165b06161
Description: Xfce mixer application
 This is the frontend for mixer settings delivered together
 with the Xfce4 desktop environment. It does the same jobs
 other mixer frontends do but is integrated into the Xfce4
 desktop as a plugin for the Xfce4 main panel.
 .
 It uses GStreamer as a backend.

Package: xfce4-mount-plugin
Version: 0.6.4-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 687
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-mount-plugin/xfce4-mount-plugin_0.6.4-1_armhf.deb
Size: 109904
SHA256: f95881d40ecf25dea93f1e85716f09e042b00a6830a1f37627e2641aac987e47
SHA1: 5b6f88e45ff9355b97c765670f87a3b56be0c132
MD5sum: 75dbc7e28514d6c5f59e7808b0dfeb68
Description: mount plugin for the Xfce4 panel
 This plugin for Xfce displays a list of the various devices available, giving
 the opportunity to mount/umount them.

Package: xfce4-mpc-plugin
Version: 0.4.4-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 463
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexo-1-0 (>= 0.5.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), xfce4-panel (>= 4.7.7)
Suggests: mpd
Homepage: http://goodies.xfce.org/projects/panel-plugins/xfce4-mpc-plugin/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-mpc-plugin/xfce4-mpc-plugin_0.4.4-1_armhf.deb
Size: 41350
SHA256: b3d61a13b27e41f862f0ac5eecca06c07bb7d3bad96e09ce6c07878ac2dd23fc
SHA1: 8c32e10f22e411d194217a65ccef4703177714a2
MD5sum: 17c18ee71c8f442994c0c0529272329e
Description: Xfce panel plugin which serves as client for MPD music player
 This is a client for MPD music player which is added into a Xfce panel as a
 plugin. It can control the playback and show the currently playing song.

Package: xfce4-netload-plugin
Version: 1.1.0-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 582
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-netload-plugin/xfce4-netload-plugin_1.1.0-1_armhf.deb
Size: 73722
SHA256: f1e0cc73f08d1757648dd5c6ef261f7bc6ab485597dceb4c933faeedded7deab
SHA1: f579504f7b9e2f51f815576b63318fac6db8820c
MD5sum: 026cf25508d98e6f7eb2895c4b14eb03
Description: network load monitor plugin for the Xfce4 panel
 This plugin displays the current load of the network interfaces of your choice
 in the panel.

Package: xfce4-notes
Source: xfce4-notes-plugin
Version: 1.7.7-2
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 715
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libunique-1.0-0 (>= 1.0.0), libx11-6, libxfce4util4 (>= 4.6.0), libxfcegui4-4 (>= 4.7.0), libxfconf-0-2 (>= 4.6.0)
Conflicts: xfce4-notes-plugin (<< 1.7.2-1)
Replaces: xfce4-notes-plugin (<< 1.7.2-1)
Homepage: http://goodies.xfce.org/projects/panel-plugins/xfce4-notes-plugin
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-notes-plugin/xfce4-notes_1.7.7-2_armhf.deb
Size: 118218
SHA256: 933aa11f87167963c76d69955641bac3b9165fcb97610e95d23757d5fc9627c3
SHA1: 269e67dd07644e110ad1eccd674908d2aa1231b7
MD5sum: 9db650caa8c7b99913e3fee0a9cbfbe4
Description: Notes application for the Xfce4 desktop
 The notes tool provides you a simple text editor in a little window for the
 Xfce 4 desktop.  Notes are especially useful for temporary stuff.
 .
 It supports multiple notes through a notebook. Click on the upper left
 corner button to open a new note.

Package: xfce4-notes-plugin
Version: 1.7.7-2
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 165
Depends: xfce4-notes, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxfce4util4 (>= 4.6.0), libxfconf-0-2 (>= 4.6.0), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/projects/panel-plugins/xfce4-notes-plugin
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-notes-plugin/xfce4-notes-plugin_1.7.7-2_armhf.deb
Size: 63796
SHA256: da74a0baa037b5937694e0667f01e1df09ce135e488a39ba85e57face687561d
SHA1: f8c310412620a3dc59b2f3ba42f4186616acec70
MD5sum: 9957f90fb26349dc3d47af4f64998150
Description: Notes plugin for the Xfce4 desktop
 The notes tool provides you a simple text editor in a little window for the
 Xfce 4 desktop.  Notes are especially useful for temporary stuff.
 .
 It supports multiple notes through a notebook. Click on the upper left
 corner button to open a new note.
 .
 This package contains the xfce4-panel plugin.

Package: xfce4-notifyd
Version: 0.2.2-2
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 615
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), libxfconf-0-2 (>= 4.6.0)
Recommends: libnotify-bin
Provides: notification-daemon
Homepage: http://spuriousinterrupt.org/projects/xfce4-notifyd
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-notifyd/xfce4-notifyd_0.2.2-2_armhf.deb
Size: 86218
SHA256: 74a618e089f37314e255211270c982389ae80ba378b222c93f302c338c797c92
SHA1: 9ed4882d1d131d2dd1433efc36826ca0913d9a5b
MD5sum: 7658f351be5b0deb6f62974dd2bcc0ba
Description: simple, visually-appealing notification daemon for Xfce
 Xfce4-notifyd is a simple, visually-appealing notification daemon for Xfce
 that implements the Freedesktop.org Desktop Notifications Specification.
 .
 Features:
  * Themable using the GTK+ theming mechanism
  * Visually appealing: rounded corners, shaped windows
  * Supports transparency and fade effects

Package: xfce4-panel
Version: 4.8.6-4
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 3351
Pre-Depends: dpkg (>= 1.15.7.2), multiarch-support
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libexo-1-0 (>= 0.5.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgarcon-1-0 (>= 0.1.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libwnck22 (>= 2.30.0-3), libx11-6, libxext6, libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), libxfconf-0-2 (>= 4.6.0), exo-utils
Homepage: http://www.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-panel/xfce4-panel_4.8.6-4_armhf.deb
Size: 1170360
SHA256: 2625d5c455a8bb0850dff39a404fbbe110209d87c3e25857ce7305e4ed7c7c0e
SHA1: bf63c4e0af2098a39d295f830b2220798e9b6a24
MD5sum: 06c64c2d1f8bf65d84e9dcfb7d974aff
Description: panel for Xfce4 desktop environment
 This is the panel provided by the Xfce4 desktop project.  If you want a
 multi-functional panel that can even handle plugins and the like, xfce4-panel
 might be worth a try.

Package: xfce4-panel-dbg
Source: xfce4-panel
Version: 4.8.6-4
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 1419
Depends: xfce4-panel (= 4.8.6-4)
Homepage: http://www.xfce.org/
Priority: extra
Section: debug
Filename: pool/main/x/xfce4-panel/xfce4-panel-dbg_4.8.6-4_armhf.deb
Size: 1116540
SHA256: 45a76063036c223092fbe35b997c7758d46c83758675908aae64f80fc2479c91
SHA1: 3563572d52a6d87d5260f6ac2b2b9c133a09ffd7
MD5sum: 1b3f4a3300119bda98074965bbfa0362
Description: debugging informations for xfce4-panel
 This package contains debugging symbols for xfce4-panel, the panel provided by
 the Xfce4 desktop project.

Package: xfce4-panel-dev
Source: xfce4-panel
Version: 4.8.6-4
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 751
Depends: xfce4-panel (= 4.8.6-4), pkg-config, libxfce4util-dev (>= 4.6.0), libglib2.0-dev (>= 2.18.0), libgtk2.0-dev (>= 2.14.0)
Homepage: http://www.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-panel/xfce4-panel-dev_4.8.6-4_armhf.deb
Size: 201394
SHA256: ea139a7367569ee818b01c904a96275a03fbfe17fd520d0a1768fb9d64482eda
SHA1: d6275e4f901234f232fed3b8d528ae5b7427aba3
MD5sum: 13b2fa6c638e30ac61f192407f55abde
Description: Xfce4 panel development files
 In this package, you can find the development files distributed with the
 Xfce4 panel, the main panel of Xfce4 desktop environment. The files in this
 package are mainly going to be of interest for you if you intend to code
 applications which use some of the Xfce4 C panel functions.

Package: xfce4-places-plugin
Version: 1.3.0-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 631
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexo-1-0 (>= 0.5.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.22.0), libgtk2.0-0 (>= 2.24.0), libnotify4 (>= 0.7.0), libx11-6, libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), xfce4-panel (>= 4.7.7), thunar
Homepage: http://goodies.xfce.org/projects/panel-plugins/xfce4-places-plugin
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-places-plugin/xfce4-places-plugin_1.3.0-1_armhf.deb
Size: 70934
SHA256: 875b9612efcdc0f344f52739330e6ff686097aac5a47cf3a2478f8308199db7d
SHA1: 53af244922abc2394825167f2b83df3e9e1ec2ea
MD5sum: 21a49df21a51d0e27bd141216ac76c70
Description: quick access to folders, documents and removable media
 This plugin brings much of the functionality of GNOME’s Places menu to Xfce.
 It puts a simple button on the panel. Clicking on this button opens up a menu
 with 4 sections:
  - System-defined directories (home folder, trash, desktop, file system)
  - Removable media (using thunar-vfs)
  - User-defined bookmarks (reads ~/.gtk-bookmarks)
  - Recent documents submenu

Package: xfce4-power-manager
Version: 1.0.11-2
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 348
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libnotify4 (>= 0.7.0), libx11-6, libxext6, libxfce4ui-1-0, libxfce4util4 (>= 4.6.0), libxfconf-0-2 (>= 4.6.0), libxrandr2 (>= 2:1.2.99.2), upower, xfce4-power-manager-data (= 1.0.11-2)
Recommends: consolekit
Suggests: xfce4-power-manager-plugins, udisks
Homepage: http://goodies.xfce.org/projects/applications/xfce4-power-manager
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-power-manager/xfce4-power-manager_1.0.11-2_armhf.deb
Size: 108134
SHA256: f2e05c20ccaf65dc4a6a6d7ff5639ec8411ca458f4d2068087aa5e852a3537ba
SHA1: a57d7ccef0ec44ea8c5329e9725566625203ffe8
MD5sum: c537966e3594ddd49f98cde05a99709a
Description: power manager for Xfce desktop
 This power manager for the Xfce desktop enables laptop users to set up
 a power profile for two different modes "on battery power" and "on ac
 power" while still allowing desktop users to at least change the DPMS
 settings and CPU frequency using the settings dialogue..
 .
 It features:
   * battery monitoring
   * cpu frequency settings
   * monitor DPMS settings
   * suspend/Hibernate
   * LCD brightness control
   * Lid, sleep and power switches control

Package: xfce4-power-manager-data
Source: xfce4-power-manager
Version: 1.0.11-2
Installed-Size: 2406
Maintainer: Debian Xfce Maintainers 
Architecture: all
Replaces: xfce4-power-manager (<< 0.8.0~beta2-1)
Recommends: xfce4-power-manager, librsvg2-common
Size: 633194
SHA256: 14545f0f461aa841c235134a43143a143f7c69ebec30f748cbc3ff8b44158049
SHA1: cd5b8d7afd0d48fd99c06e98cba424f8da0d400a
MD5sum: aeaf60e1f665418e877819c158f444cc
Description: power manager for Xfce desktop, arch-indep files
 This power manager for the Xfce desktop enables laptop users to set up
 a power profile for two different modes "on battery power" and "on ac
 power" while still allowing desktop users to at least change the DPMS
 settings and CPU frequency using the settings dialogue..
 .
 This package contains architecture-independant files.
Homepage: http://goodies.xfce.org/projects/applications/xfce4-power-manager
Tag: made-of::icons, made-of::man, role::app-data, suite::xfce
Section: xfce
Priority: optional
Filename: pool/main/x/xfce4-power-manager/xfce4-power-manager-data_1.0.11-2_all.deb

Package: xfce4-power-manager-plugins
Source: xfce4-power-manager
Version: 1.0.11-2
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 100
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libx11-6, libxfce4util4 (>= 4.3.99.2), libxrandr2 (>= 2:1.2.99.2), xfce4-panel (>= 4.7.7), xfce4-power-manager (>= 0.8.0~)
Homepage: http://goodies.xfce.org/projects/applications/xfce4-power-manager
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-power-manager/xfce4-power-manager-plugins_1.0.11-2_armhf.deb
Size: 29666
SHA256: a333f1d78e0e0332a724b7c3fc38d716fb51e2c9aaff83252cacaaa89934788b
SHA1: 9ecce05062a11262eedb0dedeb2417a7028f219d
MD5sum: ac1a24eff64ff8a2c4886626f2f0e9bc
Description: power manager plugins for Xfce panel
 This power manager for the Xfce desktop enables laptop users to set up
 a power profile for two different modes "on battery power" and "on ac
 power" while still allowing desktop users to at least change the DPMS
 settings and CPU frequency using the settings dialogue..
 .
 This package contains the brightness plugin.

Package: xfce4-quicklauncher-plugin
Version: 1.9.4-9
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 191
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxfce4util4 (>= 4.3.99.2), libxfcegui4-4 (>= 4.7.0), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-quicklauncher-plugin/xfce4-quicklauncher-plugin_1.9.4-9_armhf.deb
Size: 24906
SHA256: 0d78ee5a77f8cadc430e81ace6aa0807dbd62b1ad103ec0f4680f4cb23864fc0
SHA1: 6d8a9dca85fb0f9f9a77467598055650785c2474
MD5sum: 267d0e9611d1bf3649a9c3b2584dce48
Description: rapid launcher plugin for the Xfce4 panel
 Application launcher plugin for the Xfce panel.
 .
 Main differences from the original Xfce panel:
 .
    - Multi-line support
    - Easy and fast configuration
    - Zoom effect

Package: xfce4-radio-plugin
Version: 0.5.1-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 445
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libxfce4util4 (>= 4.3.99.2), libxfcegui4-4 (>= 4.7.0), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-radio-plugin/xfce4-radio-plugin_0.5.1-1_armhf.deb
Size: 51198
SHA256: efb469c850d3fe1a5b2d33c1b396b04d70685c53b23c44e2aa947a467edbfa87
SHA1: bb7c568f999e1d510ddd4c8def96241853cea454
MD5sum: 7a5dd38527a78df53e98c9d249fd5873
Description: v4l radio control plugin for the Xfce4 panel
 This is an Xfce panel plugin which allows you to control your
 video4linux radio device. You can turn your radio on/off, tune
 it to some frequency and manage station presets.

Package: xfce4-screenshooter
Version: 1.8.1-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 2952
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libexo-1-0 (>= 0.5.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libsoup2.4-1 (>= 2.4.0), libx11-6, libxext6, libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), libxfixes3
Recommends: libatk1.0-0 (>= 1.12.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, xfce4-panel (>= 4.7.7)
Replaces: xfce4-screenshooter-plugin (<< 1.4.90.0)
Homepage: http://goodies.xfce.org/projects/applications/xfce4-screenshooter
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-screenshooter/xfce4-screenshooter_1.8.1-1_armhf.deb
Size: 1773810
SHA256: 13bf089a812539ff09c21af9bae376ec3dda762a3442521acb994ab2c3a065c4
SHA1: 470f1373b9589dc74e7ff36bdf579ff47d48aef1
MD5sum: 0a2933214d6279d3621e8c5ab726ad8c
Description: screenshots utility for Xfce
 Screenshooter is an utility for the Xfce Desktop Environment. It can take
 desktop, rectangles or selected window screenshots, and you can bind it to
 your "Print Screen" key. A panel plugin is provided too.

Package: xfce4-screenshooter-plugin
Source: xfce4-screenshooter
Version: 1.8.1-1
Installed-Size: 98
Maintainer: Debian Xfce Maintainers 
Architecture: all
Depends: xfce4-screenshooter
Size: 76328
SHA256: 8612c0785b0b0bf4a926581442c46d0155c4a7fe617d6a96ac6f68b05b423eb9
SHA1: f94ae567571745f75ae0ae82949dc9c1628b0e5e
MD5sum: 088d541b614771645c1980d2c9d0b558
Description: transitional dummy package for xfce4-screenshooter
 Screenshooter is an utility for the Xfce Desktop Environment.
 The package has been renamed from xfce4-screenshooter-plugin to
 xfce4-screenshooter so this package is here to handle the transition.
 .
 You can safely remove it.
Homepage: http://goodies.xfce.org/projects/applications/xfce4-screenshooter
Tag: implemented-in::c, role::plugin, suite::xfce, uitoolkit::gtk
Section: xfce
Priority: optional
Filename: pool/main/x/xfce4-screenshooter/xfce4-screenshooter-plugin_1.8.1-1_all.deb

Package: xfce4-sensors-plugin
Version: 1.2.5-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 806
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libsensors4 (>= 1:3.0.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), xfce4-panel (>= 4.7.7)
Recommends: hddtemp, lm-sensors
Suggests: xsensors
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-sensors-plugin/xfce4-sensors-plugin_1.2.5-1_armhf.deb
Size: 128612
SHA256: acbf029ba1bb78cf14cdb07ff5bc972198a4583e77ff4d2dc23c6bf661201921
SHA1: d95713414adc3a891801ba8288f77463c8eaa2b5
MD5sum: cef3806b0379b9b5417fdbc4d99ce435
Description: hardware sensors plugin for the Xfce4 panel
 The sensors plugin reads your hardware sensor values and displays
 them in your panel.

Package: xfce4-session
Version: 4.8.3-3
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 3582
Pre-Depends: dpkg (>= 1.15.7.2), multiarch-support
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6, libwnck22 (>= 2.30.0-3), libx11-6, libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), libxfconf-0-2 (>= 4.6.0), xfce4-settings, xfconf
Recommends: xfwm4, xfce4-utils, xfdesktop4, xfce4-panel (>= 4.7.7), xfce4-panel (<< 4.9), consolekit, upower, dbus-x11, x11-xserver-utils
Suggests: sudo, fortunes-mod
Provides: x-session-manager
Homepage: http://www.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-session/xfce4-session_4.8.3-3_armhf.deb
Size: 1321814
SHA256: 8e7ec44c886b10865bc47116aae5dac98fad5277691dd85681a190878e3062df
SHA1: 8092964778e4e18ec5e5027c494031e3e18849d5
MD5sum: 718c7684f4da8f4b11654c63a7071a89
Description: Xfce4 Session Manager
 xfce4-session is an X11-compliant "session manager" designed for use with
 the Xfce4 Desktop Environment. On log out, the session manager saves the
 state of all your running applications. When you log back in, the session
 manager restores the same applications with the same window positions.

Package: xfce4-session-dbg
Source: xfce4-session
Version: 4.8.3-3
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 595
Depends: xfce4-session (= 4.8.3-3)
Homepage: http://www.xfce.org/
Priority: extra
Section: debug
Filename: pool/main/x/xfce4-session/xfce4-session-dbg_4.8.3-3_armhf.deb
Size: 439578
SHA256: c398cfcc2e9579132d6468e9008c52ecb5f9b9c43ad918bb941b60fe4a508dae
SHA1: 1ad3123591f6c7040b24737f110bd7666d7fb7ae
MD5sum: 1537582bf917e8a346e0530a1d65a677
Description: Xfce4 Session Manager (debug symbols)
 This package ships debugging symbols for the Xfce session manager.

Package: xfce4-settings
Version: 4.8.3-2
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 1830
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libexo-1-0 (>= 0.5.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libx11-6, libxcursor1 (>> 1.1.2), libxfce4ui-1-0, libxfce4util4 (>= 4.6.0), libxfconf-0-2 (>= 4.6.0), libxi6 (>= 2:1.1.2), libxklavier16 (>= 5.0), libxrandr2 (>= 2:1.2.99.3), xfconf
Recommends: xfce4-volumed, x11-utils
Conflicts: xfce4-mcs-plugins
Replaces: xfce4-mcs-manager, xfce4-mcs-plugins
Homepage: http://www.xfce.org
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-settings/xfce4-settings_4.8.3-2_armhf.deb
Size: 481312
SHA256: f8c6a93677f91e93a0d08d35bf1cdea1fc2e67182b750efdbb5ce902fe101b9c
SHA1: 87182005cea2b0e08b5e4f122b1b683105e0caed
MD5sum: 64a33f3d7b48939f02a99cb543676b56
Description: graphical application for managing Xfce settings
 xfce4-settings is the Xfce settings manager front-end. It comes
 with several different components for configuring application-independent
 settings inside xfconf.
 It contains multiple tools:
  - xfce4-settings-manager (which replaces the old mcs settings manager),
    which executes the various (provided) settings dialogs
  - xfce4-settings-helper: a daemon which provides special features, like
    keyboard shortcuts,  AccessX notification and update of keyboard and
    mouse-pointer data
  - xfce4-settings-editor, a tool for editing ALL settings within xfconf, the
    graphical counterpart of xfconf-query.
  - xfsettingsd, a daemon for exporting XSettings to applications.

Package: xfce4-smartbookmark-plugin
Version: 0.4.4-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 357
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libxfce4util4 (>= 4.3.99.2), libxfcegui4-4 (>= 4.7.0), xfce4-panel (>= 4.7.7)
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-smartbookmark-plugin/xfce4-smartbookmark-plugin_0.4.4-1_armhf.deb
Size: 26160
SHA256: 6f30ecf47621b39c60db7282a7e03ece977c13968c2859a5c6a46f341b5dd2b6
SHA1: 0409f95d67f9d5c9cfbda96d9bdbc5d1526a48bf
MD5sum: 0b5d6ef5d101ab0ad2c50b14d4959945
Description: search the web via the Xfce4 panel
 This plugin adds a text field to the Xfce panel, allowing the user to search
 the web. The URL and the text field size are configurable options.
 .
 Typical use cases are: Google, Wikipedia, the Debian Bug Tracking System
 .
 xfce4-smartbookmark-plugin is based on the smart bookmark concept:
 http://en.wikipedia.org/wiki/Smart_Bookmark

Package: xfce4-systemload-plugin
Version: 1.1.1-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 486
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.14.0), libpango1.0-0 (>= 1.14.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-systemload-plugin/xfce4-systemload-plugin_1.1.1-1_armhf.deb
Size: 58098
SHA256: 8105b65b5c24ed3bfa989006dbcef5c1cdd3910cd224cae518a2aa56add4b2ba
SHA1: a08c034d94e9cec5d0115c7eca86c93b18f3f668
MD5sum: 21c713e7bbbbae90fa1f71df796f7c2c
Description: system load monitor plugin for the Xfce4 panel
 This plugins displays the current CPU load, the memory in use, the swap space
 and the system uptime in the Xfce4 panel.

Package: xfce4-taskmanager
Version: 1.0.0-2
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 545
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.5.0), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.20.0), libpango1.0-0 (>= 1.14.0), libwnck22 (>= 2.30.0-3)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-taskmanager/xfce4-taskmanager_1.0.0-2_armhf.deb
Size: 77268
SHA256: a387262b980bf9af651fd558ffe9bd73a3750154d4faebadf15188e6d8b6afc1
SHA1: 170aa1e0a7d98dfd1918846c73f7108b3c21e69d
MD5sum: d5b7e7771d530938bd4b49aad3672431
Description: process manager for the Xfce4 Desktop Environment
 A GUI application for monitoring and controlling
 running processes written for Xfce.

Package: xfce4-terminal
Version: 0.4.8-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 4154
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libexo-1-0 (>= 0.5.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libvte9 (>= 1:0.28.0), libx11-6, libxfce4util4 (>= 4.3.99.2), exo-utils
Recommends: dbus-x11
Provides: x-terminal-emulator
Homepage: http://goodies.xfce.org/projects/applications/terminal
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-terminal/xfce4-terminal_0.4.8-1_armhf.deb
Size: 1300542
SHA256: ccd9542bf2b0121b84409cf04de7cded99980a9b7ccfc670c3d937764cbee780
SHA1: f111a32231606155e10864af03ca0631ba3fe054
MD5sum: afae436b072957c25ce9bdfc491941c9
Description: Xfce terminal emulator
 This package contains Terminal, which is a lightweight and easy to use
 terminal emulator for X11. It was created to fit nicely into the Xfce
 desktop environment, but it also fits nice with other environments.
 .
 For people who already know GNOME 2 terminal and are searching for a
 lighter but comparable replacement, Terminal might be the answer.

Package: xfce4-terminal-dbg
Source: xfce4-terminal
Version: 0.4.8-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 535
Depends: xfce4-terminal (= 0.4.8-1)
Homepage: http://goodies.xfce.org/projects/applications/terminal
Priority: extra
Section: debug
Filename: pool/main/x/xfce4-terminal/xfce4-terminal-dbg_0.4.8-1_armhf.deb
Size: 262150
SHA256: 5d2841e9c18b6b6a14f410164b0cbfe59737e68ece9d5c630080eaea6cc8952f
SHA1: 097b1c644bceb4dcd052121e3e9d6bbda5efb860
MD5sum: 463bb75d4d96e97c786b05cb4e297ea5
Description: Xfce terminal emulator - debugging symbols
 This package contains debugging symbols for Terminal, which is a lightweight
 and easy to use terminal emulator for X11.

Package: xfce4-timer-plugin
Version: 0.6.3-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 418
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libxfce4util4 (>= 4.3.99.2), libxfcegui4-4 (>= 4.7.0), xfce4-panel (>= 4.7.7)
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-timer-plugin/xfce4-timer-plugin_0.6.3-1_armhf.deb
Size: 39332
SHA256: 5b8e38d560fd761c0b8bce46805aa4750df3aa76fae55764eab57b3580149641
SHA1: 6063c558566c7d33410b7c28159914c8fa529b44
MD5sum: 24cc7a56b5d70c3050b100adfe82361c
Description: timer plugin for Xfce panel
 With this plugin you can add timers (alarm or countdown) to your Xfce
 panel. You can display a warning window and/or run a custom command when
 alarm rings, repeat alarms etc.
 The timer progress is shown as a progress bar in the Xfce panel, lasting
 time displayed on tooltip.

Package: xfce4-utils
Version: 4.8.3-2
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 2526
Pre-Depends: dpkg (>= 1.15.7.2)
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.16.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), xterm | x-terminal-emulator, x11-xserver-utils, xinit, exo-utils, procps
Recommends: xfwm4, xfce4-panel, thunar, xscreensaver | xlockmore | xlockmore-gl, dbus-x11, xinput, xdg-user-dirs
Suggests: xfce4-session
Homepage: http://www.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-utils/xfce4-utils_4.8.3-2_armhf.deb
Size: 759814
SHA256: 590dc0dce27776e7e46f1c115576bd609620d570f37e9dbdb10ea6c65a76130c
SHA1: fee4afd24abc54d485f66cedd3d947b8b4691a58
MD5sum: 700a363bb7ef6bfd45aff35dbad6f200
Description: Various tools for Xfce
 This package contains xfrun4, xfterm4, xflock4, xfmountdev4, xfbrowser4,
 startxfce4, xfhelp4, xfce4-about, eight tools for Xfce.  Xfce is a desktop
 environment that uses the GTK+ library and isn't resource-hungry.
 .
 If you want to use xfmountdev4, be sure to install Thunar before because it's
 called by it.

Package: xfce4-verve-plugin
Version: 1.0.0-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 474
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libpcre3 (>= 8.10), libxfce4util4 (>= 4.3.99.2), libxfcegui4-4 (>= 4.7.0), xfce4-panel (>= 4.7.7), exo-utils
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-verve-plugin/xfce4-verve-plugin_1.0.0-1_armhf.deb
Size: 56116
SHA256: e911d5e8a9a1e75b41e2ac39f45f2535af6b7d83d923b830ee057be3ea0bf770
SHA1: 73385358d6e3b793e0a2fc7b99c3c3a7fe64f5f7
MD5sum: 40826d57337848e7709887882e48a13f
Description: Verve (command line) plugin for Xfce panel
 Verve plugin is a command line plugin for Xfce panel, with autocompletion
 and command history

Package: xfce4-volumed
Version: 0.1.13-3
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 77
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libgstreamer-plugins-base0.10-0 (>= 0.10.14), libgstreamer0.10-0 (>= 0.10.0), libgtk2.0-0 (>= 2.8.0), libkeybinder0 (>= 0.2.1), libnotify4 (>= 0.7.0), libxfconf-0-2 (>= 4.6.0), gstreamer0.10-alsa | gstreamer0.10-audiosink
Homepage: http://goodies.xfce.org/projects/applications/xfce4-volumed
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-volumed/xfce4-volumed_0.1.13-3_armhf.deb
Size: 14118
SHA256: e6bdd57c81636068a92b5d9214d333a0957da398669c67e9f723931bd772a879
SHA1: e717e75598aeb3ca707a452f86a98f3df31c1ff7
MD5sum: 6df5efcad1f9ecdbdea7ddd4ee463117
Description: volume keys daemon
 This is a volume keys control daemon for Xfce Desktop environment. It controls
 the volume using multimedia keys, for the card configured in xfce4-mixer. It
 also provides volume change notifications.

Package: xfce4-wavelan-plugin
Version: 0.5.11-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 480
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-wavelan-plugin/xfce4-wavelan-plugin_0.5.11-1_armhf.deb
Size: 50992
SHA256: c25d5fdbf3992b8006443991ee12400da3b72066cca70ea87ec19589dacac9ca
SHA1: c34dd1a9b91b4dd64762d80d51fd3f36b6a9588a
MD5sum: 784d9ad1c8582bbdc7feec0c4dd6c790
Description: wavelan status plugin for the Xfce4 panel
 The WaveLAN plugin displays information of a WaveLAN device.
 .
 Current features are:
  - Signal state: tells if a carrier signal was detected.
  - Signal quality: current quality of the carrier signal.
  - Network name: current SSID of the WaveLAN network.

Package: xfce4-weather-plugin
Version: 0.7.4-5
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 1293
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libxfce4util4 (>= 4.3.99.2), libxfcegui4-4 (>= 4.7.0), libxml2 (>= 2.7.4), xfce4-panel (>= 4.7.7), xfce4-panel (<< 4.9)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-weather-plugin/xfce4-weather-plugin_0.7.4-5_armhf.deb
Size: 559564
SHA256: 7d96d2b2f846f987f42f6cc9d85722735170e51287cf70ee426c16c26fcff331
SHA1: 23f82767c18d1abf0587ebf8aee88bbbcf278f55
MD5sum: 2a404fe27dae9a9045ca1f991ebb2371
Description: weather information plugin for the Xfce4 panel
 The weather plugin displays information about the current weather according
 your timezone and settings. It allows one to search weather location code in
 the same plugin and displays weather status in little icons.
 .
 Features include:
  - Temperature, atmospheric pressure and state.
  - Wind speed, gust, and direction.
  - Humidity, Visibility, Dew-point, UV Index.

Package: xfce4-wmdock-plugin
Version: 0.3.4-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 380
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libwnck22 (>= 2.30.0-3), libx11-6, libxfce4util4 (>= 4.3.99.2), libxfcegui4-4 (>= 4.7.0), xfce4-panel (>= 4.7.7)
Homepage: http://www.ibh.de/~ellguth/xfce4-wmdock-plugin.html
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-wmdock-plugin/xfce4-wmdock-plugin_0.3.4-1_armhf.deb
Size: 45608
SHA256: 8bceef77987ee4d4960299d87df8757290d19e85bfe5d2968e52d956a063f3aa
SHA1: 0febcac7dda4ac07334078ae4a628451acec4dc1
MD5sum: a38211c2fee83bbe794b004a7460a613
Description: Compatibility layer for running WindowMaker dockapps on Xfce
 The WMdock plugin is a compatibility layer for running WindowMaker
 dockapps on the Xfce desktop.
 It integrates the dockapps into a panel, closely resembling the look
 and feel of the WindowMaker dock or clip, respectively.

Package: xfce4-xkb-plugin
Version: 0.5.4.3-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 2208
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), librsvg2-2 (>= 2.14.4), libwnck22 (>= 2.30.0-3), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), libxklavier16 (>= 5.0), xfce4-panel (>= 4.7.7)
Homepage: http://goodies.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfce4-xkb-plugin/xfce4-xkb-plugin_0.5.4.3-1_armhf.deb
Size: 545852
SHA256: ed693481b3696ca02779e76e34ea7e71d94c711404534477fe8e6797792c5f28
SHA1: 5a48959e5e8b7c0c4d2f259ce1b5199dc2812361
MD5sum: 0f21cd986b92579197c9db9fdc416baa
Description: xkb layout switch plugin for the Xfce4 panel
 The xkb plugin shows you the current keyboard layout and allows you to
 switch to different defined layouts.
 .
 The plugin itself cannot be used for defining the keyboard
 layouts one wants to use, this is done the XKB way - either
 in your X config or by setxkbmap tool.

Package: xfconf
Version: 4.8.1-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 846
Depends: libc6 (>= 2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libglib2.0-0 (>= 2.24.0), libxfce4util4 (>= 4.3.99.2), dbus-x11
Recommends: libxfconf-0-2 (>= 4.6.0)
Homepage: http://www.xfce.org
Priority: optional
Section: xfce
Filename: pool/main/x/xfconf/xfconf_4.8.1-1_armhf.deb
Size: 151426
SHA256: 1ecc2f80f96792a786842e7bb4871ea7bbebf9b89e89f48cab28707413caa932
SHA1: 499084ba5b95ba9f07375dfcb786f42e3d8c390c
MD5sum: 1279a91cab647fea890d8a5575134376
Description: utilities for managing settings in Xfce
 xfconf contains xfconfd and xfconf-query.
  - xfconfd handles the Xfce settings storage
  - xfconf-query enables users to tune settings from command line

Package: xfdesktop4
Version: 4.8.3-2
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 412
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libexo-1-0 (>= 0.5.0), libgarcon-1-0 (>= 0.1.2), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.24.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libthunarx-2-0 (>= 1.1.0), libwnck22 (>= 2.30.0-3), libx11-6, libxfce4ui-1-0, libxfce4util4 (>= 4.6.0), libxfconf-0-2 (>= 4.6.0), xfdesktop4-data (= 4.8.3-2), exo-utils
Recommends: dbus-x11, librsvg2-common, xdg-user-dirs, xfce4-utils
Suggests: menu
Replaces: xfdesktop4-data (<< 4.5.92)
Homepage: http://www.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfdesktop4/xfdesktop4_4.8.3-2_armhf.deb
Size: 127096
SHA256: c6839196561af415f699d3e972ad042aa20b77f3c03d8379089b433b01a0d79d
SHA1: ca9b05a6116b8188987623bf4118a6eec5795ac2
MD5sum: 4936f438fbdde1a3fbf272ca9b9e5985
Description: xfce desktop background, icons and root menu manager
 xfdesktop4 sets the background image, provides a right-click menu to
 launch applications and can optionally show files (including application
 launchers) or iconified windows. It includes gradient support for
 background color, saturation support for background image, real multiscreen
 and xinerama support.

Package: xfdesktop4-data
Source: xfdesktop4
Version: 4.8.3-2
Installed-Size: 3887
Maintainer: Debian Xfce Maintainers 
Architecture: all
Size: 2188360
SHA256: 6e3cf3bc658a167e729d4fe6de51fe285b9b2a31ecfe7fadcdc68518b54617da
SHA1: 6303b45dda4643c5e523561af2d8923b7c444ea5
MD5sum: ceb81f36fd101313bfbdce6cd4959b0a
Description: xfce desktop background, icons and root menu (common files)
 This package contains architecture-independent files for xfdesktop4.
Homepage: http://www.xfce.org/
Tag: role::app-data, suite::xfce
Section: xfce
Priority: optional
Filename: pool/main/x/xfdesktop4/xfdesktop4-data_4.8.3-2_all.deb

Package: xfdesktop4-dbg
Source: xfdesktop4
Version: 4.8.3-2
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 595
Depends: xfdesktop4 (= 4.8.3-2)
Homepage: http://www.xfce.org/
Priority: extra
Section: debug
Filename: pool/main/x/xfdesktop4/xfdesktop4-dbg_4.8.3-2_armhf.deb
Size: 489612
SHA256: 82bfb9070e9b87ced71cb7900abb55018369f9e85d8f9d75d5a5b64bd3eebc3c
SHA1: 6608e687830a2ad68c9dd88ecf9672505ce5d63c
MD5sum: 40654b01f9e3d3e2d74a857138d1e83f
Description: debugging informations for xfdesktop4
 This package contains debugging symbols for xfdesktop4, the desktop manager
 for Xfce 4 Desktop Environment.

Package: xfe
Version: 1.32.5-2
Architecture: armhf
Maintainer: Joachim Wiedorn 
Installed-Size: 3043
Depends: xfe-themes (>= 1.32.5-2), libc6 (>= 2.13-28), libfox-1.6-0, libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0), libx11-6, libxft2 (>> 2.1.1), zlib1g (>= 1:1.1.4)
Recommends: xfe-i18n, xterm, xarchiver, audacious (>> 2.3-1)
Suggests: rpm, xpdf, xine-ui
Homepage: http://roland65.free.fr/xfe/
Priority: optional
Section: x11
Filename: pool/main/x/xfe/xfe_1.32.5-2_armhf.deb
Size: 1400582
SHA256: d4c064ecc139f77cb3397ef310e191803a342a5835410e46d1adc6cf38232955
SHA1: 3382f864a525421d70bbedd07d1ceebfd4ffde1d
MD5sum: a06c4c3a5034f075aad5fa8d30d123f9
Description: lightweight file manager for X11
 Xfe is based on the popular but discontinued X Win Commander. It is
 desktop independent and is written using the C++ Fox Toolkit. Its
 appearance is similar to the Windows file-manager Total Commander
 or Windows Explorer. It is very fast and simple.
 .
 The main features are: file associations, mount/umount devices,
 directory tree for quick cd, change file attributes, auto save
 registry, compressed archives view/creation/extraction, compatibility
 with GNOME/KDE/Xfce, and much more.
 .
 Containing a simple text viewer (Xfview), text editor (Xfwrite),
 image viewer (Xfimage) and package manager (Xfpack).

Package: xfe-i18n
Source: xfe
Version: 1.32.5-2
Installed-Size: 1131
Maintainer: Joachim Wiedorn 
Architecture: all
Depends: xfe (>= 1.32.5-2)
Size: 431636
SHA256: 9bb3f84e80654e47f2b1e63e0df5f3663d194d7c264c1ac216ee144256b006da
SHA1: 040c33271e56c63b6570717d41c21420e6829ba1
MD5sum: 0c01ddd15ad49e0a0ffe56a1dbe1389e
Description: lightweight file manager for X11 (i18n support)
 Xfe is based on the popular but discontinued X Win Commander. It is
 desktop independent and is written using the C++ Fox Toolkit. Its
 appearance is similar to the Windows file-manager Total Commander
 or Windows Explorer. It is very fast and simple.
 .
 This package provides support many locales for non-English interfaces.
Homepage: http://roland65.free.fr/xfe/
Tag: role::app-data
Section: localization
Priority: optional
Filename: pool/main/x/xfe/xfe-i18n_1.32.5-2_all.deb

Package: xfe-themes
Source: xfe
Version: 1.32.5-2
Installed-Size: 990
Maintainer: Joachim Wiedorn 
Architecture: all
Replaces: xfe (<= 1.32.2)
Breaks: xfe (<= 1.32.2)
Size: 703040
SHA256: 1f8ddfa7349cc1338735d5744b2b233a080127cef581e11ad0a13ecbaf2013e3
SHA1: c23d9c1e19f47e16c6ae7f2ae011fbe4c3f64f6f
MD5sum: c19d52cd75e5ad25bc0fd0ad82ad0369
Description: lightweight file manager for X11 (themes)
 Xfe is based on the popular but discontinued X Win Commander. It is
 desktop independent and is written using the C++ Fox Toolkit. Its
 appearance is similar to the Windows file-manager Total Commander
 or Windows Explorer. It is very fast and simple.
 .
 This package contains all icon themes for xfe and its utils.
Homepage: http://roland65.free.fr/xfe/
Tag: role::app-data, x11::theme
Section: x11
Priority: optional
Filename: pool/main/x/xfe/xfe-themes_1.32.5-2_all.deb

Package: xfig
Version: 1:3.2.5.b-3
Architecture: armhf
Maintainer: Roland Rosenfeld 
Installed-Size: 1500
Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libx11-6, libxi6, libxpm4, libxt6, xaw3dg (>= 1.5+E-1), zlib1g (>= 1:1.1.4)
Recommends: transfig (>= 1:3.2.5-alpha4-1), xfig-libs
Suggests: gimp, xfig-doc (>= 1:3.2.5-alpha4-1), ghostscript, gsfonts-x11 (>= 0.7), netpbm, spell, cups-client | lpr
Breaks: transfig (<< 1:3.2.5-alpha4-1), xfig-doc (<< 1:3.2.5-alpha4-1)
Homepage: http://www-epb.lbl.gov/xfig/
Priority: optional
Section: graphics
Filename: pool/main/x/xfig/xfig_3.2.5.b-3_armhf.deb
Size: 701908
SHA256: bdeea50fccf3a5313f89853335d52e86dc884e17dfcb26d6fa7754ca0c426380
SHA1: 411622a3a8a400b0a74603d1b8752731580871fb
MD5sum: 56bb31f1b2351df8f3b137e49a9f5430
Description: Facility for Interactive Generation of figures under X11
 XFig is a menu-driven tool that allows the user to draw and manipulate objects
 interactively in an X window.  The resulting pictures can be saved, printed
 on postscript printers, or converted to a variety of other formats (e.g. to
 allow inclusion in LaTeX documents or web pages) using the transfig program.
 .
 This package contains the xfig program itself.
 .
 You should think about installing the transfig package to export the graphics
 to several common formats.  You should also think about installing xfig-doc,
 which contains the documentation and xfig-libs, which contains several clip
 art libraries.

Package: xfig-doc
Source: xfig
Version: 1:3.2.5.b-3
Installed-Size: 4890
Maintainer: Roland Rosenfeld 
Architecture: all
Suggests: xfig, firefox | www-browser, xpdf-reader | pdf-viewer
Size: 3364114
SHA256: 6a5273e229de64a9841ebc511327517a14750c60474057a8496253e7c3a750a7
SHA1: 94e2837d27d70f6ce7b8c4b77b687437ce28993c
MD5sum: 5e166a52e1f47c6be359354a4cf745c1
Description: XFig on-line documentation and examples
 XFig is a menu-driven tool that allows the user to draw and manipulate objects
 interactively in an X window.  The resulting pictures can be saved, printed
 on postscript printers, or converted to a variety of other formats (e.g.  to
 allow inclusion in LaTeX documents or web pages) using the transfig program.
 .
 This package contains on-line documentation in HTML and PDF formats as well as
 the graphics examples distributed with XFig.
Homepage: http://www-epb.lbl.gov/xfig/
Tag: made-of::html, made-of::pdf, role::documentation
Section: doc
Priority: optional
Filename: pool/main/x/xfig/xfig-doc_3.2.5.b-3_all.deb

Package: xfig-libs
Source: xfig
Version: 1:3.2.5.b-3
Installed-Size: 8481
Maintainer: Roland Rosenfeld 
Architecture: all
Suggests: xfig (>= 1:3.2.5-alpha4-1)
Breaks: xfig (<< 1:3.2.5-alpha4-1)
Size: 1678326
SHA256: 58fc103601fa56dd343b6d9258f0d2cd4d5c0dfcc65620d461c97c243de86789
SHA1: c97b55d8158644581d73ef06039005e94040be55
MD5sum: 6e85c8d0839d0fbe9d5b65edd72dfe33
Description: XFig image libraries and examples
 XFig is a menu-driven tool that allows the user to draw and manipulate objects
 interactively in an X window.  The resulting pictures can be saved, printed
 on postscript printers, or converted to a variety of other formats (e.g.  to
 allow inclusion in LaTeX documents or web pages) using the transfig program.
 .
 This package contains several clip art libraries including arrows, flowcharts,
 charts, labels, knitting, GUI, chemical process flowsheets, logic, digital
 signal processing, Entity Relationship diagrams, UML, electronic, electrical,
 hospital equipment, structural analysis, optics, audiovisual objects,
 computers, networks, mechanical (DIN), music, welding, office equipment,
 furniture, buildings, flags, maps and several examples.
Homepage: http://www-epb.lbl.gov/xfig/
Tag: made-of::icons, role::app-data, works-with::image,
 works-with::image:vector
Section: graphics
Priority: optional
Filename: pool/main/x/xfig/xfig-libs_3.2.5.b-3_all.deb

Package: xfingerd
Version: 0.6-5.1
Architecture: armhf
Maintainer: KELEMEN Peter 
Installed-Size: 63
Depends: libc6 (>= 2.4), libwrap0 (>= 7.6-4~), netbase, openbsd-inetd | inet-superserver
Conflicts: cfingerd, fingerd
Priority: extra
Section: net
Filename: pool/main/x/xfingerd/xfingerd_0.6-5.1_armhf.deb
Size: 15366
SHA256: eec9b479d650451b7d050b0c915f870dae138e7d93914002c770eb046a7f3e66
SHA1: e451966757072ae76a061ec64c05fa8674aa0fd2
MD5sum: e42423ff046944ecb45d77d72a071774
Description: BSD-like finger daemon with qmail support
 Yet another finger daemon.  Mimics the output and behavior of the original
 BSD daemon.  Does not need to be run as root, has support for PGP keys,
 qmail mailboxes and qmail dash-extended usernames.  Generally paranoid
 about account probes, while still allows search by full name.  Users can
 control if they can be fingered or not.  Uses tcpwrappers.

Package: xfireworks
Version: 1.3-8
Architecture: armhf
Maintainer: Yukiharu YABUKI 
Installed-Size: 151
Depends: libc6 (>= 2.4), libx11-6
Priority: optional
Section: games
Filename: pool/main/x/xfireworks/xfireworks_1.3-8_armhf.deb
Size: 43514
SHA256: 6e41493afcc6ef24100de7a0e2ec14ed6abbf755a47b607d44c4e174dbd2999b
SHA1: 57938ecea03a4d9f8c320e2f11bc9d9952cb96ff
MD5sum: 5db3b4767e6b8bcb06b17132d3210461
Description: Fireworks in your root window
 XFireworks makes fireworks in the root window on X.
 This is imitation of Japanese "Hanabi Taikai". It is very popular event
 in Japanese summer  and  performed  on  some rivers.

Package: xfishtank
Version: 2.2-26
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 454
Depends: libc6 (>= 2.13-28), libx11-6, libxext6
Priority: optional
Section: games
Filename: pool/main/x/xfishtank/xfishtank_2.2-26_armhf.deb
Size: 88702
SHA256: a02d1968d55bb4d8dd52e58569212e542618e7c72512a59fac3d59608994d627
SHA1: fa23bbf831a92078b9ea54fa66da34d7890ba5db
MD5sum: 78cf7382fafd2a17dbbaa4f0220885ef
Description: turns your X root into an aquarium
 Enjoy an animated aquarium background on your screen, with a variety of
 tropical fish swimming in it.

Package: xflip
Version: 1.01-25
Architecture: armhf
Maintainer: Joachim Breitner 
Installed-Size: 61
Depends: libc6 (>= 2.4), libx11-6
Priority: optional
Section: games
Filename: pool/main/x/xflip/xflip_1.01-25_armhf.deb
Size: 12344
SHA256: c3c7c86cbf81b04e49e7d2f6610b8759f23b032f5d0398cb4a3e3820a6466127
SHA1: 0f7aa8b6e62102677dbbc7e826172e4af29d031e
MD5sum: 0ef4887a9ad9a957a0cb1a0e9aae865d
Description: programs to mirror-image or melt your display
 Flip your (or your "xhost +" using friend's) screen on either the vertical
 or horizontal axis or melt away the graphics on your display. Both commands,
 named xflip and meltdown, have a -planes option to independently act on
 individual bit planes. This version now comes with a new -oblic option which
 flips horizontally and vertically simultaneously.

Package: xflr5
Version: 6.07+svn513-1
Architecture: armhf
Maintainer: Koichi Akabe 
Installed-Size: 3372
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libqt4-opengl (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Recommends: xflr5-doc
Homepage: http://www.xflr5.com/xflr5.htm
Priority: extra
Section: science
Filename: pool/main/x/xflr5/xflr5_6.07+svn513-1_armhf.deb
Size: 1558622
SHA256: 0e9a9e75cf3d3306f610e6777b0eb66a96f68b3c58d5dc09b660b71438806eed
SHA1: eca33cf7f4bdeecc958741e3f62b10b56d38893e
MD5sum: 34d52f53b436eab4456607537c59a0ed
Description: analysis tool for airfoils
 XFLR5 is an analysis tool for airfoils, wings and planes operating at low
 Reynolds Numbers.
 It includes:
 .
  1. XFoil's Direct and Inverse analysis capabilities
  2. Wing design analysis based on the Lifiting Line Theory and the Vortex
    Lattice Method

Package: xflr5-doc
Source: xflr5
Version: 6.07+svn513-1
Installed-Size: 851
Maintainer: Koichi Akabe 
Architecture: all
Suggests: xflr5
Size: 777292
SHA256: 935ae0be2ab3ff998af6688d85f48338af5794b50f18fb48fca818dcaae0b6e5
SHA1: 4d3fd4c12e440cb1990f728146a457df895f7db5
MD5sum: c5fb1bb43f570d0ac95681c6bab943b9
Description: xflr5 documentation
 XFLR5 is an analysis tool for airfoils, wings and planes operating at low
 Reynolds Numbers.
 .
 This package provides the documentation for XFLR5.
Homepage: http://www.xflr5.com/xflr5.htm
Tag: field::aviation, made-of::pdf, role::documentation
Section: doc
Priority: extra
Filename: pool/main/x/xflr5/xflr5-doc_6.07+svn513-1_all.deb

Package: xfm
Version: 1.5.4-3
Architecture: armhf
Maintainer: Bernhard R. Link 
Installed-Size: 638
Depends: libc6 (>= 2.13-28), libx11-6, libxmu6, libxpm4, libxt6, xaw3dg (>= 1.5+E-1), zlib1g (>= 1:1.1.4)
Recommends: mime-support, xless
Suggests: nedit, x-terminal-emulator
Homepage: http://alioth.debian.org/projects/xfm/
Priority: optional
Section: utils
Filename: pool/main/x/xfm/xfm_1.5.4-3_armhf.deb
Size: 204322
SHA256: 1153ced92e5dcd458684d059079e5628692fa6ac94fb753dac5799486321c02c
SHA1: 6f84daa2058359fac5c7f1c35a0bff1fb62e3ffc
MD5sum: 982706210dbd693e56d53afe061f2928
Description: X file and application manager
 Xfm is a file and application manager program for the X Window System, based
 on the Xaw3d widget set.  It provides virtually all of the features that you
 would expect in a file manager; move around your directory tree in multiple
 windows, move, copy or delete files, and launch programs with simple mouse
 operations.  Directory displays are updated automatically in regular
 intervals when the contents of the directory change.  The integrated
 application manager provides a kind of "shelf" onto which you can place your
 favorite applications, as well as the files and directories you are currently
 working with.  It also allows you to access different groups of applications
 and files.  User-definable file types let you specify a command to be
 executed when double-clicking on a file or dropping other files onto it.
 Last not least, xfm can automatically mount and unmount special devices like
 floppies as you open and close the corresponding directories (mount points).

Package: xfmpc
Version: 0.2.2-1
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 567
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.18.0), libmpd1, libpango1.0-0 (>= 1.14.0), libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2)
Homepage: http://goodies.xfce.org/projects/applications/xfmpc
Priority: optional
Section: sound
Filename: pool/main/x/xfmpc/xfmpc_0.2.2-1_armhf.deb
Size: 110616
SHA256: 218867ff8e82074a775f461eda01515368d21d764d7cfc7f2fdf3cd63eb79bcf
SHA1: 5a24883e4cbd01dc75db96621aa1310c86c0729b
MD5sum: fc983fafdcd2c93c95e109b593e40541
Description: graphical GTK+ MPD client
 Xfmpc is a MPD (Music Player Daemon) client, with focus on low footprint for
 the Xfce desktop environment.

Package: xfoil
Version: 6.97.dfsg-5
Architecture: armhf
Maintainer: Євгеній Мещеряков 
Installed-Size: 1777
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgfortran3 (>= 4.6), libx11-6
Homepage: http://web.mit.edu/drela/Public/web/xfoil/
Priority: optional
Section: science
Filename: pool/main/x/xfoil/xfoil_6.97.dfsg-5_armhf.deb
Size: 1238324
SHA256: 2eeafdc59f9a90271f446aacf7f3124c7ad62533bab182100ce8a65d1b78b076
SHA1: 9fc8bea92c51cb8d26627cab43a7955b14c0fb86
MD5sum: aa9ada04e2de55bbfdf02fffcb13c625
Description: program for the design and analysis of subsonic airfoils
 XFOIL is an interactive program for the design and analysis of subsonic
 isolated airfoils. It consists of a collection of menu-driven routines
 which perform various useful functions such as:
   - Viscous (or inviscid) analysis of an existing airfoil
   - Airfoil design and redesign by interactive specification of
     a surface speed distribution via screen cursor or mouse
   - Airfoil redesign by interactive specification of new geometric
     parameters
   - Blending of airfoils
   - Drag polar calculation with fixed or varying Reynolds and/or
     Mach numbers.
   - Plotting of geometry, pressure distributions, and polars

Package: xfonts-100dpi
Version: 1:1.0.3
Installed-Size: 4820
Maintainer: Debian X Strike Force 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Suggests: xfs | xserver
Size: 3908710
SHA256: b94fa5eb832b8e667520954e95561d9776038fe02e1fd18a1dd9dde1e11dfb30
SHA1: 1555f6a365e63cce113610f05549e71b8fdc65fc
MD5sum: 724f0fda3199d3cc005836f42bbcab36
Description: 100 dpi fonts for X
 xfonts-100dpi provides a set of bitmapped fonts at 100 dots per inch.  In
 most cases it is desirable to have the X font server (xfs) and/or an X server
 installed to make the fonts available to X clients.
 .
 This package contains only fonts in the ISO 10646-1 and ISO 8859-1
 encodings, to conserve disk space.  For other encodings, see the
 xfonts-100dpi-transcoded package.
 .
 This package and xfonts-75dpi provide the same set of fonts, rendered at
 different resolutions; only one or the other is necessary, but both may be
 installed.  xfonts-100dpi may be more suitable for large monitors and/or
 large screen resolutions (over 1024x768).
 .
 This package requires the xfonts-utils package to prepare the font
 directories for use by an X server or X font server.
Tag: made-of::font, role::app-data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-100dpi/xfonts-100dpi_1.0.3_all.deb

Package: xfonts-100dpi-transcoded
Source: xfonts-100dpi
Version: 1:1.0.3
Installed-Size: 10620
Maintainer: Debian X Strike Force 
Architecture: all
Depends: xfonts-utils
Suggests: xfs | xserver
Size: 7384160
SHA256: d77e57a6fb12804bb479fc0793bf97bb4c67c0e6b4c811f07f882c8e683e0942
SHA1: f9e98e20b4ab787c0c75a007b799388161fc2e2f
MD5sum: c7365e18cd7f70a6ace7168c7c0f9b3a
Description: 100 dpi fonts for X (transcoded from ISO 10646-1)
 xfonts-100dpi-transcoded provides a set of bitmapped fonts at 100 dots per
 inch.  In most cases it is desirable to have the X font server (xfs)
 and/or an X server installed to make the fonts available to X clients.
 .
 This package contains fonts in several ISO 8859 encodings: -2, -3, -4, -9,
 -10, -13, -14, and -15.  For the ISO 10646-1 and ISO 8859-1 encodings, see
 the xfonts-100dpi package.
 .
 This package and xfonts-75dpi-transcoded provide the same set of fonts,
 rendered at different resolutions; only one or the other is necessary, but
 both may be installed.  xfonts-100dpi-transcoded may be more suitable for
 large monitors and/or large screen resolutions (over 1024x768).
 .
 This package requires the xfonts-utils package to prepare the font
 directories for use by an X server or X font server.
Tag: made-of::font, role::app-data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-100dpi/xfonts-100dpi-transcoded_1.0.3_all.deb

Package: xfonts-75dpi
Version: 1:1.0.3
Installed-Size: 4488
Maintainer: Debian X Strike Force 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Suggests: xfs | xserver
Size: 3476410
SHA256: f1961b9bfe78b29493c7f5ce187d5ee98028b00da3e3d2a23d021e364379a51d
SHA1: e614fd4290dc9faf0d03b30314fd0fc872aae9ef
MD5sum: 332ba927d75552a8eb3b5975fe2f7e53
Description: 75 dpi fonts for X
 xfonts-75dpi provides a set of bitmapped fonts at 75 dots per inch.  In
 most cases it is desirable to have the X font server (xfs) and/or an X server
 installed to make the fonts available to X clients.
 .
 This package contains only fonts in the ISO 10646-1 and ISO 8859-1
 encodings, to conserve disk space.  For other encodings, see the
 xfonts-75dpi-transcoded package.
 .
 This package and xfonts-100dpi provide the same set of fonts, rendered at
 different resolutions; only one or the other is necessary, but both may be
 installed.  xfonts-75dpi may be more suitable for small monitors and/or
 small screen resolutions (under 1024x768).
 .
 This package requires the xfonts-utils package to prepare the font
 directories for use by an X server or X font server.
Tag: made-of::font, role::app-data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-75dpi/xfonts-75dpi_1.0.3_all.deb

Package: xfonts-75dpi-transcoded
Source: xfonts-75dpi
Version: 1:1.0.3
Installed-Size: 9776
Maintainer: Debian X Strike Force 
Architecture: all
Depends: xfonts-utils
Suggests: xfs | xserver
Size: 6435238
SHA256: f744776f249ed78cbb3175e7298b3f61d7a4606b2766b54909a69199c8465c9d
SHA1: ea5b09a70863b1a9a8b49eb625c46f308dac3aac
MD5sum: 4b6cf5cf97d8f79cac8efad5e7b1dd27
Description: 75 dpi fonts for X (transcoded from ISO 10646-1)
 xfonts-75dpi-transcoded provides a set of bitmapped fonts at 75 dots per
 inch.  In most cases it is desirable to have the X font server (xfs)
 and/or an X server installed to make the fonts available to X clients.
 .
 This package contains fonts in several ISO 8859 encodings: -2, -3, -4, -9,
 -10, -13, -14, and -15.  For the ISO 10646-1 and ISO 8859-1 encodings, see
 the xfonts-75dpi package.
 .
 This package and xfonts-100dpi-transcoded provide the same set of fonts,
 rendered at different resolutions; only one or the other is necessary, but
 both may be installed.  xfonts-75dpi-transcoded may be more suitable for
 small monitors and/or small screen resolutions (under 1024x768).
 .
 This package requires the xfonts-utils package to prepare the font
 directories for use by an X server or X font server.
Tag: made-of::font, role::app-data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-75dpi/xfonts-75dpi-transcoded_1.0.3_all.deb

Package: xfonts-a12k12
Version: 1-11
Installed-Size: 232
Maintainer: Nobuhiro Iwamatsu 
Architecture: all
Replaces: a12k12
Depends: xfonts-utils (>= 1:7.5+2)
Suggests: xfs | xserver
Conflicts: a12k12, xbase-clients (<< 4.0)
Size: 143520
SHA256: 4b7413e4439afad1b1d5a52e207f95ea141bd7cffbc24374bf91d1560691ce33
SHA1: aaf75658ab8b967633d866622d14b3d99c710a82
MD5sum: 149fdc8c0707ae91433f9c4620e28162
Description: 12-dot Kanji & ASCII fonts for X
 This package provides 12-dot fonts for Japanese (ASCII and Kana/Kanji).
 It provides:
  - a12: 12-dot ASCII fonts
  - k12: 12-dot Kanji fonts
Tag: culture::japanese, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-a12k12/xfonts-a12k12_1-11_all.deb

Package: xfonts-ayu
Version: 1.7+0a+0debian1-2.1
Installed-Size: 3542
Maintainer: GOTO Masanori 
Architecture: all
Depends: xfonts-utils
Suggests: xfs | xserver
Size: 3134066
SHA256: bf366efcadf67e0be5a0f27b89d83ed8ab6169c9841e4ffbb01c87e1d438994a
SHA1: 418754a665e88785c878f942100c0d063a782f42
MD5sum: 8d17297d700246d9b38ea2b13bdd7f92
Description: Gothic 18/20 dot Japanese and ISO-8859-1 X11 bitmap fonts
 This package includes Japanese (jisx0208.1990, jisx0201.1976) and
 ISO-8859-1 bitmap fonts for X Window System with Ayu gothic 18 dot, Ayu
 gothic 20 dot and Ayu mincho 18x18 dot fonts.
Tag: culture::japanese, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-ayu/xfonts-ayu_1.7+0a+0debian1-2.1_all.deb

Package: xfonts-baekmuk
Version: 2.2-5
Installed-Size: 9087
Maintainer: Debian Korean L10N 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Pre-Depends: dpkg (>= 1.15.6~)
Size: 7134674
SHA256: 3e46522247cfa962573a957364a91eb48ddf8bccc902c9084c0c2fdb8f0067e5
SHA1: eb60eb032bad463f4578352fcc5585ccdca783c8
MD5sum: 438e6c0cd560edd917f58e2e09a2a7ac
Description: Baekmuk Korean fonts for X
 This package provides four 75 dpi Korean font families with various
 sizes.
Homepage: http://kldp.net/projects/baekmuk
Tag: culture::korean, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-baekmuk/xfonts-baekmuk_2.2-5_all.deb

Package: xfonts-base
Version: 1:1.0.3
Installed-Size: 8280
Maintainer: Debian X Strike Force 
Architecture: all
Replaces: xfonts-misc
Depends: xfonts-utils (>= 1:7.5+2)
Suggests: xfs | xserver
Size: 6180990
SHA256: 19a8db94eecebd1e3835ee2dda7f910ab88e4cfa13616aa5fa1f348d62559bbf
SHA1: 5772f9a559132723de2a2d114fea493565438f93
MD5sum: ed46b7aef8a8ca1ddcbe4748f941293a
Description: standard fonts for X
 xfonts-base provides a standard set of low-resolution bitmapped fonts.  In
 most cases it is desirable to have the X font server (xfs) and/or an X server
 installed to make the fonts available to X clients.
Tag: made-of::font, role::app-data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-base/xfonts-base_1.0.3_all.deb

Package: xfonts-bitmap-mule
Source: bitmap-mule
Version: 8.5+0.20030825.0433-12
Installed-Size: 244
Maintainer: Tatsuya Kinoshita 
Architecture: all
Replaces: bitmap-font
Depends: xfonts-utils
Suggests: xfs, xserver, bitmap-mule
Conflicts: bitmap-font
Size: 94874
SHA256: 6d19ca9ce1d3aa5340dc5f189c6b9c02005ffd05e7f9cf7c4c27241f466ed758
SHA1: 6edb9002aac635d86166753a4f4dc7873223e34f
MD5sum: e1b3b599a60f1722441d673f3ace3c4e
Description: fonts of BITMAP-MULE for X
 This package contains some bitmap fonts for X.
 .
  - bitmap12 (6x12)
  - bitmap14 (7x14)
  - bitmap16 (8x16)
  - bitmap20 (10x20)
  - bitmap24 (12x24)
 .
 These fonts are generated by BITMAP-MULE.
 .
 BITMAP-MULE is a bitmap handler for GNU Emacs.  If you want to use
 BITMAP-MULE, install the bitmap-mule package.
Homepage: http://www.jpl.org/elips/bitmap/
Tag: devel::i18n, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/b/bitmap-mule/xfonts-bitmap-mule_8.5+0.20030825.0433-12_all.deb

Package: xfonts-biznet-100dpi
Source: xfonts-biznet
Version: 3.0.0-22
Installed-Size: 176
Maintainer: Robert Luberda 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Recommends: xfonts-100dpi-transcoded
Suggests: xfs | xserver
Size: 76654
SHA256: 60b1061d41f0ab67a55137ec2089c291d39a9f0768c1241571538b52036f135f
SHA1: 0acdbe9dc3a6f759d7d0ffddd49adcd1bf97ac0d
MD5sum: ebf8bb998b5eef2172b2db339583fbde
Description: 100 dpi BIZNET ISO-8859-2 fonts for X servers
 The BIZNET ISO 8859-2 (Central European) X Window System Fonts
 are a set of ISO 8859-2 (sometimes called also ISO Latin2)
 X Window System bitmap typefaces.
 .
 ISO-8859-2 character set is the ISO standard character encoding for the
 following languages: Albanian, Croatian, Czech, Hungarian, Polish,
 Slovak, Slovene, and Serbian.
 .
 xfonts-biznet-100dpi provides a set of bitmapped fonts at
 100 dots per inch.  In most cases it is desirable to have the X font
 server (xfs) and/or an X server installed to make the fonts available
 to X clients.
 .
 This package and xfonts-biznet-75dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-biznet-100dpi
 may be more suitable for large monitors and/or large screen resolutions
 (over 1024x768).
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-biznet/xfonts-biznet-100dpi_3.0.0-22_all.deb

Package: xfonts-biznet-75dpi
Source: xfonts-biznet
Version: 3.0.0-22
Installed-Size: 168
Maintainer: Robert Luberda 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Recommends: xfonts-75dpi-transcoded
Suggests: xfs | xserver
Size: 68894
SHA256: 0590dcca24a054468e9f274654417284b0db801b9c21f670cddc83bfada2363d
SHA1: ad70da250cc4bed6f5c34e59edbceb387053c5a2
MD5sum: b456ff5254f804fb9265c309308d196d
Description: 75 dpi BIZNET ISO-8859-2 fonts for X servers
 The BIZNET ISO 8859-2 (Central European) X Window System Fonts
 are a set of ISO 8859-2 (sometimes called also ISO Latin2)
 X Window System bitmap typefaces.
 .
 ISO-8859-2 character set is the ISO standard character encoding for the
 following languages: Albanian, Croatian, Czech, Hungarian, Polish,
 Slovak, Slovene, and Serbian.
 .
 xfonts-biznet-75dpi provides a set of bitmapped fonts
 at 75 dots per inch.  In most cases it is desirable to have the X font
 server (xfs) and/or an X server installed to make the fonts available
 to X clients.
 .
 This package and xfonts-biznet-100dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-biznet-75dpi
 may be more suitable for small monitors and/or small screen resolutions
 (under 1024x768).
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-biznet/xfonts-biznet-75dpi_3.0.0-22_all.deb

Package: xfonts-biznet-base
Source: xfonts-biznet
Version: 3.0.0-22
Installed-Size: 96
Maintainer: Robert Luberda 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Suggests: xfs | xserver
Size: 21498
SHA256: 1b5990660ee10f5b02fa3fb27c09346d9259b6f17554253035a74056c875f35d
SHA1: 6b4ddd5ca886a34df72120c8903620495bd16199
MD5sum: 825dc0b609a485db3f8fcf3703ee35ce
Description: Standard BIZNET ISO-8859-2 fonts for X servers
 The BIZNET ISO 8859-2 (Central European) X Window System Fonts
 are a set of ISO 8859-2 (sometimes called also ISO Latin2)
 X Window System bitmap typefaces.
 .
 ISO-8859-2 character set is the ISO standard character encoding for the
 following languages: Albanian, Croatian, Czech, Hungarian, Polish,
 Slovak, Slovene, and Serbian.
 .
 xfonts-biznet-base provides a standard set of low-resolution
 bitmapped fonts.  In most cases it is desirable to have the X font
 server (xfs) and/or an X server installed to make the fonts available
 to X clients.
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-biznet/xfonts-biznet-base_3.0.0-22_all.deb

Package: xfonts-bolkhov-75dpi
Source: xfonts-bolkhov
Version: 1.1.20001007-6
Installed-Size: 3580
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-bolkhov-nop
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-bolkhov-nop
Size: 3204212
SHA256: 098d698e80c1cc75814809b1402e5e90ce69b71ff3a3505f3ed5124466c1e1bf
SHA1: 1117b85195413fbeb381779404a1677dee988f6d
MD5sum: 7930b0453293d976d540299e6541583f
Description: 75 dpi Unicode Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are Unicode (ISO10646-1) fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts from this package are not designed for big monitors and/or
 large screen resolutions (over 1024x768).  You can find big fonts in
 the package xfonts-cronyx-100dpi.
 .
 The fonts are modified (mainly with Cyrillics added) versions of the
 most important X-Window fonts from 75dpi/.
 .
 Upstream author: Dmitry Bolkhovityanov 
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-bolkhov/xfonts-bolkhov-75dpi_1.1.20001007-6_all.deb

Package: xfonts-bolkhov-cp1251-75dpi
Source: xfonts-bolkhov
Version: 1.1.20001007-6
Installed-Size: 1164
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-bolkhov-cp1251
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-bolkhov-cp1251
Size: 731578
SHA256: 12871b49634228030f8fd88e5e18a044545b5a7ab84cb805e7edf96d3fcf4eb2
SHA1: 0a39445b159aba52a8aef6d648c66e5e68cfea68
MD5sum: b3ad750bd3eb968ff8b5aecff778c404
Description: 75 dpi CP1251 encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are CP1251 (microsoft-cp1251) fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts from this package are not designed for big monitors and/or
 large screen resolutions (over 1024x768).  You can find big fonts in
 the package xfonts-cronyx-cp1251-100dpi.
 .
 The fonts are modified (mainly with Cyrillics added) versions of the
 most important X-Window fonts from 75dpi/.
 .
 Upstream author: Dmitry Bolkhovityanov 
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-bolkhov/xfonts-bolkhov-cp1251-75dpi_1.1.20001007-6_all.deb

Package: xfonts-bolkhov-cp1251-misc
Source: xfonts-bolkhov
Version: 1.1.20001007-6
Installed-Size: 372
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-bolkhov-cp1251
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-bolkhov-cp1251
Size: 131394
SHA256: 8641b81bd91dffa2504310cfbd71de446c3df3991137d7f9be9845f00164fd0d
SHA1: 588cd29c456cf00d475e0b6e9422b8de6f2cc09a
MD5sum: 543ff39c0bb70a0bb23a76a0a0a5ae6b
Description: Character-cell CP1251 encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are CP1251 (microsoft-cp1251) fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts are modified (mainly with Cyrillic added) versions of the
 standard X-Window fonts from misc/.  Upstream author: Dmitry
 Bolkhovityanov 
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-bolkhov/xfonts-bolkhov-cp1251-misc_1.1.20001007-6_all.deb

Package: xfonts-bolkhov-isocyr-75dpi
Source: xfonts-bolkhov
Version: 1.1.20001007-6
Installed-Size: 1160
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-bolkhov-iso
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-bolkhov-iso
Size: 665082
SHA256: 88b5e03f6483caef3239381d2b9fb8e9b16de53fcd0175b77cf3b4291aa4182b
SHA1: d2d50f0baa226f1a6bf041da94214ae7ccbccbe4
MD5sum: 95f99a4fc138b0698948d273b6b6759a
Description: 75 dpi ISO 8859-5 encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are ISO 8859-5 fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts from this package are not designed for big monitors and/or
 large screen resolutions (over 1024x768).  You can find big fonts in
 the package xfonts-cronyx-isocyr-100dpi.
 .
 The fonts are modified (mainly with Cyrillics added) versions of the
 most important X-Window fonts from 75dpi/.
 .
 Upstream author: Dmitry Bolkhovityanov 
Tag: culture::russian, made-of::font, role::app-data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-bolkhov/xfonts-bolkhov-isocyr-75dpi_1.1.20001007-6_all.deb

Package: xfonts-bolkhov-isocyr-misc
Source: xfonts-bolkhov
Version: 1.1.20001007-6
Installed-Size: 368
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-bolkhov-iso
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-bolkhov-iso
Size: 121680
SHA256: 9a5b017fe781736fe8f62bc2121052083ad46720e87fb2fdde09a4c9b69b78f7
SHA1: 98f8a7574494901acdd5735890b5414d666d995f
MD5sum: 846016da77b2cba95b135de98f9dcdde
Description: Character-cell ISO-8859-5 encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are ISO-8859-5 fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts are modified (mainly with Cyrillic added) versions of the
 standard X-Window fonts from misc/.  Upstream author: Dmitry
 Bolkhovityanov 
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-bolkhov/xfonts-bolkhov-isocyr-misc_1.1.20001007-6_all.deb

Package: xfonts-bolkhov-koi8r-75dpi
Source: xfonts-bolkhov
Version: 1.1.20001007-6
Installed-Size: 1160
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-bolkhov-koi8r
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-bolkhov-koi8r
Size: 709164
SHA256: 108f623e90dd8b8b537051b3729ab4f2e08d76a2435c5d99cd9d95b5fe2c6ad1
SHA1: d79c4c10ea42d160a8c980d4811e282c5ba4ef39
MD5sum: 9bfcf1a6e9001695b9de204121636d04
Description: 75 dpi KOI8-R encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are KOI8-R fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts from this package are not designed for big monitors and/or
 large screen resolutions (over 1024x768).  You can find big fonts in
 the package xfonts-cronyx-koi8r-100dpi.
 .
 The fonts are modified (mainly with Cyrillics added) versions of the
 most important X-Window fonts from 75dpi/.
 .
 Upstream author: Dmitry Bolkhovityanov 
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-bolkhov/xfonts-bolkhov-koi8r-75dpi_1.1.20001007-6_all.deb

Package: xfonts-bolkhov-koi8r-misc
Source: xfonts-bolkhov
Version: 1.1.20001007-6
Installed-Size: 372
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-bolkhov-koi8r
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-bolkhov-koi8r
Size: 130480
SHA256: ee38ee3c307312702617ea57438b0828182c0c03739af1a541151c3cd222250c
SHA1: 4c4742a6d9afa56810e6786d704bd15b98e91613
MD5sum: 98ad1bacdb8580b54249dafdf17c11c2
Description: Character-cell KOI8-R encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are KOI8-R fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts are modified (mainly with Cyrillic added) versions of the
 standard X-Window fonts from misc/.  Upstream author: Dmitry
 Bolkhovityanov 
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-bolkhov/xfonts-bolkhov-koi8r-misc_1.1.20001007-6_all.deb

Package: xfonts-bolkhov-koi8u-75dpi
Source: xfonts-bolkhov
Version: 1.1.20001007-6
Installed-Size: 1160
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-bolkhov-koi8u
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-bolkhov-koi8u
Size: 714616
SHA256: a15b0814df5bab26573065be0428fb2a06058cdaa47baa7de02ca7ff97f3c4eb
SHA1: bc3c33fe43ec0f2c689ec10e3ab0de1936a47158
MD5sum: 4c85cf5d5997ac80b49be97c4e7c4e2d
Description: 75 dpi KOI8-U encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are KOI8-U fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts from this package are not designed for big monitors and/or
 large screen resolutions (over 1024x768).  You can find big fonts in
 the package xfonts-cronyx-koi8u-100dpi.
 .
 The fonts are modified (mainly with Cyrillics added) versions of the
 most important X-Window fonts from 75dpi/.
 .
 Upstream author: Dmitry Bolkhovityanov 
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-bolkhov/xfonts-bolkhov-koi8u-75dpi_1.1.20001007-6_all.deb

Package: xfonts-bolkhov-koi8u-misc
Source: xfonts-bolkhov
Version: 1.1.20001007-6
Installed-Size: 372
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-bolkhov-koi8u
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-bolkhov-koi8u
Size: 130778
SHA256: 6dfc3ccdff1a3c8be47938bd1635a18b20276977406f3f4270e5e2d15aa9810d
SHA1: 7c45e3b5605c0974ad705f4cc9ee4caf38bd3f6e
MD5sum: 7d1f8379cdff5bbcffdb134f0b23adc5
Description: Character-cell KOI8-U encoded Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are KOI8-U fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts are modified (mainly with Cyrillic added) versions of the
 standard X-Window fonts from misc/.  Upstream author: Dmitry
 Bolkhovityanov 
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-bolkhov/xfonts-bolkhov-koi8u-misc_1.1.20001007-6_all.deb

Package: xfonts-bolkhov-misc
Source: xfonts-bolkhov
Version: 1.1.20001007-6
Installed-Size: 688
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-bolkhov-nop
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-bolkhov-nop
Size: 430742
SHA256: e696e5ad61a36faca7cbb633cc3f6718ecd911029c84a8899885407ad651b558
SHA1: db622d0cabca1de4b6c647a734248cbc8a990852
MD5sum: 9c19509a8566ff40ddbce9ea85d0edf7
Description: Character-cell Unicode Cyrillic fonts for X (Cyr-RFX collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are Unicode (ISO10646-1) fonts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The fonts are modified (mainly with Cyrillic added) versions of the
 standard X-Window fonts from misc/.  Upstream author: Dmitry
 Bolkhovityanov 
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-bolkhov/xfonts-bolkhov-misc_1.1.20001007-6_all.deb

Package: xfonts-cronyx-100dpi
Source: xfonts-cronyx
Version: 2.3.8-6
Installed-Size: 1860
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-cronyx-nop
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-cronyx-nop
Size: 1693828
SHA256: 01c1f6011e1badafbca17c1b12161271cbe6b919c1204be91d9b232b9f4ad1c4
SHA1: a27324414a3f5f7863c1bd075bfc33288490933f
MD5sum: 35380670f801f3ab3449a221f2febb88
Description: 100 dpi Unicode Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 100 dots
 per inch.  These are Unicode (ISO10646-1) fonts and support all
 current slavic Cyrillic letters as well as some Russian
 prerevolutionary letters.  In most cases it is desirable to have the
 X font server (xfs) and/or an X server installed to make the fonts
 available to X clients.
 .
 This package and xfonts-cronyx-75dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-100dpi may be
 more suitable for large monitors and/or large screen resolutions
 (over 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cronyx/xfonts-cronyx-100dpi_2.3.8-6_all.deb

Package: xfonts-cronyx-75dpi
Source: xfonts-cronyx
Version: 2.3.8-6
Installed-Size: 1760
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-cronyx-nop
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-cronyx-nop
Size: 1583856
SHA256: 9ecae2cb096b9012a447c699fd992f464358086e76772fea856f198a5520d0c5
SHA1: f6083d6279240bb2412d3ecb9c8c3f1d49cf01c2
MD5sum: ae6c207d965594a12a8a78dd3665eef9
Description: 75 dpi Unicode Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are Unicode (ISO10646-1) fonts and support all
 current slavic Cyrillic letters as well as some Russian
 prerevolutionary letters.  In most cases it is desirable to have the
 X font server (xfs) and/or an X server installed to make the fonts
 available to X clients.
 .
 This package and xfonts-cronyx-100dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-75dpi may be
 more suitable for small monitors and/or small screen resolutions
 (under 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cronyx/xfonts-cronyx-75dpi_2.3.8-6_all.deb

Package: xfonts-cronyx-cp1251-100dpi
Source: xfonts-cronyx
Version: 2.3.8-6
Installed-Size: 576
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-cronyx-cp1251
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-cronyx-cp1251
Size: 413888
SHA256: d5e04364f50e4c361349c43f3651ded10d889e5c89510822defc0d7af42d0163
SHA1: 08ae3512dbfd6fccab6e0e116192d8cc0cb3a677
MD5sum: 84d5fa188c3b6e8e2150ec1549430eb5
Description: 100 dpi CP1251 encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 100 dots
 per inch.  These are CP1251 (microsoft-cp1251) fonts
 and support all current slavic Cyrillic scripts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-cp1251-75dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-cp1251-100dpi may be
 more suitable for large monitors and/or large screen resolutions
 (over 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cronyx/xfonts-cronyx-cp1251-100dpi_2.3.8-6_all.deb

Package: xfonts-cronyx-cp1251-75dpi
Source: xfonts-cronyx
Version: 2.3.8-6
Installed-Size: 552
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-cronyx-cp1251
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-cronyx-cp1251
Size: 360274
SHA256: 7e2457e246ece5480b5ef57493bc1e19b3e415e9f6f72361f4b7820acbab9c0d
SHA1: a855f94d19f232b9d67a991c123100be4f0a7b42
MD5sum: 72fb200ff0a7afabc3649f35b5724344
Description: 75 dpi CP1251 encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are CP1251 (microsoft-cp1251) fonts
 and support all current slavic Cyrillic scripts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-cp1251-100dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-cp1251-75dpi may be
 more suitable for small monitors and/or small screen resolutions
 (under 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cronyx/xfonts-cronyx-cp1251-75dpi_2.3.8-6_all.deb

Package: xfonts-cronyx-cp1251-misc
Source: xfonts-cronyx
Version: 2.3.8-6
Installed-Size: 304
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-cronyx-cp1251
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-cronyx-cp1251
Size: 153916
SHA256: 076450d36e64d743178700eb11f51f046cf1bc6b8fa792a4176a250685b6e32f
SHA1: 747cfb144cf8b6c247ed78c6cdae61d4d52a5853
MD5sum: 07480396ae9c623fb1b32b3f83fbef9c
Description: Character-cell CP1251 encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are CP1251 (microsoft-cp1251) fonts
 and support all current slavic Cyrillic scripts.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cronyx/xfonts-cronyx-cp1251-misc_2.3.8-6_all.deb

Package: xfonts-cronyx-isocyr-100dpi
Source: xfonts-cronyx
Version: 2.3.8-6
Installed-Size: 564
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-cronyx-iso
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-cronyx-iso
Size: 373234
SHA256: 0abe9b92f0abe9ca2b40102bb3ac00b0a895c5989d5b3d07833f2eae8c6bed48
SHA1: 0c21dcf577eead7ae4346394dca640fc0f97fb1e
MD5sum: 0b8df8a54ebca05e309d70c1bab23588
Description: 100 dpi ISO 8859-5 encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 100 dots
 per inch.  These are ISO 8859-5 fonts and support the Belarusian,
 Bulgarian, Macedonian, Russian and Serbian scripts.  In most cases it
 is desirable to have the X font server (xfs) and/or an X server
 installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-isocyr-75dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-isocyr-100dpi may be
 more suitable for large monitors and/or large screen resolutions
 (over 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cronyx/xfonts-cronyx-isocyr-100dpi_2.3.8-6_all.deb

Package: xfonts-cronyx-isocyr-75dpi
Source: xfonts-cronyx
Version: 2.3.8-6
Installed-Size: 548
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-cronyx-iso
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-cronyx-iso
Size: 323388
SHA256: d73162efaaf96c197aba0e4f0ee2ae34f06b56d7817bec97a6106f8ab073b36a
SHA1: 612a05a571bd7640d2ea3e0855ccde90403d5bd7
MD5sum: 0fdabff7127ecc069976d85d9f523b55
Description: 75 dpi ISO 8859-5 encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are ISO 8859-5 fonts and support the Belarusian,
 Bulgarian, Macedonian, Russian and Serbian scripts.  In most cases it
 is desirable to have the X font server (xfs) and/or an X server
 installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-isocyr-100dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-isocyr-75dpi may be
 more suitable for small monitors and/or small screen resolutions
 (under 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cronyx/xfonts-cronyx-isocyr-75dpi_2.3.8-6_all.deb

Package: xfonts-cronyx-isocyr-misc
Source: xfonts-cronyx
Version: 2.3.8-6
Installed-Size: 300
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-cronyx-iso
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-cronyx-iso
Size: 139158
SHA256: 5c92b11dce822de3b7bb2eca17a9f4b16d015a2a4b4dc0292925d1e0345952fa
SHA1: c89e2b993ba7d6e378b5e0040f0f94fdb5b66297
MD5sum: deafd5af1514f49fb5b1e0f780696404
Description: Character-cell ISO-8859-5 encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are ISO-8859-5 fonts and support the
 Belarusian, Bulgarian, Macedonian, Russian and Serbian scripts.  In
 most cases it is desirable to have the X font server (xfs) and/or an
 X server installed to make the fonts available to X clients.
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cronyx/xfonts-cronyx-isocyr-misc_2.3.8-6_all.deb

Package: xfonts-cronyx-koi8r-100dpi
Source: xfonts-cronyx
Version: 2.3.8-6
Installed-Size: 568
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-cronyx-koi8r
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-cronyx-koi8r
Size: 390658
SHA256: e885d4ebe65c5f715eb57ac0192511d9a7956ca170996ad7c63327d52c105133
SHA1: b6e83085c71793d211f2ab640a4ac609d9e86072
MD5sum: 6df98f1a2801ff9815fa084c7265cbea
Description: 100 dpi KOI8-R encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 100 dots
 per inch.  These are KOI8-R fonts
 and are suitable for Russian.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-koi8r-75dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-koi8r-100dpi may be
 more suitable for large monitors and/or large screen resolutions
 (over 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cronyx/xfonts-cronyx-koi8r-100dpi_2.3.8-6_all.deb

Package: xfonts-cronyx-koi8r-75dpi
Source: xfonts-cronyx
Version: 2.3.8-6
Installed-Size: 548
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-cronyx-koi8r
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-cronyx-koi8r
Size: 343766
SHA256: 16141a4878d6a087231925d9d599047f275ba30a6237d1f5765f8f1e0d26b2fe
SHA1: 4592fa77d3857cd880d72c45c8ed7e0988d805a8
MD5sum: 8dc8742aa885d9e50aa6792dc039dca8
Description: 75 dpi KOI8-R encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are KOI8-R fonts
 and are suitable for Russian.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-koi8r-100dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-koi8r-75dpi may be
 more suitable for small monitors and/or small screen resolutions
 (under 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cronyx/xfonts-cronyx-koi8r-75dpi_2.3.8-6_all.deb

Package: xfonts-cronyx-koi8r-misc
Source: xfonts-cronyx
Version: 2.3.8-6
Installed-Size: 300
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-cronyx-koi8r
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-cronyx-koi8r
Size: 149072
SHA256: a551671a62cea0352027f226b2fd330c732d6b4ba11cb75f2b3b8eb2296acd3a
SHA1: a2cf545a9eb5664f323e0ecd9c03632d3603abd5
MD5sum: f80c83f8ce7deb22c3524ad875a835e4
Description: Character-cell KOI8-R encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are KOI8-R fonts
 and are suitable for Russian.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cronyx/xfonts-cronyx-koi8r-misc_2.3.8-6_all.deb

Package: xfonts-cronyx-koi8u-100dpi
Source: xfonts-cronyx
Version: 2.3.8-6
Installed-Size: 572
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-cronyx-koi8u
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-cronyx-koi8u
Size: 394202
SHA256: 617a0a443ec97bf71f6571fb88db407b7a751f6cf73d7fbe2caf8fda8d4209ff
SHA1: 91b4c53d921cfd36e949940489133b0d4bcf7bfa
MD5sum: 054bb731304149d922dc8e480052f8b4
Description: 100 dpi KOI8-U encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 100 dots
 per inch.  These are KOI8-U fonts
 and are suitable for Ukrainian.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-koi8u-75dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-koi8u-100dpi may be
 more suitable for large monitors and/or large screen resolutions
 (over 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.
Tag: culture::ukrainian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cronyx/xfonts-cronyx-koi8u-100dpi_2.3.8-6_all.deb

Package: xfonts-cronyx-koi8u-75dpi
Source: xfonts-cronyx
Version: 2.3.8-6
Installed-Size: 548
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-cronyx-koi8u
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-cronyx-koi8u
Size: 346602
SHA256: abf97f53c69c53aaa83ab58e30681bbb3ba63cf471fcbe9ea85a85259a716235
SHA1: e785bea66aeee63589459cdcd9963a12e66cbfcb
MD5sum: ab036f344abaa87bf0146b1d23f0e9b7
Description: 75 dpi KOI8-U encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a set of bitmapped Cyrillic fonts at 75 dots
 per inch.  These are KOI8-U fonts
 and are suitable for Ukrainian.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 This package and xfonts-cronyx-koi8u-100dpi provide the same set of
 fonts, rendered at different resolutions; only one or the other is
 necessary, but both may be installed.  xfonts-cronyx-koi8u-75dpi may be
 more suitable for small monitors and/or small screen resolutions
 (under 1024x768).
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.
Tag: culture::ukrainian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cronyx/xfonts-cronyx-koi8u-75dpi_2.3.8-6_all.deb

Package: xfonts-cronyx-koi8u-misc
Source: xfonts-cronyx
Version: 2.3.8-6
Installed-Size: 300
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-cronyx-koi8u
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-cronyx-koi8u
Size: 149704
SHA256: b44b962a8f78bd79a98a1d7354b4813570a5d947aa9c078727cb22294667e6bc
SHA1: 327fe5ff73284f0266477589fcbc296e059c082b
MD5sum: 4ca58088a72344daa92ab8a130105dd8
Description: Character-cell KOI8-U encoded Cyrillic fonts for X (Cronyx collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are KOI8-U fonts
 and are suitable for Ukrainian.
 In most cases it is desirable to have the X font server (xfs) and/or
 an X server installed to make the fonts available to X clients.
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.
Tag: culture::ukrainian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cronyx/xfonts-cronyx-koi8u-misc_2.3.8-6_all.deb

Package: xfonts-cronyx-misc
Source: xfonts-cronyx
Version: 2.3.8-6
Installed-Size: 796
Maintainer: Anton Zinoviev 
Architecture: all
Replaces: xfonts-cronyx-nop
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-cronyx-nop
Size: 615486
SHA256: 006acaa256443edfb686ea488d97f78387477d3dc6caa0c82d73f5e4cc7c5543
SHA1: 1b556f7f5880931d324931e19966f5bca3f2e298
MD5sum: bed263b8ac325b4e9185fb83b344ebbc
Description: Character-cell Unicode Cyrillic fonts for X (Cronyx collection)
 This package provides a standard set of character-cell low-resolution
 Cyrillic fonts.  These are Unicode (ISO10646-1) fonts and support all
 current slavic Cyrillic letters as well as some Russian
 prerevolutionary letters.  In most cases it is desirable to have the
 X font server (xfs) and/or an X server installed to make the fonts
 available to X clients.
 .
 The upstream source of this package is the package Xcyr of Serge
 Winitzki.  Xcyr is a descendant of the package Xrus-2.3 of Andrey
 Chernov.
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cronyx/xfonts-cronyx-misc_2.3.8-6_all.deb

Package: xfonts-cyrillic
Version: 1:1.0.3
Installed-Size: 640
Maintainer: Debian X Strike Force 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Suggests: xfs | xserver
Size: 389598
SHA256: 8d135f0bb34c1d6c9b83f0a3c9f1c117761d03108c3a133725496dd4e6440c8e
SHA1: 63cde6c54bac7cdecd08a5b8412b28c82f7f3ffe
MD5sum: 4dd37a4a0485af3fc8735d836e2062ae
Description: Cyrillic fonts for X
 xfonts-cyrillic provides a set of fonts using the Cyrillic alphabet for X
 servers.  In most cases it is desirable to have the X font server (xfs)
 and/or an X server installed to make the fonts available to X clients.
Tag: culture::russian, made-of::font, role::app-data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-cyrillic/xfonts-cyrillic_1.0.3_all.deb

Package: xfonts-efont-unicode
Version: 0.4.2-5
Installed-Size: 7392
Maintainer: Hideki Yamane 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Pre-Depends: dpkg (>= 1.15.6~)
Recommends: xfonts-efont-unicode-ib
Suggests: xfs | xserver
Size: 5917564
SHA256: 36ab63666149dfde854d09a78722118ec72c4af93461a0ad9905acbb4d978a5d
SHA1: 4260d592cc1d3a0db85a570ef5c4317c17b77a7e
MD5sum: 67a21951d42a8497da204bf9f29b2516
Description: /efont/ Unicode fonts for X which cover various scripts
 /efont/ is an X BDF font package for 10, 12, 14, 16, and 24 pixels
 which are encoded in Unicode.  Though they are still under development,
 they contain various scripts including Latin, IPA, Greek, Cyrillic,
 Armenian, Hebrew, Devanagari, Thai, Lao, Georgian, Runic, Hiragana,
 Katakana, Hangul Syllables, and part of Arabic, Bopomofo, CJK Ideogram,
 and various symbols.
 .
 This package contains normal (non-italic, medium) fonts only.
 xfonts-efont-unicode-ib package is available for italic and bold
 fonts.
Homepage: http://openlab.ring.gr.jp/efont/unicode/
Tag: culture::arabic, culture::greek, culture::hebrew, culture::japanese,
 culture::korean, culture::russian, culture::thai, made-of::font,
 role::app-data, role::data, works-with::text, works-with::unicode,
 x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-efont-unicode/xfonts-efont-unicode_0.4.2-5_all.deb

Package: xfonts-efont-unicode-ib
Source: xfonts-efont-unicode
Version: 0.4.2-5
Installed-Size: 22967
Maintainer: Hideki Yamane 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Pre-Depends: dpkg (>= 1.15.6~)
Recommends: xfonts-efont-unicode
Suggests: xfs | xserver
Size: 17982366
SHA256: c8144f2b98ca1656bf6d6c2c6144502cec76af38166078841cad2e670b78b22d
SHA1: 94721563152ddfa7a7509d73e630b820b84a4b97
MD5sum: 27410ba6108eb79bbcc2dd38b7937f94
Description: /efont/ Unicode fonts for X (italic and bold)
 /efont/ is an X BDF font package for 10, 12, 14, 16, and 24 pixels
 which are encoded in Unicode.  Though they are still under development,
 they contain various scripts including Latin, IPA, Greek, Cyrillic,
 Armenian, Hebrew, Devanagari, Thai, Lao, Georgian, Runic, Hiragana,
 Katakana, Hangul Syllables, and part of Arabic, Bopomofo, CJK Ideogram,
 and various symbols.
 .
 This package contains italic, bold, and italic-bold fonts.  You may
 want to install xfonts-efont-unicode package for non-itallic medium
 fonts.
Homepage: http://openlab.ring.gr.jp/efont/unicode/
Tag: culture::russian, made-of::font, role::app-data, role::data,
 works-with::text, works-with::unicode, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-efont-unicode/xfonts-efont-unicode-ib_0.4.2-5_all.deb

Package: xfonts-encodings
Version: 1:1.0.4-1
Installed-Size: 832
Maintainer: Debian X Strike Force 
Architecture: all
Replaces: xfonts-base (<< 1:1.0.0)
Depends: x11-common
Size: 583832
SHA256: 3d27b79eb397a00e818ccdc4e95c9de66fa3c3b01530d15e09bddc9003029ef5
SHA1: 603fa450499a188fc607a81209ed92a889007e52
MD5sum: 0eb6511f15496b3dbea117442c168023
Description: Encodings for X.Org fonts
 This package contains the encodings that map to specific characters.
Tag: made-of::font, role::app-data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-encodings/xfonts-encodings_1.0.4-1_all.deb

Package: xfonts-intl-arabic
Source: intlfonts
Version: 1.2.1-8
Installed-Size: 88
Maintainer: Debian QA Group 
Architecture: all
Replaces: intlfonts-arabic
Depends: xfonts-utils
Suggests: xfs | xserver, emacs-intl-fonts
Conflicts: intlfonts-arabic
Size: 17808
SHA256: 71e99ea491305bc99810b43e2a60063208983e04a85d438d0e8acf8bd4479481
SHA1: a1c5bbbfa7e0a3fd8d6de1ecf1fd4a3560946b12
MD5sum: 0aa4350174aa60846c01dae3af42b979
Description: International fonts for X -- Arabic
 This package includes some Arabic fonts (digits and single and double
 column). You will need the fonts if you plan to view, print or author
 documents written in any of the Arabic languages.
 .
 The fonts are for use with the X Window System.
Tag: culture::arabic, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/i/intlfonts/xfonts-intl-arabic_1.2.1-8_all.deb

Package: xfonts-intl-asian
Source: intlfonts
Version: 1.2.1-8
Installed-Size: 208
Maintainer: Debian QA Group 
Architecture: all
Replaces: intlfonts-asian
Depends: xfonts-utils
Suggests: xfs | xserver, emacs-intl-fonts
Conflicts: intlfonts-asian
Size: 106346
SHA256: 8fe51e44a1701d627a5bb1cf1c0b106625bf2f685e083e13128db2d934300230
SHA1: 556d6d2c86716aed39a8c2bcce5aeb6940dca8d1
MD5sum: 8ad40e6f15f70a60f0c8e4846839e6de
Description: International fonts for X -- Asian
 This package includes some Vietnamese, Indian, Lao, and Thai fonts.
 You will need the fonts if you plan to view, print or author documents
 written in any of the languages mentioned above.
 .
 The fonts are for use with the X Window System.
Tag: culture::hindi, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/i/intlfonts/xfonts-intl-asian_1.2.1-8_all.deb

Package: xfonts-intl-chinese
Source: intlfonts
Version: 1.2.1-8
Installed-Size: 6572
Maintainer: Debian QA Group 
Architecture: all
Replaces: intlfonts-chinese
Depends: xfonts-utils
Suggests: xfs | xserver, xfonts-intl-chinese-big, xfonts-cjk, emacs-intl-fonts
Conflicts: intlfonts-chinese
Size: 5763290
SHA256: 26eaa43da441fea12fa3fe37f144c7562156075166a084ce79dcfa3b7a150774
SHA1: b8f51ab0bbfd95cc9384f9bbf8dbeec4df328ee7
MD5sum: 35eeb3c78ec88ba4aa43775c675ea8f6
Description: International fonts for X -- Chinese
 This package includes some GB2312, GB8565-88, BIG5 (ETen), and SiSheng
 Chinese fonts. You will need the fonts if you plan to view, print or author
 documents written in Chinese and using any of the encodings mentioned above.
 .
 The fonts are for use with the X Window System.
Tag: culture::chinese, culture::taiwanese, made-of::font, role::app-data,
 role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/i/intlfonts/xfonts-intl-chinese_1.2.1-8_all.deb

Package: xfonts-intl-chinese-big
Source: intlfonts
Version: 1.2.1-8
Installed-Size: 1936
Maintainer: Debian QA Group 
Architecture: all
Replaces: intlfonts-chinese-big
Depends: xfonts-utils
Suggests: xfs | xserver, xfonts-intl-chinese, xfonts-cjk, emacs-intl-fonts
Conflicts: intlfonts-chinese-big
Size: 1805602
SHA256: 6db9f0d1fca7d5c887bf2c5dc4a8501bbe2c697ff10978b1c2b18a2e82c7d2a0
SHA1: 92454721314387a1513e88d089f0e8122ed521b7
MD5sum: 58907ff2d65ffeaaaf9567de79ab60ca
Description: International fonts for X -- Chinese big
 This package includes some GB2312 big Chinese fonts.
 You will need the fonts if you plan to view, print or author
 documents written in Chinese and using any of the encodings mentioned above.
 .
 The fonts are for use with the X Window System.
Tag: culture::chinese, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/i/intlfonts/xfonts-intl-chinese-big_1.2.1-8_all.deb

Package: xfonts-intl-european
Source: intlfonts
Version: 1.2.1-8
Installed-Size: 308
Maintainer: Debian QA Group 
Architecture: all
Replaces: intlfonts-european
Depends: xfonts-utils
Suggests: xfs | xserver, xfonts-biznet-iso-8859-2-base, xfonts-biznet-iso-8859-2-75dpi, xfonts-biznet-iso-8859-2-100dpi, emacs-intl-fonts
Conflicts: intlfonts-european, xfntil2 (<< 2.1)
Size: 160502
SHA256: 3cb5ee626dccda7f5e2c1ead80cba047e4b9a5b2edf420d036b4089bd38efc00
SHA1: 70745dc22b8858688d06e05dc87e89312e42268a
MD5sum: 99148108cacd3c28bbc11b0c7d08ce28
Description: International fonts for X -- European
 This package includes some ISO 8859-1 (Latin-1), ISO 8859-2 (Latin-2),
 ISO 8859-3 (Latin-3), ISO 8859-4 (Latin-4), ISO 8859-5 (Cyrillic),
 ISO 8859-7 (Greek), ISO 8859-8 (Hebrew), ISO 8859-9 (Latin-5), and KOI
 (Cyrillic) fonts.  Also one ISO 8859-1 big font is included.
 You will need the fonts if you plan to view, print or author documents
 using any of the languages/encodings specified above.
 .
 The fonts are for use with the X Window System.
Tag: culture::russian, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/i/intlfonts/xfonts-intl-european_1.2.1-8_all.deb

Package: xfonts-intl-japanese
Source: intlfonts
Version: 1.2.1-8
Installed-Size: 1152
Maintainer: Debian QA Group 
Architecture: all
Replaces: intlfonts-japanese
Depends: xfonts-utils
Suggests: xfs | xserver, xfonts-intl-japanese-big, xfonts-cjk, emacs-intl-fonts
Conflicts: intlfonts-japanese
Size: 927750
SHA256: b5379a9f50d79bade37fdf20586543a8ba14360691ece9442463e93b98f7e74f
SHA1: 93954c7215b9b0bd8cf04a5c10f4ab0dd7a42f3a
MD5sum: 6481de35a7cff7a525978f87d2355dfa
Description: International fonts for X -- Japanese
 This package includes some JISX0208.1990, JISX0208.1978, JISX0212.1990
 (HojoKanji), JISX0208.1983, and JISX0201 (Roman & Kana) Japanese fonts.
 You will need the fonts if you plan to view, print or author documents
 using the Japanese language and any of the encodings specified above.
 .
 The fonts are for use with the X Window System.
Tag: culture::japanese, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/i/intlfonts/xfonts-intl-japanese_1.2.1-8_all.deb

Package: xfonts-intl-japanese-big
Source: intlfonts
Version: 1.2.1-8
Installed-Size: 728
Maintainer: Debian QA Group 
Architecture: all
Replaces: intlfonts-japanese-big
Depends: xfonts-utils
Suggests: xfs | xserver, xfonts-intl-japanese, xfonts-cjk, emacs-intl-fonts
Conflicts: intlfonts-japanese-big
Size: 655276
SHA256: 2423555a879db10144ccc9ef57dccb8c8f0353ccadff750e1375c10936d63031
SHA1: 8339dedec556a0014358683e14a9dfe056d88fdb
MD5sum: b19982965f404f05f7ea3704a8528e64
Description: International fonts for X -- Japanese big
 This package includes some JISX0208.1983 and JISX0212.1990 (HojoKanji)
 big Japanese fonts.
 You will need the fonts if you plan to view, print or author documents
 using the Japanese language and any of the encodings specified above.
 .
 The fonts are for use with the X Window System.
Tag: culture::japanese, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/i/intlfonts/xfonts-intl-japanese-big_1.2.1-8_all.deb

Package: xfonts-intl-phonetic
Source: intlfonts
Version: 1.2.1-8
Installed-Size: 76
Maintainer: Debian QA Group 
Architecture: all
Replaces: intlfonts-phonetic
Depends: xfonts-utils
Suggests: xfs | xserver, emacs-intl-fonts
Conflicts: intlfonts-phonetic
Size: 12338
SHA256: 6ef82b7a3e2b6b22db0fc3dc754aa8b284eed62362c8f3b768aea9829c4ca20d
SHA1: 35527794e5f421abd89fb0d4f92fc743b1db8518
MD5sum: 41702efe76da65824273940eb7018206
Description: International fonts for X -- Phonetic Alphabet
 This package includes some fonts of International Phonetic Alphabet.
 You will need the fonts if you to view, print or author documents
 using the international phonetic alphabet. IPA is used, for instance,
 in dictionaries to represent the pronounciation of a word in a foreign
 language in a form common to all languages.
 .
 The fonts are for use with the X Window System.
Tag: field::linguistics, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/i/intlfonts/xfonts-intl-phonetic_1.2.1-8_all.deb

Package: xfonts-jisx0213
Version: 0+20040511-4
Installed-Size: 1112
Maintainer: Tatsuya Kinoshita 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Size: 824816
SHA256: e36a03f4b78d9a21852caec13799dd0a0bd43f54ffbedf886e5c16126666df46
SHA1: e07ccf9037981b28de3b74d98330d0382a06b98a
MD5sum: 7879a44f0ecc9d31509622b4ea68d8cb
Description: JIS X 0213 Japanese Kanji bitmap fonts for X
 This package provides JIS X 0213 Japanese Kanji 12/14/16-dot fonts for
 X Window System.
 .
  - K12-2004-1: 12-dot Kanji fonts for JISX0213.2004-1
  - K12-2000-2: 12-dot Kanji fonts for JISX0213.2000-2
  - K14-2004-1: 14-dot Kanji fonts for JISX0213.2004-1
  - K14-2000-2: 14-dot Kanji fonts for JISX0213.2000-2
  - jiskan16-2004-1: 16-dot Kanji fonts for JISX0213.2004-1
  - jiskan16-2000-2: 16-dot Kanji fonts for JISX0213.2000-2
Homepage: http://www12.ocn.ne.jp/~imamura/jisx0213.html
Tag: culture::japanese, made-of::font, role::data
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-jisx0213/xfonts-jisx0213_0+20040511-4_all.deb

Package: xfonts-jmk
Version: 3.0-19
Installed-Size: 1756
Maintainer: Russ Allbery 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Size: 1158690
SHA256: a53fb14cc83e8bd013c931d1327565f4de944927da763090acf9706b3883c4e2
SHA1: 5fab66f555cef362ab9750a91266d26afbe22e36
MD5sum: 8b0e3c2f8dfc6399575e9955c5136aaf
Description: James M. Knoble's character-cell fonts for X
 These are character-cell fonts for use with the X Window System, created
 by Jim Knoble.  The fonts currently included in this package are:
 .
 Neep (formerly known as NouveauGothic): A pleasantly legible variation on
 the standard fixed fonts that accompany most distributions of the X
 Window System.  Comes in both normal and bold weights in small, medium,
 large, extra-large, and huge sizes, as well as an extra-small size that
 only comes in normal weight.  ISO-8859-1, ISO-8859-2, ISO-8859-9, and
 ISO-8859-15 encodings are available.  The 6x13, 8x15, and 10x20 sizes have
 an ISO-10646-1 (Unicode) variant, drawing glyphs missing in Neep from
 misc-fixed.
 .
 Modd: A fixed-width font with sleek, contemporary styling.  Normal and
 bold weights in a 12-point (6x13) size.  ISO-8859-1 encoding only.
Homepage: http://www.jmknoble.net/fonts/
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-jmk/xfonts-jmk_3.0-19_all.deb

Package: xfonts-kaname
Version: 1.1-9.1
Installed-Size: 1542
Maintainer: GOTO Masanori 
Architecture: all
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xbase-clients (<< 4.0)
Size: 1282484
SHA256: 7b75531a22a8db29c7075a9a908b1005054b770c80a4f6ba4cf9c942cb3dc580
SHA1: 48a4c4bbaad4d4ee2d0447d605607ca967fddd17
MD5sum: b16b9d692aaf3ea13081276db727c591
Description: Kaname Cho 12 dot Japanese Kanji, Latin 1 fonts for X11
 This package includes Kaname Cho 12dot Japanese Kanji, ISO-8859-1 fonts
 for the X Window System.  Its face has characteristic, and it is similar
 to Gothic.  It provides 4 weigh: Medium, Bold, Italic and Bold-Italic.
 It also provides 2 spc: -p- and -c- for Japanese fonts.
Tag: culture::japanese, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-kaname/xfonts-kaname_1.1-9.1_all.deb

Package: xfonts-kapl
Source: aplus-fsf
Version: 4.22.1-6
Installed-Size: 131
Maintainer: Neil Roeth 
Architecture: all
Depends: fontconfig, xfonts-utils
Size: 52712
SHA256: 808f420a0ab2749dd774a08a2c3ed5467969b1d8b34cd4466f93d7334b1dc947
SHA1: a54cc7be5421632dfaaa56e62292f525c7eba768
MD5sum: 83cdc88839575d3e6b6ca8e47fc420a7
Description: APL fonts for A+ development
 The A+ programming language development environment requires these APL fonts
 to properly display A+ code in an XTerm, XEmacs or a web browser.  They are
 not required to run an A+ program.
Tag: made-of::font, role::app-data, role::data, suite::emacs, x11::font
Section: fonts
Priority: optional
Filename: pool/main/a/aplus-fsf/xfonts-kapl_4.22.1-6_all.deb

Package: xfonts-kappa20
Version: 0.396-3
Installed-Size: 1888
Maintainer: GOTO Masanori 
Architecture: all
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xbase-clients (<< 4.0)
Size: 1548174
SHA256: 1a4f360b5921ec1ddeed0d55b3b07e30e7f531769a6c28eb8bf094fd52c6eb55
SHA1: 4e3e3a110d43fa42f2ecacc3de33455f333cfe5a
MD5sum: f4096bef93430ad8d8112ebe4a783988
Description: X11 Kappa 20dot Fonts (ISO-8859-1..4/9/10/13..16, Japanese) with bold
 This package contains X11 Kappa 20dot Fonts including:
  * 10x20 ISO-8859 (-1, -2, -3, -4, -9, -10, -13, -14, -15, -16) Serif/Mincho
  * 20x20 Japanese (JIS X 0208:1983, 0208:1990, 0208:1997, 0213:2000) Mincho
  * 10x20 Mule IPA
 All fonts are provided with both medium and bold.  Its shape is high quality,
 high readability, and useful for looking at high-resolution monitor.
Tag: culture::japanese, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-kappa20/xfonts-kappa20_0.396-3_all.deb

Package: xfonts-marumoji
Version: 0.2-9
Installed-Size: 772
Maintainer: Nobuhiro Iwamatsu 
Architecture: all
Replaces: xmarufont
Depends: xfonts-utils (>= 1:7.5+2)
Suggests: xfs | xserver
Conflicts: xbase-clients (<< 4.0), xmarufont
Size: 570386
SHA256: 3fed67941beee53d76f65f1b91ef7dd22521cbadc5ecf511d636b3bca68c981e
SHA1: 8658f164daaeb42a9aed6594589fbb8bf79fab1c
MD5sum: b9bca522560e6397a91e0130c2b1d1a3
Description: Roundish fonts (marumoji fonts) for X
 Japanese and ASCII roundish fonts (marumoji in Japanese) for
 X servers.
 It provides:
  maru14: JIS X0208.1983 Roundish Characters (14 dots)
  maru16: JIS X0208.1983 Roundish Characters (16 dots)
  7x14rkmr: JIS X0201.1976 Roman Roundish Characters (14 dots)
  7x14maru: ISO8859-1 Roundish Characters (14 dots)
Tag: culture::japanese, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-marumoji/xfonts-marumoji_0.2-9_all.deb

Package: xfonts-mathml
Version: 6
Installed-Size: 110
Maintainer: Atsuhito KOHDA 
Architecture: all
Depends: xfonts-utils
Recommends: fonts-lyx, fonts-stix
Size: 42244
SHA256: f62f48b274a6e7dcec6c6343e48a020e7d95aaa9c90814dacbe72469e802c4de
SHA1: 42f29f622ee7832d5d76ad5dfcc14e3c6aac32b6
MD5sum: d6833087ceb2a2d0e8450346c3276d45
Description: Type1 Symbol font for MathML
 To display web pages containing MathML equations properly with
 MathML-enabled browsers, you will need STIX fonts, TeX's Computer
 Modern fonts, Type1 'Symbol' font and (possibly) some other fonts
 installed on your computer.
 .
 This package provides Type1 Symbol font which is modified from
 s050000l.pfb of gsfonts with FontForge.
 .
 You will also need to install the packages: fonts-stix (STIX fonts)
 and fonts-lyx (TeX's Computer Modern fonts) to view MathML properly.
Tag: made-of::font, role::data
Section: fonts
Priority: extra
Filename: pool/main/x/xfonts-mathml/xfonts-mathml_6_all.deb

Package: xfonts-mona
Version: 2.90-7
Installed-Size: 5188
Maintainer: Nobuhiro Iwamatsu 
Architecture: all
Depends: xfonts-utils
Size: 4491700
SHA256: 16411f4cbf1ba1361e1d74947b2fb5f55b17868c3c6a47e9bee0e505f3f36bcf
SHA1: 74a8c4d989d3e120e65ad51c6e234f79ea53345a
MD5sum: c995237819b42c3488fb9cfb6916d951
Description: Proportional X fonts for 2ch ASCII art
 These fonts are suitable for some ASCII arts like mona, gikoneko and so on.
 This package provides BDF (Bitmap Description / Display Format) font.
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-mona/xfonts-mona_2.90-7_all.deb

Package: xfonts-mplus
Version: 2.2.4-1
Installed-Size: 968
Maintainer: GOTO Masanori 
Architecture: all
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xbase-clients (<< 4.0)
Size: 652350
SHA256: f21170fa916e70245ded1e227e1952f654fc32ced6258132edd3c2bbaa32572b
SHA1: a27cd3ce688eac43bcbe3e772ec50f714cfb151f
MD5sum: fa36913a19e4bde6906e91b3d4f6bae7
Description: M+ bitmap 10/12 dot Latin/Japanese fonts for X11
 M+ bitmap fonts were designed to be simple and highly readable.  It
 includes ISO-8859-1,15 (6/10/12 dot) fonts and all Japanese jisx0208.1990
 Kanji fonts (10/12 dot) as following:
 .
  - mplus-gothic: M+ Gothic fonts               (10/12dot, ISO-8859-1/Japanese)
  - mplus-goth_p: it's similar to mplus-gothic, but its latin character part
                  is proportional fonts         (10/12dot, ISO-8859-1/Japanese)
  - mplus-fxd: yet another "fixed" fonts        (10/12dot, ISO-8859-1/15)
  - mplus-hlv: yet another "helvetica" fonts    (10/12dot, ISO-8859-1/15)
  - mplus-hlvw: 1 dot wide fonts than mplus-hlv (   12dot, ISO-8859-1/15)
  - mplus-sys: for window title/menu            (10dot,    ISO-8859-1/15)
  - mplus-qub: 6 dot very small font            (6dot,     ISO-8859-1/15)
Tag: culture::japanese, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-mplus/xfonts-mplus_2.2.4-1_all.deb

Package: xfonts-nexus
Version: 0.0.2-16
Installed-Size: 84
Maintainer: Simon Horman 
Architecture: all
Replaces: xfont-nexus
Provides: xfont-nexus
Depends: xfonts-utils
Size: 9644
SHA256: 259597b1c312dc9fe847c237c879c91b9be5e523e24625b5b51221405f00f074
SHA1: af529c89ab667d4cf0d9752f89810c4f5217c333
MD5sum: 90c84f1bdef8a1245bc2b0e36cd36b24
Description: Nexus font for X
 A sans-serif, fixed with font with iso8859 encoding.
 Only available as 10 point with medium weight.
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-nexus/xfonts-nexus_0.0.2-16_all.deb

Package: xfonts-scalable
Version: 1:1.0.3-1
Installed-Size: 532
Maintainer: Debian X Strike Force 
Architecture: all
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfntscl
Size: 330444
SHA256: b1da0b1f4c88989b97abafa076657fc00c78a183b29d51fbf43e6481a10cb76c
SHA1: f5dfaacf90177b0d77d56ade440cdc11cbd068e5
MD5sum: 15c67cadb04d32bbcf021f3c275988ad
Description: scalable fonts for X
 xfonts-scalable provides fonts that can be drawn at any size by the X server
 or font server without loss of quality.  In most cases it is desirable to
 have the X font server (xfs) and/or an X server installed to make the fonts
 available to X clients.
 .
 This package is missing three fonts from the X.Org source archives
 because the license terms on the fonts do not meet the Debian Free
 Software Guidelines; they are the Type1 fonts Adobe Utopia, IBM Courier,
 and Bigelow & Holmes (B&H) Luxi.
 .
 This package requires the xutils package to prepare the font directories
 for use by an X server or X font server.
Tag: made-of::font, role::app-data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-scalable/xfonts-scalable_1.0.3-1_all.deb

Package: xfonts-shinonome
Version: 5-1.1
Installed-Size: 7044
Maintainer: GOTO Masanori 
Architecture: all
Replaces: xfonts-k14g, k14gfont
Depends: xfonts-utils
Suggests: xfs | xserver
Conflicts: xfonts-k14g, k14gfont, xbase-clients (<< 4.0)
Size: 5493332
SHA256: 5340b85ef05e2d3ca9970dedbe9493ee4c0525911594afa8d443552edf5bd6c3
SHA1: bcd08df73e85c04c99c6ee9bd7c35bb515f676b4
MD5sum: a24c8badf6a08fea49f3ed6985116837
Description: Various 12,14,16 dot Japanese Kanji, iso8859-1 fonts for X11
 xfonts-shinonome includes various 12,14,16 dot Japanese
 Kanji, and ISO-8859-1 fonts for X11. It consists of
 k14goth 14 dot fonts, Shinonome 12 and 16 dot fonts.
 Shinonome 18 dot fonts are also included only for
 ISO-8859-1.
Tag: culture::japanese, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-shinonome/xfonts-shinonome_5-1.1_all.deb

Package: xfonts-terminus
Version: 4.35-1
Installed-Size: 2176
Maintainer: Anton Zinoviev 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Suggests: xserver | xfs, xfonts-terminus-oblique
Size: 1420198
SHA256: d07c595b6bba9d6e61246a1a087443d90177bc78eb7f2bf1475eb6606a707250
SHA1: dc493d31c2300f45b0860d877020fed666101a8a
MD5sum: 5646c4a032a3365da5ab6b454213f1c7
Description: Fixed-width fonts for fast reading
 These are fixed-width fonts suitable for terminals, editors, etc.
 If you have to work for extended time in front of monitor (i.e. over
 eight hours), you may find that using of these fonts reduces your
 eyes-fatigue.
 .
 This package contains normal and bold fonts in the following sizes:
 6x12, 8x14, 8x16, 10x20, 11x22, 12x24, 14x28 and 16x32 and supports the
 following encodings: ISO10646-1, ISO8859-1, ISO8859-2, ISO8859-5,
 ISO8859-9, ISO8859-13, ISO8859-15, ISO8859-16, KOI8-R, KOI8-U, CP1251
 and PT154.
Tag: culture::russian, made-of::font, role::app-data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-terminus/xfonts-terminus_4.35-1_all.deb

Package: xfonts-terminus-dos
Source: xfonts-terminus
Version: 4.35-1
Installed-Size: 1672
Maintainer: Anton Zinoviev 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Suggests: xserver | xfs
Enhances: dosemu
Size: 1139126
SHA256: 182747b3c40a300d354c38bbd0608a6f323c953a4904c7fd817f162788b07039
SHA1: 1bc14d01853ba64f36219848a0a47412db219e34
MD5sum: 7ff366c1cd6ec21837aec2257d20db66
Description: Fixed-width fonts for DOS encodings
 These are nice fixed-width fonts in various DOS encodings.  They are
 mostly suitable for use in Dosemu, but can be used also in
 terminals, editors, etc.
 .
 This package supports the following code-pages: CP437, CP850, CP852,
 CP855, CP860, CP863, CP865, CP866, MIK and RUSCII.
Tag: made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-terminus/xfonts-terminus-dos_4.35-1_all.deb

Package: xfonts-terminus-oblique
Source: xfonts-terminus
Version: 4.35-1
Installed-Size: 2184
Maintainer: Anton Zinoviev 
Architecture: all
Depends: xfonts-utils
Recommends: xfonts-terminus
Suggests: xserver | xfs
Size: 1569650
SHA256: b7f5286754f9782a989b6cb834421e999bfa8c919ad8e1c4de3c72cde574cd2f
SHA1: ece5105828df35f10ed00cf027b78c076057a701
MD5sum: 8a00e22dca7b921af3022458d5f7e07f
Description: Oblique version of the Terminus font
 This package contains oblique versions of the fonts in the package
 xfonts-terminus.  These fonts are automatically generated and at
 present are not supported by the upstream maintainer.  They have much
 lower quality than the original fonts.  Nevertheless they can be
 useful for some programs such as GNU Emacs and XEmacs.
 .
 This package contains normal and bold oblique fonts in the following
 in following sizes: 6x12, 8x14, 8x16, 10x20, 11x22, 12x24, 14x28 and 16x32
 and supports the following encodings: ISO10646-1, ISO8859-1,
 ISO8859-2, ISO8859-5, ISO8859-9, ISO8859-13, ISO8859-15, ISO8859-16,
 KOI8-R, KOI8-U, CP1251 and PT154.
Tag: made-of::font, role::app-data, suite::emacs, x11::font
Section: fonts
Priority: extra
Filename: pool/main/x/xfonts-terminus/xfonts-terminus-oblique_4.35-1_all.deb

Package: xfonts-thai
Source: thaixfonts
Version: 1:1.2.5-11
Installed-Size: 40
Maintainer: Theppitak Karoonboonyanan 
Architecture: all
Depends: fonts-thai-tlwg, xfonts-thai-etl, xfonts-thai-manop, xfonts-thai-nectec
Recommends: fonts-sipa-arundina, xfonts-thai-poonlap, xfonts-thai-vor
Suggests: xfonts-intl-asian, xserver | xfs
Size: 7608
SHA256: 40aecf144d29d167da4c1503988a550cb60305d429f67c6a6cf589996abd2df5
SHA1: 57a5b13130535c3a7887d6849951f47a22a2d0db
MD5sum: 872822f10241f9025e307f2c6ce55784
Description: Collection of Thai fonts for X (metapackage)
 This is a metapackage which depends on or recommends all available Thai
 fonts packages.
Homepage: http://linux.thai.net/projects/thaixfonts
Tag: culture::thai, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/thaixfonts/xfonts-thai_1.2.5-11_all.deb

Package: xfonts-thai-etl
Source: thaixfonts
Version: 1:1.2.5-11
Installed-Size: 74
Maintainer: Theppitak Karoonboonyanan 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Suggests: xserver | xfs
Size: 21418
SHA256: 2615102e83deab7908474cca0a8fbde60d6db87c8609822c3012e3358dc040fe
SHA1: f05f5f3cecf4e3d4cb3a137c2061fba821166e15
MD5sum: 83610f93550b9c5abb6da9b11ffd37a9
Description: Thai etl fonts for X
 This package provides 3 Thai TIS-620 monospace (with full-width combining
 characters) bitmap fonts for X developed by ETL.
 .
 Emacs/Mule needs these fonts to display Thai. Only normal weight is provided,
 though.
Homepage: http://linux.thai.net/projects/thaixfonts
Tag: culture::thai, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/thaixfonts/xfonts-thai-etl_1.2.5-11_all.deb

Package: xfonts-thai-manop
Source: thaixfonts
Version: 1:1.2.5-11
Installed-Size: 76
Maintainer: Theppitak Karoonboonyanan 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Suggests: xserver | xfs
Size: 23324
SHA256: 255440e33fd24d10cccbbdfa3d9cb683e202fa57f5594d2afc332d5cded619f5
SHA1: b324bdb430b319f898cf79aa2de81b5a2214ada5
MD5sum: c321231fcb9c2fc491e1279618725af9
Description: Dr.Manop Wongsaisuwan's bitmap fonts for X
 This package provides 4 Thai TIS-620 pseudo-monospace (with zero-width
 combining characters) bitmap fonts for X developed by  Dr. Manop Wongsaisuwan
 while he was in the US.
 .
 These fonts are good for xiterm+thai, but with only normal weight provided.
Homepage: http://linux.thai.net/projects/thaixfonts
Tag: culture::thai, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/thaixfonts/xfonts-thai-manop_1.2.5-11_all.deb

Package: xfonts-thai-nectec
Source: thaixfonts
Version: 1:1.2.5-11
Installed-Size: 78
Maintainer: Theppitak Karoonboonyanan 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Suggests: xserver | xfs
Size: 24566
SHA256: 50878bd39d21f6d25d40a6d067314d9ffa805b10fb830c41e837ee283548df02
SHA1: ce832062616fa6be45d62abff9ee33a1114665e7
MD5sum: ce679593b2bccb52c5f35bdc5871baf7
Description: Thai fixed fonts for X from Nectec
 This package provides one set of Thai TIS-620 pseudo-monospace (with
 zero-width combining character) bitmap fonts for X developed by NECTEC, with
 complete set of normal, bold, italic, and bold-italic faces.
 .
 This font looks good in xiterm+thai, with complete text styles support.
Homepage: http://linux.thai.net/projects/thaixfonts
Tag: culture::thai, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/thaixfonts/xfonts-thai-nectec_1.2.5-11_all.deb

Package: xfonts-thai-poonlap
Source: thaixfonts
Version: 1:1.2.5-11
Installed-Size: 123
Maintainer: Theppitak Karoonboonyanan 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Suggests: xserver | xfs
Size: 71380
SHA256: c9844ec342ec3bf345b1f1dc81f3fa99181ea9d9a17f600a0cc4b1d6e29a143c
SHA1: 4561f468f0752012c42262d8f96b555f44e73b5c
MD5sum: 83628418230d36981fbaef071e6dbf5a
Description: Poonlap Veerathanabutr bitmap fonts for X
 This package provides 14 Thai TIS-620 pseudo-monospace (with zero-width
 combining characters) and monospace (with full-width combining characters)
 bitmap fonts for X and Emacs.
 .
 These fonts are good for xiterm+thai and Emacs, with complete text styles
 support for most fonts.
Homepage: http://linux.thai.net/projects/thaixfonts
Tag: culture::thai, made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/thaixfonts/xfonts-thai-poonlap_1.2.5-11_all.deb

Package: xfonts-thai-vor
Source: thaixfonts
Version: 1:1.2.5-11
Installed-Size: 68
Maintainer: Theppitak Karoonboonyanan 
Architecture: all
Depends: xfonts-utils (>= 1:7.5+2)
Suggests: xserver | xfs
Size: 15754
SHA256: 2261f45706b26398a17e00136232e96f4a8d6a51a832d4fb0063381b4ff984ef
SHA1: e2f2dcb7dc4957dd809bc97ed62143c214e53a27
MD5sum: 23b5cfe9f6bc55a0c0e32dd5675e3d36
Description: Voradesh Yenbut bitmap fonts for X
 This package provides two TIS-620 pseudo-monospace (with zero-width combining
 characters) bitmap fonts for X developed by Voradesh Yenbut back in 1992.
 .
 These fonts are good for xiterm+thai, but with only normal weight provided.
Homepage: http://linux.thai.net/projects/thaixfonts
Tag: culture::thai, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/t/thaixfonts/xfonts-thai-vor_1.2.5-11_all.deb

Package: xfonts-tipa
Source: tipa
Version: 2:1.3-19
Installed-Size: 85
Maintainer: Debian TeX maintainers 
Architecture: all
Depends: tipa (= 2:1.3-19), xfonts-utils
Pre-Depends: dpkg (>= 1.15.7.2)
Size: 18752
SHA256: 0076155aab258f1465763f5d5847eeb82cb981f3a3afeda715b6b77a87bbf81e
SHA1: c76889a32c6d85b5a82c3e16b957548d483ac48d
MD5sum: 75916b4dfd96638ab18675c01cdfe063
Description: X11 PostScript Type 1 font for the Phonetic Alphabet
 TIPA is a system for processing IPA (International Phonetic
 Alphabet) symbols in LaTeX written by Fukui Rei.  TIPA stands for
 either TeX IPA or Tokyo IPA and derived from the tsipa package, made
 in 1992 by Kobayashi Hajime, Fukui Rei and Shirakawa Shun.
 .
 This package contains type 1 version of the TIPA fonts for the X
 Window system.  It contains the pfb and afm files.  The installed X
 font has the silipa encoding (see
 http://www.sil.org/computing/fonts/encore-ipa.html).
Homepage: http://www.ctan.org/tex-archive/fonts/tipa/
Tag: field::linguistics, made-of::font, role::app-data, role::data, x11::font
Section: fonts
Priority: extra
Filename: pool/main/t/tipa/xfonts-tipa_1.3-19_all.deb

Package: xfonts-traditional
Version: 1.6
Installed-Size: 121
Maintainer: Ian Jackson 
Architecture: all
Depends: xfonts-utils, pcf2bdf, libsys-cpu-perl, debconf (>= 0.5) | debconf-2.0, perl
Size: 24716
SHA256: 4c2d3ff024deaaad86c14ebb6d62696c08f71ae017823a9ae384ae6c9023d0c9
SHA1: 2f4aeffe15fb068830ee6409d7d5c462ebcd1a35
MD5sum: 8f2ae2eed59d8ac4e307c24ba617154f
Description: Traditional fixed-width fonts for X
 Provides "traditional" versions of fixed-width fonts.
 .
 These are a set of 6x13 fonts (including "fixed"), with foundry name
 "trad" instead of "misc", with several glyphs replaced with earlier
 versions from before Markus Kuhn's changes:
  - balanced  ` '
  - less tall  [ ] ( ) { }
  - centred  *
  - high  ~
  - slightly higher  &
  - traditional appearance of  W a
 .
 These changes make the fonts compatible with the US-ASCII character
 set.  (UTF-8 is not compatible with ASCII in its usage of the
 backquote and some other characters.)  With these fonts, pre-2000
 documents (including ASCII art and GNU manuals) will render
 correctly.
 .
 Optionally, this package will edit your system configuration to make
 the default fonts used by xterm refer to the traditional font.
 .
 (This package does not actually contain the bulk of the font data;
 rather, it automatically generates the required modified fonts for
 each relevant font you have installed.)
Section: x11
Priority: optional
Filename: pool/main/x/xfonts-traditional/xfonts-traditional_1.6_all.deb

Package: xfonts-unifont
Source: unifont
Version: 1:5.1.20080914-1.3
Installed-Size: 1310
Maintainer: Paul Hardy 
Architecture: all
Replaces: unifont (<< 1:5.1.20080706)
Depends: xfonts-utils
Suggests: ttf-unifont
Conflicts: unifont (<< 1:5.1.20080706)
Size: 929148
SHA256: 4c83e0857768cf8cd230d654edac4b0f7358f0186402d94887e2bc1dfff3684f
SHA1: 542169f354ed297871f5f0540da918aa2cf815d0
MD5sum: e1b4d6a0ff772d3edab59160826a2e11
Description: PCF (bitmap) version of the GNU Unifont
 This is a bitmap font in a standard X11 format.  The font provides
 a glyph for each visible code point (character) in the Unicode Basic
 Multilingual Plane (Plane 0).  Plane 0 contains most of the world's
 modern writing scripts.  This font looks best at 12pt.
 .
 Complex fonts (such as Indic or Semitic scripts, where letters
 change shape depending on their position in a word, or such as
 Mongolian, which is written vertically) will not render perfectly.
 The philosophy behind this font, though, is that anything meaningful
 is better than an empty box for a unknown glyph.
 .
 Consider using the TrueType version instead (ttf-unifont), because
 that version is scalable to any point size.
Homepage: http://unifoundry.com
Tag: culture::TODO, culture::chinese, culture::greek, culture::japanese,
 culture::korean, culture::russian, culture::taiwanese, made-of::font,
 role::app-data, role::data, works-with::text, works-with::unicode,
 x11::font
Section: fonts
Priority: optional
Filename: pool/main/u/unifont/xfonts-unifont_5.1.20080914-1.3_all.deb

Package: xfonts-utils
Version: 1:7.7~1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 412
Depends: libc6 (>= 2.13-28), libfontenc1, libfreetype6 (>= 2.2.1), libxfont1 (>= 1:1.4.2), zlib1g (>= 1:1.1.4), x11-common, xfonts-encodings
Multi-Arch: foreign
Priority: optional
Section: x11
Filename: pool/main/x/xfonts-utils/xfonts-utils_7.7~1_armhf.deb
Size: 92164
SHA256: 6d30d59813260b685b420428e25946f9bd130d07b44b9733ced510e0e3abaca8
SHA1: e255f16f9156d5cdbd6d3beb834f0d434b83fbcd
MD5sum: 726628b377cb248820fa3237c163fb0e
Description: X Window System font utility programs
 xfonts-utils provides a set of utility programs shipped with the X Window
 System that are needed for font management.
 .
 The programs in this package include:
  - bdftopcf, which converts BDF fonts to PCF fonts;
  - bdftruncate and ucs2any, tools to generate fonts with various encodings
    from ISO 10646-encoded fonts
  - mkfontdir, a program to generate fonts.dir files;
  - mkfontscale, a program to generate fonts.scale files;
  - fonttosfnt, a program to wrap bitmap fonts in a sfnt (TrueType) wrapper.

Package: xfonts-wqy
Version: 0.9.9-5
Installed-Size: 20783
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, xfonts-utils
Suggests: xfs | xserver
Size: 6557744
SHA256: ef72fe8dc14369fa179d6353b0b949b566cc8913457403fad7f4849c416965a9
SHA1: 4bd3091225604230afa9d36b13a00de762001b36
MD5sum: 78bb99801c304f605effb4ff89449777
Description: WenQuanYi Bitmap Song CJK font for X
 The Wen Quan Yi bitmap font is a manually fine-tuned multi-strike
 bitmap font for on-screen display of Chinese (traditional and simplified).
 It has arguably the most complete coverage for Chinese characters among
 all known open-source bitmap fonts, including CJK Unified Ideograph
 (U4E00 - U9FA5) and CJK Unified Ideograph Extension A (U3400 - U4DB5)
 glyphs at four different sizes (9pt-12x12 pixel, 10pt-13x13 pixel,
 11pt-15x15 pixel, 12pt-16x16 pixel) and two weights (medium and bold).
 .
 Use of this font in web pages and elsewhere eliminates the annoying
 "blurring" problems caused by the high stroke density of many Chinese
 characters and insufficient "hinting" of anti-aliased Chinese fonts.
 .
 This font also provides bitmap glyphs for Basic Latin,
 Japanese Hiragana (U3040 - U309F) and Katakana (U30A0 - U30FF).
Homepage: http://wqy.sourceforge.net/
Tag: made-of::font, role::data, x11::font
Section: fonts
Priority: optional
Filename: pool/main/x/xfonts-wqy/xfonts-wqy_0.9.9-5_all.deb

Package: xfprint4
Version: 4.6.1-3
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 1736
Pre-Depends: multiarch-support
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgnutls26 (>= 2.12.17-0), libgssapi-krb5-2 (>= 1.6.dfsg.2), libgtk2.0-0 (>= 2.10.6), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libpango1.0-0 (>= 1.14.0), libx11-6, libxfce4util4 (>= 4.3.99.2), libxfcegui4-4 (>= 4.7.0), libxfconf-0-2 (>= 4.6.0), zlib1g (>= 1:1.1.4), a2ps
Suggests: psutils
Homepage: http://www.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfprint4/xfprint4_4.6.1-3_armhf.deb
Size: 602170
SHA256: 968a3472531d3a5e4436eb5abdd33470f748d7800e4c3613219595a2bcc5c87b
SHA1: e369ff873bd744c1ef63c4469526832e595d80be
MD5sum: d36480085a52156552637a8bc20b7f9b
Description: Printer GUI for Xfce4
 xfprint4 is a printer manager for the Xfce4 desktop environment. It allows
 you to manage your print job or to print a file by drag'n'drop. You do not
 have to have this package installed, but if you are using xfce4 it is a
 nice GUI to manage your printer jobs.

Package: xfpt
Version: 0.09-1
Architecture: armhf
Maintainer: Andreas Metzler 
Installed-Size: 215
Depends: libc6 (>= 2.13-28)
Priority: extra
Section: text
Filename: pool/main/x/xfpt/xfpt_0.09-1_armhf.deb
Size: 91974
SHA256: a87e5b9122bf87f8208cc3877a9dc6b1ff806c5481dd930e85a72ad40a9c3cf2
SHA1: 28d68c209c4d9dd2bc9cdb31f3eb0444bc63ae32
MD5sum: 95a2c40b3b63d8e697aefc6e4773c0e5
Description: generate XML from plain text
 xfpt is a program that reads a file of plain text that contains relatively
 simple markup, and outputs an XML file. It is intended to simplify the
 management of XML data. It is not a program that attempts to turn a plain text
 document into XML. Markup within text is introduced by ampersand characters,
 but is otherwise "soft". You can define what follows the ampersand, for
 example, &" to generate a "quote" element. There is also a macro facility that
 allows for higher level concepts such as chapters, displays, tables, etc.

Package: xfrisk
Version: 1.2-3
Architecture: armhf
Maintainer: Joe Nahmias 
Installed-Size: 512
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxmu6, libxt6, xaw3dg (>= 1.5+E-1)
Homepage: http://tuxick.net/xfrisk/
Priority: optional
Section: games
Filename: pool/main/x/xfrisk/xfrisk_1.2-3_armhf.deb
Size: 177806
SHA256: e238dbdf8eb7d00b1f1e85ce2518c170c09c5ecf52892d0bf568e7c2c360a085
SHA1: f847e7c09805af6339faa7cc59360a8535f85c81
MD5sum: 765d4bf9f33dbb05b76c29ede46c814b
Description: Server and X11 client for playing risk with humans or AIs
 Risk is a board game played on a map of the world.  You control a group
 of armies and attempt to capture large sections of the world and try to
 stop your opponents from doing the same thing.  You can also choose to
 play with a mission that you have to accomplish before your opponents do.
 .
 xfrisk allows you to play risk against other players over TCP/IP and
 includes 3 different AI players.

Package: xfs
Version: 1:1.0.8-7
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 292
Depends: libc6 (>= 2.13-28), libfs6, libxfont1 (>= 1:1.4.2), adduser, lsb-base (>= 3.2-13)
Suggests: xfonts-100dpi | xfonts-75dpi, xfonts-base, xfonts-scalable
Priority: optional
Section: x11
Filename: pool/main/x/xfs/xfs_1.0.8-7_armhf.deb
Size: 81802
SHA256: 097e4e6f46385319871ecd11764d247fe0bae049e8ab911ce336ec0b29e8ae14
SHA1: 57097681f37e9b5014737a6416517ebc51c6f6c9
MD5sum: b1d215e612ee75958a33ec6343f0d80b
Description: X font server
 xfs is a daemon that listens on a network port and serves X fonts to X
 servers (and thus to X clients).  All X servers have the ability to serve
 locally installed fonts for themselves, but xfs makes it possible to offload
 that job from the X server, and/or have a central repository of fonts on a
 networked machine running xfs so that all the machines running X servers on a
 network do not require their own set of fonts.  xfs may also be invoked by
 users to, for instance, make available X fonts in user accounts that are not
 available to the X server or to an already running system xfs.

Package: xfsdump
Version: 3.0.6
Architecture: armhf
Maintainer: Nathan Scott 
Installed-Size: 551
Depends: libattr1 (>= 2.0.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libtinfo5, libuuid1 (>= 2.16), xfsprogs (>= 2.6.30)
Suggests: acl, attr, quota
Conflicts: xfsprogs (<< 3.0.0)
Homepage: http://oss.sgi.com/projects/xfs/
Priority: optional
Section: admin
Filename: pool/main/x/xfsdump/xfsdump_3.0.6_armhf.deb
Size: 272538
SHA256: 5a9bd5830e86abf0ad5db3a1cab3b834b65a2e5d24976e8c58ad8f3e453da132
SHA1: 2bd6410857dc6b3b4819b0574ef2f1327d49c28c
MD5sum: 0a6cd234c7e09c63a9a397b90e045e4f
Description: Administrative utilities for the XFS filesystem
 The xfsdump package contains xfsdump, xfsrestore and a number of
 other administrative utilities for managing XFS filesystems.
 .
 xfsdump examines files in a filesystem, determines which need to be
 backed up, and copies those files to a specified disk, tape or other
 storage medium.  It uses XFS-specific directives for optimizing the
 dump of an XFS filesystem, and also knows how to backup XFS extended
 attributes.  Backups created with xfsdump are "endian safe" and can
 thus be transfered between Linux machines of different architectures
 and also between IRIX machines.
 .
 xfsrestore performs the inverse function of xfsdump; it can restore a
 full backup of a filesystem.  Subsequent incremental backups can then
 be layered on top of the full backup.  Single files and directory
 subtrees may be restored from full or partial backups.

Package: xfslibs-dev
Source: xfsprogs
Version: 3.1.7
Architecture: armhf
Maintainer: XFS Development Team 
Installed-Size: 115
Depends: libc6-dev | libc-dev, uuid-dev, xfsprogs (>= 3.0.0)
Breaks: xfsprogs (<< 3.0.0)
Homepage: http://oss.sgi.com/projects/xfs/
Priority: extra
Section: libdevel
Filename: pool/main/x/xfsprogs/xfslibs-dev_3.1.7_armhf.deb
Size: 31112
SHA256: 5542e17f51135f214c49d679317fcd97e62c6a4fb242f0e852eb079316102e86
SHA1: 7ee76996e2d3f646f6806ff7cc06670d1e4a4e49
MD5sum: d27c65dd0ac17e48a02896fd66f0c379
Description: XFS filesystem-specific static libraries and headers
 xfslibs-dev contains the libraries and header files needed to
 develop XFS filesystem-specific programs.
 .
 XFS is a high performance journaling filesystem which originated
 on the SGI IRIX platform.  It is completely multi-threaded, can
 support large files and large filesystems, extended attributes,
 variable block sizes, is extent based, and makes extensive use of
 Btrees (directories, extents, free space) to aid both performance
 and scalability.
 .
 Refer to the documentation at http://oss.sgi.com/projects/xfs/
 for complete details.

Package: xfsprogs
Version: 3.1.7
Architecture: armhf
Maintainer: XFS Development Team 
Installed-Size: 2883
Depends: libblkid1 (>= 2.17.2), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libreadline5 (>= 5.2), libuuid1 (>= 2.16)
Suggests: xfsdump, acl, attr, quota
Breaks: xfsdump (<< 3.0.0)
Replaces: xfsdump (<< 3.0.0)
Provides: fsck-backend
Homepage: http://oss.sgi.com/projects/xfs/
Priority: optional
Section: admin
Filename: pool/main/x/xfsprogs/xfsprogs_3.1.7_armhf.deb
Size: 1421588
SHA256: e07efaa1392e3a0855787c926398ecd1a4cafb3c9a2a8241a1d5526c79ad837b
SHA1: ccdf5ac8de7ae93b0d1de9039bf959953630ffc0
MD5sum: f8a0e9f93141b0bb2feb494d84aa789e
Description: Utilities for managing the XFS filesystem
 A set of commands to use the XFS filesystem, including mkfs.xfs.
 .
 XFS is a high performance journaling filesystem which originated
 on the SGI IRIX platform.  It is completely multi-threaded, can
 support large files and large filesystems, extended attributes,
 variable block sizes, is extent based, and makes extensive use of
 Btrees (directories, extents, free space) to aid both performance
 and scalability.
 .
 Refer to the documentation at http://oss.sgi.com/projects/xfs/
 for complete details.

Package: xfstt
Version: 1.9-2
Architecture: armhf
Maintainer: Guillem Jover 
Installed-Size: 220
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), debconf (>= 0.5) | debconf-2.0, lsb-base
Homepage: http://developer.berlios.de/projects/xfstt/
Priority: extra
Section: x11
Filename: pool/main/x/xfstt/xfstt_1.9-2_armhf.deb
Size: 79886
SHA256: 548bdfc4215c7e8818734ddd6eebcb5bbe90dc129f205188076f2c62de7cab2f
SHA1: 46ca72d4c1dda559349af00500564e22bce8ba7b
MD5sum: 4e765182bb5951f6cee5874230d93edc
Description: X Font Server for TrueType fonts
 TrueType fonts are generally regarded to be the best scalable fonts
 for displays. Applications needing scalable fonts that are to be
 displayed on a screen benefit most.
 This server will allow X11 applications to use the exact same fonts
 as the TrueType fonts used on Windows operating systems.
 .
 Note: This package does not contain fonts. They must be obtained separately.

Package: xfswitch-plugin
Version: 0.0.1-3
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 230
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.18.0), libgtk2.0-0 (>= 2.24.0), libxfce4util4 (>= 4.3.99.2), libxfcegui4-4 (>= 4.7.0), xfce4-panel (>= 4.7.7), gdm | gdm3
Homepage: http://goodies.xfce.org/projects/panel-plugins/xfswitch-plugin
Priority: optional
Section: xfce
Filename: pool/main/x/xfswitch-plugin/xfswitch-plugin_0.0.1-3_armhf.deb
Size: 15230
SHA256: 543f3a0c735b439b855f150bafbd59b4d2bab23fe13b30bbc283e11126135a55
SHA1: 0a4ad87bdaa2f03a7282d70e775cc796f474e2a6
MD5sum: 13e7114355375ede565b5285fb49804f
Description: fast user switching plugin for the Xfce panel
 Xfswitch allows you to leave the current session opened and open a new
 session with another user. It runs in the Xfce panel.

Package: xfwm4
Version: 4.8.3-2
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 4175
Depends: libc6 (>= 2.13-28), libdbus-glib-1-2 (>= 0.78), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libstartup-notification0 (>= 0.7), libwnck22 (>= 2.30.0-3), libx11-6, libxcomposite1 (>= 1:0.3-1), libxdamage1 (>= 1:1.1), libxext6, libxfce4ui-1-0, libxfce4util4 (>= 4.3.99.2), libxfconf-0-2 (>= 4.6.0), libxfixes3, libxrandr2, libxrender1
Recommends: xfwm4-themes, librsvg2-common
Suggests: xfce4
Provides: x-window-manager
Homepage: http://www.xfce.org/
Priority: optional
Section: xfce
Filename: pool/main/x/xfwm4/xfwm4_4.8.3-2_armhf.deb
Size: 1634086
SHA256: 1c2dba5bfa27cc7e048e1f8f17bee2ac615d5c5483afac385f45d8fb8c7bc0bb
SHA1: 5585bd5d9da2b3fd2a8ab560e7d7cd2fdfabc25d
MD5sum: a4345a89149133778f438bf204a8a470
Description: window manager of the Xfce project
 This package contains xfwm4 which is the window manager for Xfce4.  It is
 designed to run with the rest of Xfce4 but makes a capable window manager
 for GNOME or KDE.
 .
 Install xfwm4 if you want a small, resource saving window manager which
 complies to the standards defined at http://www.freedesktop.org/. Without
 xfce4 installed this only gives you a window manager not the full
 environment.

Package: xfwm4-dbg
Source: xfwm4
Version: 4.8.3-2
Architecture: armhf
Maintainer: Debian Xfce Maintainers 
Installed-Size: 923
Depends: xfwm4 (= 4.8.3-2)
Homepage: http://www.xfce.org/
Priority: extra
Section: debug
Filename: pool/main/x/xfwm4/xfwm4-dbg_4.8.3-2_armhf.deb
Size: 788418
SHA256: 0bc5fc8c3581ef5d3832200419a9ef71080d8d409a6e1fde1848b5aa5ec085a5
SHA1: ad2ce77139db6b6da1cc65e893c8e670ddbb3c30
MD5sum: cfe3116910aa0e6284db906acee3a878
Description: window manager of the Xfce project (debugging symbols)
 This package contains debugging symbols for xfwm4, the Xfce window manager.
 Install it if you need to debug issues in xfwm4.

Package: xfwm4-themes
Version: 4.6.0-3
Installed-Size: 16980
Maintainer: Debian Xfce Maintainers 
Architecture: all
Depends: xfwm4 (>= 4.6.0)
Size: 642688
SHA256: dc288a8ad6d6d526372763e8832ab8fa259c1e01958447c6443b0cc42141f159
SHA1: 03f30bd82f73b4903ff5dc73f1d1154338b37c77
MD5sum: 3f836677e0748e62e8858d9c9a83f1a6
Description: Theme files for xfwm4
 This package contains several theme files for xfwm4,
 the window manager of the Xfce4 project. If you are
 unsatisfied with its default theme, then installing
 this package might be a good idea.
Homepage: http://www.xfce.org/
Tag: interface::x11, role::app-data, suite::xfce, uitoolkit::gtk, x11::theme
Section: xfce
Priority: optional
Filename: pool/main/x/xfwm4-themes/xfwm4-themes_4.6.0-3_all.deb

Package: xgalaga
Version: 2.1.1.0-4
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 704
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxmu6, libxpm4, libxt6, libxxf86vm1
Homepage: http://sourceforge.net/projects/xgalaga/
Priority: optional
Section: games
Filename: pool/main/x/xgalaga/xgalaga_2.1.1.0-4_armhf.deb
Size: 278888
SHA256: 0ff7581b37596f2ab7da02016af10c2e9a4748d46e882507949d0dbc88e50a9c
SHA1: f4a6c77ae559beadb2b157059252bcd6a8338ff3
MD5sum: c254c878992c0d524f3ac492abc0e230
Description: X version of the famous Galaga game
 A clone of the classic game Galaga for the X Window System.
 Xgalaga is a space-invader like game with additional features to produce
 a more interesting game.

Package: xgalaga++
Version: 0.8.3-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 112
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libx11-6, libxpm4
Homepage: http://marc.mongenet.ch/OSS/XGalaga/
Priority: extra
Section: games
Filename: pool/main/x/xgalaga++/xgalaga++_0.8.3-1_armhf.deb
Size: 37584
SHA256: b830aef3bb25446063cacfea1ec0d3062dec4105a5369c17847247d7165978e6
SHA1: 155afa3e84c72ca6b5cb47057b34e8b28f91978c
MD5sum: c886ad31ac1ed4095b7f092c4d0a3a2b
Description: classic single screen vertical shooter, inspired by xgalaga
 XGalaga++ is a classic vertical scrolling shoot'em up. It requires no X
 Window extension and its window is freely resizable. It is inspired by
 XGalaga, but rewritten from scratch, except for the graphics.

Package: xgammon
Version: 0.99.1128-3
Architecture: armhf
Maintainer: Joe Nahmias 
Installed-Size: 2084
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxt6
Priority: optional
Section: games
Filename: pool/main/x/xgammon/xgammon_0.99.1128-3_armhf.deb
Size: 879872
SHA256: d09a16e7b256b8f3e9ccaa8faf27721f5f949f9678fec6df177ea72550675e56
SHA1: cf1c4ba313c763c6dcfe1fd4dd728aec56d2ba7b
MD5sum: 88a92b4497328898bd5427a2394c4396
Description: Implementation of backgammon under X
 This a nicely implemented backgammon with the following features:
    - X11/Xaw interface,
    - a simple file selector and saving dialog,
    - You can edit positions and perform rollouts.
    - Position dump to a mail file in the format used in backgammon newsgroups.
    - Easier movement of stones, by simple button clicks.
    - You can play against an opponent on a remote X-Terminal. (experimental).

Package: xgnokii
Source: gnokii
Version: 0.6.30+dfsg-1
Architecture: armhf
Maintainer: Leo Costela 
Installed-Size: 406
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnokii6, libgtk2.0-0 (>= 2.8.0), libical0 (>= 0.30), libpango1.0-0 (>= 1.14.0), gnokii-common (= 0.6.30+dfsg-1), gnome-icon-theme
Conflicts: gnokii (<= 0.6.22.dfsg-1)
Replaces: gnokii (<= 0.6.22.dfsg-1)
Homepage: http://gnokii.org
Priority: optional
Section: comm
Filename: pool/main/g/gnokii/xgnokii_0.6.30+dfsg-1_armhf.deb
Size: 141074
SHA256: 27082436ca90350c10830f98952681f8a65b689c6b6af89d9ea0498d6a6db12a
SHA1: ad609bccde840cb6795bb078c2082b1ace362f0c
MD5sum: b1ab131510a66f19791c8c04e9422cfc
Description: Datasuite for mobile phone management (X interface)
 Gnokii is a suite of programs that allows communication with mobile phones.
 It currently supports many Nokia mobile phones, all AT capable ones as well as
 many Symbian based.
 For a list of compatible phones, please visit:
 http://wiki.gnokii.org
 .
 This package provides the X11 (xgnokii) interface.

Package: xgraph
Version: 12.1-16
Architecture: armhf
Maintainer: Barak A. Pearlmutter 
Installed-Size: 188
Depends: libc6 (>= 2.13-28), libx11-6
Suggests: ygraph
Priority: optional
Section: math
Filename: pool/main/x/xgraph/xgraph_12.1-16_armhf.deb
Size: 95424
SHA256: a2a8699a9bc893ee150ada3ec6f2fe0fe0b3fb14b205b68e9517397c5f703f2a
SHA1: e46febe12aed651871747bf41d0bdc371503f9ba
MD5sum: 46b55f6577721362fcd098691d2a1784
Description: Plotting program, reads stdin, allows interactive zooming
 Accepts data files or stdin in a form similar to the unix program
 graph and displays line graphs, scatter plots, or bar charts on an
 X11 display.  Fully annotated with title, axis numbering and labels,
 and legend.  Zooming with the mouse is supported.  Can generate
 hardcopy output to Postscript printers and HPGL plotters.  Output can
 be directly pasted into idraw (drawtool) for further annotation.
 Particularly useful in shell scripts.  Also see "ygraph" which is a
 similar tool using an attractive albeit slower modern graphics
 library, which is under active maintenance and development.

Package: xgridfit
Version: 2.2a-2
Installed-Size: 1060
Maintainer: Debian Fonts Task Force 
Architecture: all
Depends: python (>= 2.6), python-support (>= 0.90.0), xsltproc
Suggests: fontforge, fonttools
Size: 139200
SHA256: 09a33e08762af77f34f02e4d97c4ac3667b1f99a3ca8594625c1eee2c2a18de9
SHA1: f6119503057db08327393dc0fc04a31460c4da72
MD5sum: b2b162e04056a2705ef9642f9d352187
Description: a program for gridfitting, or "hinting," TrueType fonts
 Xgridfit is a simple program that implements an XML-based language
 for gridfitting, or "hinting," TrueType fonts.
Homepage: http://xgridfit.sourceforge.net/
Tag: role::program, works-with::font
Section: fonts
Priority: optional
Filename: pool/main/x/xgridfit/xgridfit_2.2a-2_all.deb

Package: xgridfit-doc
Source: xgridfit
Version: 2.2a-2
Installed-Size: 1116
Maintainer: Debian Fonts Task Force 
Architecture: all
Size: 485328
SHA256: 764ff257a51a616badb20fc2edef4398620ca5b296e14304dbf6a40dd2247909
SHA1: c8b5e1ab3cd9588b69554a2ec7a58628320bb46a
MD5sum: ba8722174750f1c252a0092ceb3b6188
Description: Documentation for xgridfit
 Xgridfit is a simple program that implements an XML-based language
 for gridfitting, or "hinting," TrueType fonts.
Homepage: http://xgridfit.sourceforge.net/
Tag: made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/x/xgridfit/xgridfit-doc_2.2a-2_all.deb

Package: xhtml-relaxng
Source: jing-trang
Version: 20091111-5
Installed-Size: 131
Maintainer: Debian XML/SGML Group 
Architecture: all
Size: 17086
SHA256: 2a924b63afcfe5641afa68d50019d8f93ae34029ff0d58ecdeeda49bdbbc7fa0
SHA1: 103642b83ddd36f6d8d46409d73679099df7e9a7
MD5sum: 7b1b8d8ddb213161d80717f74313322f
Description: Implementation in RELAX NG of the modularization of XHTML
 This package contains an implementataion in RELAX NG of the modularization of
 XHTML specified by the Modularization of XHTML Recommendation
 .
 The RELAX NG schema has not been mechanically derived from the DTDs.  Rather,
 it has been hand-crafted so as to produce a good quality RELAX NG schema.
 However, it may well contain bugs.
Homepage: http://code.google.com/p/jing-trang/
Section: misc
Priority: extra
Filename: pool/main/j/jing-trang/xhtml-relaxng_20091111-5_all.deb

Package: xhtml2ps
Source: html2ps
Version: 1.0b7-1
Installed-Size: 172
Maintainer: Rafael Cunha de Almeida 
Architecture: all
Depends: html2ps (= 1.0b7-1), tk8.3 | wish
Suggests: weblint-perl, mime-support, postscript-viewer, lpr
Enhances: html2ps
Size: 23362
SHA256: 38f73da9dcf9ef74ed338dfe5c652a0a30ce1597dff63661909291f3f9dd6e96
SHA1: aa35f9e14498180ae10660799307b715716cde27
MD5sum: 31b867a7494bdfc1b40b67c6c258a910
Description: HTML to PostScript converter (Tcl/Tk GUI frontend)
 This program converts HTML directly to PostScript. The HTML code can be
 retrieved from one or more URLs or local files, specified as parameters
 on the command line. A comprehensive level of HTML is supported,
 including inline images, CSS 1.0, and some features of HTML 4.0.
 .
 This package provides an (outdated) GUI frontend to the html2ps
 converter.
 .
 This is our justification for the suggests list:
 .
 We suggest weblint-perl because it is the default method for checking
 HTML syntax (see Operations | Preferences).
 .
 We suggest lpr because it is the default printing command (see
 Operations | Preferences).
 .
 We suggest mime-support because see is the default command used to open
 the postscript viewer (see Operations | Preferences).
 .
 We suggest postscript-viewer because this package generates postscripts
 which can be viewed with postscript-viewer (see Operations |
 Preferences).
Homepage: http://user.it.uu.se/~jan/html2ps.html
Tag: interface::x11, network::client, role::program, scope::utility,
 uitoolkit::tk, use::converting, works-with-format::html,
 works-with::text, x11::application
Section: text
Priority: optional
Filename: pool/main/h/html2ps/xhtml2ps_1.0b7-1_all.deb

Package: xicc
Version: 0.2-3
Architecture: armhf
Maintainer: Ross Burton 
Installed-Size: 43
Depends: libc6 (>= 2.4), libglib2.0-0 (>= 2.12.0), libx11-6
Priority: optional
Section: x11
Filename: pool/main/x/xicc/xicc_0.2-3_armhf.deb
Size: 8178
SHA256: 4ee71d61fcee761f16e56e07e1edd8080f02072d8765e3d2532e35d3e53e6510
SHA1: 7eb3601c7dba0ba6e75472e7ded9ca240a72cac0
MD5sum: 51c2029cbeeda7559fd477f917978ab6
Description: set the ICC colour profile for an X display
 This utility lets you set an ICC colour profile for an X display, so that
 applications can use it to display colour calibrated images.  Applications have
 to specifically look for this atom but several applications such as Gimp and
 Krita already do.

Package: xindy
Version: 2.4-1.1
Architecture: armhf
Maintainer: Jörg Sommer 
Installed-Size: 1045
Depends: xindy-rules, clisp-fasl-loader-20080430, libc6 (>= 2.13-28), perl, clisp (>> 0.49)
Homepage: http://www.xindy.org/
Priority: optional
Section: text
Filename: pool/main/x/xindy/xindy_2.4-1.1_armhf.deb
Size: 985558
SHA256: 74743be469cd7ca611b723acdd8315c8d9945a2c4b0f16c1f2ada349e97920a6
SHA1: 06d961cae8bf4bdded3eb5b53637f8f8c501432d
MD5sum: 32298041f4fe12c0452f36ae1e17fac7
Description: index generator for structured documents like LaTeX or SGML
 xindy is an index processor that can be used to generate book-like
 indexes for arbitrary document-preparation systems. This includes
 systems such as TeX and LaTeX, the roff-family, SGML/XML-based systems
 (e.g. HTML) that process some kind of text and generate indexing
 information. The kernel system is not fixed to any specific system, but
 can be configured to work together with such systems.
 .
 In comparison to other index processors xindy has several powerful
 features that make it an ideal framework for describing and generating
 complex indices, addressing especially international indexing.

Package: xindy-rules
Source: xindy
Version: 2.4-1.1
Installed-Size: 3716
Maintainer: Jörg Sommer 
Architecture: all
Recommends: xindy
Size: 535348
SHA256: 42549d571d36c41c99090be1c525cfd8abb55d6814905c73d6b3b19919dd90af
SHA1: 3e45626144f3223a1afb8ae16502cc269dc57f5f
MD5sum: 96da04e8550c16dc69dcc1b5ed250626
Description: rule files for xindy
 xindy is an index processor that can be used to generate book-like
 indexes for arbitrary document-preparation systems.
 .
 This package contains the rule files (the knowledge base) of xindy.
Homepage: http://www.xindy.org/
Tag: role::app-data
Section: text
Priority: optional
Filename: pool/main/x/xindy/xindy-rules_2.4-1.1_all.deb

Package: xine-console
Source: xine-ui
Version: 0.99.7-1
Architecture: armhf
Maintainer: Darren Salt 
Installed-Size: 175
Depends: libaa1 (>= 1.4p5), libc6 (>= 2.13-28), libcaca0 (>= 0.99.beta17-1), liblircclient0, libxine2 (>= 1.2.0), libxine2-console
Replaces: xine-ui (<< 0.99.5+cvs20070914-3)
Homepage: http://xine-project.org/
Priority: optional
Section: video
Filename: pool/main/x/xine-ui/xine-console_0.99.7-1_armhf.deb
Size: 70032
SHA256: 44736ed33dbf26d25d729319391fb79bc321b711be688641e9d21c085e42b657
SHA1: 8aea6030f468e131bfd90912b563029d3a7635d1
MD5sum: f4f3b9f21c10eb7562d6f7b68e31db5b
Description: the xine video player, user interface
 xine-ui is a frontend for the libxine video player library.
 .
 This package contains additional front ends, aaxine, cacaxine and fbxine
 which run even on the console, so you can use it to watch DVDs even in
 an xterm. ;-)

Package: xine-dbg
Source: xine-ui
Version: 0.99.7-1
Architecture: armhf
Maintainer: Darren Salt 
Installed-Size: 2152
Depends: xine-ui (= 0.99.7-1) | xine-console (= 0.99.7-1)
Homepage: http://xine-project.org/
Priority: extra
Section: debug
Filename: pool/main/x/xine-ui/xine-dbg_0.99.7-1_armhf.deb
Size: 859890
SHA256: c1a49778699d18105912d0a953a0455023f884d8d74295b656bf46da07a09d9e
SHA1: 796f240e16b8c681bfa0607f340d15cc77f7ab2d
MD5sum: cfb017bdf853ffc6f0476e1746e4fcee
Description: the xine video player, debug symbols
 xine-ui is a frontend for the libxine video player library.
 .
 This package contains debug symbols for the xine frontends xine,
 aaxine, cacaxine, fbxine. Please install them to create meaningful
 backtraces when reporting bugs.

Package: xine-plugin
Version: 1.0.2-4
Architecture: armhf
Maintainer: Darren Salt 
Installed-Size: 172
Depends: libc6 (>= 2.13-28), libx11-6, libxine2 (>= 1.2.0), libxine2-x
Priority: optional
Section: video
Filename: pool/main/x/xine-plugin/xine-plugin_1.0.2-4_armhf.deb
Size: 67528
SHA256: 02f30e61468c594be32a76aeb0cd86e312940761dba06585c24c19634f5372c5
SHA1: 86dd61919911ddf90f0600aae07ae23856259b4e
MD5sum: 974d622f88e3ca8567dace50a5263f35
Description: xine-based media player plugin for Mozilla browsers
 This is a very simple Mozilla browser plugin which uses the xine engine to
 display multimedia streams.
 .
 Features:
  - embedded display on browser window
  - streaming playback directly from xine engine
  - playback control using keyboard
  - relative paths supported
  - on screen display of buffering and stream information
  - playlists and references support
  - loop and repeat mode
  - multiple instances within the same page

Package: xine-ui
Version: 0.99.7-1
Architecture: armhf
Maintainer: Darren Salt 
Installed-Size: 2685
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), liblircclient0, libpng12-0 (>= 1.2.13-4), libreadline6 (>= 6.0), libx11-6, libxext6, libxft2 (>> 2.1.1), libxine2 (>= 1.2.0), libxinerama1, libxtst6, libxv1, libxxf86vm1, libxine2-ffmpeg, libxine2-x
Recommends: xdg-utils
Homepage: http://xine-project.org/
Priority: optional
Section: video
Filename: pool/main/x/xine-ui/xine-ui_0.99.7-1_armhf.deb
Size: 1490846
SHA256: 82a8780a0de06fa2a68bc2a006b67f81f1bfec7ffce6ea7e2158961839a9e44b
SHA1: 34d58a4a7d46b97d8afea9cc5802f57e303ae3e8
MD5sum: 007b8463edabd61fb567d580622120e6
Description: the xine video player, user interface
 This is an X11 based GUI for the libxine video player library.
 It provides xine, a skin based media player that can play all the
 audio/video formats that libxine supports. Currently, this includes MPEG1/2,
 some AVI and Quicktime files, some network streaming methods and disc based
 media (VCD, SVCD, DVD). A more complete list can be found on
 http://xinehq.de/index.php/features/.
 .
 Most DVDs on the market today are play-protected by the Content Scrambling
 System (CSS). Xine does not provide any code to descramble those DVDs,
 because of legal uncertainties. Have a look at
 /usr/share/doc/xine-ui/README.Debian for more information!

Package: xineliboutput-fbfe
Source: vdr-plugin-xineliboutput
Version: 1.0.7+cvs20120609.1902-1
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 152
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libxine2 (>= 1.2.0), libxine2-xvdr (= 1.0.7+cvs20120609.1902-1), libxine2-console
Homepage: http://sourceforge.net/projects/xineliboutput
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-xineliboutput/xineliboutput-fbfe_1.0.7+cvs20120609.1902-1_armhf.deb
Size: 71956
SHA256: 1ad6a348aa044b3c876cd164e0013af911eff8cd8bd2ce74c026c2eef097df9b
SHA1: 76d5ccacb9f414ecfe487310a41d0f0d5f5adff8
MD5sum: 6086b78dbb41fb0a296b69f5369915a2
Description: Remote Framebuffer frontend for vdr-plugin-xineliboutput
 This frambuffer remote frontend plays back streams provided by
 vdr-plugin-xineliboutput.

Package: xineliboutput-sxfe
Source: vdr-plugin-xineliboutput
Version: 1.0.7+cvs20120609.1902-1
Architecture: armhf
Maintainer: Debian VDR Team 
Installed-Size: 427
Depends: libc6 (>= 2.13-28), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.12.0), libglu1-mesa | libglu1, libjpeg8 (>= 8c), libx11-6, libxext6, libxine2 (>= 1.2.0), libxinerama1, libxrender1, libxine2-xvdr (= 1.0.7+cvs20120609.1902-1), libxine2-x, dbus
Homepage: http://sourceforge.net/projects/xineliboutput
Priority: extra
Section: video
Filename: pool/main/v/vdr-plugin-xineliboutput/xineliboutput-sxfe_1.0.7+cvs20120609.1902-1_armhf.deb
Size: 115200
SHA256: 88c78357b5071008d41c33f6fedb1fddd5464efedbee39c71f9ab8240a8d799f
SHA1: 03bc82a61ee9224424e7345538fef49f07faefb8
MD5sum: a2aa0f77d21ad6a96827cbce08cd404c
Description: Remote X-Server frontend for vdr-plugin-xineliboutput
 This X/Xv/XvMc remote frontend plays back streams provided by
 vdr-plugin-xineliboutput.

Package: xinetd
Version: 1:2.3.14-7.1+deb7u1
Architecture: armhf
Maintainer: Salvo 'LtWorf' Tomaselli 
Installed-Size: 287
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libwrap0 (>= 7.6-4~), netbase, update-inetd
Recommends: sysklogd | system-log-daemon, logrotate
Conflicts: inet-superserver
Provides: inet-superserver
Homepage: http://www.xinetd.org
Priority: extra
Section: net
Filename: pool/main/x/xinetd/xinetd_2.3.14-7.1+deb7u1_armhf.deb
Size: 141242
SHA256: 89cc880dce88c689e6a697442a7c5aa7f66c57f0fbe7dac08aafd23bbf3cce0d
SHA1: d7e0106e92f8abcd76340c288fc2c2ee99fcb59b
MD5sum: cfc7dcb6db44c9b52e01afb64a8b34a0
Description: replacement for inetd with many enhancements
 xinetd has access control mechanisms, extensive logging capabilities,
 the ability to make services available based on time, and can place
 limits on the number of servers that can be started, among other things.
 .
 It has the ability to redirect TCP streams to a remote host and port.
 This is useful for those of that use IP masquerading, or NAT, and want
 to be able to reach your internal hosts.
 .
 It also has the ability to bind specific services to specific interfaces.
 This is useful when you want to make services available for your internal
 network, but not the rest of the world. Or to have a different service
 running on the same port, but different interfaces.

Package: xinit
Version: 1.3.2-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 97
Depends: libc6 (>= 2.13-28), libx11-6, coreutils (>= 7.4-1), x11-common, xauth
Recommends: xserver-xorg | xserver, xterm | x-session-manager | x-window-manager | x-terminal-emulator
Priority: optional
Section: x11
Filename: pool/main/x/xinit/xinit_1.3.2-1_armhf.deb
Size: 42284
SHA256: 855e8bc0464c5c98103e7cf19ffab50b550a898eebe20e94c6f92bfa021cf72a
SHA1: b217676438855cdcaf46af108f6e9ee68916d1e6
MD5sum: b834689be2e2d8b6aa1288decdc857ed
Description: X server initialisation tool
 xinit and startx are programs which facilitate starting an X server, and
 loading a base X session.

Package: xinput
Version: 1.6.0-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 82
Depends: libc6 (>= 2.13-28), libx11-6 (>= 2:1.2.99.901), libxext6, libxi6 (>= 2:1.2.99.4), libxinerama1, libxrandr2 (>= 2:1.2.0)
Priority: optional
Section: x11
Filename: pool/main/x/xinput/xinput_1.6.0-1_armhf.deb
Size: 26182
SHA256: dcb186087ee9928188428257bb24839dd65d5df1e9079c7b4cde0a9db06f7448
SHA1: 3415d31b5bc59cfd88c49768184afc28e18d7952
MD5sum: 20de94edd9cfb75d4ee413bd79815e68
Description: Runtime configuration and test of XInput devices
 Xinput is an utility for configuring and testing XInput
 devices.

Package: xinv3d
Version: 1.3.6-6
Architecture: armhf
Maintainer: Chris Butler 
Installed-Size: 79
Depends: libc6 (>= 2.4), libx11-6
Priority: optional
Section: games
Filename: pool/main/x/xinv3d/xinv3d_1.3.6-6_armhf.deb
Size: 24020
SHA256: 64650612a24f267568c9330ffa1fdbca1f273bfcddd7bdd3943a8837dfd2d175
SHA1: 3628a73bb3cf27753927902b1da7042f808711ab
MD5sum: c8986b0b7b27ad064a9bb9b5f36b94b2
Description: 3D space invaders for X
 XInvaders 3D is a 3D vector-based space-invaders clone for
 the X Window System.

Package: xiphos
Version: 3.1.5+dfsg-1
Architecture: armhf
Maintainer: CrossWire Packages 
Installed-Size: 1119
Depends: gconf-service, libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo-gobject2 (>= 1.10.0), libcairo2 (>= 1.2.4), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.88), libenchant1c2a (>= 1.6), libgcc1 (>= 1:4.4.0), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgsf-1-114 (>= 1.14.8), libgtk-3-0 (>= 3.0.0), libgtkhtml-4.0-0 (>= 4.2), libgtkhtml-4.0-0 (<< 4.3), libgtkhtml-editor-4.0-0 (>= 4.2), libgtkhtml-editor-4.0-0 (<< 4.3), libjavascriptcoregtk-3.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.18.0), libsoup2.4-1 (>= 2.4.0), libstdc++6 (>= 4.4.0), libsword9 (>= 1.6.2+dfsg), libwebkitgtk-3.0-0 (>= 1.3.10), libxml2 (>= 2.7.4), xiphos-data (= 3.1.5+dfsg-1)
Suggests: sword-text
Conflicts: xiphos-svn
Replaces: xiphos-data
Provides: sword-frontend
Homepage: http://xiphos.org
Priority: optional
Section: gnome
Filename: pool/main/x/xiphos/xiphos_3.1.5+dfsg-1_armhf.deb
Size: 391676
SHA256: 7a03dc342ad7f5a07ef77cd2cc9b03ce2035c7a3595acc571879e89387eade14
SHA1: 1695533f6479a962051f6ab942ae60682229e105
MD5sum: 5dcfb959339168dd5acaa293879aea19
Description: environment for Bible reading, study, and research
 Xiphos is a Bible study program for the GNOME desktop environment, based on The
 SWORD Project by the CrossWire Bible Society, a framework for developing Bible
 study tools and of associated texts such as commentaries and dictionaries.
 .
 This package provides the main Xiphos program, a digital text reader with
 bookmarks and full-text search. It allows viewing multiple versions of the same
 text, for parallel reading of alternatives or translations. It also supports
 personal verse-specific notes and a rich-text study pad, both of which have
 spell checking and full-text search.
 .
 Xiphos has a built-in facility to browse and install freely-distributed content
 from CrossWire repositories.

Package: xiphos-data
Source: xiphos
Version: 3.1.5+dfsg-1
Installed-Size: 6834
Maintainer: CrossWire Packages 
Architecture: all
Suggests: xiphos
Conflicts: xiphos-svn
Size: 4589684
SHA256: f913849f6a2f95263b9d50f8cbabb2d5beaac0cc9e76c1c69eee46603298a0c5
SHA1: b74fb3c33f95c81ca80b85f7d6854a2c4e7ed7b0
MD5sum: c45e2e8991ef052d751a77e003e6fdce
Description: data files for Xiphos Bible study software
 Xiphos is a Bible study program for the GNOME desktop environment, based on The
 SWORD Project by the CrossWire Bible Society, a framework for developing Bible
 study tools and of associated texts such as commentaries and dictionaries.
 .
 This package contains the data files that are necessary to use Xiphos.
Homepage: http://xiphos.org
Tag: role::app-data
Section: gnome
Priority: optional
Filename: pool/main/x/xiphos/xiphos-data_3.1.5+dfsg-1_all.deb

Package: xiphos-dbg
Source: xiphos
Version: 3.1.5+dfsg-1
Architecture: armhf
Maintainer: CrossWire Packages 
Installed-Size: 41667
Depends: xiphos (= 3.1.5+dfsg-1)
Suggests: sword-text
Conflicts: xiphos-svn
Provides: sword-frontend
Homepage: http://xiphos.org
Priority: extra
Section: debug
Filename: pool/main/x/xiphos/xiphos-dbg_3.1.5+dfsg-1_armhf.deb
Size: 9586136
SHA256: b0a67a07f36fb2810c3f96ac91bbd2e091e2dbf9e63c9f5a0976f8ce85373248
SHA1: f5cb7885b101d81e5487224cf45e1282c6d1b2da
MD5sum: 53c5474b9b02e123913e72b678f66b66
Description: debug symbols for Xiphos Bible study software
 Xiphos is a Bible study program for the GNOME desktop environment, based on The
 SWORD Project by the CrossWire Bible Society, a framework for developing Bible
 study tools and of associated texts such as commentaries and dictionaries.
 .
 This package contains debugging symbols that are necessary to procude
 stacktraces of Xiphos.

Package: xipmsg
Version: 0.8088-2.1
Architecture: armhf
Maintainer: GOTO Masanori 
Installed-Size: 142
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6
Priority: optional
Section: x11
Filename: pool/main/x/xipmsg/xipmsg_0.8088-2.1_armhf.deb
Size: 36682
SHA256: d53f96508c33ff6885be9853a70be9e22690ca5e8a5827d9f7bf2fa9a79bc2a1
SHA1: 353ec8d1de2eb33ce45a650937960a24468a6c98
MD5sum: 868f1ffd537e84b15afcae6fc7c57309
Description: A pop up style message communication software
 IP Messenger is a pop up style message communication software
 for multi platforms. It is based on TCP/IP(UDP).
 Xipmsg is the X11 version of IP Messenger. It can communicate
 with IP Messengers for Windows/MacOS.

Package: xiterm+thai
Version: 1.10-2
Architecture: armhf
Maintainer: Neutron Soutmun 
Installed-Size: 203
Depends: libc6 (>= 2.13-28), libx11-6, libxpm4
Recommends: xfonts-thai, xfonts-thai-nectec
Provides: x-terminal-emulator
Homepage: http://linux.thai.net/projects/xiterm+thai/
Priority: optional
Section: x11
Filename: pool/main/x/xiterm+thai/xiterm+thai_1.10-2_armhf.deb
Size: 103366
SHA256: cf32e1f909ec71c50e0831dbad21051270fec7d8f57f663d4d5054d81ea1cce2
SHA1: a68bbbfea6aaebdb9f2fa88091c90e48a489ca85
MD5sum: fe3856a3a25ef70639f451d51087f154
Description: X terminal program with Thai languague support
 xiterm+thai is an X terminal emulator program with Thai language
 support. It has built-in Thai keyboard input support. You could
 also use the X11 XKB extension to input Thai characters.
 .
 A Thai TIS-620 font, such as one from xfonts-thai-nectec is needed
 to display Thai characters.

Package: xjadeo
Version: 0.6.4-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 574
Depends: libasound2 (>= 1.0.16), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libimlib2, libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblo7 (>= 0.26~repack), libltcsmpte1, libportmidi0, libqt4-test (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libswscale2 (>= 5:0.8-2~), libx11-6, libxext6, libxpm4, libxv1
Recommends: mencoder, transcode
Homepage: http://xjadeo.sourceforge.net/
Priority: optional
Section: video
Filename: pool/main/x/xjadeo/xjadeo_0.6.4-1_armhf.deb
Size: 356486
SHA256: 7172d1f030a55f4a01c92c6974ffb0f306395e7401cf347a256140eec3806ed2
SHA1: 85b9feb6098c6643cbe17aa95337f2e53580fd15
MD5sum: 5fbeaa333374862f5a86f87b912260df
Description: Video player with JACK sync
 Simple video player that receives sync from jackd or MTC.
 It has applications in soundtrack creation, video monitoring or any task that
 requires to associate movie frames with audio events.
 .
 For instance when a jack-client (like Muse, Rosegarden or Ardour) acts as a
 timebase master, xjadeo will display the video synchronized to JACK transport.
 xjadeo is capable to read Midi Time Clock as an alternate sync source and comes
 along with an optional QT-GUI.
 .
 xjadeo reads only seekable media by default. Installing a transcoding utility
 like mencoder or transcode is highly recommended.

Package: xjdic
Version: 24-8
Architecture: armhf
Maintainer: Ludovic Drolez 
Installed-Size: 315
Depends: libc6 (>= 2.13-28), edict, kanjidic
Recommends: kterm
Priority: optional
Section: text
Filename: pool/main/x/xjdic/xjdic_24-8_armhf.deb
Size: 163894
SHA256: 7fe1f2e6263a75e57c0ebd73b0e2da6e162b2e410272cd05333f4baa6b23313a
SHA1: 5d9e0cec82455d58fc7852234ee5f12ab10bba49
MD5sum: cda8e1d8edc9b72e1b3e9733735988a5
Description: Japanese-English dictionary search program
 XJDIC is an  electronic  Japanese-English  dictionary  program  designed  to
 operate  in  the  X11 window environment.  In particular,  it must run in an
 "xterm" environment which has Japanese language support such as provided  by
 "kterm" etc.

Package: xjed
Source: jed
Version: 1:0.99.19-2.1
Architecture: armhf
Maintainer: Debian JED Group 
Installed-Size: 362
Depends: libc6 (>= 2.13-28), libslang2 (>= 2.0.7-1), libx11-6, libxft2 (>> 2.1.1), jed-common (= 1:0.99.19-2.1)
Provides: editor, info-browser, mail-reader
Homepage: http://www.jedsoft.org/jed/
Priority: optional
Section: editors
Filename: pool/main/j/jed/xjed_0.99.19-2.1_armhf.deb
Size: 154690
SHA256: 13bf583d931fe82fe8b27111a5506f0f425a948048da71d5b2a0f5a72ac60b2c
SHA1: 92bb24b5f6894b21d824dbf5d52127d2aa16eb4b
MD5sum: 113405b87addb6cafa79c166ad4d0adb
Description: editor for programmers (x11 version)
 Jed is a small, fast (faster startup than xterm with bash),
 and powerful text editor.
 .
 Completely customizable with prepared emulation modes for Emacs, CUA
 (similar to KDE/Gnome/Openoffice), Borland-IDE, Brief, and EDT. Extensible
 in the S-Lang scripting language (with a syntax resembling C).
 .
 Editing functions: folding support; rectangular cut/paste; regular
 expression search/replace; incremental searches; search/replace across
 multiple files; multiple windows; multiple buffers; ...
 .
 Special modes (syntax highlight, indention, compile, ...) for
 Basic, C, C++, DCL, FORTRAN, IDL, Java, NROFF, Pascal,
 Perl, PHP, PostScript, Python, SH.
 Modes for markup languages include HTML and (La)TeX (with AUC-TeX style
 editing and BibTeX)
 .
 Tools: directory editor (dired); info (browse GNU info files); mail;
 rmail; ispell; shell mode; ...
 .
 The native X11 version provides improved mouse support, key configuration
 and X selection interaction. If no display is available, xjed falls back to
 text mode, thus there is no need to install both jed and xjed packages.
 .
 Additional tools and modes can be found in the jed-extra package.

Package: xjig
Version: 2.4-13
Architecture: armhf
Maintainer: Dave Holland 
Installed-Size: 203
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjpeg8 (>= 8c), libnetpbm10, libstdc++6 (>= 4.3.0), libx11-6, libxext6
Priority: optional
Section: games
Filename: pool/main/x/xjig/xjig_2.4-13_armhf.deb
Size: 121334
SHA256: 123d68a433af4e37a90f63ca3283f54e40092c5169023f7a60a6c48bf4c3c522
SHA1: 5f86dbd709537e489641727d974336e386336393
MD5sum: c9c1e6af20584feb041be3ca70197f7a
Description: An X11 jigsaw puzzle
 xjig is a puzzle that tries to replicate a jigsaw puzzle on the screen
 as closely as possible. Any GIF, JPEG or PPM image may be loaded and cut
 into pieces. The goal (as with any jigsaw puzzle) is to reassemble the
 original picture.
 .
 Tiles may be freely rotated by use of the mouse, flipped (for
 double-sided puzzles) and even shown as shaped windows on the desktop
 (fast machine/video card recommended for the latter!). An example image
 is provided in /usr/share/games/xjig .

Package: xjobs
Version: 20110730-1
Architecture: armhf
Maintainer: Thierry Randrianiriana 
Installed-Size: 88
Depends: libc6 (>= 2.7), libgcc1 (>= 1:4.4.0)
Homepage: http://www.maier-komor.de/xjobs.html
Priority: extra
Section: utils
Filename: pool/main/x/xjobs/xjobs_20110730-1_armhf.deb
Size: 31412
SHA256: 61db96464482da4f5a075a88a650ef8e5209c4938b6526762c76fb3c0d4b3ada
SHA1: 086351f1d60eedf236f31a5405c14f6cde20498d
MD5sum: 2cacceac4d3a919355978885a0e0cd1b
Description: reads job description line by line and executes them in parallel
 xjobs reads job descriptions line by line and executes them in parallel. It
 limits the number of parallel executing jobs and starts new jobs when jobs
 finish. Therefore, it combines the arguments from every input line with the
 utility and arguments given on the command line. If no utility is given as an
 argument to xjobs, then the first argument on every job line will be used as
 utility. To execute utility xjobs searches the directories given in the PATH
 environment variable and uses the first file found in these directories.
 .
 xjobs is most useful on multi-processor/core machines when one needs to execute
 several time consuming command several that could possibly be run in parallel.
 With xjobs this can be achieved easily, and it is possible to limit the load
 of the machine to a useful value. It works similar to xargs, but starts several
 processes simultaneously and gives only one line of arguments to each utility
 call.

Package: xjokes
Version: 1.0-13
Architecture: armhf
Maintainer: Barak A. Pearlmutter 
Installed-Size: 66
Depends: libc6 (>= 2.4), libx11-6
Priority: optional
Section: games
Filename: pool/main/x/xjokes/xjokes_1.0-13_armhf.deb
Size: 12814
SHA256: c56de5bdfa85c03a21efcb1bcc596e2aeeaae8ef3d23e0b17bea0bd58b3645b0
SHA1: b44c837c7130121714de9300a836fb9ff4a2ae0c
MD5sum: a2bccc883135f659a397593a2d94c902
Description: X11 jokes
 Four small funny programs to tweak an X11 display:
 yasiti, blackhole, mori1, and mori2.

Package: xjump
Version: 2.7.5-6.1
Architecture: armhf
Maintainer: Samuel Mimram 
Installed-Size: 110
Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxpm4, libxt6
Priority: optional
Section: games
Filename: pool/main/x/xjump/xjump_2.7.5-6.1_armhf.deb
Size: 22082
SHA256: 05680d38cbf02d0596e7b0ac8aa63ab311a6991f4f88dae47f790cf5969d792c
SHA1: a9fcccb6093991579f3d56798bd92e3dffd091fc
MD5sum: 32ac2b4aeaee9a7f7b6ca523088a00e2
Description: jumping game for X
 The epitome of addictive and pointless games, xjump is simply a game
 where one jumps from one platform to a higher platform, over and over. If
 you can't keep up with the pace of jumping, the floor swallows you up and
 your game is over.

Package: xkb-data
Source: xkeyboard-config
Version: 2.5.1-3
Installed-Size: 5403
Maintainer: Debian X Strike Force 
Architecture: all
Breaks: libx11-6 (<< 2:1.4.3)
Size: 644562
SHA256: cd52f0f8a753c28ae1c350287c96e8d9ea607b081732afb6ac02d4a60343a289
SHA1: c4f7ce3c311091106db10200c030356da9525c3b
MD5sum: 0b8646640f6a330f24d695a684f57e5b
Description: X Keyboard Extension (XKB) configuration data
 This package contains configuration data used by the X Keyboard
 Extension (XKB), which allows selection of keyboard layouts when
 using a graphical interface.
 .
 Every X11 vendor provides its own XKB data files, so keyboard layout
 designers have to send their layouts to several places.  The
 xkeyboard-config project has been launched at FreeDesktop in order
 to provide a central repository that could be used by all vendors.
Homepage: http://www.freedesktop.org/Software/XKeyboardConfig
Tag: accessibility::input, hardware::input:keyboard, role::app-data,
 use::configuring, x11::TODO
Section: x11
Priority: extra
Filename: pool/main/x/xkeyboard-config/xkb-data_2.5.1-3_all.deb

Package: xkbind
Version: 2010.05.20-1
Architecture: armhf
Maintainer: Stanislav Maslovski 
Installed-Size: 82
Depends: libc6 (>= 2.4), libx11-6
Homepage: http://xkbind.sourceforge.net/
Priority: extra
Section: x11
Filename: pool/main/x/xkbind/xkbind_2010.05.20-1_armhf.deb
Size: 20010
SHA256: 7156c678e486cd27c09c207237d69de18f41ecd64fdbe585f817b7fbafdc5fb2
SHA1: ae45fd7c78dcf62477e2fcfa5d974f6a5b5d28da
MD5sum: 8346af27020fb0124e02c2b2016a5677
Description: X Keyboard Extension Indicator
 The XkbInd program (X Keyboard Extension Indicator) is a minimal
 indicator of keyboard layout (XKB group) for the X Window System; it
 indicates current keyboard layout in the title of top-level windows via
 prefix to the original string.  It also allows to simulate independent
 keyboard layout for each handled window and it works with most of the
 window managers, including twm, mwm and fvwm. WARNING: This program
 assumes the standard X input focus handling, so it will not work with
 some GUI toolkits.

Package: xkbset
Version: 0.5-5.1
Architecture: armhf
Maintainer: Francois Gurin 
Installed-Size: 108
Depends: libc6 (>= 2.4), libx11-6
Suggests: perl-tk
Priority: extra
Section: x11
Filename: pool/main/x/xkbset/xkbset_0.5-5.1_armhf.deb
Size: 23810
SHA256: a2241351c0860512391a7bd60ae0cea46b6ba79c7c9e0e1737a278de6b4aa953
SHA1: 64af2368637e112e04cce790ddb7a7d87b0465d9
MD5sum: b527ba9548db7de976d5beff6ed5150d
Description: Small utility to change the AccessX settings of XKEYBOARD
 AccessX is a set of features within the XKEYBOARD extension of the
 X Window System designed to make X more accessible to users with
 disabilities. XKEYBOARD is present in X11R6.1 and later. AccessX features
 are typically unknown, given that in many implementations no interface
 is provided to utilize their functionality.
 .
 Accessibility Features supported in AccessX include:
 .
  1. MouseKeys
     MouseKeys is a system whereby the numeric keypad can be used to control
     the mouse pointer.
 .
  2. SlowKeys
     SlowKeys is a feature to "slow down" keyboard input.
 .
  3. StickyKeys
     StickyKeys enables the user who is unable to press and hold multi-key
     combinations to enter them in a sequential format instead.
 .
  4. BounceKeys
     BounceKeys is similar to StickyKeys, except that instead of a delay
     affecting all keys pressed, the delay is only enabled for the key the
     user pressed last.
 .
  5. RepeatKeys
     RepeatKeys sets the same parameters as the global repeat settings, but
     it is important to make note of it as an accessibility feature as well.

Package: xkeycaps
Version: 2.47-4
Architecture: armhf
Maintainer: Christoph Berg 
Installed-Size: 1085
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxt6
Conflicts: nextaw (<< 0.8-2), nextawg (<< 0.5.2), xaw3d (<< 1.3-6), xaw95 (<< 1.1-4)
Priority: optional
Section: x11
Filename: pool/main/x/xkeycaps/xkeycaps_2.47-4_armhf.deb
Size: 155900
SHA256: 833e2aa3ffdc962e2242857ee719d85993d814fb95f5a95ad770ab93572ec142
SHA1: 649b1fd5029b14ba7516e383219ed9e51ddbcccd
MD5sum: 8d15a4bafebb7fcb8bfa8aa1f33e5778
Description: manipulate X11 keymaps (for xmodmap) graphically
 xkeycaps is a graphical front-end to xmodmap(1). It opens a window that looks
 like a keyboard; moving the mouse over a key shows what KeySyms and Modifier
 bits that key generates. Clicking on a key simulates KeyPress/KeyRelease
 events on the window of your choice. It is possible to change the KeySyms
 and Modifiers generated by a key through a mouse-based interface. This
 program can also write an input file for xmodmap to recreate your changes in
 future sessions.

Package: xl2tpd
Version: 1.3.1+dfsg-1
Architecture: armhf
Maintainer: Roberto C. Sanchez 
Installed-Size: 209
Depends: libc6 (>= 2.13-28), libpcap0.8 (>= 0.9.8), ppp
Replaces: l2tpd (<< 1.3.1+dfsg-1)
Provides: l2tpd
Homepage: http://www.xelerance.com/software/xl2tpd/
Priority: optional
Section: net
Filename: pool/main/x/xl2tpd/xl2tpd_1.3.1+dfsg-1_armhf.deb
Size: 70814
SHA256: cc195e465c4f08c81274b00fc908e05241cb3a08dcd612270932ca08f5640d64
SHA1: de018af56666e50b890948bf7e59ae83b7e22419
MD5sum: 48136bdb5213836a9b2275f1b7ff46f2
Description: layer 2 tunneling protocol implementation
 xl2tpd is an open source implementation of the L2TP tunneling
 protocol (RFC2661).  xl2tpd is forked from l2tpd and is maintained by
 Xelerance Corporation.
 .
 The main purpose of this protocol is to tunnel PPP frames through IP
 networks.  It implements both LAC and LNS role in the L2TP networking
 architecture.

Package: xlassie
Version: 1.8-21
Architecture: armhf
Maintainer: Barak A. Pearlmutter 
Installed-Size: 78
Depends: libc6 (>= 2.7), libx11-6, libxext6
Homepage: http://www.speakeasy.org/%7Exyzzy/xlassie/
Priority: optional
Section: x11
Filename: pool/main/x/xlassie/xlassie_1.8-21_armhf.deb
Size: 20778
SHA256: 419248a837508bf86dcd7991f8e4bcc6260413fc98431b922ed1dc19cfa57d15
SHA1: bc13d1b7bb433a028e3b9953ca35541bc0d7efa5
MD5sum: 48a090eea6fcc10b106145582d43c2a4
Description: Dockable mail notifier w/ message count & POP3/APOP/IMAP support
 Enhanced pending mail notifier.
 Displays the number of waiting messages.
 Supports local mail spool and POP3/APOP3/IMAP mail servers.
 Can run a command when clicked upon.
 Uses plain xlib, so memory footprint is tiny.
 Can operate as plain app or WindowMaker/KDE dock applet.

Package: xlbiff
Version: 4.1-7
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 79
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6, nmh
Priority: optional
Section: mail
Filename: pool/main/x/xlbiff/xlbiff_4.1-7_armhf.deb
Size: 20296
SHA256: 48e7c856a773357b068c85e13dd7cf159329ced26e1e7b917f8137de390556a1
SHA1: 4f9ae9ec0badfa6547f74c839a2d09244e8185ac
MD5sum: aa4636b17f2878fa92ff57bfb7c701a4
Description: X Literate Biff. Displays From and Subject lines of your new mail
 Xlbiff lurks in the background, monitoring your mailbox file (normally
 /var/spool/mail/).  When something shows up there, it
 invokes the MH scan(1) command and displays the output in a window.
 If more mail comes in, it scans again and resizes accordingly.
 .
 Clicking the left mouse button anywhere in the window causes it to
 vanish.  It will also vanish if you inc(1) and the mailbox becomes
 empty.  Xlbiff is modeled after xconsole -- its job is to sit invisibly
 and pop up only when something demands your attention.

Package: xless
Version: 1.7-14.1
Architecture: armhf
Maintainer: Felipe Augusto van de Wiel (faw) 
Installed-Size: 92
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libx11-6, libxaw7, libxext6, libxmu6, libxt6
Priority: optional
Section: text
Filename: pool/main/x/xless/xless_1.7-14.1_armhf.deb
Size: 23480
SHA256: b76d96200cd6f3eeb34e6e99ae61149dc23c4d27ba623a97110182555d5d450c
SHA1: 93bcc03cdca1f837768f41113d77dc30a935660b
MD5sum: 70902e828869e6b3f0eefd39a69cd4fe
Description: file browsing tool for the X Window System
 xless allows you to view information in an X window. It allows
 filename (or multiple filenames) arguments, or input via STDIN.
 It can print the current buffer and do regular expression searches.

Package: xletters
Version: 1.1.1-4.1
Architecture: armhf
Maintainer: Ben Armstrong 
Installed-Size: 79
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxt6, wenglish | wordlist, netcat
Priority: optional
Section: games
Filename: pool/main/x/xletters/xletters_1.1.1-4.1_armhf.deb
Size: 19458
SHA256: 64ac9e81930ea1c520818b328f24a933585ab37ae9e4810fedcc7a6b122a59de
SHA1: cf0771fc6b3d0ea49ffab1957c90506deb93fb19
MD5sum: bcb77262e82370d3d7d9b5e66e08ec45
Description: Type falling words before they land
 A typing practice game for X similar to typespeed and tuxtype.
 Words chosen from the system's wordlist fall from the top of
 the screen and must be typed correctly to score.  In a challenge
 stage, random sequences of characters appear instead of words.
 Head-to-head networked play is possible with xletters-duel.

Package: xlhtml
Version: 0.5.1-6
Architecture: armhf
Maintainer: Eric Warmenhoven 
Installed-Size: 131
Depends: libc6 (>= 2.7)
Priority: optional
Section: utils
Filename: pool/main/x/xlhtml/xlhtml_0.5.1-6_armhf.deb
Size: 52944
SHA256: 60cd0c5035245658c1ec0c1f0a2a5213d9ff46abbe9cfbe0222da327212a4e61
SHA1: 8a11b4b83a635d815b78316084165c14ef6f3fc9
MD5sum: 3d61ba3cde58c078c24255c5c7452fb5
Description: A program for converting Microsoft Excel Files .xls
 The xlhtml program will take an Excel 95, or 97 file as input and
 convert it to highly optimized html. The output is via standard out
 so it can be re-directed to files or piped to filters or used as a
 gateway on the internet.

Package: xli
Version: 1.17.0+20061110-4
Architecture: armhf
Maintainer: Ryan Niebur 
Installed-Size: 309
Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libx11-6, libxext6, zlib1g (>= 1:1.1.4)
Homepage: http://pantransit.reptiles.org/prog/
Priority: optional
Section: graphics
Filename: pool/main/x/xli/xli_1.17.0+20061110-4_armhf.deb
Size: 154670
SHA256: ffd886a816ce65734a0cbfe3ab7684bb0f120d80cdf4e7d4dd6e3dfae5c06bf0
SHA1: 08e88039d1b40f15110adf3d41a3103317fa07e5
MD5sum: 7947d99a4beae62842798493a8c14504
Description: command line tool for viewing images in X11
 xli can view the following image types under X11: FBM Image, Sun
 Rasterfile, CMU WM Raster, Portable Bit Map (PBM, PGM, PPM), Portable
 Network Graphics (PNG), Faces Project, GIF Image, JFIF style jpeg
 Image, Utah RLE Image, Windows, OS/2 RLE Image, Photograph on CD
 Image, X Window Dump, Targa Image, McIDAS areafile, G3 FAX Image, PC
 Paintbrush Image, GEM Bit Image, MacPaint Image, X Pixmap, X Bitmap.

Package: xloadimage
Version: 4.1-19
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 270
Depends: libc6 (>= 2.13-28), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libtiff4 (>> 3.9.5-3~), libx11-6
Priority: optional
Section: graphics
Filename: pool/main/x/xloadimage/xloadimage_4.1-19_armhf.deb
Size: 127364
SHA256: 4e0fc6de5595bd674c627ff227b0683d5a4ac0b834808e03b88e3f0a92263c6d
SHA1: 29c2dbd5ae0789f093d2701e281149a2c4e7e58b
MD5sum: 5268a973dca4e4593b5bdd36c0949207
Description: Graphics file viewer under X11
 Can view png, jpeg, gif, tiff, niff, sunraster, fbm, cmuraster, pbm, faces,
 rle, xwd, vff, mcidas, vicar, pcx, gem, macpaint, xpm and xbm files. Can
 view images, put them on the root window, or dump them. Does a variety of
 processing, including: clipping, dithering, depth reduction, zoom,
 brightening/darkening and merging.
 .
 Includes xsetbg, xview and uufilter.

Package: xlog
Version: 2.0.5-2
Architecture: armhf
Maintainer: Nanakos Chrysostomos 
Installed-Size: 406
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.18.0), libhamlib2 (>= 1.2.15.1), libpango1.0-0 (>= 1.18.0), xlog-data
Recommends: shared-mime-info, xdg-utils
Suggests: glabels, extra-xdg-menus, cwdaemon
Homepage: http://download.savannah.gnu.org/releases/xlog
Priority: optional
Section: hamradio
Filename: pool/main/x/xlog/xlog_2.0.5-2_armhf.deb
Size: 180980
SHA256: 8aa9e10f0d68537ee32ed50b478f342ba8457885a1bba31ef6ad76e7b85fe2df
SHA1: c2afe9552f9fb513f7b0c1b14bad1fa543c80ce6
MD5sum: c1d6a5aa83ff10060dcb2220288a41b4
Description: GTK+ Logging program for Hamradio Operators
 xlog is a logging program for amateur radio operators which can be used
 for daily logging and contest. Logs are stored into a text file.
 .
 QSO's are presented in a list. Items in the list can be added, deleted or
 updated. For each contact, dxcc information is displayed and bearings and
 distance is calculated, both short and long path.
 .
 When hamlib is enabled through the menu, you can retrieve frequency, mode and
 signal-strength from your rig over the serial port.

Package: xlog-data
Source: xlog
Version: 2.0.5-2
Installed-Size: 11520
Maintainer: Nanakos Chrysostomos 
Architecture: all
Size: 10045842
SHA256: d6b9c1c35c6153d9c63bbfc4bfd41cb746b7fbbb128a3f9eb9c2588f255fc2bf
SHA1: 5eff8b431f550f9e8f92d2914581bcd9d1709376
MD5sum: 705504cca46e934fb3ed8ec8d64559b8
Description: data for xlog, a GTK+ Logging program for Hamradio Operators
 This package contains the manual, locale files, pixmaps and more for xlog,
 a logging program for amateur radio operators.
Homepage: http://download.savannah.gnu.org/releases/xlog
Tag: made-of::html, made-of::icons, role::app-data
Section: hamradio
Priority: optional
Filename: pool/main/x/xlog/xlog-data_2.0.5-2_all.deb

Package: xmabacus
Source: xabacus
Version: 7.6.8-3
Architecture: armhf
Maintainer: Florian Ernst 
Installed-Size: 353
Depends: lesstif2 (>= 1:0.94.4), libaudiofile1 (>= 0.3.4), libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxpm4, libxt6
Conflicts: xabacus
Replaces: xabacus
Provides: xabacus
Homepage: http://www.tux.org/~bagleyd/abacus.html
Priority: extra
Section: games
Filename: pool/main/x/xabacus/xmabacus_7.6.8-3_armhf.deb
Size: 140872
SHA256: b6aa9f9c05a5cf987b8abb3e140e3c1855d8456c016e5615d396fa93581f23cb
SHA1: ea70759a1f65b8a192eb86aebfaa78c31155a20b
MD5sum: 6810b44fa77ec12f4c7cf476d5cf0e11
Description: simulation of the ancient calculator (Motif version)
 This program is an implementation of the original abacus, it provides
 the Chinese, Japanese, Korean, Roman and Russian version and can be
 modified to allow others.
 .
 This is the Motif version which shows additional functionality. It was
 compiled with lesstif2. Motif is a GUI widget library for the X Window
 system.

Package: xmacro
Version: 0.3pre-20000911-6
Architecture: armhf
Maintainer: Eduard Bloch 
Installed-Size: 85
Pre-Depends: dpkg (>= 1.15.6)
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6, libxtst6
Priority: optional
Section: utils
Filename: pool/main/x/xmacro/xmacro_0.3pre-20000911-6_armhf.deb
Size: 16806
SHA256: b85c9226adc56e803694313aeaade03edc302177ad9ab0b88f44ea95c9e6d66c
SHA1: e321f53aa5ce42016f18a3397d00b2302c64b7bd
MD5sum: 04746f0a08044aa2675581b74150b84d
Description: Record / Play keystrokes and mouse movements in X displays
 xmacrorec can be used to record mouse and keyboard events on any X11 display.
 .
 xmacroplay can be used to playback recorded events or send any other
 mouse/keyboard events you choose. It is very handy for scripting an
 X display - for example controlling a presentation in mgp or ultrapoint
 from a script, network connection...
 .
 xmacroplay-keys is a script to help use the above.
 .
 Together these utils can be used to record and replay sessions
 for demonstrations etc.

Package: xmahjongg
Version: 3.7-3
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 691
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libx11-6
Suggests: menu (>= 1.5)
Homepage: http://www.lcdf.org/xmahjongg/
Priority: optional
Section: games
Filename: pool/main/x/xmahjongg/xmahjongg_3.7-3_armhf.deb
Size: 440368
SHA256: cd15a9402c5f4b6a2cc2ded6d8cd4a795b1ff6235fcf7a92860343e13d591195
SHA1: 8303f8a902ca322b15e5d535bd8cfb404186397b
MD5sum: 7c3319f3c6435921bf8a9077e01517ac
Description: tile-based solitaire game
 Xmahjongg is a simple implementation of the popular solitaire Mah Jongg game.
 The object is to remove all 144 tiles from the playing area by matching them
 two at a time.  Xmahjongg is the classical version with little in the way of
 requirements and enough aesthetical and gameplay features to provide hours
 and hours of fun.

Package: xmail
Version: 1.27-1.1
Architecture: armhf
Maintainer: Radu Spineanu 
Installed-Size: 644
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.3.0), debconf (>= 0.5) | debconf-2.0, openssl
Conflicts: finger-server, lsb, mail-transport-agent, pop3-server
Replaces: finger-server, mail-transport-agent, pop3-server
Provides: finger-server, mail-transport-agent, pop3-server
Priority: extra
Section: mail
Filename: pool/main/x/xmail/xmail_1.27-1.1_armhf.deb
Size: 248646
SHA256: 54b3865bb10aca9269404fc81559b7ca00d298e02ef132b807db4686d5af674c
SHA1: 3d92840d06f5df355996ac7c7ffeeb4da641a8fa
MD5sum: f4aefe04e123ea8911ecce70f3969bef
Description: advanced, fast and reliable ESMTP/POP3 mail server
 XMail is an Internet mail server featuring an SMTP, POP3 and finger server.
 It's incredibly easy to set up and has lots of features including :
 multiple domains, virtual users and spam protection.

Package: xmail-doc
Source: xmail
Version: 1.27-1.1
Installed-Size: 484
Maintainer: Radu Spineanu 
Architecture: all
Size: 207718
SHA256: 3faa8046655b41afa326c9128094b9fd215dcb638a2b09e5b1b73eedab215b2c
SHA1: 803655efaca10af62726fd5827244ad739d37afe
MD5sum: b24f647890b16f5edb96c63d84b10d02
Description: documentation for xmail
 XMail is an Internet mail server featuring an SMTP, POP3 and finger server.
 It's incredibly easy to set up and has lots of features including :
 multiple domains, virtual users and spam protection.
 .
 This package provides documentation for Xmail.
Tag: interface::daemon, made-of::html, mail::pop, mail::smtp,
 mail::transport-agent, protocol::finger, protocol::pop3,
 protocol::smtp, role::documentation
Section: doc
Priority: extra
Filename: pool/main/x/xmail/xmail-doc_1.27-1.1_all.deb

Package: xmakemol
Version: 5.16-6
Architecture: armhf
Maintainer: Debichem Team 
Installed-Size: 406
Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libx11-6, libxt6
Suggests: gifsicle, imagemagick, openbabel, transfig
Conflicts: xmakemol-gl
Homepage: http://www.nongnu.org/xmakemol/
Priority: optional
Section: science
Filename: pool/main/x/xmakemol/xmakemol_5.16-6_armhf.deb
Size: 107934
SHA256: 87b350b5ca9da1d99711097dc4997d6e327ab426b05de3290df0544afa9fae2e
SHA1: e28689a76198f825772e429a0a2e24e5eebdf0e0
MD5sum: d8c508b2ed53e55514273306483ae9f3
Description: program for visualizing atomic and molecular systems
 XMakemol is a mouse-based program, written using the LessTif widget set, for
 viewing and manipulating atomic and other chemical systems.  It reads XYZ
 input and renders atoms, bonds and hydrogen bonds.
 .
 Features include:
  - Animating multiple frame files
  - Interactive measurement of bond lengths, bond angles and torsion angles
  - Control over atom/bond sizes
  - Exporting to Xpm, Encapsulated PostScript and XYZ formats
  - Toggling the visibility of groups of atoms
  - Editing the positions of subsets of atoms

Package: xmakemol-gl
Source: xmakemol
Version: 5.16-6
Architecture: armhf
Maintainer: Debichem Team 
Installed-Size: 475
Depends: freeglut3, lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libglw1-mesa | libglw1, libx11-6, libxt6
Suggests: gifsicle, imagemagick, openbabel, transfig
Conflicts: xmakemol
Homepage: http://www.nongnu.org/xmakemol/
Priority: extra
Section: science
Filename: pool/main/x/xmakemol/xmakemol-gl_5.16-6_armhf.deb
Size: 144466
SHA256: 6b7a304d97c6a86bf8a99356108caba185f715f02be83aaa856936124411e5da
SHA1: 60595ce9f5ebd85064c12893a807e926cffa8343
MD5sum: 2c8fc97c3973e87bc6adfee77ae97610
Description: program for visualizing atomic and molecular systems (OpenGL)
 XMakemol is a mouse-based program, written using the LessTif widget set, for
 viewing and manipulating atomic and other chemical systems.  It reads XYZ
 input and renders atoms, bonds and hydrogen bonds.
 .
 Features include:
  - Animating multiple frame files
  - Interactive measurement of bond lengths, bond angles and torsion angles
  - Control over atom/bond sizes
  - Exporting to Xpm, Encapsulated PostScript and XYZ formats
  - Toggling the visibility of groups of atoms
  - Editing the positions of subsets of atoms
 .
 This is the OpenGL-enabled XMakemol package. The images are rendered using
 true 3D graphics primitives, and can be exported using the Xpm format;
 red/blue stereo images can also be produced. The OpenGL package provides more
 display options, along with better support for displaying vectors. Ellipses
 can also be rendered.

Package: xmanpages-ja
Version: 4.1.0.20011224-6
Installed-Size: 3528
Maintainer: ISHIKAWA Mutsumi 
Architecture: all
Suggests: man-browser
Size: 2507144
SHA256: 0eb048d4f754d9146a3d2749914d585571969eeb5f3a15a7ee7b09f12a6d01b8
SHA1: d049295227cf0927314e5ea80628ff60f7ff8fd0
MD5sum: d86cfa987edcb85d285be329ee9260a4
Description: Japanese manual pages for X
 This package provides section 1,3,4,5 and 7 manpages of X Window System
 written in Japanese, translated by X Japanese Document Project.
 This version is translated from XFree86 4.1.0 manpages.
Tag: culture::japanese, made-of::man, role::documentation
Section: x11
Priority: optional
Filename: pool/main/x/xmanpages-ja/xmanpages-ja_4.1.0.20011224-6_all.deb

Package: xmaxima
Source: maxima
Version: 5.27.0-3
Architecture: armhf
Maintainer: Camm Maguire 
Installed-Size: 913
Depends: tk8.5 | tk8.4, maxima (>= 5.27.0-3), maxima-doc (>= 5.27.0-3), maxima-test (>= 5.27.0-3)
Recommends: mime-support, postscript-viewer, pdf-viewer
Suggests: texmacs
Replaces: maxima (<< 5.27.0-3)
Priority: optional
Section: math
Filename: pool/main/m/maxima/xmaxima_5.27.0-3_armhf.deb
Size: 394802
SHA256: b391c175b2f1285110cd1858f305a983787b420a2cb8505ed0f2bec465cd1348
SHA1: 1584e1d0799a5aab6e3706c7b8034153c04d8760
MD5sum: a18ec6e232d778fa19ab29e2c0ae48e7
Description: Computer algebra system -- x interface
 Maxima is a fully symbolic computation program.  It is full featured
 doing symbolic manipulation of polynomials, matrices, rational
 functions, integration, Todd-coxeter methods for finite group
 analysis, graphing, multiple precision floating point computation.
 It has a symbolic source level debugger for maxima code.  Maxima is
 based on the original Macsyma developed at MIT in the 1970s.  It is
 quite reliable, and has good garbage collection, and no memory leaks.
 It comes with hundreds of self tests.
 .
 This package contains an X Windows interface using the tcl/tk
 libraries.

Package: xmcd
Version: 2.6-23
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 1070
Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5, libx11-6, libxt6, zlib1g (>= 1:1.2.3.3), cddb (>= 2.6-1), debconf (>= 0.5) | debconf-2.0
Conflicts: suidmanager (<< 0.50)
Homepage: http://www.amb.org/xmcd/
Priority: optional
Section: sound
Filename: pool/main/x/xmcd/xmcd_2.6-23_armhf.deb
Size: 366672
SHA256: 96325498ab102e35dd21f20e53ca3e94072c9a6120b7b72a704ac3f232d3b768
SHA1: 489841221551a6ff47d4f14ce19b9c64c6314b0d
MD5sum: ac0bba5193f0c1120d17d2490a69530b
Description: X11 based CD player
 Xmcd uses the Motif toolkit (using the free Lesstif libraries) to achieve a
 pleasing appearance, such that it actually looks and feels like a real CD
 player for all basic functions, yet takes advantage of the GUI and window
 system to make programming and CD database functions easy.

Package: xmds
Version: 1.6.6-7
Architecture: armhf
Maintainer: Debian Science Team 
Installed-Size: 1954
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), fftw-dev, libfftw3-dev, mpi-default-dev
Suggests: octave
Homepage: http://xmds.sourceforge.net/
Priority: extra
Section: science
Filename: pool/main/x/xmds/xmds_1.6.6-7_armhf.deb
Size: 691912
SHA256: 0ba62856d3afb845ecb4a33efcc7024618eec134e3f95e239d062512abba51b0
SHA1: c78206dbbb9cbb7bf2f0cbff16c46ded2f859d0f
MD5sum: f0d68e85f650550112530fdda389a260
Description: eXtensible Multi-Dimensional Simulator
 XMDS is a code generator that integrates equations, from Ordinary
 Differential Equations (ODEs) up to stochastic Partial Differential
 Equations (PDEs). You write them down in human readable form in an
 XML file, and it goes away and writes and compiles a C++ program that
 integrates those equations as fast as it can possibly be done in your
 architecture.

Package: xmds-doc
Version: 0~svn.1884-3.1
Installed-Size: 7372
Maintainer: Debian Scientific Computing Team 
Architecture: all
Recommends: xpdf | pdf-viewer
Size: 7409728
SHA256: d0cb3e86049dfc7b0de38a4907f20ae69d7d2527d2551aa9ba5a9ead1d26e825
SHA1: 25382d6d1d6fd0a2a4333f3234ff1497c10ff257
MD5sum: bbe81457e983b1aa275a464c90741c10
Description: documentation for the eXtensible Multi-Dimensional Simulator
 XMDS is a code generator that integrates equations, from Ordinary
 Differential Equations (ODEs) up to stochastic Partial Differential
 Equations (PDEs). You write them down in human readable form in an
 XML file, and it goes away and writes and compiles a C++ program that
 integrates those equations as fast as it can possibly be done in your
 architecture.
 .
 This package contains the XMDS manual in PDF format.
Homepage: http://xmds.sourceforge.net/
Tag: devel::doc, made-of::pdf, role::documentation
Section: doc
Priority: extra
Filename: pool/main/x/xmds-doc/xmds-doc_0~svn.1884-3.1_all.deb

Package: xmedcon
Version: 0.10.7-1
Architecture: armhf
Maintainer: Roland Marcus Rutschmann 
Installed-Size: 228
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libmdc2 (>= 0.10.7), libnifti2, libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4)
Recommends: medcon
Homepage: http://xmedcon.sourceforge.net/
Priority: optional
Section: graphics
Filename: pool/main/x/xmedcon/xmedcon_0.10.7-1_armhf.deb
Size: 80836
SHA256: 48e556dc0fb6fdfb349d705cfb6c14f614a443d47a2c1188b1c787852e520207
SHA1: 6af4eb5d1e6d84f5e82075ef82b1273ecbd140f2
MD5sum: 3d79787cda21dc39e244c9de6089180f
Description: Medical Image (DICOM, ECAT, ...) conversion tool
 This project stands for Medical Image Conversion. Released under the
 (L)GPL, it comes with the full C-source code of the library, a
 flexible command line utility and a neat graphical front-end using
 the GTK+ toolkit. The currently supported formats are: Acr/Nema 2.0,
 Analyze (SPM), DICOM 3.0, InterFile 3.3 and PNG.
 .
 The program also allows to read unsupported files without
 compression, to print pixel values or to extract/reorder specified
 images. It is possible to retrieve the raw binary/ascii image arrays
 or to write PNG for desktop applications.
 .
 This is the program version for X based on GTK+. Processes only one
 file at a time.

Package: xmem
Version: 1.20-27.2
Architecture: armhf
Maintainer: Michelle Konzack 
Installed-Size: 74
Depends: libc6 (>= 2.13-28), libprocps0 (>= 1:3.3.2-1), libx11-6, libxaw7, libxmu6, libxt6
Priority: optional
Section: x11
Filename: pool/main/x/xmem/xmem_1.20-27.2_armhf.deb
Size: 14320
SHA256: 87d28cb9f819f906fea98682ec876685d80ac0f719517dad0e9fb55e6f09d881
SHA1: 0d5e42b27702f74919e75bafd4bf1befa5f1c231
MD5sum: b49620bf3c334536992423c794438e32
Description: Visual indicator of amount of memory/swap usage
 The xmem program, which gives a visual representation of memory usage.

Package: xmhtml1
Source: xmhtml
Version: 1.1.7-18
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 560
Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libxpm4
Priority: optional
Section: libs
Filename: pool/main/x/xmhtml/xmhtml1_1.1.7-18_armhf.deb
Size: 287180
SHA256: ec3183a056a42669666badb988f8cca6746ef1f4d801811af96c1a6b4964c7da
SHA1: 57350c2a1cf3893e30dae812dc458308a0e065e9
MD5sum: 9194795faf2c57c5a3f288837f930ccf
Description: Motif widget for display HTML 3.2 (library)
 XmHTML is a high performance Motif Widget capable of displaying HTML
 3.2 confirming text.  Graphics support, lesstif compatibility and
 extensive documentation are amongst its many features.
 .
 This package provides the runtime shared library. The xmhtml-dev
 package provides the header files, and the static library.

Package: xmhtml1-dev
Source: xmhtml
Version: 1.1.7-18
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 956
Depends: xmhtml1, lesstif2-dev | libmotif-dev, libc6-dev
Conflicts: xmhtml-dev
Provides: xmhtml-dev
Priority: optional
Section: devel
Filename: pool/main/x/xmhtml/xmhtml1-dev_1.1.7-18_armhf.deb
Size: 398826
SHA256: b1a7098c0b45b42668ec0dc688c216b78621928b19f6e333ac07eea2310a49b0
SHA1: 4f3803aeda9775d2acdc1146643698690595a086
MD5sum: eb92b868137f79a0cb8c43756fb1a3e9
Description: Motif widget for display HTML 3.2 (development files)
 XmHTML is a high performance Motif Widget capable of displaying HTML
 3.2 confirming text.  Graphics support, lesstif compatibility and
 extensive documentation are amongst its many features.
 .
 This is the development kit, containing static libraries and header files
 necessary to build programs that use xmhtml. The runtime library is
 provided by the xmhtml package.

Package: xmille
Version: 2.0-13
Architecture: armhf
Maintainer: Steve M. Robbins 
Installed-Size: 162
Depends: libc6 (>= 2.4), libx11-6
Priority: optional
Section: games
Filename: pool/main/x/xmille/xmille_2.0-13_armhf.deb
Size: 30290
SHA256: e23be2059b2ac790a130fde28302712c6914d413ec19abaf5e8637e89061c6dc
SHA1: 78453522cc5f26606ab5d254eb4508358176c0e0
MD5sum: eeefc57c9a199809f808549a370ae85a
Description: The classic game of Mille Bournes
 A card game against the computer in which each player tries to reach 1000
 miles.  Each player tries to avoid accidents, flat tires, running out of
 gas, and break downs while trying to cause these same maladies in the
 opponent.

Package: xmix
Version: 2.1-6
Architecture: armhf
Maintainer: Steve McIntyre <93sam@debian.org>
Installed-Size: 70
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxt6
Priority: optional
Section: sound
Filename: pool/main/x/xmix/xmix_2.1-6_armhf.deb
Size: 11266
SHA256: d6ba1965722ae1171ccc895c54615c3aebc6be5696b103e8cf56022e31c4feb8
SHA1: 7e20410d492c06662011da101bdad49c4ce1b62a
MD5sum: 6a6913177a6dc3f449f7ba6efb25df4b
Description: X11-based interface to the Linux sound driver mixer
 This interface to the sound driver mixer allows easy adjustment of the
 sound card's input and output levels, and selection of sound source
 for recording.

Package: xml-core
Version: 0.13+nmu2
Installed-Size: 188
Maintainer: Debian XML/SGML Group 
Architecture: all
Depends: sgml-base (>= 1.26+nmu2), sed (>= 4.1.2-8)
Suggests: debhelper (>= 9.20120909)
Size: 24216
SHA256: da8c648e6a274e5267b6b4afcb6e6f53f30d9471667b269b02c7a4b5fee778c0
SHA1: c0ff37b1a1d2260c8d6f6161a55c051574af5e51
MD5sum: 1640f5d4eed29aa2ff1bf32ad0eb154e
Description: XML infrastructure and XML catalog file support
 This package creates the XML infrastructure directories and provides
 XML catalog file support in compliance with the current Debian XML
 Policy draft:
 .
   * infrastructure directories:
      - /etc/xml
      - /usr/share/xml/{declaration,entities,misc,schema}
      - /usr/local/share/xml/{declaration,entities,misc,schema}
 .
   * XML catalog schema: OASIS XML Catalog Committee Specification 1.0
 .
   * update-xmlcatalog(8): tool for maintaining the root XML catalog
     file and the package XML catalog files in the '/etc/xml' directory
     as well as local XML catalog files.
 .
   * dh_installxmlcatalogs(1): debhelper tool for installing local XML
     catalog files and registering XML entities in package XML catalog
     files and the root XML catalog file (requires debhelper package)
Tag: implemented-in::perl, interface::commandline, role::app-data,
 suite::debian, use::configuring, works-with-format::xml
Section: text
Priority: optional
Filename: pool/main/x/xml-core/xml-core_0.13+nmu2_all.deb

Package: xml-twig-tools
Source: libxml-twig-perl
Version: 1:3.39-1
Installed-Size: 131
Maintainer: Bart Martens 
Architecture: all
Depends: perl, libxml-parser-perl, libxml-twig-perl (>= 1:3.34)
Size: 56362
SHA256: 3520fde410373610ae61ec5389e79013d2d89432afe8a2bb7a56c9c681cf5f8e
SHA1: 350f5099713169986a2a873b43b743789deaaa68
MD5sum: 2a50d2aff3214428de4efe00d9463e5b
Description: Command line tools for processing XML documents
 These tools are included:
  * xml_spellcheck : spellcheck XML files skipping tags
  * xml_merge : merge back files created by xml_split
  * xml_pp : XML pretty printer
  * xml_grep : grep XML files using XML::Twig's subset of XPath
  * xml_split : split big XML files
Homepage: http://www.xmltwig.com/
Tag: implemented-in::perl, interface::commandline, role::program,
 scope::utility, use::checking, works-with-format::xml, works-with::file
Section: perl
Priority: optional
Filename: pool/main/libx/libxml-twig-perl/xml-twig-tools_3.39-1_all.deb

Package: xml2
Version: 0.4-3.1
Architecture: armhf
Maintainer: Patrick Schoenfeld 
Installed-Size: 64
Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4)
Homepage: http://ofb.net/~egnor/xml2/
Priority: optional
Section: utils
Filename: pool/main/x/xml2/xml2_0.4-3.1_armhf.deb
Size: 14374
SHA256: 8e23d3a44197d819663909192da6ae654303a631ca9b884b9ea4e1b9cc64aa1f
SHA1: 588c2cb92c4ef799e4834ea6f22e9fbaa4ebce8b
MD5sum: 61803c0f359f2b8decffb8598de09226
Description: Convert between XML, HTML, CSV and a line-oriented format
 xml2 tools are used to convert XML, HTML and CSV to and from a
 line-oriented format more amenable to processing by classic Unix
 pipeline processing tools, like grep, sed, awk, cut, shell scripts,
 and so forth.

Package: xmlbeans
Version: 2.5.0-4
Installed-Size: 80
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: default-jre-headless | java2-runtime-headless, libxmlbeans-java (>= 2.5.0-4)
Size: 5134
SHA256: fd3221f0c0b8514a5588bde24d12c3a2428c6f49d83449599286905013317eed
SHA1: efe717b9996165cac9d5b9b6b1af48ea534d671a
MD5sum: 3b890f135975e62b8901f1eaed304d47
Description: Java library for accessing XML by binding it to Java types - tools
 XMLBeans is a technology for accessing XML by binding it to Java types.
 .
 XMLBeans provides several ways to get at the XML, including:
  * Through XML schema that has been compiled to generate Java types that
    represent schema types. In this way, you can access instances of the
    schema through JavaBeans-style accessors after the fashion of "getFoo"
    and "setFoo". The XMLBeans API also allows you to reflect into the XML
    schema itself through an XML Schema Object model.
  * A cursor model through which you can traverse the full XML infoset.
  * Support for XML DOM.
 .
 This package provide some command-line tools:
  * dumpxsb: XSB File Dumper
  * inst2xsd: Instance to Schema Tool
  * scomp: Schema Compiler
  * sdownload: Schema Downloader
  * sfactor: Schema Factoring Tool
  * svalidate: Streaming Instance Validator
  * xsdvalidate: Instance Validator
  * xpretty: XML Pretty Printer
  * xsd2inst: Schema to Instance Tool
  * xsdtree: Schema Type Hierarchy Printer
  * xstc: Invoke XSTC
Homepage: http://xmlbeans.apache.org/
Tag: devel::code-generator, implemented-in::java, role::program,
 works-with-format::xml
Section: java
Priority: optional
Filename: pool/main/x/xmlbeans/xmlbeans_2.5.0-4_all.deb

Package: xmlcopyeditor
Version: 1.2.0.6-2
Architecture: armhf
Maintainer: Miriam Ruiz 
Installed-Size: 9215
Depends: libaspell15 (>= 0.60.7~20110707), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgcc1 (>= 1:4.4.0), libpcre3 (>= 8.10), libstdc++6 (>= 4.4.0), libwxbase2.8-0 (>= 2.8.12.1), libwxgtk2.8-0 (>= 2.8.12.1), libxerces-c3.1, libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25)
Suggests: xmlcopyeditor-dbg (= 1.2.0.6-2)
Homepage: http://xml-copy-editor.sourceforge.net/
Priority: optional
Section: devel
Filename: pool/main/x/xmlcopyeditor/xmlcopyeditor_1.2.0.6-2_armhf.deb
Size: 1334868
SHA256: 80d9b744353501cc0d8882cb0b2b36a239d37944477eb0e55b795b4f1225924c
SHA1: bcb93ad9701d4cdf5683edf713c3e2005b785ab9
MD5sum: ac71989c217ba03fee3637040f13d728
Description: fast, free, validating XML editor
 XML Copy Editor is an XML editor focusing on editing document markup
 languages like DITA, DocBook, WordprocessingML. It features DTD/XML
 Schema/RELAX NG validation, XSLT, XPath, pretty-printing, syntax
 highlighting, folding, tag completion/locking, and a spelling/style check.

Package: xmlcopyeditor-dbg
Source: xmlcopyeditor
Version: 1.2.0.6-2
Architecture: armhf
Maintainer: Miriam Ruiz 
Installed-Size: 4950
Depends: xmlcopyeditor (= 1.2.0.6-2)
Homepage: http://xml-copy-editor.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/x/xmlcopyeditor/xmlcopyeditor-dbg_1.2.0.6-2_armhf.deb
Size: 1848760
SHA256: 5c5b4948866ee1068180be03268ffc34e7af397aed77b5fc30ad4afb093ca9d9
SHA1: 5e3968d7f50b26a210142ec0fc01d641b6584a8d
MD5sum: fc00e87e1b75a07511f265bd78c56836
Description: fast, free, validating XML editor - debug
 XML Copy Editor is an XML editor focusing on editing document markup
 languages like DITA, DocBook, WordprocessingML. It features DTD/XML
 Schema/RELAX NG validation, XSLT, XPath, pretty-printing, syntax
 highlighting, folding, tag completion/locking, and a spelling/style check.
 .
 This package contains the debugging symbols.

Package: xmldiff
Version: 0.6.10-2
Architecture: armhf
Maintainer: Alexandre Fayolle 
Installed-Size: 233
Depends: python (<< 2.8), python (>= 2.7), python-support (>= 0.90.0), libc6 (>= 2.4)
Suggests: xmldiff-xmlrev, python-psyco
Conflicts: python2.3-xmldiff
Replaces: python2.3-xmldiff
Homepage: http://www.logilab.org/project/xmldiff
Priority: optional
Section: misc
Filename: pool/main/x/xmldiff/xmldiff_0.6.10-2_armhf.deb
Size: 50282
SHA256: 0b04174757f259a77ff6b7c1154756c79d89eb58199dbacc630cf4fe98bfbbd2
SHA1: 2256d7427a8eeafa6e123b296493dd105813731b
MD5sum: fadf88d045431f58a73ede5378b7b914
Description: tree to tree correction between xml documents
 Xmldiff is a utility for extracting differences between two xml
 files.  It returns a set of primitives to apply on source tree to
 obtain the destination tree.
 .
 The implementation is based on _Change detection in hierarchically
 structured - information_, by S. Chawathe, A. Rajaraman,
 H. Garcia-Molina and J. Widom, - Stanford University, 1996
Python-Version: 2.7

Package: xmldiff-xmlrev
Source: xmldiff
Version: 0.6.10-2
Installed-Size: 80
Maintainer: Alexandre Fayolle 
Architecture: all
Depends: xmldiff, libxml2-utils, xsltproc, sp
Recommends: docbook-xsl
Size: 8856
SHA256: cc76636d548c9816f4d230f9a7e9ff98584899c5eeb7108d64e1b26c71dd9b4c
SHA1: 6e00ee988fa811a2f848a5aff293f80d0f244d38
MD5sum: b234d58729d6e2fcaa19b4546472504f
Description: xmldiff output formatter
 xmlrev can be used to display the differences between two XML
 documents computed by xmldiff as an HTML document.
Homepage: http://www.logilab.org/project/xmldiff
Tag: implemented-in::python, interface::commandline, role::program,
 scope::utility, use::converting, use::synchronizing,
 works-with-format::html, works-with-format::xml, works-with::text
Section: misc
Priority: optional
Filename: pool/main/x/xmldiff/xmldiff-xmlrev_0.6.10-2_all.deb

Package: xmlindent
Version: 0.2.17-2
Architecture: armhf
Maintainer: Miriam Ruiz 
Installed-Size: 73
Depends: libc6 (>= 2.4)
Homepage: http://xmlindent.sourceforge.net/
Priority: optional
Section: devel
Filename: pool/main/x/xmlindent/xmlindent_0.2.17-2_armhf.deb
Size: 17936
SHA256: 154efd3263c5cacc18b3450f4c5ff9c51e7e4327bbba8c66827e7d189f091d26
SHA1: b9b63e234deb6580cfe6a77c6c37192e68ca9d2e
MD5sum: a32379324432ac5860d98a20cae167c1
Description: XML stream reformatter
 XML Indent is a XML stream reformatter written in ANSI C. It is analogous
 to GNU indent.

Package: xmlroff
Version: 0.6.2-1.1
Architecture: armhf
Maintainer: Debian XML/SGML Group 
Installed-Size: 2400
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgnomeprint2.2-0 (>= 2.17.0), libpango1.0-0 (>= 1.14.0), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), xml-core (>= 0.12)
Homepage: http://xmlroff.org
Priority: optional
Section: text
Filename: pool/main/x/xmlroff/xmlroff_0.6.2-1.1_armhf.deb
Size: 706582
SHA256: ef89832ef8d94717b1d6ceb3fc3990dd8511be67155636a75f0020302aa8fc04
SHA1: 5edde30764a893bda7c070fa6df60885e2622d6c
MD5sum: 2aa0ba07cb9d8acf6ad8287ea4aa6a58
Description: XSL formatter mainly for DocBook
 xmlroff is a fast, free, high-quality, multi-platform XSL formatter
 that aims to excel at DocBook formatting and that integrates easily
 with other programs and with scripting languages.

Package: xmlrpc-api-utils
Source: xmlrpc-c
Version: 1.16.33-3.2
Architecture: armhf
Maintainer: Sean Finney 
Installed-Size: 101
Depends: libxmlrpc-core-c3 (= 1.16.33-3.2), libc6-dev, libfrontier-rpc-perl
Conflicts: xml-rpc-api2cpp, xml-rpc-api2txt
Replaces: xml-rpc-api2cpp, xml-rpc-api2txt
Provides: xml-rpc-api2cpp, xml-rpc-api2txt
Homepage: http://xmlrpc-c.sourceforge.net
Priority: optional
Section: devel
Filename: pool/main/x/xmlrpc-c/xmlrpc-api-utils_1.16.33-3.2_armhf.deb
Size: 30244
SHA256: f6f82405a33bdedc0eaf8f27bff1805e53ca7edd355db87e0b660ce9809c5871
SHA1: 96feadb72bb024c459cf87c78f1fbfc3051e3141
MD5sum: ad97d882ec749fa1defc365ea8377403
Description: Generate C++ wrapper classes for XML-RPC servers
 XML-RPC is a quick-and-easy way to make procedure calls over the Internet.
 It converts the procedure call into an XML document, sends it to a remote
 server using HTTP, and gets back the response as XML.
 .
 This package contains two programs:
  * xml-rpc-api2cpp, a utility for generating C++ wrapper classes based
    on an XML-RPC API, obtained by interrogating an XML-RPC server.
  * xml-rpc-api2txt, a utility for printing out an XML-RPC API as a
    text file.  The API is obtained by interrogating an XML-RPC server.

Package: xmlsec1
Version: 1.2.18-2
Architecture: armhf
Maintainer: John V. Belmonte 
Installed-Size: 113
Depends: libc6 (>= 2.13-28), libssl1.0.0 (>= 1.0.0), libxml2 (>= 2.7.4), libxmlsec1 (>= 1.2.18), libxmlsec1-openssl (>= 1.2.18), libxslt1.1 (>= 1.1.25)
Homepage: http://www.aleksey.com/xmlsec/
Priority: optional
Section: text
Filename: pool/main/x/xmlsec1/xmlsec1_1.2.18-2_armhf.deb
Size: 46104
SHA256: 1754e0f47a09c7f9875a855c075d922e08538953583f244a4f9694ac760cc0b4
SHA1: 8bf410028a3514f8e9c9706238069e1beb64925c
MD5sum: fc07e98e8b44fc18ea7221e32040b1f3
Description: XML security command line processor
 Xmlsec is a command line tool for signature and encryption transformations
 of XML data.  It is part of the XML Security Library.

Package: xmlstarlet
Version: 1.3.1-3
Architecture: armhf
Maintainer: Mònica Ramírez Arceda 
Installed-Size: 407
Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25)
Homepage: http://xmlstar.sourceforge.net/
Priority: optional
Section: text
Filename: pool/main/x/xmlstarlet/xmlstarlet_1.3.1-3_armhf.deb
Size: 183788
SHA256: 37e988a8cd8968116eb2aa21832ecf5ea5597a8a59a700a82a9c878d17c6541c
SHA1: 53949bed99729d439062337c774c212e842b8dd4
MD5sum: 5b0155f08e13369ffc1eb1e8c5de7e68
Description: command line XML toolkit
 XMLStarlet is a set of command line utilities (tools) which can be used to
 transform, query, validate, and edit XML documents and files using simple set
 of shell commands in similar way it is done for plain text files using
 UNIX grep, sed, awk, diff, patch, join, etc commands.
 .
 This set of command line utilities can be used by those who deal with many XML
 documents on UNIX shell command prompt as well as for automated XML processing
 with shell scripts.
 .
 The toolkit's feature set includes options to:
  Check or validate XML files (simple well-formedness check, DTD, XSD, RelaxNG)
  Calculate values of XPath expressions on XML files (such as running sums, etc)
  Search XML files for matches to given XPath expressions
  Apply XSLT stylesheets to XML documents (including EXSLT support, and passing
 parameters to stylesheets)
  Query XML documents (ex. query for value of some elements of attributes,
 sorting, etc)
  Modify or edit XML documents (ex. delete some elements)
  Format or "beautify" XML documents (as changing indentation, etc)
  Fetch XML documents using http:// or ftp:// URLs
  Browse tree structure of XML documents (in similar way to 'ls' command for
 directories)
  Include one XML document into another using XInclude
  XML c14n canonicalization
  Escape/unescape special XML characters in input text
  Print directory as XML document
  Convert XML into PYX format (based on ESIS - ISO 8879), and vice versa

Package: xmltex
Version: 1.9.debian.1-3
Installed-Size: 1141
Maintainer: Debian TeX Task Force 
Architecture: all
Depends: texlive-latex-base, texlive-binaries, dpkg (>= 1.14.18), tex-common (>= 3)
Conflicts: passivetex (<< 1.21-2)
Size: 667024
SHA256: 8437d3e51cee4e15d4cabbe66b9abc3ed1bf00e39e81e5669a9cd0b790160628
SHA1: b4b5e3ea65abcfaaba0fadab398482cf8dc5218e
MD5sum: 247064447552bdf56f37b70ee662acee
Description: TeX package for processing XML files
 XMLTeX is a non-validating, namespace-aware XML parser written
 in TeX.  It allows TeX to directly process XML files.
 .
 This package also contains the extension PassiveTeX, see
 http://www.tei-c.org.uk/Software/passivetex/ for more details.
Tag: role::plugin, use::editing, works-with-format::tex,
 works-with-format::xml, works-with::text
Section: tex
Priority: optional
Filename: pool/main/x/xmltex/xmltex_1.9.debian.1-3_all.deb

Package: xmlto
Version: 0.0.25-2
Architecture: armhf
Maintainer: Debian XML/SGML Group 
Installed-Size: 131
Depends: debianutils (>= 1.16), docbook-xml (>= 4.2-8), docbook-xsl (>= 1.64.1.0), libxml2-utils, sgml-base, xsltproc (>= 1.1.12-8), libc6 (>= 2.13-28)
Recommends: dblatex | fop, libpaper-utils, zip
Suggests: w3m | lynx-cur | links, xmltex (>= 1.9.debian.1)
Multi-Arch: foreign
Homepage: https://fedorahosted.org/xmlto/
Priority: optional
Section: text
Filename: pool/main/x/xmlto/xmlto_0.0.25-2_armhf.deb
Size: 35964
SHA256: 52cf4ad13f905c7d1543f366e7cdf06a46b0fa644251ce33cbd261145f8ec3b1
SHA1: 4dff3f430e61a40cbf2f68d9f1c5752c88feef3d
MD5sum: 933a5e6c8d5e92b3e29cb2dba96d7498
Description: XML-to-any converter
 xmlto is a front-end to an XSL toolchain. It chooses an appropriate
 stylesheet for the conversion you want and applies it using an external
 XSLT processor (currently, only xsltproc is supported). It also performs
 any necessary post-processing.
 .
 It supports converting from DocBook XML to DVI, XSL-FO, HTML and XHTML
 (one or multiple pages), epub, manual page, PDF, PostScript and plain
 text. It also supports converting from XSL-FO to DVI, PDF and PostScript.
 .
 DVI output requires dblatex or PassiveTeX. Other formats can be produced
 with any of the supported toolchains - dblatex, PassiveTeX or
 docbook-xsl/fop (but may require some extensions).

Package: xmltoman
Version: 0.4-3
Installed-Size: 60
Maintainer: Debian QA Group 
Architecture: all
Depends: libxml-parser-perl
Size: 12582
SHA256: f3691dc6084ccd89d010eda8573f358a272bae3e4d06689f49dc8d30278a25ea
SHA1: 76ad3e51a89203441616e54f04c41804e2345918
MD5sum: 80332ed8031d7b02db2ff1ad9a5d9122
Description: simple XML to man converter
 xmltoman and xmlmantohtml are two small scripts to convert xml to man
 pages in groff format or html. It features the usual man page items such a
 "description", "options", "see also" etc.
Homepage: http://sourceforge.net/projects/xmltoman/
Tag: implemented-in::perl, interface::commandline, use::converting,
 works-with-format::html, works-with-format::man,
 works-with-format::xml, works-with::text
Section: devel
Priority: optional
Filename: pool/main/x/xmltoman/xmltoman_0.4-3_all.deb

Package: xmltooling-schemas
Source: xmltooling
Version: 1.4.2-5+deb7u3
Installed-Size: 78
Maintainer: Debian Shib Team 
Architecture: all
Size: 16344
SHA256: 6c35578cd8666e44e6642cb7fff45a8a5c52b2b7eb037016ada6d4c0d6010666
SHA1: 8e36b2feff85a95fa5edd5a2b83876db25ea4f5e
MD5sum: 681a6d9456f80f83456f2f2c0962a53f
Description: XML schemas for XMLTooling
 The XMLTooling library contains generic XML parsing and processing
 classes based on the Xerces-C DOM.  It adds more powerful facilities for
 declaring element- and type-specific API and implementation classes, as
 well as signing and encryption support.
 .
 This package contains the XML schema files used by the XMLTooling
 library.
Homepage: https://wiki.shibboleth.net/confluence/display/OpenSAML/XMLTooling-C
Section: text
Priority: optional
Filename: pool/main/x/xmltooling/xmltooling-schemas_1.4.2-5+deb7u3_all.deb

Package: xmltv
Version: 0.5.63-2
Installed-Size: 238
Maintainer: Chris Butler 
Architecture: all
Replaces: libxmltv-perl (<< 0.5.5-1)
Depends: libxmltv-perl (= 0.5.63-2), xmltv-util (= 0.5.63-2), xmltv-gui (= 0.5.63-2)
Conflicts: libxmltv-perl (<< 0.5.5-1)
Size: 220470
SHA256: 0835ed6c18a9f1bb933c63a71430315c1c79f43e3dfe71342859e19d798a6d74
SHA1: a68be39c0fe47993106e4ab6d1a0bfe92d664b1d
MD5sum: 014a5802ce015e91e6e884d5004d325f
Description: Functionality related to the XMLTV file format for TV listings
 Gather television listings, process them and organize your viewing.
 XMLTV is a file format for storing TV listings, defined in xmltv.dtd.
 This is a metapackage that installs all of the XMLTV pieces.
 .
 This package is intended mainly for end-users who will run XMLTV
 programs directly.  Maintainers of other packages that rely on XMLTV
 functionality should consider depending on one or more of the related
 packages (libxmltv-perl, xmltv-util, xmltv-gui) as needed instead of
 this metapackage.
Homepage: http://xmltv.org/
Tag: implemented-in::perl, interface::commandline, role::dummy,
 role::metapackage, use::downloading, use::organizing,
 works-with-format::xml
Section: interpreters
Priority: optional
Filename: pool/main/x/xmltv/xmltv_0.5.63-2_all.deb

Package: xmltv-gui
Source: xmltv
Version: 0.5.63-2
Installed-Size: 354
Maintainer: Chris Butler 
Architecture: all
Replaces: libxmltv-perl (<< 0.5.5-1), xmltv (<< 0.5.5-1)
Depends: perl, perl-modules, libxmltv-perl (= 0.5.63-2), xmltv-util (= 0.5.63-2), libwww-perl (>= 5.65), libxml-parser-perl (>= 2.34), libxml-twig-perl (>= 3.10), libxml-writer-perl (>= 0.6), libdate-manip-perl (>= 5.42a), perl-tk, libtk-tablematrix-perl
Conflicts: libxmltv-perl (<< 0.5.5-1), xmltv (<< 0.5.5-1)
Size: 274908
SHA256: 6f9db47da33a4a8c181e3540d9945055d54494c7cbf39861cd08fa962acf74bd
SHA1: c10f156ec642377a2fc989e1726904dd9938d662
MD5sum: 89b6c6b19c7c030f6ad90d5b00de76f6
Description: Graphical user interface related to the XMLTV file format
 Gather television listings, process them and organize your viewing.
 XMLTV is a file format for storing TV listings, defined in xmltv.dtd.
 This package includes the GUI (graphical user interface) front-end
 program tv_check.
Homepage: http://xmltv.org/
Tag: implemented-in::perl, interface::x11, role::program, uitoolkit::tk,
 use::organizing, works-with-format::xml, x11::application
Section: interpreters
Priority: optional
Filename: pool/main/x/xmltv/xmltv-gui_0.5.63-2_all.deb

Package: xmltv-util
Source: xmltv
Version: 0.5.63-2
Installed-Size: 4760
Maintainer: Chris Butler 
Architecture: all
Replaces: libxmltv-perl (<< 0.5.5-1), xmltv (<< 0.5.5-1)
Depends: perl, perl-modules, libxmltv-perl (= 0.5.63-2), libwww-perl (>= 5.65), libxml-parser-perl (>= 2.34), libxml-twig-perl (>= 3.28), libxml-writer-perl (>= 0.6), libdate-manip-perl (>= 5.42a), libarchive-zip-perl (>= 1.14), libio-compress-perl, libhtml-parser-perl (>= 3.34), libhtml-tableextract-perl, libhtml-tree-perl (>= 3.17), libhttp-cache-transparent-perl (>= 1.0), libio-stringy-perl, libsoap-lite-perl, libterm-readkey-perl, libwww-mechanize-perl (>= 1.02), libxml-libxml-perl, libtext-bidi-perl, libxml-dom-perl, libxml-libxslt-perl, libdatetime-format-strptime-perl, libparse-recdescent-perl, libtext-iconv-perl, libjson-perl, libdata-dump-perl, libdatetime-perl, libdatetime-timezone-perl, libdatetime-format-iso8601-perl
Recommends: libterm-progressbar-perl (>= 2.09), liblingua-preferred-perl (>= 0.2.4), libunicode-string-perl
Suggests: xmltv-gui, liblog-tracemessages-perl, libtext-kakasi-perl, liblinux-dvb-perl
Conflicts: libxmltv-perl (<< 0.5.5-1), xmltv (<< 0.5.5-1)
Size: 1010592
SHA256: 40546a1e8501c9a6bf96f3117c1c7c9f4ed0107490d3d67efe173ec9c9577a58
SHA1: b6f4931bd97e5f81826fd6d69035578746a9c103
MD5sum: eb13859a5faa06853585b0bbfb759669
Description: Utilities related to the XMLTV file format for TV listings
 Gather television listings, process them and organize your viewing.
 XMLTV is a file format for storing TV listings, defined in xmltv.dtd.
 This package includes general programs that are part of the XMLTV
 package, such as various "grabbers" to get TV listings from online
 providers and also utilities to manipulate such listings.
 .
 The upstream CGI (web) front-end example is also included as part of
 the documentation.
Homepage: http://xmltv.org/
Tag: implemented-in::perl, interface::commandline, protocol::http,
 role::program, scope::utility, use::downloading, works-with-format::xml
Section: interpreters
Priority: optional
Filename: pool/main/x/xmltv/xmltv-util_0.5.63-2_all.deb

Package: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 48
Depends: xmms2-client-cli, xmms2-core, xmms2-icon, xmms2-plugin-alsa, xmms2-plugin-id3v2, xmms2-plugin-mad, xmms2-plugin-vorbis
Conflicts: xmms2-plugin-nulstripper
Replaces: xmms2-plugin-nulstripper
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2_0.8+dfsg-4+deb7u1_armhf.deb
Size: 15972
SHA256: 18d3fa002fb1fb00b73c45e256d390a5a48ccd7219bbc2f76c4a63d4d43d671b
SHA1: 4ce1e206700f3575599c855b3265395eafa73e21
MD5sum: 81f39ded29b1b8c2a6f9b556f1fa050b
Description: Client/server based media player system
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package is a metapackage depending on various other XMMS2 packages.
 Installing this package gets you a command line client and enables XMMS2
 playback of Ogg Vorbis and MP3 files from local and remote sources.

Package: xmms2-client-avahi
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 91
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libxmmsclient-glib1 (>= 0.6DrMattDestruction), libxmmsclient6 (>= 0.7DrNo)
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-client-avahi_0.8+dfsg-4+deb7u1_armhf.deb
Size: 25718
SHA256: 0c74b45584c72cc5339f072e8541c7a2966e70fc799462584cdd2e6eaca368b2
SHA1: 686ed46fe179406ef120dcaa7408bbd2966a08f3
MD5sum: a28bb33bbe34fadfe467bb1cbb570a5d
Description: XMMS2 - avahi client
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains the avahi client.

Package: xmms2-client-cli
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 186
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libreadline6 (>= 6.0), libxmmsclient6 (>= 0.8)
Suggests: xmms2-core (= 0.8+dfsg-4+deb7u1)
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-client-cli_0.8+dfsg-4+deb7u1_armhf.deb
Size: 65512
SHA256: bd8a88637843e482dda8404db4896688e1aa28d7f26decce7a886c815edc2e87
SHA1: c672663368b0fdc48e8eaac56bbf49a1dd73225b
MD5sum: c2c5c12dc378002aa70e092c641b632c
Description: XMMS2 - cli client
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains the readline powered text user interface for XMMS2.

Package: xmms2-client-medialib-updater
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 89
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libxmmsclient-glib1 (>= 0.6DrMattDestruction), libxmmsclient6 (>= 0.8)
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-client-medialib-updater_0.8+dfsg-4+deb7u1_armhf.deb
Size: 23898
SHA256: 8c550940746a6a9014e5742340b6dfff89c3611c52db66f44a17531e275285d6
SHA1: 996eb80de6b3bf7c5029ba722805553a4003586b
MD5sum: c6870e4e68be0ee52ac06692b3ac9237
Description: XMMS2 - medialib-updater client
 The media library is where XMMS2 stores metadata about files as it plays them.
 Having a media library allows XMMS2 to 'remember' the songs it has played, and
 thus allows users to easily re-create their favorite playlists.
 .
 This package contains the medialib-updater client which monitors given
 directories and updates the media library with new and changed files.

Package: xmms2-client-nycli
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 60
Depends: xmms2-client-cli
Suggests: xmms2-core (= 0.8+dfsg-4+deb7u1)
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-client-nycli_0.8+dfsg-4+deb7u1_armhf.deb
Size: 16054
SHA256: da1a7d071d670012fffd5de324256ddd8a5fbd9ac6605cb5318854f72b01999b
SHA1: b29cea5bb7b2ad04c85bbc82ef4b4643a0f45025
MD5sum: fd79b8b8f38514809d8c0d900e672f2c
Description: XMMS2 - new cli client
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains only the symlink from nyxmms2 to xmms2. nyxmms2 was
 renamed to xmms2 in 0.8 DrO_o.

Package: xmms2-core
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 1068
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.31.8), libsqlite3-0 (>= 3.5.9)
Conflicts: libxmmsclient++1, libxmmsclient++2, libxmmsclient2, libxmmsclient3, libxmmsclient4
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-core_0.8+dfsg-4+deb7u1_armhf.deb
Size: 559392
SHA256: 9faef0f41a6b77a6695af7aa7872ab99f32eafbec71566f3900de5da6dde8550
SHA1: 3289a147fc27fd56228f145dabb57cf6f1c91dea
MD5sum: d3182397cbc680c37f886a8ebe96ce77
Description: XMMS2 - core package
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package just contains the daemon that loads plug-ins and allows clients to
 connect.

Package: xmms2-dev
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Installed-Size: 163
Maintainer: Benjamin Drung 
Architecture: all
Depends: pkg-config
Size: 35560
SHA256: cb392f8d88a43f5913fcc770d6cf7cb8895f37e9325768385582a3e3a6bac6a9
SHA1: 95705972d68db99938c3569c04ecae95d48b86ee
MD5sum: 368f6b338bbe9f1612d96b6e7c51e7ec
Description: XMMS2 - plug-in development files
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains headers required for developing XMMS2 plug-ins.
Homepage: http://xmms2.org/
Tag: devel::lang:c, devel::library, role::devel-lib, suite::xmms2
Section: libdevel
Priority: optional
Filename: pool/main/x/xmms2/xmms2-dev_0.8+dfsg-4+deb7u1_all.deb

Package: xmms2-icon
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Installed-Size: 90
Maintainer: Benjamin Drung 
Architecture: all
Replaces: xmms2-core (<= 0.6DrMattDestruction-1)
Breaks: xmms2-core (<= 0.6DrMattDestruction-1)
Size: 41234
SHA256: 1fdef25ff4d7b49698bd8aae27af8821723aadbef820aafcaa9b4d83236b6ae0
SHA1: 0a4a34abc8287e2d50d9cae5b82935459f3d3bdd
MD5sum: 320926967145a6e2912d0fe827ef8377
Description: XMMS2 - icon package
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package just contains the icon files.
Homepage: http://xmms2.org/
Tag: made-of::icons, role::app-data, suite::xmms2
Section: sound
Priority: optional
Filename: pool/main/x/xmms2/xmms2-icon_0.8+dfsg-4+deb7u1_all.deb

Package: xmms2-plugin-airplay
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 92
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libssl1.0.0 (>= 1.0.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-airplay_0.8+dfsg-4+deb7u1_armhf.deb
Size: 29656
SHA256: 8a01152b41d8d1069de66f604841e0491de39f6fd61b2ed4dd85c473f719df52
SHA1: ae63cc6de60391f86c7f0a4f3f464611de8a0deb
MD5sum: 05f05d9fd27901868b6f1112a928c99b
Description: XMMS2 - airplay output plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables airplay output for XMMS2.

Package: xmms2-plugin-all
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 48
Depends: xmms2-plugin-airplay, xmms2-plugin-alsa, xmms2-plugin-ao, xmms2-plugin-apefile, xmms2-plugin-asf, xmms2-plugin-asx, xmms2-plugin-avcodec, xmms2-plugin-cdda, xmms2-plugin-cue, xmms2-plugin-curl, xmms2-plugin-daap, xmms2-plugin-faad, xmms2-plugin-flac, xmms2-plugin-flv, xmms2-plugin-gme, xmms2-plugin-gvfs, xmms2-plugin-html, xmms2-plugin-ices, xmms2-plugin-icymetaint, xmms2-plugin-id3v2, xmms2-plugin-jack, xmms2-plugin-karaoke, xmms2-plugin-m3u, xmms2-plugin-mad, xmms2-plugin-mms, xmms2-plugin-modplug, xmms2-plugin-mp4, xmms2-plugin-mpg123, xmms2-plugin-musepack, xmms2-plugin-normalize, xmms2-plugin-ofa, xmms2-plugin-oss, xmms2-plugin-pls, xmms2-plugin-pulse, xmms2-plugin-rss, xmms2-plugin-sid, xmms2-plugin-smb, xmms2-plugin-speex, xmms2-plugin-tta, xmms2-plugin-vocoder, xmms2-plugin-vorbis, xmms2-plugin-wavpack, xmms2-plugin-xml, xmms2-plugin-xspf
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-all_0.8+dfsg-4+deb7u1_armhf.deb
Size: 16042
SHA256: c0fc024d9c791f75b8f43ce63665a2887941158d410f0dfd9649626d9b43ae11
SHA1: 2b8d3c88cca2585c9aaabd541a469e6ca262951d
MD5sum: ec8f8e61c9509af308d30ab93f90fec7
Description: XMMS2 - all plug-ins
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This is a metapackage depending on all available XMMS2 plug-ins to make
 installation easier.

Package: xmms2-plugin-alsa
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 75
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-alsa_0.8+dfsg-4+deb7u1_armhf.deb
Size: 22744
SHA256: 6743cee48d7c900eeed82a3d6026db0e9b9b36bf1a6441bdda092be6a3f930ba
SHA1: 5fd52cd48f928957c341cc789a3754a659fdeb10
MD5sum: c24ba2dc095aac7d5eee802823eff003
Description: XMMS2 - ALSA output
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables ALSA output for XMMS2.

Package: xmms2-plugin-ao
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 66
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libao4 (>= 1.1.0), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-ao_0.8+dfsg-4+deb7u1_armhf.deb
Size: 20104
SHA256: 34681b4095330ec4d2c439846ae2908b9dab7c00ab3c42473b7bc1f2ff10f61c
SHA1: 2c3c3d96bb30be9d51fadfc7b1c612d879374603
MD5sum: 397f9e4160a6e225f05bef779c567142
Description: XMMS2 - libao output plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables libao output for XMMS2.

Package: xmms2-plugin-apefile
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 75
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-apefile_0.8+dfsg-4+deb7u1_armhf.deb
Size: 24026
SHA256: dc74fd42eeaa6db053e33f8b41a7a8e6ff1aa974e5b1b958850510173d8faa8b
SHA1: e439b3ab1045224a1c264a3588e46173fd3c3ac2
MD5sum: e58a20b38c748f83d06585d2802c961a
Description: XMMS2 - Monkey's Audio decoder plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables Monkey's Audio file decoding for XMMS2.

Package: xmms2-plugin-asf
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 97
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-asf_0.8+dfsg-4+deb7u1_armhf.deb
Size: 32636
SHA256: 36935566abbb374b1cfff292c9a3ff057196fc30b3454f039796e63d1070a170
SHA1: 14f30bf61be8dcfe6a50ebf40f921368d6286068
MD5sum: f97de8a29c7013178a22b793a81d1921
Description: XMMS2 - ASF plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This plug-in enables Advanced Systems Format decoding for XMMS2.

Package: xmms2-plugin-asx
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 62
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-asx_0.8+dfsg-4+deb7u1_armhf.deb
Size: 18370
SHA256: 70be4dae7c6bb1357fc303543ec423d031a9df6f417464c5036974019b7de48d
SHA1: c10ac9e7be995e571dbc25e4f442893cf9c1b23e
MD5sum: 71fca726ab2f69f6e59a36ee93135528
Description: XMMS2 - ASX playlist plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables XMMS2 to read ASX playlists.

Package: xmms2-plugin-avcodec
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 68
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.6), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0)
Recommends: xmms2-plugin-asf, xmms2-plugin-mp4
Enhances: xmms2-core
Replaces: xmms2-plugin-avformat
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-avcodec_0.8+dfsg-4+deb7u1_armhf.deb
Size: 20980
SHA256: 5894088af1a07b7ef20bf14987ba695b1483cd650362133754c7b19da5a75014
SHA1: 9e7df52166053373e2b4a3f2e288641b76fe710f
MD5sum: 79587a7215fad359e0be7d5962285838
Description: XMMS2 - avcodec decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables avcodec decoding for XMMS2. Together with
 xmms2-plugin-asf it's possible to decode .wma files. Combined with
 xmms2-plugin-mp4 you can decode .mp4 files.

Package: xmms2-plugin-cdda
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 69
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libcdio-cdda1 (>= 0.83), libcdio13 (>= 0.83), libdiscid0 (>= 0.2.2), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-cdda_0.8+dfsg-4+deb7u1_armhf.deb
Size: 21704
SHA256: 85b3c46d21c56fe71dc87864cc1ac566a0aae075c71f0eb55093a600ff3a33a8
SHA1: a89f8e6fc34e03ca0e29a22d729f786b8279d673
MD5sum: 0699a4037ba8eee861c21ebb5f0a1cea
Description: XMMS2 - CDDA plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables audio CD playback for XMMS2.

Package: xmms2-plugin-cue
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 65
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-cue_0.8+dfsg-4+deb7u1_armhf.deb
Size: 19652
SHA256: aea27aec945f690668480fc682a776850d87969f75c305b0398d1ac2ddaf0611
SHA1: f366f92fbe02afb92643d6a59dcb1ff5b4c3428c
MD5sum: daa913a67c442a958c52dbfb8a1eed47
Description: XMMS2 - CUE playlist plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables XMMS2 to read cue files.

Package: xmms2-plugin-curl
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 71
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libglib2.0-0 (>= 2.24.0)
Recommends: xmms2-plugin-icymetaint, xmms2-plugin-mad
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-curl_0.8+dfsg-4+deb7u1_armhf.deb
Size: 21950
SHA256: 8e4918b54391f4fed1fed4b17d8cd4be802ba1c7a78043f12d21d6f66d2ac3be
SHA1: 3fe918d2776d697176ec8d6794cfc45db7c38e0e
MD5sum: 8b15f7bfa10b97af326da5f75041e5eb
Description: XMMS2 - curl transport for HTTP
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains a HTTP transport for XMMS2.

Package: xmms2-plugin-daap
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 102
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libavahi-client3 (>= 0.6.16), libavahi-common3 (>= 0.6.16), libavahi-glib1 (>= 0.6.16), libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libglib2.0-0 (>= 2.31.8)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-daap_0.8+dfsg-4+deb7u1_armhf.deb
Size: 31258
SHA256: 9a2fd19540abf1e04ec069ce37e977e3af326599e86315cf1de87fd7574f9265
SHA1: 51f44afde8896613e80b9f5ae068900d9b054409
MD5sum: 622f62810ed298411ad14b640a0b0282
Description: XMMS2 - daap plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains a plug-in which allows you to share your media
 library over their network via DAAP (Digital Audio Access Protocol).

Package: xmms2-plugin-faad
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 67
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libfaad2 (>= 2.7), libglib2.0-0 (>= 2.24.0)
Recommends: xmms2-plugin-mp4
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-faad_0.8+dfsg-4+deb7u1_armhf.deb
Size: 21094
SHA256: 1f2e9413043b285cb309bc105611e248187d55c04e2705f84ec9c29addf49b5d
SHA1: 2f9ef0b73d884805292eea79436a3bbe69c33afe
MD5sum: 2aa95a9a65956e02a1bf750865444331
Description: XMMS2 - faad decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables decoding of faad encoded AAC files for XMMS2. Together
 with xmms2-plugin-mp4 it also can process faad encoded data inside of a mp4
 container.

Package: xmms2-plugin-flac
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 73
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libflac8 (>= 1.2.1), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-flac_0.8+dfsg-4+deb7u1_armhf.deb
Size: 23578
SHA256: d4c7ceafa62b7ecae259b84e42c1818673c1caa2894d2805845f79037731b29a
SHA1: aabfb1ae1302c40f90b10c8c5619ece37e908a6a
MD5sum: 775052dc2525e259cafa448f697caae1
Description: XMMS2 - FLAC decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables FLAC decoding for XMMS2.

Package: xmms2-plugin-flv
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 64
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-flv_0.8+dfsg-4+deb7u1_armhf.deb
Size: 19372
SHA256: d4bb9ef41c99fb650599d9cb7ae4121f967ba7db94ec363f8fba7a2a9e922f96
SHA1: 05fac0b8f70010f7a089dd5678b506fa89eaafce
MD5sum: 20c32e7656045e6dfb538cf3efbba501
Description: XMMS2 - Flash Video plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables audio extraction from Flash Video files for XMMS2.

Package: xmms2-plugin-gme
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 69
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libgme0 (>= 0.5.5)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-gme_0.8+dfsg-4+deb7u1_armhf.deb
Size: 21320
SHA256: 542db9260d4f3c15e2a9a19c407adf33a4099b6710861f62d527aaf26c9b7414
SHA1: 5f3d92a6569073c2e75ac04e04d3d81b411552c7
MD5sum: 995f1edc3cfd307a7bac00187b001148
Description: XMMS2 - gme plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains the Game Music Emulator music decoder for XMMS2.

Package: xmms2-plugin-gvfs
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 66
Depends: gvfs-backends, xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-gvfs_0.8+dfsg-4+deb7u1_armhf.deb
Size: 20156
SHA256: 10b96405dc9176c0f377d05969e59bd606b3b05c79384b17d1b8db28c2db4f09
SHA1: a6ca49923e5b753c1724d0eda4bc0ba2463c9af3
MD5sum: 255ae49e18f5b8aeac7633201d4e9388
Description: XMMS2 - gvfs plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains the Glib virtual file system transport for XMMS2.

Package: xmms2-plugin-html
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 62
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-html_0.8+dfsg-4+deb7u1_armhf.deb
Size: 18464
SHA256: 44fc49c01fbbe9201bdd4a9dc15255cf1905777dd830243e2930b5b04e764e80
SHA1: af4210a15f26449b863e5646297c3bd35b8d7125
MD5sum: afca4527eaeb02d6f0466fd0c35e5041
Description: XMMS2 - HTML playlist plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables loading HTML playlists for XMMS2.

Package: xmms2-plugin-ices
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 72
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libogg0 (>= 1.0rc3), libshout3, libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-ices_0.8+dfsg-4+deb7u1_armhf.deb
Size: 22438
SHA256: b88d8c1b8222a7ee649a368f6f92fd1e64206098c002a4559495a580be0a6d1e
SHA1: 6097afdb7969dccf1bb2351e24222b4be1a61e2b
MD5sum: 80d56560121c459a7123cf8c1cb90dc7
Description: XMMS2 - Ogg streaming output
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains an output plug-in for Ogg/Vorbis streaming.

Package: xmms2-plugin-icymetaint
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 63
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), xmms2-plugin-curl, libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-icymetaint_0.8+dfsg-4+deb7u1_armhf.deb
Size: 19020
SHA256: d6b3805fdbfe75aefaeb0d4685de5a44027df00d4145d66b69f3c767577a00d8
SHA1: 01b726cbc0829f930db6a54ba06cc5e48c5d51d5
MD5sum: 9b1e023186169dddb3ebc9f2a05061ad
Description: XMMS2 - shoutcast metadata plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package allows you to decode and use shoutcast stream metadata in XMMS2.

Package: xmms2-plugin-id3v2
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 75
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-id3v2_0.8+dfsg-4+deb7u1_armhf.deb
Size: 23664
SHA256: bbf2ef884efc6b7fb68f86ef5ede9bd8395ea58ad1440db4f0062e4321ad54f0
SHA1: 5def61e457100d053aa8cf900b845bc71996735f
MD5sum: ee4d5c7f44284b72f5b1e3f18af776a5
Description: XMMS2 - ID3v2 plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables handling of ID3v2 tag containers.

Package: xmms2-plugin-jack
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 70
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-jack_0.8+dfsg-4+deb7u1_armhf.deb
Size: 21336
SHA256: 25ed872f59890d81ab90cb060f59fd5167fd2b14b1c13b1e047802d444763a7a
SHA1: 6f69f7e93c994e49d160e9e75dddfff9ccbeb3da
MD5sum: 4e27cd4569cea104060784a006afb84d
Description: XMMS2 - JACK output
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables JACK output for XMMS2.

Package: xmms2-plugin-karaoke
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 65
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-karaoke_0.8+dfsg-4+deb7u1_armhf.deb
Size: 19934
SHA256: 371659ec3656567a7b2a342ddd01c5443e3ace79bdfc27e66b135f1f3310db71
SHA1: e7a485bcf652accf92e04f1b69d2c20b8359f3ac
MD5sum: b4cb164165ba6527dd08cf0cf92d93f3
Description: XMMS2 - karaoke plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains the voice removal effect plug-in for XMMS2.

Package: xmms2-plugin-m3u
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 62
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-m3u_0.8+dfsg-4+deb7u1_armhf.deb
Size: 18452
SHA256: fa26780a20c224e5baf30ed9aaec3ae672ec922c3cae46cb6a05db3d605e9cb4
SHA1: d0031b6a754910fdd7e826b927a1dcf07364033d
MD5sum: 6107a809300310d8687ebca93a695733
Description: XMMS2 - M3U playlist plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables XMMS2 to read M3U playlists.

Package: xmms2-plugin-mad
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 81
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libmad0 (>= 0.15.1b-3)
Recommends: xmms2-plugin-id3v2
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-mad_0.8+dfsg-4+deb7u1_armhf.deb
Size: 27514
SHA256: 4fb30904e1b827e076735c28e0a6d0c82082c4b6bade5ab3d97fd60a124c32be
SHA1: 391b9312667d265092d135d47b2b332c147b9b15
MD5sum: d8166963c81cb5abddf7163dfad29321
Description: XMMS2 - libmad based mp3 decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables mp3 decoding for XMMS2 based on libmad.

Package: xmms2-plugin-mms
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 62
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libmms0 (>= 0.4)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-mms_0.8+dfsg-4+deb7u1_armhf.deb
Size: 18542
SHA256: e321e09952cd3e260e99f17f9e3184ee4fe166a53f75ff2c33e88b3044806dca
SHA1: 39a1ea85458987ba942bbebb971920b0d59f75f7
MD5sum: 2e0fb40977cc75d9c94aed05e0130bcc
Description: XMMS2 - MMS transport
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains the MMS transport for XMMS2.

Package: xmms2-plugin-modplug
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 69
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libmodplug1
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-modplug_0.8+dfsg-4+deb7u1_armhf.deb
Size: 21356
SHA256: a30b604bbfc554a463d5906373ee6da27ca46742d5b73dd3b496ade6e25ab960
SHA1: 6ea47c5b1dc13370e570d6c1cc0c98f460169b3d
MD5sum: 01aeff56f12139e42319058f1763b2f4
Description: XMMS2 - modplug decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables modplug decoding for XMMS2.

Package: xmms2-plugin-mp4
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 119
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core, xmms2-plugin-avcodec, xmms2-plugin-faad
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-mp4_0.8+dfsg-4+deb7u1_armhf.deb
Size: 38424
SHA256: 1572a4aa4212895a4acac4079dd9f7e7f135ee94b20fb6d0ca6e236615d9823d
SHA1: 87ddecf25b66b4d9ebca411d28454cc557fa3187
MD5sum: d9914d4a6499427beba2be2820ebc78c
Description: XMMS2 - MPEG-4 plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables decoding of data inside of mp4 containers for XMMS2.
 Together with xmms2-plugin-faad it's possible to decode .mp4 files. Combined
 with xmms2-plugin-avcodec you can decode ALAC files.

Package: xmms2-plugin-mpg123
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 72
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libmpg123-0 (>= 1.6.2)
Recommends: xmms2-plugin-id3v2
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-mpg123_0.8+dfsg-4+deb7u1_armhf.deb
Size: 22756
SHA256: e0dd7cbe8fef889cb0f3af5705ebc6a0da2a37e561e21756c2097098c37c219d
SHA1: 8f02a69a6717dde092174f57970ae1c3b5794e3b
MD5sum: c65358d375c7d2cada3eb6e68f8be724
Description: XMMS2 - libmpg123 based mp3 decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables mp3 decoding for XMMS2 based on libmpg123.

Package: xmms2-plugin-musepack
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 72
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libmpcdec6 (>= 1:0.1~r435)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-musepack_0.8+dfsg-4+deb7u1_armhf.deb
Size: 22370
SHA256: b5742cee5319592c1466d453c81402a36f2dc19be70bea8528d870d2adaec0d4
SHA1: c6bbe9b6943113d9bc81a1c47c0396922201dd2f
MD5sum: 7235b7b88afc29aaaf4af7aa953ffa8e
Description: XMMS2 - mpc decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables musepack (mpc) decoding for XMMS2.

Package: xmms2-plugin-normalize
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 65
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-normalize_0.8+dfsg-4+deb7u1_armhf.deb
Size: 20036
SHA256: 6aaec942699f61cd937db5ebe6772ad3a1887b2434c369bb86520bc6c5b955ad
SHA1: a8972ed89ce4d2abae5b9db12b972766dcd8eda9
MD5sum: 165aee296200f90333df3f2f51dc7d17
Description: XMMS2 - Normalize plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This plug-in enables volume normalization for XMMS2.

Package: xmms2-plugin-ofa
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 64
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libfftw3-3, libglib2.0-0 (>= 2.31.8), libofa0 (>= 0.9.3)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-ofa_0.8+dfsg-4+deb7u1_armhf.deb
Size: 19256
SHA256: ae97cbe9e685eb110606ed695b4f1cd52dd0ab11b0cae440a432439c4229b949
SHA1: 8db1e36f8933e80251e9692d3fdc2802efc5b50a
MD5sum: 945dee176437a46e56d8c4d34a82e5e8
Description: XMMS2 - Open Fingerprint Architecture plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables XMMS2 to calculate Open Fingerprint Architecture (OFA)
 fingerprints.

Package: xmms2-plugin-oss
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 66
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-oss_0.8+dfsg-4+deb7u1_armhf.deb
Size: 20346
SHA256: 8fe3adf2b4e08afd60f269982dd87f2f6afb8fcef8e31d5123d20c85a8b1a89c
SHA1: 359a05875dda9301772a63b0fa3bdd50c62121ee
MD5sum: 7c384a7c1bab220d1a8ba3c695523cda
Description: XMMS2 - OSS output
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables OSS output for XMMS2.

Package: xmms2-plugin-pls
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 63
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-pls_0.8+dfsg-4+deb7u1_armhf.deb
Size: 18724
SHA256: a5b95f97233f32a95c22ffae4186f125703506253ced4ab7648259036dec912e
SHA1: 370c417c2bc5ca494957f1610d2d5fcf34351f19
MD5sum: 4413336154547a83fc97a9253e55fcca
Description: XMMS2 - PLS playlist plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables XMMS2 to read PLS playlists.

Package: xmms2-plugin-pulse
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 74
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libpulse0 (>= 0.99.1)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-pulse_0.8+dfsg-4+deb7u1_armhf.deb
Size: 22602
SHA256: b36a063d0a2ca47670b96df8c67ef15b0e2fcd80530b23643160819f59357266
SHA1: 9187dd1cc386f4b29b6ec07fc1893c4a26c889e4
MD5sum: c607fdd686455803c9bbc2119d917ceb
Description: XMMS2 - PulseAudio output plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables PulseAudio output for XMMS2.

Package: xmms2-plugin-rss
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 62
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), xmms2-plugin-xml (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0), libxml2 (>= 2.7.4)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-rss_0.8+dfsg-4+deb7u1_armhf.deb
Size: 18718
SHA256: 64252681e047e51418509f88a02a67ac9b8a135eec36aea15c2e7d987a782282
SHA1: 1c80822e718d523351936111d1b31bfe1916c430
MD5sum: d008292fae8315ea4260ce86866cbac6
Description: XMMS2 - RSS podcast plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables XMMS2 to use podcast RSS feeds as playlists.

Package: xmms2-plugin-sid
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 81
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libresid-builder0c2a, libsidplay2, libstdc++6 (>= 4.3.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-sid_0.8+dfsg-4+deb7u1_armhf.deb
Size: 25646
SHA256: 7688d2e1e1f076d4287c65bd62543acf2510b3a7651a70bcb08fc6ade3da3554
SHA1: eac4b45e08e28d6b8f280055efc772466e331cbd
MD5sum: b338060f65d98258f8407584ce250124
Description: XMMS2 - libsidplay2 based decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables decoding for tunes from C64 and C128.

Package: xmms2-plugin-smb
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 65
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libsmbclient (>= 3.0.24)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-smb_0.8+dfsg-4+deb7u1_armhf.deb
Size: 19544
SHA256: 40ec85a8e85bce9cf79587e103e516102abec5349db83cc2e6d0d826113bfca2
SHA1: 508b0d43e6ee094d9c5f5290dc681a98f1fcd9ea
MD5sum: 5da8e4b3c7ec6fd7c4056318d65da119
Description: XMMS2 - Server Message Block (SMB) transport
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains a Server Message Block (SMB) transport for XMMS2.

Package: xmms2-plugin-sndfile
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 70
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), libsndfile1 (>= 1.0.20)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-sndfile_0.8+dfsg-4+deb7u1_armhf.deb
Size: 22788
SHA256: a42f2a5958da30e7dd2ce6137c4235c83334f9867e02e9a5e9c727f07579c233
SHA1: 442ce9c00155e53bcdb376e758c99fb5e843e0c7
MD5sum: d106abba12882e1cec7e2f828f429c40
Description: XMMS2 - sndfile decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables Audio Interchange File Format (AIFF), Au, Core Audio
 Format (CAF), and Paris Audio File (PAF) decoding for XMMS2 using the sndfile
 library.

Package: xmms2-plugin-speex
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 65
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libogg0 (>= 1.0rc3), libspeex1 (>= 1.2~beta3-1)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-speex_0.8+dfsg-4+deb7u1_armhf.deb
Size: 19882
SHA256: 0e3b32c471d1936aee7d7e854093df40ceb7f260021cae31ff1227125c8eadb7
SHA1: 67bea88fb30ff835ca537ce2b5070752c7343705
MD5sum: db6f11aa18e1f43a030aadeb7fea2bb3
Description: XMMS2 - Speex decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables Speex decoding for XMMS2.

Package: xmms2-plugin-tta
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 68
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-tta_0.8+dfsg-4+deb7u1_armhf.deb
Size: 21920
SHA256: ae92559ca400ead3050c837a44f5b03e088baaa22750760f44c68fd2b27870af
SHA1: f7e1e0556a04ec61a62e7ea48c97a5026df6b5d2
MD5sum: d6f63b89349988dbb66b1038dbb5d067
Description: XMMS2 - TTA decoder plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables True Audio file decoding for XMMS2.

Package: xmms2-plugin-vocoder
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 76
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libfftw3-3, libglib2.0-0 (>= 2.24.0), libsamplerate0 (>= 0.1.7)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-vocoder_0.8+dfsg-4+deb7u1_armhf.deb
Size: 23702
SHA256: ef9254985da877eed3b1cb738bd0f9eeaf6821906c875f75215570cd3c3e01c8
SHA1: df737f257033eb338d117ee61ea24fe84611eef8
MD5sum: ebd9c725cf3bf61d5fef987e07e5bd1d
Description: XMMS2 - vocoder plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package contains a phase vocoder effect plug-in.

Package: xmms2-plugin-vorbis
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 70
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libogg0 (>= 1.0rc3), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-vorbis_0.8+dfsg-4+deb7u1_armhf.deb
Size: 21724
SHA256: 5512ab27ffde4ad6da5a9a964e08f2878c46a62c66f1b71bbd1270ee83271c55
SHA1: aa860dd24cda654ba2c80744e9c5ceeaaf546ce8
MD5sum: 7abd9ba2fbb177dcd7bcf14fde977b1b
Description: XMMS2 - vorbis decoder
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables ogg-vorbis decoding for XMMS2.

Package: xmms2-plugin-wavpack
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 70
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libwavpack1 (>= 4.40.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-wavpack_0.8+dfsg-4+deb7u1_armhf.deb
Size: 21744
SHA256: 09120f2a361cc2b5d54d638f4881e515894f22ec4aa9628ed0e3f32ce02a4b5f
SHA1: 5edb0b50ca39dc40c2d1c176e57a9bcd0f91157e
MD5sum: 00d15ba3bb326b715787f09a614b33b9
Description: XMMS2 - WavPack decoder plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables WavPack decoding for XMMS2.

Package: xmms2-plugin-xml
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 62
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.12.0)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-xml_0.8+dfsg-4+deb7u1_armhf.deb
Size: 18574
SHA256: 7d4006425e075a84946f75db327dc255cf28848a6d5563c24ca792f31fdd4ca7
SHA1: 9605ec77b9e3125a00ca3ae4545c4f3dea5b1b02
MD5sum: 6a932f3d7c570393a14e651d50d1b68e
Description: XMMS2 - XML plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package allows XMMS2 to read XML based formats. This package is used by
 other plug-ins that implement support for specific XML formats like
 xmms2-plugin-xspf and xmms2-plugin-rss.

Package: xmms2-plugin-xspf
Source: xmms2
Version: 0.8+dfsg-4+deb7u1
Architecture: armhf
Maintainer: Benjamin Drung 
Installed-Size: 65
Depends: xmms2-core (= 0.8+dfsg-4+deb7u1), xmms2-plugin-xml (= 0.8+dfsg-4+deb7u1), libc6 (>= 2.13-28), libglib2.0-0 (>= 2.24.0), libxml2 (>= 2.7.4)
Enhances: xmms2-core
Homepage: http://xmms2.org/
Priority: optional
Section: sound
Filename: pool/main/x/xmms2/xmms2-plugin-xspf_0.8+dfsg-4+deb7u1_armhf.deb
Size: 19766
SHA256: d27f1490367e9388f7fc9170a66dd5dc4dc9ff06e351bf705ceb3b3e3fff86de
SHA1: a092c062d0f44d94719d159e2607810ead5ac111
MD5sum: 0f559827a21df4715094827c644d065f
Description: XMMS2 - XSPF playlist plug-in
 XMMS2 is a redesign of the XMMS music player. It features a client-server
 model, allowing multiple (even simultaneous!) user interfaces, both textual
 and graphical. All common audio formats are supported using plug-ins. On top of
 this, there is a flexible media library to organize your music.
 .
 This package enables XMMS2 to read XSPF playlists.

Package: xmms2-scrobbler
Version: 0.4.0-3
Architecture: armhf
Maintainer: Florian Ragwitz 
Installed-Size: 79
Depends: libc6 (>= 2.13-28), libcurl3 (>= 7.16.2), libxmmsclient6 (>= 0.7DrNo)
Suggests: xmms2-core
Homepage: http://code-monkey.de/pages/xmms2-scrobbler
Priority: optional
Section: sound
Filename: pool/main/x/xmms2-scrobbler/xmms2-scrobbler_0.4.0-3_armhf.deb
Size: 23304
SHA256: 5ef20b20a1964780ee4e587c18ee4164a5e035afbdf48c60173f28d8e0f6df67
SHA1: dcd7c5e73ffde32da11bb747fa2c1ba77907c2dc
MD5sum: 92c046fe0a7db79212eae94968be0d57
Description: Audioscrobbler/Last.FM client for XMMS2
 The scrobbler client sends information about the tracks you play with XMMS2 to
 the Last.FM service to build a profile of the type of music you listen to and
 find people that have similar tastes in music. For more information on
 AudioScrobbler see http://www.last.fm/

Package: xmms2tray
Version: 0.5.1-2
Installed-Size: 276
Maintainer: Debian QA Group 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-xmmsclient, python-gtk2 (>= 2.10), python-notify, python-imaging
Suggests: notification-daemon, xmms2-client-cli
Size: 106408
SHA256: 065b8e6607cd362cdbce9eea7882ba4094e9b38c621b395660e9efdea8c71cbe
SHA1: 8747315fff55a219dcdaa6cfd33ac94dd65a4ce9
MD5sum: f52e0bbe0c0f51375040bf1969cd6f24
Description: System tray integration for XMMS2
 XMMS2tray is a small XMMS 2 client that displays an icon in a X11 system
 tray with a customizable popup menu and notification bubbles.
 .
 It is not (yet) a complete client by itself but provides an unobtrusive
 and simple way of controlling current playlist.
Homepage: https://code.jollybox.de/wiki/Software/Xmms2tray
Python-Version: 2.6, 2.7
Tag: implemented-in::python, interface::x11, role::program, sound::player,
 suite::xmms2, uitoolkit::gtk, use::playing, x11::applet
Section: sound
Priority: extra
Filename: pool/main/x/xmms2tray/xmms2tray_0.5.1-2_all.deb

Package: xmobar
Version: 0.14-4
Architecture: armhf
Maintainer: Apollon Oikonomopoulos 
Installed-Size: 8652
Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libiw30 (>= 30~pre1), libx11-6, libxext6, libxft2 (>> 2.1.1), libxinerama1, libxrandr2
Recommends: curl
Suggests: xmonad
Homepage: http://projects.haskell.org/xmobar/
Priority: optional
Section: x11
Filename: pool/main/x/xmobar/xmobar_0.14-4_armhf.deb
Size: 1995922
SHA256: 1e1d1610bc06c647fb024bf343b0d3ae68f5bc844ab6df4f051d8d0e77c784f2
SHA1: 85957e403179bbeef38250e8019c33ceb1a3ce32
MD5sum: 126abd641c6a7e65b1293b325688a352
Description: Lightweight status bar for X11 window managers with UTF-8 and Xft support
 xmobar is a lightweight text-based status bar for X11 desktops written in
 Haskell and designed to work with (but not limited to) xmonad. It has a
 variety of plugins allowing the display of system-related data and an
 extensible architecture that makes it easy to write one's own plugins. xmobar
 supports displaying UTF-8 text and using TrueType fonts via Xft.

Package: xmonad
Version: 0.10-4
Architecture: armhf
Maintainer: Debian Haskell Group 
Installed-Size: 6893
Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libgmp10, libx11-6, libxext6, libxinerama1, x11-utils
Recommends: libghc-xmonad-dev, libghc-xmonad-doc, xfonts-base
Suggests: dmenu
Conflicts: xmonad-rebuild
Replaces: xmonad-rebuild
Homepage: http://xmonad.org/
Priority: extra
Section: x11
Filename: pool/main/x/xmonad/xmonad_0.10-4_armhf.deb
Size: 1593704
SHA256: 1ea68fd76ee02f3986fdfe27916cf52e03f3518a7adbc3fa33de9033138a801d
SHA1: 7d964e6e6a5e677fe058d7ab3c68d05a319bc614
MD5sum: c386e9d92d0d57bff64b188c624be340
Description: Lightweight X11 window manager written in Haskell
 This package provides a library for the Haskell programming language.
 See http://www.haskell.org/ for more information on Haskell.
 .
 Xmonad is a minimalist tiling window manager for X, written in
 Haskell.  Windows are managed using automatic layout algorithms,
 which can be dynamically reconfigured. At any time windows are
 arranged so as to maximise the use of screen real estate. All
 features of the window manager are accessible purely from the
 keyboard: a mouse is entirely optional.  Xmonad is configured in
 Haskell, and custom layout algorithms may be implemented by the user
 in config files. A principle of Xmonad is predictability: the user
 should know in advance precisely the window arrangement that will
 result from any action.
 .
 This package comes pre-configured with the default configuration. If
 you want to build your custom-configured version, make sure that
 libghc-xmonad-dev is installed and put your configuration in
 ~/.xmonad/xmonad.hs

Package: xmorph
Version: 1:20090926
Architecture: armhf
Maintainer: A Mennucc1 
Installed-Size: 134
Depends: dpkg (>= 1.15.4) | install-info, libc6 (>= 2.13-28), libmorph, libx11-6, libxaw7, libxt6
Suggests: imagemagick | netpbm | xmorph-example
Priority: optional
Section: graphics
Filename: pool/main/x/xmorph/xmorph_20090926_armhf.deb
Size: 47644
SHA256: e182cd8ecd3639cba734e08e7fa56446cadfd3291f0cb98c003c7eacaf9cae3b
SHA1: 3e91d10be99f027d2ac905d74e9e8ce1132aeef9
MD5sum: 6843aa24a06ed1872aacebdf3df822c4
Description: digital image warp and morph (x11)
 Xmorph loads, saves, warps, and dissolves images, and loads, saves, creates,
 and manipulates control meshes which determine the warping.  The technique
 was invented and first used by Industrial Light and Magic, and is commonly
 called "morphing".
 .
 Xmorph uses the Targa image format exclusively.  Other software is needed to
 convert to other image formats or to movies.  (Due to a bug, Xmorph's images
 are unreadable by John Bradley's XV.)
 .
 xmorph is a GUI for libmorph, using the X Toolkit; the package also contains
 a text-only program called morph.

Package: xmotd
Version: 1.17.3b-5
Architecture: armhf
Maintainer: Marcin Owsiany 
Installed-Size: 109
Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxmu6, libxpm4, libxt6
Homepage: http://www.ee.ryerson.ca/~elf/xmotd/
Priority: optional
Section: x11
Filename: pool/main/x/xmotd/xmotd_1.17.3b-5_armhf.deb
Size: 27958
SHA256: f931458df3420fda3fcd2a9dd526136c1c4b453fc762518c54c444863d46d97d
SHA1: e916f04543a319d5e2189d239f83fab267d49a4f
MD5sum: 5461d5a7ad6c46674fb73038a0dc640f
Description: a message of the day browser for X
 Xmotd is a message-of-the-day browser for X11 (with additional
 sysvnews-like support for dumb terminals).  It displays a customizable
 message box which displays each message-of-the-day until the user has
 read them all, and then creates a stamp-file.

Package: xmoto
Version: 0.5.10+dfsg-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 4584
Depends: xmoto-data (= 0.5.10+dfsg-1), libbz2-1.0, libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libjpeg8 (>= 8c), liblua5.1-0, libode1, libpng12-0 (>= 1.2.13-4), libsdl-mixer1.2, libsdl-net1.2, libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libxdg-basedir1, libxml2 (>= 2.7.4), zlib1g (>= 1:1.2.0)
Homepage: http://xmoto.tuxfamily.org/
Priority: optional
Section: games
Filename: pool/main/x/xmoto/xmoto_0.5.10+dfsg-1_armhf.deb
Size: 1786970
SHA256: be6fb3632d41023a3746f4e66e6db142503c95c7c41a1e2e0939ec78ee7793c1
SHA1: 5c83f2a92411f9997e672e8ae68961a8cf0f1c1e
MD5sum: 11991425aefc2a13d410ff7ee005e7f1
Description: 2D motocross platform game
 X-Moto is a challenging 2D motocross platform game, where physics play
 an all important role in the gameplay. You need to control your bike to
 its limit, if you want to have a chance finishing the more difficult of
 the challenges.

Package: xmoto-data
Source: xmoto
Version: 0.5.10+dfsg-1
Installed-Size: 63259
Maintainer: Debian Games Team 
Architecture: all
Depends: ttf-dejavu-core
Suggests: xmoto (>= 0.5.10+dfsg-1)
Size: 37650932
SHA256: 291fec415cbbdb7a6934f410db63afdcc58323cf620a1b4b7f06197bc89b4e81
SHA1: 2ba0ebd869b54aa001bd4a5628b6c87a9e919787
MD5sum: 8b793d44785270c05b8a90967eaac8e7
Description: 2D motocross platform game - data files
 X-Moto is a challenging 2D motocross platform game, where physics play
 an all important role in the gameplay. You need to control your bike to
 its limit, if you want to have a chance finishing the more difficult of
 the challenges.
 .
 This package contains the data files needed by xmoto.
Homepage: http://xmoto.tuxfamily.org/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/x/xmoto/xmoto-data_0.5.10+dfsg-1_all.deb

Package: xmount
Version: 0.5.0-2
Architecture: armhf
Maintainer: Michael Prokop 
Installed-Size: 99
Depends: libafflib0, libc6 (>= 2.13-28), libewf1 (>= 20090606), libfuse2 (>= 2.8.1), libgcc1 (>= 1:4.4.0)
Homepage: https://www.pinguin.lu/
Priority: optional
Section: admin
Filename: pool/main/x/xmount/xmount_0.5.0-2_armhf.deb
Size: 37890
SHA256: 0137abce8ec7b9026ef2afe585bffac145186490e33cbe5ddda077cd0cd405e8
SHA1: 1e1e2bcd5c40948edcb7b6817fc34cb00bd564cb
MD5sum: d63ae7e7b1c5f36e349c8f3c7a5c839a
Description: tool to crossmount between multiple input and output harddisk images
 xmount allows you to convert on-the-fly between multiple input
 and output harddisk image types. xmount creates a virtual file
 system using FUSE (Filesystem in Userspace) that contains a
 virtual representation of the input harddisk image. The virtual
 representation can be in raw DD, VirtualBox's virtual disk file
 (VDI) format, Microsoft's Virtual Hard Disk Image format (VHD)
 or in VMware's VMDK format. Input harddisk images can be raw DD
 or EWF (Expert Witness Compression Format) or AFF (Advanced
 Forensic Format) files. In addition, xmount also supports
 virtual write access to the output files that is redirected to a
 cache file. This makes it for example possible to boot acquired
 harddisk images using QEMU, KVM, VirtualBox, VMware or alike.

Package: xmountains
Version: 2.9-2
Architecture: armhf
Maintainer: Kartik Mistry 
Installed-Size: 94
Depends: libc6 (>= 2.7), libx11-6
Homepage: http://www2.epcc.ed.ac.uk/~spb/xmountains/
Priority: optional
Section: games
Filename: pool/main/x/xmountains/xmountains_2.9-2_armhf.deb
Size: 30680
SHA256: ecf23537695a66f317537c5f47753d49ece4c927899cc6e182891b9779a64fe8
SHA1: 482457a721adc188de2212f6227b8e2d01ad62e7
MD5sum: a9f5c2a29b32ab7ba1fd1abf4e76d470
Description: Fractal landscape generator for X
 Generates random fractal mountains and displays them in a window (even in the
 X root window).
 .
 While the program is running the fractal is continuously extended on the right
 and the image is scrolled from right to left to expose the newly generated
 area.

Package: xmp
Version: 3.4.0-1.1
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 492
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), xmp-common (= 3.4.0-1.1)
Recommends: unmo3
Suggests: lha
Provides: xmp-player
Homepage: http://xmp.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/x/xmp/xmp_3.4.0-1.1_armhf.deb
Size: 257832
SHA256: d2ea52f8ea14a3b18b4ada2a79be1a557a446b97530055a7fa3e3b8a6b909d68
SHA1: b048629e54f3905e6d1806c42fa3964ffad3c40d
MD5sum: 2effc6197cbc4db53423570708d1c639
Description: module player supporting AWE32, GUS, and software-mixing
 xmp is a multi-format module player for UNIX. In machines with GUS or
 AWE cards xmp takes advantage of the OSS sequencer to play modules with
 virtually no system load. Using software mixing, xmp plays at sampling
 rates up to 48 kHz in mono or stereo, 8 or 16 bits, signed or unsigned,
 little or big endian samples with 32 bit linear interpolation. xmp
 currently supports more than 40 module formats.

Package: xmp-audacious
Source: xmp
Version: 3.4.0-1.1
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 496
Depends: libaudcore1 (>= 2.4~alpha1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), xmp-common (= 3.4.0-1.1)
Recommends: audacious, unmo3
Provides: xmp-player
Homepage: http://xmp.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/x/xmp/xmp-audacious_3.4.0-1.1_armhf.deb
Size: 261036
SHA256: b69b303d4f57a352229ca4b0edcd27179289d48cf0869472fe00e345fd1cf292
SHA1: 71d6532f8e76c3adbf57c26c4c0469e0b17dca4e
MD5sum: f821a71bd74211a0eae1e9bf49e67b8a
Description: XMP plugin for Audacious
 This package contains an xmp plugin for Audacious, supporting more
 than 40 module formats including Protracker variants, packed MODs,
 S3M, XM, IT with filters, exotic formats and Adlib modules.

Package: xmp-common
Source: xmp
Version: 3.4.0-1.1
Installed-Size: 78
Maintainer: Gürkan Sengün 
Architecture: all
Replaces: xmp (<< 1.1.3-2)
Size: 43150
SHA256: 67a5b0e9c949993424569b9ce47c78f1c6296a227512b303c77dcde3f2e60eee
SHA1: 79bb8d1b8a5fcfad3983337028fd9581893e7416
MD5sum: 4a8144c7beaabcb1bfda56f9de4b287f
Description: common files for xmp and the xmp Audacious plugin
 This package contains configuration and documentation files and
 the OSS PCM audio and sequencer drivers for xmp and
 xmp-audacious.
Homepage: http://xmp.sourceforge.net/
Tag: role::app-data, sound::player, use::playing, works-with::audio
Section: sound
Priority: extra
Filename: pool/main/x/xmp/xmp-common_3.4.0-1.1_all.deb

Package: xmpi
Version: 2.2.3b8-13
Architecture: armhf
Maintainer: Camm Maguire 
Installed-Size: 248
Depends: lam-runtime, lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), liblam4, libsm6, libstdc++6 (>= 4.4.0), libx11-6, libxmpi4, libxt6
Conflicts: xmpi1-runtime
Replaces: xmpi1-runtime
Priority: extra
Section: devel
Filename: pool/main/x/xmpi/xmpi_2.2.3b8-13_armhf.deb
Size: 95020
SHA256: 908529c262ec945861b242c83be1b5f305195c2997692415a826c1c3eda0e13c
SHA1: 2053317188d546ca4c2e86f4a4efe6b4f35941da
MD5sum: c2a83a896250d9a045084318b620bcff
Description: Graphical user interface for MPI program development
 MPI is a standard for writing parallel processing programs using
 a well defined Message Passing Interface.
 .
 XMPI can acquire and display extensive detail on an application's MPI
 communication, including the following:
  o process synchronization status: running, system or blocked
  o message queue contents
  o source, destination, root ranks
  o MPI function name
  o communicator
  o data type
  o message count
  o tag
 The above information is acquired from on-demand snapshots of
 application status using debugging hooks in the MPI implementation or
 from a trace log of communication activity.

Package: xmpuzzles
Source: xpuzzles
Version: 7.6.3-1
Architecture: armhf
Maintainer: Varun Hiremath 
Installed-Size: 1881
Depends: lesstif2 (>= 1:0.94.4), libaudiofile1 (>= 0.3.3), libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libsm6, libx11-6, libxpm4, libxt6
Conflicts: xpuzzles
Homepage: http://www.tux.org/~bagleyd/puzzles.html
Priority: extra
Section: games
Filename: pool/main/x/xpuzzles/xmpuzzles_7.6.3-1_armhf.deb
Size: 763024
SHA256: 3ef82a14d238da7ce3618451d955c59ed08f5db2659ffd765bff536e55236567
SHA1: 16944dd28167f3a0dd6bc63a4f8e1d72f5853a04
MD5sum: 34e3bbfa8923a97d12d582dc0cedb430
Description: collection of puzzles for X (Motif version)
 The following puzzles are included:
 .
  - Rotational 3D Puzzles: xmrubik, xmpyraminx, xmoct, xmskewb, xmdino, xmmball
  - Sliding Block Puzzles: xmcubes, xmtriangles, xmhexagons, xmpanex
  - Combination: xmbarrel, xmmlink
 .
 This is the Motif version which shows additional functionality. It was
 compiled with lesstif2. Motif is a GUI widget library for the X Window
 system.

Package: xnbd-client
Source: xnbd
Version: 0.1.0-pre-hg20-e75b93a47722-3
Architecture: armhf
Maintainer: Arno Töll 
Installed-Size: 165
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), xnbd-common (= 0.1.0-pre-hg20-e75b93a47722-3)
Homepage: https://bitbucket.org/hirofuchi/xnbd/wiki/Home
Priority: extra
Section: admin
Filename: pool/main/x/xnbd/xnbd-client_0.1.0-pre-hg20-e75b93a47722-3_armhf.deb
Size: 65022
SHA256: 1471d3770ad786ecd2d19ceb61443445f2311bf995061c54a64e4a8e3ecda56c
SHA1: 05c71ba3130d0fa0b8277db8e3245fa666081581
MD5sum: 1b3dc315500659a7edd0503b6f12cbf9
Description: Network Block Device client with support for live migration
 xNBD is a NBD (Network Block Device) server program, which is fully compatible
 with the NBD client driver of Linux kernel. It adds extended features to the
 traditional NBD server:
 .
  * Better performance
  * Support for distributed copy-on-write disks
  * Live storage migration for virtual machines.
  * IPv6 support.
 .
 This is the client side of the program

Package: xnbd-common
Source: xnbd
Version: 0.1.0-pre-hg20-e75b93a47722-3
Installed-Size: 58
Maintainer: Arno Töll 
Architecture: all
Depends: lsb-base (>= 3.2-14), python (>= 2.7) | python-argparse, module-init-tools, python
Size: 9174
SHA256: 818a08d7baecd75b21c995391106584f2de51cc4d10ddb5a02fad26c3ae1e4d6
SHA1: 2debb45d4d6d4e54e5c548e23e2856af9fc59b18
MD5sum: ba02c0c24ed4a438589f75e4c73c20b8
Description: Network Block Device - common files
 xNBD is a NBD (Network Block Device) server program, which is fully compatible
 with the NBD client driver of Linux kernel. It adds extended features to the
 traditional NBD server.
 .
 This package contains common files and shared directories.
Homepage: https://bitbucket.org/hirofuchi/xnbd/wiki/Home
Tag: role::app-data
Section: admin
Priority: extra
Filename: pool/main/x/xnbd/xnbd-common_0.1.0-pre-hg20-e75b93a47722-3_all.deb

Package: xnbd-server
Source: xnbd
Version: 0.1.0-pre-hg20-e75b93a47722-3
Architecture: armhf
Maintainer: Arno Töll 
Installed-Size: 239
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.24.0), python, xnbd-common (= 0.1.0-pre-hg20-e75b93a47722-3)
Homepage: https://bitbucket.org/hirofuchi/xnbd/wiki/Home
Priority: extra
Section: admin
Filename: pool/main/x/xnbd/xnbd-server_0.1.0-pre-hg20-e75b93a47722-3_armhf.deb
Size: 101392
SHA256: abcbeca2df31a47c202db4656c876a2950555be5e614a11ca77f6107fd3ae998
SHA1: 7453ef71b3a564a80fdf65dfa402b1f3aa2e9a62
MD5sum: 666a83f6440eea32934144528a0d180b
Description: Network Block Device server with support for live migration
 xNBD is a NBD (Network Block Device) server program, which is fully compatible
 with the NBD client driver of Linux kernel. It adds extended features to the
 traditional NBD server:
 .
  * Better performance
  * Support for distributed copy-on-write disks
  * Live storage migration for virtual machines.
  * IPv6 support.
 .
 This is the server side of the program

Package: xnec2c
Version: 1:1.4-1
Architecture: armhf
Maintainer: Debian Hamradio Maintainers 
Installed-Size: 898
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0)
Recommends: nec2c
Homepage: http://5b4az.chronos.org.uk/pages/nec2.html
Priority: extra
Section: hamradio
Filename: pool/main/x/xnec2c/xnec2c_1.4-1_armhf.deb
Size: 558134
SHA256: d8b5f86b643917e2bb87395911cf29edd59642a239bd20efb1fa880af44461c2
SHA1: 0afa85f6b8dc7c04a47ebb36a7618fdd1eb836a2
MD5sum: 6d5186f0c476431ae264ead086bfbcbc
Description: calculate and display radio antenna properties
 The original nec2c is a non-interactive command-line application that reads
 standard NEC2 input files and produces an output file with data requested
 by "commands" in the input file.
 .
 In contrast xnec2c is a GUI interactive application that (in its current form)
 reads NEC2 input files but presents output data in graphical form, e.g. as
 wire frame drawings of the radiation pattern or near E/H field, graphs of
 maximum gain, input impedance, vswr etc against frequency and simple rendering
 of the antenna structure, including color code representation of currents or
 charge densities. These results are only calculated and drawn on user demand
 via menu items or buttons, e.g. xnec2c is interactive and does not execute
 NEC2 "commands" in batch style as the original does.

Package: xnecview
Version: 1.35-7.1
Architecture: armhf
Maintainer: Alan Bain 
Installed-Size: 162
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4)
Priority: extra
Section: hamradio
Filename: pool/main/x/xnecview/xnecview_1.35-7.1_armhf.deb
Size: 76952
SHA256: 34f110ebcb5a15a24568d2d07e64da2e83658d469a2b79a842e4aa4d57074e7c
SHA1: 79ee5479985259f5b3b5d71ca09ac02b3009be66
MD5sum: 624df9b2370a41e4d555e526e5eea824
Description: NEC structure and gain pattern viewer
 xnecview allows a representation of a NEC (Numerical Electromagnetics
 Code) structure, such as an antenna which is to be modelled to be
 displayed on the screen.  After an NEC run the gain pattern in various
 formats can also be superimposed.  This can be rotated and translated for
 viewing from different angles.  Plots of SWR and gain as a function of
 frequency can also be produced.  In addition to on screen display,
 Postscript or PNG output can also be produced.

Package: xnee
Version: 3.13-1
Installed-Size: 57
Maintainer: Vincent Bernat 
Architecture: all
Depends: cnee | gnee
Size: 33446
SHA256: 37ab90aab95f6a9cd742ea416d0a475c630a730450aa7e843ccafd3f45d27e24
SHA1: d8b4834aa25dda8c60a76336645cf1a8accde700
MD5sum: e89225000c39847f6a025b54b0237e63
Description: X event recorder/replayer - metapackage
 GNU Xnee is a suite of programs that can record, replay and
 distribute user actions under the X11 environment. Think of it as a
 robot that can imitate the job you just did.
 .
 Xnee can be used to
  - automate tests
  - demonstrate programs
  - distribute actions
  - record and replay 'macro'
  - retype a file
 .
 This package contains a metapackage depending on one of the
 implementation of Xnee (command line, graphical user interface or
 GNOME panel).
Homepage: http://www.sandklef.com/xnee/
Tag: interface::x11, role::metapackage, role::program, scope::application,
 use::playing, use::storing, works-with::software:running,
 x11::application
Section: x11
Priority: optional
Filename: pool/main/x/xnee/xnee_3.13-1_all.deb

Package: xnee-doc
Source: xnee
Version: 3.13-1
Installed-Size: 969
Maintainer: Vincent Bernat 
Architecture: all
Replaces: xnee (<< 3)
Depends: dpkg (>= 1.15.4) | install-info
Breaks: xnee (<< 3)
Size: 634662
SHA256: ff9152ba160eed2928943276395cce07129a540d95001e284903693e4046b42e
SHA1: 34ec3e2ffb6dcc2f28e2f7eabca9477554b6554c
MD5sum: 0a081ff29ec99331a19662a93382f6e3
Description: X event recorder/replayer - documentation
 GNU Xnee is a suite of programs that can record, replay and
 distribute user actions under the X11 environment. Think of it as a
 robot that can imitate the job you just did.
 .
 Xnee can be used to
  - automate tests
  - demonstrate programs
  - distribute actions
  - record and replay 'macro'
  - retype a file
 .
 This package contains the complete documentation of Xnee.
Homepage: http://www.sandklef.com/xnee/
Tag: made-of::html, made-of::man, made-of::pdf, made-of::postscript,
 role::documentation
Section: doc
Priority: optional
Filename: pool/main/x/xnee/xnee-doc_3.13-1_all.deb

Package: xnest
Source: xorg-server
Version: 2:1.12.4-6+deb7u8
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 1575
Depends: xserver-common (>= 2:1.12.4-6+deb7u8), libaudit0 (>= 1.7.13), libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libpixman-1-0 (>= 0.13.2), libselinux1 (>= 2.0.82), libx11-6, libxau6, libxdmcp6, libxext6, libxfont1 (>= 1:1.4.2)
Recommends: libgl1-mesa-dri (>= 7.1~rc1)
Provides: xserver
Priority: optional
Section: x11
Filename: pool/main/x/xorg-server/xnest_1.12.4-6+deb7u8_armhf.deb
Size: 760316
SHA256: a9c895fc120208d87b7d8b0b5f5544f738e7379d162c0de0bc9c7989ecc606b2
SHA1: 82592dca86d19cc5fab8f549e166a7eb81248e44
MD5sum: 919c7372edbbd336773c917c665e9071
Description: Nested X server
 Xnest is a nested X server that simply relays all its requests to another
 X server, where it runs as a client.  This means that it appears as another
 window in your current X session.  Xnest relies upon its parent X server
 for font services.
 .
 Use of the Xephyr X server instead of Xnest is recommended.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xserver module.

Package: xnetcardconfig
Version: 0.2.1-1
Installed-Size: 152
Maintainer: Philippe Coval 
Architecture: all
Depends: libgtk2-ruby, pump, ruby, xbase-clients, sudo, gksu
Size: 38244
SHA256: cb6830e7084a6ff718e815546eaf10c1eba201bcf73611aecb54c30190aa7f01
SHA1: 7acbae6a9fd86302d8ec0449c2563ad68ea19416
MD5sum: cefbbf1e9a56f2d8f4d1c784fe4158a6
Description: A simple network card configuration wizard for X
 This package contains a tool that allows you to configure
 your network cards using an easy wizard.
Homepage: https://launchpad.net/xnetcardconfig
Tag: admin::configuring, implemented-in::shell, network::configuration,
 role::program, uitoolkit::gtk, use::configuring
Section: x11
Priority: optional
Filename: pool/main/x/xnetcardconfig/xnetcardconfig_0.2.1-1_all.deb

Package: xneur
Version: 0.15.0-1.1
Architecture: armhf
Maintainer: Alexander GQ Gerasiov 
Installed-Size: 2492
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgstreamer0.10-0 (>= 0.10.0), libnotify4 (>= 0.7.0), libx11-6, libxext6, libxinerama1, libxml2 (>= 2.6.27), libxneur (>= 0.15.0), libxneur (<< 0.15.0-99), libxosd2 (>= 2.2.14)
Recommends: gxneur (>= 0.15.0)
Suggests: myspell-en-us | aspell-en | myspell-dictionary | aspell-dictionary
Homepage: http://xneur.ru
Priority: optional
Section: x11
Filename: pool/main/x/xneur/xneur_0.15.0-1.1_armhf.deb
Size: 972012
SHA256: ec80e6f4ce98c447f939cbaf8a70140a8b5da6d7e2a79ba43ae27498d77d1c37
SHA1: ea50f1e6bcfb9702825ef2e7a56f92b97666a8fe
MD5sum: 6be93558bdc8765d6ee3191684f7135e
Description: in-place conversion of text typed in with a wrong keyboard layout
 When users work in multilingual environment (e.g. Russian+English), they
 sometimes type in text with wrong keyboard layout. In auto mode XNeur can
 automatically detect language of word user typed, switch keyboard layout and
 convert word from one keyboard layout into another. In manual mode user has
 ability to convert last typed word or some selected text with hot-keys.
 The main idea of this utility is similar to Punto Switcher for MS Windows.
 For now XNeur support English, Russian, Ukrainian, Belarusian, French,
 Romanian, German, Kazakh and many other languages.

Package: xneur-dbg
Source: xneur
Version: 0.15.0-1.1
Architecture: armhf
Maintainer: Alexander GQ Gerasiov 
Installed-Size: 474
Depends: xneur (= 0.15.0-1.1)
Homepage: http://xneur.ru
Priority: extra
Section: debug
Filename: pool/main/x/xneur/xneur-dbg_0.15.0-1.1_armhf.deb
Size: 187648
SHA256: b9b3f6b103273bc2aed4346ba05c36be9e2f65d286e45df761910b5a3d7f6f85
SHA1: 35c4b553b903277bd2bbaf4a84700f5e9a722a51
MD5sum: 28e8daf0d696968d6573a64c421bbce7
Description: XNeur debugging symbols
 This package includes the debugging symbols for XNeur.

Package: xonix
Version: 1.4-29
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 121
Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxpm4, libxt6
Priority: optional
Section: games
Filename: pool/main/x/xonix/xonix_1.4-29_armhf.deb
Size: 29846
SHA256: 5c6644c50aa69cb0f328fc1c757f5bc57f5447e89f6dd339ed6395b244f7a18e
SHA1: a95874e88d28b255bd69129eb861333f11d54acd
MD5sum: d080ab57c25edd4d28d0d5c759ab8a88
Description: game to carve up the screen whilst dodging monsters
 A clone of the Xonix game for DOS. Start with a rectangle, and munch
 corners off until you get below the winning area: then proceed to the
 next level. But beware of the roaming aliens!

Package: xoo
Version: 0.8-1.1
Architecture: armhf
Maintainer: Ross Burton 
Installed-Size: 1332
Depends: gconf-service, libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libx11-6, libxtst6, xserver-xephyr
Priority: optional
Section: x11
Filename: pool/main/x/xoo/xoo_0.8-1.1_armhf.deb
Size: 1272374
SHA256: fded16191eb70a37d5254090dc00fdcf0210fbc82a0666ccac295dabfde6b12f
SHA1: 46ce1997e636a9190e3f98efacf11d4f2e5abc0e
MD5sum: d3e5e76c8debc1566cbcbec679e10a59
Description: graphical wrapper around Xnest/Xephyr
 Xoo is a graphical wrapper around Xnest/Xephyr, the nested X server. You can
 make Xnest look like a particular device's display and set up buttons on that
 device.  This is useful for embedded developers who want to simulate a target
 device on their desktop machine.

Package: xorg
Version: 1:7.7+3~deb7u1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 78
Depends: xserver-xorg (>= 1:7.7+3~deb7u1), libgl1-mesa-glx | libgl1, libgl1-mesa-dri, libglu1-mesa, xfonts-base (>= 1:1.0.0-1), xfonts-100dpi (>= 1:1.0.0-1), xfonts-75dpi (>= 1:1.0.0-1), xfonts-scalable (>= 1:1.0.0-1), x11-apps, x11-session-utils, x11-utils, x11-xfs-utils, x11-xkb-utils, x11-xserver-utils, xauth, xinit, xfonts-utils, xkb-data, xorg-docs-core, xterm | x-terminal-emulator
Suggests: xorg-docs
Provides: x-window-system, x-window-system-core
Priority: optional
Section: x11
Filename: pool/main/x/xorg/xorg_7.7+3~deb7u1_armhf.deb
Size: 36484
SHA256: 03ed784f9bf26e40a2a45e29b09557a62257152224126c6d09597b938a240f33
SHA1: 40729cb88f89f0bfb5ff4e4f7bb040914abbde9b
MD5sum: a5378d628113d334d1ff42e51123121f
Description: X.Org X Window System
 This metapackage provides the components for a standalone
 workstation running the X Window System.  It provides the X libraries, an X
 server, a set of fonts, and a group of basic X clients and utilities.
 .
 Higher level metapackages, such as those for desktop environments, can
 depend on this package and simplify their dependencies.
 .
 It should be noted that a package providing x-window-manager should also
 be installed to ensure a comfortable X experience.

Package: xorg-dev
Source: xorg
Version: 1:7.7+3~deb7u1
Installed-Size: 62
Maintainer: Debian X Strike Force 
Architecture: all
Depends: libdmx-dev, libfontenc-dev, libfs-dev, libice-dev, libsm-dev, libx11-dev, libxau-dev, libxaw7-dev, libxcomposite-dev, libxcursor-dev, libxdamage-dev, libxdmcp-dev, libxext-dev, libxfixes-dev, libxfont-dev, libxft-dev, libxi-dev, libxinerama-dev, libxkbfile-dev, libxmu-dev, libxmuu-dev, libxpm-dev, libxrandr-dev, libxrender-dev, libxres-dev, libxss-dev, libxt-dev, libxtst-dev, libxv-dev, libxvmc-dev, libxxf86dga-dev, libxxf86vm-dev, x11proto-bigreqs-dev, x11proto-composite-dev, x11proto-core-dev, x11proto-damage-dev, x11proto-dmx-dev, x11proto-fixes-dev, x11proto-fonts-dev, x11proto-gl-dev, x11proto-input-dev, x11proto-kb-dev, x11proto-randr-dev, x11proto-record-dev, x11proto-render-dev, x11proto-resource-dev, x11proto-scrnsaver-dev, x11proto-video-dev, x11proto-xcmisc-dev, x11proto-xext-dev, x11proto-xf86bigfont-dev, x11proto-xf86dga-dev, x11proto-xf86dri-dev, x11proto-xf86vidmode-dev, x11proto-xinerama-dev, xserver-xorg-dev, xtrans-dev
Size: 36418
SHA256: 776fccce6acef6ce6cab298d0885ada2777ea907c3bd002b728908aeea3d3911
SHA1: 7380fcc3963fe6302371d3b4d37bfb2b093e5e0f
MD5sum: 0f64788a228d0da360afe62614600bdc
Description: X.Org X Window System development libraries
 This metapackage provides the development libraries for the X.Org X Window
 System.
 .
 X Window System design documentation, manual pages, library reference
 works, static versions of the shared libraries, and C header files are
 supplied by the packages depended on by this metapackage.
 .
 Note that this is a convenience package for users and is not a package for
 Debian developers to have their package depend on.
Tag: devel::library, role::devel-lib, role::metapackage
Section: x11
Priority: optional
Filename: pool/main/x/xorg/xorg-dev_7.7+3~deb7u1_all.deb

Package: xorg-docs
Version: 1:1.6-1
Installed-Size: 2056
Maintainer: Debian X Strike Force 
Architecture: all
Replaces: xprt-xprintorg (<= 1:0.1.0.alpha1-10), xspecs (<= 1:1.2+git20061105-2)
Conflicts: xprt-xprintorg (<= 1:0.1.0.alpha1-10)
Size: 1195858
SHA256: 46534b8e4205ff3089bf29544ab89fce9be0734a2f5e7aef4d32e150cd4f2699
SHA1: 15f3463118c5266422a7906d6b9fc337787d4283
MD5sum: 0817d0cb05b0d7983a8983e131959985
Description: Miscellaneous documentation for the X.org X Window System
 This package contains various documents on the X.org X Window System
 including the release notes for the current version and instructions on
 the font and xkb subsystems. The documents are in html, pdf, ps, and plain
 text format.
Tag: made-of::html, made-of::pdf, made-of::postscript, role::documentation
Section: doc
Priority: optional
Filename: pool/main/x/xorg-docs/xorg-docs_1.6-1_all.deb

Package: xorg-docs-core
Source: xorg-docs
Version: 1:1.6-1
Installed-Size: 140
Maintainer: Debian X Strike Force 
Architecture: all
Replaces: xorg-docs (<< 1:1.4-5)
Suggests: xorg-docs
Size: 76280
SHA256: deef9b04f33843c5bb1fe46845da33953372f1b4fc2c429929b8ea3ea7f8b633
SHA1: ea0046bd4c6e0d3ea7369cff26450f7f915fa309
MD5sum: 2ef39b43be8ed02a34a81c7335eb4715
Description: Core documentation for the X.org X Window System
 This package contains core documentation for the X.org X Window
 System. This currently includes only a set of manpages which are
 standard for the system.
Tag: made-of::man, role::documentation
Section: doc
Priority: optional
Filename: pool/main/x/xorg-docs/xorg-docs-core_1.6-1_all.deb

Package: xorg-sgml-doctools
Version: 1:1.10-1
Installed-Size: 109
Maintainer: Debian X Strike Force 
Architecture: all
Size: 24070
SHA256: 9850f0d2a0d8654968608f0f8bf12c15f93511ab2a6dd05ac51b7a4d60027b06
SHA1: 5e7ae378eb5b27fe0adce2a613338f565b065014
MD5sum: 2c102317f35779aafbc2aa3734a8e9ce
Description: Common tools for building X.Org SGML documentation
 This package contains tools for building the X.Org SGML documentation.
 Currently it only includes various entity definitions for the current
 release.
Multi-Arch: foreign
Tag: devel::docsystem
Section: x11
Priority: optional
Filename: pool/main/x/xorg-sgml-doctools/xorg-sgml-doctools_1.10-1_all.deb

Package: xorriso
Source: libisoburn
Version: 1.2.2-2
Architecture: armhf
Maintainer: Debian Libburnia packagers 
Installed-Size: 160
Depends: libacl1 (>= 2.2.51-8), libburn4 (>= 1.2.2), libc6 (>= 2.13-28), libisoburn1 (= 1.2.2-2), libisofs6 (>= 1.2.2), libjte1, libreadline6 (>= 6.0), zlib1g (>= 1:1.1.4)
Suggests: jigit (>= 1.17-2), cdck
Homepage: http://libburnia-project.org
Priority: optional
Section: otherosfs
Filename: pool/main/libi/libisoburn/xorriso_1.2.2-2_armhf.deb
Size: 120600
SHA256: 0ebe6e9e7983372b2ac4aa34a316a5ffc050adc7f5bf1aa98c3c95446bc74680
SHA1: 4e3b27863a7147ca9b7af6ea0c621ee353ea86b2
MD5sum: e0e1e98d381e1e56c6ae5533bcc2183e
Description: command line ISO-9660 and Rock Ridge manipulation tool
 xorriso is a command line and dialog application, which creates, loads,
 manipulates, and writes ISO-9660 file system images with Rock Ridge
 extensions.
 .
 It maps file objects from POSIX compliant file systems into Rock Ridge
 enhanced ISO-9660 file systems and features session-wise manipulation
 of such file systems. It can load the management information of existing
 ISO images and write the resulting session to optical medium or as
 file system objects.
 .
 Supported optical media types:
  - CD-R, CD-RW
  - DVD-R, DVD-R DL, DVD-RW, DVD+R, DVD+R DL, DVD+RW, DVD-RAM
  - BD-R, BD-RE
 .
 Some interesting features:
  - Emulation of the mkisofs and cdrecord programs.
  - Data backup and restore capabilities - compression, ACLs, and filters.
  - Isohybrid MBR with partition offset - features booting ISOLINUX from
    USB sticks, or from other devices that appear to PC-BIOS as hard disks.
    The images carry a conventional partition table for a USB stick;
    the first partition reports the size of the ISO image, but starts at a
    non-zero address. It is nevertheless still mountable.
  - Jigdo Template Export - jigdo representation of the resulting ISO-9660
    image, generated on the fly.
 .
 Test suite:
  xorriso source code comes with a release engineering test-suite called
  `releng', which aims to cover most of the functionality of the xorriso
  and the underlying libraries of libburn, libisofs, and libisoburn.

Package: xoscope
Version: 2.0-3.2
Architecture: armhf
Maintainer: Bhavani Shankar 
Installed-Size: 261
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libcomedi0 (>= 0.8.1), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.18.0), libpango1.0-0 (>= 1.14.0)
Homepage: http://xoscope.sourceforge.net/
Priority: optional
Section: x11
Filename: pool/main/x/xoscope/xoscope_2.0-3.2_armhf.deb
Size: 123720
SHA256: 9c03daa566d99dc4a4d8c9b539fb6761d61f62a7b1a24063bc9cde48988deb8b
SHA1: 126d4e45c3083cda853c5618f3d2e44e347c8c81
MD5sum: de73eeb3aea259c5bc821ec6b8e8f251
Description: digital oscilloscope
 Xoscope is a oscilloscope using input from a
 sound card or EsounD and/or a ProbeScope/osziFOX and will soon support
 Bitscope hardware. Includes 8 signal displays, variable time scale,
 math, memory, measurements, and file save/load.

Package: xosd-bin
Source: xosd
Version: 2.2.14-2
Architecture: armhf
Maintainer: Philipp Matthias Hahn 
Installed-Size: 61
Depends: libc6 (>= 2.4), libx11-6, libxosd2 (>= 2.2.14)
Homepage: http://libxosd.sourceforge.net/
Priority: optional
Section: x11
Filename: pool/main/x/xosd/xosd-bin_2.2.14-2_armhf.deb
Size: 20566
SHA256: 8bf27fb198b54adf2b43891235770c9a9d5c3be9c842b4468a85ef435d59bdcf
SHA1: 74924c3835d9af9fd0a34446eddec049362c1a63
MD5sum: 48f4cf5d37c7c35e30fc633b913698e4
Description: X On-Screen Display library - binary files
 This package contains binary files shipped with the X OSD library:
 osd_cat - a 'cat' like program which will display its output in an
 OSD-like window

Package: xosview
Version: 1.9.3-3
Architecture: armhf
Maintainer: Kartik Mistry 
Installed-Size: 277
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6
Recommends: xfonts-base
Homepage: https://github.com/hills/xosview
Priority: optional
Section: utils
Filename: pool/main/x/xosview/xosview_1.9.3-3_armhf.deb
Size: 112522
SHA256: 69cb74e6b0740ef0eed80aaf3b54dc1f813f2a7ae02a8ffbd1fc7efb8ccf1dfb
SHA1: e7193123fed9d58568d6305ff7e4ac7f04b874ba
MD5sum: eb14b408274b07661f920b9c98d705ee
Description: X based system monitor
 Xosview is a monitor which displays the status of several system based
 parameters. These include CPU usage, load average, memory usage, swap space
 usage, network usage, Wireless LAN meter, interrupts and serial port status.
 Appearance is fully configurable via command line or X resources.

Package: xotcl
Version: 1.6.7-2
Architecture: armhf
Maintainer: Tcl/Tk Debian Packagers 
Installed-Size: 779
Depends: tcl (>= 8.5.0-1) | tclsh, libc6 (>= 2.13-28), libexpat1 (>= 2.0.1)
Homepage: http://www.xotcl.org/
Priority: optional
Section: libs
Filename: pool/main/x/xotcl/xotcl_1.6.7-2_armhf.deb
Size: 264646
SHA256: edaecac44371392467d5d4ecf3ec225557ea16ca396eb38abe5c35395f211279
SHA1: ab0171e60fcdaef4a7b8b3aa41be6ff1633dfab5
MD5sum: 15fb2ab015c8f91c2dd37945fd687fb0
Description: Extended Object Tcl (XOTcl): Object orientation for Tcl - shared library
 Extended Object Tcl (for short: XOTcl, pronounced exotickle) is an
 object-oriented scripting language based on Tcl. It was originally
 designed for providing language support for design patterns and
 provides novel constructs such as filters or transitive mixin
 classes. The language is designed for empowering rather than
 constraining system developers. The basic object model is highly
 influenced by CLOS.

Package: xotcl-dev
Source: xotcl
Version: 1.6.7-2
Architecture: armhf
Maintainer: Tcl/Tk Debian Packagers 
Installed-Size: 146
Depends: xotcl (= 1.6.7-2)
Homepage: http://www.xotcl.org/
Priority: optional
Section: libdevel
Filename: pool/main/x/xotcl/xotcl-dev_1.6.7-2_armhf.deb
Size: 62882
SHA256: 59e10cc03ac2428c942765f173eb79fd1d0764c7e8e43029ffd840250def35d1
SHA1: 7ce511feabd76eef24d4da5e2a3ecfa2d40652ec
MD5sum: e554f120e9793d6d76e1dd44d4d862fb
Description: Extended Object Tcl (XOTcl): Object orientation for Tcl - development files
 Header files and static libraries for libxotcl. You will need this
 package in place if you plan to develop using the XOTcl C interface
 and/or if you want to create custom builts of XOTcl C extensions.

Package: xotcl-doc
Source: xotcl
Version: 1.6.7-2
Installed-Size: 1141
Maintainer: Tcl/Tk Debian Packagers 
Architecture: all
Size: 706884
SHA256: 3f89d7429844a6848e8e51a1a8771ec49d860cb78e7d941c0634e67860ad0fb4
SHA1: d0ff6bbfa469aa93b7acf9dd8df9fb35e578d9ee
MD5sum: aa5d57642f2e7446d1cd14e6055222ef
Description: Extended Object Tcl (XOTcl): Object orientation for Tcl - manual
 This package brings the official XOTcl language reference and a
 comprehensive tutorial to you. They are registered with the doc-base
 family of tools. Moreover, you will find code examples on how to use
 various XOTcl features and packages.
Homepage: http://www.xotcl.org/
Tag: devel::doc, devel::examples, devel::lang:tcl, made-of::html,
 made-of::pdf, role::documentation
Section: doc
Priority: optional
Filename: pool/main/x/xotcl/xotcl-doc_1.6.7-2_all.deb

Package: xotcl-shells
Source: xotcl
Version: 1.6.7-2
Architecture: armhf
Maintainer: Tcl/Tk Debian Packagers 
Installed-Size: 431
Depends: xotcl (= 1.6.7-2), libc6 (>= 2.13-28), tcl8.5 (>= 8.5.0), tk8.5 (>= 8.5.0)
Homepage: http://www.xotcl.org/
Priority: optional
Section: utils
Filename: pool/main/x/xotcl/xotcl-shells_1.6.7-2_armhf.deb
Size: 212888
SHA256: c71ffaab52b2697084955e07a4fec99aae1be081636f29b9155656ea645e2c13
SHA1: 2313a3175962ef6e22d638478cc078ed74ff13f6
MD5sum: 8e3c8a3910d85abd5ace615ce906896d
Description: Extended Object Tcl (XOTcl): Object orientation for Tcl - shells
 This package provides you with two Tcl/Tk shell wrappers, xotclsh and
 xowish. These shells offer ready-made environments for
 XOTcl-empowered scripting.

Package: xournal
Source: xournal (0.4.6~pre20110721-1)
Version: 0.4.6~pre20110721-1+b1
Architecture: armhf
Maintainer: Carlo Segre 
Installed-Size: 845
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgnomecanvas2-0 (>= 2.11.1), libgtk2.0-0 (>= 2.10.0), libpango1.0-0 (>= 1.14.0), libpoppler-glib8 (>= 0.18), libx11-6, zlib1g (>= 1:1.2.0), ghostscript-x
Homepage: http://xournal.sourceforge.net/
Priority: optional
Section: x11
Filename: pool/main/x/xournal/xournal_0.4.6~pre20110721-1+b1_armhf.deb
Size: 302984
SHA256: 29b81754af49b1382447d237846b0b595fc0a18152f198f8c39d327e82929b46
SHA1: 6798dcf75779d0e1b1ae40781560555f26a27843
MD5sum: 4c87568917ae85bd0780f8fd5b8cabb2
Description: GTK+ Application for note taking
 Xournal is a GTK+ application for notetaking, sketching and
 keeping a journal using a stylus. It can also be used to
 add annotations to PDF files.

Package: xpa-tools
Source: xpa
Version: 2.1.14-2
Architecture: armhf
Maintainer: Ole Streicher 
Installed-Size: 631
Depends: libxpa1 (= 2.1.14-2), libc6 (>= 2.13-28)
Homepage: http://hea-www.harvard.edu/RD/xpa/
Priority: extra
Section: science
Filename: pool/main/x/xpa/xpa-tools_2.1.14-2_armhf.deb
Size: 327304
SHA256: f886338e188e2f0c2c0779429746d1e0dd6cc7b8c2f37bef3ff3082fbc050693
SHA1: 92023eaae24ffe49f45735aaf9b41b06296787bf
MD5sum: 4a4967cab289a57e4488e8482bdbad94
Description: Tools for seamless communication between Unix programs
 The XPA messaging system provides seamless communication between many kinds
 of Unix programs, including X programs and Tcl/Tk programs. It also provides
 an easy way for users to communicate with these XPA-enabled programs by
 executing XPA client commands in the shell or by utilizing such commands in
 scripts. Because XPA works both at the programming level and the shell level,
 it is a powerful tool for unifying any analysis environment: users and
 programmers have great flexibility in choosing the best level or levels at
 which to access XPA services, and client access can be extended or modified
 easily at any time.
 .
 This package contains the additional tools.

Package: xpad
Version: 4.1-1
Architecture: armhf
Maintainer: Bart Martens 
Installed-Size: 541
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.0), libice6 (>= 1:1.0.0), libpango1.0-0 (>= 1.14.0), libsm6
Homepage: http://mterry.name/xpad/
Priority: optional
Section: x11
Filename: pool/main/x/xpad/xpad_4.1-1_armhf.deb
Size: 103286
SHA256: 707d7270436fa224cedeae958f2553aaffc14e7a764fc10dd0eb95e56938e10b
SHA1: 800326cea18baf57f2bed603af4b1c4799428e84
MD5sum: 1737d62c4d0ab86b0f4fe14367a08209
Description: sticky note application for X
 This program consists of independent pad windows, each is basically a text box
 in which notes can be written. Xpad attempts to emulate the look of postit
 notes, although the look of the notes can be customized any way you like.

Package: xpaint
Version: 2.9.1.4-3
Architecture: armhf
Maintainer: Josue Abarca 
Installed-Size: 1463
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.8.0), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libtiff4 (>= 3.9.5-2), libx11-6, libxaw3dxft6 (>= 2.9.1.4), libxext6, libxft2 (>> 2.1.1), libxmu6, libxpm4, libxt6, zlib1g (>= 1:1.1.4)
Suggests: gv, netpbm, lpr, x-terminal-emulator, editor, imagemagickb, ocrad
Homepage: https://sourceforge.net/projects/sf-xpaint/
Priority: optional
Section: graphics
Filename: pool/main/x/xpaint/xpaint_2.9.1.4-3_armhf.deb
Size: 541018
SHA256: 12cdd1e4c58ba301796b4edd8fa4bf761b08fef95be44dc879012c15e015f4c5
SHA1: fb9312a1f3a1f12adc1d9e80bed8b6c721bedf04
MD5sum: dd27fa973a303a754d689f3cb7bb67ec
Description: simple paint program for X
 XPaint is suitable for producing simple graphics. It does offer some
 advanced features such as image processing functions and gradient
 fill.
 .
 In the C Script Editor of XPaint, the External editor in the File menu
 will invoke x-terminal-emulator -e sensible-editor.
 .
 The gv package will be needed for PDF/PS reading, netpbm will be needed
 for external conversion, lpr for printing.

Package: xpaint-dev
Source: xpaint
Version: 2.9.1.4-3
Architecture: armhf
Maintainer: Josue Abarca 
Installed-Size: 713
Depends: libxaw3dxft6 (= 2.9.1.4-3), libxmu-dev, libxt-dev, libsm-dev, libice-dev, libxext-dev, libx11-dev, libxpm-dev, libxft-dev, libc-dev, xutils-dev, x11proto-core-dev, x11proto-xext-dev
Homepage: https://sourceforge.net/projects/sf-xpaint/
Priority: optional
Section: libdevel
Filename: pool/main/x/xpaint/xpaint-dev_2.9.1.4-3_armhf.deb
Size: 224690
SHA256: add21f5fce6c1a729ffa9935ddb6fe7b1aa36d3a260abf83757c384f7510ec24
SHA1: c4b76c5d597b0c62caa6235d668e697ee9a27eb7
MD5sum: 0538977b939b37501866d1d209e33916
Description: simple paint program for X (development files)
 XPaint is a program suitable for producing simple graphics.  This
 package contains the headers and other development files not included
 in the main xpaint package. Install this if you wish to compile
 programs that use the libxaw3dxft library.

Package: xpat2
Version: 1.07-18
Architecture: armhf
Maintainer: Arne Wichmann 
Installed-Size: 978
Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxmu6, libxpm4, libxt6
Priority: optional
Section: games
Filename: pool/main/x/xpat2/xpat2_1.07-18_armhf.deb
Size: 319554
SHA256: c370830d562c9089646978d59fcc2e9b197f8c836d094c37c507717a1362ba4c
SHA1: 692df9ac32470b292fd848422f00aa8e78a2bf30
MD5sum: 755ed765b12c0b854b73f2b504b9484e
Description: Generic patience game for X11
 xpat2 is a generic patience game which can be used with different rule
 sets. It does understand the rules of the well-known Spider game, as
 well as Klondike and others.
 .
 This program may have difficulties to start if you have an 8-bit or
 monochrome display.

Package: xpdf
Version: 3.03-10
Architecture: armhf
Maintainer: Michael Gilbert 
Installed-Size: 395
Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpoppler19 (>= 0.18.4), libstdc++6 (>= 4.3.0), libx11-6, libxt6
Recommends: poppler-utils, poppler-data, gsfonts-x11, cups-bsd
Provides: pdf-viewer
Homepage: http://www.foolabs.com/xpdf/
Priority: optional
Section: text
Filename: pool/main/x/xpdf/xpdf_3.03-10_armhf.deb
Size: 180918
SHA256: 4e5163a0cdf3581fbb419c7f213e5d2ae90b356ac877bc89645fd6394f83da87
SHA1: da2496ca74688d4b42f28219e4ae9630a611aef4
MD5sum: 0bfb846dea7f0eaa63a42b61db2ad157
Description: Portable Document Format (PDF) reader
 xpdf is a light-weight open source viewer for Portable Document Format (PDF)
 files (also called 'Adobe Acrobat' or 'Acrobat' files).  This is just the
 xpdf viewer client; various command-line pdf tools are now provided via the
 poppler-utils package.

Package: xpenguins
Version: 2.2-8
Architecture: armhf
Maintainer: Alexander Reichle-Schmehl 
Installed-Size: 1112
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4
Homepage: http://xpenguins.seul.org/
Priority: optional
Section: games
Filename: pool/main/x/xpenguins/xpenguins_2.2-8_armhf.deb
Size: 225550
SHA256: 2a5f8ecc991727c826aed11f1f7fb6ff04a81fac5f2976552aec73cead842a77
SHA1: 69ca27f2a00a1ca741541ac98069a9b3e4cc821f
MD5sum: 7c7e3022d8345bb4a989a86c51eb6809
Description: little penguins walk on your windows
 Ever wanted cute little penguins walking along the tops of your
 windows? Ever wanted to send an army of cute little penguins to invade
 the screen of someone else on your network? Probably not, but why not
 try this program out anyway, it's cool!

Package: xphoon
Version: 20000613+0-1
Architecture: armhf
Maintainer: Ricardo Mones 
Installed-Size: 134
Depends: libc6 (>= 2.4), libx11-6
Homepage: http://xphoon.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/x/xphoon/xphoon_20000613+0-1_armhf.deb
Size: 62500
SHA256: 3c46d2d2393624eed1753e0b3bb3ff79fd50dc2435064ef40d4cafcb3f473d37
SHA1: e3b7e929a181dfe049edbb55da80356ec36ef731
MD5sum: cdecc15c9a536a1329322ffe5108db5a
Description: sets the root window to a picture of the moon
 xphoon sets the X root window to a picture of the moon in its current
 phase, including the partial lighting of the dark side by reflected
 earthlight. Picture is a monochrome dithered bitmap which is calculated
 via mathematical formulae. It keeps running on background updating the
 picture accordingly as time goes by.

Package: xpilot-extra
Version: 4.7.2
Installed-Size: 8096
Maintainer: Ben Armstrong 
Architecture: all
Depends: perl5
Suggests: xpilot-ng
Conflicts: xpilot (<< 4.1.0-4.U.4alpha2.1)
Size: 480650
SHA256: 6415ff5e3faa767a70805e16388f9f41d6958ad15fc5bd5fc5e9ecd2759c6ca7
SHA1: 7697562c0825ab210bc7d698ff2f75d59865747a
MD5sum: 31163d6440f6c005cfd922fd404f3484
Description: Maps, utilities and configs for XPilot
 XPilot is a multi-player tactical manoeuvring game for X.  Each
 player controls their own fighter equipped with a variety of weapons
 and power-ups.  Players compete as teams or as individuals;  the
 object of the game is to score points by blasting the opposition,
 stealing their treasure or by racing round a circuit.
 WARNING: This game is very addictive.
 .
 This package contains all the maps available from ftp.xpilot.org
 (plus a few others), a useful command line utility for interrogating
 the metaserver and a few configuration files submitted by players to
 help get you started.
Tag: game::arcade, role::app-data, role::dummy, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/x/xpilot-extra/xpilot-extra_4.7.2_all.deb

Package: xpilot-ng
Version: 1:4.7.3-1.4
Installed-Size: 10
Maintainer: Ben Armstrong 
Architecture: all
Depends: xpilot-ng-client-x11 (>= 1:4.7.3-1.4), xpilot-ng-client-sdl (>= 1:4.7.3-1.4), xpilot-ng-server (>= 1:4.7.3-1.4), xpilot-ng-utils (>= 1:4.7.3-1.4)
Size: 10822
SHA256: 97865a84fc8b97338834c9d61120155764a2cc29fd06307eaf15fb2b25802544
SHA1: 287f59fa9c310e819c79ddbf90d43f30fa0c649c
MD5sum: 745cf5406457413a86d9602713f0d2ec
Description: Multi-player tactical game for X (NG version)
 XPilot is a multi-player tactical manoeuvring game for X.  Each
 player controls their own fighter equipped with a variety of weapons
 and power-ups.  Players compete as teams or as individuals;  the
 object of the game is to score points by blasting the opposition,
 stealing their treasure or by racing round a circuit.
 WARNING: This game is very addictive.
 .
 This package is a complete XPilot installation, including the
 XPilot client, server and utilities.
Homepage: http://xpilot.sf.net
Tag: role::metapackage, role::program, use::gameplaying
Section: games
Priority: extra
Filename: pool/main/x/xpilot-ng/xpilot-ng_4.7.3-1.4_all.deb

Package: xpilot-ng-client-sdl
Source: xpilot-ng
Version: 1:4.7.3-1.4
Architecture: armhf
Maintainer: Ben Armstrong 
Installed-Size: 415
Depends: libalut0 (>= 1.0.1), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libopenal1, libsdl-image1.2 (>= 1.2.10), libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libx11-6, zlib1g (>= 1:1.1.4), xpilot-ng-common (= 1:4.7.3-1.4)
Homepage: http://xpilot.sf.net
Priority: extra
Section: games
Filename: pool/main/x/xpilot-ng/xpilot-ng-client-sdl_4.7.3-1.4_armhf.deb
Size: 186180
SHA256: dd37e29b31c8aaca0d38bc56094afa7d6f61a7ee41dd1b711d6f7e582dd3662f
SHA1: 8d465441e645a10bece4cc25c5cdc1c67a248d80
MD5sum: c75d060913d142ca4dcc1730624969f1
Description: Client for XPilot NG
 XPilot is a multi-player tactical manoeuvring game for X.  Each
 player controls their own fighter equipped with a variety of weapons
 and power-ups.  Players compete as teams or as individuals;  the
 object of the game is to score points by blasting the opposition,
 stealing their treasure or by racing round a circuit.
 WARNING: This game is very addictive.
 .
 This package contains a modern client with fancier graphics.  It is
 suitable for use on modern systems with sufficient resources to run SDL
 and GL.

Package: xpilot-ng-client-x11
Source: xpilot-ng
Version: 1:4.7.3-1.4
Architecture: armhf
Maintainer: Ben Armstrong 
Installed-Size: 442
Depends: libalut0 (>= 1.0.1), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libopenal1, libx11-6, zlib1g (>= 1:1.1.4), xpilot-ng-common (= 1:4.7.3-1.4)
Homepage: http://xpilot.sf.net
Priority: extra
Section: games
Filename: pool/main/x/xpilot-ng/xpilot-ng-client-x11_4.7.3-1.4_armhf.deb
Size: 198672
SHA256: 31edcba2bb26c3a7bab1bb6e295fc5668ab5802faa9fdcbbfac7ad2981a1f322
SHA1: c6681f89ac2d8b266dfd5d35f00f08610a92a2c9
MD5sum: e7bdaed4d8e30d5da35c24dcb30e8d75
Description: Client for XPilot NG
 XPilot is a multi-player tactical manoeuvring game for X.  Each
 player controls their own fighter equipped with a variety of weapons
 and power-ups.  Players compete as teams or as individuals;  the
 object of the game is to score points by blasting the opposition,
 stealing their treasure or by racing round a circuit.
 WARNING: This game is very addictive.
 .
 This package contains the classic client needed to play the game.
 Because it is X11-based, it is has a very basic, clean look-and-feel,
 and is suitable for use on older, less powerful systems.

Package: xpilot-ng-common
Source: xpilot-ng
Version: 1:4.7.3-1.4
Installed-Size: 2306
Maintainer: Ben Armstrong 
Architecture: all
Depends: python, python-wxgtk2.8, python-irclib, ttf-freefont, ttf-bitstream-vera
Suggests: xpilot-ng-server, xpilot-ng-utils, xpilot-ng-client-x11, xpilot-ng-client-sdl
Size: 943272
SHA256: 562372f1156e0762ed78aaa70ba7f8871fa5f4945f1d65436507e5910dc3bf62
SHA1: f3ac3599341526a6295c1df3c62679630383ba2d
MD5sum: 3c9aace98b20186807abfd8bb142f6d3
Description: Common files for XPilot NG
 XPilot is a multi-player tactical manoeuvring game for X.  Each
 player controls their own fighter equipped with a variety of weapons
 and power-ups.  Players compete as teams or as individuals;  the
 object of the game is to score points by blasting the opposition,
 stealing their treasure or by racing round a circuit.
 WARNING: This game is very addictive.
 .
 This package contains the common files for the server, clients and
 utilities including both data and documentation.
Homepage: http://xpilot.sf.net
Tag: made-of::font, role::app-data, role::documentation
Section: games
Priority: extra
Filename: pool/main/x/xpilot-ng/xpilot-ng-common_4.7.3-1.4_all.deb

Package: xpilot-ng-server
Source: xpilot-ng
Version: 1:4.7.3-1.4
Architecture: armhf
Maintainer: Ben Armstrong 
Installed-Size: 1673
Depends: adduser (>= 3.34), libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), zlib1g (>= 1:1.1.4)
Conflicts: xpilot-ng-common (<< 1:4.7.3~cvs20070805-1)
Replaces: xpilot-ng-common (<< 1:4.7.3~cvs20070805-1)
Homepage: http://xpilot.sf.net
Priority: extra
Section: games
Filename: pool/main/x/xpilot-ng/xpilot-ng-server_4.7.3-1.4_armhf.deb
Size: 881686
SHA256: 9f85207cc30c3289a7d13210178c5ad81f897e04133517f5b09615a244542a61
SHA1: ef149454dfe1bbf8674ff85c5d30f55b41b4074a
MD5sum: 4636646b0796aee7855870550162e0d5
Description: Server for hosting XPilot NG games
 XPilot is a multi-player tactical manoeuvring game for X.  Each
 player controls their own fighter equipped with a variety of weapons
 and power-ups.  Players compete as teams or as individuals;  the
 object of the game is to score points by blasting the opposition,
 stealing their treasure or by racing round a circuit.
 WARNING: This game is very addictive.
 .
 This package contains the server daemon you need in order to host
 your own game of XPilot.

Package: xpilot-ng-utils
Source: xpilot-ng
Version: 1:4.7.3-1.4
Architecture: armhf
Maintainer: Ben Armstrong 
Installed-Size: 185
Depends: libc6 (>= 2.13-28), libexpat1 (>= 2.0.1), libx11-6, zlib1g (>= 1:1.1.4), xpilot-ng-common (= 1:4.7.3-1.4)
Homepage: http://xpilot.sf.net
Priority: extra
Section: games
Filename: pool/main/x/xpilot-ng/xpilot-ng-utils_4.7.3-1.4_armhf.deb
Size: 80536
SHA256: b3e48ce8bfe1e30c606acbdf06dcc78378781f79442e16df5f33840321025a83
SHA1: 16a74663249fdeea53f97ef9f0c1e364f373f3c7
MD5sum: 82d3137d10c2ffcbb166946595dbaaba
Description: Utilities for XPilot NG
 XPilot is a multi-player tactical manoeuvring game for X.  Each
 player controls their own fighter equipped with a variety of weapons
 and power-ups.  Players compete as teams or as individuals;  the
 object of the game is to score points by blasting the opposition,
 stealing their treasure or by racing round a circuit.
 WARNING: This game is very addictive.
 .
 This package contains utilities for making XPilot maps and playing
 back recordings of XPilot games.

Package: xplanet
Version: 1.2.1-4.1
Architecture: armhf
Maintainer: Ruben Molina 
Installed-Size: 1681
Depends: libc6 (>= 2.13-28), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgif4 (>= 4.1.4), libglib2.0-0 (>= 2.12.0), libjpeg8 (>= 8c), libnetpbm10, libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.4.0), libtiff4 (>= 3.9.5-2), libx11-6, libxext6, libxss1, zlib1g (>= 1:1.1.4), ttf-freefont
Recommends: xplanet-images
Homepage: http://xplanet.sourceforge.net/
Priority: optional
Section: graphics
Filename: pool/main/x/xplanet/xplanet_1.2.1-4.1_armhf.deb
Size: 581998
SHA256: 04dad99038c856b4f2fcd5b147403d1554b4d4ac28813a151cbfa26c53aa56f6
SHA1: c62aa021024aefb478c1e7fe1cd87f20f675b43a
MD5sum: 8577f8a6d8bb8441470f277bec6e4546
Description: planetary body renderer
 Xplanet renders an image of a planet into an X window or a file. All
 of the major planets and most satellites can be drawn, and different
 map projections are also supported, including azimuthal, hemisphere,
 Lambert, Mercator, Mollweide, Peters, polyconic, and rectangular.
 .
 In order to run xplanet, you'll need at least one map file. Some maps
 are included in the xplanet-images package.

Package: xplanet-images
Source: xplanet
Version: 1.2.1-4.1
Installed-Size: 501
Maintainer: Ruben Molina 
Architecture: all
Enhances: ircmarkers, xplanet
Breaks: xplanet (<< 0.71)
Size: 437610
SHA256: 43ea715add787664196e97beca864c217a56c276afb33642b96be0b1fbf899c9
SHA1: c4476fbfdb57bc7714400342212fe72afeb68a48
MD5sum: 28549e043e3e8f013d700c005e7cbda1
Description: imagery for xplanet
 Xplanet renders an image of a planet into an X window or a file.
 .
 In order to run xplanet, you'll need at least one map file. This package
 includes some map files that can be used with xplanet.
Homepage: http://xplanet.sourceforge.net/
Tag: field::astronomy, game::toys, interface::x11, role::app-data,
 use::viewing, x11::screensaver
Section: graphics
Priority: optional
Filename: pool/main/x/xplanet/xplanet-images_1.2.1-4.1_all.deb

Package: xplot
Version: 1.19-9
Architecture: armhf
Maintainer: Peter S Galbraith 
Installed-Size: 82
Depends: libc6 (>= 2.13-28), libforms2, libx11-6
Priority: optional
Section: science
Filename: pool/main/x/xplot/xplot_1.19-9_armhf.deb
Size: 24510
SHA256: 67186aecafe50ab297f23828279ec5374f9bd4599ec8e4b8295128b7ecf08b74
SHA1: b055698d36e7618ef2c440c252df526a3ea8bc50
MD5sum: 9685872e58e83f6cdec4d7e417dcdb97
Description: simple on-screen x-y column data plotter
 XPlot is a small program to plot one- or two-dimensional datasets, which are
 present either in a file or are generated `on-the-fly' and piped to XPlot.
 XPlot lets you display one or more datasets and lets you zoom to different
 sections of the sets. Also. `blowups' of the currently shown portion of the
 data can be made.
 .
 XPlot is meant for on-screen data exploration.  It does not have a print
 button, nor is it meant for final output or publication-quality figures.

Package: xplot-xplot.org
Version: 0.90.7.1-2
Architecture: armhf
Maintainer: Noah Meyerhans 
Installed-Size: 308
Depends: libc6 (>= 2.4), libx11-6
Homepage: http://www.xplot.org/
Priority: optional
Section: science
Filename: pool/main/x/xplot-xplot.org/xplot-xplot.org_0.90.7.1-2_armhf.deb
Size: 173544
SHA256: 8b6b8b0368e9d75595fca34ab0c8dc3471b243300844b014bb5ab38bd263d8a9
SHA1: 29f3d5310d7b47c58f788e2896b025269c03ca2c
MD5sum: d226703253d82ff08d49c6d3f93b3eff
Description: fast tool to graph and visualize lots of data
 xplot is a fast visualization tool for examining multiple data sets in
 parallel plots.  It supports easy zoom-in and zoom-out capabilities, and
 synchronized views into multiple data sets (with the -x, -y, and -tile
 options).

Package: xpmutils
Source: libxpm
Version: 1:3.5.10-1+deb7u1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 53
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4, libxt6
Priority: optional
Section: x11
Filename: pool/main/libx/libxpm/xpmutils_3.5.10-1+deb7u1_armhf.deb
Size: 35760
SHA256: de946d4ac2bbec641b3becd9b1a50dc7e57be90d080a443fe309dfcbc4668bdd
SHA1: 79c6d66d9b6984d74d8c855ade4d0b6353a00088
MD5sum: ed5ca716dc5229e2359097592f690513
Description: X11 pixmap utilities
 This package provides two tools, cxpm and sxpm.
 .
 cxpm is a tool to check the integrity of an XPM file.
 .
 sxpm is a tool to view XPM files, and takes a number of options.
 .
 More information about X.Org can be found at:
 
 .
 This module can be found at
 git://anongit.freedesktop.org/git/xorg/lib/libXpm

Package: xpn
Version: 1.2.6-5
Installed-Size: 1428
Maintainer: David Paleino 
Architecture: all
Depends: python (>= 2.5), python-support (>= 0.90.0), python-gtk2 (>= 2.8)
Size: 281754
SHA256: 356080d820adb2ca74b99eabce21172e14fa833a5a25c54efe5992c19c473435
SHA1: de70d45f13043172207f9f9a32e1225bd0067a10
MD5sum: 5160dfdc4a452df64d3e8782c335f66d
Description: graphical newsreader written in Python and GTK+ toolkit
 With XPN you can read/write articles on the Usenet with a good MIME support.
 XPN can operate with all the most widespread charsets, starting from US-ASCII
 to UTF-8. When you edit an article XPN automatically chooses the best
 charset, however is always possible to override this choice.
 .
 There also other useful features like scoring, filtered views, random
 tag-lines, external editor support, one-key navigation, ROT13, spoiler
 char, ...
Homepage: http://xpn.altervista.org/index-en.html
Tag: implemented-in::python, interface::x11, network::client, protocol::nntp,
 role::program, uitoolkit::gtk, use::browsing, use::editing,
 x11::application
Section: news
Priority: optional
Filename: pool/main/x/xpn/xpn_1.2.6-5_all.deb

Package: xpp
Version: 1.5-cvs20050828-1.2
Architecture: armhf
Maintainer: Henrique de Moraes Holschuh 
Installed-Size: 151
Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libcups2 (>= 1.4.0), libfltk1.1 (>= 1.1.6), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls26 (>= 2.12.17-0), libgssapi-krb5-2 (>= 1.6.dfsg.2), libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.6.dfsg.2), libstdc++6 (>= 4.3.0), zlib1g (>= 1:1.1.4)
Conflicts: iraf
Homepage: http://cups.sourceforge.net/xpp/
Priority: optional
Section: x11
Filename: pool/main/x/xpp/xpp_1.5-cvs20050828-1.2_armhf.deb
Size: 58904
SHA256: 65d8e5240d81e2ea91aea9f11557aa23eb3a529e48d822e8f9413c0cb0041e65
SHA1: 69601b634ef7801c79f9c35f358f0f69c9dcdfae
MD5sum: 3a5cf66b6c3ac0db7c6e3a1e49c827a7
Description: X Printing Panel
 Graphical substitute for the lp/lpr command.  With xpp, you can control
 every printing option known to the CUPS print system (the cups package).
 Best results are available with usage of the appropriate PPD file for your
 printer.  Each user can save their own customized printing preferences.

Package: xppaut
Version: 6.11b+1.dfsg-1
Architecture: armhf
Maintainer: Jakub Nowacki 
Installed-Size: 5740
Depends: libc6 (>= 2.7), libx11-6
Homepage: http://www.math.pitt.edu/~bard/xpp/xpp.html
Priority: optional
Section: science
Filename: pool/main/x/xppaut/xppaut_6.11b+1.dfsg-1_armhf.deb
Size: 4170970
SHA256: 1baae4ac03add908b573b0af09db96c5c867c0cc76e0b8a74a193477353f0668
SHA1: 2a36fc6bf901d23987c9a464a58275d49fd04ce9
MD5sum: cd9c65076b54b2f3b3cf083c5f969d44
Description: Phase Plane Plus Auto: Solves many kinds of equations
 XPPAUT is a tool for solving
    * differential equations,
    * difference equations,
    * delay equations,
    * functional equations,
    * boundary value problems, and
    * stochastic equations.
 .
 The code brings together a number of useful algorithms and is
 extremely portable. All the graphics and interface are written
 completely in Xlib which explains the somewhat idiosyncratic and
 primitive widgets interface.

Package: xpra
Version: 0.3.11+dfsg-1
Architecture: armhf
Maintainer: Python Applications Packaging Team 
Installed-Size: 877
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.4), libc6 (>= 2.13-28), libswscale2 (>= 6:0.8.3-1~), libvpx1 (>= 1.0.0), libx11-6, libx264-123, python-wimpiggy (= 0.3.11+dfsg-1), python-dbus, python-gtk2, python-imaging, x11-xserver-utils, xvfb
Recommends: xserver-xorg-video-dummy
Suggests: openssh-client, openssh-server
Homepage: http://xpra.org/
Priority: optional
Section: x11
Filename: pool/main/x/xpra/xpra_0.3.11+dfsg-1_armhf.deb
Size: 172956
SHA256: 30ddfe506b0842e496266be817acd41bbb6b7307312e2e6f8e0a26352387d685
SHA1: 5c8966348bdd2c57ec9226334c25b7ab91bec345
MD5sum: 1fb5c0a4a238b4fc0178ef75627ddc84
Description: tool to detach/reattach running X programs
 Xpra gives you the functionality of GNU Screen for X applications.
 .
 It allows the user to view remote X applications on their local machine, and
 disconnect and reconnect from the remote machine without losing the state of
 the running applications.
 .
 Unlike VNC, these applications are "rootless".  They appear as individual
 windows inside your window manager rather than being contained within a single
 window.

Package: xprintidle
Version: 0.2-5
Architecture: armhf
Maintainer: Milan Zamazal 
Installed-Size: 44
Depends: libc6 (>= 2.4), libx11-6, libxext6, libxss1
Homepage: http://www.dtek.chalmers.se/~henoch/text/xprintidle.html
Priority: optional
Section: x11
Filename: pool/main/x/xprintidle/xprintidle_0.2-5_armhf.deb
Size: 6360
SHA256: b1317578d8d9cf242738e86295763b39c977018572ac39315c1c7619a84c86fb
SHA1: 41c5c8135901ec50fddf5af157c24779683f2f53
MD5sum: 2f0c641129616c54a2710023f6c31d25
Description: Small utility that prints user's idle time in X
 xprintidle is a utility that queries the X server for the user's idle
 time and prints it to stdout (in milliseconds).

Package: xprobe
Version: 0.3-1.1
Architecture: armhf
Maintainer: Richard Atterer 
Installed-Size: 930
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpcap0.8 (>= 0.9.8), libstdc++6 (>= 4.4.0)
Provides: xprobe2
Priority: extra
Section: net
Filename: pool/main/x/xprobe/xprobe_0.3-1.1_armhf.deb
Size: 364988
SHA256: 8a9657955e5b1ee38b4d548e1c95985920d21f82d7de7650674b86ee9bb670f1
SHA1: 8581492deca97010ed9084619972b9b3623a78ef
MD5sum: 6068f8fc11bcd8fbb7e7fcf4b57cfff4
Description: Remote OS identification
 Xprobe2 allows you to determine what operating system is running on a
 remote host. It sends several packets to a host and analyses the
 returned answers.
 .
 Xprobe2's functionality is comparable to the OS fingerprinting feature
 in nmap (written by a different Fyodor):
  - Outputs its level of confidence about the OS on the remote host.
  - Remains usable even if intermediate systems (routers, firewalls) make
    slight modifications to the packets.
  - Can list the type of intermediate device (e.g. "Linux IP masquerading").
  - Modular architecture allows new fingerprinting tests and new OS
    signatures to be added.
 .
 Project homepage: 

Package: xpuzzles
Version: 7.6.3-1
Architecture: armhf
Maintainer: Varun Hiremath 
Installed-Size: 1755
Depends: libaudiofile1 (>= 0.3.3), libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libsm6, libx11-6, libxpm4, libxt6
Conflicts: xmpuzzles
Homepage: http://www.tux.org/~bagleyd/puzzles.html
Priority: optional
Section: games
Filename: pool/main/x/xpuzzles/xpuzzles_7.6.3-1_armhf.deb
Size: 700748
SHA256: 370de53cb7816f22da2da1d3cce3e9d9b20863728796a6b5be8d4385a11311af
SHA1: 46223fb2b777411d7d90f295712dd2e83f59f69a
MD5sum: 67d867247d6a8b834f09521e2c6ad038
Description: collection of puzzles for X (plain X version)
 The following puzzles are included:
 .
  - Rotational 3D Puzzles: xrubik, xpyraminx, xoct, xskewb, xdino, xmball
  - Sliding Block Puzzles: xcubes, xtriangles, xhexagons, xpanex
  - Combination: xbarrel, xmlink
 .
 This version was compiled without the Motif GUI widget library and thus
 shows limited user interface functionality. See xmpuzzles for the
 extended version.

Package: xqf
Version: 1.0.5-2
Architecture: armhf
Maintainer: Jordi Mallach 
Installed-Size: 998
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgeoip1 (>= 1.4.8+dfsg), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.24.0), libpango1.0-0 (>= 1.14.0), libx11-6, zlib1g (>= 1:1.1.4), qstat (>= 2.11)
Homepage: http://www.linuxgames.com/xqf/
Priority: optional
Section: games
Filename: pool/main/x/xqf/xqf_1.0.5-2_armhf.deb
Size: 433436
SHA256: d2079cffd97a48c3dd8665962f4d41aaf6bce787d402d203093d22529c56b352
SHA1: c02bdac2e3f55ad655aabd85d688f3d88019f0cb
MD5sum: 7e23617e8c28447186401128ce6957bd
Description: X-based Quake Server Browser
 XQF is a GTK+ frontend for Qstat, a tool for querying first-person
 action game servers like Quake, Unreal Tournament, etc. It displays
 information about the servers and allows configuring and launching some
 of the games from it.

Package: xqilla
Version: 2.3.0-1
Architecture: armhf
Maintainer: Tommi Vainikainen 
Installed-Size: 97
Depends: libxqilla6 (= 2.3.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.4.0), libxerces-c3.1
Homepage: http://xqilla.sourceforge.net/
Priority: extra
Section: text
Filename: pool/main/x/xqilla/xqilla_2.3.0-1_armhf.deb
Size: 28022
SHA256: 578f57de8baf4431581a9069452dfe898296106c49da3005d61533e0bb9402a0
SHA1: b6f2d5a06ae2ccd88759d86613658226c3bceb5a
MD5sum: 44c70b6cdff38950d864475cc38ed6f3
Description: XQuery and XPath 2.0 command line interpreter
 XQilla is a XQuery and XPath 2 library and command line utility
 written in C++, implemented on top of the Xerces-C library. It is
 made available under the terms of the Apache Lincese v2.
 .
 This package contains the command line xqilla utility

Package: xracer
Version: 0.96.9.1-6
Architecture: armhf
Maintainer: Ying-Chun Liu (PaulLiu) 
Installed-Size: 1414
Depends: freeglut3, libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libsm6, libx11-6, libxext6, libxi6, libxmu6, netpbm
Suggests: xracer-tools
Priority: optional
Section: games
Filename: pool/main/x/xracer/xracer_0.96.9.1-6_armhf.deb
Size: 889226
SHA256: b44b4365fe0ea4c81cd68e63c2797f1023dcd2c26ac89ece7fc4e366813d0921
SHA1: 948687f4ede8b183c0852031b98613eb801bd092
MD5sum: 3dc5e56b1245dfc2ca54faf472f90c63
Description: Futuristic racing game
 Xracer is a Wipeout clone, distributed under the GPL. It should be able to use
 any OpenGL 1.1 compliant library. A 3D accelerator card is required.
 .
 This is the game data and binary.
 .
 If you want to build new tracks or other game data, you may also want to
 install the xracer-tools package.

Package: xracer-tools
Source: xracer
Version: 0.96.9.1-6
Installed-Size: 217
Maintainer: Ying-Chun Liu (PaulLiu) 
Architecture: all
Depends: perl, python, python-support (>= 0.90.0)
Recommends: xracer
Suggests: blender
Size: 61542
SHA256: 71a67ae9e1698e45e9ac5d9a5c9b88c180259b096ca1318426826d09962c0b8f
SHA1: 01bd91d03c9c693ed4b6c42ca4fe2daeaa836c18
MD5sum: a2b20f69cee6266a9bf558a720b243d0
Description: Futuristic racing game - developer tools
 Xracer is a Wipeout clone, distributed under the GPL. It should be able to use
 any OpenGL 1.1 compliant library. A 3D accelerator card is required.
 .
 These are a few tools to design your own game data. In order to play the game,
 you will need the xracer package.
Tag: game::arcade, implemented-in::perl, implemented-in::python,
 interface::commandline, role::program, scope::utility, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/x/xracer/xracer-tools_0.96.9.1-6_all.deb

Package: xrdp
Version: 0.5.0-2+deb7u3
Architecture: armhf
Maintainer: Vincent Bernat 
Installed-Size: 1390
Depends: libc6 (>= 2.13-28), libpam0g (>= 0.99.7.1), libssl1.0.0 (>= 1.0.0), libx11-6, libxfixes3, adduser
Recommends: vnc4server | tightvncserver | vnc-server
Homepage: http://xrdp.sourceforge.net
Priority: optional
Section: net
Filename: pool/main/x/xrdp/xrdp_0.5.0-2+deb7u3_armhf.deb
Size: 252752
SHA256: 75f93074588705fdd5910579fdf55300baa6e87a2164066d810774157421ba14
SHA1: cf2ebc638eb6be2c3162a2452a67640424f3a644
MD5sum: 03bf89ab5d0aa1fcc41f7add495b489f
Description: Remote Desktop Protocol (RDP) server
 Based on research work by the rdesktop project, xrdp uses the Remote
 Desktop Protocol to present a graphical login to a remote client.
 xrdp can connect to a VNC server or another RDP server.
 .
 Microsoft Windows users can connect to a system running xrdp without
 installing additional software.

Package: xresprobe
Version: 0.4.23debian1-1
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 64
Depends: libc6 (>= 2.13-28)
Recommends: laptop-detect
Conflicts: kudzu-vesa
Replaces: kudzu-vesa
Provides: kudzu-vesa
Priority: optional
Section: x11
Filename: pool/main/x/xresprobe/xresprobe_0.4.23debian1-1_armhf.deb
Size: 13434
SHA256: c7ec0cf5293a72429713f041cae4ef41e21c0700b8e6ecf74aaf3f2eddcef486
SHA1: b88c3029377d15088aadba6cb8c2803f62137644
MD5sum: 7537901fbd4cf9fc0ec91ca59dae34d7
Description: X Resolution Probe
 xresprobe is a package that probes both laptop and DDC-compliant screens for
 their standard resolutions, and returns a specifically-formatted, easy-to-parse
 output.
 .
 It contains the 'ddcprobe' package, which performs a DDC probe to the monitor;
 however, ddcprobe only works on i386 and powerpc. The laptop detection routines
 are, however, sufficiently generic to be useful to other architectures.

Package: xrestop
Version: 0.4-7
Architecture: armhf
Maintainer: Moray Allan 
Installed-Size: 58
Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5, libx11-6, libxres1
Priority: optional
Section: x11
Filename: pool/main/x/xrestop/xrestop_0.4-7_armhf.deb
Size: 11262
SHA256: 8a871fab06b1c00b69552a37d14333314004b41ac82d0511c03d1ebf71c842ab
SHA1: ad00842e2623c9aff94ea0bf8a15d6b97e94d0b5
MD5sum: f465473dbfd56b980334270da1ab0c7f
Description: X11 server resource usage monitor
 xrestop uses the X-Resource extension to provide top(1)-like statistics
 for each connected X11 client's server-side resource usage. It is intended
 as a developer tool to aid more efficient server resource usage and debug
 server-side resource leakage.
 .
 xrestop requires the X-Resource extension, supported by XFree86 4.3 and
 above, and by the freedesktop.org X server.

Package: xringd
Version: 1.20-25.2
Architecture: armhf
Maintainer: Steve Kowalik 
Installed-Size: 86
Depends: libc6 (>= 2.4), debconf (>= 0.5) | debconf-2.0, debianutils (>= 1.7)
Priority: extra
Section: comm
Filename: pool/main/x/xringd/xringd_1.20-25.2_armhf.deb
Size: 24160
SHA256: 0f6919119c90ddf1179ecd15ef40e218f91fdce1f339857e1bf962a7388edd0e
SHA1: 47241717dea199d1c3e577843559b01f743e8201
MD5sum: 48f0a5244e8b6a6df4a2e33a4d4ffe32
Description: extended ring daemon - monitor phone rings and take action
 xringd will monitor a serial line for RING signals and activate various
 commands when specific "ring-delay sequences" are detected.

Package: xrootconsole
Version: 1:0.6-2
Architecture: armhf
Maintainer: Axel Beckert 
Installed-Size: 66
Depends: libc6 (>= 2.4), libx11-6
Suggests: ccze | logo
Homepage: http://sourceforge.net/projects/xrootconsole/
Priority: optional
Section: x11
Filename: pool/main/x/xrootconsole/xrootconsole_0.6-2_armhf.deb
Size: 16772
SHA256: 9c780dca79f665f8778e408f03a931a22a18dffc457cbf97ea78af75480a8d75
SHA1: a06f4309447b883c6e323ead7a392006aa2ae44f
MD5sum: e672cb772dacdef5f0b09dbe00b7c352
Description: Fancy X console display
 Xrootconsole redirects its input to a transparent (and optionally
 shaded) window on the root window. It supports displaying UTF-8 and
 ANSI-colors, e.g. those generated with "ccze -A" or "loco".

Package: xrsh
Version: 5.92-8
Installed-Size: 96
Maintainer: Harald Dunkel 
Architecture: all
Depends: rsh-client, net-tools, xbase-clients, xterm
Size: 19150
SHA256: ef12a5ec62f624d12f25552f8ccfafc00fd6a795e67205c5f639dcbd97066e90
SHA1: c6e7d8e10e92429d3f8c7e227207826c4d02e760
MD5sum: 7d8ad5102ea9e1c6ec72b1bc3e490b8f
Description: remote execution of XWindow programs
 Xrsh is designed to allow you to start an X client on a remote machine
 with the window displayed on the current server's $DISPLAY.  It has
 many options that give you the ability to propagate environment
 variables (including DISPLAY) to the remote system and works with
 various types of X server access control including xauth and xhost.
 Xrlogin opens a local xterm window and runs rlogin (or optionally telnet) to
 connect to a remote machine.
Tag: interface::x11, network::client, network::service, role::program,
 use::login, x11::terminal
Section: x11
Priority: optional
Filename: pool/main/x/xrsh/xrsh_5.92-8_all.deb

Package: xsane
Version: 0.998-3
Architecture: armhf
Maintainer: Julien BLACHE 
Installed-Size: 675
Depends: xsane-common (= 0.998-3), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libgimp2.0 (>= 2.4.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.14.0), libjpeg8 (>= 8c), liblcms1 (>= 1.15-1), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libsane (>= 1.0.11-3), libtiff4 (>> 3.9.5-3~), zlib1g (>= 1:1.1.4)
Recommends: iceweasel | www-browser, cups-client
Suggests: gimp, hylafax-client | mgetty-fax, gv, gocr
Priority: optional
Section: graphics
Filename: pool/main/x/xsane/xsane_0.998-3_armhf.deb
Size: 318422
SHA256: 54855526736ebb9dd87e5b8257364840a4034749ffee195968debdabbe03746e
SHA1: 9e4c2c22c833c1cabfcc59284edeacbef23e06de
MD5sum: e4144e995d4b0caf6ec25ebc0c359638
Description: featureful graphical frontend for SANE (Scanner Access Now Easy)
 xsane can be run as a stand-alone program or through the GIMP image
 manipulation program.  In stand-alone mode, xsane can save an image
 to a file in a variety of image formats, serve as a frontend to a
 fax program, or send an image to a printer.
 .
 SANE stands for "Scanner Access Now Easy" and is an application
 programming interface (API) that provides standardized access to any
 raster image scanner hardware (flatbed scanner, hand-held scanner,
 video- and still-cameras, frame-grabbers, etc.). The SANE standard is
 free and its discussion and development are open to everybody. The
 current source code is written to support several operating systems,
 including GNU/Linux, OS/2, Win32 and various Unices and is available
 under the GNU General Public License (commercial applications and
 backends are welcome, too, however).

Package: xsane-common
Source: xsane
Version: 0.998-3
Installed-Size: 5544
Maintainer: Julien BLACHE 
Architecture: all
Replaces: xsane
Recommends: xsane
Size: 1820148
SHA256: f69c1f7283b90ab45c7d4d0f6b1ba1f3df67c7af765aecd7d49aebc36bdf5d63
SHA1: 3edc6879bea1dced157b564cc6f9b3f3759edd0b
MD5sum: 4f6b254f55d2d85c41d467beaa28f424
Description: featureful graphical frontend for SANE (Scanner Access Now Easy)
 xsane can be run as a stand-alone program or through the GIMP image
 manipulation program.  In stand-alone mode, xsane can save an image
 to a file in a variety of image formats, serve as a frontend to a
 fax program, or send an image to a printer.
 .
 This package contains architecture-independent files needed by xsane
 (locales, help).
Tag: interface::x11, role::app-data, uitoolkit::gtk,
 works-with::image:raster, x11::application
Section: graphics
Priority: optional
Filename: pool/main/x/xsane/xsane-common_0.998-3_all.deb

Package: xscavenger
Version: 1.4.4-8
Architecture: armhf
Maintainer: Hwei Sheng Teoh 
Installed-Size: 600
Depends: libc6 (>= 2.4), libx11-6
Priority: optional
Section: games
Filename: pool/main/x/xscavenger/xscavenger_1.4.4-8_armhf.deb
Size: 201482
SHA256: 79751dc6f489c6f0ec8f07cdda404bd2f82fba090462434c493c7506c78f9161
SHA1: 2d9f5fa22bd7846c282e4ab93287687f0190ff44
MD5sum: dbd6f421066cd064801ba45d4b5d7aaf
Description: A lode-runner-like platform game for X
 XScavenger is a platform game for the X Window System. From the README:
 .
 Scavenger is like Lode Runner. You've got to run around gathering objects
 while avoiding enemies. You can dig down through some of the blocks to get
 at buried objects. After you've collected everything, ladders may appear.
 To finish the level you've got to exit through the top of the screen. If
 an enemy falls into a dug brick, he is stunned for a while. If the brick
 fills in with him in it, he is killed, and he will reappear at the top of
 the screen.
 .
 This version has a level editor for designing your own levels, and a
 graphics editor for drawing your own artwork.

Package: xscorch
Version: 0.2.1-1
Architecture: armhf
Maintainer: Jacob Luna Lundberg 
Installed-Size: 579
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.20), libmikmod2 (>= 3.1.10), libpango1.0-0 (>= 1.14.0)
Recommends: xfonts-100dpi
Homepage: http://www.xscorch.org/
Priority: optional
Section: games
Filename: pool/main/x/xscorch/xscorch_0.2.1-1_armhf.deb
Size: 248982
SHA256: 7f8a7b92b4c53e9ddf6f0136762e67ef6a7680e4a885e6eaeb357a59ff4d0d9a
SHA1: 00e0c57ef09cec69b6eff610c8e712e48c290b6f
MD5sum: 6dca20a5ce53b0380cdebeda72ce1993
Description: Clone of Scorched Earth
 Xscorch is a clone of the classic DOS game, "Scorched Earth". The basic goal
 is to annihilate enemy tanks using overpowered guns :).  Basically, you buy
 weapons, you target the enemy by adjusting the angle of your turret and
 firing power, and you hope to destroy their tank before they destroy yours.

Package: xscreensaver
Version: 5.15-3+deb7u1
Architecture: armhf
Maintainer: Tormod Volden 
Installed-Size: 2036
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.24.0), libice6 (>= 1:1.0.0), libpam0g (>= 0.99.7.1), libpango1.0-0 (>= 1.14.0), libsm6, libx11-6, libxext6, libxi6, libxinerama1, libxml2 (>= 2.7.4), libxmu6, libxpm4, libxrandr2 (>= 2:1.2.0), libxrender1, libxt6, libxxf86vm1, xscreensaver-data
Recommends: libjpeg-progs, perl5, miscfiles | wordlist
Suggests: xfishtank, xdaliclock, xscreensaver-gl, fortune, www-browser, qcam | streamer, gdm3 | kdm-gdmcompat
Conflicts: funny-manpages (<< 1.3-1), gnome-control-center (<< 1:2), suidmanager (<< 0.50), xscreensaver-gnome (<< 4.09-2), xscreensaver-nognome (<< 4.09-2)
Homepage: http://www.jwz.org/xscreensaver/
Priority: optional
Section: x11
Filename: pool/main/x/xscreensaver/xscreensaver_5.15-3+deb7u1_armhf.deb
Size: 854710
SHA256: 7bf94b7d9d2e3987f71b270f937a8d328eab58d5b5f60e3d96fc516346e586f2
SHA1: e2aec4152c8a99f8784a2812777a64f80509c7eb
MD5sum: 7b50a8f31fddb70938e808d52478e3fb
Description: Automatic screensaver for X
 XScreenSaver is a modular screen saver and locker for X11,
 containing more than 200 screen savers.
 .
 This package includes the bare minimum needed to blank and lock
 your screen. Install this package if you prefer xscreensaver to
 gnome-screensaver. If you prefer gnome-screensaver, you don't
 need to install this package.
 .
 The graphical display modes are in the xscreensaver-data,
 xscreensaver-data-extra, xscreensaver-gl and xscreensaver-gl-extra
 packages.

Package: xscreensaver-data
Source: xscreensaver
Version: 5.15-3+deb7u1
Architecture: armhf
Maintainer: Tormod Volden 
Installed-Size: 996
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libsm6, libx11-6, libxext6, libxmu6, libxpm4, libxt6, libwww-perl
Suggests: xscreensaver
Conflicts: kscreensaver-xsavers (<< 4:3.5.9-1), xscreensaver (<< 5.04-3)
Breaks: gnome-screensaver (<< 2.26), kscreensaver-xsaver (<= 4:4.2.4-1)
Replaces: gnome-screensaver (<< 2.15.4-0ubuntu1), xscreensaver (<< 5.04-3)
Homepage: http://www.jwz.org/xscreensaver/
Priority: optional
Section: x11
Filename: pool/main/x/xscreensaver/xscreensaver-data_5.15-3+deb7u1_armhf.deb
Size: 503798
SHA256: 2ad84bab48302e50366f89f2b7bb91571ce5c1f724a83addb8e1c41f27db4285
SHA1: 5cb53c010105dd5eb87cd31a8fe872c4e6a3b4bc
MD5sum: e05ca3e0bb6b3d329726fa62178cf528
Description: data files to be shared among screensaver frontends
 XScreenSaver is a modular screen saver and locker for X11,
 containing more than 200 screen savers.
 .
 This package contains a small selection of graphical screen saver
 modules (listed below) from the xscreensaver collection. This package
 is used by both xscreensaver and gnome-screensaver.
 .
 This is the selected set of non-GL screensavers shipped by default:
 abstractile, cwaves, deco, distort, fiberlamp, fuzzyflakes, galaxy,
 m6502, metaballs, penrose, ripples, shadebobs, slidescreen, swirl,
 xlyap.
 .
 More display modes can be found in xscreensaver-data-extra, xscreensaver-gl
 and xscreensaver-gl-extra packages.

Package: xscreensaver-data-extra
Source: xscreensaver
Version: 5.15-3+deb7u1
Architecture: armhf
Maintainer: Tormod Volden 
Installed-Size: 4687
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxmu6, libxpm4, libxt6, xscreensaver-data (>= 5.04-3), dictionaries-common, libjpeg-progs, netpbm
Enhances: gnome-screensaver, xscreensaver
Conflicts: kscreensaver-xsavers (<< 4:3.5.9-1), xscreensaver (<< 5.04-3)
Breaks: gnome-screensaver (<< 2.26), kscreensaver-xsaver (<= 4:4.2.4-1)
Replaces: xscreensaver (<< 5.04-3)
Homepage: http://www.jwz.org/xscreensaver/
Priority: optional
Section: x11
Filename: pool/main/x/xscreensaver/xscreensaver-data-extra_5.15-3+deb7u1_armhf.deb
Size: 2469070
SHA256: 3f5d2c0bb0f91a9ccb03a79064b4c8e70b71aecffaa124e41e77a268113a28a6
SHA1: 3d53d65779e0439c3b2243cbf09d7d3c3547d6c3
MD5sum: 7683c25abc64ee27ee5b55f966a056b0
Description: data files to be shared among screensaver frontends
 XScreenSaver is a modular screen saver and locker for X11,
 containing more than 200 screen savers.
 .
 This package contains the rest of the 2D screen saver modules
 from the xscreensaver collection. This package is used by both
 xscreensaver and gnome-screensaver.
 .
 This is the set of non-GL screensavers not shipped by default:
 anemone, anemotaxis, apollonian, apple2, attraction, barcode, blaster,
 blitspin, bouboule, boxfit, braid, bumps, ccurve, celtic, cloudlife,
 compass, coral, crystal, cynosure, decayscreen, deluxe, demon,
 discrete, drift, epicycle, eruption, euler2d, fadeplot, fireworkx,
 flame, flow, fluidballs, fontglide, goop, grav, greynetic, halftone,
 halo, helix, hopalong, ifs, imsmap, interaggregate, interference,
 intermomentary, julia, kaleidescope, kumppa, lcdscrub, ljlatest, loop,
 maze, memscroller, moire2, moire, mountain, munch, nerverot, noseguy,
 pacman, pedal, penetrate, petri, phosphor, piecewise, polyominoes,
 pong, pyro, qix, rd-bomb, rocks, rorschach, rotzoomer, sierpinski,
 slip, speedmine, spotlight, squiral, starfish, strange, substrate,
 thornbird, triangle, truchet, twang, vermiculate, vidwhacker, wander,
 whirlwindwarp, wormhole, xanalogtv, xflame, xjack, xmatrix, xrayswarm,
 xspirograph, zoom.
 .
 More display modes can be found in the xscreensaver-data, xscreensaver-gl
 and xscreensaver-gl-extra packages.

Package: xscreensaver-gl
Source: xscreensaver
Version: 5.15-3+deb7u1
Architecture: armhf
Maintainer: Tormod Volden 
Installed-Size: 4048
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.12.0), libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxmu6, libxpm4, libxt6, libxxf86vm1
Suggests: xscreensaver
Conflicts: xscreensaver (<< 5.04-3)
Breaks: gnome-screensaver (<< 2.26), kscreensaver-xsaver (<= 4:4.2.4-1)
Replaces: xscreensaver-data (<< 5.10-3)
Homepage: http://www.jwz.org/xscreensaver/
Priority: optional
Section: x11
Filename: pool/main/x/xscreensaver/xscreensaver-gl_5.15-3+deb7u1_armhf.deb
Size: 2081588
SHA256: aa93689ebdb05fbddad59a59d22698bc21df8b032600fd095a652169ebfb4e17
SHA1: 869ab98c02259196950189cd762147729f5abdee
MD5sum: a28dc0412155387ca3f8ca0c5fe607d9
Description: GL(Mesa) screen hacks for xscreensaver
 XScreenSaver is a modular screen saver and locker for X11,
 containing more than 200 screen savers.
 .
 This package contains a small selection of 3D (OpenGL) screen
 saver modules from the xscreensaver collection. This package is
 used by both xscreensaver and gnome-screensaver.
 .
 This is the set of GL screensavers shipped by default:
 antinspect, antspotlight, atunnel, blinkbox, bubble3d, circuit,
 cubestorm, endgame, engine, flipflop, flipscreen3d, flyingtoasters,
 gears, gflux, glblur, glcells, gleidescope, glknots, glmatrix,
 glschool, glslideshow, glsnake, gltext, hypertorus, jigglypuff,
 lavalite, lockward, mirrorblog, moebius, moebiusgears, molecule,
 morph3d, pipes, polyhedra, polytopes, pulsar, queens, sierpinski3d,
 sonar, spheremonics, stonerview, superquadrics, topblock, voronoi.
 .
 More display modes can be found in the xscreensaver-data,
 xscreensaver-data-extra and xscreensaver-gl-extra packages.

Package: xscreensaver-gl-extra
Source: xscreensaver
Version: 5.15-3+deb7u1
Architecture: armhf
Maintainer: Tormod Volden 
Installed-Size: 5020
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libgle3, libglib2.0-0 (>= 2.12.0), libglu1-mesa | libglu1, libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxmu6, libxpm4, libxt6, libxxf86vm1, xscreensaver-gl (>= 5.04-3)
Enhances: gnome-screensaver, xscreensaver
Conflicts: xscreensaver (<< 5.04-3)
Breaks: gnome-screensaver (<< 2.26), kscreensaver-xsaver (<= 4:4.2.4-1)
Replaces: xscreensaver-gl (<< 5.04-3)
Homepage: http://www.jwz.org/xscreensaver/
Priority: optional
Section: x11
Filename: pool/main/x/xscreensaver/xscreensaver-gl-extra_5.15-3+deb7u1_armhf.deb
Size: 2350648
SHA256: f163dc9acac9e410c788d235b7cf239e993479a8e125c2fe95fdea1d2daf3cba
SHA1: ec4fb6615a7011a34521656a0710df960cc63200
MD5sum: 9ed427efb700628661fd35029848acd1
Description: GL(Mesa) screen hacks for xscreensaver
 XScreenSaver is a modular screen saver and locker for X11,
 containing more than 200 screen savers.
 .
 This package contains the rest of the 3D (OpenGL) screen saver
 modules from the xscreensaver collection. This package is used
 by both xscreensaver and gnome-screensaver.
 .
 This is the set of GL screensavers not shipped by default with
 xscreensaver-gl:
 antmaze, atlantis, blocktube, boing, bouncingcow, boxed, cage,
 carousel, companioncube, crackberg, cube21, cubenetic, cubicgrid,
 dangerball, extrusion, fliptext, flurry, glhanoi, glplanet, hilbert,
 hypnowheel, jigsaw, juggler3d, klein, lament, menger, noof, photopile,
 pinion, providence, rubik, rubikblocks, sballs, skytentacles,
 sproingies, stairs, starwars, surfaces, tangram, timetunnel, tronbit.
 .
 More display modes can be found in the xscreensaver-data,
 xscreensaver-data-extra and xscreensaver-gl packages.

Package: xscreensaver-screensaver-bsod
Source: xscreensaver
Version: 5.15-3+deb7u1
Architecture: armhf
Maintainer: Tormod Volden 
Installed-Size: 430
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxmu6, libxpm4, libxt6, xscreensaver-data-extra
Conflicts: xscreensaver-data-extra (<< 5.10-4)
Replaces: xscreensaver-data-extra (<< 5.10-4)
Homepage: http://www.jwz.org/xscreensaver/
Priority: optional
Section: x11
Filename: pool/main/x/xscreensaver/xscreensaver-screensaver-bsod_5.15-3+deb7u1_armhf.deb
Size: 165502
SHA256: 5058543e8f29ea0436c624df2d861c7945e25e181978a900b504c3ce19bd8b38
SHA1: eac3473c2e2f0245a15c9623d9521002bdaaaf64
MD5sum: dd2369d22cb95026f80cc559dc6de629
Description: BSOD hack from XScreenSaver
 This package ships the hack BSOD that shows the popular Blue Screens of Death
 from several OSes including BSD, Windows, Linux, Solaris, Apple and much more.
 .
 WARNING: This screensaver could be confused with a real BSOD and could lead an
 user to reboot the machine with consequences like data loss.

Package: xscreensaver-screensaver-dizzy
Source: dizzy
Version: 0.3-1
Installed-Size: 46
Maintainer: Debian Perl Group 
Architecture: all
Depends: perl, dizzy (= 0.3-1), libopengl-xscreensaver-perl
Size: 5632
SHA256: 1c4c6116d16d6ffa52463a71f053c76cc419e6aca708de24f63f9b869528ff3d
SHA1: a883e923f9e9a0dcdbeabde85ac42de305f2bdfa
MD5sum: bc69f4314468573b480d3dbb5ae664ae
Description: Graphics demo that makes you dizzy (XScreenSaver hack)
 dizzy is a graphics demo that rotates planes of patterns on a colored
 background to make you dizzy. Textures can be cross-faded and there is a mode
 that automatically changes textures, allowing dizzy to be run as a
 screensaver.
 .
 This package integrates dizzy with XScreenSaver, but it cannot be done
 automatically. See /usr/share/doc/xscreensaver-screensaver-dizzy/README.Debian
 for details on the configuration.
Homepage: http://penma.de/code/dizzy
Tag: game::toys, x11::screensaver
Section: games
Priority: optional
Filename: pool/main/d/dizzy/xscreensaver-screensaver-dizzy_0.3-1_all.deb

Package: xscreensaver-screensaver-webcollage
Source: xscreensaver
Version: 5.15-3+deb7u1
Architecture: armhf
Maintainer: Tormod Volden 
Installed-Size: 158
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libsm6, libx11-6, libxext6, libxmu6, libxpm4, libxt6, xscreensaver-data-extra
Conflicts: xscreensaver-data-extra (<< 5.10-4)
Replaces: xscreensaver-data-extra (<< 5.10-4)
Homepage: http://www.jwz.org/xscreensaver/
Priority: optional
Section: x11
Filename: pool/main/x/xscreensaver/xscreensaver-screensaver-webcollage_5.15-3+deb7u1_armhf.deb
Size: 65736
SHA256: c3c7e3d0da95cbc2aa9c2e07307318ae229cb6f7d1e808c83a7bf4009aae2afd
SHA1: e86de197d2d96203e500d70b0df94fcb8771d060
MD5sum: 7a231b9e7b2c9c5a4b6e4792f852e92e
Description: Webcollage hack from XScreenSaver
 This package ships the hack webcollage which displays images from random
 web searches on the Internet.
 .
 WARNING: The Internet being what it is, absolutely anything might show up
 in the collage of search results including -- quite possibly -- pornography,
 or even nudity. Please act accordingly.

Package: xsdcxx
Source: xsd
Version: 3.3.0.1-1.3
Architecture: armhf
Maintainer: Raphael Bossek 
Installed-Size: 7756
Depends: libboost-filesystem1.49.0 (>= 1.49.0-1), libboost-regex1.49.0 (>= 1.49.0-1), libboost-system1.49.0 (>= 1.49.0-1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6), libxerces-c3.1
Homepage: http://codesynthesis.com
Priority: optional
Section: devel
Filename: pool/main/x/xsd/xsdcxx_3.3.0.1-1.3_armhf.deb
Size: 2081824
SHA256: 9e5565e7315c9a8d322c87de60ad5c4ffb6780cdcb4e3b5d6e4b9f8438b04eaf
SHA1: 34416232ac12e4e203a97c15756d9ba15b739bbb
MD5sum: 956977d3f8a6ae89e77a22c9b3bbfd3c
Description: XML Data Binding for C++
 CodeSynthesis XSD is an open-source, cross-platform W3C XML Schema to
 C++ data binding compiler. Provided with an XML instance specification
 (XML Schema), it generates C++ classes that represent the given
 vocabulary as well as parsing and serialization code.
 You can then access the data stored in XML using types and functions
 that semantically correspond to your application domain rather than
 dealing with intricacies of reading and writing XML.

Package: xsddiagram
Version: 0.10-1
Installed-Size: 249
Maintainer: Debian CLI Applications Team 
Architecture: all
Depends: mono-runtime (>= 2.10.1), libmono-corlib4.0-cil (>= 2.10.1), libmono-system-drawing4.0-cil (>= 1.0), libmono-system-windows-forms4.0-cil (>= 1.0), libmono-system-xml4.0-cil (>= 1.0), libmono-system4.0-cil (>= 2.10.7)
Size: 80026
SHA256: 188e2b75e2fa098a147e948c2e010f3c9078b4d33a69756653ba8fee1b723a17
SHA1: 4aa391cf02fc5e8e94ad8a95e2e41b7c13a9a586
MD5sum: dfbac4363f02b3ab0771d4dbe50064ec
Description: XML Schema Definition (XSD) diagram viewer
 XSD Diagram is a XML Schema Definition (XSD) diagram viewer
 .
 Features:
  - Display the elements, the groups and the attributes
  - Show the text/HTML documentation of element and attribute when available
  - Print the diagram
  - Export the diagram to emf
  - Zoom the diagram
Homepage: http://regis.cosnier.free.fr/?page=XSDDiagram
Tag: role::program
Section: cli-mono
Priority: optional
Filename: pool/main/x/xsddiagram/xsddiagram_0.10-1_all.deb

Package: xsel
Version: 1.2.0-1
Architecture: armhf
Maintainer: Joe Nahmias 
Installed-Size: 65
Depends: libc6 (>= 2.4), libx11-6
Homepage: http://www.vergenet.net/~conrad/software/xsel/
Priority: optional
Section: x11
Filename: pool/main/x/xsel/xsel_1.2.0-1_armhf.deb
Size: 19242
SHA256: 138c35f4e8f7d7db22c0f7412977af0def7323ff58315af2669fade13b6533f3
SHA1: 5d5cd50363c716fe339d55d86f7ed06bc1dcb50f
MD5sum: 94102b5bacf586170d9694177aaee552
Description: command-line tool to access X clipboard and selection buffers
 XSel is a command-line program for getting and setting the contents of
 the X selection.  It can also append and have it follow a growing file
 (similar to tail -f).

Package: xsensors
Version: 0.70-2
Architecture: armhf
Maintainer: Nanley Chery 
Installed-Size: 113
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libpango1.0-0 (>= 1.14.0), libsensors4 (>= 1:3.0.0)
Recommends: lm-sensors
Homepage: http://www.linuxhardware.org/xsensors/
Priority: optional
Section: x11
Filename: pool/main/x/xsensors/xsensors_0.70-2_armhf.deb
Size: 19550
SHA256: 21be2bdfceef6c90a9793a7b621ded4afb1a0aafe7a216f6060cc3a4c9fe4c66
SHA1: 9c6b41efce78dc65f4595e918a3a2cd8974cba82
MD5sum: 67d5cd3603cc4b794869a761f1da728c
Description: hardware health information viewer
 xsensors reads data from the libsensors library regarding hardware health such
 as temperature, voltage and fan speed and displays the information in a digital
 read-out.

Package: xserver-common
Source: xorg-server
Version: 2:1.12.4-6+deb7u8
Installed-Size: 1517
Maintainer: Debian X Strike Force 
Architecture: all
Replaces: xserver-xorg-core (<< 2:1.5.2)
Depends: x11-common, xkb-data, x11-xkb-utils
Size: 1396928
SHA256: 3cc42a0e50b1a9e97edec402cb44c7006b6718f0d98f23294774fa15f774605c
SHA1: b644fe90941ca2c4c542f88abb7cc9ae0315ee08
MD5sum: 036d3bf8907fbd34236681d5697e6ce8
Description: common files used by various X servers
 This package provides files necessary for all X.Org based X servers.
Recommends: xfonts-base, xauth
Section: x11
Priority: optional
Filename: pool/main/x/xorg-server/xserver-common_1.12.4-6+deb7u8_all.deb

Package: xserver-xephyr
Source: xorg-server
Version: 2:1.12.4-6+deb7u8
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 1882
Depends: xserver-common (>= 2:1.12.4-6+deb7u8), libaudit0 (>= 1.7.13), libc6 (>= 2.13-28), libdrm2 (>= 2.3.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgl1-mesa-glx | libgl1, libpixman-1-0 (>= 0.21.6), libselinux1 (>= 2.0.82), libx11-6 (>= 2:1.4.99.1), libxau6, libxdmcp6, libxext6, libxfont1 (>= 1:1.4.2), libxv1
Recommends: libgl1-mesa-dri (>= 7.1~rc1)
Provides: xserver
Priority: optional
Section: x11
Filename: pool/main/x/xorg-server/xserver-xephyr_1.12.4-6+deb7u8_armhf.deb
Size: 933514
SHA256: 722048dfb8baf918305f904cea81428e81931ce24cbd0b3db3089d493262e14e
SHA1: 2515609ff04c65e6f438b61c4f5e36149276a5a0
MD5sum: 976b2c13ef68118af40f3f290c0e9f0e
Description: nested X server
 Xephyr is an X server that can be run inside another X server,
 much like Xnest. It is based on the kdrive X server, and as a
 result it supports newer extensions than Xnest, including render and
 composite.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xserver module.

Package: xserver-xfbdev
Source: xorg-server
Version: 2:1.12.4-6+deb7u8
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 1750
Depends: xserver-common (>= 2:1.12.4-6+deb7u8), libaudit0 (>= 1.7.13), libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libpixman-1-0 (>= 0.21.6), libselinux1 (>= 2.0.82), libxau6, libxdmcp6, libxfont1 (>= 1:1.4.2)
Provides: xserver
Priority: optional
Section: x11
Filename: pool/main/x/xorg-server/xserver-xfbdev_1.12.4-6+deb7u8_armhf.deb
Size: 864530
SHA256: c3aba330409c29f67bc363f8dcef8d2441609103184cfb1722215a3e4b47dbef
SHA1: 3256fb10f9dd545dfc79824e263d17f6d1aa381e
MD5sum: dfd656d9360fdd6464c5a88dc9466755
Description: Linux framebuffer device tiny X server
 xserver-xfbdev is a Linux framebuffer device tiny X server based on the
 kdrive X server.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xserver module.

Package: xserver-xorg
Source: xorg
Version: 1:7.7+3~deb7u1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 361
Depends: xserver-xorg-core (>= 2:1.11), xserver-xorg-video-all | xorg-driver-video, xserver-xorg-input-all | xorg-driver-input, xserver-xorg-input-evdev, libc6 (>= 2.13-28), xkb-data (>= 1.4), x11-xkb-utils
Recommends: libgl1-mesa-dri
Provides: xserver
Priority: optional
Section: x11
Filename: pool/main/x/xorg/xserver-xorg_7.7+3~deb7u1_armhf.deb
Size: 111548
SHA256: 099962b31b62ab987b8008d283f6249aaed05342a8eebb147e0b109e7cb09e07
SHA1: 0425a9449c63913c283fb242eea5045d6c308805
MD5sum: 0e67ad5ae9d9c501f3943ccf389cbf3e
Description: X.Org X server
 This package depends on the full suite of the server and drivers for the
 X.Org X server.  It does not provide the actual server itself.

Package: xserver-xorg-core
Source: xorg-server
Version: 2:1.12.4-6+deb7u8
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 3531
Depends: xserver-common (>= 2:1.12.4-6+deb7u8), keyboard-configuration, udev (>= 149), libaudit0 (>= 1.7.13), libc6 (>= 2.13-28), libdrm2 (>= 2.3.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libpciaccess0 (>= 0.12.902), libpixman-1-0 (>= 0.21.6), libselinux1 (>= 2.0.82), libudev0 (>= 154), libxau6, libxdmcp6, libxfont1 (>= 1:1.4.2)
Recommends: libgl1-mesa-dri (>= 7.10.2-4)
Suggests: xfonts-100dpi | xfonts-75dpi, xfonts-scalable
Conflicts: xserver-xorg-input-evtouch
Breaks: libgl1-mesa-dri (<< 7.10.2-4), libgl1-mesa-dri-experimental (<< 7.10.2-4), xserver-xorg-input, xserver-xorg-input-2, xserver-xorg-input-2.1, xserver-xorg-input-4, xserver-xorg-input-7, xserver-xorg-input-joystick (<= 1:1.5.0-3), xserver-xorg-input-synaptics (<= 1.2.2-1), xserver-xorg-input-tslib (<= 0.0.6-3), xserver-xorg-input-vmmouse (<= 1:12.6.5-4), xserver-xorg-input-wacom (<= 0.10.5+20100415-1), xserver-xorg-video, xserver-xorg-video-1.0, xserver-xorg-video-1.9, xserver-xorg-video-2, xserver-xorg-video-4, xserver-xorg-video-5, xserver-xorg-video-6, xserver-xorg-video-cyrix (<= 1:1.1.0-8), xserver-xorg-video-i810 (<< 2:2.4), xserver-xorg-video-imstt (<= 1:1.1.0-7), xserver-xorg-video-nsc (<= 1:2.8.3-4), xserver-xorg-video-sunbw2 (<= 1:1.1.0-5), xserver-xorg-video-v4l (<< 1:0.2.0), xserver-xorg-video-vga (<= 1:4.1.0-8)
Provides: xorg-input-abi-16, xorg-video-abi-12
Priority: optional
Section: x11
Filename: pool/main/x/xorg-server/xserver-xorg-core_1.12.4-6+deb7u8_armhf.deb
Size: 1606850
SHA256: 2c97cbdbc6bde7b2bd57fe5d92f2753f92bb34d87b355042c0f397596880f7aa
SHA1: 06f68788f535669f256748dfc22733401659f054
MD5sum: 0fce007d28ac395ec3be3fc8b21ff7fa
Description: Xorg X server - core server
 The Xorg X server is an X server for several architectures and operating
 systems, which is derived from the XFree86 4.x series of X servers.
 .
 The Xorg server supports most modern graphics hardware from most vendors,
 and supersedes all XFree86 X servers.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xserver module.

Package: xserver-xorg-core-dbg
Source: xorg-server
Version: 2:1.12.4-6+deb7u8
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 16417
Depends: xserver-xorg-core (= 2:1.12.4-6+deb7u8)
Priority: extra
Section: debug
Filename: pool/main/x/xorg-server/xserver-xorg-core-dbg_1.12.4-6+deb7u8_armhf.deb
Size: 6397452
SHA256: fd5018dc4e8f746a9574ed42f910f0eb70dcb3ec07894e7753d46a5f7baa75f6
SHA1: 4086785757431eff803209e698fe0ca95497aaa7
MD5sum: 27640fe1784b3d78436a2c0c652d73e9
Description: Xorg - the X.Org X server (debugging symbols)
 The Xorg X server is an X server for several architectures and operating
 systems, which is derived from the XFree86 4.x series of X servers.
 .
 The Xorg server supports most modern graphics hardware from most vendors,
 and supersedes all XFree86 X servers.
 .
 This package provides debugging symbols for the Xorg X server and associated
 modules.

Package: xserver-xorg-dev
Source: xorg-server
Version: 2:1.12.4-6+deb7u8
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 1392
Depends: libpixman-1-dev (>= 0.21.8), x11proto-core-dev (>= 7.0.22), x11proto-input-dev (>= 2.1.99.6), x11proto-xext-dev (>= 7.1.99), x11proto-video-dev, x11proto-randr-dev (>= 1.2.99.3), x11proto-render-dev (>= 2:0.11), x11proto-dri2-dev (>= 2.6), x11proto-fonts-dev, x11proto-xinerama-dev, x11proto-kb-dev, libxkbfile-dev, libpciaccess-dev
Priority: optional
Section: x11
Filename: pool/main/x/xorg-server/xserver-xorg-dev_1.12.4-6+deb7u8_armhf.deb
Size: 305354
SHA256: 12f18da83193dbb0d5f967dd4bf96df3aa7fae6b598ff3387b98622352b5d34f
SHA1: 535220a407398f1fd88a6839f67e2bd1e0626563
MD5sum: e268d3a3d45755d65d7ee62b8f07213d
Description: Xorg X server - development files
 This package provides development files for the X.Org ('Xorg') X server.
 This is not quite the same as the DDK (Driver Development Kit) from the
 XFree86 4.x and X.Org 6.7, 6.8 and 6.9 series of servers; it provides
 headers and a pkg-config file for drivers using autotools to build
 against.
 .
 Unless you are developing or building a driver, you probably want
 xserver-xorg and/or xserver-xorg-core instead.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xserver module.

Package: xserver-xorg-input-acecad
Version: 1:1.5.0-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 87
Depends: libc6 (>= 2.13-28), xorg-input-abi-16, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-input
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-input-acecad/xserver-xorg-input-acecad_1.5.0-1_armhf.deb
Size: 24966
SHA256: b366bf539332d14d6a650d3929008b901669300c31c3410b45c2bc2d2de26680
SHA1: 4cbf28b53bb75357c651041a631c2d5cd09335cd
MD5sum: c4a5bf35ff49d05a5e60c9fadd556b0f
Description: X.Org X server -- AceCad input driver
 This package provides the driver for AceCad Flair input devices.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-input-acecad driver module.

Package: xserver-xorg-input-aiptek
Version: 1:1.4.1-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 95
Depends: libc6 (>= 2.13-28), xorg-input-abi-16, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-input
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-input-aiptek/xserver-xorg-input-aiptek_1.4.1-1_armhf.deb
Size: 28756
SHA256: 7ad917f519674126e97fbc050e3c6f3cee16b2709eb1fa737da56d3cb3a00d25
SHA1: 287890ded7667c8029650567922f089227b6d6a9
MD5sum: bf96777686dfb8e5bb2ca0c5ee022c59
Description: X.Org X server -- Aiptek input driver
 This package provides the driver for Aiptek HyperPen USB graphics tablets.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-input-aiptek driver module.

Package: xserver-xorg-input-all
Source: xorg
Version: 1:7.7+3~deb7u1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 62
Depends: xserver-xorg-input-evdev, xserver-xorg-input-synaptics
Recommends: xserver-xorg-input-wacom
Priority: optional
Section: x11
Filename: pool/main/x/xorg/xserver-xorg-input-all_7.7+3~deb7u1_armhf.deb
Size: 35912
SHA256: 88d038d5732f7af6578c2f97e66a232e9a47a42a8f3e7619f4bc524cd16da821
SHA1: 2c31e043d09f6cba3b2375adb54ce992b411572d
MD5sum: 616943ddb028c27e1dafcb1d8682ccfb
Description: X.Org X server -- input driver metapackage
 This package depends on the full suite of input drivers for the X.Org X server
 (Xorg).  It does not provide any drivers itself, and may be removed if you wish
 to only have certain drivers installed.

Package: xserver-xorg-input-elographics
Version: 1:1.4.1-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 84
Depends: libc6 (>= 2.13-28), xorg-input-abi-16, xserver-xorg-core (>= 2:1.12.3.901)
Provides: xorg-driver-input
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-input-elographics/xserver-xorg-input-elographics_1.4.1-1_armhf.deb
Size: 21978
SHA256: cac242c7d91631768260206ee9a1c6de2cbdcf2dd03c91e4ad5c521f994dc9a4
SHA1: 835523f876c348e2d32c7cc914e76d7469e8ad99
MD5sum: 64bdb78593172542c531bb34c3d8031e
Description: X.Org X server -- ELOGraphics input driver
 This package provides the driver for ELO Graphics touchscreens.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-input-elographics driver module.

Package: xserver-xorg-input-evdev
Source: xserver-xorg-input-evdev (1:2.7.0-1)
Version: 1:2.7.0-1+b2
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 176
Depends: libc6 (>= 2.13-28), xorg-input-abi-16, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-input
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-input-evdev/xserver-xorg-input-evdev_2.7.0-1+b2_armhf.deb
Size: 100624
SHA256: 7b00b8f971b354709b6d5bf93dc988270c2151a9914bd6a4cc8ba15a331b8648
SHA1: e5888a1dad9aba0277b93be184b480e3ab97bec9
MD5sum: 73ae158546b9fb1b3d5dd25a53acbab2
Description: X.Org X server -- evdev input driver
 This package provides the driver for input devices using evdev, the Linux
 kernel's event delivery mechanism.  This driver allows for multiple keyboards
 and mice to be treated as separate input devices.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-input-evdev driver module.

Package: xserver-xorg-input-evdev-dev
Source: xserver-xorg-input-evdev
Version: 1:2.7.0-1
Installed-Size: 121
Maintainer: Debian X Strike Force 
Architecture: all
Size: 78378
SHA256: 6f5f6d038273daa14dcc7d8c39ec0f8d35aabaa8f1b4401da81ed2ad1ce2190c
SHA1: 32b80a1038932e416f4f1c9842dc616a3b930ec9
MD5sum: 5bac36ed2be5fe98592e770f9d82ce17
Description: X.Org X server -- evdev input driver (development headers)
 This package provides the development headers for the evdev input driver
 found in xserver-xorg-input-evdev. Non-developers likely have little use
 for this package.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-input-evdev driver module.
Tag: devel::library, role::devel-lib
Section: libdevel
Priority: optional
Filename: pool/main/x/xserver-xorg-input-evdev/xserver-xorg-input-evdev-dev_2.7.0-1_all.deb

Package: xserver-xorg-input-joystick
Version: 1:1.6.1-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 123
Depends: libc6 (>= 2.13-28), xorg-input-abi-16, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-input
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-input-joystick/xserver-xorg-input-joystick_1.6.1-1_armhf.deb
Size: 47714
SHA256: 62f1f0f26767ecdfd105a56073bfc412a086231c5e0fe72bbf8bc9c7383970db
SHA1: f10f4fd44586296d81000f57cf282bf1e89dc5fe
MD5sum: 9d39cb4d868d8ad2d0aea3f86e30ebf0
Description: X.Org X server -- joystick input driver
 This package provides the driver for joysticks.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-input-joystick driver module.

Package: xserver-xorg-input-joystick-dev
Source: xserver-xorg-input-joystick
Version: 1:1.6.1-1
Installed-Size: 74
Maintainer: Debian X Strike Force 
Architecture: all
Size: 31632
SHA256: ed66c0fcdf34dbf1c3e974a475a30cf19c46924c32adcf5b45c89cbb7e6214c3
SHA1: d1b1911c4134e597825606eba02d79ebe40697dd
MD5sum: a933b150f926cd13d6c902454c220255
Description: X.Org X server -- joystick input driver (development headers)
 This package provides the development headers for the joystick input
 driver found in xserver-xorg-input-joystick. Non-developers likely have
 little use for this package.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-input-joystick driver module.
Tag: devel::library, hardware::input, hardware::input:joystick,
 role::devel-lib
Section: libdevel
Priority: optional
Filename: pool/main/x/xserver-xorg-input-joystick/xserver-xorg-input-joystick-dev_1.6.1-1_all.deb

Package: xserver-xorg-input-kbd
Source: xserver-xorg-input-keyboard
Version: 1:1.6.1-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 111
Depends: libc6 (>= 2.13-28), xorg-input-abi-16, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-input
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-input-keyboard/xserver-xorg-input-kbd_1.6.1-1_armhf.deb
Size: 37560
SHA256: 4bcb70e968121265c59ed3c2a3c41f710252e8f72583b04ba528fc585a706d9a
SHA1: 13ac5989872cb8b1902c579a736c34e1a465eab8
MD5sum: 3b9f16b80a8bb97b77d27ac4984510f7
Description: X.Org X server -- keyboard input driver
 This package provides the driver for keyboard input devices.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-input-keyboard driver module.

Package: xserver-xorg-input-mouse
Version: 1:1.7.2-3
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 139
Depends: libc6 (>= 2.13-28), xorg-input-abi-16, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-input
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-input-mouse/xserver-xorg-input-mouse_1.7.2-3_armhf.deb
Size: 63354
SHA256: 89400150da8c09d85075c8b66d83ecd65433e5ce2fab74983983658dcbdf4886
SHA1: 13043c9629fd4e145c2979c8ed12ad21683be794
MD5sum: 1a5056ea036d7f3eddf7e9606302201c
Description: X.Org X server -- mouse input driver
 This package provides the driver for mouse input devices.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-input-mouse driver module.

Package: xserver-xorg-input-mtrack
Source: xf86-input-mtrack
Version: 0.2.0-3
Architecture: armhf
Maintainer: Nobuhiro Iwamatsu 
Installed-Size: 98
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmtdev1 (>= 1.0.10), xorg-input-abi-16, xserver-xorg-core (>= 2:1.11.99.901)
Conflicts: xserver-xorg-input-multitouch
Provides: xorg-driver-input
Homepage: https://github.com/BlueDragonX/xf86-input-mtrack
Priority: optional
Section: x11
Filename: pool/main/x/xf86-input-mtrack/xserver-xorg-input-mtrack_0.2.0-3_armhf.deb
Size: 24322
SHA256: 538db75b5114c0c300079342cd7f41349adf52b2c5548010a4423942ee90bb63
SHA1: 143a681c5062e180d6717b25ef10889cf00c30ab
MD5sum: 537f1236d5b9b7b22c09fdfdcf220317
Description: Multitouch X input driver
 This X input driver provides gestures support for multitouch touchpads,
 in particular those with integrated button.
 .
 This fork from xf86-input-multitouch. Detailed setting of InputClass
 section comes to be possible in comparison with xf86-input-multitouch.

Package: xserver-xorg-input-multitouch
Source: xf86-input-multitouch
Version: 1.0~rc2+git20110312-2
Architecture: armhf
Maintainer: Nobuhiro Iwamatsu 
Installed-Size: 81
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmtdev1 (>= 1.0.10), xorg-input-abi-16, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-input
Homepage: http://bitmath.org/code/multitouch/
Priority: optional
Section: x11
Filename: pool/main/x/xf86-input-multitouch/xserver-xorg-input-multitouch_1.0~rc2+git20110312-2_armhf.deb
Size: 15322
SHA256: 2539545743fc9f3f2b2495c62e485277aed46e1bef978a1d2b80aa599312d1ce
SHA1: 272087d3cf00ac3078ac1eb79e95a8fd4d6a52da
MD5sum: 4e6d8fd082ed6bdd2cb17bacc9f7a893
Description: Multitouch X input driver
 This X input driver provides gestures support for multitouch touchpads,
 in particular those with integrated button.

Package: xserver-xorg-input-mutouch
Version: 1:1.3.0-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 81
Depends: libc6 (>= 2.13-28), xorg-input-abi-16, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-input
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-input-mutouch/xserver-xorg-input-mutouch_1.3.0-1_armhf.deb
Size: 19024
SHA256: 42f013bef5afe6d50c42ef983fae92013936bd0cab88fb1222236e728a28c16d
SHA1: a5aec036fde19c3051cbbc248ea64987b892cef9
MD5sum: d3e4a511c51fd3c2ecd2f1edd862c140
Description: X.Org X server -- muTouch input driver
 This package provides the driver for Microtouch input devices with firmware
 version 2.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-input-mutouch driver module.

Package: xserver-xorg-input-penmount
Version: 1:1.5.0-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 78
Depends: libc6 (>= 2.13-28), xorg-input-abi-16, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-input
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-input-penmount/xserver-xorg-input-penmount_1.5.0-1_armhf.deb
Size: 17552
SHA256: d5fddb2d1c679d0c1deac450192a9eceff037dca08704f32ce3ea08d046fb311
SHA1: b16f9c5076bb087ef00af0f893e92bd0a4056d31
MD5sum: f1684b00f6630a22dd09552fceaa9c7e
Description: X.Org X server -- Penmount input driver
 This package provides the driver for Penmount input devices.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-input-penmount driver module.

Package: xserver-xorg-input-synaptics
Version: 1.6.2-2
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 317
Depends: libc6 (>= 2.13-28), libmtdev1 (>= 1.1.0), libx11-6, libxi6 (>= 2:1.2.0), libxtst6, xorg-input-abi-16, xserver-xorg-core (>= 2:1.12.3.901)
Suggests: gpointing-device-settings, touchfreeze
Conflicts: xorg-driver-synaptics
Replaces: xorg-driver-synaptics
Provides: xorg-driver-input, xorg-driver-synaptics
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-input-synaptics/xserver-xorg-input-synaptics_1.6.2-2_armhf.deb
Size: 204430
SHA256: 29c451f9a703e83b7a523fff5cc4b0270f2f5b68ce22c57bb73134f098547f4d
SHA1: 2de25253210d0d27cf6b566c4bcc213c1321e7a7
MD5sum: 0862ba862b651ec27f2b312a51e3c13c
Description: Synaptics TouchPad driver for X.Org server
 This package provides an input driver for the X.Org X server to enable
 advanced features of the Synaptics Touchpad including:
 .
  * Movement with adjustable, non-linear acceleration and speed
  * Button events through short touching of the touchpad
  * Double-Button events through double short touching of the touchpad
  * Dragging through short touching and holding down the finger on the touchpad
  * Middle and right button events on the upper and lower corner of the touchpad
  * Vertical scrolling (button four and five events) through moving the finger
    on the right side of the touchpad
  * The up/down button sends button four/five events
  * Horizontal scrolling (button six and seven events) through moving the finger
    on the lower side of the touchpad
  * The multi-buttons send button four/five events, and six/seven events for
    horizontal scrolling
  * Adjustable finger detection
  * Multifinger taps: two finger for middle button and three finger for right
    button events. (Needs hardware support. Not all models implement this
    feature.)
  * Run-time configuration using shared memory. This means you can change
    parameter settings without restarting the X server (see synclient(1)).
  * It also provides a daemon to disable touchpad while typing at the keyboard
    and thus avoid unwanted mouse movements (see syndaemon(1)).

Package: xserver-xorg-input-synaptics-dev
Source: xserver-xorg-input-synaptics
Version: 1.6.2-2
Installed-Size: 187
Maintainer: Debian X Strike Force 
Architecture: all
Depends: x11proto-core-dev
Size: 144390
SHA256: 573a06dfe049440109cbb5d4cb09d9609f6be1a189fee45f40d05aa18af872f3
SHA1: 2e1bf4e6eab28f67d24c729dd797aa21e46b3cf3
MD5sum: d5d01a1b15722110a25aeadecf019c9c
Description: Synaptics TouchPad driver for X.Org server (development headers)
 This package contains the development headers for the Synaptics input
 driver found in xserver-xorg-input-synaptics. Non-developers likely have
 little use for this package.
Tag: devel::library, hardware::input, role::devel-lib
Section: libdevel
Priority: optional
Filename: pool/main/x/xserver-xorg-input-synaptics/xserver-xorg-input-synaptics-dev_1.6.2-2_all.deb

Package: xserver-xorg-input-tslib
Source: xf86-input-tslib
Version: 0.0.6-7
Architecture: armhf
Maintainer: Debian Embedded 
Installed-Size: 77
Depends: libc6 (>= 2.13-28), libts-0.0-0 (>= 1.0), xorg-input-abi-16, xserver-xorg-core (>= 2:1.11.99.901)
Conflicts: xf86-input-tslib
Replaces: xf86-input-tslib
Provides: xf86-input-tslib, xorg-driver-input
Homepage: http://pengutronix.de/software/xf86-input-tslib/index_en.html
Priority: optional
Section: x11
Filename: pool/main/x/xf86-input-tslib/xserver-xorg-input-tslib_0.0.6-7_armhf.deb
Size: 14266
SHA256: 2cfb8e103b8b9b9e426ab93a4e69ca36f27d54c8163c2f09106573278080eacc
SHA1: f2f987341d78e3152940a1567854a14e003f247f
MD5sum: d64da62d3be68a286885c1bdeac0639a
Description: tslib touchscreen driver for X.Org/XFree86 server
 This X.Org/XFree86 driver provides support for touchscreens input
 devices.  The driver is based on tslib which supports events for moving
 in absolute coordinates and relative coordinates.
 .
 This package is built from the xf86-input-tslib driver module.

Package: xserver-xorg-input-void
Version: 1:1.4.0-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 74
Depends: libc6 (>= 2.13-28), xorg-input-abi-16, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-input
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-input-void/xserver-xorg-input-void_1.4.0-1_armhf.deb
Size: 15724
SHA256: 2ab4560371f75b20a92f1d6e25072926e6d6ba33971e4a61c76cac461caac022
SHA1: ba1ee9f3f90560ff7421d589cb50eea70225da27
MD5sum: f64cc0c394d5d37ce91c0ff60f602d71
Description: X.Org X server -- void input driver
 This package provides the void input driver, which never actually registers
 any input events -- it is, as such, a 'fake' driver.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-input-void driver module.

Package: xserver-xorg-input-wacom
Source: xf86-input-wacom
Version: 0.15.0+20120515-2
Architecture: armhf
Maintainer: Ron Lee 
Installed-Size: 250
Depends: xorg-input-abi-16, xserver-xorg-core (>= 2:1.12.3.901), libc6 (>= 2.13-28), libx11-6, libxi6 (>= 2:1.2.0), libxinerama1, libxrandr2 (>= 2:1.2.0)
Suggests: xinput
Conflicts: wacom-tools (<< 0.10.0)
Replaces: wacom-tools (<< 0.10.0)
Provides: xorg-driver-input
Homepage: http://linuxwacom.sf.net
Priority: optional
Section: x11
Filename: pool/main/x/xf86-input-wacom/xserver-xorg-input-wacom_0.15.0+20120515-2_armhf.deb
Size: 87454
SHA256: dbc0b9d5e0374d8c2377d525786cf76d042e41476e656fe750a7c5e1f4573f45
SHA1: fd799121aec46897288bda1ec164d9925ecd428d
MD5sum: 3299a4a08516aa70fe90a8fb73525097
Description: X.Org X server -- Wacom input driver
 This package provides the X.Org driver for Wacom tablet devices.

Package: xserver-xorg-video-all
Source: xorg
Version: 1:7.7+3~deb7u1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 62
Depends: xserver-xorg-video-ati, xserver-xorg-video-fbdev, xserver-xorg-video-nouveau, xserver-xorg-video-vesa
Conflicts: xserver-xorg-driver-all
Replaces: xserver-xorg-driver-all
Priority: optional
Section: x11
Filename: pool/main/x/xorg/xserver-xorg-video-all_7.7+3~deb7u1_armhf.deb
Size: 35932
SHA256: 69101366129c6094d0f17b91fb9e851daa06964030f23d04aacda157a66ffd8d
SHA1: eca595ce367f39b407f2db905e8bb73f0e4a74db
MD5sum: 89165de8280f09f9a8fbeae12056e33b
Description: X.Org X server -- output driver metapackage
 This package depends on the full suite of output drivers for the X.Org X server
 (Xorg).  It does not provide any drivers itself, and may be removed if you wish
 to only have certain drivers installed.

Package: xserver-xorg-video-apm
Version: 1:1.2.3-3
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 140
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-apm/xserver-xorg-video-apm_1.2.3-3_armhf.deb
Size: 51280
SHA256: cdab710ba6198fe254eb0434b54bfd170544529487ae798614c95495e49f1b42
SHA1: fc567b4c74729aec8f59123d5be18e69fc6583e5
MD5sum: b5cd2234a4a9a50dbe56667f3b6d9c09
Description: X.Org X server -- APM display driver
 This package provides the driver for the Alliance Pro Motion family
 of video cards; specifically, the 6420, 6422, AT24, AT25, and AT3D
 cards.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-apm driver module.

Package: xserver-xorg-video-ark
Version: 1:0.7.4-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 77
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-ark/xserver-xorg-video-ark_0.7.4-1_armhf.deb
Size: 22362
SHA256: aa993afd799cda0f494f12e9d8e947f089faab507c56ad2c774eb0551a7a0bbe
SHA1: 82a106a8855c74ccf5aef3986a187487d7a76c07
MD5sum: cdc13f553990f8b869c34e883c688c12
Description: X.Org X server -- ark display driver
 This package provides the driver for the ark family
 of chipsets.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-ark driver module.

Package: xserver-xorg-video-ati
Version: 1:6.14.4-8
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 329
Depends: libc6 (>= 2.13-28), libpciaccess0, xorg-video-abi-12, xserver-xorg-core (>= 2:1.12.3.901), xserver-xorg-video-r128, xserver-xorg-video-mach64, xserver-xorg-video-radeon
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-ati/xserver-xorg-video-ati_6.14.4-8_armhf.deb
Size: 275036
SHA256: 5a6711ff38f217202ac353e243056e78f6e39c1dcf21a6eb24f2d0f2f8290d37
SHA1: 8822f7b01c3e3f7c78d43e15d0b203b227fcd9de
MD5sum: 9deeeeaabe965f95aa5fa8f3b91cf768
Description: X.Org X server -- AMD/ATI display driver wrapper
 This package provides the 'ati' driver for the AMD/ATI Mach64, Rage128,
 Radeon, FireGL, FireMV, FirePro and FireStream series. This driver is
 actually a wrapper that loads one of the 'mach64', 'r128' or 'radeon'
 sub-drivers depending on the hardware.
 These sub-drivers are brought through package dependencies.
 .
 Users of Rage, Mach, or Radeon boards may remove this package only if
 they use Driver "r128", "mach64", or "radeon" in /etc/X11/xorg.conf
 instead of relying on autodetection.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-ati driver module.

Package: xserver-xorg-video-ati-dbg
Source: xserver-xorg-video-ati
Version: 1:6.14.4-8
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 336
Depends: xserver-xorg-video-ati (= 1:6.14.4-8), xserver-xorg-video-mach64-dbg, xserver-xorg-video-r128-dbg, xserver-xorg-video-radeon-dbg
Priority: extra
Section: debug
Filename: pool/main/x/xserver-xorg-video-ati/xserver-xorg-video-ati-dbg_6.14.4-8_armhf.deb
Size: 279486
SHA256: f6d44dac69a5cba3c49160de0f09b4c95fc96f217b9227bf037e3d935fe8c3b0
SHA1: a3cf5acdb9a869872b3e900ce787adca353869ac
MD5sum: bc291a925b0b1fbaf32b39007531bb57
Description: X.Org X server -- AMD/ATI display driver wrapper (debugging symbols)
 This package provides the 'ati' driver for the AMD/ATI Mach64, Rage128,
 Radeon, FireGL, FireMV, FirePro and FireStream series. This driver is
 actually a wrapper that loads one of the 'mach64', 'r128' or 'radeon'
 sub-drivers depending on the hardware.
 These sub-drivers are brought through package dependencies.
 .
 This package provides debugging symbols for this X.org X driver wrapper
 and brings debugging symbols for sub-drivers through package dependencies.

Package: xserver-xorg-video-chips
Version: 1:1.2.4-2
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 195
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-chips/xserver-xorg-video-chips_1.2.4-2_armhf.deb
Size: 79258
SHA256: 8e771da638077612e81c8f76b84e59c00f70ae8b0d31c00828892d22546e8b69
SHA1: 31f86198e7d079a85597f87b1bff9a8a5f0af906
MD5sum: 1346ef57b964df0c0e0ee7172f009c3b
Description: X.Org X server -- Chips display driver
 This package provides the driver for the Chips & Technologies family
 of video cards.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-chips driver module.

Package: xserver-xorg-video-cirrus
Version: 1:1.4.0-2
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 138
Depends: libc6 (>= 2.13-28), xorg-video-abi-12, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-cirrus/xserver-xorg-video-cirrus_1.4.0-2_armhf.deb
Size: 45396
SHA256: 9b56c51bf1fb6d63b52ea6d5db1a30d68dad83e1131b7433451024f86d49a729
SHA1: 81ef32d6f796331dfae59c441bbb663b9b0b5e56
MD5sum: fc6eba82bd097c47a95c45290b9a3802
Description: X.Org X server -- Cirrus display driver
 This package provides the driver for the Cirrus Logic family of video
 cards.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-cirrus driver module.

Package: xserver-xorg-video-dummy
Source: xserver-xorg-video-dummy (1:0.3.5-2)
Version: 1:0.3.5-2+b1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 71
Depends: libc6 (>= 2.13-28), xorg-video-abi-12, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-dummy/xserver-xorg-video-dummy_0.3.5-2+b1_armhf.deb
Size: 18692
SHA256: 08d64abf5279e330196687fcea55429bee9c1a9be27fbffe1c1f6cfb34f33a6b
SHA1: 89f1b93f9d05eee4eb31dc92369b300f99dd9e4b
MD5sum: bc6f57d651e83ece6ab674b861b69775
Description: X.Org X server -- dummy display driver
 This package provides a 'dummy' display driver, which does not actually
 display anything.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-dummy driver module.

Package: xserver-xorg-video-fbdev
Source: xserver-xorg-video-fbdev (1:0.4.2-4)
Version: 1:0.4.2-4+b2
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 87
Depends: libc6 (>= 2.13-28), xorg-video-abi-12, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-fbdev/xserver-xorg-video-fbdev_0.4.2-4+b2_armhf.deb
Size: 22136
SHA256: e07456509c6d090c59191cd351798137b7452a8cb49f430a91243dd39e9b8540
SHA1: 63f521c2d722ae355a13417c8c391f733ebc1747
MD5sum: 98b5b3979e241686c43db67537c34f66
Description: X.Org X server -- fbdev display driver
 This package provides the driver for the Linux framebuffer device (aka
 'fbdev').
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-fbdev driver module.

Package: xserver-xorg-video-glint
Version: 1:1.2.7-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 274
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-glint/xserver-xorg-video-glint_1.2.7-1_armhf.deb
Size: 109440
SHA256: 4e950b133706da2636bca7aa1bb29ec6f0ad1849bbb3efb3c3262c1f4be356a0
SHA1: a5589cb9342c57b486375e8f8293a6fafbe5897f
MD5sum: 9c4bd147feb893d960c0f695814b985f
Description: X.Org X server -- Glint display driver
 This package provides the driver for the Texas Instruments/3DLabs Glint and
 Permedia series of video cards.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-glint driver module.

Package: xserver-xorg-video-i128
Version: 1:1.3.5-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 120
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-i128/xserver-xorg-video-i128_1.3.5-1_armhf.deb
Size: 40272
SHA256: b07d3fd0a557a85006966c0d5c24f199257bbcde8f5dba7f23e4050716f258a9
SHA1: 0a7c1e3e202555e05f0a44432ea594ce948514e6
MD5sum: 9c8380da09f27d14162ea0d47aa948cd
Description: X.Org X server -- i128 display driver
 This package provides the driver for Number 9 Imagine (I128) video cards.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-i128 driver module.

Package: xserver-xorg-video-i740
Version: 1:1.3.2-4
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 106
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-i740/xserver-xorg-video-i740_1.3.2-4_armhf.deb
Size: 31392
SHA256: 0459817cc7feb62263e0cd159a36e59ee2b9330638fd0c1fd58af0c6f5e8b3a6
SHA1: b744ccbd71d9b8dfe37eba5938865315550a2ee2
MD5sum: d494f43b04d4559e3735ff4a716f8977
Description: X.Org X server -- i740 display driver
 This package provides the driver for the Intel i740 family of video chipsets.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-i740 driver module.

Package: xserver-xorg-video-mach64
Source: xserver-xorg-video-mach64 (6.9.1-2)
Version: 6.9.1-2+b1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 318
Depends: libc6 (>= 2.13-28), xorg-video-abi-12, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-mach64/xserver-xorg-video-mach64_6.9.1-2+b1_armhf.deb
Size: 199120
SHA256: e5f93a359769477618e242a4208176103d8faa8351f583844e0268afa831510e
SHA1: c70a94df0bffb66a33d961716aec64f0eef4e314
MD5sum: 5d53783a6f5b47ed1d7452d246b70899
Description: X.Org X server -- ATI Mach64 display driver
 This driver for the X.Org X server (see xserver-xorg for a further description)
 provides support for the ATI Mach64 series.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-mach64 driver module.

Package: xserver-xorg-video-mach64-dbg
Source: xserver-xorg-video-mach64 (6.9.1-2)
Version: 6.9.1-2+b1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 1492
Depends: xserver-xorg-video-mach64 (= 6.9.1-2+b1)
Priority: extra
Section: debug
Filename: pool/main/x/xserver-xorg-video-mach64/xserver-xorg-video-mach64-dbg_6.9.1-2+b1_armhf.deb
Size: 785070
SHA256: 92257263d4893c8adc00e3a2ddaa0261db8d361c841a8dc84ed0ab328459aaa9
SHA1: e4f42e7698536499e16fc857c64efe806982295b
MD5sum: 22869bdffad430008af24e16a1cf9f67
Description: X.Org X server -- ATI display driver (debugging symbols)
 This driver for the X.Org X server (see xserver-xorg for a further description)
 provides support for the ATI Mach64 series.
 .
 This package provides debugging symbols for the Xorg X server ATI Mach64
 display driver.

Package: xserver-xorg-video-mga
Version: 1:1.5.0-3
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 244
Depends: libc6 (>= 2.13-28), xorg-video-abi-12, xserver-xorg-core (>= 2:1.12.3.901)
Suggests: firmware-linux
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-mga/xserver-xorg-video-mga_1.5.0-3_armhf.deb
Size: 118262
SHA256: 2f7926492018652408794b4d1c6fb71b1d28d694baf20670a1be3c04a8d632d2
SHA1: b3b2d8b5145e7d1b439a1fb4737d74f6958bc23e
MD5sum: 552a3a7578e5ae95dcf5730460a4fb93
Description: X.Org X server -- MGA display driver
 This package provides the driver for the Matrox MGA family of chipsets,
 including Matrox Millennium and Mystique cards.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-mga driver module.

Package: xserver-xorg-video-modesetting
Version: 0.3.0-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 95
Depends: libc6 (>= 2.13-28), libdrm2 (>= 2.4.25), libudev0 (>= 146), xorg-video-abi-12, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-modesetting/xserver-xorg-video-modesetting_0.3.0-1_armhf.deb
Size: 25752
SHA256: 1120ac70632e4696406b16f3d30d700a0587ce8de115251cced6f3aa3ee1d7a6
SHA1: 18ca570860603c6352464b4c6e07553975feb6a2
MD5sum: 0e4382fc808997a0f6afd9d6779b1f43
Description: X.Org X server -- Generic modesetting driver
 This package provides a generic modesetting driver.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-modesetting driver module.

Package: xserver-xorg-video-modesetting-dbg
Source: xserver-xorg-video-modesetting
Version: 0.3.0-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 180
Depends: xserver-xorg-video-modesetting (= 0.3.0-1)
Priority: extra
Section: debug
Filename: pool/main/x/xserver-xorg-video-modesetting/xserver-xorg-video-modesetting-dbg_0.3.0-1_armhf.deb
Size: 66948
SHA256: 0d172390890dd4fcf55c74578bab859308c5976afe66fb490f5c58fbc016c4c7
SHA1: 7389ae8c62ce0cf32c144811f6f597cf48ebb308
MD5sum: 66ee6e571712a56c148bd4b903939b2f
Description: X.Org X server -- Generic modesetting driver (debug symbols)
 This driver provides support for the generic modesetting driver.
 .
 This package provides debugging symbols for this Xorg X driver.

Package: xserver-xorg-video-neomagic
Version: 1:1.2.6-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 136
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-neomagic/xserver-xorg-video-neomagic_1.2.6-1_armhf.deb
Size: 45430
SHA256: e646fc4c1fd5e7ca70af9e3c133b4f5e2e5887c9417f9b8e5bacbb1a4123e695
SHA1: 13ee5a4894e5aa08d3f1b52edceaa33ace96b1d9
MD5sum: 6732196936e3542d29ab989fd89d9b7e
Description: X.Org X server -- Neomagic display driver
 This package provides the driver for Neomagic MagicGraph chipsets, which are
 commonly found in laptops.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-neomagic driver module.

Package: xserver-xorg-video-nouveau
Version: 1:1.0.1-5
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 436
Depends: libc6 (>= 2.13-28), libdrm2 (>= 2.4.17), libgcc1 (>= 1:4.4.0), libudev0 (>= 146), xorg-video-abi-12, xserver-xorg-core (>= 2:1.12.3.901)
Recommends: libgl1-mesa-dri (>= 7.11.1)
Provides: xorg-driver-video
Homepage: http://nouveau.freedesktop.org/wiki/
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-nouveau/xserver-xorg-video-nouveau_1.0.1-5_armhf.deb
Size: 303306
SHA256: 1ab3d0786938e72a9d439aa28572f9322cb075eb7d6782786fbfcbbe24d3c1d2
SHA1: 330929906a2677406dd584f089e5c875a5dbe3ea
MD5sum: 1436b8867ae13b6cdbf66df89852bff8
Description: X.Org X server -- Nouveau display driver
 This driver for the X.Org X server (see xserver-xorg for a further description)
 provides support for NVIDIA Riva, TNT, GeForce, and Quadro cards.
 .
 This package provides 2D support including EXA acceleration, Xv and
 RandR.  3D functionality is provided by the libgl1-mesa-dri package.
 .
 This package is built from the FreeDesktop.org xf86-video-nouveau driver.

Package: xserver-xorg-video-nouveau-dbg
Source: xserver-xorg-video-nouveau
Version: 1:1.0.1-5
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 1747
Depends: xserver-xorg-video-nouveau (= 1:1.0.1-5)
Homepage: http://nouveau.freedesktop.org/wiki/
Priority: extra
Section: debug
Filename: pool/main/x/xserver-xorg-video-nouveau/xserver-xorg-video-nouveau-dbg_1.0.1-5_armhf.deb
Size: 839060
SHA256: 65a509167df3ca67b630ad9408ebf7bb6005a06314c43c9eff107034ccd34d2e
SHA1: 5af4421ab83dcfb8ec9f6d987764e7fbe66b912d
MD5sum: 804472e621477655208186954f1de3c4
Description: X.Org X server -- Nouveau display driver (debug symbols)
 This driver for the X.Org X server (see xserver-xorg for a further description)
 provides support for NVIDIA Riva, TNT, GeForce, and Quadro cards.
 .
 This package provides 2D support including EXA acceleration, Xv and
 RandR.  3D functionality is provided by the libgl1-mesa-dri package.
 .
 This package provides debugging symbols for this Xorg X driver.

Package: xserver-xorg-video-omap3
Source: xf86-video-omapfb
Version: 0.1.1.1-1
Architecture: armhf
Maintainer: Riku Voipio 
Installed-Size: 94
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Conflicts: xserver-xorg-video-omapfb
Provides: xorg-driver-video
Homepage: http://gitweb.pingu.fi/?p=xf86-video-omapfb.git;a=tree
Priority: optional
Section: x11
Filename: pool/main/x/xf86-video-omapfb/xserver-xorg-video-omap3_0.1.1.1-1_armhf.deb
Size: 18780
SHA256: 47fece6ee5c2dc917dd3debaaf3294d4ec004b161f354a79d529bb897d067e9f
SHA1: 8b65a36234c7a2db9c465a05bf2500c7057a70da
MD5sum: fa2d77104211a7ccc7b724a423ea6cfe
Description: X.Org X server -- Omapfb display driver (NEON optimized)
 This driver for the X.Org X server (see xserver-xorg for a further
 description) provides support for OMAP Framebuffer with NEON optimized code
 for Beagleboard and other devices based on OMAP3 or newer.
 .
 More information about X.Org can be found at:
 
 
 
 .
 This package is built from the X.org xf86-video-omapfb driver module.

Package: xserver-xorg-video-omapfb
Source: xf86-video-omapfb
Version: 0.1.1.1-1
Architecture: armhf
Maintainer: Riku Voipio 
Installed-Size: 81
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Conflicts: xserver-xorg-video-omap3
Provides: xorg-driver-video
Homepage: http://gitweb.pingu.fi/?p=xf86-video-omapfb.git;a=tree
Priority: optional
Section: x11
Filename: pool/main/x/xf86-video-omapfb/xserver-xorg-video-omapfb_0.1.1.1-1_armhf.deb
Size: 18352
SHA256: 27fab76259462a84c066d3acb3f9d0dbea212a176b932ad40b0bfe7b1d3b8182
SHA1: 72d117fafd2fa272a03577ca6ec4defca93cee56
MD5sum: 462b7ede35b65633e5f4ede5663aa042
Description: X.Org X server -- Omapfb display driver
 This driver for the X.Org X server (see xserver-xorg for a further
 description) provides support for OMAP Framebuffer as in Nokia N8x0 and
 other devices with OMAP Display Subsystem. If you have a OMAP3 or newer,
 try xserver-xorg-video-omap3 for better performance.
 .
 More information about X.Org can be found at:
 
 
 
 .
 This package is built from the X.org xf86-video-omapfb driver module.

Package: xserver-xorg-video-qxl
Version: 0.0.17-2
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 195
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Homepage: http://spice-space.org/
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-qxl/xserver-xorg-video-qxl_0.0.17-2_armhf.deb
Size: 97488
SHA256: b6db9becd1b5732dfdc7696544eb7b2a03f5d0c573a802e4d66b2b92aef5b5a2
SHA1: d21de0093167545cce5973df0c28cea67b6c4677
MD5sum: 211d4f55b3f620f7ca6b17e6e63d4be4
Description: X.Org X server -- QXL display driver
 This package provides the driver for QXL video device, i.e. if Linux is
 running inside a RedHat Enterprise Virtualization (RHEV) environment, or
 other SPICE-compatible KVM/Qemu emulator.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-qxl driver module.

Package: xserver-xorg-video-qxl-dbg
Source: xserver-xorg-video-qxl
Version: 0.0.17-2
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 628
Depends: xserver-xorg-video-qxl (= 0.0.17-2)
Homepage: http://spice-space.org/
Priority: extra
Section: debug
Filename: pool/main/x/xserver-xorg-video-qxl/xserver-xorg-video-qxl-dbg_0.0.17-2_armhf.deb
Size: 276160
SHA256: 43dc4b371cd6cacca47e7712efe348de407a7b945f5ed238f19bb45798e11d52
SHA1: 5246b962b3198f6fea71094068f31c8cd2cba73c
MD5sum: ce5bf9f814de1c6a28bac65e269f5ad2
Description: X.Org X server -- QXL display driver (debugging symbols)
 This package provides the driver for QXL video device, i.e. if Linux is
 running inside a RedHat Enterprise Virtualization (RHEV) environment, or
 other SPICE-compatible KVM/Qemu emulator.
 .
 This package contains the debugging symbols for this driver.

Package: xserver-xorg-video-r128
Source: xserver-xorg-video-r128 (6.8.2-1)
Version: 6.8.2-1+b1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 283
Depends: libc6 (>= 2.13-28), xorg-video-abi-12, xserver-xorg-core (>= 2:1.11.99.901)
Suggests: firmware-linux
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-r128/xserver-xorg-video-r128_6.8.2-1+b1_armhf.deb
Size: 180622
SHA256: c10e684a1c15e992c898314350c1a218fc94922e0673a9a8d8b960010d149d11
SHA1: 78c8ca179d8a3949d64e3b496c709931f686ee0c
MD5sum: c21a36f9110ae77040e06db6114bbf48
Description: X.Org X server -- ATI r128 display driver
 This driver for the X.Org X server (see xserver-xorg for a further description)
 provides support for the ATI Rage 'r128' series.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-r128 driver module.

Package: xserver-xorg-video-r128-dbg
Source: xserver-xorg-video-r128 (6.8.2-1)
Version: 6.8.2-1+b1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 610
Depends: xserver-xorg-video-r128 (= 6.8.2-1+b1)
Priority: extra
Section: debug
Filename: pool/main/x/xserver-xorg-video-r128/xserver-xorg-video-r128-dbg_6.8.2-1+b1_armhf.deb
Size: 335858
SHA256: 3485c38821ae3043673802ee0e5b3485f0398da35e2925e0e859d2f6951c963b
SHA1: 7fa7cbb441e1c4cb485f9cb3172423963f36de92
MD5sum: a864fd104d59f996bb1097e096183ce0
Description: X.Org X server -- ATI r128 display driver (debugging symbols)
 This driver for the X.Org X server (see xserver-xorg for a further description)
 provides support for the ATI Rage 'r128' series.
 .
 This package provides debugging symbols for the Xorg X server ATI r128
 display driver.

Package: xserver-xorg-video-radeon
Source: xserver-xorg-video-ati
Version: 1:6.14.4-8
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 1419
Depends: libc6 (>= 2.13-28), libdrm-radeon1 (>= 2.4.31), libdrm2 (>= 2.4.25), libgcc1 (>= 1:4.4.0), libpciaccess0 (>= 0.10.2), libudev0 (>= 146), xorg-video-abi-12, xserver-xorg-core (>= 2:1.12.3.901)
Suggests: firmware-linux
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-ati/xserver-xorg-video-radeon_6.14.4-8_armhf.deb
Size: 729508
SHA256: 92eaf09ff3164d8fad2cd1aafc2bef96f601c130061a7999ab9a19cbc6e1851c
SHA1: e2e89c306767530ffda5671c9eb679a31d5ddd3a
MD5sum: 3539d42c930ff8046e1f226bbd3fcfe5
Description: X.Org X server -- AMD/ATI Radeon display driver
 This package provides the 'radeon' driver for the AMD/ATI cards. The
 following chips should be supported: R100, RV100, RS100, RV200, RS200,
 RS250, R200, RV250, RV280, RS300, RS350, RS400/RS480, R300, R350, R360,
 RV350, RV360, RV370, RV380, RV410, R420, R423/R430, R480/R481,
 RV505/RV515/RV516/RV550, R520, RV530/RV560, RV570/R580,
 RS600/RS690/RS740, R600, RV610/RV630, RV620/RV635, RV670, RS780/RS880,
 RV710/RV730, RV740/RV770/RV790, CEDAR, REDWOOD, JUNIPER, CYPRESS,
 HEMLOCK, PALM, SUMO/SUMO2, BARTS, TURKS, CAICOS, CAYMAN, ARUBA.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-ati driver module.

Package: xserver-xorg-video-radeon-dbg
Source: xserver-xorg-video-ati
Version: 1:6.14.4-8
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 4546
Depends: xserver-xorg-video-radeon (= 1:6.14.4-8)
Priority: extra
Section: debug
Filename: pool/main/x/xserver-xorg-video-ati/xserver-xorg-video-radeon-dbg_6.14.4-8_armhf.deb
Size: 2257114
SHA256: 8371c64271ad5c27962f0e1c1070b863e4aac27dfdcdb05fb1368c36b3203ca8
SHA1: 5faa399358b11b7dfc786bc47b8138bf0c55c197
MD5sum: b25339f595e36b488cf92a7350ed4d7a
Description: X.Org X server -- AMD/ATI Radeon display driver (debugging symbols)
 This package provides the 'radeon' driver for many AMD/ATI cards.
 .
 This package provides debugging symbols for this X.org X driver.

Package: xserver-xorg-video-rendition
Version: 1:4.2.4-3
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 99
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-rendition/xserver-xorg-video-rendition_4.2.4-3_armhf.deb
Size: 31972
SHA256: 20538b6431c37564ae38ae71c83a7fa72ce5207af599e43de7e3a84586f767d7
SHA1: 2603eb68dc90bede9c952996ef8700a858698443
MD5sum: 390ec61865c31f6715ef3f02cee86ee3
Description: X.Org X server -- Rendition display driver
 This package provides the driver for the Rendition Verite family of chipsets,
 commonly found in 3D accelerators such as the Creative 3D Blaster.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-rendition driver module.

Package: xserver-xorg-video-s3
Version: 1:0.6.3-5
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 123
Depends: libc6 (>= 2.13-28), xorg-video-abi-12, xserver-xorg-core (>= 2:1.12.3.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-s3/xserver-xorg-video-s3_0.6.3-5_armhf.deb
Size: 43170
SHA256: 248658f0b202eb7f6b92cd8c89bea57c50201b11d3c80af29f7bb68519d7c894
SHA1: 5a93aaefdf6ac9868cb5e05d681fde0eb3138c50
MD5sum: aa2a76cf820496844c0255f507d68752
Description: X.Org X server -- legacy S3 display driver
 This package provides the driver for certain legacy S3 video card chipsets,
 including the Trio64 and 96x cards.  It does not provide support for
 ViRGE/Trio3D or Savage chipsets; support for these cards is provided by
 xserver-xorg-video-s3virge and xserver-xorg-driver-savage, respectively.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-s3 driver module.

Package: xserver-xorg-video-s3virge
Version: 1:1.10.4-5
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 139
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-s3virge/xserver-xorg-video-s3virge_1.10.4-5_armhf.deb
Size: 50328
SHA256: 52a6fd7cc82fb6777cd63a9b7d75057e16e82bb57ba2916ed214825cb99ab97d
SHA1: abc50b39a8c18e827ecfd855e42a7ade0ee8de13
MD5sum: 7929825fefb2df316ab23f77f72e8703
Description: X.Org X server -- S3 ViRGE display driver
 This package provides the driver for the S3 ViRGE and Trio3D family
 of chipsets.  It does not support Trio64 or S3 96x cards; support for
 these can be found in the xserver-xorg-video-s3 package.  Support for
 S3 Savage chipsets can be found in xserver-xorg-video-savage.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-s3virge driver module.

Package: xserver-xorg-video-savage
Version: 1:2.3.4-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 212
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-savage/xserver-xorg-video-savage_2.3.4-1_armhf.deb
Size: 98474
SHA256: 2b5d86d30d5cf99eb5d3279462bf4ce68a544e4c77b61cc1dd05e8b98a767abf
SHA1: 59fa4dd94832ad2506d8a08f82757fd198984a5f
MD5sum: cee5e682ddbd17cae7532ef962e44d2b
Description: X.Org X server -- Savage display driver
 This package provides the driver for the S3/VIA Savage/ProSavage/Twister family
 of chipsets.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-savage driver module.

Package: xserver-xorg-video-siliconmotion
Version: 1:1.7.6-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 199
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-siliconmotion/xserver-xorg-video-siliconmotion_1.7.6-1_armhf.deb
Size: 89540
SHA256: 1fb955ece1bbf5db43e9aa7688e23db3172a4882b7059185739fe62e42a07565
SHA1: 816ce01dd7b71f77d952cee90b3e6b31d701a7bd
MD5sum: 6f192990e2b21d938f09ff3cd5d982ee
Description: X.Org X server -- SiliconMotion display driver
 This package provides the driver for the SiliconMotion Lynx and Cougar family
 of chipsets, commonly found in laptops.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-siliconmotion driver module.

Package: xserver-xorg-video-sis
Version: 1:0.10.4-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 619
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-sis/xserver-xorg-video-sis_0.10.4-1_armhf.deb
Size: 301860
SHA256: c9bcfbb1e1fbc0234573f886518471cf97ba97194b079bbc4c4ee7317c73ed01
SHA1: 73d26cf293d948b9ee14642eeca6285e10223387
MD5sum: 4bd960017420e0d57408fdb6e0925fcd
Description: X.Org X server -- SiS display driver
 This package provides the driver for all SiS and XGI Volari cards.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-sis driver module.

Package: xserver-xorg-video-sisusb
Version: 1:0.9.4-3
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 127
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-sisusb/xserver-xorg-video-sisusb_0.9.4-3_armhf.deb
Size: 46762
SHA256: 269b6c93a1e0b6dc92cdd8a6faaeb3f34c6fcf9408abaedcda31dab4b8cebad0
SHA1: 00d6a3fb814aa7b357ec6947efa0c39e0a6ca909
MD5sum: 34090e067ab4fb2f7d4734cb59896cd9
Description: X.Org X server -- SiS USB display driver
 This package provides the driver for SiS USB-attached video devices.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-sisusb driver module.

Package: xserver-xorg-video-tdfx
Version: 1:1.4.4-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 128
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-tdfx/xserver-xorg-video-tdfx_1.4.4-1_armhf.deb
Size: 48268
SHA256: a85ede1e6fc8538f754f0a9151d2e2834710fd946f5d4b695201292c3f691375
SHA1: 96ac1cc1b77abcb0c70862d4e3252af1cbf60141
MD5sum: 5a3fcf921773520bebb8bc528e0b4136
Description: X.Org X server -- tdfx display driver
 This package provides the driver for 3dfx Voodoo video cards and their
 derivatives.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-tdfx driver module.

Package: xserver-xorg-video-trident
Version: 1:1.3.5-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 217
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-trident/xserver-xorg-video-trident_1.3.5-1_armhf.deb
Size: 80354
SHA256: 92b101f6bb01521e0df48e94f3473b5d19b6bc3b72c95cd3439a2d8c48a4f7a4
SHA1: 03b10c3cab8bb7c1aa2b157ea80fbb558ceb1d73
MD5sum: 09ed9ef24cb7e506a6826cdc8d8f0ad1
Description: X.Org X server -- Trident display driver
 This package provides the driver for Trident Blade/Image/ProVidia/TGUI/9xxx
 video cards.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-trident driver module.

Package: xserver-xorg-video-tseng
Version: 1:1.2.4-3
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 113
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-tseng/xserver-xorg-video-tseng_1.2.4-3_armhf.deb
Size: 35724
SHA256: cc32044f25125c3479a3a6fa6acc80a2cc80e319e3f25c2f90b9b63009ea65a6
SHA1: e3c913934d78ac239ffa5f0c748d684651e56876
MD5sum: 5defe2cf97935c9bda235060a04ad657
Description: X.Org X server -- Tseng display driver
 This package provides the driver for Tseng Labs cards.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-tseng driver module.

Package: xserver-xorg-video-vesa
Source: xserver-xorg-video-vesa (1:2.3.1-1)
Version: 1:2.3.1-1+b1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 96
Depends: libc6 (>= 2.13-28), xorg-video-abi-12, xserver-xorg-core (>= 2:1.11.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-vesa/xserver-xorg-video-vesa_2.3.1-1+b1_armhf.deb
Size: 29782
SHA256: 3a8c85684f3b0b2319be80ee7088c350b96e08d3916f49f78ec572afc3db5cd0
SHA1: 35e3c1738d13adfb3bd5c3635324284942edd118
MD5sum: f3d87d96dbcaafbff0d4f36aaf1a995b
Description: X.Org X server -- VESA display driver
 This driver for the X.Org X server (see xserver-xorg for a further description)
 uses the standard VESA interface provided on all video cards, but runs
 unaccelerated.  This driver is not recommended for use unless you have a
 problem with the normal driver for your card, because it will perform very
 badly.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-vesa driver module.

Package: xserver-xorg-video-vmware
Version: 1:12.0.2-1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 146
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-vmware/xserver-xorg-video-vmware_12.0.2-1_armhf.deb
Size: 62732
SHA256: b47e0c4281e3f75c4419e999be84b21852d8dbd85e8bf9f128c05a1ab0e4d00f
SHA1: d639c0a6799d8ecfe1ee5c226cd172fcff6bf0e2
MD5sum: f6afb47c9dc94a7623f869850cbb7b8f
Description: X.Org X server -- VMware display driver
 This package provides the driver for VMware client sessions, i.e. if Linux
 is running inside a VMware session.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-vmware driver module.

Package: xserver-xorg-video-voodoo
Version: 1:1.2.4-2
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 91
Depends: libc6 (>= 2.13-28), xorg-video-abi-11, xserver-xorg-core (>= 2:1.10.99.901)
Provides: xorg-driver-video
Priority: optional
Section: x11
Filename: pool/main/x/xserver-xorg-video-voodoo/xserver-xorg-video-voodoo_1.2.4-2_armhf.deb
Size: 24312
SHA256: e5e17b2e184aa0a8711c0963330cb12c3642c2e06f2504b01e11ce27fd4a9ca8
SHA1: ea9f3c22ec84ba416ee9a9e826aa3c01b2f1c391
MD5sum: d993240c3b8220fe940d9ad433432767
Description: X.Org X server -- Voodoo display driver
 This package provides the driver for 3dfx Voodoo1 and Voodoo2 chipsets.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xf86-video-voodoo driver module.

Package: xsettings-kde
Version: 0.9-2
Architecture: armhf
Maintainer: Guido Günther 
Installed-Size: 49
Depends: libc6 (>= 2.13-28), libx11-6
Priority: optional
Section: kde
Filename: pool/main/x/xsettings-kde/xsettings-kde_0.9-2_armhf.deb
Size: 11560
SHA256: 8147056d205333aa0e544f9d55cd02879aab59f797acf4ad624f03b6d62a3dd5
SHA1: 2f2d587d809967ba5fc1eff884c0ec7c3e43e943
MD5sum: 4a54e5efb62c79a3e2e8f3beb6419722
Description: XSettings daemon for KDE
 This package provides a XSettings daemon for K Desktop Environment. It allows
 XSettings aware applications (all GTK+ 2 and GNOME 2 applications) to be
 informed instantly of changes in KDE configuration, such as theme name, default
 font and so on.

Package: xshisen
Version: 1:1.51-3.3
Architecture: armhf
Maintainer: Zak B. Elep 
Installed-Size: 367
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libsm6, libstdc++6 (>= 4.3.0), libx11-6, libxaw7, libxmu6, libxpm4, libxt6
Homepage: http://www.techfirm.co.jp/~masaoki/xshisen.html
Priority: optional
Section: games
Filename: pool/main/x/xshisen/xshisen_1.51-3.3_armhf.deb
Size: 61936
SHA256: cbeb803908f360f04781394cfb3a9ae8b7369b64e3e279a412398192d038f9e3
SHA1: b74391d8859ffba5a1b40c9f96bc3d24696f260c
MD5sum: 1d4ab432da8dcf57d14f106d5b47b370
Description: Shisen-sho puzzle game for X11
 XShisen is a puzzle game for X11.  Similar to the famous "Shang-hai", your
 objective is to remove all the pieces on the board.
 .
 This game allows you to use either "original" xshisen images, or - at your
 choice - images from kshisen.

Package: xshogi
Source: gnushogi
Version: 1.3.2-9
Architecture: armhf
Maintainer: Yann Dirson 
Installed-Size: 204
Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxext6, libxmu6, libxt6
Recommends: gnushogi
Homepage: http://www.cs.caltech.edu/~mvanier/hacking/gnushogi/gnushogi.html
Priority: optional
Section: games
Filename: pool/main/g/gnushogi/xshogi_1.3.2-9_armhf.deb
Size: 77872
SHA256: a0fe6a4531ccc58aa3deb0ea2ff9a0a01a7899580e9c6959fa7c318873c4a478
SHA1: c14dbc656edf41dee57b6ee5338e56e721393e97
MD5sum: aa027b242198879c40379e42dd69f528
Description: X11 frontend to gnushogi
 Gnushogi is a computer player for Shogi (Japanese chess).
 .
 XShogi provides an X Window interface to play Shogi against gnushogi,
 and can also be used as an interface for a game between two humans on
 the same computer.

Package: xsidplay
Version: 2.0.3-3
Architecture: armhf
Maintainer: Laszlo Boszormenyi (GCS) 
Installed-Size: 504
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libsdl1.2debian (>= 1.2.11), libsidplay1, libstdc++6 (>= 4.6)
Priority: extra
Section: sound
Filename: pool/main/x/xsidplay/xsidplay_2.0.3-3_armhf.deb
Size: 210172
SHA256: 30effb222358123f48833f240d75ee1fa9f25d046f180b39bcb0dbb5c274b18f
SHA1: 37560f835640aeadeb4fb1329a1536b20d3a2940
MD5sum: d07e44c5b2bd85c1327f8e8c71f7de2d
Description: Music player for tunes from C64
 This is a very sophisticated music player for C64
 tunes, aka SID tunes.
 .
 It's very simple now to change emulation parameters and control
 tunes and subtunes. Furthermore, the player features a playlist
 and support for the HVSC song lengths database file. The `README'
 contains instructions where to download and how to install this
 file.
 .
 There is a somewhat `hidden' expert mode, which enables the
 built-in oscilloscope. Please see `README.Debian' and `README'
 for more information (and possible problems).

Package: xskat
Version: 4.0-5
Architecture: armhf
Maintainer: Florian Ernst 
Installed-Size: 415
Depends: libc6 (>= 2.13-28), libx11-6
Homepage: http://www.xskat.de/xskat.html
Priority: optional
Section: games
Filename: pool/main/x/xskat/xskat_4.0-5_armhf.deb
Size: 187270
SHA256: 5d9a2374476731837ff9b57b14200294aa64159676c5f3a83293039acf42bd98
SHA1: e6c0a9fcadbd3d7230cc51567169d47d39cb4d49
MD5sum: 46018cd23a8a58869cd24736c7048990
Description: 3-player card game "Skat"
 Xskat lets you play the card game Skat as defined by the official
 German "Skatordnung".
 .
 You can play by sending a window to the other player's X display, or
 via an IRC server. The computer can also simulate players.
 .
 Many unofficial rules like "Ramsch" or "Bock" are supported.

Package: xsltproc
Source: libxslt
Version: 1.1.26-14.1+deb7u3
Architecture: armhf
Maintainer: Debian XML/SGML Group 
Installed-Size: 131
Depends: libc6 (>= 2.13-28), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25)
Multi-Arch: foreign
Homepage: http://xmlsoft.org/xslt/
Priority: optional
Section: text
Filename: pool/main/libx/libxslt/xsltproc_1.1.26-14.1+deb7u3_armhf.deb
Size: 117466
SHA256: 59e05ddbe003c8322e9ec1d1a26c35ccb77acc3feebea9dc5e35e508e75d743f
SHA1: d9f293f46843d9c2593fe26e608a6e8d7972d6e1
MD5sum: 44bf2bdbaf0ea98850c7748ca586ba9a
Description: XSLT 1.0 command line processor
 XSLT is an XML language for defining transformations of XML files from
 XML to some other arbitrary format, such as XML, HTML, plain text, etc.
 using standard XSLT version 1.0 stylesheets.
 .
 This package contains a command line tool that facilitates XSLT
 transformations.

Package: xsmc-calc
Version: 1.0.0-6.1
Architecture: armhf
Maintainer: Debian Hamradio Maintainers 
Installed-Size: 121
Depends: libc6 (>= 2.7), libx11-6
Homepage: http://www.qsl.net/ik5nax/index.html
Priority: optional
Section: hamradio
Filename: pool/main/x/xsmc-calc/xsmc-calc_1.0.0-6.1_armhf.deb
Size: 30990
SHA256: 241423493e382766cdb1452c1b7150d351b1d28615a93bc3e4eb6cd34ff69483
SHA1: 7a0f54091ee4c6d5f6b978661848e402d6516123
MD5sum: 6f1b7d71416127501b97e46fe36e3be5
Description: Smith Chart calculator for X
 xsmc-calc allows you to perform Smith Chart calculations for
 RF (radio frequency) circuit design.

Package: xsok
Version: 1.02-17
Architecture: armhf
Maintainer: Peter Samuelson 
Installed-Size: 235
Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxpm4, libxt6
Priority: optional
Section: games
Filename: pool/main/x/xsok/xsok_1.02-17_armhf.deb
Size: 58858
SHA256: efebe7ed9cd9666a40f67438b59e137fc23b57a9e93cde2c12db97369911e18d
SHA1: 714af5b92d598246965e9fe26f7427e1159f274f
MD5sum: 58aa1c246194c1b0d607f56834643f37
Description: generic Sokoban game for X11
 xsok is a single player strategic game, a superset of the
 well-known Sokoban game.
 .
 The target of Sokoban is to push all the objects into the
 score area of each level using the mouse or the arrow
 keys. For the other level subsets, there are different
 kinds of objects, and special effect squares.

Package: xsol
Version: 0.31-9
Architecture: armhf
Maintainer: Mònica Ramírez Arceda 
Installed-Size: 88
Depends: lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libx11-6, libxt6
Homepage: ftp://sunsite.unc.edu/pub/Linux/X11/games/
Priority: optional
Section: games
Filename: pool/main/x/xsol/xsol_0.31-9_armhf.deb
Size: 24030
SHA256: e92a4a60c0e31083587c81d4c872826371d828e8ea56660a8dd10c79579fa3ec
SHA1: 329ab60bb0fd65df77f590989841c053be740c3c
MD5sum: 1b702abb796de65dfad5be63e26bc391
Description: Solitaire game for the X Window system
 This is a simple Motif/Lesstif version of the classic solitaire game:
 Klondike Solitaire.
 .
 This version of the game runs under X Window System.

Package: xsoldier
Version: 1:1.8-2
Architecture: armhf
Maintainer: Oohara Yuuma 
Installed-Size: 706
Depends: libc6 (>= 2.13-28), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11)
Homepage: http://www.interq.or.jp/libra/oohara/xsoldier/index.html
Priority: optional
Section: games
Filename: pool/main/x/xsoldier/xsoldier_1.8-2_armhf.deb
Size: 86676
SHA256: 86b4b288e09b0260cba5a5337edc8f415563f5ffcea4d238b779a45ae88982b8
SHA1: 3d902dc67bafa441f2b33404421482e42c7b5ee6
MD5sum: fd5f802626f9fcb2b9bbc3ed1d4cf80f
Description: shoot 'em up game with the "not shooting" bonus
 You control a space ship in a vertically scrolling window and shoot
 enemies.  If you kill the boss enemy which appears at the end of each stage,
 or if you can survive till the boss enemy gets bored with you and escapes,
 you can go to the next stage.
 .
 xsoldier has a unique feature --- the "not shooting" bonus.  You can get
 a pretty good score by not pressing the shoot button.  After all, if the
 game is a simple kill-everything and shooting is always a good thing, why
 do you have to press a shoot button?  You can play xsoldier as a simple
 kill-everything (and it is the best way to survive), but if you care your
 score, you need to kill all enemies with a minimum number of bullets.

Package: xstarfish
Version: 1.1-11
Architecture: armhf
Maintainer: Simon Fondrie-Teitler 
Installed-Size: 97
Depends: libc6 (>= 2.13-28), libpng12-0 (>= 1.2.13-4), libx11-6
Conflicts: starfish
Replaces: starfish
Provides: starfish
Priority: optional
Section: utils
Filename: pool/main/x/xstarfish/xstarfish_1.1-11_armhf.deb
Size: 33076
SHA256: 842a35bf83d18e075462f992e6342f8ca61746d5d45b2f3519a153e44f2d0ad7
SHA1: 744bd66f8aa7fe78a532d06b3d15b944de1c505a
MD5sum: 543f45176deb46960b5ed450b41564a4
Description: X wallpaper generator
 XStarfish generates colourful, tiled images for your background using random
 numbers fed through mathematical functions. It does not use source image
 files, so it can generate its images nearly forever without running out of
 material.

Package: xstow
Version: 1.0.0-2
Architecture: armhf
Maintainer: Mats Rynge 
Installed-Size: 608
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.6)
Priority: optional
Section: utils
Filename: pool/main/x/xstow/xstow_1.0.0-2_armhf.deb
Size: 265118
SHA256: dfc00d5dc36cefb4b3d345603da1eb8dbcfe12f62127ad26114135c1b109da58
SHA1: 812e91c67c19c47c1485be76487e9c4f6471c27e
MD5sum: 59d4f8b6ede4ad60d2ea84317521ebaf
Description: Extended replacement of GNU Stow
 XStow (like GNU Stow) is a program for managing the installation of
 software packages, keeping them separate (/usr/local/stow/emacs
 vs. /usr/local/stow/perl, for example) while making them appear
 to be installed in the same place (/usr/local).
 .
 The extended features include: creation of symlinks with absolute
 path names, traversal link support (create a link from
 /usr/share/man to /usr/man), multiple stow directories support,
 shell pattern matching, regular expressions support, and global
 settings via configuration files.

Package: xsunpinyin
Version: 2.0.3-4
Architecture: armhf
Maintainer: IME Packaging Team 
Installed-Size: 319
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.12.0), libpango1.0-0 (>= 1.14.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libsunpinyin3, libx11-6, sunpinyin-data
Recommends: im-config | im-switch
Homepage: http://code.google.com/p/sunpinyin
Priority: optional
Section: utils
Filename: pool/main/x/xsunpinyin/xsunpinyin_2.0.3-4_armhf.deb
Size: 118480
SHA256: 295afa85c7b342e1fffc105f2fbac4a968cad4ffdfe49cbcfb333b977142a13a
SHA1: f561694dc6d4c070ef9482f4874f3459fdb77a7b
MD5sum: 172e43ad134573341891c25b6583abc8
Description: Standalone XIM server for Sunpinyin
 Sunpinyin is a statistical language model (SLM) based input method
 engine for Simplified Chinese, it features full sentence input.
 .
 This package provides a XIM server with SunPinyin input method.

Package: xsynth-dssi
Version: 0.9.4-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 340
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), liblo7 (>= 0.26~repack), libpango1.0-0 (>= 1.14.0)
Conflicts: dssi-plugin-xsynth
Replaces: dssi-plugin-xsynth
Provides: dssi-plugin
Homepage: http://dssi.sourceforge.net/
Priority: optional
Section: sound
Filename: pool/main/x/xsynth-dssi/xsynth-dssi_0.9.4-2_armhf.deb
Size: 170616
SHA256: 396fff1485ec3504b826f7bfd3a3463df8cc1625cbc85dcd75e709f8d6cc355b
SHA1: 372aeccbd36d3c9e5efdde20f7bc907be7ad59b6
MD5sum: 43c77498b52ab5fac13005d6683892b5
Description: classic-analog (VCOs-VCF-VCA) style software synthesizer
 The xsynth-dssi  package contains the Xsynth-DSSI plugin, a classic-analog
 (VCOs-VCF-VCA) style software synthesizer with an editor GUI. Xsynth-DSSI was
 written by Sean Bolton, and was based on Steve Brooke's Xsynth code, but has
 since acquired polyphonic operation, band-limited oscillators, a better
 filter mode, and velocity-sensitive envelopes.
 .
 DSSI is an API for audio processing plugins, particularly useful for software
 synthesis plugins with user interfaces.

Package: xsysinfo
Version: 1.7-9
Architecture: armhf
Maintainer: tony mancill 
Installed-Size: 84
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6
Priority: optional
Section: utils
Filename: pool/main/x/xsysinfo/xsysinfo_1.7-9_armhf.deb
Size: 22136
SHA256: 6a0c962caba29114a1d75b5a2b3effdb7c6f45f2fa4190a72946b3a90e25f14f
SHA1: b4c39a009e947128fbfb817a4d82485085239d1f
MD5sum: 44ca1bae5afaf932b891f3bd2fcce838
Description: display some Linux kernel parameters in graphical form
 This X client is like a mix of top, free and xload with the difference that
 the values are shown in form of a horizontal bar.  The displayed values are:
 CPU load, CPU idle, memory and swap sizes.

Package: xsystem35
Version: 1.7.3-pre5-5
Architecture: armhf
Maintainer: Ying-Chun Liu (PaulLiu) 
Installed-Size: 1542
Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libesd0 (>= 0.2.35), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libjpeg8 (>= 8c), libltdl7 (>= 2.4.2), libpango1.0-0 (>= 1.14.0), libvorbis0a (>= 1.1.2), libvorbisfile3 (>= 1.1.2), libx11-6, libxext6, zlib1g (>= 1:1.1.4)
Homepage: http://8ne.sakura.ne.jp:20008/chika/unitbase/xsys35/
Priority: optional
Section: games
Filename: pool/main/x/xsystem35/xsystem35_1.7.3-pre5-5_armhf.deb
Size: 643888
SHA256: f455d74ea0148e11140c54e0cb0ce278a17efe921711c43ebd0be098375df43c
SHA1: c718abffaf07e9f671d0566d9336ac23e8082856
MD5sum: e23f417059712873129659f46f6f326b
Description: System 3.5 games engine for X Window System
 xsystem35 is a free implementation of System 3.5, a games engine created
 by Alice Soft. It is able to run many existing System 3.5 games.

Package: xtables-addons-common
Source: xtables-addons
Version: 1.42-2
Architecture: armhf
Maintainer: Pierre Chifflier 
Installed-Size: 280
Pre-Depends: multiarch-support
Depends: iptables, libc6 (>= 2.13-28)
Recommends: xtables-addons-dkms
Suggests: libtext-csv-xs-perl
Breaks: ipset (<< 6.11~)
Provides: xtables-addons-common-1.42
Homepage: http://xtables-addons.sourceforge.net/
Priority: extra
Section: admin
Filename: pool/main/x/xtables-addons/xtables-addons-common_1.42-2_armhf.deb
Size: 72200
SHA256: ac3b92313476a21af30cbc5fccca99a9384810dd3604183e737bac5a33ba05a5
SHA1: a065dac940d31b930fa8fa50cf3852db8581dfca
MD5sum: 63f8a40b7ec39606c66bf5604d229e35
Description: Extensions targets and matches for iptables [tools, libs]
 Xtables-addons provides extra modules for iptables not present in the
 kernel, and is the successor of patch-o-matic.
 Extensions includes new targets like TEE, TARPIT, CHAOS, or modules like
 geoip and account.
 .
 This package provides the userspace libraries for iptables to use extensions
 in the xtables-addons-modules package.
 .
 Note: this package is only useful with a corresponding xtables-addons-dkms
 package, which you may produce with module-assistant:
 .
   module-assistant auto-install xtables-addons-source

Package: xtables-addons-dkms
Source: xtables-addons
Version: 1.42-2
Installed-Size: 576
Maintainer: Pierre Chifflier 
Architecture: all
Depends: xtables-addons-common (>= 1.42), dkms (>= 2.1.0.0), make
Recommends: linux-headers-generic | linux-headers
Size: 149538
SHA256: 04b74ddae0759f5f405aac46b91b02da38ba26b8cffdfe0c6fae3bae96602f0a
SHA1: 4422912b6ccbb74119c9a55b6485a86ead2073fd
MD5sum: 642f27c377c7b9281d59c9dcf20df295
Description: Extensions targets and matches for iptables
 Xtables-addons provides extra modules for iptables not present in the
 kernel, and is the successor of patch-o-matic.
 Extensions includes new targets like TEE, TARPIT, CHAOS, or modules like
 geoip and account.
 .
 The dkms package will automatically compile the driver for your current
 kernel version.
Homepage: http://xtables-addons.sourceforge.net/
Section: admin
Priority: extra
Filename: pool/main/x/xtables-addons/xtables-addons-dkms_1.42-2_all.deb

Package: xtables-addons-source
Source: xtables-addons
Version: 1.42-2
Installed-Size: 119
Maintainer: Pierre Chifflier 
Architecture: all
Depends: debhelper (>= 8), make, pkg-config, iptables-dev (>= 1.4.3), bzip2
Recommends: module-assistant
Size: 120164
SHA256: 24e2b0d83613cb7ce852b959fc9df1bc3eecda5a4b632b6267b06706abe969c8
SHA1: 6c1401971f09989c40b446ed7b582b8d0863a0ee
MD5sum: 9c4dfdafc40206e551bd0789e399bdbe
Description: Extensions targets and matches for iptables [modules sources]
 Xtables-addons provides extra modules for iptables not present in the
 kernel, and is the successor of patch-o-matic.
 Extensions includes new targets like TEE, TARPIT, CHAOS, or modules like
 geoip and account.
 .
 This package provides the source code for the xtables-addons kernel modules.
 The xtables-addons package is also required in order to make use of these
 modules. Kernel source or headers are required to compile these modules.
Homepage: http://xtables-addons.sourceforge.net/
Tag: admin::kernel, implemented-in::c, role::source
Section: admin
Priority: extra
Filename: pool/main/x/xtables-addons/xtables-addons-source_1.42-2_all.deb

Package: xtail
Version: 2.1-5
Architecture: armhf
Maintainer: Roderick Schertler 
Installed-Size: 52
Depends: libc6 (>= 2.4)
Priority: optional
Section: utils
Filename: pool/main/x/xtail/xtail_2.1-5_armhf.deb
Size: 10440
SHA256: 0a3ad13577a9b274d6052922b53c4728f80597b02394afc8e01c2266ea832ad4
SHA1: 6af1d2bfc569717de724fab100fc6406b5a1e261
MD5sum: 329ffd6055259807e50c4000fc85f5ae
Description: like "tail -f", but works on truncated files, directories, more
 xtail watches the growth of files.  It's like running a "tail -f" on
 a bunch of files at once.  It notices if a file is truncated and starts
 from the beginning.  You can specify both filenames and directories on
 the command line.  If you specify a directory, it watches all the files
 in that directory.  It will notice when new files are created (and
 start watching them) or when old files are deleted (and stop watching
 them).
 .
 Note that xtail isn't a graphical (X11) program, it runs on a plain tty.
 The name likely comes from "eXtended tail" or such.

Package: xtalk
Version: 1.3-15.1
Installed-Size: 116
Maintainer: Luis Uribe 
Architecture: all
Depends: python, python-support (>= 0.90.0), python-tk
Size: 15010
SHA256: d21541fcd8f3b22670f9a7dea781a1b26f9e51a10d9fa2b893c667408199230b
SHA1: 3b7febd249e2c12e411ba07bcf9bfa691a1ffbaf
MD5sum: 5bdc0cf7cae9120f3ffcc4e1769443df
Description: BSD talk compatible X Window System client
 XTalk is a visual communication program which copies lines from your
 terminal to that of another user. This is an X Window System version,
 written in Python.
Tag: implemented-in::python, interface::x11, network::client, role::program,
 uitoolkit::tk, use::chatting, x11::application
Section: net
Priority: optional
Filename: pool/main/x/xtalk/xtalk_1.3-15.1_all.deb

Package: xteddy
Version: 2.2-2
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 822
Depends: libc6 (>= 2.13-28), libimlib2, libx11-6, libxext6
Priority: optional
Section: games
Filename: pool/main/x/xteddy/xteddy_2.2-2_armhf.deb
Size: 756592
SHA256: 5e6888859b05111c72c8a78176177fac447f9ceacf5049b159b92a6603c7885b
SHA1: 34154575322f25418777131acfe263cf48bf7aac
MD5sum: 9d786d12c2e6de54a18af2c042b8e829
Description: a cuddly teddy bear (or other image) for your X desktop
 Normally, xteddy just sits around doing nothing. After all, that's what
 teddy bears are for. Look at him, talk to him, place heavy windows on
 top of him, zap him around until he becomes dizzy, do what you like;
 he will always be your true (albeit virtual) friend.
 Now you can load other pixmaps than the nice teddy, too.

Package: xtel
Version: 3.3.0-14
Architecture: armhf
Maintainer: Samuel Thibault 
Installed-Size: 516
Pre-Depends: x11-common (>= 1:7.0.0)
Depends: openbsd-inetd | inet-superserver, lesstif2 (>= 1:0.94.4), libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libsm6, libx11-6, libxext6, libxp6, libxpm4, libxt6, xfonts-utils (>= 1:7.5+2), netpbm
Recommends: logrotate, ppp, xterm
Homepage: http://pficheux.free.fr/xtel/
Priority: extra
Section: comm
Filename: pool/main/x/xtel/xtel_3.3.0-14_armhf.deb
Size: 235576
SHA256: f34a030ec20a76121f96de6a296e1d26485e355a8ea98d41c6e15aa7519c85f0
SHA1: c6838f6d912879918e4537a509aa395629a2dadc
MD5sum: f02a0d0c2bb69365ab5da6b35fb552ff
Description: X emulator of the French Minitel
 This is a lesstif Minitel client that runs on color/black and white
 X Display and a xteld daemon that can make Minitel connection with
 one or more modems.
 .
 The Minitel is a dedicated terminal for accessing the Teletel,
 the French videotex network.
 Thus, this package is almost only for French users.
 This package now supports the 3622 I-Minitel protocol
 (more information on http://www.i-minitel.com).

Package: xtell
Version: 2.10.7
Architecture: armhf
Maintainer: Radovan Garabík 
Installed-Size: 105
Pre-Depends: update-inetd, adduser
Depends: libc6 (>= 2.13-28), libident (>= 0.22-3), libreadline6 (>= 6.0), netbase (>= 4.06), debconf
Priority: optional
Section: net
Filename: pool/main/x/xtell/xtell_2.10.7_armhf.deb
Size: 28670
SHA256: 242a3c9858e8c60855663e60727cd3bbf0aa1c72c24c7df97334d7ca5cce39bf
SHA1: 622037d9509b596d0d7bdfcf6da1e0236c5589f2
MD5sum: 31f7a99b1c6301615167814b652491d4
Description: Simple messaging client and server, sort of networked write
 Simple messaging client and server, allowing you to send messages
 from computer running xtell client to computer running xtelld server.
 Can be used as replacement for write(1).

Package: xterm
Version: 278-4
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 1384
Depends: xbitmaps, libc6 (>= 2.13-28), libfontconfig1 (>= 2.9.0), libice6 (>= 1:1.0.0), libtinfo5, libutempter0 (>= 1.1.5), libx11-6, libxaw7, libxft2 (>> 2.1.1), libxmu6, libxt6
Recommends: x11-utils
Suggests: xfonts-cyrillic
Provides: x-terminal-emulator
Multi-Arch: foreign
Homepage: http://invisible-island.net/xterm/xterm.html
Priority: optional
Section: x11
Filename: pool/main/x/xterm/xterm_278-4_armhf.deb
Size: 600862
SHA256: d2b077475a7eade4cbbf68a025ed3919746023069a594606dc897fdd0205406c
SHA1: 4d6347987b2b6295762248b7c645ea8351d347ca
MD5sum: 9e6d888f9b68de2d76a5ede00c06d10f
Description: X terminal emulator
 xterm is a terminal emulator for the X Window System.  It provides DEC VT102
 and Tektronix 4014 compatible terminals for programs that cannot use the
 window system directly.  This version implements ISO/ANSI colors and most of
 the control sequences used by DEC VT220 terminals.
 .
 This package provides four commands: xterm, which is the traditional
 terminal emulator; uxterm, which is a wrapper around xterm that is
 intelligent about locale settings (especially those which use the UTF-8
 character encoding), but which requires the luit program from the x11-utils
 package; koi8rxterm, a wrapper similar to uxterm for locales that use the
 KOI8-R character set; and lxterm, a simple wrapper that chooses which of the
 previous commands to execute based on the user's locale settings.
 .
 A complete list of control sequences supported by the X terminal emulator
 is provided in /usr/share/doc/xterm.
 .
 The xterm program uses bitmap images provided by the xbitmaps package.
 .
 Those interested in using koi8rxterm will likely want to install the
 xfonts-cyrillic package as well.

Package: xtermcontrol
Version: 2.10-1
Architecture: armhf
Maintainer: Robert Lemmen 
Installed-Size: 80
Depends: libc6 (>= 2.4)
Suggests: xterm
Priority: optional
Section: x11
Filename: pool/main/x/xtermcontrol/xtermcontrol_2.10-1_armhf.deb
Size: 33444
SHA256: 0083e6602d8defde21ad3e68ca9063c6dea8d6121dd302131475f4062499a1d0
SHA1: 7f73f260a5294638953ccc7b65fe1d27a7923654
MD5sum: 972c3aa7dbdd7f119516861d6833a2c0
Description: dynamic configuration of xterm properties
 xtermcontrol is an utility to dynamically query and modify xterm
 properties, making it easy to query and change colors, title, font and
 geometry of a running xterm.  Window manipulations such as de-/iconify,
 raise/lower, maximize/restore and reset are also supported.
 .
 xtermcontrol also lets advanced users issue any xterm control sequence.

Package: xtermset
Version: 0.5.2-5
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 60
Depends: libc6 (>= 2.13-28)
Suggests: xterm | eterm | rxvt
Homepage: http://www.bje.nu/apps/xtermset/
Priority: optional
Section: x11
Filename: pool/main/x/xtermset/xtermset_0.5.2-5_armhf.deb
Size: 11102
SHA256: fbdade5761ab9d21233eee6c05c677c6b80566b4a7cf15c60fa273d0fa7e72e9
SHA1: 4e252ad71a638cd542a6c45800754600c1ba54ea
MD5sum: e85f1bd58506a4eb55af5cc2fb1da5e3
Description: change the characteristics of an xterm
 xtermset allows you to change the characteristics, such as title and
 geometry, of an xterm window from the command line. Most options have
 the same names as those that you would give xterm at startup.

Package: xtide
Version: 2.11-1
Architecture: armhf
Maintainer: Peter S Galbraith 
Installed-Size: 1910
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libice6 (>= 1:1.0.0), libpng12-0 (>= 1.2.13-4), libsm6, libstdc++6 (>= 4.6), libtcd0, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6, zlib1g (>= 1:1.1.4)
Recommends: xtide-data, logrotate
Suggests: xtide-coastline
Conflicts: xtide-data (<< 20030409-1)
Homepage: http://www.flaterco.com/xtide/
Priority: optional
Section: science
Filename: pool/main/x/xtide/xtide_2.11-1_armhf.deb
Size: 886588
SHA256: 818bad97ab3b3936c777f35bcafafaf530e2f85c019e9d44e508114cba945ed7
SHA1: 4052684e5a6fd0644f7c85e7d3bf16eba1ba6520
MD5sum: 66715815533f2a47acc3ddbc4c0a99a0
Description: provides tide and current predictions
 XTide is a package that provides tide and current predictions in a wide
 variety of formats. Graphs, text listings, and calendars can be generated,
 or a tide clock can be provided on your desktop.
 .
 XTide can work with the X window system, plain text terminals, or the
 web. This is accomplished with three separate programs: the interactive
 interface (xtide), the non-interactive or command line interface (tide),
 and the web interface (xttpd).
 .
 The algorithm that XTide uses to predict tides is used by the National
 Ocean Service in the U.S. It is significantly more accurate than the
 simple tide clocks that can be bought in novelty stores. However, it takes
 more to predict tides accurately than just a spiffy algorithm -- data are
 required for every tidal prediction location.  This package provides a
 sample data set for only one location so you can try out the package, but
 anything useful requires the data packaged in the xtide-data package (or
 downloaded from the XTide ftp site).

Package: xtide-coastline
Version: 20020202-1
Installed-Size: 4844
Maintainer: Peter S Galbraith 
Architecture: all
Size: 3919360
SHA256: fe553a031100c92fabccb7375611ae0feda99a9936531f052205d5f5d63adb1e
SHA1: 18647db16489f32bd956dc189a9a87a60fecacd3
MD5sum: 7877d1d16673bfdba383266705b5b66f
Description: coastline data for xtide
 XTide is a package that provides tide and current predictions in a wide
 variety of formats.  This package enables the xtide package to display the
 world's coastlines (World Vector Shoreline data) when displaying the Earth
 to select tidal stations.
 .
 Works automatically with xtide 2.9.5-2; See README.Debian if using an earlier
 version.
Tag: field::geography, role::app-data
Section: science
Priority: optional
Filename: pool/main/x/xtide-coastline/xtide-coastline_20020202-1_all.deb

Package: xtide-data
Version: 20100529-1
Installed-Size: 1756
Maintainer: Peter S Galbraith 
Architecture: all
Conflicts: xtide (<< 2.6-1)
Size: 531714
SHA256: 970c33a49ee8b87c7ef10f3c84e4879a889b95f4debc2aa7980cf28193f853f2
SHA1: f366ba11fdc1af407506a5ab8c9a6b42a65e878f
MD5sum: fe5a8cec300d0d637f5292451d7dfb94
Description: Harmonics data for xtide
 XTide is a package that provides tide and current predictions in a wide
 variety of formats. Graphs, text listings, and calendars can be generated,
 or a tide clock can be provided on your desktop.
 .
 This package provides the harmonics data used by the xtide package to
 display tidal information for most parts of the world.  The data are
 packaged separately from xtide because they may be updated separately.
 .
 Some data omitted from the upstream harmonics file because of restrictions
 on commercial distribution and were included in the non-free package
 xtide-data-nonfree.
Homepage: http://www.flaterco.com/xtide/
Tag: role::app-data, use::timekeeping
Section: science
Priority: optional
Filename: pool/main/x/xtide-data/xtide-data_20100529-1_all.deb

Package: xtightvncviewer
Source: tightvnc
Version: 1.3.9-6.4
Architecture: armhf
Maintainer: Ola Lundqvist 
Installed-Size: 188
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libjpeg8 (>= 8c), libsm6, libx11-6, libxaw7, libxext6, libxmu6, libxpm4, libxt6, zlib1g (>= 1:1.1.4)
Recommends: xfonts-base
Suggests: tightvncserver, ssh
Provides: vnc-viewer, vncviewer
Homepage: http://www.tightvnc.com
Priority: optional
Section: x11
Filename: pool/main/t/tightvnc/xtightvncviewer_1.3.9-6.4_armhf.deb
Size: 93320
SHA256: 8fa372efa302b8133bcf0d28a90634f27caf4a505394449a32369957489306f8
SHA1: 9b020475209eaa82d2d97d48bd2922f301a917fa
MD5sum: 012b708c27f476b47e2c0a43b95e21ef
Description: virtual network computing client software for X
 VNC stands for Virtual Network Computing. It is, in essence, a remote
 display system which allows you to view a computing `desktop' environment
 not only on the machine where it is running, but from anywhere on the
 Internet and from a wide variety of machine architectures.
 .
 It is implemented in a client/server model. This package provides a client
 for X, with this you can connect to a vncserver somewhere in the network
 and display its content in a window. There are vncserver available for other
 operating systems.
 .
 The difference between the xtightvncviewer and the normal vncviewer is the
 data encoding, optimized for low bandwidth connections. If the client do not
 support jpeg or zlib encoding it can use the default one. Later versions of
 xvncviewer (> 3.3.3r2) support a new automatic encoding that should be equally
 good as the tightvnc encoding.

Package: xtitle
Version: 1.0.2-4
Installed-Size: 45
Maintainer: Jari Aalto 
Architecture: all
Depends: xterm
Size: 5802
SHA256: 7876a88f4c71a7d532ca1cef8228e7a421495385f94c26bed8959c4931fc243f
SHA1: a90929b098c2d711ea4084ae4f7ebf9155b6c35f
MD5sum: 88b710ed2fec87a7ae9a361cea1b185f
Description: set window title and icon name for X terminal
 Xtitle sets the window title and/or the icon name of the terminal
 window in which it is run to be its command line arguments. It does
 this by outputting the appropriate xterm(1) control sequence charac-
 ters. Unless messages are suppressed, xtitle will also report the
 settings it has made.
Homepage: http://www.cs.indiana.edu/~kinzler/xtitle
Tag: implemented-in::shell, role::program
Section: utils
Priority: extra
Filename: pool/main/x/xtitle/xtitle_1.0.2-4_all.deb

Package: xtrace
Version: 1.3.1-1
Architecture: armhf
Maintainer: Bernhard R. Link 
Installed-Size: 327
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Suggests: xauth
Homepage: http://xtrace.alioth.debian.org/
Priority: extra
Section: x11
Filename: pool/main/x/xtrace/xtrace_1.3.1-1_armhf.deb
Size: 103010
SHA256: 9152b6040ad0b42714f0270d7c2ef1267cb781f1bdf6b62b6e450afe7004910b
SHA1: e03c65be034720db23f75e1e7bbfbc0446b87637
MD5sum: 4e4c752bd0cb6dac0885faba405bc302
Description: trace communication between X client and server
 What strace is for system calls, xtrace is for X11 connections:
 you hook it between one or more X11 clients and an X server and
 it prints the requests going from client to server and the replies,
 events and errors going the other way.

Package: xtrans-dev
Source: xtrans
Version: 1.2.7-1
Installed-Size: 369
Maintainer: Debian X Strike Force 
Architecture: all
Size: 112492
SHA256: fd3c7faa859bedbdffe59f4b99e788981c9ba59515af1159e4826ce95428cdf5
SHA1: 6784dc87a77c15f479bbcea476402bebb5e1403f
MD5sum: 7b113fbb8d29827e3c5230a3f6e5bcc2
Description: X transport library (development files)
 xtrans includes a number of routines to make X implementations
 transport-independent; at time of writing, it includes support for UNIX
 sockets, IPv4, IPv6, and DECnet.
 .
 xtrans is not actually a library in itself; it includes .c files which are
 then used in the compilation of X servers, libraries, et al.
 .
 More information about X.Org can be found at:
 
 .
 This module can be found at
 git://anongit.freedesktop.org/git/xorg/lib/libxtrans
Multi-Arch: foreign
Tag: devel::library, role::devel-lib, x11::library
Section: x11
Priority: optional
Filename: pool/main/x/xtrans/xtrans-dev_1.2.7-1_all.deb

Package: xtrkcad
Version: 1:4.0.2-2
Architecture: armhf
Maintainer: Daniel E. Markle 
Installed-Size: 5135
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libjavascriptcoregtk-1.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.4.0), libwebkitgtk-1.0-0 (>= 1.3.10), libx11-6
Priority: optional
Section: editors
Filename: pool/main/x/xtrkcad/xtrkcad_4.0.2-2_armhf.deb
Size: 1980628
SHA256: 1a748374130b3db9e52254c24a62c6e8f9d6ad29823f0338c0930c6e74f236cd
SHA1: c0a11e27ab16701017fe29a7db28d08ccc1c45c1
MD5sum: 1bd16ad48b45434c76d8832565ffa904
Description: Model Train Track CAD Program
 This program allows you to design model train layouts.  It is fundamentally
 a drawing (CAD) program, but tailored to some of the specific issues in the
 model train world.

Package: xtrlock
Version: 2.2
Architecture: armhf
Maintainer: Matthew Vernon 
Installed-Size: 61
Depends: libc6 (>= 2.13-28), libx11-6
Priority: optional
Section: x11
Filename: pool/main/x/xtrlock/xtrlock_2.2_armhf.deb
Size: 8806
SHA256: b04147c7a82ed46d36b24699c81d87258ddd90674dce35e9b1b7a7439969e5e2
SHA1: f706fe67f7624badad38669ed27551e32c453b9b
MD5sum: 400c1a6d4e68705a09c0be0c1c15d274
Description: Minimal X display lock program
 xtrlock is a very minimal X display lock program, which uses nothing
 except the Xlib library.  It doesn't obscure the screen, it is
 completely idle while the display is locked and you don't type at it,
 and it doesn't do funny things to the X access control lists.

Package: xtron
Version: 1.1a-14
Architecture: armhf
Maintainer: Uwe Hermann 
Installed-Size: 184
Depends: libc6 (>= 2.13-28), libx11-6, libxpm4
Homepage: ftp://ibiblio.org/pub/Linux/games/arcade/
Priority: optional
Section: games
Filename: pool/main/x/xtron/xtron_1.1a-14_armhf.deb
Size: 22824
SHA256: 5a9070c1a8a5d1d6db81f797459e9a4ff024b143166aa27645c4ba56452e095a
SHA1: 808c88233ef5dbd68bcb1842e253ede336a531b8
MD5sum: 4f4c3e5028142e856f24eb9ac3b97be1
Description: Tron game for X11
 Xtron is a simple one or two player version of the old classic TRON. The game
 is simple: avoid running into walls, your own tail, and that of your opponent.

Package: xttitle
Version: 1.0-5
Architecture: armhf
Maintainer: Alberto Gonzalez Iniesta 
Installed-Size: 47
Depends: libc6 (>= 2.4)
Suggests: x-terminal-emulator
Priority: optional
Section: x11
Filename: pool/main/x/xttitle/xttitle_1.0-5_armhf.deb
Size: 6132
SHA256: f21f7634987902c2f1d7e2bb84d49119f926809172a613a6e071751cf31c379d
SHA1: 92e79549dadb5557b762dea17ad5e412cd4463c0
MD5sum: a1f9ca09b453c48c01f933b6ec32a6c5
Description: Changes X terminal emulator window titles
 This is a small program that generates escape sequences to change the title
 of terminal emulator windows under X. It should work with any program that
 emulates an xterm-like terminal.

Package: xturqstat
Source: turqstat
Version: 3.0-2
Architecture: armhf
Maintainer: Peter Karlsson 
Installed-Size: 393
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.4.0), turqstat
Suggests: crashmail | news-transport-system | slrnpull
Priority: optional
Section: mail
Filename: pool/main/t/turqstat/xturqstat_3.0-2_armhf.deb
Size: 159674
SHA256: f0082e208196822ac60d57f38ad9096692484fcb25ff3f56064e05fe7209dff2
SHA1: d449284e8c4c30fe616eba5d8ad8decdbd45b57a
MD5sum: 697b6028071cceb4d5e15b2bd8623fb3
Description: Fidonet and Usenet statistics program for X
 Turquoise SuperStat is a simple but powerful Fidonet and Usenet
 message base statistics program that can read messages that are
 stored in SDM (*.MSG), SquishMail, JAM, FDAPX/w and MyPoint
 message bases as well as local or remote (NNTP) Usenet news
 spools.
 .
 This is the GUI version.

Package: xtux
Version: 0.2.030306-12
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 21
Depends: xtux-levels
Homepage: http://xtux.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/x/xtux/xtux_0.2.030306-12_armhf.deb
Size: 804
SHA256: 1b81ca561a3a53c3ddce05f85d9a20497a3ad96468d6de8922448c857be3b41b
SHA1: 8db2e4988f1a0c4ee755b91a93e08d52b043d50e
MD5sum: ef960fab4462fec6f50a8843ce60e92b
Description: legacy package that you should remove
 The xtux package was split into xtux-client, xtux-server and
 xtux-common. This package is here to allow smooth upgrades and
 should be removed.

Package: xtux-client
Source: xtux
Version: 0.2.030306-12
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 137
Depends: libc6 (>= 2.13-28), libx11-6, libxpm4, xtux-common, xtux-levels
Suggests: xtux-server
Conflicts: xteddy (<= 2.0-5), xtux (<= 0.2.001230-3), xtux-server (<< 0.2.030306-9)
Replaces: xtux (<= 0.2.001230-3), xtux-server (<< 0.2.030306-9)
Homepage: http://xtux.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/x/xtux/xtux-client_0.2.030306-12_armhf.deb
Size: 47498
SHA256: 54f783a8dbe560434382954ec16d2876649ad3250ba63f03bf8e557f827e3a39
SHA1: 7c2a82c8990ed2cc5901372092daa2a3cdc94436
MD5sum: f875774a3ce3ecdafb095ff9e7195157
Description: arcade game featuring Free Software mascots
 X-Tux is a multidirectional scrolling game in which you control famous
 Free Software characters such as Tux, Beastie or RMS in an attempt
 to save the World from an evil software company.
 .
 This package contains the X-Tux client program.

Package: xtux-common
Source: xtux
Version: 0.2.030306-12
Installed-Size: 8332
Maintainer: Debian Games Team 
Architecture: all
Replaces: xtux-client (<< 0.2.030306-6)
Depends: xtux-levels
Size: 1298642
SHA256: 8f5d78915c7c90e427ccf2c6c8d9bbc33189026142d53cfa1acde05d040d1945
SHA1: 69db877578ea7ecb3acce9ceca91cdffe717bbd5
MD5sum: bf321ee3cd48f3e7e0411880cbac0e55
Description: shared files for the arcade game X-Tux
 X-Tux is a multidirectional scrolling game in which you control famous
 Free Software characters such as Tux, Beastie or RMS in an attempt
 to save the World from an evil software company.
 .
 This package contains the shared level files for the X-Tux client.
Homepage: http://xtux.sourceforge.net/
Tag: game::arcade, role::app-data, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/x/xtux/xtux-common_0.2.030306-12_all.deb

Package: xtux-levels
Source: xtux
Version: 0.2.030306-12
Installed-Size: 584
Maintainer: Debian Games Team 
Architecture: all
Replaces: xtux (<= 0.2.001230-3), xtux-common (<< 0.2.030306-6)
Conflicts: xtux (<= 0.2.001230-3), xtux-common (<< 0.2.030306-6)
Size: 140922
SHA256: 7c0ec7df0bd3b332e496ef7dff32c0ebfcf939f199819f9857ad7bcac46ef302
SHA1: f87705fdd4423f1a0db6bf8ac3ea5e0d837554b9
MD5sum: e3f3ad9138ce07810d1e8064e227ae23
Description: shared files for the arcade game X-Tux
 X-Tux is a multidirectional scrolling game in which you control famous
 Free Software characters such as Tux, Beastie or RMS in an attempt
 to save the World from an evil software company.
 .
 This package contains the shared level files for X-Tux.
Homepage: http://xtux.sourceforge.net/
Tag: game::arcade, role::app-data, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/x/xtux/xtux-levels_0.2.030306-12_all.deb

Package: xtux-server
Source: xtux
Version: 0.2.030306-12
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 120
Depends: libc6 (>= 2.13-28), xtux-levels
Conflicts: xtux (<= 0.2.001230-3)
Replaces: xtux (<= 0.2.001230-3), xtux-client (<< 0.2.030306-9)
Homepage: http://xtux.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/x/xtux/xtux-server_0.2.030306-12_armhf.deb
Size: 35576
SHA256: 121136f83d2bbd6b1174a28b554a17edca5c85551ba9bf34429c7429237b61fe
SHA1: c50bcb9b0605c4280e0c8d7947ccb905133d2621
MD5sum: 2ec6fddb5fc5eed4341591ec77615adf
Description: server for the arcade game X-Tux
 X-Tux is a multidirectional scrolling game in which you control famous
 Free Software characters such as Tux, Beastie or RMS in an attempt
 to save the World from an evil software company.
 .
 This package contains the X-Tux server program.

Package: xtv
Version: 1.1-12
Architecture: armhf
Maintainer: Barak A. Pearlmutter 
Installed-Size: 47
Depends: libc6 (>= 2.13-28), libx11-6, libxaw7, libxt6
Priority: extra
Section: x11
Filename: pool/main/x/xtv/xtv_1.1-12_armhf.deb
Size: 7610
SHA256: 963cc37d2500a2e7a022f5de59580afef94e2f5e85a212cfb842e9474a97c4c3
SHA1: 1935ba780a89da65dd696bb04969e0b30b59bb5c
MD5sum: 9b16a5a077b3b573a6240803aabc06bb
Description: View the screen of a remote X11 display
 View a remote X11 display in a window on your own display, in a
 continuously updating fashion.  Just like watching the remote
 display on television!

Package: xul-ext-adblock-plus
Source: adblock-plus
Version: 2.1-1+deb7u1
Installed-Size: 2487
Maintainer: Dmitry E. Oboukhov 
Architecture: all
Replaces: adblock-plus (<< 1.1.1-2)
Provides: adblock-plus, iceape-adblock-plus, icedove-adblock-plus, iceweasel-adblock-plus
Depends: iceweasel (>= 8.0) | icedove (>= 8.0) | iceape (>= 2.5)
Conflicts: mozilla-firefox-adblock
Breaks: adblock-plus (<< 1.1.1-2), iceape (>> 2.13~a1+), iceape (<< 2.5), icedove (<< 8.0), iceweasel (<< 8.0)
Size: 576176
SHA256: 66092f0710ad57df906b20434c87c5dad57a9476654a5977c72be391a58172ee
SHA1: 50339fbfc1bcefb09b3044451b9bd73cd2ab29f9
MD5sum: 2f1401ec5fbfa91ab046261e0fd0b6b4
Description: Advertisement blocking extension for web browsers
 Adblock Plus is a content-filtering extension for Iceweasel, Firefox,
 SeaMonkey, and several other applications; it allows users to prevent webpage
 elements, such as advertisements, from being downloaded and displayed.
 .
 On the first run, Adblock Plus will ask you if you want to subscribe to
 a filter list, which is automatically updated and blocks a lot of common
 advertisements. Additional filters can be added at will, and it's also
 possible to use wildcards in order to block e.g. all images or JavaScript
 files from specific servers or directories.
Homepage: http://adblockplus.org/
Enhances: iceape, icedove, iceweasel
Section: web
Priority: optional
Filename: pool/main/a/adblock-plus/xul-ext-adblock-plus_2.1-1+deb7u1_all.deb

Package: xul-ext-adblock-plus-element-hiding-helper
Source: adblock-plus-element-hiding-helper
Version: 1.2.2-1
Installed-Size: 612
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: adblock-plus-element-hiding-helper, conkeror-adblock-plus-element-hiding-helper, iceape-adblock-plus-element-hiding-helper, icedove-adblock-plus-element-hiding-helper, iceweasel-adblock-plus-element-hiding-helper
Depends: iceweasel (>= 8.0) | icedove (>= 8.0) | iceape (>= 2.5) | conkeror, xul-ext-adblock-plus
Enhances: conkeror, iceape, icedove, iceweasel
Size: 101288
SHA256: 0afbfed8ff98313e3f2cba24094b67d2dc1e106069e53a4bbfed4257c5f227f6
SHA1: 0ec5e574123785cc4d0b6e7bed4033f5e694a839
MD5sum: 3d2835dc0addeb5580631a51336831eb
Description: extension for Adblock Plus meant to make creating element hiding rules easier
 Element Hiding Helper is a companion extension for Adblock Plus
 meant to make creating element hiding rules easier. You simply
 select the element you want to be hidden and then choose which
 attributes of this element should be taken into account when hiding
 it in future. The element hiding rule is generated and added automatically.
Homepage: http://adblockplus.org/en/elemhidehelper
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/a/adblock-plus-element-hiding-helper/xul-ext-adblock-plus-element-hiding-helper_1.2.2-1_all.deb

Package: xul-ext-all-in-one-sidebar
Source: all-in-one-sidebar
Version: 0.7.16+really-0.7.14-1
Installed-Size: 1367
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Replaces: all-in-one-sidebar (<< 0.7.11)
Provides: all-in-one-sidebar, iceweasel-all-in-one-sidebar
Depends: iceweasel (>= 4.0)
Enhances: iceweasel
Size: 352396
SHA256: 4aadf76603576872756f43cac577c445fd83ab2ce864900d7c0d7f654a9faed9
SHA1: e5397726bd082f55cc4cb8a668e13b58c212ef79
MD5sum: cdb7ccf37dd32525fab0b18d7c3f66a6
Description: sidebar extension for Iceweasel/Firefox
 All-in-One Sidebar (AiOS) is a sidebar control, inspired by Opera's.
 Click on the left edge of your browser window to open the sidebar and
 get easy access to all your panels. It lets you quickly switch between
 sidebar panels, view dialog windows such as downloads, extensions,
 and more in the sidebar, or view source or websites in the sidebar.
 .
 It includes a slide-out button and a toolbar which can be copiously customized.
Homepage: http://firefox.exxile.net/aios/
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/a/all-in-one-sidebar/xul-ext-all-in-one-sidebar_0.7.16+really-0.7.14-1_all.deb

Package: xul-ext-autofill-forms
Source: autofill-forms
Version: 0.9.8.3-5
Installed-Size: 2752
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: autofill-forms, iceweasel-autofill-forms
Depends: iceweasel
Suggests: libjs-mootools, libjs-slimbox
Enhances: iceweasel
Size: 2612430
SHA256: 999e8c660142d703c7144b736ee6b23d7c6c3d3c6d22bfe3894d45042628ace7
SHA1: 9c6a9afd41c3abb25a4ec949cea56ecd97edf58e
MD5sum: 50f78188251b775783de0627fc8bca52
Description: Iceweasel/Firefox add-on that enables you to fill out web forms faster
 Autofill Forms is a Iceweasel/Firefox add-on that enables you to fill out web
 forms with one click or a keyboard shortcut.
 Features:
  * Easy configuration with a simple interface.
  * Fills out web forms with one click or a keyboard shortcut.
  * Input fields not automatically matched can be filled out via the context
    menu.
  * Features a completely customizable ruleset to determine the input for each
    form element.
  * Provides a simple rule editor to define custom rules.
  * Advanced users can customize the ruleset using JavaScript regular
    expressions.
  * Works with input fields, textareas, selections, checkboxes, radio buttons
    and any valid form fields.
  * Allows one to use multiline input with line break tags.
  * Allows dynamically created input data using special dynamic tags.
  * Profiles can be used to switch betweeen several sets of rules.
  * Possibility to define site specific profiles and rules.
  * Automatically selects the best matching profile based on the assigned site.
  * Possibility to define a global profile.
  * Profiles can be exported and imported.
  * Provides the possibility to define alternatives inside a profile.
  * Allows one to add new rules or complete forms as profiles via the context
    menu of form fields.
  * Can be used with a toolbar button, a statusbar icon, a keyboard shortcut or
    via the context menu.
  * Completely customizable interface (buttons, icons and menus can be
    enabled/disabled).
  * Provides customizable keyboard shortcuts
  * Only active when filling out forms so it doesn't consume any system
    resources while surfing.
  * Provides secure encryption for the stored form data using Firefox Master
    Password.
  * Integrates with the Secure Login add-on.
Homepage: http://autofillforms.mozdev.org/
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/a/autofill-forms/xul-ext-autofill-forms_0.9.8.3-5_all.deb

Package: xul-ext-automatic-save-folder
Source: automatic-save-folder
Version: 1.0.4-3
Installed-Size: 1366
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: automatic-save-folder, iceweasel-automatic-save-folder
Recommends: iceweasel
Enhances: iceweasel
Size: 821246
SHA256: 933638e5ffb52e6bb5af6552a2265e1b8d74897287194569ab5e8a7713164ee8
SHA1: f48625aff962c17f34e204d816c74912911a5264
MD5sum: adb73dd95206a595630c615f0a11cad2
Description: Download helper for Firefox which automates the sorting and saving of files
 Automatic Save Folder is an add-on for Mozilla Firefox web browser.  It's a
 download helper which automates the sorting and saving of your files on your
 hard drive.
 .
 Tired of searching back and forth the saving place for your downloads from a
 multitude of sub-folders ? Then Automatic Save Folder is for you !  When
 downloading a file, Automatic Save Folder will automatically select the folder
 where the file will be saved to and/or where the file explorer will be
 opened using simple filters you set before.
 .
 The filters are easy to create and can be based on the file's name, the hosted
 file's domain or the current website's URL.
Homepage: http://asf.mangaheart.org/
Section: web
Priority: extra
Filename: pool/main/a/automatic-save-folder/xul-ext-automatic-save-folder_1.0.4-3_all.deb

Package: xul-ext-cacheviewer
Source: cacheviewer
Version: 0.6.3-1
Installed-Size: 248
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: cacheviewer, iceape-cacheviewer, iceweasel-cacheviewer
Recommends: iceweasel | iceape
Enhances: iceape, iceweasel
Conflicts: iceape (<< 2.0), iceweasel (<< 3.0)
Size: 43592
SHA256: cff6cf43d522626d51076e92465c4bccf15916d524d342e6f82daf31d78851c0
SHA1: 49aae1a4e1c1d9e3781d96096d23a0e305e849eb
MD5sum: 6101c6b2f1190166bef35bcef7d457ca
Description: this extenion is GUI Front-end of "about:cache"
 This extenion is GUI Front-end of "about:cache". Allows searching and sorting
 memory and disk cache files.
Homepage: https://addons.mozilla.org/ru/firefox/addon/2489/
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/c/cacheviewer/xul-ext-cacheviewer_0.6.3-1_all.deb

Package: xul-ext-certificatepatrol
Source: certificatepatrol
Version: 2.0.14-3+deb7u1
Installed-Size: 282
Maintainer: Christoph Biedl 
Architecture: all
Provides: certificatepatrol, iceape-certificatepatrol, icedove-certificatepatrol, iceweasel-certificatepatrol
Recommends: iceweasel (>= 3.5) | icedove (>= 3.0) | iceape (>= 2.0)
Enhances: iceape, icedove, iceweasel
Breaks: iceape (>> 4687+), iceape (<< 2.0), icedove (>> 4687+), icedove (<< 3.0), iceweasel (<< 3.5)
Size: 75778
SHA256: 1d1d745e4d452cfb20fc1e66b74ce2839ac989c878d9d51af16608fd2c84f13a
SHA1: 9708159ca12bbb40e4b7699ac0e37fa4cb291f19
MD5sum: ba4343253f5b27881ccb8949ab55aac9
Description: Certificate Monitor for Iceweasel/Icedove/Iceape
 This add-on monitors TLS certificates used and notifies about
 changes. Some assistance is given to identify changes that are
 probably harmless, like due to certificate expiration.
Homepage: http://patrol.psyced.org/
Section: web
Priority: optional
Filename: pool/main/c/certificatepatrol/xul-ext-certificatepatrol_2.0.14-3+deb7u1_all.deb

Package: xul-ext-compactheader
Source: compactheader
Version: 2.0.5-1
Installed-Size: 522
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: compactheader, icedove-compactheader
Depends: icedove (>= 8.0)
Enhances: icedove
Size: 213292
SHA256: 251d30266847f709c1f58d8e284ea9548048fc347999bcce06ae3286a4617fdc
SHA1: 530efd8f1082b03ad599280085b237341f5878fa
MD5sum: 64802f711d385434e945e278af3eb8eb
Description: Icedove extension to reduce header size to one or two lines
 compactheader adds a button to compact Icedove's header pane to a single
 line or expand it again. It also allows the user to disable the new
 buttons that Icedove 3 added to the header pane.
 This add-on integrates the functionality of "RSS Linkify Subject"
 by Alex Dedul. (https://addons.mozilla.org/en-US/thunderbird/addon/1704)
Homepage: http://compactheader.mozdev.org/
Tag: role::plugin, suite::mozilla
Section: mail
Priority: optional
Filename: pool/main/c/compactheader/xul-ext-compactheader_2.0.5-1_all.deb

Package: xul-ext-cookie-monster
Source: cookie-monster
Version: 1.1.0-5~deb7u1
Installed-Size: 183
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: cookie-monster, iceweasel-cookie-monster
Depends: iceweasel (>= 3.5)
Enhances: iceweasel
Breaks: iceweasel (<< 3.5)
Size: 97290
SHA256: 3dae9bb3602535e432a8691f9c3a5b3d62e86d8125b384012bbdd7557b4218ea
SHA1: d20394d091f0c388fe516e8631d18e11eaa542dd
MD5sum: 5dee75c219c7d8e81c09b68013023020
Description: makes it very easy to manage cookies in a whitelist-based way
 Cookie Monster, an extension for Firefox/Iceweasel, features fine-grained
 temporary or permanent domain, website and/or subdomains whitelisting
 in the same way the NoScript extension does for scripts.
 For added ease of use, its user interface is similar to the NoScript
 extension's one.
Homepage: https://addons.mozilla.org/en-US/firefox/addon/cookie-monster
Section: web
Priority: optional
Filename: pool/main/c/cookie-monster/xul-ext-cookie-monster_1.1.0-5~deb7u1_all.deb

Package: xul-ext-custom-tab-width
Source: custom-tab-width
Version: 1.0.1-2
Installed-Size: 120
Maintainer: Daniel Kahn Gillmor 
Architecture: all
Provides: custom-tab-width, iceweasel-custom-tab-width
Depends: iceweasel (>= 4.0~b3)
Enhances: iceweasel
Size: 4962
SHA256: 48aae7f93b850149819893813555cc29808bdb788b9be5333dd164068ef92831
SHA1: e675d2206c0d4f24d70c43581bd262c9c30be2cc
MD5sum: 796116e8b0e50f7a96b68067dc4d15da
Description: Iceweasel/Firefox extension for setting a custom tab width
 Lets users of Iceweasel, Firefox, and other compatible browsers
 customize the minimum and maximum tab width.
Homepage: http://en.design-noir.de/mozilla/tab-width/
Tag: implemented-in::ecmascript, role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/c/custom-tab-width/xul-ext-custom-tab-width_1.0.1-2_all.deb

Package: xul-ext-debianbuttons
Source: debianbuttons
Version: 1.9-1
Installed-Size: 109
Maintainer: Mozilla Extension Packaging Team 
Architecture: all
Provides: debianbuttons, iceweasel-debianbuttons
Depends: iceweasel
Enhances: iceweasel
Size: 22824
SHA256: afcdd42b04e1e2ef6012306c091338317a2edcdb9a68eac3faae2972e3890bdb
SHA1: de25a7055e8943b85fc2bebb74bf13a7c823a44a
MD5sum: 5760d3b0b90c44c1fff7753b4d24faba
Description: Buttons for querying Debian-related pages with Iceweasel/Firefox
 Debian buttons is an extension that provides several new buttons for quick
 access to information about Debian packages, bug reports, mailing list
 messages or developers on the web using text from the X11 clipboard.
Homepage: http://icedeb.ktnx.net/
Section: web
Priority: extra
Filename: pool/main/d/debianbuttons/xul-ext-debianbuttons_1.9-1_all.deb

Package: xul-ext-dispmua
Source: dispmua
Version: 1.6.8-1
Installed-Size: 3726
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Replaces: icedove-dispmua (<< 1.6.6-1~)
Provides: dispmua, iceape-dispmua, icedove-dispmua
Depends: icedove (>= 2.0) | iceape (>= 2.0)
Enhances: iceape, icedove
Breaks: icedove-dispmua (<< 1.6.6-1~)
Size: 3349082
SHA256: e99dd51d2e5c807fef8d131da05196857fbdb10772067fa3c0089c0b8199be4c
SHA1: 51bc7517165de926d6ee689a72396553bf3d5448
MD5sum: 914ed7b75f176d22fd11aec04a052afe
Description: display Mail User Agent extension for Icedove
 Adds an image on the right side of the "expanded header view" which displays
 the icon of the application with which the currently selected mail was
 written.
Homepage: http://www.juergen-ernst.de/addons/dispmua.html
Tag: role::plugin, suite::mozilla
Section: mail
Priority: optional
Filename: pool/main/d/dispmua/xul-ext-dispmua_1.6.8-1_all.deb

Package: xul-ext-dom-inspector
Source: mozilla-dom-inspector
Version: 1:2.0.11-1
Installed-Size: 455
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceape-dom-inspector, icedove-dom-inspector, iceweasel-dom-inspector
Depends: iceweasel (>= 3.0~a1) | icedove (>= 3.0~a1~pre) | iceape (>= 2.0~a1~pre)
Enhances: iceape, icedove, iceweasel
Breaks: iceape-dom-inspector (<< 1:2.0.4), iceweasel-dom-inspector (<< 1:2.0.4)
Size: 265366
SHA256: 7c51b4d794b49afb4971d1a4f220deef7ec5a3e4c455089827451230508a8946
SHA1: 7ce6118a984d2f0f37e9409b0bc082d392d0eb71
MD5sum: 7022d5337e47e84dae8398f6d92632b8
Description: tool for inspecting the DOM of pages in Iceweasel and Iceape
 This is a tool that allows you to inspect the DOM for web pages in
 Iceweasel and Iceape.  This is of great use to people who are doing Mozilla
 chrome development or web page development.
Homepage: https://addons.mozilla.org/en-US/firefox/addon/dom-inspector-6622/?id=6622
Tag: devel::web, role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/m/mozilla-dom-inspector/xul-ext-dom-inspector_2.0.11-1_all.deb

Package: xul-ext-downloadstatusbar
Source: downloadstatusbar
Version: 0.9.7.2-1
Installed-Size: 2148
Maintainer: Williams Orellana 
Architecture: all
Replaces: iceweasel-downloadstatusbar (<< 0.9.6.5-3~)
Provides: downloadstatusbar, iceweasel-downloadstatusbar
Recommends: iceweasel
Enhances: iceweasel
Breaks: iceweasel-downloadstatusbar (<< 0.9.6.5-3~)
Size: 439538
SHA256: be68303434fe26b0e0f9f57ce0b12951ba55c0882fa812534db5e8e15fe62596
SHA1: 975faea1d18bdfc392480955aa854b242f186c74
MD5sum: 4f65ec7e0790535a10c71a672edbf122
Description: Iceweasel/Firefox addon that provides an improved download statusbar
 View and manage downloads from a tidy statusbar — without the download
 window getting in the way of your web browsing.
 .
 Despite its compact size, Download Statusbar packs in more useful features
 than the standard download window. The fully customizable interface
 auto-hides when not in use, allowing full control without interruption.
Homepage: http://downloadstatusbar.mozdev.org/
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/d/downloadstatusbar/xul-ext-downloadstatusbar_0.9.7.2-1_all.deb

Package: xul-ext-downthemall
Source: downthemall
Version: 2.0.13-2
Installed-Size: 1236
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Replaces: iceweasel-downthemall (<< 2.0.1-1)
Provides: downthemall, iceape-downthemall, iceweasel-downthemall
Depends: iceweasel (>= 3.6) | iceape (>= 2.1)
Enhances: iceape, iceweasel
Size: 764632
SHA256: d9cc0136fdadf3694016d5c7221b2ce692b5a1f9fa6f3299cc10299093d52e44
SHA1: ddfdc9fa3e6100d949b95fbd94940066f96f2d5e
MD5sum: 08d96d3d0fac06ed039375cc8f202571
Description: iceweasel extension with advanced download capabilities
 DownThemAll lets you download all the links or images contained in a webpage.
 It can refine the downloads by fully customizable criteria to only get what is
 really wanted. DownThemAll is a full featured download manager with an advanced
 accelerator that increases speed up to 400%, allows one to pause and resume
 downloads at any time and, last but not least, it's fully integrated into
 iceweasel.
Homepage: http://www.downthemall.net/
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/d/downthemall/xul-ext-downthemall_2.0.13-2_all.deb

Package: xul-ext-firebug
Source: firebug
Version: 2.0.4-1~deb7u1
Installed-Size: 14770
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Replaces: xul-ext-firecookie
Provides: firebug, iceweasel-firebug, xul-ext-firecookie
Depends: iceweasel (>= 30.0~a1)
Enhances: iceweasel
Conflicts: xul-ext-firecookie
Breaks: iceweasel (<< 30.0~a1)
Size: 3823702
SHA256: bda530b56a5f387465bdc6b46624aad9cd7754e238cd7b97354f1ad89fdee79e
SHA1: fc51c054f955340145b6e82b749e04e2661fc166
MD5sum: 8acf83e0fbd6d3bd92e5bfc28c1527d9
Description: web development plugin for Iceweasel/Firefox
 Firebug integrates with Iceweasel/Firefox to put a wealth of web development
 tools at your fingertips while you browse. You can edit, debug, and monitor
 CSS, HTML, and JavaScript live in any web page.
 .
 Features:
 .
  * Inspect and edit HTML
  * Tweak CSS to perfection
  * Visualize CSS metrics
  * Monitor network activity
  * Debug and profile JavaScript
  * Quickly find errors
  * Explore the DOM
  * Execute JavaScript on the fly
  * Logging for JavaScript
Homepage: http://getfirebug.com/
Tag: devel::debugger, devel::web, role::plugin, suite::mozilla,
 use::analysing, use::editing, use::monitor, works-with-format::html
Section: web
Priority: optional
Filename: pool/main/f/firebug/xul-ext-firebug_2.0.4-1~deb7u1_all.deb

Package: xul-ext-firecookie
Source: firecookie
Version: 1.4-1+deb7u1
Installed-Size: 635
Maintainer: Dmitry E. Oboukhov 
Architecture: all
Provides: firecookie, iceweasel-firecookie
Depends: xul-ext-firebug, iceweasel (>= 3.0)
Enhances: iceweasel
Breaks: iceweasel (<< 3.0)
Size: 104752
SHA256: 0bfd5a78ccbe10317753a3b497f8be6dc9eb7c541c614a317a190a80b03b764d
SHA1: 8bd74fbc4adc772efc18314c360902c035e081ef
MD5sum: c3b6cea6ea2a305d6fc99594b6dbc607
Description: extension for Firebug to view and manage cookies in your browser
 This Iceweasel/Firefox addon provides a cookie manager for Firebug. You can use
 this extension to create new cookies, delete existing ones, browse the list of
 cookies for the current website or directly manage their permissions and a lot
 more.
Homepage: https://addons.mozilla.org/firefox/addon/6683
Section: web
Priority: optional
Filename: pool/main/f/firecookie/xul-ext-firecookie_1.4-1+deb7u1_all.deb

Package: xul-ext-firegestures
Source: firegestures
Version: 1.6.16-1
Installed-Size: 691
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: firegestures, iceweasel-firegestures
Depends: iceweasel (>= 4.0)
Enhances: iceweasel
Size: 92360
SHA256: dd6059a4c3bd9ea9a7a3f86481f64a228135cc8bd27ca82f4718bed897df95cd
SHA1: 56d04d3110cc4bea0144799998ccb78dbc53ebfc
MD5sum: 60e0f798baa12fadd3ae99b1cdbfd3ad
Description: enables you to execute various commands with five types of gestures
 FireGestures is a Firefox extension which enables you to execute various
 commands with five types of gestures:
 .
 Mouse Gestures (Moving mouse with holding right-button)
 Wheel Gestures (Scrolling wheel with holding right-button)
 Rocker Gestures (Left-click with holding right-button and vice versa)
 Keypress Gestures (Mouse gesture with holding Ctrl / Shift key)
 Tab Wheel Gestures (Scrolling wheel on the tab bar)
Homepage: http://www.xuldev.org/firegestures/
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/f/firegestures/xul-ext-firegestures_1.6.16-1_all.deb

Package: xul-ext-firetray
Source: firetray
Version: 0.4.6-1~deb7u2
Installed-Size: 608
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: firetray, iceape-firetray, icedove-firetray, iceweasel-firetray
Recommends: iceweasel (>= 7.0) | icedove (>= 7.0) | iceape (>= 2.4)
Enhances: iceape, icedove, iceweasel
Breaks: iceape (>> 2.20+), iceape (<< 2.4), icedove (<< 7.0), iceweasel (<< 7.0)
Size: 117478
SHA256: 3339dff9b7f2e4f75faa23141f52451de701278481c5323a6af163a5c54adf0b
SHA1: b3c80345d8dea911c6944e2931d2c2d07b6cf306
MD5sum: 4734bb15d6364905f68d462eb90d9fdc
Description: system tray extension for Icedove and Iceweasel alike applications
 FireTray is a system tray extension for Icedove, Iceweasel, and alike,
 allowing to set up a custom icon, hiding to tray instead of closing, display
 the number of unread messages in mailing applications, and other features.
Homepage: https://addons.mozilla.org/firefox/addon/firetray/
Section: web
Priority: extra
Filename: pool/main/f/firetray/xul-ext-firetray_0.4.6-1~deb7u2_all.deb

Package: xul-ext-firexpath
Source: firexpath
Version: 0.9.7-1
Installed-Size: 207
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: firexpath, iceweasel-firexpath
Depends: xul-ext-firebug
Recommends: iceweasel (>= 4.0)
Enhances: iceweasel
Size: 40660
SHA256: 9c41c424d38d45022e3746a5b63b2db065032e715cb84cd2c5c07f4ab50f0ae9
SHA1: b2b310a8385e22bde560061ac953df1c70b6ae3e
MD5sum: 30d59a7bb3d4396a77687f38c9184c1f
Description: extension for Firebug to edit, inspect and generate XPath expressions
 FireXPath is a Firebug extension that adds a development tool to edit,
 inspect and generate XPath expressions.
 With FireXPath you can:
  * Edit XPath expressions with auto completion (using TAB or up and down
    arrows).
  * Evaluate the expression on HTML or any XML documents.
  * Display the result of evaluations in a Firebug-like DOM tree.
  * Highlight the results directly on the document displayed by Firefox
    (works only with HTML documents).
  * Generate an XPath expression for an element by right clicking on it
    and selecting "Inspect XPath" located under "Inspect Element".
  * Define the evaluation context of an XPath expression.
  * Choose the document in which to evaluate the XPath expression (only
    applicable for HTML documents with frames or iframes).
Homepage: https://addons.mozilla.org/ru/firefox/addon/11900/
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/f/firexpath/xul-ext-firexpath_0.9.7-1_all.deb

Package: xul-ext-flashblock
Source: flashblock
Version: 1.5.17-1~deb7u1
Installed-Size: 263
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: flashblock, iceweasel-flashblock
Recommends: iceweasel
Enhances: iceweasel
Size: 133058
SHA256: 0600f978c0fd58817c5edb2c6f11dc25890be17d64607c2ad93fa12b402b455d
SHA1: 3fa74ee81711f467e9a4bdbfbe59642f4130fc3b
MD5sum: 8f80c77a4d78f5b89e93089b7e842fe4
Description: Mozilla extension to block Adobe Flash content
 Flashblock is an extension for the Iceweasel/Firefox browser that takes a
 pessimistic approach to dealing with Adobe Flash content on a webpage and
 blocks ALL Flash content from loading. It then leaves placeholders on the
 webpage that allow you to click to download and then view the Flash content.
Homepage: http://flashblock.mozdev.org
Tag: implemented-in::ecmascript, interface::x11, role::plugin,
 scope::application, security::privacy, suite::mozilla, uitoolkit::gtk,
 use::browsing, use::filtering, works-with-format::swf, x11::application
Section: web
Priority: optional
Filename: pool/main/f/flashblock/xul-ext-flashblock_1.5.17-1~deb7u1_all.deb

Package: xul-ext-flashgot
Source: flashgot
Version: 1.4.5+dfsg-1
Installed-Size: 602
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Replaces: flashgot (<< 1.2+dfsg-2)
Provides: flashgot, iceape-flashgot, icedove-flashgot, iceweasel-flashgot
Depends: curl, iceweasel | icedove (>= 2.0) | iceape (>= 2.0)
Enhances: iceape, icedove, iceweasel
Breaks: flashgot (<< 1.2+dfsg-2)
Size: 380630
SHA256: a97ff645cc3483d7dc2b2eefbcd5aa0bc2be1d74646e4b97e420109cb7033055
SHA1: a398a917548e2f5cfd99aea9557e7b607c6ef269
MD5sum: 476b27a6fe489ca29f43dd8ab263508e
Description: Extension to handle downloads with external download managers
 Flashgot turns every supported download manager into a download manager for
 Iceweasel. It offers also a Build Gallery functionality which helps to
 synthetize full media galleries in one page, from serial contents originally
 scattered on several pages, for easy and fast "download all".
Homepage: http://flashgot.net/getit
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/f/flashgot/xul-ext-flashgot_1.4.5+dfsg-1_all.deb

Package: xul-ext-foxyproxy-standard
Source: foxyproxy
Version: 3.4-1.1~deb7u1
Installed-Size: 2942
Maintainer: Georg Koppen 
Architecture: all
Replaces: foxyproxy (<< 3.3-1)
Provides: iceape-foxyproxy-standard, icedove-foxyproxy-standard, iceweasel-foxyproxy-standard
Depends: iceweasel (>= 3.1~b3) | icedove (>= 3.0~a1~pre) | iceape (>= 2.0~a)
Enhances: iceape, icedove, iceweasel
Conflicts: foxyproxy (<< 3.3-1)
Breaks: iceape (<< 2.0~a), icedove (<< 3.0~a1~pre), iceweasel (<< 3.1~b3)
Size: 710584
SHA256: 881788d61b86701c1939b8f872c546c010ca27cb02a7078e5c9b686b75052f91
SHA1: c575e1bc898f066e6aeaf8ad3b93664360e3775a
MD5sum: 7691fcc019cfd45cd5884c4e7ad2fb5d
Description: advanced proxy management tool for Iceweasel, Icedove and Iceape
 FoxyProxy is a proxy management tool that completely replaces the proxy
 configuration options in Iceweasel, Icedove and Iceape and compliments it
 with the ability to
  - define multiple proxies and order their use with priorities
  - temporarily or permanently disable a proxy with the click of a
    button
  - define which proxy to use (or none!) for arbitrary URLs using
    wild cards, regular expression and other conveniences
  - log of all URLs loaded, including which proxy was used (if any),
    and which pattern was matched, time stamps, etc.
  - enable optional status bar information about which proxy is
    currently in use
  - support Tor
  - support full Proxy Auto-Config (PAC) and WPAD
  - support pattern subscriptions
Homepage: http://getfoxyproxy.org/
Section: web
Priority: optional
Filename: pool/main/f/foxyproxy/xul-ext-foxyproxy-standard_3.4-1.1~deb7u1_all.deb

Package: xul-ext-gcontactsync
Source: gcontactsync
Version: 0.3.5-1
Installed-Size: 886
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Replaces: icedove-gcontactsync (<< 0.3.4-2)
Provides: gcontactsync, iceape-gcontactsync, icedove-gcontactsync
Depends: icedove (>= 2.0.0.8)
Enhances: iceape, icedove
Size: 187560
SHA256: 0b2404d24447b4cfe48916f482b4da846d77e008e51f394747a56d4003395303
SHA1: 3606cfb28cec4779480aed085254a3708043deb4
MD5sum: 6855b6aa9cec7347c9394b83b6d5266f
Description: synchronize contacts from Gmail into IceDove
 gContactSync is an extension to IceDove that synchronizes contacts from a
 Google (Gmail) account with an Address Book in Icedove.
Homepage: http://gcontactsync.mozdev.org/
Section: mail
Priority: optional
Filename: pool/main/g/gcontactsync/xul-ext-gcontactsync_0.3.5-1_all.deb

Package: xul-ext-googlebookmarks
Source: google-bookmarks
Version: 1.5-3
Installed-Size: 222
Maintainer: Andrea Veri 
Architecture: all
Provides: iceweasel-googlebookmarks
Depends: iceweasel
Enhances: iceweasel
Size: 62688
SHA256: 290ccf652e03f68a3e7e2262503ed1926ca91f24569872ad0383060d33fd4cef
SHA1: 475224427c2f0915de6c2120de9f8b716befe309
MD5sum: db92da77bc0fb99833d1d208e1ddb9be
Description: extension meant for managing your Google Bookmarks
 This Iceweasel/Firefox addon provides an easy way for managing your
 Google Bookmarks. You'll be able to manage your bookmarks directly
 from the Iceweasel's Bookmarks toolbar. If the addon button won't
 appear correctly, get yourself into the Customize menu and drag the
 Star icon on the selected toolbar.
Homepage: http://www.balandro.net/dengGB/
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/g/google-bookmarks/xul-ext-googlebookmarks_1.5-3_all.deb

Package: xul-ext-greasemonkey
Source: greasemonkey
Version: 1.15-1~deb7u1
Installed-Size: 902
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: greasemonkey, iceweasel-greasemonkey
Depends: iceweasel (>= 20.0)
Enhances: iceweasel
Breaks: iceweasel (<< 20.0)
Size: 192542
SHA256: 23f53a61bd6d18f9b6945ef6b76d631a5193044561ff9821239973e6f91f9cca
SHA1: e949f3cc84de78c56b30a963d0cc5da89437804d
MD5sum: a46452c7a882d4e3523aef4a67ea4b9f
Description: customization of webpages with user scripts
 Greasemonkey allows users of the Iceweasel/Firefox web browser to create or
 download user scripts which modify the content or behavior of web sites. It
 provides a powerful way to personalize the web. The scripts are written in
 JavaScript and have access to many of Iceweasel's/Firefox's features.
 .
 A large collection of prewritten scripts can be found at userscripts.org
Homepage: http://www.greasespot.net/
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/g/greasemonkey/xul-ext-greasemonkey_1.15-1~deb7u1_all.deb

Package: xul-ext-https-everywhere
Source: https-everywhere
Version: 2.0.5-1
Installed-Size: 1024
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: conkeror-https-everywhere, https-everywhere, iceape-https-everywhere, icedove-https-everywhere, iceweasel-https-everywhere
Pre-Depends: dpkg (>= 1.15.6)
Recommends: iceweasel (>= 3.0) | icedove (>= 3.0) | iceape | conkeror
Enhances: conkeror, iceape, icedove, iceweasel
Size: 232968
SHA256: c9f8534a24c359ec7448cb3c3196ed1167d842752ea339e80c8b68787ace8915
SHA1: 181cb9a68f5d4ebe9d98c88c1d7f5faeafe6d0f4
MD5sum: b684a58ec356473479914e079793719e
Description: extension to force the use of HTTPS on many sites
 HTTPS Everywhere is a Firefox/Iceweasel extension produced as a
 collaboration between The Tor Project and the Electronic Frontier
 Foundation. It encrypts your communications with a number of major
 websites.
 .
 Many sites on the web offer some limited support for encryption over HTTPS,
 but make it difficult to use. For instance, they may default to unencrypted
 HTTP, or fill encrypted pages with links that go back to the unencrypted
 site.
 .
 The HTTPS Everywhere extension fixes these problems by rewriting all
 requests to these sites to HTTPS.
Homepage: https://www.eff.org/https-everywhere
Tag: protocol::ssl, role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/h/https-everywhere/xul-ext-https-everywhere_2.0.5-1_all.deb

Package: xul-ext-imap-acl
Source: imap-acl-extension
Version: 0.2.2-1
Installed-Size: 166
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: icedove-imap-acl
Depends: icedove (>= 3.0)
Enhances: icedove
Size: 23672
SHA256: 49841cfe31b504411396e540eb446eb59b0de9bd6abba74d88d6ab6b4ed34280
SHA1: bf27b8a6ae958d0f8ef75d3ecb46406ef24c8c18
MD5sum: 5b2130a28b938685639c557b9899bb4c
Description: Extension to manage ACLs on IMAP folders
 This extension provides a user interface to manage IMAP ACLs from within
 Icedove. It supports setting fine grained permissions on folders.
 The IMAP server in use needs support for IMAP ACLs.
Homepage: https://addons.mozilla.org/de/thunderbird/addon/imap-acl-extension/
Tag: role::plugin, suite::mozilla
Section: mail
Priority: optional
Filename: pool/main/i/imap-acl-extension/xul-ext-imap-acl_0.2.2-1_all.deb

Package: xul-ext-itsalltext
Source: itsalltext
Version: 1.6.4-1
Installed-Size: 202
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceape-itsalltext, iceweasel-itsalltext, itsalltext
Depends: iceweasel (>= 3.6) | iceape (>= 2.0)
Enhances: iceape, iceweasel
Size: 77458
SHA256: 429f49f40df2ae4d3fde479a23e775a491432c4c8cac7b04253ef900a06afb4f
SHA1: 0cf341603e18386ea0789ea8c70ef14910c6c430
MD5sum: 6918e3318165d9a7528fad353960fccb
Description: Iceweasel/Firefox extension to edit textareas using an external editor
 It's All Text! is a Firefox/Iceweasel extension which allows you to
 edit textareas using an external editor.
 .
 At the bottom right corner of any edit box, a little edit button will
 appear. Clicking this button will open an external editor with the
 content of the edit box, which will be updated every time you save.
Homepage: http://addons.mozilla.org/firefox/4125
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/i/itsalltext/xul-ext-itsalltext_1.6.4-1_all.deb

Package: xul-ext-linky
Source: linky
Version: 3.0.0-4
Installed-Size: 132
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Replaces: iceweasel-linky (<< 3.0.0-1)
Depends: iceweasel
Size: 36792
SHA256: c7412011bc64bcdabcb86d1ed7652f088a5c084d5827602f7bc5440cb710fbcb
SHA1: a89c4fc929306e4dcaab5c060a7808b49a15837c
MD5sum: 4762ac982a1441c207f5b5b2ffa162bc
Description: iceweasel extension to handle web and image links
 Increases links handling in Iceweasel (Mozilla Firefox). It allows opening
 or downloading all or selected links, image links and even web addresses in
 separate or different tabs or windows. This is achieved by right clicking any
 link or web address, selecting the Linky menu item and choosing the desired
 action. The Linky menu is entirely customizable.
Homepage: http://gemal.dk/mozilla/linky.html
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/l/linky/xul-ext-linky_3.0.0-4_all.deb

Package: xul-ext-livehttpheaders
Source: livehttpheaders
Version: 0.17-3
Installed-Size: 251
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Replaces: mozilla-livehttpheaders (<< 0.17-3)
Provides: iceape-livehttpheaders, iceweasel-livehttpheaders, livehttpheaders
Depends: iceweasel | iceape
Enhances: iceape, iceweasel
Breaks: mozilla-livehttpheaders (<< 0.17-3)
Size: 124770
SHA256: c8d133fa30b3b740755763bf2ffdecc1343f5fa75cd2e3af7b5b3d6f5899a957
SHA1: 009afbb4376256d002602ba1e731b4c293468a37
MD5sum: 367ba66129ed6b31685e8313dd8627ba
Description: Adds information about the HTTP headers to Iceweasel and Iceape
 This extension allows the user to see HTTP headers exchanged during an
 HTTP request.
 .
 It can be helpful to debug web applications, see what kind of web server
 the remote site is using, see the cookies sent by the remote site, see
 the content sent by the browser during a POST request, etc.
 .
 These HTTP headers are available in a Headers tab in the Page Info
 dialog, and from a Live HTTP Headers tool in the Tools > Web
 Development menu in Iceape and Tools menu in Iceweasel.
 .
 It is also possible to modify a request and replay it.
Homepage: https://addons.mozilla.org/en-US/firefox/addon/live-http-headers
Section: web
Priority: optional
Filename: pool/main/l/livehttpheaders/xul-ext-livehttpheaders_0.17-3_all.deb

Package: xul-ext-monkeysphere
Version: 0.6.1-1
Installed-Size: 166
Maintainer: Jameson Rollins 
Architecture: all
Provides: iceweasel-monkeysphere
Depends: iceweasel (>= 3.5)
Recommends: monkeysphere-validation-agent
Enhances: iceweasel
Breaks: iceweasel (<< 3.5)
Size: 41492
SHA256: 4da2ee12bc681340ece4ec701bbabc5a4fb8cc35595338e72490d6dee3203bfd
SHA1: d7887f82594b30b543fef26b0acfcd2ba39025e8
MD5sum: 3b508c6c1ba0f993d78972a03848e181
Description: Iceweasel/Firefox extension for using Monkeysphere on the web
 Monkeysphere is a system for using the OpenPGP web of trust as a PKI
 for RSA keys.
 .
 This extensions enables Monkeysphere checking of X.509 certificates
 from https hosts whose keys are in the web of trust.
Homepage: http://web.monkeysphere.info/
Tag: role::plugin, security::cryptography, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/x/xul-ext-monkeysphere/xul-ext-monkeysphere_0.6.1-1_all.deb

Package: xul-ext-mozvoikko
Source: mozvoikko
Version: 2.0.1-1
Installed-Size: 91
Maintainer: Heikki Mäntysaari 
Architecture: all
Replaces: mozvoikko
Depends: libvoikko1, voikko-fi, iceweasel (>= 4.0) | icedove (>= 5.0) | iceape (>= 2.2)
Breaks: mozvoikko (<= 1.0)
Size: 14446
SHA256: 73e5c75955148f002fa20de52e821bf752020398f3257236c22a8581d464a8c4
SHA1: 212894512d4176ba81878e4c3b2e239faeafb45e
MD5sum: 1fa6003cdbba48974652abcad9129e02
Description: Finnish spell-checker extension for Iceweasel
 Finnish spell-checker extension for Iceweasel. Spell-checking
 functionality is provided by Voikko - a free spelling checker
 for Finnish language.
Section: web
Priority: optional
Filename: pool/main/m/mozvoikko/xul-ext-mozvoikko_2.0.1-1_all.deb

Package: xul-ext-noscript
Source: mozilla-noscript
Version: 2.6.8.19-1~deb7u2
Installed-Size: 1109
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceape-noscript, iceweasel-noscript
Depends: iceweasel (>= 3.0.9) | iceape (>= 2.0)
Enhances: iceape, iceweasel
Breaks: iceape (>> 2.28+), iceape (<< 2.0), iceweasel (<< 3.0.9)
Size: 758518
SHA256: d79921f1d0242fe6784e1011910a94cde99fc0ff5befbcc6f8fdcdfb6ea94e0e
SHA1: 6ca33da61de5a71a77cd49d9bfc3583a42bf2ed6
MD5sum: 6dcc46d1b11b83f6ce2535eb34f30033
Description: permissions manager for Iceweasel and Iceape
 This extension brings a powerful control over the way external scripts or
 embedded programs, such as Java or Flash, are loaded.
 .
 By blocking scripts and/or plugins, it improves security and disables annoying
 behaviours caused by malicious scripts.
 .
 When a script is blocked, you are notified, and you can unblock a site or a
 page, either temporarily or permanently. You can then set a whitelist of
 trusted sites based on URL or on domain name.
Homepage: http://noscript.net/
Section: web
Priority: optional
Filename: pool/main/m/mozilla-noscript/xul-ext-noscript_2.6.8.19-1~deb7u2_all.deb

Package: xul-ext-nosquint
Source: nosquint
Version: 2.1.5-1
Installed-Size: 197
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceweasel-nosquint, nosquint
Depends: iceweasel (>= 3.0)
Enhances: iceweasel
Size: 118472
SHA256: 20fb4952375e579e794c1d4bf0b4122c4a332df3dade257581f6ba25ed55e94b
SHA1: 42093969cd4e0ac08ecbc7221e1415c58f3894ad
MD5sum: 814772d28d1b876f4f94a9b3770f9ce8
Description: extension for Iceweasel/Firefox to control the size of text of websites
 NoSquint allows you to adjust the text-only and full-page
 (both text and images) zoom levels as well as color settings
 both globally (for all sites) and per site.
 Having a hard time reading on the web? Is that website with the ridiculously
 small font and hot-pink-on-slightly-darker-hot-pink text raising your blood
 pressure? NoSquint can:
 .
 Override the default text-only and full-page (both text and images) zoom
 levels for all websites
 .
 Enforce your own foreground and background colors
 .
 Remember your zoom levels and color settings per site, automatically
 applying them when you return.
 .
 Disagree with what NoSquint calls a site? A powerful exceptions mechanism
 lets you split up or group together sites with URL patterns.
Homepage: https://urandom.ca/nosquint
Section: web
Priority: optional
Filename: pool/main/n/nosquint/xul-ext-nosquint_2.1.5-1_all.deb

Package: xul-ext-nostalgy
Source: nostalgy
Version: 0.2.32+4real-1~deb7u1
Installed-Size: 188
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceape-nostalgy, icedove-nostalgy, nostalgy
Depends: icedove (>= 31.0) | iceape (>= 1.1)
Enhances: iceape, icedove
Breaks: iceape (>> 2.9.+), iceape (<< 1.1), icedove (<< 31.0)
Size: 37120
SHA256: 7e3935c4a90c3bfdbec59dfae5998dfbf09587ea2fdc1064dae10f58ee950316
SHA1: e49dbd0efc05b3d652b764fa23884d1d5450beb4
MD5sum: 4a867a4bba221564afb37aae57c9d9ff
Description: keyboard shortcut extension for Icedove
 Nostalgy adds keyboard shortcuts to change the current folder, to move/copy
 selected messages into another folder, to add/remove/view tags; the selection
 of the target folder is made through an auto-completion box where you can type
 part of the folder name. There are also commands to improve the navigation
 between Icedove's three panes.
Homepage: http://alain.frisch.fr/soft_mozilla.html
Section: mail
Priority: optional
Filename: pool/main/n/nostalgy/xul-ext-nostalgy_0.2.32+4real-1~deb7u1_all.deb

Package: xul-ext-openinbrowser
Source: openinbrowser
Version: 1.11-6
Installed-Size: 135
Maintainer: Jakub Wilk 
Architecture: all
Provides: iceape-openinbrowser, iceweasel-openinbrowser, openinbrowser
Depends: iceweasel (>= 3.6) | iceape (>= 2.0)
Enhances: iceape, iceweasel
Breaks: iceape (<< 2.0), iceweasel (<< 3.6)
Size: 18536
SHA256: f24d868e7f3b939cd8ca8123caf48eed9c30702edf3ca3c3966e23f37ba4ebf8
SHA1: 3189c474852ed0052e70a1c0adb39bc830872df2
MD5sum: e91c2939868b9c275bc30de740562ac6
Description: open files directly in the browser
 This extension allows you to open the document directly in the browser.
 Additionally, the extensions also allows you to change the media type of the
 document that is currently being viewed.
Homepage: http://spasche.net/openinbrowser/
Tag: implemented-in::ecmascript, role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/o/openinbrowser/xul-ext-openinbrowser_1.11-6_all.deb

Package: xul-ext-pentadactyl
Source: dactyl
Version: 1.0~rc1-1
Installed-Size: 1988
Maintainer: Mozilla Extension Packaging Team 
Architecture: all
Provides: iceweasel-pentadactyl
Depends: iceweasel (>= 3.6)
Enhances: iceweasel
Size: 486280
SHA256: 851d413e368d789a4c3e92ab325f7ce17f612fa877529a03c25392d6c5605f92
SHA1: 016ec2d6407ad25652a63171265050315669462c
MD5sum: cd31080967a6f72fe3feea13a135f2ac
Description: Vim-inspired, keyboard-accessible user interface for Iceweasel/Firefox
 This Iceweasel/Firefox extension makes it possible to control the
 browser almost entirely by keyboard, in a manner similar to the Vim
 text editor.
 .
 This is a fork of Vimperator that retains more of the look and feel of
 older Vimperator versions.
Homepage: http://dactyl.sourceforge.net/
Tag: accessibility::input, role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/d/dactyl/xul-ext-pentadactyl_1.0~rc1-1_all.deb

Package: xul-ext-personasplus
Source: personasplus
Version: 1.6.2-2
Installed-Size: 536
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: icedove-personasplus, iceweasel-personasplus, personasplus
Depends: iceweasel (>= 3.0) | icedove (>= 3.0~b4)
Enhances: icedove, iceweasel
Breaks: icedove (<< 3.0~b4), iceweasel (<< 3.0)
Size: 336586
SHA256: 3aa60f0dd2a0baf652420ff97cfb4a1e5208bbac1f6414b8c9170ed2504ffc37
SHA1: b691e2cbfb0582de101f590bcdf2cbb25ec0f848
MD5sum: f4d0439ce6336172074a9da4b3b0d184
Description: free, easy-to-install skins for Firefox/Iceweasel
 Personas Plus extends that built-in functionality to give you even
 greater control and easier access to new, popular, and even your
 own favorite Personas.
 Installing the add-on places a small "fox mask" icon in your
 status bar or add-on bar which, when you click on it, pops up
 a menu where you can select, change, or even randomize your
 Firefox Persona.
 If you create an account on the GetPersonas website, you can "star"
 your favorite Personas there, which will then appear in your
 Personas Plus menu!
Homepage: https://addons.mozilla.org/en-US/firefox/addon/personas-plus
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/p/personasplus/xul-ext-personasplus_1.6.2-2_all.deb

Package: xul-ext-perspectives
Source: perspectives-extension
Version: 4.3.1-1+deb7u1
Installed-Size: 393
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceape-perspectives, iceweasel-perspectives
Depends: iceweasel (>= 3.0) | iceape (>= 2.0)
Enhances: iceape, iceweasel
Size: 99700
SHA256: 18660364fac5380625e5b86b99f64abe32faccb6405efe38dc90d3cdfb95c9e9
SHA1: 6d50fe410d0ffdc4f814f3abf1fe8a355cbffada
MD5sum: ee2355b085c00cd0b3666f624fa4098b
Description: verify HTTPS sites through notary servers
 Perspectives is an approach to help clients securely identify Internet servers
 in order to avoid "man-in-the-middle" attacks, by querying "network notaries"
 located in multiple vantage points across the Internet.
 .
 This extension enables bypassing HTTPS security warnings when appropriate.
Homepage: http://www.networknotary.org/
Section: web
Priority: optional
Filename: pool/main/p/perspectives-extension/xul-ext-perspectives_4.3.1-1+deb7u1_all.deb

Package: xul-ext-pwdhash
Source: pwdhash
Version: 1.7-13
Installed-Size: 102
Maintainer: Benjamin Drung 
Architecture: all
Replaces: mozilla-pwdhash (<< 1.7-4~), pwdhash (<< 1.7-5ubuntu2)
Provides: iceweasel-pwdhash, pwdhash
Depends: iceweasel
Enhances: iceweasel
Breaks: mozilla-pwdhash (<< 1.7-4~), pwdhash (<< 1.7-5ubuntu2)
Size: 17220
SHA256: f926c5f9eb737e6244fe22901049185387fdc03bb2bb3b7fb5afcdf6d429b3df
SHA1: 2c4c25c0a999032544d0973b89468aba65525c28
MD5sum: c4c97a52c7bfa14f28aceef90a0a693a
Description: per-site password generator for Mozilla browsers
 PwdHash is a browser extension (compatible with Iceweasel, Firefox,
 and Seamonkey) to transparently convert a user's password into a
 site-specific password which is not tied to the machine on which it
 was generated.
 .
 Hashing is triggered by prefixing the password with '@@' or by using
 the shortcut key 'F2'. The password field in focus is replaced by the
 hash value. Should the site be compromised, the attacker can now only
 see the hash of the password, not the password itself.
 .
 PwdHash does not encrypt passwords, but it makes brute-force attacks much
 less effective. It also means phishing sites can only steal a hash that's
 specific to the spoof page and useless on the site being imitated.
Homepage: https://www.pwdhash.com/
Tag: role::plugin, security::authentication, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/p/pwdhash/xul-ext-pwdhash_1.7-13_all.deb

Package: xul-ext-quickproxy
Source: quickproxy
Version: 2009.07.19-3
Installed-Size: 148
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceweasel-quickproxy, quickproxy
Recommends: iceweasel
Enhances: iceweasel
Size: 39620
SHA256: 1e84fcdc946214a754eaf99d4fcf98437c8561b5c715c57fdf94b9913f259666
SHA1: b1981acb66f6051cab87ff5cff02aff5c6382ebc
MD5sum: bf0b33a6e5d56d69cc5fdf01eda4788f
Description: statusbar button to turn the proxy on and off with a single click.
 Quickproxy creates a statusbar button to turn the proxy on and off with a
 single click. This switches Firefox between the different proxy states
 that you have selected, which are configured through the Firefox
 preferences, and the type of proxy that is turned on/off is configured
 through the QuickProxy preferences window. This extension will not
 provide a proxy server for you.
Homepage: https://addons.mozilla.org/ru/firefox/addon/1557/
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/q/quickproxy/xul-ext-quickproxy_2009.07.19-3_all.deb

Package: xul-ext-quotecolors
Source: quotecolors
Version: 0.3-3
Installed-Size: 120
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Replaces: icedove-quotecolors (<< 0.3-2~)
Provides: iceape-quotecolors, icedove-quotecolors, quotecolors
Depends: icedove (>= 2.0) | iceape (>= 2.0~a1)
Enhances: iceape, icedove
Breaks: icedove-quotecolors (<< 0.3-2~)
Size: 40032
SHA256: 1854c3d40646a175c72fce15b0f56acc3da0209c4cfeff806beff159e817c140
SHA1: 0b937024ff188e38d43d3b44bde34b2f2069c100
MD5sum: 37a81007ba12e186637ac8d041e54907
Description: Colorize different quoting levels in e-mail messages
 With this extensions installed up to five quoting levels can be displayed in
 different colors making it easier to read e-mails with lots of quoted replies.
 Foreground and background colors can be customised.
Homepage: http://quotecolors.mozdev.org/
Tag: role::plugin, suite::mozilla
Section: mail
Priority: optional
Filename: pool/main/q/quotecolors/xul-ext-quotecolors_0.3-3_all.deb

Package: xul-ext-refcontrol
Source: refcontrol
Version: 0.8.16-2
Installed-Size: 348
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceape-refcontrol, iceweasel-refcontrol, refcontrol
Depends: iceweasel | iceape (>= 2.0)
Enhances: iceape, iceweasel
Size: 50542
SHA256: 1eaeb453b152e9ace7a5f215f6f1a965939583e5d19d70a29ab9ccaf0c72d065
SHA1: 4d28e8e436cdacde166e2e208928ba7f1d4550a1
MD5sum: 2e6ce08730a2681c9d005f07b9f43d8b
Description: control what gets sent as the HTTP Referer on a per-site basis
 RefControl is an extension for Firefox/Iceweasel that
 lets you control what gets sent as the HTTP Referer on
 a per-site basis.
 .
 This can be useful in a number of situations:
 .
 Privacy - Perhaps you think webmasters don't have any business knowing
 where you found the link to their site. You can configure RefControl to
 not send the referrer to any site except for ones you explicitly list.
 .
 Broken sites - Some sites perform misguided referrer checks in the name
 of "security". These checks don't add any real security since the
 referrer is easily faked and can often cause problems when the browser's
 behavior changes. You can configure RefControl to send these sites
 whatever they are expecting and work around this kind of problem.
 .
 Fun - Send humorous things, secure in the knowledge that they are
 being written into the server's log file for the amusement of anyone
 who might later discover them there. Ok, maybe that's not much fun, but
 RefControl will let you do it anyway.
Homepage: http://www.stardrifter.org/refcontrol/
Section: web
Priority: optional
Filename: pool/main/r/refcontrol/xul-ext-refcontrol_0.8.16-2_all.deb

Package: xul-ext-requestpolicy
Source: requestpolicy
Version: 0.5.25-1
Installed-Size: 339
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceape-requestpolicy, iceweasel-requestpolicy, requestpolicy
Depends: iceweasel (>= 4.0) | iceape (>= 2.1)
Enhances: iceape, iceweasel
Size: 155850
SHA256: 3d8bb8c9450fb126308dc6d879d97e73d82ccf90fecc977644113b73e3341a00
SHA1: 293937f7eafa152bf21d96619cb3b1e2a913a16c
MD5sum: e2cf2f7e3eca840010f29e5a8522a899
Description: improve your browsing: more private, more secure
 RequestPolicy is an extension for Mozilla browsers that
 improves the privacy and security of your browsing by giving
 you control over when cross-site requests are allowed by
 webpages you visit. It is the first comprehensive client-side
 protection against CSRF attacks and the first tool to enable
 the use of modern browsers without cross-site information leakage.
Homepage: https://www.requestpolicy.com/
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/r/requestpolicy/xul-ext-requestpolicy_0.5.25-1_all.deb

Package: xul-ext-sage
Source: sage-extension
Version: 1.5.2-2~deb7u1
Installed-Size: 691
Maintainer: Mozilla Extension Packaging Team 
Architecture: all
Replaces: firefox-sage (<< 1.4.3-5)
Provides: iceweasel-sage
Recommends: iceweasel (>= 22.0~a1)
Enhances: iceweasel
Breaks: iceweasel (<< 22.0~a1)
Size: 130310
SHA256: c518882ae642bae43833eeb8c03004ae631222fce569c04ff12b9de6f0ede09a
SHA1: a5b4e41dfd208f8c7061a65a80109bba1893e055
MD5sum: 28398487f31f3e2b120c3753de1b9b0d
Description: lightweight RSS and Atom feed reader
 Sage is a Iceweasel/Firefox extension that reads RSS (2.0, 1.0, 0.9x) and Atom
 feeds. It integrates with the "Live bookmark" feature of Iceweasel/Firefox.
 .
 Sage has highly customizable rendering via the use of style sheets. It
 also features feed discovery, and RSS search engine integration.
Homepage: http://sagerss.com/
Section: web
Priority: optional
Filename: pool/main/s/sage-extension/xul-ext-sage_1.5.2-2~deb7u1_all.deb

Package: xul-ext-scrapbook
Source: scrapbook
Version: 1.5.4-1
Installed-Size: 955
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Replaces: iceweasel-scrapbook (<< 1.3.6-1)
Provides: iceweasel-scrapbook, scrapbook
Recommends: iceweasel (>= 4.0)
Enhances: iceweasel
Breaks: iceweasel-scrapbook (<< 1.3.6-1)
Size: 251868
SHA256: f1e9559f03d43ef48a050210c66a6e4b1ee30bb5b94c941149a72a47352ca3fd
SHA1: a14a0754f0432cba30a2681d0b6384589899525b
MD5sum: 3836ec6ad94488caa465a65056998c48
Description: Iceweasel/Firefox extension to save and manage Web pages
 ScrapBook is a Iceweasel/Firefox extension, which helps you to save Web pages
 and manage the collection. Key features are lightness, speed, accuracy
 and multi-language support. Major features are:
 .
  * Save Web page
  * Save snippet of Web page
  * Save Web site (In-depth Capture)
  * Organize the collection in the same way as Bookmarks
  * Highlighter, Eraser and various page editing features
  * Full text search and quick filtering search
  * Text edit feature resembling Opera's Notes
Homepage: http://amb.vis.ne.jp/mozilla/scrapbook/
Tag: role::plugin, suite::mozilla, use::storing
Section: web
Priority: optional
Filename: pool/main/s/scrapbook/xul-ext-scrapbook_1.5.4-1_all.deb

Package: xul-ext-searchload-options
Source: searchload-options
Version: 0.6.3-2
Installed-Size: 97
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceweasel-searchload-options, searchload-options
Depends: iceweasel (>= 3.5)
Enhances: iceweasel
Breaks: iceweasel (<< 3.5)
Size: 20086
SHA256: 1e5a20170441ffb7f4c7da4b8189ab7b66c43f972fc4af80c26263167639ec02
SHA1: 2cb4544750472562f07d01d620ff5949daf2a9be
MD5sum: 79f3c0efc6bf5179acac615be8522c65
Description: tweak the searchbar's functionality
 SearchLoad Options extends the capabilities of the searchbar.
 It can automatically clear the searchbar and change the
 search engine after each search. It can also open the search
 results in the current tab, a new tab, or a new background tab.
Homepage: https://addons.mozilla.org/en-US/firefox/addon/searchload-options
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/s/searchload-options/xul-ext-searchload-options_0.6.3-2_all.deb

Package: xul-ext-sieve
Source: sieve-extension
Version: 0.2.3d-2~deb7u2
Installed-Size: 1300
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: icedove-sieve
Depends: libjs-jquery, icedove (>= 10)
Enhances: icedove
Breaks: icedove (<< 10)
Size: 361864
SHA256: 87d91658417907e72b722e22af87783c5adf6a8cddc43dc9204bc9fac8967e77
SHA1: 6740bc529d50cd2bf559552260fa5a96280457e0
MD5sum: e5a8ce9af169694465fa7820704916ff
Description: extension that implements the ManageSieve protocol
 This Extension implements the ManageSieve protocol for securely
 managing Sieve Script on a remote IMAP server through a comfortable
 graphical front-end.
 It is able to manage separate sieve filters for each configured
 account and can automatically use the IMAP/POP credentials for
 each account.
Homepage: http://sieve.mozdev.org/index.html
Section: web
Priority: optional
Filename: pool/main/s/sieve-extension/xul-ext-sieve_0.2.3d-2~deb7u2_all.deb

Package: xul-ext-status4evar
Source: status-4-evar
Version: 0.2012.04.21.13-1
Installed-Size: 618
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceweasel-status4evar
Depends: iceweasel (>= 4.0)
Enhances: iceweasel
Size: 94728
SHA256: 198f05ac72d27450a95f74944574c1ad8d726314d70372f263b987d92069dc6a
SHA1: e608b900255097dd999ceb87176e4ff14c005362
MD5sum: 357c84c94c11636773d4d88bd9e747c8
Description: Status bar widgets and progress indicators for Firefox 4+
 That status bar was removed in Firefox 4.0. While some of the status bar items
 did find new homes, some did not, and you might not like where they ended up.
 There were also some nice innovations during the development of Firefox 4.0,
 such as progress/links/status in the location bar, which unfortunately didn't
 make it to the final release.
 .
 Status-4-Evar aims to bring back (some of) the old status bar items, give you
 more control over the built-in Firefox features, and provide new alternatives.
 .
 There are also options to tweak the appearance and behaviour of the progress
 bar and download indicators. (This covers the entire functionality of the
 xul-ext-fission package, incompatible with later browser versions.)
Homepage: https://addons.mozilla.org/en-US/firefox/addon/status-4-evar/
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/s/status-4-evar/xul-ext-status4evar_0.2012.04.21.13-1_all.deb

Package: xul-ext-syncplaces
Source: syncplaces
Version: 4.1.2-2
Installed-Size: 852
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceweasel-syncplaces, syncplaces
Recommends: iceweasel (>= 3.0)
Enhances: iceweasel
Size: 181462
SHA256: 3ee7337b4b98048f4721c6940f19269082f3477c22b65d030ec600c0d252c7a6
SHA1: 135ceb6591bbd55cf20167e068a3c65757457cc6
MD5sum: 1d698dff4a89b20e4af5e50266daad02
Description: synchronice Bookmarks and Passwords via WebDAV
 SyncPlaces is a Iceweasel/Firefox extension that allows you to synchronise
 your bookmarks and passwords between different installations of Firefox
 (e.g. a browser at Home and another at Work).
 .
 The synchronize feature requires your own Web or FTP server, which is used
 to store the bookmarks centrally, but there are a number of free servers
 you can safely use.
Homepage: http://www.andyhalford.com/syncplaces/index.html
Tag: role::plugin, suite::mozilla, use::synchronizing
Section: web
Priority: optional
Filename: pool/main/s/syncplaces/xul-ext-syncplaces_4.1.2-2_all.deb

Package: xul-ext-tabmixplus
Source: tabmixplus
Version: 0.4.0.2-1
Installed-Size: 2582
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceweasel-tabmixplus, tabmixplus
Depends: iceweasel (>= 4.0)
Enhances: iceweasel
Size: 600198
SHA256: c263b8baa3d394d5e23015dbe575d4197c98d2352643f6aede689de1af00f775
SHA1: 6e434651259187c0fca8e791525dbdfdccf82a03
MD5sum: 7549145e2b353fb4c6cfd7590623aef8
Description: adds dozens of new capabilities to tabbed browsing
 Tab Mix Plus enhances Iceweasel's/Firefox's tab browsing capabilities. It
 includes such features as duplicating tabs, controlling tab focus, tab clicking
 options, undo closed tabs and windows, plus much more. It also includes a
 full-featured session manager with crash recovery that can save and restore
 combination of opened tabs and windows.
Homepage: http://tmp.garyr.net/
Tag: implemented-in::ecmascript, role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/t/tabmixplus/xul-ext-tabmixplus_0.4.0.2-1_all.deb

Package: xul-ext-toggle-proxy
Source: toggle-proxy
Version: 1.5-2
Installed-Size: 141
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: icedove-toggle-proxy, iceweasel-toggle-proxy, toggle-proxy
Depends: iceweasel | icedove (>= 3.0)
Enhances: icedove, iceweasel
Size: 24080
SHA256: 2c1b23bc8ad362b718738f31e3c17f64d41c9b7f8cceed3f478c84e9156ff880
SHA1: c1d5b5de44c688f13cfe6af9071694b19aedc608
MD5sum: c2a2d45f1cc1cfdfca04a578ce922ffd
Description: Toggle Proxy adds a status bar icon to toggle between two proxy settings
 Toggle Proxy adds a status bar icon to toggle between two proxy settings which
 can be set in the preferences or toggle via a shortcut key (alt + x) if you
 don't use the add-on bar.
Homepage: http://www.quirkyquipu.co.uk/firefox/
Tag: role::plugin
Section: web
Priority: optional
Filename: pool/main/t/toggle-proxy/xul-ext-toggle-proxy_1.5-2_all.deb

Package: xul-ext-torbutton
Source: torbutton
Version: 1.4.6-1
Installed-Size: 2682
Maintainer: Jérémy Bobbio 
Architecture: all
Replaces: iceweasel-torbutton (<< 1.2.4-2)
Provides: iceweasel-torbutton, torbutton
Recommends: tor, iceweasel (>= 3.0)
Suggests: privoxy
Enhances: iceweasel
Breaks: iceweasel (<< 3.0)
Size: 373380
SHA256: 4627662706b128aa26255cdcb888efc94dd55338018c3a4a7cddf8105b649db5
SHA1: 5c0e62fef6a6e51f3f7cb54d4ef508c8b60234cf
MD5sum: 8402fe868fd71ed19ab81592a0699784
Description: Iceweasel/Firefox extension enabling 1-click toggle of Tor usage
 Torbutton is a 1-click way for Iceweasel/Firefox users to enable or disable the
 browser's use of Tor (an anynomous communication system).
 .
 Torbutton tries to isolate as much as possible Tor sessions from your non-Tor
 sessions to preserve your anonimity.  To achieve the safest possible browsing
 experience, various browsing features are also reconfigured in Tor sessions
 (like javascript, cookies and plugins).
 .
 It adds a panel to the statusbar that says "Tor Enabled"
 (in green) or "Tor Disabled" (in red). The user may click on the panel to
 toggle the status. If the user (or some other extension) changes the
 settings, the change is automatically reflected in the statusbar.
Homepage: https://www.torproject.org/torbutton/
Tag: role::plugin, security::privacy, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/t/torbutton/xul-ext-torbutton_1.4.6-1_all.deb

Package: xul-ext-treestyletab
Source: tree-style-tab
Version: 0.14.2012050301-1
Installed-Size: 839
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceweasel-treestyletab
Depends: iceweasel (>= 10.0)
Enhances: iceweasel
Size: 303300
SHA256: 072364d19e476e444e448355d3e09ede5e949770a939f9239f07f4c54ae60c95
SHA1: 5ba279055a38456718b4c7d65133c997d6a4144d
MD5sum: f69df3a269567b530a73c4985eff701c
Description: Show tabs like a tree
 This provides tree-style tab bar, like a folder tree of Windows Explorer. New
 tabs opened from links (or etc.) are automatically attached to the current tab.
 If you often use many many tabs, it will help your web browsing because you
 can understand relations of tabs.
Homepage: https://addons.mozilla.org/en-US/firefox/addon/tree-style-tab/
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/t/tree-style-tab/xul-ext-treestyletab_0.14.2012050301-1_all.deb

Package: xul-ext-ubiquity
Source: ubiquity-extension
Version: 0.6.1~pre20111123-1
Installed-Size: 3405
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceweasel-ubiquity
Depends: iceweasel (>= 4.0), libjs-jquery
Enhances: iceweasel
Size: 940400
SHA256: 2ac9b35e5c245396ab5f1b42410f77928228150da3ea84fae8ea96eb96516af5
SHA1: 0e4c638e9c1215b0017f8dfa0e9ae58b09ae5be7
MD5sum: e8ab6c47236f809917a44b527ba4208b
Description: browser interface based on natural language input
 Ubiquity was a Mozilla Labs experiment that was in development from 2008 to
 2009. Its purpose was to explore whether a radically different type of
 interface to the Web, a task-centric natural-language-based command line,
 could help get common Web tasks done faster.
 .
 Ubiquity is still available here as Iceweasel/Firefox extension.
Homepage: https://mozillalabs.com/ubiquity/
Tag: implemented-in::ecmascript, interface::x11, role::plugin
Section: web
Priority: optional
Filename: pool/main/u/ubiquity-extension/xul-ext-ubiquity_0.6.1~pre20111123-1_all.deb

Package: xul-ext-uppity
Source: uppity
Version: 1.5.8-3
Installed-Size: 201
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceweasel-uppity, uppity
Depends: iceweasel (>= 4.0~b12)
Enhances: iceweasel
Breaks: iceweasel (<< 4.0~b12)
Size: 14912
SHA256: 07c2de69a8c8381b5244aba4bfddd7cece8aa3fec84f2a1eedf223ad134f0fea
SHA1: 06c609da7229856eafd096e2e6abfe7f56c8742f
MD5sum: e5c6f9bdcc034e1bb483ad150322f383
Description: toolbar button to "go up" on the web
 Navigate up one level (directory). It will remove an in-page
 anchor, the querystring, the file, and the last directory
 in that order, whichever is first found.
 .
 The keyboard shortcut ALT-Up will also navigate this way.
 Clicking the drop-down arrow next to the toolbar button will
 present that entire list, from where you can navigate directly
 to any of those options.
 The ALT-Down key will also open this list.
Homepage: http://trac.arantius.com/wiki/Extensions/Uppity
Tag: role::plugin
Section: web
Priority: optional
Filename: pool/main/u/uppity/xul-ext-uppity_1.5.8-3_all.deb

Package: xul-ext-useragentswitcher
Source: useragentswitcher
Version: 0.7.3-1
Installed-Size: 352
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceape-useragentswitcher, iceweasel-useragentswitcher, useragentswitcher
Depends: iceweasel | iceape
Enhances: iceape, iceweasel
Size: 35938
SHA256: a841d4d02d3b37400f791fbf8450efbdb402074b903969159c8463f76b217449
SHA1: dcf6644cd54360d5e7c714d612769b467fafd1de
MD5sum: ca170a204ed306f26998e4482b4f9907
Description: Iceweasel/Firefox addon that allows the user to choose user agents
 The User Agent Switcher extension adds a menu and a toolbar button to
 switch the user agent of the browser. It is designed for Firefox, Flock,
 Seamonkey  and Songbird, it comes with a set of predefined useragent string
 from popular browsers and custom strings can be added manually or imported
 through XML files.
Homepage: http://chrispederick.com/work/user-agent-switcher/
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/u/useragentswitcher/xul-ext-useragentswitcher_0.7.3-1_all.deb

Package: xul-ext-venkman
Source: venkman
Version: 0.9.89-1
Installed-Size: 363
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Replaces: mozilla-venkman (<< 0.9.89-1)
Provides: iceape-venkman, icedove-venkman, iceweasel-venkman, venkman
Depends: iceweasel (>= 3.5) | icedove (>= 3.0) | iceape (>= 2.0)
Enhances: iceape, icedove, iceweasel
Breaks: mozilla-venkman (<< 0.9.89-1)
Size: 240544
SHA256: 8d4213ac8ec05f26a70a24a0f41c249d5b4afcecad1d05a9f9b9b63c00385acc
SHA1: 13a411a399018743a4d06dfb69fdbe960f2ff39c
MD5sum: 19bc48eee9aa46da5f1547757783c2c3
Description: Javascript debugger for Mozilla based applications
 Venkman is the JavaScript debugger for Mozilla based applications, such
 as Iceweasel, Icedove and Iceape.
 .
 It can be used to debug either Javascript embedded in web pages, or
 even applications' interface and extensions.
Homepage: https://addons.mozilla.org/en-US/firefox/addon/javascript-debugger
Section: web
Priority: optional
Filename: pool/main/v/venkman/xul-ext-venkman_0.9.89-1_all.deb

Package: xul-ext-webdeveloper
Source: webdeveloper
Version: 1.1.9-5
Installed-Size: 596
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Replaces: firefox-webdeveloper (<< 1.1.8-3), iceweasel-webdeveloper (<< 1.1.8-3)
Provides: iceape-webdeveloper, iceweasel-webdeveloper, webdeveloper
Depends: iceweasel | iceape
Enhances: iceape, iceweasel
Breaks: iceape (>= 2.0.+)
Size: 462446
SHA256: 4e276fdeac27fba241fb6e2286709cbbdbd418925fc154ed2c582c469e082759
SHA1: 67d14458e35fd7cec8fe6515ebd533ca24ff0f99
MD5sum: 6c160e10f60ff5326a0fa4e936c2c010
Description: web developer extension for the Iceweasel/Firefox web browser
 The Web Developer extension adds a toolbar to Iceweasel/Firefox with numerous
 features aimed at web developers. There are buttons to disable
 various browser features (Java, JavaScript, images), to view, edit,
 and disable stylesheets, to manipulate web forms, to view the
 topography of a page, to display tag details, to view the headers
 of a request, to clear the cache, cookies, and history, to view
 comments, to zoom, to outline selected classes of tags, to resize
 the browser to match a target resolution, and more. There are also
 buttons for easy access to the page's source, to many web validation
 services, and to important web standards documents.
Homepage: http://chrispederick.com/work/web-developer/
Tag: devel::web, role::plugin, suite::mozilla
Section: devel
Priority: optional
Filename: pool/main/w/webdeveloper/xul-ext-webdeveloper_1.1.9-5_all.deb

Package: xul-ext-wot
Source: wot
Version: 20110704-2
Installed-Size: 567
Maintainer: Debian Mozilla Extension Maintainers 
Architecture: all
Provides: iceape-wot, iceweasel-wot, wot
Depends: iceweasel (>= 3.0) | iceape (>= 2.0~b2)
Enhances: iceape, iceweasel
Breaks: iceape (>= 2.4~a1+), iceape (<< 2.0~b2), iceweasel (<< 3.0)
Size: 466360
SHA256: be85e40b5ac1e4030fa5781cdb6efec0e82ef362428b02a95bd1a980f8697829
SHA1: 2e84e9d5efd16743c273991bc5e4437c9a2ca863
MD5sum: 4e507d9be2fd9a53ef3f1b51b15065ea
Description: shows you which websites are trustworthy
 WOT is the leading website reputation rating tool and one of
 Mozilla’s most popular add-ons. WOT uses an intuitive
 traffic-light style rating system to help you know which
 websites are trusted when you search, surf and shop online.
Homepage: https://www.mywot.com
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/w/wot/xul-ext-wot_20110704-2_all.deb

Package: xul-ext-zarafa-drag-n-drop
Source: zarafa-drag-n-drop
Version: 1.2-1
Architecture: armhf
Maintainer: Martijn van Brummelen 
Installed-Size: 62
Depends: iceweasel (>= 3.0)
Enhances: iceweasel
Provides: iceweasel-zarafa-drag-n-drop, zarafa-drag-n-drop
Homepage: https://addons.mozilla.org/nl/firefox/addon/zarafa-dragndrop/
Priority: extra
Section: web
Filename: pool/main/z/zarafa-drag-n-drop/xul-ext-zarafa-drag-n-drop_1.2-1_armhf.deb
Size: 8450
SHA256: 120f9ef0b9c56c79e8919d100930885d9105a6f24898e6666cd08f5e07850e93
SHA1: 65a74d19e043e9ab206d2448316d8be716e19e0c
MD5sum: 209edd0e064aa881469489aa1090cf2f
Description: Zarafa Drag and Drop plugin
 This extension allows files to be dragged from your desktop environment
 to the composer dialog of the Zarafa WebAccess where they automatically
 get inserted as attachments.

Package: xul-ext-zotero
Version: 3.0.7-1
Installed-Size: 4415
Maintainer: Theodore Lytras 
Architecture: all
Provides: iceweasel-zotero
Depends: iceweasel (>= 3.6)
Enhances: iceweasel
Size: 3938806
SHA256: 9dd9c06ce83aff368a8c315b3529bb1bbb60da33b3b7f40c5472d491671eed86
SHA1: f07ba77b7db002d8cb2bb195e5e9ea8c05d55924
MD5sum: 64a2609e19376db2a93e7eda35a91608
Description: Iceweasel extension to organize and share your research sources
 Zotero is an Iceweasel/Firefox extension that helps you collect, manage,
 and cite your research sources. It can automatically gather bibliographic
 information about resources available in hundreds of databases, library
 catalogs and the web.
 .
 Zotero also allows you to store your libraries online, so that they can be
 accessed from any computer. Your online collections can also be shared with
 other Zotero users, letting you collaboratively create bibliographies and
 research notes.
Homepage: http://www.zotero.org/
Tag: role::plugin, suite::mozilla
Section: web
Priority: optional
Filename: pool/main/x/xul-ext-zotero/xul-ext-zotero_3.0.7-1_all.deb

Package: xulrunner-10.0
Source: iceweasel-legacy
Version: 10.0.12esr-1+rpi2
Architecture: armhf
Maintainer: Maintainers of Mozilla-related packages 
Installed-Size: 19357
Depends: libasound2 (>= 1.0.16), libatk1.0-0 (>= 1.12.4), libbz2-1.0, libc6 (>= 2.13-28), libcairo2 (>= 1.10.2-2~), libdbus-1-3 (>= 1.0.2), libdbus-glib-1-2 (>= 0.78), libevent-2.0-5 (>= 2.0.10-stable), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.24.0), libhunspell-1.3-0, libjpeg8 (>= 8c), libmozjs10d (= 10.0.12esr-1+rpi2), libnotify4 (>= 0.7.0), libnspr4-0d (>> 4.7.1-1), libnss3-1d (>= 3.13.1), libpango1.0-0 (>= 1.14.0), libpixman-1-0 (>= 0.18.0), libreadline6 (>= 6.0), libsqlite3-0 (>= 3.7.4), libstartup-notification0 (>= 0.8), libstdc++6 (>= 4.6), libvpx1 (>= 1.0.0), libx11-6, libxext6, libxrender1, libxt6, zlib1g (>= 1:1.1.4)
Suggests: libgnomeui-0, libcanberra0
Conflicts: j2re1.4, pango-graphite (<< 0.9.3)
Homepage: http://developer.mozilla.org/en/docs/XULRunner
Priority: optional
Section: libs
Filename: pool/main/i/iceweasel-legacy/xulrunner-10.0_10.0.12esr-1+rpi2_armhf.deb
Size: 8533594
SHA256: 13d55eb09e8ad0894224a38eeda3d11417329d75727e6a4795cffb7622651df0
SHA1: 563d98154db64a22cd984fd1d78580ceccd811d6
MD5sum: f227d92ae7a0a8dae06cd28efa66c89b
Description: XUL + XPCOM application runner
 XULRunner is a single "gecko runtime" that can be used to bootstrap
 multiple XUL + XPCOM applications that are as rich as Firefox and
 Thunderbird.
 .
 XUL is Mozilla's XML based User Interface language that lets you build
 feature-rich cross platform applications. These applications are easily
 customized with alternative text, graphics and layout so that they can be
 readily branded or localized for various markets. Web developers already
 familiar with Dynamic HTML (DHTML) will learn XUL quickly and can start
 building applications right away.
 .
 XPCOM is a cross platform component model. It has multiple language
 bindings and IDL descriptions so programmers can plug their custom
 functionality into the framework and connect it with other components.
 .
 This package also contains the Gecko engine library (libxul)

Package: xulrunner-10.0-dbg
Source: iceweasel-legacy
Version: 10.0.12esr-1+rpi2
Architecture: armhf
Maintainer: Maintainers of Mozilla-related packages 
Installed-Size: 390311
Depends: xulrunner-10.0 (= 10.0.12esr-1+rpi2), libmozjs10d-dbg (= 10.0.12esr-1+rpi2), libnss3-dbg | libnss3-1d-dbg, libnspr4-dbg | libnspr4-0d-dbg
Homepage: http://wiki.mozilla.org/XUL:Lib_XUL
Priority: extra
Section: debug
Filename: pool/main/i/iceweasel-legacy/xulrunner-10.0-dbg_10.0.12esr-1+rpi2_armhf.deb
Size: 98764650
SHA256: 507199ed15ac86a4cbf30b88a66003de52162df248d392cb9cc75df5925731b1
SHA1: 9dfb53c1452813b640c22b59d2dace8984db415c
MD5sum: 532a3a947e8cab83673df3a8099709d7
Description: Debugging symbols for the Gecko engine library
 This library provides an  API for XUL applications and Gecko embedders.
 The Gecko engine is the core of the mozilla.org applications such as
 Firefox, Thunderbird or SeaMonkey.
 .
 Gecko features include XPCOM, networking, DOM editing and transaction
 support, XBL, XUL, SVG, XSLT, XML Extras (XMLHttpRequest and DOMParser),
 Web Services (SOAP), accessibility support, IPC services, gtkmozembed, etc.
 .
 This package provides the debugging symbols for the xulrunner-10.0
 package.

Package: xulrunner-10.0-dev
Source: iceweasel-legacy
Version: 10.0.12esr-1+rpi2
Architecture: armhf
Maintainer: Maintainers of Mozilla-related packages 
Installed-Size: 26690
Depends: libc6 (>= 2.13-28), libffi5 (>= 3.0.9), libgcc1 (>= 1:4.4.0), libnspr4 (>= 2:4.9-2~) | libnspr4-0d (>= 1.8.0.10), libstdc++6 (>= 4.4.0), python-ply, xulrunner-10.0 (>= 10.0.12esr-1+rpi2), libnss3-dev, libnspr4-dev, libmozjs10d-dev (>= 10.0.12esr-1+rpi2)
Conflicts: xulrunner-dev
Homepage: http://wiki.mozilla.org/XUL:Lib_XUL
Priority: optional
Section: libdevel
Filename: pool/main/i/iceweasel-legacy/xulrunner-10.0-dev_10.0.12esr-1+rpi2_armhf.deb
Size: 3721722
SHA256: edca55275d0462382d33a1344cabb62662399e059841c1ff9751106294076821
SHA1: 655fbaa2a8c0ba2ebeb0f796f126a280d2f8c625
MD5sum: 0815742f37a97fd35760b2f82c79ab15
Description: Development files for the Gecko engine library
 This library provides an  API for XUL applications and Gecko embedders.
 The Gecko engine is the core of the mozilla.org applications such as
 Firefox, Thunderbird or SeaMonkey.
 .
 Gecko features include XPCOM, networking, DOM editing and transaction
 support, XBL, XUL, SVG, XSLT, XML Extras (XMLHttpRequest and DOMParser),
 Web Services (SOAP), accessibility support, IPC services, gtkmozembed, etc.
 .
 Install this package if you wish to embed the Gecko engine in your
 program or want to develop XPCOM components.
 .
 This package also provides the Xulrunner Software Development Kit in the
 same form as the one you may find on mozilla.org in /usr/lib/xulrunner/sdk.

Package: xutils
Source: xorg
Version: 1:7.7+3~deb7u1
Installed-Size: 62
Maintainer: Debian X Strike Force 
Architecture: all
Depends: x11-xfs-utils, x11-utils, x11-xserver-utils, x11-session-utils, xfonts-utils
Size: 36170
SHA256: 07f35684e0bf3734f918242921243fd59eddc880cc9f7c13001cd56f2bad3e5a
SHA1: 37e3d56372d4be85068946b91511c85a7a00209f
MD5sum: 842102470299ab82e18071cef33239a6
Description: X Window System utility programs metapackage
 xutils provides a set of utility programs shipped with the X Window System.
 Many of these programs are useful even on a system that does not have any X
 clients or X servers installed.
 .
 This package is provided for transition from earlier Debian releases, the
 programs formerly in xutils and xbase-clients having been split out in smaller
 packages.
Tag: admin::configuring, devel::buildtools, hardware::detection,
 interface::commandline, role::program, scope::utility, use::converting,
 use::viewing, works-with::font
Section: x11
Priority: optional
Filename: pool/main/x/xorg/xutils_7.7+3~deb7u1_all.deb

Package: xutils-dev
Version: 1:7.7~1
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 1405
Depends: libc6 (>= 2.7), cpp
Multi-Arch: foreign
Priority: optional
Section: x11
Filename: pool/main/x/xutils-dev/xutils-dev_7.7~1_armhf.deb
Size: 345498
SHA256: aa552ea0c858700ad58ff31368b2bf5cf5d73bfc6ad1aea5691e8041b19a3a94
SHA1: 7e55ca90ee3f59ddaeb27d36cc612c1a8b9a0409
MD5sum: db2e57dc2cbc18e9c75c222d9eaf419f
Description: X Window System utility programs for development
 xutils-dev provides a set of utility programs shipped with the X Window System
 that do not require the X libraries; many of these programs are useful
 even on a system that does not have any X clients or X servers installed.
 .
 The programs in this package include:
  - ccmakedep, a version of makedepend which "does it right"
  - imake, a C preprocessor interface to the make utility;
  - lndir, a tool that creates a shadow directory of symbolic links to
    another directory tree;
  - makedepend, a tool to create dependencies in makefiles;
  - makeg, a tool for making debuggable executables.
 .
 This package also contains xorg-macros.m4, a set of macros used in configure
 scripts of X.Org packages.

Package: xvfb
Source: xorg-server
Version: 2:1.12.4-6+deb7u8
Architecture: armhf
Maintainer: Debian X Strike Force 
Installed-Size: 1725
Depends: xserver-common (>= 2:1.12.4-6+deb7u8), libaudit0 (>= 1.7.13), libc6 (>= 2.13-28), libgcrypt11 (>= 1.4.5), libpixman-1-0 (>= 0.21.6), libselinux1 (>= 2.0.82), libxau6, libxdmcp6, libxfont1 (>= 1:1.4.2)
Recommends: xauth
Provides: xserver
Priority: optional
Section: x11
Filename: pool/main/x/xorg-server/xvfb_1.12.4-6+deb7u8_armhf.deb
Size: 852860
SHA256: 4fc756e3fb91f75fd8d4b38913e69da6130b77e753e30e19df3c1bcb2dfa27a3
SHA1: ffacc87613e2d9210783791c2870e4ccffcc0e12
MD5sum: 4665362ade79d76e372ba8d3285268b3
Description: Virtual Framebuffer 'fake' X server
 Xvfb provides an X server that can run on machines with no display hardware
 and no physical input devices. It emulates a dumb framebuffer using virtual
 memory. The primary use of this server was intended to be server testing,
 but other novel uses for it have been found, including testing clients
 against unusual depths and screen configurations, doing batch processing with
 Xvfb as a background rendering engine, load testing, as an aid to porting the
 X server to a new platform, and providing an unobtrusive way to run
 applications that don't really need an X server but insist on having one
 anyway.
 .
 This package also contains a convenience script called xvfb-run which
 simplifies the automated execution of X clients in a virtual server
 environment. This convenience script requires the use of the xauth
 program.
 .
 More information about X.Org can be found at:
 
 .
 This package is built from the X.org xserver module.

Package: xvier
Version: 1.0-7.5
Architecture: armhf
Maintainer: Josip Rodin 
Installed-Size: 90
Depends: libc6 (>= 2.4), libx11-6
Priority: optional
Section: games
Filename: pool/main/x/xvier/xvier_1.0-7.5_armhf.deb
Size: 25706
SHA256: 5a6dc820c023f5578708de286962f681157258f4ebe91d2d3eef56b9b73bddee
SHA1: dbd6934c8948e202c70c04742672be6b4f17f981
MD5sum: d93565bcffe10b595e67ceb83fcf007d
Description: a "Four in a row" game
 Xvier is a simple X game where you and your opponent alternately
 throw stones into free columns. The stones pile up in the columns,
 and the goal is to get four stones in a row, in a column or diagonally.

Package: xview-clients
Source: xview
Version: 3.2p1.4-28.1
Architecture: armhf
Maintainer: Blars Blarson 
Installed-Size: 206
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, xviewg (>= 3.2p1.4-6)
Priority: optional
Section: x11
Filename: pool/main/x/xview/xview-clients_3.2p1.4-28.1_armhf.deb
Size: 73912
SHA256: d763e617f1eb2047b10e51112688e537af560a3b82fea8f590d8b0d3691d2edd
SHA1: d234bbc255b1bff011c916f77fe5e8ce23b5060f
MD5sum: 5f2a18a2b005f6af20b8cb8568cb1f2a
Description: XView client programs
 This package contains the client programs from the free XView
 distribution, including cmdtool and textedit.

Package: xview-examples
Source: xview
Version: 3.2p1.4-28.1
Architecture: armhf
Maintainer: Blars Blarson 
Installed-Size: 1056
Depends: libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5, libx11-6, libxext6, xviewg (>= 3.2p1.4-6)
Recommends: xviewg-dev, xutils-dev
Priority: optional
Section: x11
Filename: pool/main/x/xview/xview-examples_3.2p1.4-28.1_armhf.deb
Size: 256682
SHA256: dfdfb6ddd1b6f8443d1fdefa387f696e0152482af83a2db51c5da48fed3494dd
SHA1: 42f6741993ee3aaa41dddb118e8d3ebe75de591f
MD5sum: 5e80823e7076163d673d60e538597a05
Description: XView contrib programs
 This package contains the contrib programs from the free XView
 distribution, including their source code. They are intended mainly
 as examples for writing your own XView-programs.

Package: xviewg
Source: xview
Version: 3.2p1.4-28.1
Architecture: armhf
Maintainer: Blars Blarson 
Installed-Size: 1593
Pre-Depends: x11-common (>= 1:7.0.0)
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, xbitmaps
Suggests: indent
Conflicts: xview (<< 3.2p1.4-1)
Priority: optional
Section: x11
Filename: pool/main/x/xview/xviewg_3.2p1.4-28.1_armhf.deb
Size: 709434
SHA256: 444416c6773e4a3d7a3ca00bb83d9df08f052f161ec61a543959fb327a87526f
SHA1: 3bc842a116d51d57eef11c09c8b32411f25d0eb6
MD5sum: da33fce4c4e89af4cae69d2d654361ed
Description: XView shared libraries
 This package contains the shared libraries for XView.
 .
 XView is a user interface toolkit following the look and feel of
 Sun's pre-X11 SunView.
 .
 This package is required by XView-based programs.

Package: xviewg-dev
Source: xview
Version: 3.2p1.4-28.1
Architecture: armhf
Maintainer: Blars Blarson 
Installed-Size: 2937
Pre-Depends: x11-common (>= 1:7.0.0)
Depends: xviewg (= 3.2p1.4-28.1), libc6 (>= 2.13-28), libx11-dev
Conflicts: xview-dev (<< 3.2p1.4-1)
Priority: optional
Section: devel
Filename: pool/main/x/xview/xviewg-dev_3.2p1.4-28.1_armhf.deb
Size: 1031726
SHA256: b11f27db49d1d85ab7ba4b841182f757bfd7ea35330cd4fdd66559871ec41d13
SHA1: e4279370da8153c8b9bbc03f3c51230b66ecb077
MD5sum: 41df4b8c4c39f3667c31e5686dca5e17
Description: XView development tools
 This package contains the files for compiling XView programs, including
 the header files and the static libraries for XView.

Package: xvile
Source: vile
Version: 9.8g-2
Architecture: armhf
Maintainer: Brendan O'Dea 
Installed-Size: 874
Depends: vile-common (= 9.8g-2), libc6 (>= 2.13-28), libperl5.14 (>= 5.14.2), libx11-6, libxaw7, libxpm4, libxt6
Recommends: xfonts-100dpi, xfonts-75dpi
Replaces: vile-common (<< 9.7x-1)
Provides: editor
Priority: optional
Section: editors
Filename: pool/main/v/vile/xvile_9.8g-2_armhf.deb
Size: 404848
SHA256: 7cbcddaf60970bb1f930044d51b4292fe479fb92c656db97b498325c40875e35
SHA1: 01941bf72a4c1cadb0230b40aaa91b0c9e9fc109
MD5sum: be32b19a188514f6b90c389cf86a18ea
Description: VI Like Emacs - vi work-alike (X11)
 vile is a text editor which is extremely compatible with vi in terms of
 ``finger feel''.  In addition, it has extended capabilities in many areas,
 notably multi-file editing and viewing, syntax highlighting, key rebinding,
 an optional embedded perl interpreter, and real X window system support.
 .
 This package contains the X11 binary.  Required support files are
 contained in vile-common.  Source code highlighting filters are in
 vile-filters.  A character-mode binary is in the vile package.

Package: xvkbd
Version: 3.0-1
Architecture: armhf
Maintainer: Francois Gurin 
Installed-Size: 243
Depends: libc6 (>= 2.13-28), libice6 (>= 1:1.0.0), libsm6, libx11-6, libxext6, libxmu6, libxpm4, libxt6, libxtst6, xaw3dg (>= 1.5+E-1)
Recommends: wenglish | wordlist
Priority: optional
Section: x11
Filename: pool/main/x/xvkbd/xvkbd_3.0-1_armhf.deb
Size: 79088
SHA256: 7ad6ef5b19bd8233de2a9cdca1efd72b4412ab81c49733cac6f73255b55060a2
SHA1: 17afb27ae198206ed895dac9ff702fd4a2cf0b69
MD5sum: a0bb176ad0350ba6d793ecf64bc45fe5
Description: software virtual keyboard for X11
 xvkbd is a virtual (graphical) keyboard program for X Window System
 which provides facility to enter characters onto other clients
 (softwares) by clicking on a keyboard displayed on the screen.  This
 may be used for systems without a hardware keyboard such as kiosk
 terminals or handheld devices.  This program also has facility to
 send characters specified as the command line option to another
 client.

Package: xvt
Version: 2.1-20.1
Architecture: armhf
Maintainer: Sam Hocevar (Debian packages) 
Installed-Size: 110
Depends: libc6 (>= 2.13-28), libx11-6
Suggests: menu
Conflicts: suidmanager (<< 0.50)
Provides: x-terminal-emulator
Priority: optional
Section: x11
Filename: pool/main/x/xvt/xvt_2.1-20.1_armhf.deb
Size: 42392
SHA256: a6e68ba4898a8146d448c19711dd95372a8fa05ece07b5e6af84d80deabbd1d2
SHA1: 8efa9e2d92b5ddd26b3cecb75e1740996e37ec71
MD5sum: 9d503813577a3b4b3e67c0f89ac9b8fc
Description: X terminal-emulator similar to xterm, but smaller
 xvt is an X terminal-emulator that is designed to be more or less
 compatible with xterm while using much less swap space.  It is mainly
 intended for use at sites which use large numbers of X terminals but
 may also be useful on single workstations that are short of memory.
 The main way that xvt achieves its small size is by avoiding the use
 of the X toolkit.
 .
 If you are looking for a more featureful terminal-emulator than xvt,
 but one which still uses less swap space than xterm, consider rxvt.
 .
 Features of xterm which xvt does not support include:
 .
  - Tektronix 4014 emulation
  - Session logging
  - Pop-up menus.  The only one of xterm's popup menu commands that
    is provided in xvt is displaying and hiding of the scrollbar
    and this is done simply by pressing any mouse key in the window
    with the CONTROL key held down.
  - Toolkit style configurability.  In particular, xvt does not
    allow the user to remap the mouse or keyboard keys.
 .
 Features which are supported include:
 .
  - VT100 emulation.  Most of the main escape sequences are supported --
    certainly all those used by the standard screen based UNIX
    applications.
  - Lines that scroll off the top of the window are saved and can be
    scrolled back with a scrollbar.
  - Text selection and insertion including double and triple click for
    words and lines.

Package: xwatch
Version: 2.11-15
Architecture: armhf
Maintainer: Peter S Galbraith 
Installed-Size: 138
Depends: libc6 (>= 2.13-28), libforms2, libx11-6
Suggests: rsyslog | system-log-daemon
Priority: optional
Section: admin
Filename: pool/main/x/xwatch/xwatch_2.11-15_armhf.deb
Size: 40726
SHA256: 38c534167c288c15abc5429e0a0f2fb275df86caa5caf7fcedbdd16aaa2244b8
SHA1: b098d3361b6e6db8c0cb511836752c10c81b00cb
MD5sum: 7adb36a7ba2faa99ba668556a46674ca
Description: logfile monitor that displays in an X window.
 The displayed logs are colour-coded according to severity.  Basically, you
 get to see what's going on _during_ say a break-in, instead of having to
 check the logfiles after the damage is done. Of course, you need to have
 the syslog daemons configured right, so that the logfiles are
 created. Xwatch reads options from its commandline as well as from a
 app-defaults resource file.

Package: xwax
Version: 0.9-2
Architecture: armhf
Maintainer: Mitchell Smith 
Installed-Size: 96
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), ttf-dejavu-extra
Recommends: cdparanoia, mpg123, ffmpeg
Homepage: http://www.xwax.co.uk/
Priority: extra
Section: sound
Filename: pool/main/x/xwax/xwax_0.9-2_armhf.deb
Size: 34804
SHA256: a679429f44d266c3af9eda59cfe47906edef94a9a868156812923133032f932c
SHA1: 1448f0f5a783da7e78a08ddff3a7ebb55d844ea9
MD5sum: 2be76ef76b6fb396f4f1f69d277e3e85
Description: open-source vinyl emulation software for Linux
 xwax is open-source vinyl emulation software for Linux. It allows DJs and
 turntablists to playback digital audio files (MP3, Ogg Vorbis, FLAC, AAC and
 more), controlled using a normal pair of turntables via timecoded vinyls.
 .
 It's designed for both beat mixing and scratch mixing. Needle drops, pitch
 changes, scratching, spinbacks and rewinds are all supported, and feel just
 like the audio is pressed onto the vinyl itself.
 .
 The focus is on an accurate vinyl feel which is efficient, stable and fast.

Package: xwelltris
Version: 1.0.1-14
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 411
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.3.0)
Homepage: http://xnc.jinr.ru/xwelltris/
Priority: optional
Section: games
Filename: pool/main/x/xwelltris/xwelltris_1.0.1-14_armhf.deb
Size: 295378
SHA256: c107b1533d4aa2c05e6bde363338399e9dc4127f324242ab7713e6d278a4570f
SHA1: 8d78d812377c33cce120c56c16028ac44cdf4d19
MD5sum: ad518adf6efac27a416806de21117f2e
Description: 3D Tetris like popular game similar to Welltris
 The idea of this game was by the Russian programmer Pogitnov. It has the same
 gameplay concept as Tetris.
 .
 Imagine that you are looking into a glass from the top. You see four walls and
 the bottom. The flat 2D figures falling down from the walls one by one. You can
 move these figures from one wall to another or rotate the figure. If the figure
 leave the wall it moves on the bottom while another figure is pushed. You need
 to form full rows and/or columns on the bottom. When you form such row it
 disappear and you receive additional empty space on the bottom and scores :).

Package: xwit
Version: 3.4-14
Architecture: armhf
Maintainer: Bernhard R. Link 
Installed-Size: 67
Depends: libc6 (>= 2.7), libx11-6
Priority: optional
Section: x11
Filename: pool/main/x/xwit/xwit_3.4-14_armhf.deb
Size: 19680
SHA256: c812f48d901618fa1236e8a73ca34e886448f591e3777dc563ef9300da95067f
SHA1: 7925126dfb3471cdee6f7c5f6ce6d8964066e74f
MD5sum: 0a25553dbb9d6ecdeca7b7b48cc54694
Description: collection of simple routines to call some X11 functions
 xwit allows one to call some X11 functions from the command line or
 a shell script.
 .
 xwit will resize, iconify, pop, and move windows given
 by name or id, change an icon, title or name, set the screen saver
 going, and change individual key autorepeat settings, move the
 mouse cursor, etc.

Package: xword
Version: 2.0.0~rc2-1
Installed-Size: 201
Maintainer: John Sullivan 
Architecture: all
Depends: python (>= 2.6.6-7~), python-gtk2, python-wnck
Size: 40334
SHA256: e4f18724ef0c9f7de20b2e252009f2d36c110f5603d9c6271bbbee82062c8f54
SHA1: ab7e29675b062e48beec39be9a57d50fb35c923d
MD5sum: d12c2582b6e0a731f0d3fb2b00cc5fc9
Description: Reads and writes crossword puzzles in the Across Lite file format
 Xword is a GTK+ program that works well for doing crossword puzzles in the
 Across Lite file format used by The New York Times and others. As well as a
 clock, it supports printing. It also auto-saves puzzles as you solve them so
 that you can return to partially completed puzzles.
Tag: implemented-in::python, interface::x11, role::program, uitoolkit::gtk,
 x11::application
Section: games
Priority: optional
Filename: pool/main/x/xword/xword_2.0.0~rc2-1_all.deb

Package: xwpe
Version: 1.5.30a-2.1
Architecture: armhf
Maintainer: Francesco Paolo Lovergine 
Installed-Size: 469
Depends: libc6 (>= 2.7), libgpm2 (>= 1.20.4), libncurses5 (>= 5.5-5~), libtinfo5
Suggests: gdb
Homepage: http://www.identicalsoftware.com/xwpe
Priority: optional
Section: editors
Filename: pool/main/x/xwpe/xwpe_1.5.30a-2.1_armhf.deb
Size: 221144
SHA256: b0fa57c300f31d3e161c47b13fdab1f07e65cbb80c4a4d112d5a79f3b99b9e41
SHA1: 127a5c3a0848ab72c6e4c4311c13156ffb7903dc
MD5sum: f5ac5d0bfa004ecf1cde7540db6fdf7d
Description: Programming environment and editor for console and X11
 Xwpe is an integrated programming and debugging environment similar to
 Borland's Turbo C and Pascal family. It has many features including
 the ability to start many compilers, linkers and debuggers from a
 menu-based interface or using keystrokes.
 .
 Syntax highlighting is included for many programming languages, and
 any compiler and debugger can easily be used by the program. When
 compiling from within xwpe, errors in the source code can be jumped to
 and swiftly corrected. Variables and the stack can be easily displayed.
 Setting and unsetting breakpoints can be done directly within the
 source code.
 .
 Although it is designed to be a tool for programmers (when invoked as
 wpe or xwpe), it can also be used as an easy to learn text editor
 (when invoked as program 'we' or 'xwe').

Package: xwrits
Version: 2.21-6.1
Architecture: armhf
Maintainer: Helen Faulkner 
Installed-Size: 163
Depends: libc6 (>= 2.4), libx11-6
Homepage: http://www.lcdf.org/~eddietwo/xwrits
Priority: optional
Section: x11
Filename: pool/main/x/xwrits/xwrits_2.21-6.1_armhf.deb
Size: 92752
SHA256: 1e5f3fdd7f3cb10f8c4e0b886e6642ac9d8f9afdbfbe8948e90d73174967be3d
SHA1: 638be05e35acb567d3b7ac956d18918b521a28b1
MD5sum: 6d5ad358d0b1014fa67bb08da802def4
Description: reminds you to take a break from typing
 xwrits helps you prevent repetitive stress injury.
 .
 xwrits is a small reminder program designed to let you know it is time
 to take a break from typing to rest your wrists and prevent any damage
 to your wrists (or at least make them feel better if you've already
 damaged them). Normally works on the honor system, but if you find
 yourself unable to stop typing during your break, it can also lock your
 keyboard.

Package: xxdiff
Version: 1:3.2-10.2
Architecture: armhf
Maintainer: Y Giridhar Appaji Nag 
Installed-Size: 1008
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.6), libx11-6, libxext6
Suggests: x-terminal-emulator, xxdiff-scripts
Homepage: http://furius.ca/xxdiff/
Priority: optional
Section: text
Filename: pool/main/x/xxdiff/xxdiff_3.2-10.2_armhf.deb
Size: 369936
SHA256: c49a31aa2b095d06e4d3aa4636386ccb97c2a527e500c639c5fd527c69ab8589
SHA1: dcb5a999f5265cdee1bbc59cf0aa895ea26cd32a
MD5sum: 49e7a607b0624e99507ab0aa958e8db0
Description: graphical file/directory comparison and merge tool
 xxdiff is a powerful tool for viewing the differences between two or three
 files, or two directories, and can be used to produce a merged version.  The
 texts of the two or three files are presented side by side with their
 differences highlighted with colors for easy identification.  Among its
 features are:
 .
  * Compares two or three files, or two directories (shallow and recursive)
  * Horizontal highlighting of diffs
  * Interactive merges, previewing and saving of the resulting output
  * Unmerges CVS conflicts and displays two files, to help resolve conflicts
  * Fully customizable with a resource file
  * Features and output that ease integration with scripts
 .
 The scripts that accompany xxdiff can be found in the xxdiff-scripts package.

Package: xxdiff-scripts
Source: xxdiff
Version: 1:3.2-10.2
Installed-Size: 405
Maintainer: Y Giridhar Appaji Nag 
Architecture: all
Depends: python (>= 2.4), python-support (>= 0.90.0), xxdiff
Size: 145620
SHA256: 6489393f445415010d288162f226deb30e9ebbaf058fdb9436016e6f21c7ff81
SHA1: 99f892e60c4e4dfd9fe067af9d9e5d448b8dafc7
MD5sum: ff9226a816c131b6f2fa16cab5976594
Description: graphical file/directory comparison and merge tool - scripts
 xxdiff is a powerful tool for viewing the differences between two or three
 files, or two directories, and can be used to produce a merged version.  The
 texts of the two or three files are presented side by side with their
 differences highlighted with colors for easy identification.  This package
 contains the following tools that allow automation of tasks with xxdiff:
 .
  * xx-match: only diff files that match a pattern
  * xx-cvs-diff: preview changes made in a CVS checkout
  * xx-svn-diff: preview changes made in a SVN checkout and commit them
  * xx-svn-resolve: resolve conflicts
  * svn-foreign: traverse a checkout and prompt user to check in new files etc.
  * xx-diff-proxy: a diff tool - useful for tools that feed input to diff
Homepage: http://furius.ca/xxdiff/
Tag: devel::buildtools, devel::rcs, implemented-in::python,
 interface::commandline, role::program, scope::utility,
 works-with::software:source, works-with::text
Section: text
Priority: optional
Filename: pool/main/x/xxdiff/xxdiff-scripts_3.2-10.2_all.deb

Package: xxgdb
Version: 1.12-17
Architecture: armhf
Maintainer: Joey Schulze 
Installed-Size: 176
Depends: gdb, libc6 (>= 2.13-28), libx11-6, libxaw7, libxt6
Priority: optional
Section: devel
Filename: pool/main/x/xxgdb/xxgdb_1.12-17_armhf.deb
Size: 63908
SHA256: 568807ffe5ddf5419cb59620c1d9e898ab8f2237afd6441b245c3527a9c2669b
SHA1: f5d385121b5c204df237edf5964e5bca8274b1ab
MD5sum: eb2ff94985d608d3f430dd378eb11379
Description: An X front-end to the GNU debugger gdb
 xxgdb is a simple but powerful graphical interface to the GNU
 debugger gdb.  A more powerful (but slower and much bigger) interface
 is available in the ddd package.

Package: xxkb
Version: 1.11-2.1
Architecture: armhf
Maintainer: Artem Chuprina 
Installed-Size: 133
Depends: libc6 (>= 2.13-28), libx11-6, libxext6, libxpm4, libxt6
Homepage: http://sourceforge.net/projects/xxkb/
Priority: optional
Section: x11
Filename: pool/main/x/xxkb/xxkb_1.11-2.1_armhf.deb
Size: 41258
SHA256: 55f66eca15ba0d90f5bb81e416c1dd94f43017c4e6ec676c51866332d14d6d6f
SHA1: 39eb84ab362499c8d7afd86a2583e28841023d68
MD5sum: cb37de97fa6c14efce4972ebe8f04eae
Description: Keyboard state indicator and switcher for xkb
 This program is a keyboard state indicator and switcher for xkb. Features:
  - shows current xkb group (pixmap in its own window)
  - allows switch group by mouse click
  - allows individual state for every window
  - can install its own button (indicator/mouse switcher) on every window's
    title bar
  - can restrict keyboard states for every window to only two ("main group" -
    "alternative group") if xkb set up for more than two groups.
 Bugs:
  - documentation is partially in Russian (koi8-r charset) only

Package: xxxterm
Version: 1:1.11.3-1
Architecture: armhf
Maintainer: Luis Henriques 
Installed-Size: 390
Depends: libatk1.0-0 (>= 1.12.4), libbsd0 (>= 0.2.0), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.31.8), libgnutls26 (>= 2.12.17-0), libgtk2.0-0 (>= 2.20.0), libjavascriptcoregtk-1.0-0 (>= 1.5.1), libpango1.0-0 (>= 1.14.0), libsoup2.4-1 (>= 2.32.2), libwebkitgtk-1.0-0 (>= 1.3.13)
Homepage: http://opensource.conformal.com/wiki/XXXTerm
Priority: optional
Section: web
Filename: pool/main/x/xxxterm/xxxterm_1.11.3-1_armhf.deb
Size: 172040
SHA256: bc05ea378b2d5375c3229b97a67e5532fbd9f0cafc4a1e1682aca383631a2706
SHA1: d9e796e061e8794ae5f2f80da985bbeff0fff6d7
MD5sum: 28ae9d3ab8a86174e66d536fbc19df87
Description: Minimalist's web browser
 XXXTerm is a minimalists web browser.  It strives to be vi-like for
 heavy keyboard users while maintaining traditional web browser behavior.
 .
 Major features include:
  * Tabbed browsing
  * Cookie support
  * Cookie white list
  * JavaScript white list
  * JavaScript runtime toggle
  * History
  * Download manager
  * vim keybindings
  * Text based config file
  * Search engine entry box
  * Search on page
  * Basic MIME support
  * Favorites
  * Print, including to pdf
  * Mouse-less browsing

Package: xye
Version: 0.12.1+dfsg-4
Architecture: armhf
Maintainer: Bart Martens 
Installed-Size: 496
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), xye-data (= 0.12.1+dfsg-4)
Homepage: http://xye.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/x/xye/xye_0.12.1+dfsg-4_armhf.deb
Size: 231834
SHA256: 159be380c7ee61fe443be610a4460933a7284cfa273da3a82a779052e3f942fa
SHA1: 17e46ba841f78bff4bc5b1a36b069154796c4593
MD5sum: a2e7183c521565ef3288bb11f3c360da
Description: puzzle game - get all the gems in the room
 Xye is a puzzle game in which the objective is to help a character that looks
 like a green circle to get all the gems in the room. This is, of course, not as
 easy as it sounds, Xye must solve all sorts of puzzles while at the same time
 avoiding all sorts of traps and beasts.
 .
 Xye is similar to other puzzle games like sokoban or boulderdash, yet it also
 includes some arcade elements.
 .
 Xye is a derivative of a classic windows game called Kye, which is the base of
 the gameplay experience and visual elements. Xye is able to play level files
 that were made for Kye and Sokoban. It is also able to play custom .xye files,
 a richer level format that allows the new objects and features, you can make
 these levels by hand or by using the built-in editor that comes with the game.

Package: xye-data
Source: xye
Version: 0.12.1+dfsg-4
Installed-Size: 4186
Maintainer: Bart Martens 
Architecture: all
Depends: ttf-dejavu-core
Size: 1896238
SHA256: c6a94acc23600520230fb4c1d9c779e4a58e980c535ff84c5720198cf2848897
SHA1: 662979a84a83ddc7675f8ce0b34d777c25bb4bfd
MD5sum: f591c561139a1d38de2deb64d8979790
Description: puzzle game - get all the gems in the room
 This package contains the data files for the game Xye.
Homepage: http://xye.sourceforge.net/
Tag: role::app-data
Section: games
Priority: optional
Filename: pool/main/x/xye/xye-data_0.12.1+dfsg-4_all.deb

Package: xymon
Version: 4.3.0~beta2.dfsg-9.1+deb7u1
Architecture: armhf
Maintainer: Christoph Berg 
Installed-Size: 6831
Depends: xymon-client, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libpcre3 (>= 8.10), libpng12-0 (>= 1.2.13-4), librrd4 (>= 1.3.0), libssl1.0.0 (>= 1.0.0)
Suggests: rrdtool
Conflicts: hobbit (<< 4.2.2)
Replaces: hobbit (<< 4.2.2)
Provides: hobbit
Homepage: http://hobbitmon.sourceforge.net/
Priority: extra
Section: net
Filename: pool/main/x/xymon/xymon_4.3.0~beta2.dfsg-9.1+deb7u1_armhf.deb
Size: 3794424
SHA256: 02cf78b578a2ceb7c43929283c73ce145ee4e85fb40048ff828e3fabce19305c
SHA1: 42374d65819eede4f8c0e933f6107039d3999f7c
MD5sum: a3c13166fc7009f92459c1c3e52c2578
Description: monitoring system for systems, networks and applications
 Xymon (previously called Hobbit) is a network- and applications-
 monitoring system designed for use in large-scale networks. But it will
 also work just fine on a small network with just a few nodes. It is
 low-overhead and high-performance, with an easy to use web front-end.
 It handles monitoring of network services, and through client packages
 it can also be used to monitor server- specific items.  Alerts can
 trigger when monitoring detects a problem, resulting in e-mails or
 calls to your pager or mobile phone.
 .
 Xymon has a great deal of inspiration from the non-free Big Brother
 package, but does not include any Big Brother code.

Package: xymon-client
Source: xymon
Version: 4.3.0~beta2.dfsg-9.1+deb7u1
Architecture: armhf
Maintainer: Christoph Berg 
Installed-Size: 668
Depends: libc6 (>= 2.13-28), debconf (>= 0.5) | debconf-2.0, adduser, lsb-base
Suggests: xymon-plugins | hobbit-plugins
Conflicts: hobbit (<= 4.2.0-1), hobbit-client (<< 4.2.2)
Replaces: hobbit-client (<< 4.2.2)
Provides: hobbit-client
Homepage: http://hobbitmon.sourceforge.net/
Priority: extra
Section: net
Filename: pool/main/x/xymon/xymon-client_4.3.0~beta2.dfsg-9.1+deb7u1_armhf.deb
Size: 380402
SHA256: a336ee8e0e8d8dc0f7379a113ddba897a1bd404c237ed9608f1c6e6961c7ce15
SHA1: aaf329a28c8b1fa94524b5866d254780e88c71c4
MD5sum: 43cb59c960b460377f331a223f6da803
Description: client for the Xymon network monitor
 Client data collection package for Xymon (previously known as Hobbit).
 This gathers statistics and data from a single system and reports it to
 the Xymon monitor. You should run this on all systems if you have a
 Xymon server installed.

Package: xyscan
Version: 3.31-3
Architecture: armhf
Maintainer: Georges Khaznadar 
Installed-Size: 1313
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0)
Homepage: http://star.physics.yale.edu/~ullrich/xyscanDistributionPage/
Priority: extra
Section: science
Filename: pool/main/x/xyscan/xyscan_3.31-3_armhf.deb
Size: 1042400
SHA256: 9655262085dad19d561d0c81cf080d57c604f74a3b50711866d690e9a4497a76
SHA1: 69ad228a8400b6c729e810146d09e04ca6575080
MD5sum: 73f8eaf3ddd3c77468c46cf78827117c
Description: data thief for scientists
 xyscan is a tool for the scientist in the need to extract data points,
 i.e. numeric values, from a plot. It allows you to scan the plots and
 extract data points including the size of the error bars (both in x
 and y). It can handle plots with linear and logarithmic scales. In
 fact xyscan can be used for extracting numeric values from any kind
 of 2D technical drawings.

Package: xz-utils
Version: 5.1.1alpha+20120614-2
Architecture: armhf
Maintainer: Jonathan Nieder 
Installed-Size: 466
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblzma5 (>= 5.1.1alpha+20120614)
Conflicts: lzma (<< 9.22-1), xz-lzma
Breaks: lzip (<< 1.8~rc2)
Replaces: lzip (<< 1.8~rc2), xz-lzma
Provides: lzma
Multi-Arch: foreign
Homepage: http://tukaani.org/xz/
Priority: required
Section: utils
Filename: pool/main/x/xz-utils/xz-utils_5.1.1alpha+20120614-2_armhf.deb
Size: 237438
SHA256: dc9778e83a9b61e456aa026b7d57d6f6890db2abcada7cc256eaf1d49a3ac9d8
SHA1: dafcab637fab4c78fce96c14d70b436b4e31db54
MD5sum: 76be666315f9fad07d2ebbbc01760ae1
Description: XZ-format compression utilities
 XZ is the successor to the Lempel-Ziv/Markov-chain Algorithm
 compression format, which provides memory-hungry but powerful
 compression (often better than bzip2) and fast, easy decompression.
 .
 This package provides the command line tools for working with XZ
 compression, including xz, unxz, xzcat, xzgrep, and so on. They can
 also handle the older LZMA format, and if invoked via appropriate
 symlinks will emulate the behavior of the commands in the lzma
 package.
 .
 The XZ format is similar to the older LZMA format but includes some
 improvements for general use:
 .
  * 'file' magic for detecting XZ files;
  * crc64 data integrity check;
  * limited random-access reading support;
  * improved support for multithreading (not used in xz-utils);
  * support for flushing the encoder.

Package: xzdec
Source: xz-utils
Version: 5.1.1alpha+20120614-2
Architecture: armhf
Maintainer: Jonathan Nieder 
Installed-Size: 242
Depends: libc6 (>= 2.13-28)
Suggests: xz-utils
Breaks: xz-lzma (<< 4.999.9beta+20091004-1)
Replaces: xz-lzma (<< 4.999.9beta+20091004-1)
Multi-Arch: foreign
Homepage: http://tukaani.org/xz/
Priority: extra
Section: utils
Filename: pool/main/x/xz-utils/xzdec_5.1.1alpha+20120614-2_armhf.deb
Size: 166532
SHA256: 539bccc2444d5f90722b8cd18c4419c0f3d7b5731048bde906d7d62df41a7b35
SHA1: 7736f30311084c0a0467770d68c152cbd4052ffe
MD5sum: 5e39255ba4b82ae9bf9ad6a0eacc743b
Description: XZ-format compression utilities - tiny decompressors
 XZ is the successor to the Lempel-Ziv/Markov-chain Algorithm
 compression format, which provides memory-hungry but powerful
 compression (often better than bzip2) and fast, easy decompression.
 .
 This package provides the xzdec and lzmadec utilities, which write
 the decompressed version of a compressed file to standard output. The
 binaries are very small, so they can be easily stored on small media
 with some compressed files, and they are linked statically against
 liblzma so they can be used on machines without a compatible version
 of liblzma installed. However, they have:
 .
   * no compression support;
   * no support for writing to a file other than standard output;
   * no translated messages;
   * been optimized for size rather than speed.
 .
 For a full-featured xzcat command without these limitations, use
 the xz-utils package instead.

Package: xzgv
Version: 0.9+svn40-1
Architecture: armhf
Maintainer: Theodore Y. Ts'o 
Installed-Size: 263
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.24.0), libx11-6
Priority: optional
Section: graphics
Filename: pool/main/x/xzgv/xzgv_0.9+svn40-1_armhf.deb
Size: 155494
SHA256: 79a4bad4121be8781ae7ae0dedce3707c39ffc3d43b73289f01d85cc80d1fd90
SHA1: cfe54c16af06cce1a722f11545c80f94cddce89a
MD5sum: dae6d58d3f9b2052e4da3f27a4d796bc
Description: Picture viewer for X with a thumbnail-based selector
 xzgv is a picture viewer for X, with a thumbnail-based file selector.
 Most file formats are supported, and the thumbnails used are
 compatible with xv, zgv, and the Gimp. It can also be used with `xzgv
 file(s)', to effectively bypass the file selector.  For more on how
 xzgv works and how to use it, do `info xzgv' or `man xzgv' once it's
 installed.
 .
 xzgv differs from other picture viewers for X in that it uses one
 window for both the file selector and viewer, it (unlike xv) allows
 both scrolling and fit-to-window methods of viewing large pictures,
 and it (unlike xv and some others) doesn't ever mangle the picture's
 aspect ratio.
 .
 It also provides extensive keyboard support; if you prefer using the
 keyboard, this is almost certainly the best viewer for you. But it
 doesn't skimp on the mousey stuff, either.
 .
 Note that this program is written by the author of the svgalib-based
 "zgv", and has similar features.
 .
 Home Page: http://sourceforge.net/projects/xzgv

Package: xzip
Version: 1:1.8.2-3
Architecture: armhf
Maintainer: Daniel Schepler 
Installed-Size: 126
Depends: libc6 (>= 2.7), libx11-6
Suggests: zcode-game
Conflicts: gsn-curses (= 16-1), gsn-jigsaw (= 3-1), gumshoe (= 1-1), so-far (= 6-1), weather (= 6-1), zcode-support
Replaces: zcode-support
Provides: zcode-interpreter
Priority: optional
Section: games
Filename: pool/main/x/xzip/xzip_1.8.2-3_armhf.deb
Size: 54168
SHA256: b6e6a145e78072d86cb994e395ce542ede1d4eb1362e3031ae4d08d1ba819944
SHA1: 312742b8161cc7e3a7d5072214dea226eb5f27f8
MD5sum: d09632e0096c61322d8c38b5a586b237
Description: Interpreter of Infocom-format story-files
 Xzip interprets story-files (which are usually text adventure games)
 in the format used by Infocom.  This includes the games published by
 Infocom, as well as any games produced by compilers to this format,
 such as Inform.
 .
 This is an interpreter for X. For text mode, try frotz or jzip.

Package: xzoom
Version: 0.3-23
Architecture: armhf
Maintainer: Anibal Avelar 
Installed-Size: 61
Depends: libc6 (>= 2.4), libx11-6, libxext6
Homepage: ftp://sunsite.unc.edu/pub/linux/libs/X/
Priority: optional
Section: x11
Filename: pool/main/x/xzoom/xzoom_0.3-23_armhf.deb
Size: 15332
SHA256: db13f3b19c7165a50fc1e06ee6a0d253c8cbc81d013214a2f6afdf38f160a6b3
SHA1: 5972c83819ba37c9cd28356a5cd8e379d215e252
MD5sum: 58c22c257890da17733fd31578ddf6e1
Description: magnify part of X display, with real-time updates
 Like xmag, xzoom magnifies a section of the X display. Xzoom is different
 because it will continuously update the magnified area as the display
 changes. It is fast enough to enlarge small animations, for example.
 .
 It can also mirror or rotate a part of the screen.

Package: yabause
Version: 0.9.11.1-1
Installed-Size: 46
Maintainer: Debian Games Team 
Architecture: all
Depends: yabause-qt | yabause-gtk
Size: 17382
SHA256: abda1b1bc2133095fbc0dc82a5a8a67402d3db7848ff75c3f48ecc2284ea96bc
SHA1: 07d1c73aa5cb15a9a1aed61532bb6300ae6302b4
MD5sum: 1ed87c328da2a44ef90e7104ed399fd0
Description: beautiful and under-rated Saturn emulator
 Yabause is a Sega Saturn emulator. It has the following features:
  * booting games from CD-ROM and ISO files
  * booting games with either an emulated or original BIOS
  * screenshot support
  * savegame backups
  * cheat system
  * fullscreen playing
  * multiple debugging options
  * joystick support
  * region select
 .
 This package is a metapackage, which installs either the Qt or the Gtk
 version of Yabause.
Homepage: http://yabause.org
Tag: hardware::emulation, hardware::input:joystick, role::metapackage,
 use::gameplaying
Section: otherosfs
Priority: optional
Filename: pool/main/y/yabause/yabause_0.9.11.1-1_all.deb

Package: yabause-common
Source: yabause
Version: 0.9.11.1-1
Installed-Size: 107
Maintainer: Debian Games Team 
Architecture: all
Recommends: yabause-qt | yabause-gtk
Size: 31840
SHA256: 2f92f6dfefe64bbb0dbe3465304135fdab953acbfd3da6b0352f04a23f2dc29d
SHA1: 0c7c2b4e9a9af4c70f06bea6dc9e76eea697ed1b
MD5sum: 1191750cab6650263a9d5ef6d5abe86f
Description: beautiful and under-rated Saturn emulator - common files
 Yabause is a Sega Saturn emulator. It has the following features:
  * booting games from CD-ROM and ISO files
  * booting games with either an emulated or original BIOS
  * screenshot support
  * savegame backups
  * cheat system
  * fullscreen playing
  * multiple debugging options
  * joystick support
  * region select
 .
 This package contains arch-independend files like images and translations.
Homepage: http://yabause.org
Tag: role::app-data
Section: otherosfs
Priority: optional
Filename: pool/main/y/yabause/yabause-common_0.9.11.1-1_all.deb

Package: yabause-gtk
Source: yabause
Version: 0.9.11.1-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 2099
Depends: freeglut3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgdk-pixbuf2.0-0 (>= 2.22.0), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.18.0), libgtkglext1, libmini18n1 (>= 0.2.1), libopenal1, libsdl1.2debian (>= 1.2.11), yabause-common (= 0.9.11.1-1)
Homepage: http://yabause.org
Priority: optional
Section: otherosfs
Filename: pool/main/y/yabause/yabause-gtk_0.9.11.1-1_armhf.deb
Size: 661294
SHA256: 49be7c0ce683430bc00db3cf75b45964573df0d0fec62dfe1fd1ec06de6c55c5
SHA1: 00c828a9dc2f95aa8374910e4cdcbd351aff833f
MD5sum: 1f70b059325d8e24edbac604bf95fd66
Description: beautiful and under-rated Saturn emulator - Gtk port
 Yabause is a Sega Saturn emulator. It has the following features:
  * booting games from CD-ROM and ISO files
  * booting games with either an emulated or original BIOS
  * screenshot support
  * savegame backups
  * cheat system
  * fullscreen playing
  * multiple debugging options
  * joystick support
  * region select
 .
 This package contains the Gtk version of Yabause.

Package: yabause-qt
Source: yabause
Version: 0.9.11.1-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 2428
Depends: freeglut3, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libmini18n1 (>= 0.2.1), libopenal1, libqt4-opengl (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), yabause-common (= 0.9.11.1-1)
Homepage: http://yabause.org
Priority: optional
Section: otherosfs
Filename: pool/main/y/yabause/yabause-qt_0.9.11.1-1_armhf.deb
Size: 889150
SHA256: 1753c242155386f76450c0694e8715a77190407d934b3a0dba80e1ef24fd727c
SHA1: 37c81acbb31675e1db6f713090dbbf5269093722
MD5sum: f7f6d2e5aa8eba72ebcbdcbd1367ea7a
Description: beautiful and under-rated Saturn emulator - Qt port
 Yabause is a Sega Saturn emulator. It has the following features:
  * booting games from CD-ROM and ISO files
  * booting games with either an emulated or original BIOS
  * screenshot support
  * savegame backups
  * cheat system
  * fullscreen playing
  * multiple debugging options
  * joystick support
  * region select
 .
 This package contains the Qt version of Yabause.

Package: yacas
Version: 1.3.2-1
Architecture: armhf
Maintainer: Muammar El Khatib 
Installed-Size: 1502
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), lynx | www-browser, yacas-doc, gnuplot
Suggests: texmacs
Homepage: http://yacas.sourceforge.net
Priority: extra
Section: math
Filename: pool/main/y/yacas/yacas_1.3.2-1_armhf.deb
Size: 417706
SHA256: 1d7b52d0088228a53ddea70a37235927694df991775f714888db7e869dc38360
SHA1: 70b49152e96a0def136a9130e0088b7808915c20
MD5sum: d9e71c8290139993966d382ab36bf5d2
Description: Computer Algebra System
 Yacas is a small and highly flexible computer algebra language.
 .
 The syntax is very close to Mathematica. The distribution contains
 a small library of mathematical functions, but its real strength
 is in the language in which you can easily write your own symbolic
 manipulation algorithms. It supports arbitrary precision arithmetic.

Package: yacas-doc
Source: yacas
Version: 1.3.2-1
Installed-Size: 1925
Maintainer: Muammar El Khatib 
Architecture: all
Suggests: yacas (= 1.3.2-1)
Size: 474752
SHA256: af0417bebebf3b89690ee724b34f0c0ff4d66a260134d647f344581d973430e7
SHA1: 5c93d93a2a54290ee750e63b3d8a68e938ddefe0
MD5sum: 8ded4ae65753a2ddfab1afad14621ddc
Description: Documentation for Yacas
 Yet Another Computer Algebra System is a small and highly
 flexible computer algebra language.
 .
 The syntax is very close to Mathematica. The distribution contains
 a small library of mathematical functions, but its real strength
 is in the language in which you can easily write your own symbolic
 manipulation algorithms. It supports arbitrary precision arithmetic.
 This package contains the html documentation for yacas.
Homepage: http://yacas.sourceforge.net
Tag: devel::doc, field::mathematics, made-of::html, role::documentation
Section: doc
Priority: extra
Filename: pool/main/y/yacas/yacas-doc_1.3.2-1_all.deb

Package: yade-doc
Source: yade
Version: 0.80.1-2
Installed-Size: 11201
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: libjs-jquery
Recommends: yade
Size: 6389800
SHA256: b4350515ae8ce9d5e90a15028397e377995fccb94adae270efeb6a926d999013
SHA1: b3882a8a12b57012ce9afa0ade8e7b73a740caae
MD5sum: 419cf7fecbdb762abe4d3262b4db1a81
Description: Platform for discrete element modeling. Documentation
 Yet Another Dynamic Engine.
 .
 Extensible open-source framework for discrete numerical models,
 focused on Discrete Element Method.
 The computation parts are written in c++ using flexible object model,
 allowing independent implementation of new algorithms and interfaces.
 Python is used for rapid and concise scene construction,
 simulation control, postprocessing and debugging.
 .
 This package contains examples, test scripts and documentation.
Homepage: https://launchpad.net/yade
Tag: role::documentation
Section: doc
Priority: extra
Filename: pool/main/y/yade/yade-doc_0.80.1-2_all.deb

Package: yafaray
Version: 0.1.2+really0.1.2~beta5-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 2551
Depends: python3 (>= 3.2), libc6 (>= 2.13-28), libfreetype6 (>= 2.2.1), libgcc1 (>= 1:4.4.0), libilmbase6 (>= 1.0.1), libjpeg8 (>= 8c), libopenexr6 (>= 1.6.1), libpng12-0 (>= 1.2.13-4), libpython3.2 (>= 3.2~a4), libstdc++6 (>= 4.6), libtiff4 (>> 3.9.5-3~), libxml2 (>= 2.7.4), zlib1g (>= 1:1.1.4)
Recommends: blender (>= 2.59)
Homepage: http://www.yafaray.org
Priority: optional
Section: graphics
Filename: pool/main/y/yafaray/yafaray_0.1.2+really0.1.2~beta5-2_armhf.deb
Size: 982958
SHA256: 691c104bb23155c5c62a8f20f1c25d29499689035dd98344484e6551d5e5f571
SHA1: a446459ab3cc80b821ecf1fcf826c484f29bab6b
MD5sum: 907be9d772da2906ba54ab75822b6a58
Description: modern, xml-speaking raytracing-based rendering system
 YafaRay is an advanced raytracing rendering system with many modern features
 including full radiosity and High Dynamic Range Imaging (HDRI) for scene
 lighting. It reads a simple XML-based scene definition file and can be used
 as a renderer for Blender (2.5 version and above).

Package: yafaray-blender2.5-exporter
Source: yafaray-exporter
Version: 0.1.2+really0.1.2~beta5-1
Installed-Size: 26
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Depends: yafaray-exporter
Size: 2312
SHA256: f4dcf77f1b244bfdb096fd9528171fe9b159ef62bc2cc9aa006edf065f3a0cab
SHA1: 0abfb71a5dc59374b6c4efe7911b4f0a94383687
MD5sum: 6edd18794f43b43e04ab6e73abcf43b2
Description: transitional dummy package for yafaray-exporter
 This is a transitional package to migrate to yafaray-exporter.
 .
 It can be safely removed.
Homepage: http://www.yafaray.org
Tag: role::plugin, use::converting
Section: oldlibs
Priority: extra
Filename: pool/main/y/yafaray-exporter/yafaray-blender2.5-exporter_0.1.2+really0.1.2~beta5-1_all.deb

Package: yafaray-exporter
Version: 0.1.2+really0.1.2~beta5-1
Installed-Size: 331
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Replaces: yafaray-blender2.5-exporter (<< 0.1.2~beta2.3)
Depends: python, blender (>= 2.61), yafaray
Breaks: yafaray-blender2.5-exporter (<< 0.1.2~beta2.3)
Size: 52116
SHA256: 594a0758506b1cf524649244d010c2c921afa3cba08406c88538bc74fb25e042
SHA1: 1e20abc170e3335ee9230f6fff92bf048c16bc86
MD5sum: 9499b5a0320417d427e63c3529e405d2
Description: modern, xml-speaking raytracing-based rendering system
 YafaRay Exporter for Blender 2.6 allows exporting a Blender 3D model
 in a way that YafaRay rendering engine can understand and work on it
 to provide a good rendering alternative besides the Blender
 (and others) one.
Homepage: http://www.yafaray.org
Section: graphics
Priority: optional
Filename: pool/main/y/yafaray-exporter/yafaray-exporter_0.1.2+really0.1.2~beta5-1_all.deb

Package: yafc
Version: 1.1.3-2
Architecture: armhf
Maintainer: Sebastian Ramacher 
Installed-Size: 318
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgssapi3-heimdal (>= 1.4.0+git20110226), libhcrypto4-heimdal (>= 1.4.0+git20110226), libreadline6 (>= 6.0), dpkg (>= 1.15.4) | install-info
Homepage: http://yafc-ftp.com
Priority: optional
Section: net
Filename: pool/main/y/yafc/yafc_1.1.3-2_armhf.deb
Size: 146024
SHA256: 6d69e295da343824537c84786d1899097fdbcea55ec4e97b8cde612d2cec6a32
SHA1: 894f31c11af311079c48e6450fb8ab6a5688bef4
MD5sum: 743a3d351f916f51b09f2884d7b67370
Description: yet another FTP client
 yafc is an ftp client intended to be a replacement for the standard
 ftp(1) program. Features include directory cache, remote filename
 completion, aliases, colored ls, recursive get/put/ls/rm, nohup mode
 transfers, tagging (queueing), background downloading, and more.
 .
 This version is compiled with Kerberos 5 authentication.

Package: yagf
Version: 0.9.1-3
Architecture: armhf
Maintainer: Boris Pek 
Installed-Size: 699
Depends: libaspell15 (>= 0.60.7~20110707), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), tesseract-ocr | cuneiform
Recommends: xsane
Homepage: http://symmetrica.net/cuneiform-linux/yagf-en.html
Priority: optional
Section: graphics
Filename: pool/main/y/yagf/yagf_0.9.1-3_armhf.deb
Size: 332394
SHA256: 8dde272dd890b5bc6700c363acb69ec4e4e5f7d1b5983bf60c1b378f8aeef723
SHA1: 93470c28b0a57f65ea22b5345f54681f9d088a5f
MD5sum: 6b919fad5313b5becd9bb6bf85c00068
Description: graphical interface for cuneiform and tesseract
 YAGF is a graphical interface for cuneiform and tesseract text recognition
 tools on the Linux platform. With YAGF you can scan images via XSane, import
 pages from PDF documents, perform images preprocessing and recognize texts
 using cuneiform from a single command centre. YAGF also makes it easy to scan
 and recognize several images sequentially.

Package: yagiuda
Version: 1.19-8
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 227
Depends: libc6 (>= 2.7)
Conflicts: psi3
Homepage: http://www.g8wrb.org/yagi/
Priority: extra
Section: hamradio
Filename: pool/main/y/yagiuda/yagiuda_1.19-8_armhf.deb
Size: 95092
SHA256: e4a42d837ef24e2657e4293554f9aa45eb010512cb9d800fc0c86a0072257b1d
SHA1: 71441c12ad1a833d0ca793b2c7858320d1c01b17
MD5sum: cf36277e3c65c0ad57362ce85e7452e4
Description: software to analyse performance of Yagi-Uda antennas
 You give the dimensions and positions of each element, and the
 program calculates gain, input impedance, front-to back ratio,
 beam-patterns etc. An optimisation program 'optimise' tries to
 optimise a design. The optimiser can also tell you the sensitivity
 of a good design, to small mechanical errors.

Package: yagtd
Version: 0.3.4-1
Installed-Size: 288
Maintainer: Max Vozeler 
Architecture: all
Depends: python (>= 2.3), python-support (>= 0.90.0)
Size: 78786
SHA256: 2bc47c4135390dcd1727db2714928bd16bcfc0798628d4d8e85c500e0a99f586
SHA1: 57de77732ce67c40676b1ee8c386e43e4cccf637
MD5sum: d5173a62bbcf872e4ce8f3d8957c02b1
Description: utility to help organize your to-do lists
 yagtd is a simple command-line utility based on the
 Getting Things Done methodology. It is designed to make
 the management of your TO-DO list quick and easy.
Homepage: https://gna.org/projects/yagtd/
Tag: implemented-in::python, role::program, use::organizing
Section: utils
Priority: optional
Filename: pool/main/y/yagtd/yagtd_0.3.4-1_all.deb

Package: yahoo2mbox
Version: 0.24-1
Installed-Size: 84
Maintainer: Ganesan Rajagopal 
Architecture: all
Depends: perl, libwww-perl, libhtml-parser-perl
Recommends: libterm-readkey-perl
Size: 14812
SHA256: 83732b1aabd4f135ad096b76e56375a83a9870c66fc70bc5518df7b219ad4edf
SHA1: 7c66f010509624491474fe5f78e0014a7a17e695
MD5sum: 04288fa99b0104f944c4eb6027b3f319
Description: Retrieve and store Yahoo! Groups messages
 yahoo2mbox is a small Perl script which retrieves all messages from a mailing
 list archive at Yahoo! Groups and stores them into a local file in MBOX
 format.
Tag: implemented-in::perl, interface::commandline, mail::list,
 network::client, role::program, use::downloading, use::storing,
 works-with::mail
Section: utils
Priority: optional
Filename: pool/main/y/yahoo2mbox/yahoo2mbox_0.24-1_all.deb

Package: yahtzeesharp
Version: 1.1-5
Installed-Size: 409
Maintainer: Debian CLI Applications Team 
Architecture: all
Depends: mono-runtime (>= 2.10.1), libglib2.0-cil (>= 2.12.10), libgtk2.0-cil (>= 2.12.10), libmono-corlib4.0-cil (>= 2.10.1), libmono-posix4.0-cil (>= 2.10.1), libmono-system-data4.0-cil (>= 2.10.1), libmono-system4.0-cil (>= 2.10.1)
Size: 274816
SHA256: 94d520db855a232f9f65d5929474402ea6b407327418d1b2bc751c05296f4331
SHA1: eb1c3b5db772e00441f79de0f37f9788800caa9b
MD5sum: 911aa7c8f764ef5121cfc8d19415d4d5
Description: game similar to poker with dice and less money
 Yahtzeesharp is a sort of poker with dice and less money.
 You roll five dice three times and try to create the best hand.
 Your two rerolls may include any or all of your dice.
Homepage: http://www.brdstudio.net/yahtzeesharp/
Tag: role::program, uitoolkit::gtk, use::gameplaying
Section: games
Priority: optional
Filename: pool/main/y/yahtzeesharp/yahtzeesharp_1.1-5_all.deb

Package: yajl-tools
Source: yajl
Version: 2.0.4-2
Architecture: armhf
Maintainer: John Stamp 
Installed-Size: 61
Depends: libc6 (>= 2.13-28), libyajl2 (>= 2.0.4)
Homepage: http://lloyd.github.com/yajl/
Priority: extra
Section: utils
Filename: pool/main/y/yajl/yajl-tools_2.0.4-2_armhf.deb
Size: 14006
SHA256: 0993dbdddcfc07d12333ad14a6619446470aae60eebe16a1bee0a1d996fea9c2
SHA1: 67e4a2bd8da193242d3f0f924da3c4c55912b915
MD5sum: e420a9b4a64480165010bd3e5873d308
Description: Yet Another JSON Library - tools
 A small collection of tools that use the yajl library.
 .
 Current tools are:
  json_reformat - beautifies or minimizes format of JSON data
  json_verify - validates JSON data

Package: yakuake
Version: 2.9.8-1
Architecture: armhf
Maintainer: Ana Beatriz Guerrero Lopez 
Installed-Size: 1669
Depends: kde-runtime, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkdecore5 (>= 4:4.4.95), libkdeui5 (>= 4:4.4.0), libkio5 (>= 4:4.3.4), libkparts4 (>= 4:4.3.4), libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0), libx11-6, konsole (>= 4:4.3.0)
Homepage: http://extragear.kde.org/apps/yakuake/
Priority: optional
Section: kde
Filename: pool/main/y/yakuake/yakuake_2.9.8-1_armhf.deb
Size: 432238
SHA256: f10fef23120c67ef41f2acd54ca3710e1cd8831d21da8c6fce1222db6361239e
SHA1: 9a4ffb3e6e83904b0f32614b9ff05218f7cdeab5
MD5sum: a21f0e349d540318e5cbb2186b126dea
Description: a Quake-style terminal emulator based on KDE Konsole technology
 YaKuake is inspired from the terminal in the Quake game: when you press a key
 (by default F12, but that can be changed) a terminal window slides down from
 the top of the screen. Press the key again, and the terminal slides back.
 .
 It is faster than a keyboard shortcut because it is already loaded into memory
 and as such is very useful to anyone who frequently finds themselves switching
 in and out of terminal sessions.

Package: yamdi
Version: 1.4-2
Architecture: armhf
Maintainer: Todd Troxell 
Installed-Size: 59
Depends: libc6 (>= 2.4)
Priority: extra
Section: utils
Filename: pool/main/y/yamdi/yamdi_1.4-2_armhf.deb
Size: 13158
SHA256: 5d7bab1a5656a722ba9f71c2bd89365b8997d0a1103ac0a3147ac5bb38a264ce
SHA1: 05a713ca4e77788e5b66568f8537ba807d211d5c
MD5sum: f2e55e0e73a31534762dcda96358c8cd
Description: a utility for adding metadata to flash video files
 yamdi is a fast console application for adding various metadata to flv files.
 The program buffers its input file, rather than loading into memory in its
 entirety.

Package: yaml-mode
Version: 0.0.7-1
Installed-Size: 56
Maintainer: Vincent Fourmond 
Architecture: all
Depends: emacs23 | emacsen, emacsen-common (>= 1.4.14)
Size: 10474
SHA256: 4b5a143689fbb47168dfcba53e9507053ef4e62e92d1b65049a899b66b52443b
SHA1: 029d6d723634eef85bdae29a197f9dc3a8f8d388
MD5sum: f975610fbca14002004a40075049d439
Description: emacs mode for YAML files
 This package provides an emacs  major mode for editing
 files in the YAML data format. It features syntax
 highlighting and auto-indentation.
Homepage: http://github.com/yoshiki/yaml-mode
Tag: implemented-in::lisp, role::plugin, suite::emacs, use::editing,
 works-with::text
Section: editors
Priority: optional
Filename: pool/main/y/yaml-mode/yaml-mode_0.0.7-1_all.deb

Package: yample
Version: 0.30-2
Installed-Size: 84
Maintainer: Morten Werner Olsen 
Architecture: all
Depends: perl (>= 5.6), perl-modules, libmail-listdetector-perl, libmailtools-perl, libmime-perl
Suggests: spamassassin
Size: 17182
SHA256: d3abc46af6f080cc4134bab6468189c5cb0a410c4e7b3a28f3a8b6e047550a3b
SHA1: 6fc21fd1036b47f76082c15e8c1f9223cd1a73e9
MD5sum: d353a112ce41558cbb28f13825fdbc07
Description: Yet Another Mail Processing Language
 Yample is a processing language for email. It sorts email based on criteria
 you define. It can deliver email to Unix mailboxes (MBOX), Maildirs (used by
 Courier IMAP and others) or pipes.
Tag: mail::delivery-agent, mail::filters, works-with::mail
Section: mail
Priority: optional
Filename: pool/main/y/yample/yample_0.30-2_all.deb

Package: yap
Version: 5.1.3-6
Architecture: armhf
Maintainer: Ralf Treinen 
Installed-Size: 8717
Depends: libc6 (>= 2.7), libreadline6 (>= 6.0), dpkg (>= 1.15.4) | install-info
Homepage: http://www.dcc.fc.up.pt/~vsc/Yap/
Priority: extra
Section: devel
Filename: pool/main/y/yap/yap_5.1.3-6_armhf.deb
Size: 2363522
SHA256: c0a24aefed7865e52c2629c86847f468d1361e196120380c3dfa144d82270118
SHA1: 9e8e14adfc27398c181f78979e5ba0fde5b37240
MD5sum: 3db48b80432b4aa441bad13485dde57c
Description: High-performance Prolog System
 High-performance Prolog compiler developed at LIACC/Universidade
 do Porto and at COPPE Sistemas/UFRJ. The YAP Prolog engine is based in the
 Warren Abstract Machine, with several optimizations for better
 performance. YAP follows the Edinburgh tradition, and is largely
 compatible with the ISO-Prolog standard and with Quintus and SICStus Prolog.
 .
 YAP features a constraint solver over real numbers, and support for
 constraint handling rules (CHR).

Package: yapet
Version: 0.8~pre2-2
Architecture: armhf
Maintainer: Salvatore Bonaccorso 
Installed-Size: 526
Depends: libc6 (>= 2.11), libgcc1 (>= 1:4.4.0), libncurses5 (>= 5.5-5~), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6), libtinfo5
Homepage: http://www.guengel.ch/myapps/yapet/
Priority: optional
Section: utils
Filename: pool/main/y/yapet/yapet_0.8~pre2-2_armhf.deb
Size: 209108
SHA256: e56e756f8652e859952d179fc8582498e9f74078d4f9c319801ec9fac61a7771
SHA1: f09f2e2da3f405d41607e2cde8cef7a667b117a5
MD5sum: 922f656f9c7b7d92cacd0bc519262ec5
Description: Yet Another Password Encryption Tool
 Yapet is a curses based password encryption tool using the Blowfish
 encryption algorithm to store password records encrypted on disk. Its
 primary aim is to provide a safe way to store passwords in a file on
 disk while having a small footprint.

Package: yapps2
Version: 2.1.1-17.2
Installed-Size: 272
Maintainer: Matthias Urlichs 
Architecture: all
Depends: python (>= 2.2), python-support (>= 0.90.0), yapps2-runtime (= 2.1.1-17.2)
Size: 65636
SHA256: eaa0cb0c931a410322ba3fff6476c7f651006e384c4235e0a1faae2f0435560a
SHA1: 1497a927f43077b6987835f6be4bb714553f587c
MD5sum: 971d722b20f3b5e63cf9a03b7292766e
Description: Yet Another Python Parser System
 YAPPS is an easy to use parser generator that is written in Python and
 generates Python code.  There are several parser generator systems
 already available for Python, but this parser has different goals:
 Yapps is simple, very easy to use, and produces human-readable parsers.
 .
 It is not the fastest or most powerful parser.  Yapps is designed to be
 used when regular expressions are not enough and other parser systems
 are too much: situations where you might otherwise write your own
 recursive descent parser.
 .
 This package contains several upward-compatible enhancements to the
 original YAPPS source:
 .
     - handles recursive input ("include files")
     - better error reporting
     - reads input incrementally
Tag: devel::code-generator, devel::lang:python, devel::library,
 implemented-in::python, interface::commandline, role::devel-lib,
 role::program, scope::utility
Section: python
Priority: optional
Filename: pool/main/y/yapps2/yapps2_2.1.1-17.2_all.deb

Package: yapps2-runtime
Source: yapps2
Version: 2.1.1-17.2
Installed-Size: 72
Maintainer: Matthias Urlichs 
Architecture: all
Depends: python (>= 2.2), python-support (>= 0.90.0)
Size: 8340
SHA256: 386e63d98c9ab555fb6fd81687ba03c50a21e251bd0f23305d77ff66262baea4
SHA1: b3d1db983c7fb9ad7c51909c198b93df314ead31
MD5sum: ab247f21c3f72228bb3a624ec9dce528
Description: Yet Another Python Parser System
 YAPPS is an easy to use parser generator that is written in Python and
 generates Python code.  There are several parser generator systems
 already available for Python, but this parser has different goals:
 Yapps is simple, very easy to use, and produces human-readable parsers.
 .
 This package contains the Python runtime support for parsers generated
 with yapps2.
Tag: devel::code-generator, devel::lang:python, devel::library,
 implemented-in::python, interface::commandline, scope::utility
Section: python
Priority: optional
Filename: pool/main/y/yapps2/yapps2-runtime_2.1.1-17.2_all.deb

Package: yapra
Version: 0.1.2-7
Installed-Size: 219
Maintainer: Taku YASUI 
Architecture: all
Depends: ruby | ruby-interpreter
Recommends: ruby-mechanize, ruby-hpricot, ruby-twitter4r
Size: 32162
SHA256: 0d230c1fd052f13ea6c56b8bfc80966bb3034ce95eeed995974adbc1c9debe02
SHA1: 505a04eca47cfda27617dec8c6eb773ba9f7487f
MD5sum: 1a67f576a38e9724bfe2069f1ed87926
Description: Yet Another Pragger implementation
 Yapra is yet another Pragger implementation.  Pragger is a pluggable RSS/Atom
 feed aggregator written in Ruby. It has following advantages
 against Pragger.
 .
  * Class-based plugin mechanism
  * Loadpath-based plugin searching/reading
  * Import logger into system core
  * Use "Yapra" namespace for Plugin class
  * Support config file like Python habu
Homepage: http://yapra.rubyforge.org/
Tag: implemented-in::ruby, role::program, works-with-format::xml:rss
Section: net
Priority: extra
Filename: pool/main/y/yapra/yapra_0.1.2-7_all.deb

Package: yard
Version: 0.8.2.1-2
Installed-Size: 1750
Maintainer: Debian Ruby Extras Maintainers 
Architecture: all
Depends: ruby | ruby-interpreter, rubygems, libjs-jquery, ruby-gettext (>= 2.2.1~)
Suggests: ruby-rack, ruby-redcloth, bundler, ruby-rdiscount, graphviz
Size: 642308
SHA256: 99c8c938ce4d567c2fe0b90604a4e2378cc16a00cada1020f8826d83ece5e90e
SHA1: 0013eeae584095bafa9a71065c46e76b9ce28a35
MD5sum: 3b49459399d0675452ef21ea64a95c14
Description: Ruby documentation tool
 YARD is a documentation generation tool for the Ruby programming language.
 It enables the user to generate consistent, usable documentation that can be
 exported to a number of formats very easily, and also supports extending for
 custom Ruby constructs such as custom class level definitions.
Homepage: http://yardoc.org
Ruby-Versions: ruby1.8 ruby1.9.1
Tag: admin::boot, admin::filesystem, admin::recovery,
 hardware::storage:floppy, implemented-in::perl, interface::commandline,
 role::program, scope::utility
Section: ruby
Priority: optional
Filename: pool/main/y/yard/yard_0.8.2.1-2_all.deb

Package: yardradius
Version: 1.1.2-4
Architecture: armhf
Maintainer: Francesco Paolo Lovergine 
Installed-Size: 502
Depends: libpam-runtime, libc6 (>= 2.7), libgdbm3 (>= 1.8.3), libpam0g (>= 0.99.7.1)
Conflicts: radius-server
Replaces: radius-server
Provides: radius-server
Homepage: http://yardradius.org/
Priority: optional
Section: net
Filename: pool/main/y/yardradius/yardradius_1.1.2-4_armhf.deb
Size: 170636
SHA256: f35a0e8c6a53b8a752d34f43921d209a14a33e4645f8d8025c760d4fdb8598d3
SHA1: fdfa03cd594542453b7bee045f0e646096a7c3f2
MD5sum: 51f3904e689208d0eac2a0e977db5f0c
Description: YARD Radius Authorization and Accounting Server
 YARD Radius is a Radius authentication/accounting server which is derived
 from the original Livingston Enterprise Inc. RADIUS daemon release 2.1.
 It adds a number of useful features to the LE daemon, i.e.
 .
 Control of simultaneous logins.
 Support of Ascend, Cisco and USR boxes.
 Extended daily/monthly/yearly accounting information on a per-user basis
 MD5 encrypted passwords support (both in passwd file and/or users file)
 Expirations in shadow file.
 Checking based on time-of-day, traffic and connection time.
 Support of PAM authentication and accounting.
 Binary form of accounting file.
 GDBM formats for users and user stats databases.
 Autoconfiguring capabilities of sources.

Package: yaret
Version: 2.1.0-5
Installed-Size: 88
Maintainer: Marco Nenciarini 
Architecture: all
Depends: perl, libaudio-cd-perl, libappconfig-perl, cdparanoia | cdda2wav, vorbis-tools | flac
Size: 27802
SHA256: e4b011c45db09b8d6aed2f025391858c774803231b967fe4fed89c356ce0065a
SHA1: 31edc5a86897f47f2237d6471ea825ef82878fd8
MD5sum: efa9e8df0e2b279f570aad8dc37c2cf4
Description: A console tool to turn CDs into encoded music
 YaRET is a Perl script that automates the ripping, normalization, and
 encoding of CDs. It supports CDDB very well via the Perl Audio::CD
 module, and also can work with your favorite ripper/normalizer/encoder
 (e.g., cdparanoia, cdda2wav, normalize, etc.) It supports useful
 features like multiple concurrent encoders and an easy to use
 configuration file that lets you customize YaRET to your taste (such as
 file naming based on the CDDB information).
Tag: hardware::storage, hardware::storage:cd, implemented-in::perl,
 interface::commandline, role::program, scope::utility, use::converting,
 use::storing, works-with::audio
Section: sound
Priority: optional
Filename: pool/main/y/yaret/yaret_2.1.0-5_all.deb

Package: yarssr
Version: 0.2.2-8
Installed-Size: 264
Maintainer: Joachim Breitner 
Architecture: all
Depends: perl, libgtk2-perl, libgtk2-gladexml-perl, libgtk2-trayicon-perl, libgnome2-vfs-perl, libgnome2-perl (>= 0.94), libxml-rss-perl, libgnomevfs2-extra
Size: 26172
SHA256: ac9241449dce968b343e0e5d79139f59e226a006db5c8c02302ce5347423dffc
SHA1: 2e8ee10c8c43194c77239a4f8eb4cfbb5cd91a59
MD5sum: 5fecc8ba18c3dc2512a025d183351174
Description: RSS reader for the notification area
 Yet Another RSS Reader is an RSS aggregator and reader that displays its
 results in the GNOME or KDE system tray (notification area). To view the
 contents of the feed just click the menu-item and it will launch in your
 favorite browser.
Homepage: http://yarssr.sourceforge.net/
Tag: implemented-in::perl, interface::x11, role::plugin, suite::gnome,
 suite::kde, uitoolkit::gtk, use::viewing, web::blog,
 works-with-format::xml, works-with-format::xml:rss, x11::applet
Section: net
Priority: optional
Filename: pool/main/y/yarssr/yarssr_0.2.2-8_all.deb

Package: yasat
Version: 526-1
Installed-Size: 592
Maintainer: Corentin LABBE 
Architecture: all
Size: 107858
SHA256: 08e2b4bdf5ec2e7874446ef6b09df570bf29059fc3705262356f6ebd3c7801f3
SHA1: fe35f784478d1e435ee33b06b9b4805879583966
MD5sum: 41f342f6ab72840a191dbaae9dc27967
Description: simple stupid audit tool
 YASAT (Yet Another Stupid Audit Tool) goal is to be as simple as possible with
 minimum binary dependencies (only sed, grep and cut). Second goal is to
 document each test with maximum information and links to official
 documentation. It do many tests for checking security configuration issue or
 others good practice. It checks many software configurations like: Apache,
 Bind DNS, CUPS, PHP, kernel configuration, mysql, network configuration,
 openvpn, Packages update, samba, snmpd, squid, syslog, tomcat,
 user accounting, vsftpd, xinetd.
Homepage: http://yasat.sourceforge.net/
Section: utils
Priority: optional
Filename: pool/main/y/yasat/yasat_526-1_all.deb

Package: yash
Version: 2.30-2
Architecture: armhf
Maintainer: TANIGUCHI Takaki 
Installed-Size: 1129
Depends: libc6 (>= 2.13-28), libtinfo5
Homepage: http://sourceforge.jp/projects/yash/
Priority: extra
Section: shells
Filename: pool/main/y/yash/yash_2.30-2_armhf.deb
Size: 359464
SHA256: 3a7af72074827c428d0c84ff49d84afd27a0d34ee6d57d40ef147b022342c87e
SHA1: c55b232283d5322cf6b198ae6d2d1ee5071c730d
MD5sum: 283a94bafd920297d93c07523465c435
Description: yet another shell
 Yash is a command line shell that conforms to the POSIX.1 (IEEE Std
 1003.1, 2008 Edition) standard for the most part. Actually, it is much
 more POSIX-compliant than other shell like bash and zsh.
 .
 Yash also has its own features beyond POSIX, such as:
   * global aliases
   * random numbers
   * socket redirections and other special redirections
   * right prompt
   * command completion

Package: yaskkserv
Version: 0.5.2-3
Architecture: armhf
Maintainer: KURASHIKI Satoru 
Installed-Size: 213
Depends: skkdic, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.1.1)
Recommends: skkdic-extra
Enhances: ddskk, ibus-skk, scim-skk, uim-skk
Conflicts: skkinput, skkserv
Replaces: skkserv
Provides: skkserv
Homepage: http://umiushi.org/~wac/yaskkserv/
Priority: extra
Section: utils
Filename: pool/main/y/yaskkserv/yaskkserv_0.5.2-3_armhf.deb
Size: 73038
SHA256: ed82d9ab3867ac60bcdb8d38e98e444b9f29d4af9e2fb708385a315fd7210d12
SHA1: 716e46e5ff742225aac14b1068952c05a4944993
MD5sum: b47456426995c8e8c4e3a23defa53e5b
Description: small and fast SKK dictionary server intended for embedded use
 yaskkserv is a dictionary server for the SKK Japanese input method
 system. yaskkserv is written in C++ from scratch in consideration of
 embedded environment, but is compatible with skkserv on the protocol
 behavior.
 .
 yaskkserv can use multiple dictionaries and also supports server
 completion.

Package: yasm
Version: 1.1.0-1
Architecture: armhf
Maintainer: Sam Hocevar 
Installed-Size: 1376
Depends: libc6 (>= 2.13-28)
Homepage: http://www.tortall.net/projects/yasm/
Priority: optional
Section: devel
Filename: pool/main/y/yasm/yasm_1.1.0-1_armhf.deb
Size: 557458
SHA256: ff3f4730ee1e27219c6fdf69d4735485a6e3544d9119e597ca0f475d19ff3378
SHA1: 4ceb6d2fcb273f48bc36ee3b005841bc76390592
MD5sum: 9f986a3838a68d094ef4f4a85e24dcb7
Description: modular assembler with multiple syntaxes support
 Yasm is a complete rewrite of the NASM assembler. It supports multiple
 assembler syntaxes (eg, NASM, GAS, TASM, etc.) in addition to multiple
 output object formats (binary objects, COFF, Win32, ELF32, ELF64) and
 even multiple instruction sets (including AMD64). It also has an
 optimiser module.

Package: yasnippet
Version: 0.6.1c-1
Architecture: armhf
Maintainer: Julián Hernández Gómez 
Installed-Size: 953
Depends: emacs | emacsen
Homepage: http://code.google.com/p/yasnippet/
Priority: extra
Section: lisp
Filename: pool/main/y/yasnippet/yasnippet_0.6.1c-1_armhf.deb
Size: 580904
SHA256: 40d44cff1812138ce15ccd9d4e7ee1d3aef5772fa79ceba5a71332909da875ed
SHA1: b0f59fc2060cc6e6fd17cc4f1ef755f6ee46092d
MD5sum: 6df32c10863c025ee87812a518cac8c6
Description: A template system for Emacs
 YASnippet (yet another snippet extension for Emacs) is a template
 system for Emacs. It allows you to type an abbrevation and
 automatically expand the abbreviation into function templates.
 .
 Bundled language templates includes: C, C++, C#, Perl, Python, Ruby,
 SQL, LaTeX, HTML, CSS and more.

Package: yasr
Version: 0.6.9-3
Architecture: armhf
Maintainer: Debian Accessibility Team 
Installed-Size: 158
Depends: libc6 (>= 2.13-28)
Recommends: eflite
Homepage: http://yasr.sourceforge.net/
Priority: extra
Section: admin
Filename: pool/main/y/yasr/yasr_0.6.9-3_armhf.deb
Size: 61606
SHA256: 8387e2cba4d19631d4dc2a50811124b3bfb71a910b22726238d174cf33ff98e6
SHA1: 3999043e51621d321529a2c6eff51c8f18791b79
MD5sum: de926cc6342970894f79106a59df475c
Description: General-purpose console screen reader
 Yasr is a general-purpose console screen reader for GNU/Linux and
 other Unix-like operating systems.  The name "yasr" is an acronym that
 can stand for either "Yet Another Screen Reader" or "Your All-purpose
 Screen Reader".
 .
 Currently, yasr attempts to support the Speak-out, DEC-talk, BNS, Apollo,
 and DoubleTalk synthesizers.  It is also able to communicate with
 Emacspeak servers and can thus be used with synthesizers not directly
 supported, such as Festival Lite (via eflite) or FreeTTS.
 .
 Yasr is written in C and works by opening a pseudo-terminal and running a
 shell, intercepting all input and output.  It looks at the escape
 sequences being sent and maintains a virtual "window" containing what
 it believes to be on the screen.  It thus does not use any features
 specific to Linux and can be ported to other Unix-like operating
 systems without too much trouble.

Package: yate
Version: 4.1.0-1~dfsg-3
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 80
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.1.1), libyate4.1.0, adduser, yate-core (= 4.1.0-1~dfsg-3), libcap2-bin
Homepage: http://yate.null.ro/
Priority: optional
Section: comm
Filename: pool/main/y/yate/yate_4.1.0-1~dfsg-3_armhf.deb
Size: 21996
SHA256: 46cbf407a4d2778a88e4ac9d764b47ff46ee5008e380a6aaf9ea9c32bb837f37
SHA1: 421ec4d71e51116df86daaac6576a31688f25af5
MD5sum: 91544a225241eb973d78c44e7d473c68
Description: Yet Another Telephony Engine (YATE)
 YATE is a telephony engine aimed at creating a telephony server that
 performs well enough to deal with PBX requirements and also flexible
 enough for complex Gateway and IVR solutions.
 .
 This package contains YATE Server itself.

Package: yate-alsa
Source: yate
Version: 4.1.0-1~dfsg-3
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 91
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libstdc++6 (>= 4.4.0), libyate4.1.0, yate-core (= 4.1.0-1~dfsg-3)
Homepage: http://yate.null.ro/
Priority: optional
Section: comm
Filename: pool/main/y/yate/yate-alsa_4.1.0-1~dfsg-3_armhf.deb
Size: 27710
SHA256: d6cff8db0f9184f57928849e85caf7f33ec3c3c253d520a9a64089fd65620b6d
SHA1: 8b713f595d3f7d64506bae280267721d942c24f4
MD5sum: 2bda401cd971ae32fcfb28ec3418431e
Description: ALSA module for YATE
 YATE is a telephony engine aimed at creating a telephony server that
 performs well enough to deal with PBX requirements and also flexible
 enough for complex Gateway and IVR solutions.
 .
 This is the ALSA module for YATE.

Package: yate-core
Source: yate
Version: 4.1.0-1~dfsg-3
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 5879
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgsm1 (>= 1.0.13), libspandsp2 (>= 0.0.6~pre18), libspeex1 (>= 1.2~beta3-1), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.4.0), libyate4.1.0, zlib1g (>= 1:1.1.4)
Homepage: http://yate.null.ro/
Priority: optional
Section: libs
Filename: pool/main/y/yate/yate-core_4.1.0-1~dfsg-3_armhf.deb
Size: 1909864
SHA256: 08a60004bb097a6003861cc6fe205da93d33eb2774bded1e88a06b0e555d18da
SHA1: 724061eaaa9da559ef38cab1e436da8ccf02de60
MD5sum: c503f22d0d5e4476e69f494181f26200
Description: YATE core modules
 YATE is a telephony engine aimed at creating a telephony server that
 performs well enough to deal with PBX requirements and also flexible
 enough for complex Gateway and IVR solutions.
 .
 This package contains the core modules necessary for running YATE.

Package: yate-dahdi
Source: yate
Version: 4.1.0-1~dfsg-3
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 159
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libyate4.1.0, yate-core (= 4.1.0-1~dfsg-3)
Homepage: http://yate.null.ro/
Priority: optional
Section: comm
Filename: pool/main/y/yate/yate-dahdi_4.1.0-1~dfsg-3_armhf.deb
Size: 48722
SHA256: ada0e0733b3566c327f5713096e165921d48f1c990ca7eaa6e61688c3db54935
SHA1: 2d093d9bd027c26f1c1c08d436f9dad229ee9576
MD5sum: 0668f7cdc8c8bf07aec53dcbc02046e8
Description: Dahdi support for YATE
 YATE is a telephony engine aimed at creating a telephony server that
 performs well enough to deal with PBX requirements and also flexible
 enough for complex Gateway and IVR solutions.
 .
 This is the DAHDI support module for YATE.

Package: yate-dev
Source: yate
Version: 4.1.0-1~dfsg-3
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 631
Depends: libyate4.1.0 (= 4.1.0-1~dfsg-3)
Homepage: http://yate.null.ro/
Priority: optional
Section: libdevel
Filename: pool/main/y/yate/yate-dev_4.1.0-1~dfsg-3_armhf.deb
Size: 121374
SHA256: 8bd0dca19cc4e5758a9e77856cf74e614492200d63922dc6fe5368086111fe8b
SHA1: 3e4c91c1b1386e7cdd2b365f39d588aa03918dcc
MD5sum: c880acaf9ac2fa5fd6004d6fde3e975c
Description: Development files for YATE
 YATE is a telephony engine aimed at creating a telephony server that
 performs well enough to deal with PBX requirements and also flexible
 enough for complex Gateway and IVR solutions.
 .
 This package contains development libraries for YATE.

Package: yate-doc
Source: yate
Version: 4.1.0-1~dfsg-3
Installed-Size: 2715
Maintainer: Debian VoIP Team 
Architecture: all
Size: 379002
SHA256: 31a8ca90a95facb199000d546eb4df76de3ed465ae733b8166c2af62f2c682ff
SHA1: a141a99ac7344423acc6b0cb6869847dbe493fb7
MD5sum: 902bfd29c183a83f097582bb212e2f43
Description: Documentation for YATE
 YATE is a telephony engine aimed at creating a telephony server that
 performs well enough to deal with PBX requirements and also flexible
 enough for complex Gateway and IVR solutions.
 .
 This package provides documentation for YATE.
Homepage: http://yate.null.ro/
Tag: made-of::html, protocol::voip, role::documentation
Section: doc
Priority: optional
Filename: pool/main/y/yate/yate-doc_4.1.0-1~dfsg-3_all.deb

Package: yate-mysql
Source: yate
Version: 4.1.0-1~dfsg-3
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 94
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmysqlclient18 (>= 5.5.24+dfsg-1), libstdc++6 (>= 4.4.0), libyate4.1.0, zlib1g (>= 1:1.1.4), yate-core (= 4.1.0-1~dfsg-3)
Homepage: http://yate.null.ro/
Priority: optional
Section: comm
Filename: pool/main/y/yate/yate-mysql_4.1.0-1~dfsg-3_armhf.deb
Size: 27010
SHA256: 61f9abb882b09dda4008956183d6a0169f59145f52837b82134855e7d4883bc3
SHA1: d274df8ee06a8711f48d3ebf0f2a160de7e9dbaa
MD5sum: b0e4ab2a5dfaefcc9b0980d6ece3e1a7
Description: MySQL support module for yate
 YATE is a telephony engine aimed at creating a telephony server that
 performs well enough to deal with PBX requirements and also flexible
 enough for complex Gateway and IVR solutions.
 .
 This is the MySQL support module for YATE.

Package: yate-pgsql
Source: yate
Version: 4.1.0-1~dfsg-3
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 88
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpq5, libstdc++6 (>= 4.4.0), libyate4.1.0, yate-core (= 4.1.0-1~dfsg-3)
Homepage: http://yate.null.ro/
Priority: optional
Section: comm
Filename: pool/main/y/yate/yate-pgsql_4.1.0-1~dfsg-3_armhf.deb
Size: 25204
SHA256: bbe0e75be30a9209e06da60a06cbf625986fa67abd121ec3063df1bf3dc714e7
SHA1: 85f8be35eb7061289320dad845ebb4fe6c76396e
MD5sum: 6d8c68124cc34b3a8b905f5bd3fbe697
Description: PostgreSQL module for yate
 YATE is a telephony engine aimed at creating a telephony server that
 performs well enough to deal with PBX requirements and also flexible
 enough for complex Gateway and IVR solutions.
 .
 These are the modules that require PostgreSQL.

Package: yate-qt4
Source: yate
Version: 4.1.0-1~dfsg-3
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 2179
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libstdc++6 (>= 4.4.0), libyate4.1.0, yate-core (= 4.1.0-1~dfsg-3), yate-alsa (= 4.1.0-1~dfsg-3)
Conflicts: yate-core (<< 4)
Breaks: yate-core (<< 4)
Replaces: yate-core (<< 4)
Homepage: http://yate.null.ro/
Priority: optional
Section: comm
Filename: pool/main/y/yate/yate-qt4_4.1.0-1~dfsg-3_armhf.deb
Size: 568894
SHA256: 94ae3d1a9c53eeea89be7069dbef072509fb8c8ffe8e975309158b7b05329a2b
SHA1: 331bbce063151aacc97d8bf80a46306bc55fe48d
MD5sum: 111213059248f49dc86f6e628b816003
Description: YATE-based universal telephony client
 YATE is a telephony engine aimed at creating a telephony server that
 performs well enough to deal with PBX requirements and also flexible
 enough for complex Gateway and IVR solutions.
 .
 YateClient uses the same telephony code to produce a software desktop VoIP
 (SIP, H.323, IAX2) phone. yate-qt4 uses this to provide such a telephony

Package: yate-scripts
Source: yate
Version: 4.1.0-1~dfsg-3
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 157
Depends: yate (>= 4.1.0-1~dfsg-3), php5-cli
Homepage: http://yate.null.ro/
Priority: optional
Section: comm
Filename: pool/main/y/yate/yate-scripts_4.1.0-1~dfsg-3_armhf.deb
Size: 41276
SHA256: f7ee5312542bb2beee329846d5fc02a39348e413688696aad6b807ec8d3b5438
SHA1: 8f909749cc12718968568b3963bd3777df093c67
MD5sum: b8b2505c8dae3796489f6b4910b498d9
Description: PHP scripts for YATE
 YATE is a telephony engine aimed at creating a telephony server that
 performs well enough to deal with PBX requirements and also flexible
 enough for complex Gateway and IVR solutions.
 .
 These are the php scripts for YATE.

Package: yate-sctp
Source: yate
Version: 4.1.0-1~dfsg-3
Architecture: armhf
Maintainer: Debian VoIP Team 
Installed-Size: 71
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libsctp1 (>= 1.0.10+dfsg), libstdc++6 (>= 4.4.0), libyate4.1.0, yate-core (= 4.1.0-1~dfsg-3)
Homepage: http://yate.null.ro/
Priority: optional
Section: comm
Filename: pool/main/y/yate/yate-sctp_4.1.0-1~dfsg-3_armhf.deb
Size: 21946
SHA256: 90244a5b60f51cf6529afb4f8f42a345b8b6da536adb3b1b527e7ce1255f41b4
SHA1: dad9a360b1607aed6881d00cc42014f679ea408f
MD5sum: d9b312603ca4243b75847d443184f91b
Description: SCTP support for YATE
 YATE is a telephony engine aimed at creating a telephony server that
 performs well enough to deal with PBX requirements and also flexible
 enough for complex Gateway and IVR solutions.
 .
 This is the SCTP support module for YATE needed for SIGTRAN.

Package: yatex
Version: 1.76+dfsg1-2
Installed-Size: 669
Maintainer: KURASHIKI Satoru 
Architecture: all
Depends: emacs23 | emacs22 | emacs21 | xemacs21-mule | xemacs21-mule-canna-wnn, install-info
Recommends: ptex-bin | ptex-jtex, texlive-bin
Suggests: jbibtex, mendexk, jweblint | weblint, iceweasel | www-browser, gimageview
Size: 275086
SHA256: aa286226b486683f4641398b89e655db28c772e38196a3dc2bf4c49f9c784fe2
SHA1: dc7a3f6250753941b7c80089c69609ff4ff88259
MD5sum: 96eb64b5b677a52313e2d2ee323ceeae
Description: Yet Another TeX mode for Emacs
 YaTeX is an intelligent, acquisitive and integrated package which reduces
 your efforts of composing LaTeX source on Emacs.
 .
 YaTeX automates typesetting and previewing of LaTeX and enables
 completing input of LaTeX mark-up command such as `\begin{}'..`\end{}'.
 .
 This package also includes yahtml mode, the honest and bright YaTeX-compatible
 major-mode for writing HTML. If you have noticed the power of YaTeX, you can
 drive yahtml over the HTML files quickly and steadily. And vice versa, of
 course.
 .
 YaTeX also supports Demacs which runs on MS-DOS(386), Mule
 (Multi Language Enhancement to GNU Emacs), and LaTeX on DOS.
 .
 For more information, please refer to http://www.yatex.org/
Homepage: http://www.yatex.org/
Tag: implemented-in::lisp, interface::text-mode, role::plugin, suite::emacs,
 use::editing, use::typesetting, works-with-format::html,
 works-with-format::tex, works-with::text
Section: tex
Priority: extra
Filename: pool/main/y/yatex/yatex_1.76+dfsg1-2_all.deb

Package: yatm
Version: 0.6-1
Architecture: armhf
Maintainer: Mario Lang 
Installed-Size: 63
Depends: libao4 (>= 1.1.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libmad0 (>= 0.15.1b-3), libogg0 (>= 1.0rc3), libslang2 (>= 2.2.4), libsndfile1 (>= 1.0.20), libsoundtouch0, libspeex1 (>= 1.2~beta3-1), libstdc++6 (>= 4.3.0), libvorbisfile3 (>= 1.1.2)
Priority: optional
Section: sound
Filename: pool/main/y/yatm/yatm_0.6-1_armhf.deb
Size: 17192
SHA256: 0d1c9dd2e97c76b091d67e4d476cc34f68fff0eaec41f374be18fa8a09720331
SHA1: 25fc9d8352042bfdb9903631fc03cbe901ed684b
MD5sum: 9e0e6b0ca421636f61e38cdb33bd36ed
Description: Command line audio file player with time stretching capabilities
 Yatm (Yet Another Time Machine) is a very simple command line audio player.
 Its main purpose was to play audio files at a different tempo while retaining
 the original sounds pitch.  This is very useful for listening to audiobooks
 at a slightly higher speed than they were originally recorded with.  It can
 also be helpful when listening to musical passages at a slower speed to
 be able to destinguish the different notes more easily.
 .
 Changing the pitch while keeping the tempo is also supported.  Musical
 cents and semitones can be used to specify pitch change.  This makes it
 easy to use for musicians.  The notes you have are in G but the recording
 you'd like to play along with is in F?  No problem, transpose the recording
 up two semitones.  You'd like to play along with this baroque recording
 that uses original pitch?  Just tune the music up about 80 cents and you
 should be fine.

Package: yauap
Version: 0.2.4-3
Architecture: armhf
Maintainer: Anthony Gasperin 
Installed-Size: 83
Depends: libc6 (>= 2.13-28), libdbus-glib-1-2 (>= 0.88), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.14.0), libgstreamer-plugins-base0.10-0 (>= 0.10.12), libgstreamer0.10-0 (>= 0.10.0), gstreamer0.10-plugins-base, gstreamer0.10-plugins-good
Recommends: gstreamer0.10-plugins-ugly
Suggests: gstreamer0.10-plugins-bad, gstreamer0.10-gnomevfs
Homepage: http://www.nongnu.org/yauap/
Priority: optional
Section: sound
Filename: pool/main/y/yauap/yauap_0.2.4-3_armhf.deb
Size: 22226
SHA256: 05a42786903ae5342a3868a55059c1c20bc292e106366d6509e5360bb66ca7ed
SHA1: 287f0420c9227669cdb5d38c0029408f921b0702
MD5sum: f959430654af1c597e8cab9900a85402
Description: simple command line audio player based on GStreamer
 Yauap is a simple commandline audio player based on the GStreamer multimedia
 framework. It also exposes a DBus interface which allows other applications
 to use Yauap as a playback backend for audio files and streams.
 .
 You should install gstreamer0.10-plugins-ugly to get support for popular MPEG
 audio formats like MP3. You should install either gstreamer0.10-plugins-bad
 or gstreamer0.10-gnomevfs to get support for playback of network streams.

Package: yauap-dbg
Source: yauap
Version: 0.2.4-3
Architecture: armhf
Maintainer: Anthony Gasperin 
Installed-Size: 528
Depends: yauap (= 0.2.4-3)
Homepage: http://www.nongnu.org/yauap/
Priority: extra
Section: debug
Filename: pool/main/y/yauap/yauap-dbg_0.2.4-3_armhf.deb
Size: 157012
SHA256: 9090bf6cfa2220a37d4751014cc768c12279dfcb772c30a82fe52f6633a93e68
SHA1: 72403d84038c15878ddbc87fb426b4ea9639b2ac
MD5sum: 950d94e07090384083fbaf13499003d5
Description: debugging symbols for Yauap
 This package provides debugging symbols for binaries shipped in the 'yauap'
 package. You should install this package to get more useful backtrace if you
 are about to report a Yauap crash.

Package: yaws
Version: 1.94-1
Installed-Size: 93
Maintainer: Debian Erlang Packagers 
Architecture: all
Provides: httpd, httpd-cgi
Depends: erlang-yaws (>= 1.94-1), adduser, ssl-cert
Suggests: yaws-doc, yaws-chat, yaws-mail, yaws-wiki, yaws-yapp
Size: 75502
SHA256: ec61784ed8f0992e4b2c1e16900dee0db17a15f444350720e9e223f150c7ee3c
SHA1: a3d2b573ad7c9b40574754e88283cdd6a175bd1e
MD5sum: ff4206efe21222360d7f88ecc585ebff
Description: High performance HTTP 1.1 webserver written in Erlang
 Yaws is a high performance HTTP 1.1 webserver written in Erlang. It is a
 multithreaded webserver where one Erlang light weight process is used to
 handle each client. Two separate modes of operation are supported.
 .
 This package includes binaries and config to run Yaws in standalone mode
 where it works as a regular webserver daemon.
Homepage: http://yaws.hyber.org/
Tag: implemented-in::TODO, interface::daemon, network::server,
 protocol::http, role::program, web::server
Section: httpd
Priority: optional
Filename: pool/main/y/yaws/yaws_1.94-1_all.deb

Package: yaws-chat
Source: yaws
Version: 1.94-1
Installed-Size: 77
Maintainer: Debian Erlang Packagers 
Architecture: all
Depends: erlang-base (>= 1:15.b.1-dfsg) | erlang-base-hipe (>= 1:15.b.1-dfsg), erlang-crypto (>= 1:15.b.1-dfsg), yaws (>= 1.94-1)
Size: 68102
SHA256: 0f3a6ae6c8d479f1ac9f00fba62aa06fe1e85c005ea3211e598a4be5912ea928
SHA1: 128a5837015231b6835c7b884f60a199fc795df4
MD5sum: 00ac647c11eff428330935267296e754
Description: Chat application for Yaws web server
 Yaws-chat allows one to create a very simple web-based chatroom.
Homepage: http://yaws.hyber.org/
Tag: role::plugin, use::chatting
Section: web
Priority: optional
Filename: pool/main/y/yaws/yaws-chat_1.94-1_all.deb

Package: yaws-doc
Source: yaws
Version: 1.94-1
Installed-Size: 2160
Maintainer: Debian Erlang Packagers 
Architecture: all
Replaces: yaws (<< 1.87)
Suggests: erlang-yaws, yaws
Size: 954684
SHA256: fde7e5a8ae2d1d4f53d5843f995bfccd55cf0e1f7b27e798967811f9fd2696f7
SHA1: ea02b3b36ae9d276530239b87e0d1bbbd99a0d59
MD5sum: d9f3458726f37d817e93d3b02e6eb398
Description: Documentation and examples for Yaws web server
 Yaws is a high performance HTTP 1.1 webserver written in Erlang. It is a
 multithreaded webserver where one Erlang light weight process is used to
 handle each client.
 .
 This package includes Yaws documentation and examples.
Homepage: http://yaws.hyber.org/
Tag: devel::TODO, devel::doc, devel::examples, made-of::html, made-of::pdf,
 made-of::postscript, role::documentation, use::learning, web::server
Section: doc
Priority: optional
Filename: pool/main/y/yaws/yaws-doc_1.94-1_all.deb

Package: yaws-mail
Source: yaws
Version: 1.94-1
Installed-Size: 204
Maintainer: Debian Erlang Packagers 
Architecture: all
Depends: erlang-base (>= 1:15.b.1-dfsg) | erlang-base-hipe (>= 1:15.b.1-dfsg), erlang-crypto (>= 1:15.b.1-dfsg), yaws (>= 1.94-1)
Size: 168452
SHA256: 7e3b19d3cb4903b279dd807954a356052909a8096e1633c596f3079d0e9d5c91
SHA1: c92c74d1b42a64e3c149e7b26413b522427e56a3
MD5sum: 8b3fc36073bec33a7f5289681e39bb13
Description: Webmail application for Yaws web server
 Yaws-mail is a small stateless webmail application. It requires
 SMTP and POP3 servers to operate and does not store email locally.
Homepage: http://yaws.hyber.org/
Tag: role::plugin, works-with::mail
Section: web
Priority: optional
Filename: pool/main/y/yaws/yaws-mail_1.94-1_all.deb

Package: yaws-wiki
Source: yaws
Version: 1.94-1
Installed-Size: 272
Maintainer: Debian Erlang Packagers 
Architecture: all
Depends: erlang-base (>= 1:15.b.1-dfsg) | erlang-base-hipe (>= 1:15.b.1-dfsg), yaws (>= 1.94-1)
Size: 212394
SHA256: f461ca02c59f75b71ad8bb1915ff98a0de8fc8555dfdf0a8a92e4e98a3399d5e
SHA1: 146c20d66c5acdba4b41147c439cf8375b657714
MD5sum: d663a384a2ebc0ad366a6b6b15f0d38e
Description: Wiki application for Yaws web server
 Yaws-wiki is a small wiki application, written in Erlang for Yaws
 web server.
Homepage: http://yaws.hyber.org/
Tag: implemented-in::TODO, role::plugin, web::wiki
Section: web
Priority: optional
Filename: pool/main/y/yaws/yaws-wiki_1.94-1_all.deb

Package: yaws-yapp
Source: yaws
Version: 1.94-1
Installed-Size: 121
Maintainer: Debian Erlang Packagers 
Architecture: all
Depends: erlang-base (>= 1:15.b.1-dfsg) | erlang-base-hipe (>= 1:15.b.1-dfsg), erlang-mnesia (>= 1:15.b.1-dfsg), yaws (>= 1.94-1)
Size: 71036
SHA256: abad0846b004f9494024c76986929036e02e81dc577168947076dfed01c66ae1
SHA1: ac5ed38686800d02d18cd990e32796628b94713c
MD5sum: e029e73bac33873443e077df5a22b9ef
Description: Provides an easy way to deploy applications for Yaws web server
 Yaws-yapp (Yaws application handler) is a helper application.
 It takes care of adding, and removing, the applications into a running
 server. When anyone access the server with an URL that matches the path
 for an added application, the docroot will be temporarily switched
 to where the web pages for that application are located.
Homepage: http://yaws.hyber.org/
Section: web
Priority: optional
Filename: pool/main/y/yaws/yaws-yapp_1.94-1_all.deb

Package: yaz
Version: 4.2.30-2
Architecture: armhf
Maintainer: Vincent Danjean 
Installed-Size: 323
Depends: libc6 (>= 2.13-28), libreadline6 (>= 6.0), libtinfo5, libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), libyaz4 (>= 4.2.12)
Conflicts: yaz-runtime, yaz-ssl
Homepage: http://www.indexdata.dk/yaz/
Priority: extra
Section: utils
Filename: pool/main/y/yaz/yaz_4.2.30-2_armhf.deb
Size: 158088
SHA256: 7f79591b5c23460abb9cd3dd65c82bfe77f57fcbcfb9ec90b9d518f1f9724e16
SHA1: 83c1ff30ad121f80fb8ac2c6170f412a5bfa294f
MD5sum: f98dc51be0163b7315120355cf172803
Description: utilities for YAZ Z39.50 toolkit
 YAZ is a toolkit that allows you to develop software using the
 ANSI Z39.50/ISO23950 standard for information retrieval.
 .
 This package includes utility programs.

Package: yaz-doc
Source: yaz
Version: 4.2.30-2
Installed-Size: 747
Maintainer: Vincent Danjean 
Architecture: all
Size: 225488
SHA256: e23c83ebeb21c79b405830316cea02fca1ca41c164b82c3dcfd5a4db38484eea
SHA1: 264a9953d98f6b3afed579bc8a26e610f7cd5afa
MD5sum: e03aeff0ba7dcc8132c2a57853e03909
Description: YAZ Z39.50 toolkit (documentation)
 YAZ is a toolkit that allows you to develop software using the
 Z39.50/ISO23950 standard for information retrieval.
 .
 This package includes documentation for YAZ in HTML and PDF.
Homepage: http://www.indexdata.dk/yaz/
Tag: devel::doc, devel::library, made-of::html, role::documentation,
 use::downloading, works-with::db
Section: doc
Priority: extra
Filename: pool/main/y/yaz/yaz-doc_4.2.30-2_all.deb

Package: yaz-icu
Source: yaz
Version: 4.2.30-2
Architecture: armhf
Maintainer: Vincent Danjean 
Installed-Size: 104
Depends: libc6 (>= 2.13-28), libicu48 (>= 4.8-1), libxml2 (>= 2.7.4), libxslt1.1 (>= 1.1.25), libyaz4 (>= 4.0.1)
Homepage: http://www.indexdata.dk/yaz/
Priority: extra
Section: utils
Filename: pool/main/y/yaz/yaz-icu_4.2.30-2_armhf.deb
Size: 61586
SHA256: 5c9fe7781dc236699329ffe1ea1b0ce484af7807c710135790f40e8430f63ff7
SHA1: dd8b4613167d9fb65b7408fec0737b3e8a6358b3
MD5sum: d61f57b195a8a43375f36915a9e6e9f5
Description: command line utility for ICU utilities of YAZ
 YAZ is a toolkit that allows you to develop software using the
 Z39.50/ISO23950 standard for information retrieval.
 .
 The yaz-icu program is a command-line based client which exposes the ICU
 chain facility of YAZ.

Package: yaz-illclient
Source: yaz
Version: 4.2.30-2
Architecture: armhf
Maintainer: Vincent Danjean 
Installed-Size: 103
Depends: libc6 (>= 2.13-28), libxml2 (>= 2.6.27), libxslt1.1 (>= 1.1.25), libyaz4 (>= 4.0.1)
Homepage: http://www.indexdata.dk/yaz/
Priority: extra
Section: utils
Filename: pool/main/y/yaz/yaz-illclient_4.2.30-2_armhf.deb
Size: 60382
SHA256: 37486de167b2deb7e087b5d55ddd0c4cd0285744f265709fbb8602b011c99f53
SHA1: abf5b6ac2ce5c4098115ac4599a42e5ba3a5e07c
MD5sum: d0f049643b4c543009161222d9f4be82
Description: utility for ISO ILL of YAZ
 YAZ is a toolkit that allows you to develop software using the
 Z39.50/ISO23950 standard for information retrieval.
 .
 The yaz-illclient program is a command-line based client which sends ILL
 requests to a server and decodes the response.

Package: yc-el
Version: 5.0.0-1
Architecture: armhf
Maintainer: Yukiharu YABUKI 
Installed-Size: 310
Depends: emacs23 | emacs21 | xemacs21-mule | xemacs21-mule-canna-wnn | xemacs21-gnome-mule-canna-wnn | xemacs21-gnome-mule | emacs-snapshot, libc6 (>= 2.4)
Suggests: canna
Priority: optional
Section: editors
Filename: pool/main/y/yc-el/yc-el_5.0.0-1_armhf.deb
Size: 72136
SHA256: 21974ca9ff8139bfb593086bf66d194e24f15494f9c2800d674ff30bea052305
SHA1: f6f3db91b8784ec19fad16ec1e711830ed440d68
MD5sum: 31ba777b4d29800f7fe513e02275a54f
Description: Yet another Canna client for Emacsen
 Canna client for emacs21 or xemacs with MULE support.
 yc.el is implemented in pure elisp, allowing use of Canna on
 emacsen without direct support for Canna.
 .
 Canna is a Japanese input method.

Package: yeahconsole
Version: 0.3.4-2.1
Architecture: armhf
Maintainer: Damián Viano 
Installed-Size: 62
Depends: libc6 (>= 2.13-28), libx11-6, xterm (>= 168) | rxvt-unicode | rxvt-unicode-ml | rxvt-unicode-lite | mrxvt
Homepage: http://phrat.de/yeahtools.html
Priority: optional
Section: x11
Filename: pool/main/y/yeahconsole/yeahconsole_0.3.4-2.1_armhf.deb
Size: 12804
SHA256: c3b65ad8550a9c6c2c87e95608062fb74b424ac333990e71344499f8071dd6db
SHA1: b63df4e7b238eb18de876990513d5186563d4825
MD5sum: 7da676e709509623b26ad1422232d3ee
Description: drop-down X terminal emulator wrapper
 Yeahconsole puts an X terminal emulator window on top of your screen that
 drops-down like a console found in many games (similar to quake). It's
 visibility can be toggled by a keyboard shortcut. Currently supports xterm,
 urxvt and mrxvt.

Package: yelp
Version: 3.4.2-1
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 2478
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.28.0), libgtk-3-0 (>= 3.0.0), libwebkitgtk-3.0-0 (>= 1.3.10), libyelp0 (= 3.4.2-1), dconf-gsettings-backend | gsettings-backend, yelp-xsl (>= 3.1.2), man-db (>= 2.5.1-1)
Recommends: ttf-dejavu, gnome-user-guide
Homepage: http://live.gnome.org/Yelp
Priority: optional
Section: gnome
Filename: pool/main/y/yelp/yelp_3.4.2-1_armhf.deb
Size: 547084
SHA256: eac01f045d06ecb1bc7e708c5691f69023fc1ba1c78dd33d956675831c840c04
SHA1: 7a88c9e6dcecfde8abdb565e186d36fb69b5dfb1
MD5sum: bc75d5feb08fd43c235e8bfc80bf86b0
Description: Help browser for GNOME
 Yelp is the help browser for the GNOME desktop.  Yelp provides a simple
 graphical interface for viewing DocBook, Mallard, HTML, man, and info
 formatted documentation.

Package: yelp-tools
Version: 3.4.1-1
Installed-Size: 294
Maintainer: Debian GNOME Maintainers 
Architecture: all
Depends: xsltproc, libxml2-utils, itstool
Recommends: zip
Size: 190184
SHA256: 1aa9dc1d7fb8776804300e4a3a230528265380e935bf5edecd7de1466c06c375
SHA1: 2597f64d6b6397bd7843e4e4850906d9844001b7
MD5sum: 28b992661f86963952af72acbd5cc5d9
Description: Yelp documentation tools
 A set of command-line tools to build and check your documentation, as well
 as an M4 file to use in your autotools-based build chain.
Tag: devel::docsystem, interface::commandline, role::program, scope::utility
Section: gnome
Priority: extra
Filename: pool/main/y/yelp-tools/yelp-tools_3.4.1-1_all.deb

Package: yelp-xsl
Version: 3.4.2-1
Installed-Size: 2001
Maintainer: Debian GNOME Maintainers 
Architecture: all
Size: 540468
SHA256: 291086ac8f66cac93bf686f1b7b5b0d2f1d38376aa518dd5cdd42e6ad8d1af8c
SHA1: 2aa4991e37ab30396964fe81b2fbdec4f6061b42
MD5sum: 079ad4fb35f311a0688f61d88e64ae63
Description: XSL stylesheets for the yelp help browser
 Yelp-xsl contains XSL stylesheets that are used by the yelp help browser
 to format Docbook and Mallard documents.
Tag: role::app-data
Section: gnome
Priority: extra
Filename: pool/main/y/yelp-xsl/yelp-xsl_3.4.2-1_all.deb

Package: yersinia
Version: 0.7.1-1.1
Architecture: armhf
Maintainer: Raphael Enrici 
Installed-Size: 354
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.8.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk2.0-0 (>= 2.8.0), libncurses5 (>= 5.5-5~), libnet1 (>= 1.1.2.1), libpango1.0-0 (>= 1.14.0), libpcap0.8 (>= 0.9.8), libtinfo5
Priority: optional
Section: admin
Filename: pool/main/y/yersinia/yersinia_0.7.1-1.1_armhf.deb
Size: 160520
SHA256: ac54e8adbb91ec1e51f7834e04de47ca98e5f921fce7ed4dc932212795bdff2d
SHA1: c81bc672ff60f8f4784a34074e6780e9d6b9964c
MD5sum: bfec63b7d8cbdc836a11ec6bfc9fe54f
Description: Network vulnerabilities check software
 Yersinia is a framework for performing layer 2 attacks. It is designed
 to take advantage of some weakeness in different network protocols. It
 pretends to be a solid framework for analyzing and testing the deployed
 networks and systems.
 .
 Attacks for the following network protocols are implemented in this
 particular release:
  - Spanning Tree Protocol (STP).
  - Cisco Discovery Protocol (CDP).
  - Dynamic Trunking Protocol (DTP).
  - Dynamic Host Configuration Protocol (DHCP).
  - Hot Standby Router Protocol (HSRP).
  - 802.1q.
  - 802.1x.
  - Inter-Switch Link Protocol (ISL).
  - VLAN Trunking Protocol (VTP).
 .
  Homepage: http://www.yersinia.net/

Package: yforth
Version: 0.1beta-23
Architecture: armhf
Maintainer: Bdale Garbee 
Installed-Size: 98
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0)
Priority: optional
Section: interpreters
Filename: pool/main/y/yforth/yforth_0.1beta-23_armhf.deb
Size: 30616
SHA256: 05901550ac435c72204ba91b671cb8b0751fe9db7b4bf7438896ff33f5c44fcb
SHA1: 4f223d9daf722c9ca9bab44763c38e1f7cb53f0b
MD5sum: 11ab8ec4b01b462f0f3a1a4dd6a3fd7b
Description: small freeware Forth environment in ANSI C
 yForth? is an interestingly small implementation of Forth for Linux.  It
 suffers several deficiencies.  For general Forth programming or learning
 under Linux, yForth? is not the best choice.
 .
 From the author's README:
 .
 yForth? is a Forth environment written entirely in ANSI C, making it
 extremely portable. The first thing I want to tell you about yForth? is
 that it seems a joke compared to other systems such as gForth or PFE.
 .
 Nevertheless, you could find yForth? nice, in which case you're invited to
 explore yForth?
 .
 It's yours, you can make anything you want with it. If you want an explanation
 of the words provided by yForth? please refer to the draft of ANS Forth or
 something equivalent.  The Net will help you.
 .
 Do not expect the prompt "ok" to come up when you run yForth?, the standard
 says that "ok" shall be printed AFTER every successful command execution...

Package: ygraph
Version: 0.16~cvs20090218-1.1
Architecture: armhf
Maintainer: Daniel Kobras 
Installed-Size: 151
Depends: libatk1.0-0 (>= 1.12.4), libbz2-1.0, libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libjpeg8 (>= 8c), libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libpopt0 (>= 1.14), libtiff4 (>> 3.9.5-3~), libx11-6, zlib1g (>= 1:1.1.4)
Priority: optional
Section: science
Filename: pool/main/y/ygraph/ygraph_0.16~cvs20090218-1.1_armhf.deb
Size: 70994
SHA256: d7eb1fabfdcb39ef6d2a6acb82093bd1758ef08ef2b8a6f7a0af8471784de75d
SHA1: e27b6dc05ddac98c413654230bf2dcca7c254904
MD5sum: 0ebf6e70880f3496573bcee5b6ad6e44
Description: plot and animate data sets
 The ygraph tool graphs one-dimensional data or displays a time-series of
 one-dimensional data sets. It is an enhanced alternative to the xgraph
 utility and supports the same input format as xgraph.  Additionally,
 ygraph features:
 .
  * animating multiple plots simultaneously and synchronously;
  * stepping back and forth through animations one frame at a time;
  * zooming, similar to xgraph but without opening a lot of new windows;
  * plotting derivatives;
  * subtracting plots;
  * convergence tests;
  * reading compressed files;
  * exporting images and animations.

Package: yhsm-docs
Source: python-pyhsm
Version: 1.0.4-1
Installed-Size: 801
Maintainer: Yubico Open Source Maintainers 
Architecture: all
Suggests: python-pyhsm (= 1.0.4-1)
Size: 83716
SHA256: 3e79f11d5c5ac6167a2b85f6e56bf1e438745fe63b25531495f1f403541f4446
SHA1: c55fd405e52ce55361e468b06ff5807247c7a7dd
MD5sum: 61ced9da957f2f2addf0b6231730e1dd
Description: python-pyhsm documentation
 Complete API documentation (in HTML format), as well as other assorted
 documentation regarding pyhsm and related applications.
 .
 The documentation is generated from the pydoc annotations (using epydoc)
 but the HTML format might be preferable since it allows you to click on
 references for example.
Homepage: https://github.com/Yubico/python-pyhsm
Section: doc
Priority: extra
Filename: pool/main/p/python-pyhsm/yhsm-docs_1.0.4-1_all.deb

Package: yhsm-tools
Source: python-pyhsm
Version: 1.0.4-1
Installed-Size: 73
Maintainer: Yubico Open Source Maintainers 
Architecture: all
Depends: python, python-argparse, python-pyhsm (= 1.0.4-1)
Size: 21084
SHA256: b8d0b13943efb07ff8a6bd35668b54de6b7445aece83d8ff89d0f5492d79ce13
SHA1: 036e5b46dd7a617dc780105f4682368303e772d0
MD5sum: 0cfe836272df74f85f423c0ab819f7f5
Description: Common files for YubiHSM applications
 YubiHSM is an easy to use and affordable crypto appliance
 that you connect to the USB port of a server. You can then
 store cryptographic keys on the YubiHSM and use them from the
 server without any possibility for an attacker to extract
 the crypto keys from the YubiHSM.
 .
 Supported operations include YubiKey OTP validation, AES ECB
 encrypt/decrypt/decrypt-compare, HMAC-SHA1 hashing (enabling OATH code
 validation), and Random number entropy generation.
 .
 This package includes the following utilities :
 .
   * yhsm-keystore-unlock - Keystore unlock
   * yhsm-linux-add-entropy - Entropy seeder
Homepage: https://github.com/Yubico/python-pyhsm
Section: python
Priority: optional
Filename: pool/main/p/python-pyhsm/yhsm-tools_1.0.4-1_all.deb

Package: yhsm-validation-server
Source: python-pyhsm
Version: 1.0.4-1
Installed-Size: 105
Maintainer: Yubico Open Source Maintainers 
Architecture: all
Depends: python, adduser, python-pyhsm (= 1.0.4-1), python-argparse, yhsm-tools
Size: 28070
SHA256: 089e368c00f1b2bfafe73242d75b3ed851469298675568213fa222615ccfce78
SHA1: a302c1038a0c71ae753374b6ed1fb4ccf08f470c
MD5sum: 88d0da6c09d81e934ddd96a00454d666
Description: Validation server using YubiHSM
 This package validates YubiKey OTP's, OATH codes or password hashes
 using YubiHSM.
 .
 The interface is a REST API with a simple web server listening on
 localhost only (per default). It can function as a drop-in replacement
 for the traditional PHP based Yubico validation server, except that
 it does not provide the advanced replication features of that server.
Homepage: https://github.com/Yubico/python-pyhsm
Section: python
Priority: optional
Filename: pool/main/p/python-pyhsm/yhsm-validation-server_1.0.4-1_all.deb

Package: yhsm-yubikey-ksm
Source: python-pyhsm
Version: 1.0.4-1
Installed-Size: 104
Maintainer: Yubico Open Source Maintainers 
Architecture: all
Depends: python, adduser, python-pyhsm (= 1.0.4-1), python-argparse, yhsm-tools
Size: 23310
SHA256: 6b3d15735a95becf500d662fcbba19ca9fabd2af396541c682f7ec32b494602a
SHA1: abd224bc18653dba1f84db99fd3e9598098515db
MD5sum: 4bfd7b634493d1e314aa5581f9faf2b3
Description: Yubikey Key Storage Module using YubiHSM
 Decryption backend for a Yubico validation service. Uses the
 YubiHSM to decrypt YubiKey OTPs and answer 'OK' or 'ERR'.
 .
 This package provides the decryption backend for a Yubico validation
 service. It uses the YubiHSM to decrypt YubiKey OTPs and answer 'OK'
 or 'ERR'.
Homepage: https://github.com/Yubico/python-pyhsm
Section: python
Priority: optional
Filename: pool/main/p/python-pyhsm/yhsm-yubikey-ksm_1.0.4-1_all.deb

Package: yics
Version: 0.1.2-3
Architecture: armhf
Maintainer: Chris Howie 
Installed-Size: 126
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Suggests: xboard | eboard
Priority: optional
Section: games
Filename: pool/main/y/yics/yics_0.1.2-3_armhf.deb
Size: 51830
SHA256: 253b06be5ae07e81dde93264bd00da22c92e45da9f7b1fd7504cc02b62fd98b0
SHA1: 3cc902e61c09f89195120cd13f9331a352d3f1df
MD5sum: 59a423c1072e28df6806f5a6fd787e97
Description: Yahoo! Chess client for use with FICS interfaces
 This program connects to any of the free Yahoo! Chess lobbies and pretends to
 be a FICS server, allowing many of the free FICS interfaces to be used on
 Yahoo! Chess.  YICS can be thought of as a protocol translator or adapter: the
 binary Yahoo! Games protocol goes in one end and the FICS server protocol
 comes out the other, and vice-versa.
 .
 YICS was tested the most with xboard, and works quite well with it.  If you
 prefer, you can use YICS without any graphical interface just as you can
 telnet to FICS.
 .
  Homepage: http://www.yics.org

Package: yiyantang
Version: 0.7.0-3.1
Architecture: armhf
Maintainer: Yu Guanghui 
Installed-Size: 74
Depends: libc6 (>= 2.13-28), libhz0 (>= 0.3.14-1)
Priority: optional
Section: text
Filename: pool/main/y/yiyantang/yiyantang_0.7.0-3.1_armhf.deb
Size: 26046
SHA256: a9174631848c2cd7062bbd77828b04ebfdc6327a7d28669b32d95666197ffb14
SHA1: a4087f997c7c1c91c2270d23f44d4f9529f4fe36
MD5sum: f3326b7f06eae3d1167b3ca210fd0fdd
Description: Terminal-based Chinese automatic encoding converter
 yyt is a pseudo-tty program that converts Chinese terminal output
 encoded in GB/BIG5 to a preset encoding automatically. It is
 useful for users who have to work with multiple Chinese encoding
 in console applications. Now it also converts input to the incoming
 encoding if the incoming encoding is set explicitly.

Package: yocto-reader
Version: 0.9.4
Installed-Size: 1420
Maintainer: Loic Dachary (OuoU) 
Architecture: all
Size: 536498
SHA256: 68004ab4c616ac9a06a84b837726bac1eee8e82cebe3b614557ed5d067984cec
SHA1: 219b039f73c2efc2a9f959c31145496c291fc7b3
MD5sum: 79075e673a8a669b791090d79adf0989
Description: web based RSS reader
 Yocto-reader is a prototype of a web based RSS reader written in
 Javascript. In this release the GUI front end is fully functional but
 does not have a backend available yet.
Tag: implemented-in::ecmascript, role::program, works-with-format::xml:rss
Section: web
Priority: extra
Filename: pool/main/y/yocto-reader/yocto-reader_0.9.4_all.deb

Package: yodl
Version: 3.00.0-6+deb7u1
Architecture: armhf
Maintainer: Frank B. Brokken 
Installed-Size: 326
Depends: libc6 (>= 2.13-28)
Suggests: yodl-doc
Priority: optional
Section: text
Filename: pool/main/y/yodl/yodl_3.00.0-6+deb7u1_armhf.deb
Size: 140162
SHA256: edbba1c8513e33d0cf5ed2949a0a30cfac8299bc6f136db3ae4d8eae57ed10ca
SHA1: bf6d24aca084a42a76c808924d97932c84500252
MD5sum: 4ce048e11cb0b68b08acfd9a02d6766c
Description: Your Own Document Language (Yodl) is a pre-document language
 Yodl is a package that implements a pre-document language and tools to
 process it.  The idea of Yodl is that you write up a document in a
 pre-language, then use the tools (e.g. yodl2html) to convert it to some
 final document language.  Current converters are for HTML, man, LaTeX
 SGML and texinfo, a poor-man's text converter and an experimental xml
 converter. Main document types are
 "article", "report", "book", "manpage" and "letter".
 The Yodl document language was  designed to be easy to use and extensible.

Package: yodl-doc
Source: yodl
Version: 3.00.0-6+deb7u1
Installed-Size: 1386
Maintainer: Frank B. Brokken 
Architecture: all
Size: 1141024
SHA256: c115f04853a2bd84d0b31429cd201ce498861006366edbe7e4a7737ab35dab52
SHA1: af6e048beed78733df3e954662ec68c387353b32
MD5sum: 15b9627b643ab2a2a1083090702d1a7b
Description: Documenation for Your Own Document Language (Yodl)
 Yodl is a package that implements a pre-document language and tools to
 process it.  The idea of Yodl is that you write up a document in a
 pre-language, then use the tools (e.g. yodl2html) to convert it to some
 final document language.  Current converters are for HTML, man, LaTeX
 SGML and texinfo, a poor-man's text converter and an experimental xml
 converter. Main document types are
 "article", "report", "book", "manpage" and "letter".
 The Yodl document language was  designed to be easy to use and extensible.
 .
 This package provides the supplemental documentation for Yodl.
Section: doc
Priority: optional
Filename: pool/main/y/yodl/yodl-doc_3.00.0-6+deb7u1_all.deb

Package: yokadi
Version: 0.13.0-2
Installed-Size: 568
Maintainer: Kartik Mistry 
Architecture: all
Depends: python2.6 | python2.7, python (>= 2.6.6-7~), python (<< 2.8), python-crypto, python-dateutil, python-sqlobject, sqlite3
Size: 68400
SHA256: 38885b76cfce804c270f68f0d84cb17a764db25d2ddc4879fd64f97a11714c84
SHA1: f1b0562e874711afeeab1c0d1fe11e58a78ebe8b
MD5sum: c8980d8b88bafe71716f700e2811705d
Description: commandline todo system
 Yokadi is a command-line oriented, SQLite powered, TODO list tool.  It helps
 you organize all the things you have to do and you must not forget. It aims to
 be simple, intuitive and very efficient.
 .
 In Yokadi you manage projects, which contains tasks. At the minimum, a task
 has a title, but it can also have a description, a due date, an urgency or
 keywords. Keywords can be any word that help you to find and sort your tasks.
Homepage: http://yokadi.github.com/
Tag: implemented-in::python, interface::commandline, role::program,
 scope::application, works-with::pim, works-with::text
Section: utils
Priority: extra
Filename: pool/main/y/yokadi/yokadi_0.13.0-2_all.deb

Package: yorick
Version: 2.2.02+dfsg-6
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 1454
Depends: yorick-data (= 2.2.02+dfsg-6), libc6 (>= 2.13-28), libx11-6, dpkg (>= 1.15.4) | install-info, debconf
Recommends: rlwrap, yorick-z
Suggests: yorick-full, yorick-dbg, yorick-mpy-openmpi | yorick-mpy-mpich2, emacsen, curl
Conflicts: yorick-yutils (<= 1.5.0)
Breaks: yorick-curses (<< 0.1-4~), yorick-imutil (<< 0.5.4-2~), yorick-spydr (<< 0.8.0-2~)
Replaces: yorick-data (<< 2.1.04+dfsg-1)
Homepage: http://yorick.sourceforge.net
Priority: extra
Section: science
Filename: pool/main/y/yorick/yorick_2.2.02+dfsg-6_armhf.deb
Size: 756018
SHA256: 5e72233cbeaec68fa7b3a955cc1379311e7da707174d7df43ef4f2f03f4d6fdc
SHA1: c79771729742d362f0b65e01a51f727acfe35763
MD5sum: 8dc6dfb611013d66f67c76fdc1044244
Description: interpreted language and scientific graphics
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The language features a compact syntax for many common array
 operations, so it processes large arrays of numbers very quickly and
 efficiently.  Superficially, yorick code resembles C code, but yorick
 variables are never explicitly declared and have a dynamic scoping
 similar to many Lisp dialects.  The yorick language is designed to be
 typed interactively at a keyboard, as well as stored in files for
 later use.
 .
 This package includes an emacs-based development environment, which
 you can launch by typing M-x yorick in emacs.
 .
 Many add-on packages and plug-ins are available for Yorick (the
 package names begin with "yorick-"). You may want to install the
 yorick-full metapackage which depends on the vast majority of them.

Package: yorick-av
Version: 0.0.1-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 109
Depends: yorick (>= 2), libavcodec53 (>= 5:0.8-2~) | libavcodec-extra-53 (>= 5:0.8-2~), libavformat53 (>= 5:0.8-2~), libavutil51 (>= 5:0.8-2~), libc6 (>= 2.13-28), libswscale2 (>= 5:0.8-2~)
Homepage: http://github.com/paumard/yorick-av
Priority: extra
Section: science
Filename: pool/main/y/yorick-av/yorick-av_0.0.1-2_armhf.deb
Size: 13170
SHA256: aec8404eb52d93635eef467d22c54f262f12433caa33a7fcd81759ac1bb388b5
SHA1: 4cebf5d4b3d847f4b27908d33a89fbd6bbd51dba
MD5sum: 53051a0ceecff1fb0e877815103eff62
Description: write movies from Yorick in various formats
 This is a compiled add-on for yorick (an interpreted computer
 language specialized for numerical and scientific problems).
 Yorick-mpeg adds support for writing movies in various formats (AVI,
 OGG, MP4...) using LibAV. It can be used as a replacement for
 yorick-mpeg which only produces MPEG1 movies.

Package: yorick-cubeview
Version: 1.6-2
Installed-Size: 269
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: yorick (>= 2.1.05), yorick-yutils (>= 1.3), python-glade2, python
Size: 44726
SHA256: 41631dee07dc7f4a43df9a0e9829cb9b66dae1f05bbc812d3b84bcb6146c7b2e
SHA1: 928561b68e00cd2bb4b034529f0c8b653a1cc8ee
MD5sum: 5523c9740d2998d14e72861720f5e0d2
Description: 3D FITS data viewer specialized in spectro-imaging
 Cubeview is a viewer for 3D data store in FITS files, a file format
 extensively used among astronomers. It is specialized in displaying
 spectro-imaging data but can be used to do basic visualization on any
 FITS file containing a 3D array.
 .
 Cubeview features a stand-alone graphical user interface which
 adheres to the GTK+ look-and-feel. Being written (mostly) in
 Yorick, it is inherently scriptable. A system of hooks allows custom
 actions to be repeated automatically when certain events occur.
Homepage: http://github.com/paumard/yorick-cubeview
Tag: field::astronomy, implemented-in::TODO, implemented-in::python,
 interface::shell, interface::x11, role::plugin, role::program,
 scope::utility, suite::TODO, uitoolkit::gtk, use::viewing,
 works-with-format::TODO, works-with::image, works-with::image:raster,
 x11::application
Section: science
Priority: extra
Filename: pool/main/y/yorick-cubeview/yorick-cubeview_1.6-2_all.deb

Package: yorick-curses
Version: 0.1-6
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 90
Depends: yorick (>= 1.6.02), yorick-yutils, libc6 (>= 2.13-28), libncurses5 (>= 5.5-5~), libtinfo5
Priority: extra
Section: science
Filename: pool/main/y/yorick-curses/yorick-curses_0.1-6_armhf.deb
Size: 11994
SHA256: 3529fe4a86fead232c0943f5620975969d09ad9d167caa3a2146122100119885
SHA1: b639b920d80883c07dbe19416fd1372d726a83ce
MD5sum: 4a1d0b209f2dcbac4d8559b143582921
Description: interface to the (n)curses library for the Yorick language
 Yorick-curses enables programs written in Yorick (an interpreted computer
 language specialized for numerical and scientific problems) to use the
 (n)curses library, which allows fine control of the cursor and output in a
 terminal session.

Package: yorick-data
Source: yorick
Version: 2.2.02+dfsg-6
Installed-Size: 1925
Maintainer: Debian Science Maintainers 
Architecture: all
Recommends: yorick (>= 2.2.02+dfsg-6)
Size: 555064
SHA256: f14c517fd9d26cc67ab4ac576410389585e554a39390233345d6fb5e69e463d4
SHA1: 1d297935f6badc5259ccfe0a0955845cfa7615ea
MD5sum: fc7818622f5fa8e1b9f2a8f0e83f0faa
Description: interpreted library for the Yorick language
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The yorick-data package contains all the architecture-independent data, which
 is mostly the standard interpreted library.
Homepage: http://yorick.sourceforge.net
Tag: devel::TODO, implemented-in::TODO, role::app-data
Section: science
Priority: extra
Filename: pool/main/y/yorick/yorick-data_2.2.02+dfsg-6_all.deb

Package: yorick-dbg
Source: yorick
Version: 2.2.02+dfsg-6
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 7053
Depends: yorick (= 2.2.02+dfsg-6)
Homepage: http://yorick.sourceforge.net
Priority: extra
Section: debug
Filename: pool/main/y/yorick/yorick-dbg_2.2.02+dfsg-6_armhf.deb
Size: 2768856
SHA256: fcba67d9db4beb4a2034ba980cf5ba49af99bbe0346f66de09ce1dcccc57747e
SHA1: 7f5228db7fb774ac7730a8dd7f63ad46e3ee3425
MD5sum: 0eae29ad5666f44e87eda26b6435758d
Description: debugging symbols for Yorick
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 This package contains the debugging symbols for the yorick
 executable.

Package: yorick-dev
Source: yorick
Version: 2.2.02+dfsg-6
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 1759
Depends: yorick (= 2.2.02+dfsg-6), libc6 (>= 2.13-28)
Homepage: http://yorick.sourceforge.net
Priority: extra
Section: science
Filename: pool/main/y/yorick/yorick-dev_2.2.02+dfsg-6_armhf.deb
Size: 696478
SHA256: 809415b8d3fdc8c6135fd4319699fa11c6f00ae4315efdfae74168ede4347278
SHA1: 6e084a93bdec2ac04f56430e93000585397dec54
MD5sum: a08209be3179468c6e083723c9a61fb1
Description: development files for the Yorick interpreted language
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The yorick-dev package contains the files needed to compile (or package)
 plug-ins or custom `yorick' executables.

Package: yorick-doc
Source: yorick
Version: 2.2.02+dfsg-6
Installed-Size: 2557
Maintainer: Debian Science Maintainers 
Architecture: all
Recommends: yorick (>= 2.1.04+dfsg+cvs20071130)
Size: 1377144
SHA256: a9403d8237c21f441338a0fad1d1899b2d50f93d1bdcfb8a13f9d1330581f083
SHA1: 270aa7fa156c86201547cd147f0bf1f91480b9d0
MD5sum: 71b3b08e1b986174fedc239d6cc023b6
Description: documentation for the Yorick interpreted language
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The Yorick package ships with little documentation: a manpage, the
 Yorick Manual in info format, and its own "help" command. This
 package adds the Yorick Manual and Quick Reference in PDF and HTML
 format and a utility (update-yorickdoc) to build HTML documentation
 for the set of functions (from Yorick and add-on packages) installed
 on this machine. By default, these pages are built and updated
 automatically whenever necessary.
 .
 Once this package is installed, simply point your preferred web
 browser to file:///usr/share/doc/yorick-doc/README.html .
Homepage: http://yorick.sourceforge.net
Tag: devel::doc, implemented-in::TODO, interface::commandline,
 role::documentation, role::program, scope::utility, works-with::text
Section: doc
Priority: extra
Filename: pool/main/y/yorick/yorick-doc_2.2.02+dfsg-6_all.deb

Package: yorick-full
Source: yorick
Version: 2.2.02+dfsg-6
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 35
Depends: yorick, yorick-dev, yorick-doc, yorick-av, yorick-cubeview, yorick-curses, yorick-gl, yorick-gyoto, yorick-hdf5, yorick-imutil, yorick-mira, yorick-ml4, yorick-mpeg, yorick-optimpack, yorick-soy, yorick-spydr, yorick-svipc, yorick-yao, yorick-yeti, yorick-yeti-fftw, yorick-yeti-gsl, yorick-yeti-regex, yorick-yeti-tiff, yorick-yutils, yorick-z
Suggests: yorick-mpy-openmpi | yorick-mpy-mpich2
Homepage: http://yorick.sourceforge.net
Priority: extra
Section: science
Filename: pool/main/y/yorick/yorick-full_2.2.02+dfsg-6_armhf.deb
Size: 9600
SHA256: 18c5d0f7b58afd12f5d2774cd3d53d1938d4f573098522bdd767c9467bf2439d
SHA1: cb2dfa52f3a89afd88df84c0290c10a5098971d5
MD5sum: ca76c9854e39815900e57ac724a383e3
Description: full installation of the Yorick interpreter and add-ons
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The yorick-full metapackage installs Yorick together with the full set
 of add-ons packaged for Debian.
 .
 You may prefer to only install the yorick package and cherry-pick the
 yorick-* add-ons you need.
 .
 If you need MPY, the MPI parallel version of Yorick, please install
 either yorick-mpy-openmpi or yorick-mpy-mpich2 in addition.

Package: yorick-gl
Version: 1.1+cvs20070922+dfsg-6
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 584
Depends: yorick (>= 1.6.02), libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libx11-6, libxext6
Homepage: http://yorick.sourceforge.net
Priority: extra
Section: science
Filename: pool/main/y/yorick-gl/yorick-gl_1.1+cvs20070922+dfsg-6_armhf.deb
Size: 161498
SHA256: 2f2e763e203474b7bdbd7f95f163b0829f0f6f88dd8717d6897688585c652dad
SHA1: bc8edb0699922ad5055ae138b09286012b758aa9
MD5sum: 7edb254b9260a45d6c54f9ecb2567ab4
Description: OpenGL 3D graphics support for the Yorick language
 This is a compiled add-on for yorick (an interpreted computer language
 specialized for numerical and scientific problems).  Yorick-gl adds
 support for OpenGL 3D graphics.

Package: yorick-gyoto
Source: gyoto
Version: 0.0.3-5
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 502
Depends: libc6 (>= 2.13-28), libcfitsio3 (>= 3.060), libgcc1 (>= 1:4.4.0), libgyoto0, libstdc++6 (>= 4.4.0), libxerces-c3.1, python-glade2, python, yorick (>= 2.1.05), yorick-yutils (>= 1.3)
Homepage: http://gyoto.obspm.fr
Priority: extra
Section: science
Filename: pool/main/g/gyoto/yorick-gyoto_0.0.3-5_armhf.deb
Size: 140284
SHA256: 2a8673052db97197942fdfdaf4d63228ad9f5ef84c574df1c73b4f02323570c9
SHA1: 477bb9357f9886d885080ee569948013d464af5b
MD5sum: 3b72ec551c8f576f96cbdcd9902c404a
Description: General relativistic geodesic integration for the Yorick language
 Gyoto aims at providing a framework for computing orbits and
 ray-traced images in General relativity.
 .
 This package provides a plug-in for the Yorick programing language
 exposing the Gyoto facilities. It allows using Gyoto interactively
 from the yorick prompt or running complex Gyoto scripts.

Package: yorick-hdf5
Version: 0.8.0-4
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 170
Depends: yorick (>= 1.6.02), libc6 (>= 2.13-28), libhdf5-7, zlib1g (>= 1:1.1.4)
Priority: extra
Section: science
Filename: pool/main/y/yorick-hdf5/yorick-hdf5_0.8.0-4_armhf.deb
Size: 37540
SHA256: 31a5f9bc306232f35fb5149477765e179a1e8d3302db652d5f20fca0d4e7f192
SHA1: be2510b1bffea742c84faca9380866324af959c1
MD5sum: c7af354bd5e1e801dcf1537c188f8abc
Description: Hierarchical Data Format 5 interface for the Yorick language
 HDF5 is the yorick interface plugin to the NCSA Hierarchical Data Format
 version 5. It includes function for reading, writing, updating, getting
 information on HDF5 files.

Package: yorick-imutil
Version: 0.5.7-3
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 127
Depends: yorick (>= 2.1.06), yorick-yutils (>= 0.5), libc6 (>= 2.13-28)
Priority: extra
Section: science
Filename: pool/main/y/yorick-imutil/yorick-imutil_0.5.7-3_armhf.deb
Size: 26024
SHA256: 3b81cc30c11753f3c7519ae70dc3a6589c7c108ebb5bc87818e24aff856e46e7
SHA1: 6e6aeb6649ce2f9372ee8e874236791df41997e9
MD5sum: 1da9d270293dc9c3799d550400111386
Description: fast image manipulation routines for the Yorick language
 Compiled routines for basic but fast image manipulation in yorick (an
 interpreted computer language specialized for numerical and scientific
 problems). Includes 2d bilinear and spline2 interpolation, clipping, 2d dist
 generator, binning, image rotation, cartesian to polar coordinate transform,
 gaussian and poisson random generator, fast sort and fast median. All of
 these functions, with the exceptions of spline2, exist in yorick or the
 yutils package, but these versions are 2 to 10x faster, being specialized for
 2d arrays (hence the name imutil). This plugin is 64bits safe.

Package: yorick-mira
Version: 0.9.10+dfsg-1
Installed-Size: 384
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: yorick-yeti (>= 6.3.1), yorick-yeti-fftw (>= 6.3.1), yorick-yutils, yorick-optimpack (>= 1.3), yorick (>= 2.1.05)
Size: 94060
SHA256: 93437bd1c209ffc401f0b8dd4049ac92048b0158b4542e064cefa6ac044b0a94
SHA1: bf213273d9380fa46910373f9722e3339e47ae2f
MD5sum: d5245b0774e947f019bab8bf0a5fb843
Description: optical interferometry image reconstruction within Yorick
 MiRA is an algorithm for image reconstruction from data provided by
 optical interferometers. It is written in the Yorick language and
 operated through the Yorick interpreter.
 .
 MiRA won the 2008' Interferometric Imaging Beauty Contest organized
 by International Astronomical Union (IAU) to compare the image
 synthesis algorithms designed for optical interferometry.  In a
 nutshell, MiRA proceeds by direct minimization of a penalized
 likelihood. This penalty is the sum of two terms: a likelihood term
 (typically a χ2) which enforces agreement of the model with the data,
 plus a regularization term to account for priors. The priors are
 required to lever the many degeneracies due to the sparseness of the
 spatial frequency sampling. MiRA implements many different
 regularizations (quadratic or edge-preserving smoothness, total
 variation, maximum entropy, etc.) and let the user defines his own
 priors. The likelihood penalty is modular and designed to account for
 available data of any kind (complex visibilities, powerspectra and/or
 closure phase). One of the strength of MiRA is that it is purely
 based on an inverse problem approach and can therefore cope with
 incomplete data set; for instance, MiRA can build an image without
 any Fourier phase information. Input data must be in OI-FITS format.
Homepage: http://www-obs.univ-lyon1.fr/labo/perso/eric.thiebaut/mira.html
Section: science
Priority: extra
Filename: pool/main/y/yorick-mira/yorick-mira_0.9.10+dfsg-1_all.deb

Package: yorick-ml4
Version: 0.6.0-3
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 91
Depends: yorick (>= 1.6.02), libc6 (>= 2.13-28)
Priority: extra
Section: science
Filename: pool/main/y/yorick-ml4/yorick-ml4_0.6.0-3_armhf.deb
Size: 13802
SHA256: f95bd15595feefdc72c2da1887b6e3c365d60fdfc0c0007ffb5860a83d92e207
SHA1: 4dece5bf2ace7ab9f8008858113fb32f5a7b15ec
MD5sum: 1f4bae5d6285c463995b51fc361ab2f2
Description: Matlab file format support for the Yorick language
 This is a compiled add-on for yorick (an interpreted computer
 language specialized for numerical and scientific problems).
 Yorick-ml4 adds read and write support for the file format produced by the
 commercial product Matlab 4.

Package: yorick-mpeg
Version: 0.1-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 150
Depends: yorick (>= 1.6.02), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Suggests: yorick-av
Homepage: http://github.com/dhmunro/yorick-mpeg
Priority: extra
Section: science
Filename: pool/main/y/yorick-mpeg/yorick-mpeg_0.1-2_armhf.deb
Size: 54600
SHA256: 39d85ed6c431c8cf317152c06ffcca8817bd23abe4a3eae0f23802a77ddc45d5
SHA1: 7f57c0a32b3cd893bc0a9c942d80b5ac61d9952e
MD5sum: 5dcc3da20188294fb19ec69746c46418
Description: MPEG output support for the Yorick language
 This is a compiled add-on for yorick (an interpreted computer
 language specialized for numerical and scientific problems).
 Yorick-mpeg adds support for writing MPEG1 movies. You may
 be interested in the yorick-av package instead, which adds support
 for more movie file formats.

Package: yorick-mpy-common
Source: yorick
Version: 2.2.02+dfsg-6
Installed-Size: 132
Maintainer: Debian Science Maintainers 
Architecture: all
Recommends: yorick-mpy-openmpi (>= 2.2.02+dfsg-6) | yorick-mpy-mpich2 (>= 2.2.02+dfsg-6)
Size: 33738
SHA256: c597ed66ce57afce00bcec282c6d4da1a0483d5d1c3e514fee5aa27f3b3580fe
SHA1: d88ef44bc83c219bb7b0d4be90908a529e284161
MD5sum: 04bf648c1f925c540e7828ad22bfdccd
Description: Message Passing Yorick (common files)
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The MPY is a parallel version of Yorick based on the Message Passing
 Interface (MPI). This package contains the files common to all
 builds of MPY.
Homepage: http://yorick.sourceforge.net
Tag: role::app-data
Section: science
Priority: extra
Filename: pool/main/y/yorick/yorick-mpy-common_2.2.02+dfsg-6_all.deb

Package: yorick-mpy-mpich2
Source: yorick
Version: 2.2.02+dfsg-6
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 1048
Depends: yorick (= 2.2.02+dfsg-6), yorick-mpy-common (= 2.2.02+dfsg-6), mpich2, libc6 (>= 2.13-28), libmpich2-3, libx11-6
Homepage: http://yorick.sourceforge.net
Priority: extra
Section: science
Filename: pool/main/y/yorick/yorick-mpy-mpich2_2.2.02+dfsg-6_armhf.deb
Size: 506622
SHA256: 600c45f8384a58c8c645d68368609d212223ebcc485e1bb7b246182fc10b46c8
SHA1: 7aa897a7a01f409ab2f58060b9e37cddf6760de3
MD5sum: f817f08257bf50533aab234c18ca0f6a
Description: Message Passing Yorick (MPICH2 build)
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The MPY is a parallel version of Yorick based on the Message Passing
 Interface (MPI). This package contains a build of MPY linked against
 MPICH2.

Package: yorick-mpy-openmpi
Source: yorick
Version: 2.2.02+dfsg-6
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 1049
Depends: yorick (= 2.2.02+dfsg-6), yorick-mpy-common (= 2.2.02+dfsg-6), openmpi-bin, libc6 (>= 2.13-28), libopenmpi1.3, libx11-6
Homepage: http://yorick.sourceforge.net
Priority: extra
Section: science
Filename: pool/main/y/yorick/yorick-mpy-openmpi_2.2.02+dfsg-6_armhf.deb
Size: 507044
SHA256: fd51f9effe4e5e6d2b8fc039e4c684464d1449ebba31d6f7043b498baeb6baf7
SHA1: abfbcb31e9707d991e2d530ad076fe383f102b7a
MD5sum: 4a134c2977d55e93051d0f4efadf616e
Description: Message Passing Yorick (OpenMPI build)
 Yorick is an interpreted programming language for:
  * scientific simulations or calculations
  * postprocessing or steering large simulation codes
  * interactive scientific graphics
  * reading, writing, and translating large files of numbers
 .
 The MPY is a parallel version of Yorick based on the Message Passing
 Interface (MPI). This package contains a build of MPY linked against
 OpenMPI.

Package: yorick-optimpack
Version: 1.3.2+dfsg-1
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 122
Depends: libc6 (>= 2.13-28), yorick (>= 1.6.02)
Homepage: http://www-obs.univ-lyon1.fr/labo/perso/eric.thiebaut/optimpack.html
Priority: extra
Section: science
Filename: pool/main/y/yorick-optimpack/yorick-optimpack_1.3.2+dfsg-1_armhf.deb
Size: 27228
SHA256: ea53f81427bf6fb5e1a26f34eea5e5098b67d7d8242b8da409a2d2b214ddf416
SHA1: 9724483191fe461ef9b73db584fe5c0c2668f42f
MD5sum: ef64caab8ec2a8933af0700db8d61ea4
Description: optimization of large scale problems for the Yorick language
 OptimPack is a portable C library which implements algorithms for
 optimization of large scale problems with bound constraints. Large
 scale means some million variables (e.g. pixel values) or more.
 .
 The most important algorithm is VMLM-B: a variable metric method with
 limited memory requirements and, possibly, bound constraints on the
 parameters. The algorithm is based on limited memory BFGS updates
 with Moré & Thuente inexact line search and gradient projection to
 account for bounds.
 .
 This package contains a Yorick plug-in based on OptimPack.

Package: yorick-soy
Version: 1.4.0-3
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 115
Depends: yorick (>= 1.6.02), yorick-yutils, libc6 (>= 2.13-28)
Homepage: http://homepage.mac.com/rflicker/soy.htm
Priority: extra
Section: science
Filename: pool/main/y/yorick-soy/yorick-soy_1.4.0-3_armhf.deb
Size: 21312
SHA256: 74121b043bbda39035b9e98a26e09daf901918ebb9b0f7d5cf99fa56a7526979
SHA1: 9779de38d690e6a7a7def283673673a4b975791a
MD5sum: ea43c0dc12efdd26d4e8dfbf76d02edf
Description: sparse matrix operations for the Yorick language
 Sparse Operations with Yorick is a plugin for Yorick (an interpreted computer
 language specialized for numerical and scientific problems) that allows
 performing efficient operations on sparse matrices. This software is also
 available for the commercial language IDL.
 .
 Features:
  * Utilizes a sparse row-wise format optimized for fast matrix-vector
    multiplication
  * Structure implementation for user-friendly interface on the Yorick/IDL
    scripting level
  * Explicit memory handling on the scripting level
  * As of v1.2 portable to 64-bit computing platforms
  * Offers basic matrix algebra and manipulation in single or double precision
  * Offers scripts for solving linear systems by e.g. conjugate gradients
  * Offers saving of sparse structures on a common FITS format or an internal
    binary format. Using the FITS format makes the saved matrices portable
    between the IDL/Yorick distributions.

Package: yorick-spydr
Version: 0.8.2-3
Installed-Size: 446
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: yorick (>= 2.1.05), yorick-yutils (>= 1.3), yorick-yao, python-glade2, python, zenity (>= 2.0)
Size: 74462
SHA256: 1571bd59188261c793ff1f442186626aaf05cc0c46594d32e4ad4c7f2182e668
SHA1: 5720587c4416eadd216b7968c620cacbda58c739
MD5sum: 8c9da02baaf6267a9ded7be5eb4d2758
Description: FITS image display and simple analysis
 Spydr (pronounced like spider) basically is an FITS image viewer. It
 can work as a stand-alone application but is best integrated in a
 Yorick-based data-analysis work-flow (Yorick is an interpreted
 language specialized in numerical computations and scientific
 graphics). It includes tools to zoom, produce cuts, histograms,
 Gaussian/Moffat fits, PSF fits (inc. FWHM and Strehl). Can work on
 single images, sequences of images or data cubes.
Homepage: http://www.maumae.net/yorick/doc/spydr_intro.php
Tag: role::program
Section: science
Priority: extra
Filename: pool/main/y/yorick-spydr/yorick-spydr_0.8.2-3_all.deb

Package: yorick-svipc
Source: yp-svipc
Version: 0.14-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 111
Depends: yorick, libc6 (>= 2.13-28)
Suggests: python-svipc, python3-svipc
Homepage: https://github.com/mdcb/yp-svipc
Priority: extra
Section: science
Filename: pool/main/y/yp-svipc/yorick-svipc_0.14-2_armhf.deb
Size: 26504
SHA256: c29718aa3b8152538e6bcee0bd7a6fcea5271f0f7405b5eacd0a11c627b1f9cb
SHA1: d2064b1925e90d8f27a4c06833f1ad053503e336
MD5sum: f2ea04a5641a717009c85583051e7b94
Description: interprocess communication (shared memory...) for Yorick
 This package allows one to access the System V Interprocess
 communication mechanisms from within Yorick:
   + message queues;
   + semaphore sets;
   + shared memory segments.
 It also exposes related functionality, in particular fork().
 .
 This plug-in serves primarily two use cases:
   + parallel (multiprocess) computing;
   + mixed applications (eg. yorick/python).
 .
 Sibling packages are available for Python: python-svipc and
 python3-svipc.

Package: yorick-yao
Version: 4.9.1-2
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 2210
Depends: yorick (>= 2.1.05), libc6 (>= 2.13-28), libfftw3-3, yorick-imutil (>= 0.5.3), yorick-yutils (>= 1.3.0), python-glade2, python
Homepage: http://www.maumae.net/yao/
Priority: extra
Section: science
Filename: pool/main/y/yorick-yao/yorick-yao_4.9.1-2_armhf.deb
Size: 1336442
SHA256: 5d8f1c78a460a7fd8f1b59d113e386d506747fbcf55c4ee63924322745512619
SHA1: 4f0ba9b30d0336be834e53f53aa3059fc3714122
MD5sum: 095b294f480055eab5405a5e460b4e85
Description: Yorick-based adaptive optics system simulator
 Yao is a monte-carlo simulation package for adaptive optics. It can
 be used stand-alone through a GTK-based integrated graphical user
 interface or as a Yorick language extension. Yao features:
   * Shack-Hartmann and Curvature WFS, on or off axis;
   * support for Stackarray (piezostack), curvature (bimorph), modal
     (zernike) and Tip-Tilt deformable mirrors. The altitude of
     conjugation is adjustable;
   * arbitrary number of WFSs and DMs, with the possibility of mixing
     types. It is therefore possible (and easy) to simulate single DM
     systems, as well as single non-zero conjugate, GLAO and MCAO
     systems;
   * support for Natural and Laser Guide Stars (or a mix), WFS with
     photon and read-out noise;
   * multi-layered atmospheric model with geometrical propagation
     only;
   * speed-optimized loop: critical routines have been coded in C.

Package: yorick-yeti
Version: 6.3.2-3
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 408
Depends: yorick (>= 2.1.06), libc6 (>= 2.13-28)
Homepage: http://www-obs.univ-lyon1.fr/labo/perso/eric.thiebaut/yeti.html
Priority: extra
Section: science
Filename: pool/main/y/yorick-yeti/yorick-yeti_6.3.2-3_armhf.deb
Size: 141320
SHA256: 59bc565083dac0de43a0acdeca526500cf8bb7944a2d95215406e746099523e2
SHA1: 5c5924d313d84981ceaaedf7a8b46420d682f2ed
MD5sum: 137d3c2ceea2727be8914761a10b92e3
Description: utility plugin for the Yorick language
 Yeti is a collection of extension plugins for Yorick (a fast interpreted
 interactive data processing language).
 .
 The yorick-yeti package implements:
 .
   * hash table objects
   * wavelet filtering ("a trou" method)
   * fast convolution along a chosen dimension with various border conditions
   * more string functions
   * memory hacking routines
   * more math functions (sinc, round, arc)
   * generalized matrix-vector multiplication (with possibly sparse matrix)
   * routines to query/check Yorick's symbols
   * morpho-math operators
   * ...

Package: yorick-yeti-fftw
Source: yorick-yeti
Version: 6.3.2-3
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 107
Depends: yorick (>= 1.6.02), fftw2, libc6 (>= 2.13-28)
Homepage: http://www-obs.univ-lyon1.fr/labo/perso/eric.thiebaut/yeti.html
Priority: extra
Section: science
Filename: pool/main/y/yorick-yeti/yorick-yeti-fftw_6.3.2-3_armhf.deb
Size: 26394
SHA256: 2598b40eb3aac1cfefa6f00db0581b9b2071b3844881f7ad7599edcd3048dfa6
SHA1: dc607d2cdd2674be754f80485163c938dd9ad0aa
MD5sum: 6a8f72511cae183c9e869f9b32344a96
Description: FFT plugin for the Yorick language
 Yeti is a collection of extension plugins for Yorick (a fast interpreted
 interactive data processing language).
 .
 The yorick-yeti-fftw package implements complex, real-complex and complex-real
 fast fourier transform using FFTW2 (the Fastest Fourier Transform in the West -
 version 2)

Package: yorick-yeti-gsl
Source: yorick-yeti
Version: 6.3.2-3
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 143
Depends: yorick (>= 1.6.02), libc6 (>= 2.13-28), libgsl0ldbl (>= 1.9)
Homepage: http://www-obs.univ-lyon1.fr/labo/perso/eric.thiebaut/yeti.html
Priority: extra
Section: science
Filename: pool/main/y/yorick-yeti/yorick-yeti-gsl_6.3.2-3_armhf.deb
Size: 32578
SHA256: 07c78d480170ffd7b9e67d4b27ea386150c60e30b35594a131dd54f37811370e
SHA1: c537b881ec35059fb0a3c0119ebd92df66fa68df
MD5sum: cdb7ef8ed5d984035cf6368755afb473
Description: GSL special functions plugin for the Yorick language
 Yeti is a collection of extension plugins for Yorick (a fast interpreted
 interactive data processing language).
 .
 The yorick-yeti-gsl package gives access to 120 special functions from the
 GNU Scientific Library (GSL).

Package: yorick-yeti-regex
Source: yorick-yeti
Version: 6.3.2-3
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 101
Depends: yorick (>= 1.6.02), libc6 (>= 2.13-28)
Homepage: http://www-obs.univ-lyon1.fr/labo/perso/eric.thiebaut/yeti.html
Priority: extra
Section: science
Filename: pool/main/y/yorick-yeti/yorick-yeti-regex_6.3.2-3_armhf.deb
Size: 25194
SHA256: 0e91057177237e44382fc28becbc7cc69f3f3846e1244449910710871218274e
SHA1: 6194a9b0972727d6187d3dece3f8db6baebb7ff4
MD5sum: db162e4d7616736e66152c15f65ab2f2
Description: POSIX regular expressions for the Yorick language
 Yeti is a collection of extension plugins for Yorick (a fast interpreted
 interactive data processing language).
 .
 The yorick-yeti-regex package implements support for POSIX regular
 expressions.

Package: yorick-yeti-tiff
Source: yorick-yeti
Version: 6.3.2-3
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 100
Depends: yorick (>= 1.6.02), libc6 (>= 2.13-28), libtiff4 (>> 3.9.5-3~)
Homepage: http://www-obs.univ-lyon1.fr/labo/perso/eric.thiebaut/yeti.html
Priority: extra
Section: science
Filename: pool/main/y/yorick-yeti/yorick-yeti-tiff_6.3.2-3_armhf.deb
Size: 25370
SHA256: 0124c638582ac6e8342ab674066499fbd3e2acf436946a147d290797f80d71dc
SHA1: 277444ea4ba45b81d7a294728dd6da0017ab41cd
MD5sum: 49dc66398c464a852a4b24c106d0bece
Description: TIFF image format input for the Yorick language
 Yeti is a collection of extension plugins for Yorick (a fast interpreted
 interactive data processing language).
 .
 The yorick-yeti-tiff package implements support for reading TIFF images.

Package: yorick-yutils
Version: 1.5.2-1
Installed-Size: 660
Maintainer: Debian Science Maintainers 
Architecture: all
Depends: yorick (>= 2.1.06)
Suggests: python
Size: 187848
SHA256: b83d2be76532652009b47f048ede6790a305d01f8061e30e52348ea99257189f
SHA1: 8171da4bbcf62de20728463eb6571849cb84abb8
MD5sum: e9aab0437e11385644d55c38176b58af
Description: various utilities for the Yorick language
 Yutils is a must-have collection of interpreted routines for yorick
 (an interpreted computer language specialized for numerical and scientific
 problems). Topics: astronomical data reduction, physical constants,
 search for extrema in arrays or functions, plots, and more.
Tag: devel::TODO, devel::library, field::astronomy, implemented-in::TODO,
 interface::shell, role::devel-lib, role::plugin, scope::utility,
 suite::TODO, use::analysing, works-with::image,
 works-with::image:raster
Section: science
Priority: extra
Filename: pool/main/y/yorick-yutils/yorick-yutils_1.5.2-1_all.deb

Package: yorick-z
Version: 1.2.0+cvs20080115-5
Architecture: armhf
Maintainer: Debian Science Maintainers 
Installed-Size: 144
Depends: yorick (>= 1.6.02), libc6 (>= 2.13-28), libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), zlib1g (>= 1:1.1.4)
Homepage: http://yorick.sourceforge.net
Priority: extra
Section: science
Filename: pool/main/y/yorick-z/yorick-z_1.2.0+cvs20080115-5_armhf.deb
Size: 37828
SHA256: d2c0dd02816a3484cc5e91dc7a785a2530c214cfd3a73a9f5ede84077ff182b5
SHA1: 6faf2074d5654953497570cb1ad7bc4e86afd0c6
MD5sum: b928eaee34b26bb03f1f0855f1668db0
Description: zlib, jpeg and png support for the Yorick language
 This is a compiled add-on for yorick (an interpreted computer language
 specialized for numerical and scientific problems).  Yorick-z adds
 support for zlib (the data compression system used by gzip), png (a
 lossless image compression format), and jpeg (a lossy image compression
 format).

Package: yoshimi
Version: 0.060.12-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 1103
Depends: yoshimi-data, libasound2 (>= 1.0.17), libc6 (>= 2.13-28), libfftw3-3, libfltk1.1 (>= 1.1.7), libfontconfig1 (>= 2.9.0), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libmxml1, libstdc++6 (>= 4.6), libx11-6, libxext6, zlib1g (>= 1:1.1.4)
Recommends: jackd
Homepage: http://yoshimi.sourceforge.net
Priority: optional
Section: sound
Filename: pool/main/y/yoshimi/yoshimi_0.060.12-2_armhf.deb
Size: 469588
SHA256: 8550afc3c8da0ca5c26fd8151f9c92d8933ee052314da31546d269c848462638
SHA1: dbadfa4cbe4d1267ffb1c6fcc26c51af9a8581b4
MD5sum: 6530aa48706d4be3524fdcc4e1864d07
Description: software synthesizer based on ZynAddSubFX
 Yoshimi is a software synthesizer for GNU/Linux, based on
 ZynAddSubFX 2.4.0.
 .
 Yoshimi delivers the same synthesizer capabilities along with
 very good Jack and Alsa midi/audio functionality on Linux.

Package: yoshimi-data
Source: yoshimi
Version: 0.060.12-2
Installed-Size: 3281
Maintainer: Debian Multimedia Maintainers 
Architecture: all
Recommends: yoshimi
Size: 1302198
SHA256: 0f5a0b1cc7c3dddd32dd968ae5e89986f3ed5438b4106e88b7908e11e6353006
SHA1: 1afa203ab66a79318e4fd73ef87fc19b6bff4d9c
MD5sum: 1d58e1d928fb04a5ff94cc47ef1c9501
Description: Presets for Yoshimi
 Yoshimi is a software synthesizer for GNU/Linux, based on
 ZynAddSubFX 2.4.0.
 .
 This package provides banks and presets for Yoshimi.
Homepage: http://yoshimi.sourceforge.net
Tag: role::app-data
Section: sound
Priority: optional
Filename: pool/main/y/yoshimi/yoshimi-data_0.060.12-2_all.deb

Package: yoshimi-dbg
Source: yoshimi
Version: 0.060.12-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 256
Depends: yoshimi (= 0.060.12-2)
Homepage: http://yoshimi.sourceforge.net
Priority: extra
Section: debug
Filename: pool/main/y/yoshimi/yoshimi-dbg_0.060.12-2_armhf.deb
Size: 64214
SHA256: 16e05701c3fec543082eff452d9857a7b9a01b780a18589bce2e26afccb77fc7
SHA1: 4dd1d055346cdb89c567e34489cbab86b59e2846
MD5sum: 8c7904f5ad17a759376850c7f8ad3799
Description: Debugging symbols for Yoshimi
 Yoshimi is a software synthesizer for GNU/Linux, based on
 ZynAddSubFX 2.4.0.
 .
 This package provides the debugging symbols for yoshimi.

Package: youtube-dl
Version: 2012.02.27-1
Installed-Size: 205
Maintainer: Rogério Brito 
Architecture: all
Depends: python (>= 2.5)
Recommends: ffmpeg, ffmpeg (>= 4:0.6) | ffprobe, rtmpdump
Size: 50368
SHA256: 01771b8e29d92b51f9fec60ba416847d793afa4a9971ba572a56c029a919596b
SHA1: 6025050b7aa2399182015739cdaa486e6b0aa7cb
MD5sum: 034398dcf944b6e06eb8c997dbf83cf8
Description: downloader of videos from YouTube and other sites
 youtube-dl is a small command-line program to download videos from
 YouTube.com and other sites that don't provide direct links to the
 videos served.
 .
 youtube-dl allows the user, among other things, to choose a specific video
 quality to download (if available) or let the program automatically
 determine the best (or worst) quality video to grab. It supports
 downloading entire playlists and all videos from a given user.
 .
 Currently supported sites are: CollegeHumor, Comedy Central, Dailymotion,
 Facebook, Metacafe, MyVideo, Photobucket, The Escapist, Vimeo, Yahoo!,
 YouTube, blip.tv, depositfiles.com, video.google.com, xvideos, Soundcloud,
 InfoQ, Mixcloud, OpenClassRoom.
Homepage: http://rg3.github.com/youtube-dl/
Tag: implemented-in::python, interface::commandline, role::program,
 use::downloading
Section: web
Priority: extra
Filename: pool/main/y/youtube-dl/youtube-dl_2012.02.27-1_all.deb

Package: ytalk
Version: 3.3.0-5
Architecture: armhf
Maintainer: Matthew Johnson 
Installed-Size: 112
Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5, talkd
Priority: optional
Section: net
Filename: pool/main/y/ytalk/ytalk_3.3.0-5_armhf.deb
Size: 45454
SHA256: cbac3089aa45d09d948189e51563aeef38ce41f9d3b3948be49c72e32312c52d
SHA1: bc62e5d846cf3fa7dd8ccf0cd11804677d91da5d
MD5sum: d05dc458e5998559d3bddf629a075167
Description: enhanced talk program
 Ytalk is a multi-user chat program. It works exactly like the UNIX talk
 program and even communicates with the same talk daemons. The primary
 advantage of ytalk is its ability to maintain multiple connections.

Package: ytree
Version: 1.94-1.1
Architecture: armhf
Maintainer: Kevin Coyner 
Installed-Size: 198
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libncursesw5 (>= 5.6+20070908), libreadline6 (>= 6.0), libtinfo5
Recommends: mime-support
Homepage: http://www.han.de/~werner/ytree.html
Priority: optional
Section: utils
Filename: pool/main/y/ytree/ytree_1.94-1.1_armhf.deb
Size: 80222
SHA256: 59e7b8b5f4d92433de90c7093769c401becf026e3d93fc27ba63e9e2e42540c7
SHA1: e550d9ee015c8a903140fa0a47c874fb7bc7411b
MD5sum: e7c969a39260210361e212d05217c2d6
Description: A file manager for terminals
 This is a file manager that separates files from directories and allows you to
 select and manage files from different directories.  It works on black and
 white or color terminals and is UTF-8 locales aware.

Package: yubikey-personalization
Version: 1.7.0-1
Architecture: armhf
Maintainer: Tollef Fog Heen 
Installed-Size: 120
Depends: libc6 (>= 2.13-28), libusb-1.0-0 (>= 2:1.0.8), libykpers-1-1 (>= 1.7.0-1), libyubikey0 (>= 1.5)
Homepage: http://code.google.com/p/yubikey-personalization/
Priority: extra
Section: utils
Filename: pool/main/y/yubikey-personalization/yubikey-personalization_1.7.0-1_armhf.deb
Size: 45818
SHA256: 715c499f65bf884eaeb32c8148d3e0dd8962a140e0a88eea192a1dcef8e88a9b
SHA1: 1f2acafdd6f9e7ceadc47972713ad5b2079e15e5
MD5sum: 67828c9ad5b89004bcc6a7aa5da53351
Description: Personalization tool for Yubikey OTP tokens
 Yubikeys are USB tokens that act like keyboards and generate one-time
 or static passwords.
 .
 This is a tool to customize the tokens with your own cryptographic
 key, user id and so on.

Package: yubikey-personalization-gui
Version: 3.0.6-1
Architecture: armhf
Maintainer: Yubico Open Source Maintainers 
Installed-Size: 540
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.4.0), libykpers-1-1 (>= 1.5.0), libyubikey0 (>= 1.5)
Homepage: https://github.com/Yubico/yubikey-personalization-gui
Priority: extra
Section: utils
Filename: pool/main/y/yubikey-personalization-gui/yubikey-personalization-gui_3.0.6-1_armhf.deb
Size: 218346
SHA256: 6b3c9b77b6f756acc076c2e5da7409cd45c3a7e85b3f0281046d89d1ad63c726
SHA1: 94451d719829c5ca7a76cb702cf9a519775cdb99
MD5sum: 435defdc4e97db7a65acc4f490204436
Description: Graphical personalization tool for YubiKey tokens
 YubiKeys are USB tokens that act like keyboards and generate one-time
 passwords, static passwords or work in challenge-response mode.
 .
 This is a graphical tool to customize the token with your own
 cryptographic key and options.

Package: yubikey-server-c
Source: yubikey-server-c (0.5-1)
Version: 0.5-1+b1
Architecture: armhf
Maintainer: Tollef Fog Heen 
Installed-Size: 61
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libmicrohttpd10, libpq5, libyubikey0 (>= 1.5)
Priority: optional
Section: admin
Filename: pool/main/y/yubikey-server-c/yubikey-server-c_0.5-1+b1_armhf.deb
Size: 14502
SHA256: b78f075b85ee37c7fc67f5ed5cb802c6ca4f2e4a07ffffb45266c4a5064ab028
SHA1: 8dc1e24a3605bd82b45d25873496436a3a2df64e
MD5sum: 074723b2b193ee23be29096957b4b425
Description: Yubikey validation server
 Yubikeys are USB tokens that act like keyboards and generate one-time
 passwords.  The tokens are produced and sold by Yubico
 .
 This is a server that checks the validity of those OTP tokens.  There
 are servers written in Java and PHP, while this one is written in C
 .
 It implements the server side of the API as described on
 http://www.yubico.com/developers/api/ and can be used with any client
 that implements the same API.

Package: yubiserver
Version: 0.2-2
Architecture: armhf
Maintainer: Nanakos Chrysostomos 
Installed-Size: 105
Depends: libc6 (>= 2.13-28), libconfig9, libev4 (>= 1:4.04), libgcrypt11 (>= 1.4.5), libmhash2, libsqlite3-0 (>= 3.5.9)
Homepage: http://www.include.gr/debian/yubiserver
Priority: optional
Section: admin
Filename: pool/main/y/yubiserver/yubiserver_0.2-2_armhf.deb
Size: 25360
SHA256: 017bec1eb9bf2a01f1e05f49b3cf4574177b81992f2237f2d6620f4ad54cb15e
SHA1: 0e71782be0aff8a3793793767f2421eb52d73f52
MD5sum: 7e7d1838d03ad310da3d2d605221ada4
Description: Yubikey OTP and HOTP/OATH Validation Server
 Simple and lightweight Yubikey OTP and HOTP/OATH validation server
 to be used with Yubico's Yubikey USB tokens including a powerful
 administration tool, yubiserver-admin, with which you can manage
 yubiserver's database by adding,deleting,activating and deactivating
 users that validate with OTP or HOTP/OATH tokens.
 .
 Yubiserver implements Yubico's server side API and can be used
 with Yubikey USB tokens and any other client that can implement
 the same API.

Package: yudit
Version: 2.8.1-4
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 2345
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), libx11-6, yudit-common (= 2.8.1-4)
Recommends: unifont
Suggests: yudit-doc, msttcorefonts, fonts-vlgothic | ttf-kochi-gothic, ttf-freefont
Homepage: http://www.yudit.org
Priority: optional
Section: editors
Filename: pool/main/y/yudit/yudit_2.8.1-4_armhf.deb
Size: 1112382
SHA256: b9c691675531752c7f9f1267b699fe0fcdbd61552439fd84a42ea81a972a87ed
SHA1: 11cb69f90758ddbb4845f083dedf9fdce7c08c04
MD5sum: e0d7c1f5c13305f6f6001938e4f85f1b
Description: Unicode text editor (arch-dependent binaries)
 yudit is a Unicode text editor for the X Window System. It does not need
 localized environment or Unicode fonts. It supports simultaneous processing
 of many languages, conversions for local character standards, bidirectional
 input, has its own input methods. The package includes conversion utilities,
 and it also has support for PostScript printing.

Package: yudit-common
Source: yudit
Version: 2.8.1-4
Installed-Size: 8971
Maintainer: Debian QA Group 
Architecture: all
Suggests: yudit-doc (= 2.8.1-4), yudit (= 2.8.1-4)
Size: 3155144
SHA256: 434c59ceb872072b9cae45545864879493f72da88ed1e3d1fe4b47b04c059c98
SHA1: ec1c0ea61af88c3f82a7214630259d87c1ad660d
MD5sum: fa5e40e5ad30a8880350671fef001176
Description: Unicode text editor (arch-independent files)
 yudit is a Unicode text editor for the X Window System. It does not need
 localized environment or Unicode fonts. It supports simultaneous processing
 of many languages, conversions for local character standards, bidirectional
 input, has its own input methods. The package includes conversion utilities,
 and it also has support for PostScript printing.
 .
 This package contains the arch-independent files in /usr/share.
Homepage: http://www.yudit.org
Tag: role::app-data
Section: editors
Priority: optional
Filename: pool/main/y/yudit/yudit-common_2.8.1-4_all.deb

Package: yudit-doc
Source: yudit
Version: 2.8.1-4
Installed-Size: 259
Maintainer: Debian QA Group 
Architecture: all
Depends: yudit (>= 2.8.1-4)
Size: 113762
SHA256: 1098bd662091d3ae0c84f3a93919c3af28e6e2ec80ed3b1fd4ec99472843dcda
SHA1: 3ad0112c989c24185f01150a7e6f85099fbf702e
MD5sum: b7a5656bea83770c8bf43682479f0a96
Description: Unicode text editor (Documentation)
 The unicode editor "yudit" has got a big documentation in lots of languages.
 After the installation, the documentation will be available in:
 /usr/share/doc/yudit-doc/
Homepage: http://www.yudit.org
Tag: role::documentation, use::editing, works-with::text, works-with::unicode
Section: doc
Priority: optional
Filename: pool/main/y/yudit/yudit-doc_2.8.1-4_all.deb

Package: yui-builder
Version: 1.0.0b1+dfsg-1
Installed-Size: 148
Maintainer: Michael Gilbert 
Architecture: all
Depends: yui-compressor, ant-contrib, librhino-java
Size: 17926
SHA256: 49d77e71b9e7c12cd755aadcb6819d116b8dab31c3b5baa1d052f7fcd271aa5d
SHA1: 98a53b47f144d5ab5ca5c58f660107eae58d896c
MD5sum: 0c020fe93420fa421b980dbb86023f32
Description: Build environment for YUI
 YUI builder is a build environment for yahoo's YUI, which includes a
 collection of HTML, CSS, and JavaScript components for creating AJAX
 web pages.
Homepage: https://yuilibrary.com/projects/builder
Section: web
Priority: optional
Filename: pool/main/y/yui-builder/yui-builder_1.0.0b1+dfsg-1_all.deb

Package: yui-compressor
Version: 2.4.7-1
Installed-Size: 619
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: default-jre-headless | java5-runtime-headless, java-wrappers, libjargs-java
Size: 567108
SHA256: 01a3691fd829945063981aec01e79ad52961ad6a96c51fa8745e50d461f54883
SHA1: c748fe473a19a384c7d852284aaae04c3ceacbf3
MD5sum: 69c2cf13e1507771534a91185a2e061c
Description: JavaScript/CSS minifier
 The YUI Compressor is a JavaScript compressor which, in addition to removing
 comments and white-spaces, obfuscates local variables using the smallest
 possible variable name. This obfuscation is safe, even when using constructs
 such as 'eval' or 'with' (although the compression is not optimal is those
 cases) Compared to jsmin, the average savings is around 20%.
 .
 The YUI Compressor is also able to safely compress CSS files. The decision
 on which compressor is being used is made on the file extension (js or css).
Homepage: http://yuilibrary.com/projects/yuicompressor/
Tag: devel::lang:ecmascript, implemented-in::java, interface::commandline,
 role::program, scope::utility, use::compressing
Section: java
Priority: optional
Filename: pool/main/y/yui-compressor/yui-compressor_2.4.7-1_all.deb

Package: yum
Version: 3.2.25-2
Installed-Size: 2148
Maintainer: Thomas Goirand 
Architecture: all
Depends: python, python-support (>= 0.90.0), python (>= 2.5) | python-celementtree, python-libxml2, python-urlgrabber, rpm (>= 4.4.1), python-rpm, python-sqlitecachec, python-sqlite
Size: 631828
SHA256: 25628795a497ec055d4c866169fad553c8b5c0f531a5f39d92904f2bf029d9ac
SHA1: d089a5f6b14b7281ec04f8d91a1e1b83534a839a
MD5sum: 66027e5c7a4274c2b92768198f6f6c2a
Description: Advanced front-end for rpm
 Yum (Yellow dog Updater, Modified) is an automatic updater and package
 installer/remover for rpm systems. It automatically computes dependencies
 and figures out what things should occur to install packages. It makes it
 easier to maintain groups of machines without having to manually update
 each one using rpm.
 .
 Features include:
 .
     * Multiple Repositories
     * Simple config file
     * Correct dependency calculation
     * Fast operation
     * rpm-consistent behavior
     * comps.xml group support, including multiple repository groups
     * Simple interface
Homepage: http://yum.baseurl.org/
Tag: admin::package-management, implemented-in::python,
 interface::commandline, role::program, scope::utility,
 works-with::software:package
Section: admin
Priority: extra
Filename: pool/main/y/yum/yum_3.2.25-2_all.deb

Package: yydebug
Version: 1.1.0-2
Installed-Size: 60
Maintainer: Hideki Yamane 
Architecture: all
Size: 22210
SHA256: c200cfd10a279844f61129a4d8a10e57a978f90d868dab74786da662a0930c9d
SHA1: ac09574645c6073e112bf202f364cc788c309e8d
MD5sum: ace4f77f3a2da67ba5e072de771e72ef
Description: support library for the Java-based parser generator jay
 This is a library that supports tracing and animation for a Java-based parser
 generated by jay. It produces one-line messages by default to standard output.
 The messages are designed to be filtered by a program such as grep. The class
 jay.yydebug.yyAnim provides an animation of the parsing process.
Homepage: http://www.cs.rit.edu/~ats/projects/lp/doc/jay/yydebug/package-summary.html
Section: java
Priority: extra
Filename: pool/main/y/yydebug/yydebug_1.1.0-2_all.deb

Package: z80asm
Version: 1.8-1
Architecture: armhf
Maintainer: Bas Wijnen 
Installed-Size: 130
Depends: libc6 (>= 2.4)
Suggests: openmsx
Priority: optional
Section: devel
Filename: pool/main/z/z80asm/z80asm_1.8-1_armhf.deb
Size: 35644
SHA256: 49c34d5176cd02ea04f86366be1d972ab9c1770ee25cabd1dfbb53dcfe2807ec
SHA1: 73adb03795612b5f405ea081ad08896b9fa23675
MD5sum: d42932c9f0c5db34881a26ce589fa148
Description: assembler for the Zilog Z80 microprocessor
 The Z80 microprocessor is used in old home computers, such as the
 ZX spectrum and MSX, and in several newer devices, such as the TI-83
 graphical calculator and (a stripped down version) in the (original) GameBoy.
 .
 This assembler is meant for developers who write code (in assembly) for
 such devices.
 .
 Features include:
  * macros
  * including other sources
  * complex expressions (similar to bash)
  * labels of unlimited length
  * conditional compilation depending on expressions

Package: z80dasm
Version: 1.1.3-1
Architecture: armhf
Maintainer: Tomaž Šolc 
Installed-Size: 75
Depends: libc6 (>= 2.13-28)
Suggests: z80asm
Homepage: http://www.tablix.org/~avian/blog/articles/z80dasm/
Priority: optional
Section: devel
Filename: pool/main/z/z80dasm/z80dasm_1.1.3-1_armhf.deb
Size: 20232
SHA256: 45a400523e76a67364b17eca4df357ae0986db949837d7e02058be059c10fa92
SHA1: 4ff32b65682bc5a1261e79e7b910927ed2f885ee
MD5sum: b4d2f3e4336127d5c333ebb9bec079f5
Description: disassembler for the Zilog Z80 microprocessor
 The Z80 microprocessor is used in some 1980s home microcomputers, such as the
 Sinclair ZX80, ZX81, Spectrum, Galaksija and in several newer devices, such
 as graphical calculators from Texas Instruments and the original GameBoy.
 .
 This disassembler is useful for reverse engineering programs and operating
 systems written for such devices. It produces assembly source code from binary
 ROM images and tries to guess locations of labels and symbols. Its output can
 be directly converted back to binary with a Z80 assembler, such as z80asm.

Package: z8530-utils2
Version: 3.0-1-6.1
Architecture: armhf
Maintainer: Debian Hamradio Maintainers 
Installed-Size: 116
Depends: libc6 (>= 2.7), makedev (>= 2.3.1-22)
Conflicts: z8530-utils
Priority: extra
Section: hamradio
Filename: pool/main/z/z8530-utils2/z8530-utils2_3.0-1-6.1_armhf.deb
Size: 44932
SHA256: 5d17f6609f1c3b873ccc31fada2a09fcc56182eae85a73e65d25ff98cd1d20da
SHA1: 78c24d49d47fc8be2f85be12a1ba1a06239575ac
MD5sum: 249a1ac7f7c40c9f540b6ea64cf513f8
Description: Utilities for Z8530 based HDLC cards for AX.25
 This package contains utilities to load, configure and modify
 the kernel driver for Z8530 based SCC cards.
 .
 It should at least work with the following boards and
 connected modems:
 .
 BayCom USCC.
 PA0HZP card with and without ESCC and/or external clock divider
 (up to 19200 baud (loopback)) and compatibles.
 TCM3105 modem, 4k8 modem, 9k6 DF9IC modem (loopback), HAPN 4k8
 modem (connected to a SCC board, of course!).

Package: z88
Version: 13.0.0+dfsg2-3
Architecture: armhf
Maintainer: Debian QA Group 
Installed-Size: 1198
Depends: z88-data, libc6 (>= 2.13-28), libgl1-mesa-glx | libgl1, libglib2.0-0 (>= 2.12.0), libgtk2.0-0 (>= 2.8.0), libgtkglext1, libpango1.0-0 (>= 1.14.0)
Recommends: z88-doc
Homepage: http://z88.uni-bayreuth.de/
Priority: optional
Section: science
Filename: pool/main/z/z88/z88_13.0.0+dfsg2-3_armhf.deb
Size: 546624
SHA256: a6e1706f753847dce66afdacc75bd636834e7dc898acca5750b13c2413d15a18
SHA1: bdd0dcf403a4f290074c1edb6f69ecdf897aa329
MD5sum: 0fb2599e2144ef7aeb5359eacc782ab8
Description: Finite Element Analysis Program - runtime
 Z88 features 20 finite element types covering plane stress, plate bending,
 axial symmetric structures and spacial structures up to 20-node Serendipity
 hexahedrons. Z88 comes with a user-friendly interface, a powerful mesh
 generator, a DXF-converter, two plot programs and, of course, two powerful
 solvers. Import of COSMOS files from Pro/ENGINEER and Pro/MECHANICA is
 supported.

Package: z88-data
Source: z88
Version: 13.0.0+dfsg2-3
Installed-Size: 89068
Maintainer: Debian QA Group 
Architecture: all
Size: 31057480
SHA256: c43b9301f2ec1b3f170e2c32225cec3ff6e9a6a91bc229fe5805e7c06958afea
SHA1: 34bd5449ffeb141b4253ef0939cddb4da63b9c50
MD5sum: fba119e45563e93d05af4259bb955354
Description: Finite Element Analysis Program - data
 Z88 features 20 finite element types covering plane stress, plate bending,
 axial symmetric structures and spacial structures up to 20-node Serendipity
 hexahedrons. Z88 comes with a user-friendly interface, a powerful mesh
 generator, a DXF-converter, two plot programs and, of course, two powerful
 solvers. Import of COSMOS files from Pro/ENGINEER and Pro/MECHANICA is
 supported.
 .
 This package contains some data files
Homepage: http://z88.uni-bayreuth.de/
Tag: role::app-data
Section: science
Priority: optional
Filename: pool/main/z/z88/z88-data_13.0.0+dfsg2-3_all.deb

Package: z88-doc
Source: z88
Version: 13.0.0+dfsg2-3
Installed-Size: 15416
Maintainer: Debian QA Group 
Architecture: all
Size: 11358636
SHA256: 1f4016a6eacc3ded949f0bdfdc9fa9fa5b1ee7efa9811d1b6c69347b10c1451b
SHA1: c0fd917e3e9373746eed138359e50d54f565c61f
MD5sum: c4cb55e90cc2e62f49cdcce40b9e98d6
Description: Finite Element Analysis Program - documentation
 Z88 features 20 finite element types covering plane stress, plate bending,
 axial symmetric structures and spacial structures up to 20-node Serendipity
 hexahedrons. Z88 comes with a user-friendly interface, a powerful mesh
 generator, a DXF-converter, two plot programs and, of course, two powerful
 solvers. Import of COSMOS files from Pro/ENGINEER and Pro/MECHANICA is
 supported.
 .
 This package contains the documentation
Homepage: http://z88.uni-bayreuth.de/
Tag: made-of::html, role::documentation
Section: doc
Priority: optional
Filename: pool/main/z/z88/z88-doc_13.0.0+dfsg2-3_all.deb

Package: z88dk
Version: 1.8.ds1-10
Architecture: armhf
Maintainer: Krystian Wlosek 
Installed-Size: 30
Depends: z88dk-bin (>= 1.8.ds1-10), z88dk-bin (<< 1.8.ds1-10.1~), z88dk-data (= 1.8.ds1-10), debconf (>= 0.5) | debconf-2.0
Suggests: z88dk-doc, z88dk-examples
Homepage: http://www.z88dk.org/
Priority: extra
Section: devel
Filename: pool/main/z/z88dk/z88dk_1.8.ds1-10_armhf.deb
Size: 5646
SHA256: 547e4feee0107a418a50d41c007cd7aa7c5896322045a3a9aff4e7805a1ca40e
SHA1: d3815b5342e5a26e7237b12f5c97093b23dd79e8
MD5sum: 2d11cc054917bbd43eb318280e6fe857
Description: Z80 processor assembler and SmallC+ cross compiler
 z88dk is a SmallC+ and Z80 assemler cross compiler supplied with an
 assembler/linker and a set of libraries implementing the C standard library
 for a number of different z80 based machines. The name z88dk originates from
 the time when the project was founded and supported only the Cambridge
 z88 portable.
 .
 Supported machines:
 Cambridge Computers z88, Sinclair ZX Spectrum, Sinclair ZX81, CP/M based
 machines, Amstrad NC100, VZ200/300, Sharp MZ series, TI calculators (TI82,
 TI83, TI83+, TI85, TI86), ABC80, Jupiter Ace, Xircom REX 6000, Peters
 Sprinter, Sam Coupe, MSX1, Spectravideo, Mattel Aquarius, Peters Sprinter,
 and C128 (in z80 mode) machines.
 .
 Features:
  * Small C+ based compiler with structs, floats and other ANSI extensions
  * Module assembler/linker which only includes required library routines
  * Peephole optimizer with rulesets that reduce the size of generated code
    by ~30% and improve speed
  * Easily retargetted C library including stdio routines
  * Retargettable VT100 terminal
  * Support for over a 25 z80 based machines (see below for details)

Package: z88dk-bin
Source: z88dk
Version: 1.8.ds1-10
Architecture: armhf
Maintainer: Krystian Wlosek 
Installed-Size: 315
Depends: z88dk-data (= 1.8.ds1-10), libc6 (>= 2.13-28)
Homepage: http://www.z88dk.org/
Priority: extra
Section: devel
Filename: pool/main/z/z88dk/z88dk-bin_1.8.ds1-10_armhf.deb
Size: 136656
SHA256: 36d4361368f23d616cc131bbe7cfb1ad8471224a8940f060b86d99e853716448
SHA1: 75b9b7f6b21c88cdfa0b474c07dd6baf1bc5c54d
MD5sum: de76d1b90f71dba1c90d54f06f9ba001
Description: executable files for z88dk
 z88dk is a Z80 processor cross compiler producing binaries for over
 25 different z80 based machines. This package contains binary files.

Package: z88dk-data
Source: z88dk
Version: 1.8.ds1-10
Installed-Size: 4833
Maintainer: Krystian Wlosek 
Architecture: all
Replaces: z88dk
Size: 1607982
SHA256: 8eaf9cbb6142b57a29b6c8b4e6a96a524f38b00f7723960f0e7235cf34823a4d
SHA1: 0ac861653ea9ab80d5358c654a3234b422a475e9
MD5sum: 7aef85cc6cc032fddbde3f56a8c3813e
Description: data files for z88dk
 This package contains any data files needed by z88dk tools.
Homepage: http://www.z88dk.org/
Tag: devel::compiler, devel::lang:c, hardware::emulation, role::app-data
Section: devel
Priority: extra
Filename: pool/main/z/z88dk/z88dk-data_1.8.ds1-10_all.deb

Package: z88dk-doc
Source: z88dk
Version: 1.8.ds1-10
Installed-Size: 475
Maintainer: Krystian Wlosek 
Architecture: all
Replaces: z88dk-examples
Provides: z88dk-examples
Conflicts: z88dk-examples
Size: 262800
SHA256: a7d02a6a8cd488b41fb518873f6c0a34a38976739d5fd4b27c832c0a3e389746
SHA1: 7b02c2ea344c6034a44131adea2d653d31d51afb
MD5sum: 71a230a8453e4d27af78fc62ae648358
Description: documentation and examples for z88dk
 This is documentation for z88dk compilers. Also example programs with sources
 are available. See the z88dk package for more details.
Homepage: http://www.z88dk.org/
Tag: devel::compiler, devel::doc, devel::lang:c, hardware::emulation,
 role::documentation
Section: doc
Priority: extra
Filename: pool/main/z/z88dk/z88dk-doc_1.8.ds1-10_all.deb

Package: zabbix-agent
Source: zabbix
Version: 1:1.8.11-1
Architecture: armhf
Maintainer: Christoph Haas 
Installed-Size: 560
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), debconf (>= 0.5) | debconf-2.0, adduser, ucf, lsb-base
Suggests: logrotate
Priority: optional
Section: net
Filename: pool/main/z/zabbix/zabbix-agent_1.8.11-1_armhf.deb
Size: 297552
SHA256: 308d7d2a53d7fc05623920835a518ce3734373ddc51fbfaebf074646bd92629a
SHA1: 386e1279a48f6a863acb6becf084427c126d31df
MD5sum: c422a129017367908d81588eefd8b4d5
Description: network monitoring solution - agent
 Zabbix is a server/client network monitoring system with many features.
 It can be used for:
 .
  - high level monitoring of IT services;
  - centralized monitoring of your servers and applications;
  - monitoring of SNMP-enabled devices;
  - performance monitoring (process load, network activity, disk
    activity, memory usage, OS parameters etc.);
  - data visualization.
 .
 This package provides the software needed to monitor a host using a
 Zabbix agent.

Package: zabbix-frontend-php
Source: zabbix
Version: 1:1.8.11-1
Installed-Size: 6245
Maintainer: Christoph Haas 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, apache2 | httpd, php5, php5-mysql | php5-pgsql, php5-gd, ucf, dbconfig-common (>= 1.8.19), libjs-prototype (>= 1.6.0.3), libjs-scriptaculous (>= 1.8.2), ttf-dejavu-core
Size: 1986632
SHA256: 2429eb04dc27cd13734e6a29dccadc6a8bd6e3ad045b91021335eeebb7f44d3d
SHA1: 2ddf1eb56efce046697d852b40bb0e311b7c448f
MD5sum: 3247373bad1220ccd52705581cdf08f8
Description: network monitoring solution - PHP front-end
 Zabbix is a server/client network monitoring system with many features.
 It can be used for:
 .
  - high level monitoring of IT services;
  - centralized monitoring of your servers and applications;
  - monitoring of SNMP-enabled devices;
  - performance monitoring (process load, network activity, disk
    activity, memory usage, OS parameters etc.);
  - data visualization.
 .
 This package provides a web-browsable front-end to the Zabbix server, which
 can display graphs of the data collected from clients.
Tag: admin::monitoring, devel::lang:sql, implemented-in::php, interface::web,
 protocol::http, role::program, use::monitor, web::application,
 works-with::db
Section: net
Priority: optional
Filename: pool/main/z/zabbix/zabbix-frontend-php_1.8.11-1_all.deb

Package: zabbix-proxy-mysql
Source: zabbix
Version: 1:1.8.11-1
Architecture: armhf
Maintainer: Christoph Haas 
Installed-Size: 868
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libmysqlclient16 (>= 5.1.50-1), libopenipmi0, libsnmp15 (>= 5.4.3~dfsg), libssh2-1 (>= 1.0), debconf (>= 0.5) | debconf-2.0, fping, adduser, dbconfig-common (>= 1.8.19), lsb-base
Recommends: mysql-server (>= 5.0)
Suggests: logrotate
Conflicts: zabbix-proxy-pgsql, zabbix-proxy-sqite3, zabbix-server-mysql, zabbix-server-pgsql
Priority: optional
Section: net
Filename: pool/main/z/zabbix/zabbix-proxy-mysql_1.8.11-1_armhf.deb
Size: 366318
SHA256: 2311160bf61a01711d2ea023f7ef7727d70bbcf3fa6c8407ed9662fd05153a29
SHA1: 49b445e21f8c2a4d7d0a80d3000c8dbbed8a22a9
MD5sum: 4deda6e2428b9b1f0d9d88ae18efeaa5
Description: network monitoring solution - proxy (using MySQL)
 Zabbix is a server/client network monitoring system with many features.
 It can be used for:
 .
  - high level monitoring of IT services;
  - centralized monitoring of your servers and applications;
  - monitoring of SNMP-enabled devices;
  - performance monitoring (process load, network activity, disk
    activity, memory usage, OS parameters etc.);
  - data visualization.
 .
 This package provides the software needed to integrate a host as a Zabbix
 proxy. It collects information from Zabbix agents, temporarily stores it
 in a MySQL database and then passes it to a Zabbix server.

Package: zabbix-proxy-pgsql
Source: zabbix
Version: 1:1.8.11-1
Architecture: armhf
Maintainer: Christoph Haas 
Installed-Size: 868
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libopenipmi0, libpq5, libsnmp15 (>= 5.4.3~dfsg), libssh2-1 (>= 1.0), debconf (>= 0.5) | debconf-2.0, fping, adduser, dbconfig-common (>= 1.8.19), lsb-base
Recommends: postgresql
Suggests: logrotate
Conflicts: zabbix-proxy-mysql, zabbix-proxy-sqlite3, zabbix-server-mysql, zabbix-server-pgsql
Priority: optional
Section: net
Filename: pool/main/z/zabbix/zabbix-proxy-pgsql_1.8.11-1_armhf.deb
Size: 368006
SHA256: 8e7e6fac965fe3f1fcec7eff2b0b94a751f510fecf35fdcae9028973b5238d5d
SHA1: 6967a5faa4d513b0452dcba152c15a7a9cfa78ab
MD5sum: 427eea04410b31ab4dfe524800bf80d1
Description: network monitoring solution - proxy (using PostgreSQL)
 Zabbix is a server/client network monitoring system with many features.
 It can be used for:
 .
  - high level monitoring of IT services;
  - centralized monitoring of your servers and applications;
  - monitoring of SNMP-enabled devices;
  - performance monitoring (process load, network activity, disk
    activity, memory usage, OS parameters etc.);
  - data visualization.
 .
 This package provides the software needed to integrate a host as a Zabbix
 proxy. It collects information from Zabbix agents, temporarily stores it
 in a PostgreSQL database and then passes it to a Zabbix server.

Package: zabbix-proxy-sqlite3
Source: zabbix
Version: 1:1.8.11-1
Architecture: armhf
Maintainer: Christoph Haas 
Installed-Size: 2506
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libldap-2.4-2 (>= 2.4.7), libopenipmi0, libsnmp15 (>= 5.4.3~dfsg), libsqlite3-0 (>= 3.5.9), libssh2-1 (>= 1.0), debconf (>= 0.5) | debconf-2.0, fping, adduser, dbconfig-common (>= 1.8.19), lsb-base
Suggests: logrotate
Conflicts: zabbix-proxy-mysql, zabbix-proxy-pgsql, zabbix-server-mysql, zabbix-server-pgsql
Priority: optional
Section: net
Filename: pool/main/z/zabbix/zabbix-proxy-sqlite3_1.8.11-1_armhf.deb
Size: 492654
SHA256: 22ba0e8a0cb71bc16bb57f0cbbc3f80f79ac07d803e0bda6ff0d1de49e08fd13
SHA1: ff39d233a62432188e8f56469f7ded9dc6e241eb
MD5sum: f1d629e98397b6c30e4ab2af0b38bbbd
Description: network monitoring solution - proxy (using SQLite3)
 Zabbix is a server/client network monitoring system with many features.
 It can be used for:
 .
  - high level monitoring of IT services;
  - centralized monitoring of your servers and applications;
  - monitoring of SNMP-enabled devices;
  - performance monitoring (process load, network activity, disk
    activity, memory usage, OS parameters etc.);
  - data visualization.
 .
 This package provides the software needed to integrate a host as a Zabbix
 proxy. It collects information from Zabbix agents, temporarily stores it
 in an SQLite3 database and then passes it to a Zabbix server.

Package: zabbix-server-mysql
Source: zabbix
Version: 1:1.8.11-1
Architecture: armhf
Maintainer: Christoph Haas 
Installed-Size: 4264
Pre-Depends: debconf
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libiksemel3, libldap-2.4-2 (>= 2.4.7), libmysqlclient16 (>= 5.1.50-1), libopenipmi0, libsnmp15 (>= 5.4.3~dfsg), libssh2-1 (>= 1.0), debconf (>= 0.5) | debconf-2.0, fping, adduser, dbconfig-common (>= 1.8.19), lsb-base
Recommends: mysql-server (>= 5.0), snmpd
Suggests: zabbix-frontend-php, logrotate
Conflicts: zabbix-proxy-mysql, zabbix-proxy-pgsql, zabbix-proxy-sqlite3, zabbix-server-pgsql
Priority: optional
Section: net
Filename: pool/main/z/zabbix/zabbix-server-mysql_1.8.11-1_armhf.deb
Size: 689692
SHA256: 5861a7905375a9dc5360a04f77e9cf36a885eadd0caaa0513e2a0cff6d2a03bc
SHA1: 7354970a5503eb319ec074b0e1f998fe08fc8d1c
MD5sum: db7830bd705757b31138732d8b1b079f
Description: network monitoring solution - server (using MySQL)
 Zabbix is a server/client network monitoring system with many features.
 It can be used for:
 .
  - high level monitoring of IT services;
  - centralized monitoring of your servers and applications;
  - monitoring of SNMP-enabled devices;
  - performance monitoring (process load, network activity, disk
    activity, memory usage, OS parameters etc.);
  - data visualization.
 .
 This package provides the software needed to integrate a host as a Zabbix
 client. It collects information from Zabbix clients and stores it in a
 MySQL database.

Package: zabbix-server-pgsql
Source: zabbix
Version: 1:1.8.11-1
Architecture: armhf
Maintainer: Christoph Haas 
Installed-Size: 4266
Pre-Depends: debconf
Depends: libc6 (>= 2.13-28), libcurl3-gnutls (>= 7.16.2), libgcc1 (>= 1:4.4.0), libiksemel3, libldap-2.4-2 (>= 2.4.7), libopenipmi0, libpq5, libsnmp15 (>= 5.4.3~dfsg), libssh2-1 (>= 1.0), debconf (>= 0.5) | debconf-2.0, fping, adduser, dbconfig-common (>= 1.8.19), lsb-base
Recommends: postgresql, snmpd
Suggests: zabbix-frontend-php, logrotate
Conflicts: zabbix-proxy-mysql, zabbix-proxy-pgsql, zabbix-proxy-sqlite3, zabbix-server-mysql
Priority: optional
Section: net
Filename: pool/main/z/zabbix/zabbix-server-pgsql_1.8.11-1_armhf.deb
Size: 693014
SHA256: 590ba456b9621f965dfead9f7ce2f74eb1b3c63afa6061dd405a2bbb0c6f72a5
SHA1: e0105478fd35bea5a3771f67cf075ee1ef9e6e8c
MD5sum: 6e1cfb24328b6dcf8c9ee2925bb68a69
Description: network monitoring solution - server (using PostgreSQL)
 Zabbix is a server/client network monitoring system with many features.
 It can be used for:
 .
  - high level monitoring of IT services;
  - centralized monitoring of your servers and applications;
  - monitoring of SNMP-enabled devices;
  - performance monitoring (process load, network activity, disk
    activity, memory usage, OS parameters etc.);
  - data visualization.
 .
 This package provides the software needed to integrate a host as a Zabbix
 client. It collects information from Zabbix clients and stores it in a
 PostgreSQL database.

Package: zanshin
Version: 0.2.1-1
Architecture: armhf
Maintainer: Debian KDE Extras Team 
Installed-Size: 1112
Depends: kde-runtime, kdepim-runtime, libakonadi-kde4 (>= 4:4.5.86), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libkcalcore4 (>= 4:4.5.86), libkdecore5 (>= 4:4.4.0), libkdeui5 (>= 4:4.6.4), libkio5 (>= 4:4.3.4), libkontactinterface4 (>= 4:4.4.0), libkparts4 (>= 4:4.5.85), libnepomuk4 (>= 4:4.3.4), libnepomukutils4 (>= 4:4.5.85), libplasma3 (>= 4:4.4.4-2~), libqt4-dbus (>= 4:4.5.3), libqt4-network (>= 4:4.5.3), libqt4-svg (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.8.0), libsoprano4 (>= 2.1.1), libstdc++6 (>= 4.4.0)
Homepage: http://zanshin.kde.org/
Priority: optional
Section: kde
Filename: pool/main/z/zanshin/zanshin_0.2.1-1_armhf.deb
Size: 363198
SHA256: 075b9fe2a5bf9a2fefe20a4af739b3c23afba597b24d25901c7ae30aeec297cb
SHA1: 660d3558312ad5af97bd33f5d10388f3f33d85be
MD5sum: e4306f23681203dbf02a4be787dbd81f
Description: to-do list manager
 Zanshin is a powerful yet simple application for managing your day to day
 actions and notes. It helps you organize and reduce the cognitive pressure of
 what one has to do in his job and personal life. You'll never forget anything
 anymore, getting your mind like water.

Package: zapping
Version: 0.10~cvs6-8
Architecture: armhf
Maintainer: Robert Luberda 
Installed-Size: 2841
Depends: gconf2 (>= 2.28.1-2), gconf-service, libc6 (>= 2.13-28), libesd0 (>= 0.2.35), libgconf-2-4 (>= 2.31.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglade2-0 (>= 1:2.6.1), libglib2.0-0 (>= 2.24.0), libgnome2-0 (>= 2.17.3), libgnomeui-0 (>= 2.22.0), libgnomevfs2-0 (>= 1:2.17.90), libgtk2.0-0 (>= 2.24.0), libjpeg8 (>= 8c), liblircclient0, libpango1.0-0 (>= 1.14.0), libpng12-0 (>= 1.2.13-4), libpython2.7 (>= 2.7), libx11-6, libxext6, libxinerama1, libxml2 (>= 2.7.4), libxmu6, libxv1, libxxf86dga1, libxxf86vm1, libzvbi0 (>= 0.2.11)
Homepage: http://zapping.sourceforge.net/
Priority: extra
Section: gnome
Filename: pool/main/z/zapping/zapping_0.10~cvs6-8_armhf.deb
Size: 1234856
SHA256: 63bdd5117e5b3dbd522a2e2921f60f154f36a8f5c809c98ed97bc040c06f2209
SHA1: 510573a2dc0c3e8b72ee7bc5d91f1a7b89c1045d
MD5sum: f7d281d4e70d6873b6349f1d85026963
Description: television viewer for the GNOME environment
 It is based on plugins. This will make it easy to add functionality to the
 program, without making it hard to maintain, such as saving video as
 AVI/MPEG, viewing mirrored TV (scanning from right to left) or whatever you
 can imagine (and program).

Package: zapping-dbg
Source: zapping
Version: 0.10~cvs6-8
Architecture: armhf
Maintainer: Robert Luberda 
Installed-Size: 2150
Depends: zapping (= 0.10~cvs6-8)
Homepage: http://zapping.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/z/zapping/zapping-dbg_0.10~cvs6-8_armhf.deb
Size: 1865680
SHA256: f95649dd8a160ad0c0e5cfbfb0dcb7b1176852aac30033775f0ed8cb0760e861
SHA1: 341e2e6ba01c02764174f46fa2fc75817e8b81b3
MD5sum: ee5ddf67000e01fe15759decffa3dfa5
Description: detached debugging symbols for zapping
 This package contains debugging symbols for zapping, which is a television
 viewer for GNOME environment.
 .
 It is based on plugins. This will make it easy to add functionality to the
 program, without making it hard to maintain, such as saving video as
 AVI/MPEG, viewing mirrored TV (scanning from right to left) or whatever you
 can imagine (and program).

Package: zatacka
Version: 0.1.8-2
Architecture: armhf
Maintainer: Anibal Avelar 
Installed-Size: 199
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsdl-image1.2 (>= 1.2.10), libsdl-ttf2.0-0, libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0)
Homepage: http://zatacka.sourceforge.net/
Priority: optional
Section: games
Filename: pool/main/z/zatacka/zatacka_0.1.8-2_armhf.deb
Size: 74630
SHA256: 3433812dc56aa2d22177eff27610aa5dc030677a24eadb7292ad3a62ebfdb9dc
SHA1: 09d1ccefaf05ab1ebe94d06a1c3bb63d58f57677
MD5sum: 6c708b5d5d4e700514084f45559225d7
Description: Arcade multiplayer game like nibbles
 This is an arcade multiplayer game for 2 - 6 players on one keyboard, clone
 of Achtung die Kurve classical dos game. You handle your line and you must
 sidetrack lines of other players and of course walls. When some player dies,
 survival gets one point. When only one player is left alive, the round is
 finished. Each game has eleven rounds.

Package: zathura
Version: 0.1.2-4
Architecture: armhf
Maintainer: Sebastian Ramacher 
Installed-Size: 320
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.8.8), libgirara-gtk2-0 (>= 0.1.2), libglib2.0-0 (>= 2.31.8), libgtk2.0-0 (>= 2.18.6), libpoppler-glib8 (>= 0.18), libsqlite3-0 (>= 3.5.9)
Suggests: www-browser, poppler-data, zathura-ps, zathura-djvu
Provides: pdf-viewer, zathura-abi-1
Homepage: http://pwmt.org/projects/zathura
Priority: optional
Section: text
Filename: pool/main/z/zathura/zathura_0.1.2-4_armhf.deb
Size: 78542
SHA256: bdb107919cf19d62a59484fcb7b04788e9d9ea3db30ec916d8a3a57c6d4c166b
SHA1: 39b067b471f4363a5f602000c45472f04c171420
MD5sum: eedaa2ad310bb7d4909fe624a188d95f
Description: PDF viewer with a minimalistic interface
 zathura is a PDF viewer with a minimalistic and space saving interface.
 It offers a vim-like experience and has a focus on keyboard interaction.
 The key bindings, commands and most other settings can be customized.
 .
 Some of the features are:
  * bookmarking pages
  * printing the whole document or specific pages
  * following links
  * searching in the document
  * browsing the document index
 .
 zathura can be extended to support other file formats via a plugin system.

Package: zathura-dev
Source: zathura
Version: 0.1.2-4
Installed-Size: 33
Maintainer: Sebastian Ramacher 
Architecture: all
Depends: libgirara-dev (>= 0.1.2), libgtk2.0-dev (>= 2.18.6), libcairo2-dev (>= 1.8.8)
Size: 13114
SHA256: 3bf650142e89ca1cc8684f946f5841e31a10564e2ce346ea57e71d454114ac60
SHA1: 570c41ceab8a37d59da557776edeb5f9aabae526
MD5sum: ec06ea2292ddabb0e4fcd6fbff253e42
Description: development files for zathura plugins
 zathura is a PDF viewer with a minimalistic and space saving interface. It
 can be extended to support other file formats via a plugin system.
 .
 This package contains the files to develop plugins.
Multi-Arch: foreign
Homepage: http://pwmt.org/projects/zathura
Tag: devel::library, role::devel-lib
Section: libdevel
Priority: optional
Filename: pool/main/z/zathura/zathura-dev_0.1.2-4_all.deb

Package: zathura-djvu
Source: zathura-extras
Version: 0.1-1
Architecture: armhf
Maintainer: Sebastian Ramacher 
Installed-Size: 49
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libdjvulibre21 (>= 3.5.25.2), libgirara-gtk2-0 (>= 0.1.0), libglib2.0-0 (>= 2.16.0), zathura-abi-1
Enhances: zathura
Provides: djvu-viewer
Homepage: http://pwmt.org/projects/zathura
Priority: optional
Section: text
Filename: pool/main/z/zathura-extras/zathura-djvu_0.1-1_armhf.deb
Size: 8844
SHA256: af99f242eebeec180a363b1280c6e0388f45fb69c9e5e369c4a0bc099def0027
SHA1: 5ecf0db4194f21900129dcf38a27adfc01945669
MD5sum: 9ecfb7bd67244df72ddb3a3f782a124c
Description: DjVu support for zathura
 zathura is a document viewer, which can be exteneded to support additional
 file formats via a plugin system. This package contains zathura's DjVu plugin.
 .
 At the moment the plugin does not offer the full functionality. One is only
 able to search for text, to copy text and to save the document.

Package: zathura-ps
Source: zathura-extras
Version: 0.1-1
Architecture: armhf
Maintainer: Sebastian Ramacher 
Installed-Size: 45
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libgirara-gtk2-0 (>= 0.1.0), libglib2.0-0 (>= 2.16.0), libspectre1 (>= 0.2.3), zathura-abi-1
Enhances: zathura
Provides: postscript-viewer
Homepage: http://pwmt.org/projects/zathura
Priority: optional
Section: text
Filename: pool/main/z/zathura-extras/zathura-ps_0.1-1_armhf.deb
Size: 6458
SHA256: aa4f70b5ac47da82bf2a9e17bc721ec9f9df1c4a7135bdeb1eb671d0e722e1dd
SHA1: cbe6dd4a3165dd4e0a336c35eb7bca3e653d640d
MD5sum: ee12312638b80e13f3593a930d93b02d
Description: PostScript support for zathura
 zathura is a document viewer, which can be exteneded to support additional
 file formats via a plugin system. This package contains zathura's PostScript
 plugin.
 .
 At the moment the plugin does not offer the full functionality. One is only
 able to extract document information and to save the document.

Package: zaz
Version: 1.0.0~dfsg1-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 604
Depends: ttf-freefont, zaz-data (>= 1.0.0~dfsg1-1), libc6 (>= 2.13-28), libftgl2 (>= 2.1.3~rc5), libgcc1 (>= 1:4.4.0), libgl1-mesa-glx | libgl1, libogg0 (>= 1.0rc3), libsdl-image1.2 (>= 1.2.10), libsdl1.2debian (>= 1.2.11), libstdc++6 (>= 4.4.0), libtheora0 (>= 1.0), libvorbis0a (>= 1.1.2), libvorbisenc2 (>= 1.1.2), libvorbisfile3 (>= 1.1.2)
Suggests: zaz-dbg (= 1.0.0~dfsg1-1)
Homepage: http://phuzzboxmedia.com/index.php/games/open-sourced-zaz
Priority: optional
Section: games
Filename: pool/main/z/zaz/zaz_1.0.0~dfsg1-1_armhf.deb
Size: 238106
SHA256: 12749396834a901935a16944695a078f22f3235835270500fde3db44857b7ec7
SHA1: e36577cc2ead1f6162b4bf9320cbb3a19c33f849
MD5sum: 76ceb10983b5ff4d6fa8074350bb98dd
Description: arcade action puzzle game
 Zaz is an arcade action puzzle game where the goal is to get rid of
 all incoming balls by rearranging their order and making triplets.
 It currently includes 12 different levels. The game's name is recursive
 and stands for "Zaz ain't Z".
 .
 A 3D accelerator is needed for decent gameplay.

Package: zaz-data
Source: zaz
Version: 1.0.0~dfsg1-1
Installed-Size: 11431
Maintainer: Debian Games Team 
Architecture: all
Recommends: zaz (>= 1.0.0~dfsg1-1)
Size: 10122846
SHA256: 321060375651b1f10371a1f86756c9b8fa3aa5051b61b9a5857518b05d17e36e
SHA1: 4f32d9b68cf0822c8112a67a32cf8fedd61d618d
MD5sum: f311ce54b60c905f1dec642663dcf745
Description: arcade action puzzle game - game data
 Zaz is an arcade action puzzle game where the goal is to get rid of
 all incoming balls by rearranging their order.
 .
 This package contains the game data.
Homepage: http://phuzzboxmedia.com/index.php/games/open-sourced-zaz
Tag: role::app-data
Section: games
Priority: extra
Filename: pool/main/z/zaz/zaz-data_1.0.0~dfsg1-1_all.deb

Package: zaz-dbg
Source: zaz
Version: 1.0.0~dfsg1-1
Architecture: armhf
Maintainer: Debian Games Team 
Installed-Size: 4196
Depends: zaz (= 1.0.0~dfsg1-1)
Homepage: http://phuzzboxmedia.com/index.php/games/open-sourced-zaz
Priority: extra
Section: debug
Filename: pool/main/z/zaz/zaz-dbg_1.0.0~dfsg1-1_armhf.deb
Size: 1476030
SHA256: be2edce1e7648eb64f9eaa86e7e6d8a2b67b4f76362864ce23d4761bef6c88b7
SHA1: 6a6af565a376516214d5044ff50287d270ab0041
MD5sum: aa45b94bc73f7a98754a7dd4750d0e77
Description: arcade action puzzle game - debug
 Zaz is an arcade action puzzle game where the goal is to get rid of
 all incoming balls by rearranging their order.
 .
 This package contains the debugging symbols.

Package: zbar-dbg
Source: zbar
Version: 0.10+doc-8
Architecture: armhf
Maintainer: Bernd Zeimetz 
Installed-Size: 1590
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libjpeg8 (>= 8c), libpango1.0-0 (>= 1.14.0), libv4l-0 (>= 0.5.0), libzbar0 (>= 0.10), libzbargtk0 (>= 0.10), python-dbg (>= 2.6), python-dbg (<< 2.8), zbar-tools (= 0.10+doc-8) | libzbar0 (= 0.10+doc-8) | libzbar-dev (= 0.10+doc-8) | python-zbarpygtk (= 0.10+doc-8) | python-zbar (= 0.10+doc-8) | libzbargtk0 (= 0.10+doc-8) | libzbargtk-dev (= 0.10+doc-8) | libzbarqt0 (= 0.10+doc-8) | libzbarqt-dev (= 0.10+doc-8) | libbarcode-zbar-perl (= 0.10+doc-8)
Recommends: python-gtk2-dbg
Homepage: http://zbar.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/z/zbar/zbar-dbg_0.10+doc-8_armhf.deb
Size: 552106
SHA256: 5b39aea89ee12181910d4ca40ce2ef864c12020423e3078327acefe8815817c5
SHA1: 7e0e2dab27eb6fa9e713de9d2c5e9b47c9791e19
MD5sum: f11731a86ce7b9489545de6453437733
Description: bar code scanner and decoder (debug)
 ZBar is a library for scanning and decoding bar codes from various sources
 such as video streams, image files or raw intensity sensors. It supports
 EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code.
 .
 This package contains the debugging symbols and Python debug extensions.

Package: zbar-tools
Source: zbar
Version: 0.10+doc-8
Architecture: armhf
Maintainer: Bernd Zeimetz 
Installed-Size: 92
Depends: libc6 (>= 2.13-28), libgomp1 (>= 4.2.1), libjpeg8 (>= 8c), libmagickcore5 (>= 8:6.7.7.10), libmagickwand5 (>= 8:6.7.7.10), libv4l-0 (>= 0.5.0), libzbar0 (= 0.10+doc-8)
Homepage: http://zbar.sourceforge.net/
Priority: optional
Section: graphics
Filename: pool/main/z/zbar/zbar-tools_0.10+doc-8_armhf.deb
Size: 37188
SHA256: 36aa7a179f26e513a4987b4ad94195b367e786f3c6bdf05aa80b331ae105b10f
SHA1: dbf477aeb0a2f7fc976920074672b9b8612dd616
MD5sum: ed68960bae1c6443e5a1d83e29e2f87d
Description: bar code scanner and decoder (utilities)
 ZBar is a library for scanning and decoding bar codes from various sources
 such as video streams, image files or raw intensity sensors. It supports
 EAN-13/UPC-A, UPC-E, EAN-8, Code 128, Code 39, Interleaved 2 of 5 and QR Code.
 .
 This package contains basic applications for decoding captured bar code images
 and using a video4linux device (e.g. webcam) as a bar code scanner.

Package: zec
Version: 0.12-2
Installed-Size: 116
Maintainer: Clint Adams 
Architecture: all
Depends: zsh
Size: 12092
SHA256: b419d0d03f90c19b26d87549c99b74aeadbd54cf872c0a9b0d59b15fe051c5d5
SHA1: b017114978154d8245756209b099cc118cf21258
MD5sum: 304520d3c837f30c74675f6c251699ad
Description: Z-Shell Empire client
 zec is a client for Empire (http://www.wolfpackempire.com/),
 a real time, multiplayer, internet-based game, featuring military,
 diplomatic, and economic goals.
 .
 Currently there are two incarnations of zec: zec, and zecsh.
 .
 zec itself is a traditional script in the style of pei.  While it is not
 as full-featured as pei, it does have the advantage of ZLE support.
 .
 zecsh is an experimental script, intended to be sourced from a running
 shell instance, in order to give the user the ability to intersperse
 normal shell constructs with Empire commands.
 .
 At this time, zecsh is considerably less stable than zec.
Tag: game::strategy, implemented-in::shell, network::client, role::program,
 use::gameplaying
Section: games
Priority: optional
Filename: pool/main/z/zec/zec_0.12-2_all.deb

Package: zeitgeist
Version: 0.9.0.1-1
Installed-Size: 33
Maintainer: Siegfried-Angel Gevatter Pujals 
Architecture: all
Depends: zeitgeist-core, python-zeitgeist, zeitgeist-datahub
Size: 7570
SHA256: 12a520efb61c136931f42950d2c4c11a2c43032e1b63739c27b3cad256acc2c0
SHA1: 161c500b6ad887abfc6fc4eb7c8059e4f22bcf24
MD5sum: 14f4be35f0888a0afcaef9c80ce9189d
Description: event logging framework
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations held with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 This metapackage depends on the Zeitgeist engine and a set of packages
 (such as data providers) commonly used together with it.
Homepage: http://zeitgeist-project.com/
Tag: role::metapackage
Section: utils
Priority: optional
Filename: pool/main/z/zeitgeist/zeitgeist_0.9.0.1-1_all.deb

Package: zeitgeist-core
Source: zeitgeist
Version: 0.9.0.1-1
Architecture: armhf
Maintainer: Siegfried-Angel Gevatter Pujals 
Installed-Size: 691
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.30.0), libsqlite3-0 (>= 3.5.9), libstdc++6 (>= 4.4.0), libxapian22, python-zeitgeist
Suggests: zeitgeist-datahub
Provides: zeitgeist-extension-fts
Homepage: http://zeitgeist-project.com/
Priority: optional
Section: utils
Filename: pool/main/z/zeitgeist/zeitgeist-core_0.9.0.1-1_armhf.deb
Size: 268820
SHA256: 1508ac80370772efeb900f011e711d87a99dc9d79c49fc176a28ae1161e47c9d
SHA1: d7ca1559383b80aee821cc20e71f1df8015321f4
MD5sum: 2fe39bbd59ade96b924918727f4c03b8
Description: event logging framework - engine
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations held with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 This package contains the main daemon (in its new Vala implementation,
 codenamed "Bluebird"). It also includes the FTS (Full Text Search)
 extension.

Package: zeitgeist-datahub
Version: 0.8.2-1
Architecture: armhf
Maintainer: Siegfried-Angel Gevatter Pujals 
Installed-Size: 130
Depends: libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.30.0), libgtk2.0-0 (>= 2.16.0), libpango1.0-0 (>= 1.14.0), libzeitgeist-1.0-1 (>= 0.3.2), zeitgeist-core (>= 0.5.0~)
Enhances: zeitgeist-core
Homepage: https://launchpad.net/zeitgeist-datahub
Priority: optional
Section: utils
Filename: pool/main/z/zeitgeist-datahub/zeitgeist-datahub_0.8.2-1_armhf.deb
Size: 33446
SHA256: f977aae441ba7429d15ce50cd6fdd13068865d254a2227ec178a7dd405b1acdd
SHA1: e45660587982db37c8ca725154f82d376f834325
MD5sum: ae6d989a6a84bb09e1d2569198f6656f
Description: event logging framework - passive logging daemon
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations hold with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 This package contains zeitgeist-datahub, a daemon which starts together with
 the main engine and inserts information collected from GtkRecentlyUsed into
 it.

Package: zeitgeist-extension-fts
Source: zeitgeist-extensions
Version: 0.0.6-1
Installed-Size: 84
Maintainer: Siegfried-Angel Gevatter Pujals 
Architecture: all
Replaces: zeitgeist-extensions (<= 0.2.0-1)
Provides: zeitgeist-fts-extension
Depends: python, python-support (>= 0.90.0), zeitgeist-core (>= 0.4.0+bzr20100717), python-xdg, python-dbus, python-xapian, python-gobject
Size: 9252
SHA256: dea95029ba80b240346795379a390a3dccd479d5849ab1b9be9b45eedcec1ec4
SHA1: 6bce67eaca3b99cde33321bd21b87fb1221010fb
MD5sum: a498c49f66a9272c5e78b228ac725781
Description: full text search extension for the Zeitgeist engine
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations hold with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 This package contains an extension which adds full text indexing and
 search capabilities to the Zeitgeist engine.
Homepage: https://launchpad.net/zeitgeist-extensions
Tag: role::plugin
Section: utils
Priority: optional
Filename: pool/main/z/zeitgeist-extensions/zeitgeist-extension-fts_0.0.6-1_all.deb

Package: zeitgeist-extension-geolocation
Source: zeitgeist-extensions
Version: 0.0.6-1
Installed-Size: 84
Maintainer: Siegfried-Angel Gevatter Pujals 
Architecture: all
Depends: zeitgeist-core (>= 0.4.0+bzr20100717), python-dbus, python-geoclue, geoclue
Size: 6166
SHA256: 2ced0bb82f3f6f4c06bfeee20d8a3c496996faa43e35ef3a903a511a3c018b4b
SHA1: 0a1ee12445d0ff2c41a38e3c3792c3fa794877ba
MD5sum: a2bb2246aeba16caba309f8f68be9439
Description: geolocation extension for the Zeitgeist engine
 Zeitgeist is a service which logs the user's activities and events (files
 opened, websites visited, conversations hold with other people, etc.) and
 makes the relevant information available to other applications.
 .
 It serves as a comprehensive activity log and also makes it possible to
 determine relationships between items based on usage patterns.
 .
 This package contains an extension which adds geolocation capabilities to the
 Zeitgeist engine, storing the location where every event is logged.
 .
 This extension is still in a very early state and may slow down the Zeitgeist
 engine or cause other instabilities. The API it exposes is also still likely to
 change.
Homepage: https://launchpad.net/zeitgeist-extensions
Tag: role::plugin
Section: utils
Priority: optional
Filename: pool/main/z/zeitgeist-extensions/zeitgeist-extension-geolocation_0.0.6-1_all.deb

Package: zemberek-java-demo
Source: zemberek
Version: 2.1.1-8.1
Installed-Size: 46
Maintainer: Rail Aliev 
Architecture: all
Depends: libzemberek-java, libzemberek-tr-java | libzemberek-tk-java
Size: 28906
SHA256: 2043aa4b6109b4209605e9387cd0608b333c46155937df413a136e7f16820154
SHA1: 8a5283b1bd274ee3e3194fb9e740517034f85959
MD5sum: 6c97e5758f29fee9eb54cb0a463c8c89
Description: Zemberek demo application
 Zemberek is an open source, platform independent, general purpose Natural
 Language Processing library and toolset designed for Turkic languages,
 especially Turkish.
 .
 This package contains demo application with Swing interface.
Homepage: http://code.google.com/p/zemberek/
Section: java
Priority: optional
Filename: pool/main/z/zemberek/zemberek-java-demo_2.1.1-8.1_all.deb

Package: zemberek-server
Version: 0.7.1-12.1
Installed-Size: 54
Maintainer: Rail Aliev 
Architecture: all
Depends: libzemberek-java, libzemberek-tr-java, libcommons-logging-java, libmina-java, libdbus-java, libslf4j-java, libmatthew-debug-java, libunixsocket-java, adduser (>= 3.48), default-jre-headless | openjdk-6-jre-headless
Recommends: zpspell
Size: 31708
SHA256: 05bd6f53f739d7deb503cf7e4ac0ce29f22c5201351a1da88e58bfe4622f4ae1
SHA1: 0ce11f696eb6833340812063d8f8bfec32afd81d
MD5sum: dc677a0b173c975d0c5688403ab78806
Description: Turkish spellcheck server
 Zemberek is an open source, platform independent, general purpose Natural
 Language Processing library and toolset designed for Turkic languages,
 especially Turkish.
 .
 This package contains spellchek server which works via TCP/IP and DBUS.
Homepage: http://code.google.com/p/zemberek/
Tag: culture::turkish, implemented-in::java, network::server, role::program,
 use::checking
Section: text
Priority: optional
Filename: pool/main/z/zemberek-server/zemberek-server_0.7.1-12.1_all.deb

Package: zendframework
Version: 1.11.13-1.1+deb7u6
Installed-Size: 24027
Maintainer: Frank Habermann 
Architecture: all
Depends: php5 | php5-cli
Suggests: php5-curl, php5-gd, php5-imap, php5-ldap, php5-mysql, php5-pgsql, php5-json, php5-sqlite
Size: 3738450
SHA256: 3c719b61916d3d4ba0d481255c540824b0953eef56423cfae0e00b6118e2922d
SHA1: 8bf2fd2b18a5eb391be7ac9a4e71889b4a3fe286
MD5sum: fbb223c9d81248628721f5a8b42e66ad
Description: powerful PHP framework
 Extending the art & spirit of PHP, Zend Framework is based on simplicity,
 object-oriented best practices, corporate friendly licensing, and a rigorously
 tested agile codebase. Zend Framework is focused on building more secure,
 reliable, and modern Web 2.0 applications & web services, and consuming widely
 available APIs from leading vendors like Google, Amazon, Yahoo!, Flickr, as
 well as API providers and cataloguers like StrikeIron and ProgrammableWeb.
Homepage: http://framework.zend.com
Tag: implemented-in::php
Section: web
Priority: optional
Filename: pool/main/z/zendframework/zendframework_1.11.13-1.1+deb7u6_all.deb

Package: zendframework-bin
Source: zendframework
Version: 1.11.13-1.1+deb7u6
Installed-Size: 27
Maintainer: Frank Habermann 
Architecture: all
Depends: zendframework, php5-cli
Size: 11196
SHA256: cce36de61cf6369fc37367f2e90ffe6d106f4096c6261e1f0d177463e9f4257a
SHA1: 75d3545af9f7f73bd31b90d55d4e9dd683b5bb90
MD5sum: eea4ec5fb9cf6d233257ba35b4355398
Description: binary scripts for zendframework
 Extending the art & spirit of PHP, Zend Framework is based on simplicity,
 object-oriented best practices, corporate friendly licensing, and a rigorously
 tested agile codebase. Zend Framework is focused on building more secure,
 reliable, and modern Web 2.0 applications & web services, and consuming widely
 available APIs from leading vendors like Google, Amazon, Yahoo!, Flickr, as
 well as API providers and cataloguers like StrikeIron and ProgrammableWeb.
Homepage: http://framework.zend.com
Section: web
Priority: optional
Filename: pool/main/z/zendframework/zendframework-bin_1.11.13-1.1+deb7u6_all.deb

Package: zendframework-resources
Source: zendframework
Version: 1.11.13-1.1+deb7u6
Installed-Size: 280
Maintainer: Frank Habermann 
Architecture: all
Depends: zendframework, php5-cli
Size: 40042
SHA256: d1baf4ca80ad71588734f06c851db601f85c76256f55b19b38e1e593fad6a032
SHA1: 8aa054232380bf9b2419c142b5983e8ca721c2b9
MD5sum: b521da268065aa5bf0464fc83ec8ff23
Description: resource scripts for zendframework
 Extending the art & spirit of PHP, Zend Framework is based on simplicity,
 object-oriented best practices, corporate friendly licensing, and a rigorously
 tested agile codebase. Zend Framework is focused on building more secure,
 reliable, and modern Web 2.0 applications & web services, and consuming widely
 available APIs from leading vendors like Google, Amazon, Yahoo!, Flickr, as
 well as API providers and cataloguers like StrikeIron and ProgrammableWeb.
Homepage: http://framework.zend.com
Section: web
Priority: optional
Filename: pool/main/z/zendframework/zendframework-resources_1.11.13-1.1+deb7u6_all.deb

Package: zenity
Version: 3.4.0-2
Architecture: armhf
Maintainer: Debian GNOME Maintainers 
Installed-Size: 311
Depends: libc6 (>= 2.13-28), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.24.0), libgtk-3-0 (>= 3.0.0), libnotify4 (>= 0.7.0), libpango1.0-0 (>= 1.14.0), libwebkitgtk-3.0-0 (>= 1.3.10), libx11-6, zenity-common (= 3.4.0-2)
Multi-Arch: foreign
Homepage: http://live.gnome.org/Zenity
Priority: optional
Section: gnome
Filename: pool/main/z/zenity/zenity_3.4.0-2_armhf.deb
Size: 228298
SHA256: 41f2ddcd37b3ed7fd82d97d208567ef1ae5a043c1db3f417bce2f70472cdaff4
SHA1: f7cd75dd49e57650cac1db1866220302f120b62b
MD5sum: 8f8d14e5edb3af616c6d15b513a5c018
Description: Display graphical dialog boxes from shell scripts
 Zenity allows you to display GTK+ dialogs from shell scripts; it is a
 rewrite of the `gdialog' command from GNOME 1.
 .
 Zenity includes a gdialog wrapper script so that it can be used with
 legacy scripts.

Package: zenity-common
Source: zenity
Version: 3.4.0-2
Installed-Size: 6268
Maintainer: Debian GNOME Maintainers 
Architecture: all
Replaces: zenity (<< 2.91.5-1~)
Breaks: zenity (<< 2.91.5-1~)
Size: 3755304
SHA256: 1ef6dbc8d173842eade76388750ae8d80ca0678b4cfeaa78e4d56fe4e5bee27c
SHA1: 68291f3cb1f1c7a66c660d71210e2adb4ab75a62
MD5sum: bff7d3ee90a960295395f929e4a1b46a
Description: Display graphical dialog boxes from shell scripts (common files)
 Zenity allows you to display GTK+ dialogs from shell scripts; it is a
 rewrite of the `gdialog' command from GNOME 1.
 .
 Zenity includes a gdialog wrapper script so that it can be used with
 legacy scripts.
 .
 This package contains architecture independent files.
Homepage: http://live.gnome.org/Zenity
Tag: role::app-data
Section: gnome
Priority: optional
Filename: pool/main/z/zenity/zenity-common_3.4.0-2_all.deb

Package: zenmap
Source: nmap
Version: 6.00-0.3+deb7u1
Architecture: armhf
Maintainer: LaMont Jones 
Installed-Size: 2177
Depends: python (>= 2.6.6-7~), python (<< 2.8), nmap, python-gtk2, python-gobject
Recommends: gksu
Conflicts: nmapfe
Replaces: nmapfe
Provides: nmapfe
Priority: extra
Section: net
Filename: pool/main/n/nmap/zenmap_6.00-0.3+deb7u1_armhf.deb
Size: 548248
SHA256: 6d5481fd38297be20bc435d10d43821416f3ec6932f790066dce017053ee1cbf
SHA1: e0b89712c5215b54ffe7ce440509ea9632e61257
MD5sum: a10c9a25d927c59894d63d9d821a1fb1
Description: The Network Mapper Front End
 Zenmap is an Nmap frontend. It is meant to be useful for advanced users
 and to make Nmap easy to use by beginners. It was originally derived
 from Umit, an Nmap GUI created as part of the Google Summer of Code.

Package: zephyr-clients
Source: zephyr
Version: 3.0.2-2
Architecture: armhf
Maintainer: Karl Ramm 
Installed-Size: 306
Depends: libc-ares2 (>= 1.7.0), libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libhesiod0, libncurses5 (>= 5.5-5~), libreadline6 (>= 6.0), libss2 (>= 1.01), libtinfo5, libx11-6, libzephyr4, debconf (>= 0.5) | debconf-2.0, debianutils (>= 1.6)
Replaces: zephyr-client
Priority: optional
Section: net
Filename: pool/main/z/zephyr/zephyr-clients_3.0.2-2_armhf.deb
Size: 128862
SHA256: ce4cc542fbcf4f0f4dd2b9866f1d53105e2df7087ed9ce42d9947a95dc678cc1
SHA1: 469d2f10d8dbc399cfa2d41f2a52a0fbd85d7c33
MD5sum: d30493289b869b1784578666cc9e3616
Description: Project Athena's notification service - client programs
 Zephyr is derived from the original Project Athena 'Instant Message' system
 and allows users to send messages to other users or to groups of users.
 Users can view incoming Zephyr messages as windowgrams (transient X
 windows) or as text on a terminal.
 .
 This package provides client programs for the messaging service.

Package: zephyr-server
Source: zephyr
Version: 3.0.2-2
Architecture: armhf
Maintainer: Karl Ramm 
Installed-Size: 590
Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libhesiod0, libzephyr4, debconf (>= 0.5) | debconf-2.0
Conflicts: zephyr-server-krb, zephyr-server-krb45, zephyr-server-krb5
Priority: optional
Section: net
Filename: pool/main/z/zephyr/zephyr-server_3.0.2-2_armhf.deb
Size: 114314
SHA256: 5c3b03ed1cece990d28d7abc2051e4a3b4361e3e313584db1e5ad2f52f244496
SHA1: 1b7c6f60e0b2260dc0dc42e81944c64b752c524e
MD5sum: e80f259ee512fb8d17739d2b13088590
Description: Project Athena's notification service - non-Kerberos server
 Zephyr is derived from the original Project Athena 'Instant Message' system
 and allows users to send messages to other users or to groups of users.
 Users can view incoming Zephyr messages as windowgrams (transient X
 windows) or as text on a terminal.
 .
 This package provides the server for the messaging service, which
 maintains a location and subscription database for all the receiving
 clients. All zephyrgrams are sent to the server to be routed to the
 intended recipient. Only one server is required for a group of clients.

Package: zephyr-server-krb5
Source: zephyr
Version: 3.0.2-2
Architecture: armhf
Maintainer: Karl Ramm 
Installed-Size: 607
Depends: libc6 (>= 2.13-28), libcomerr2 (>= 1.01), libhesiod0, libk5crypto3 (>= 1.6.dfsg.2), libkrb5-3 (>= 1.10+dfsg~), libzephyr4, debconf (>= 0.5) | debconf-2.0, libzephyr4-krb5
Conflicts: zephyr-server, zephyr-server-krb, zephyr-server-krb45
Replaces: zephyr-server, zephyr-server-krb, zephyr-server-krb45
Provides: zephyr-server
Priority: optional
Section: net
Filename: pool/main/z/zephyr/zephyr-server-krb5_3.0.2-2_armhf.deb
Size: 122936
SHA256: 6821f141ec89bba61b28597c4b5dc4d8c456c25878dfefdea3f9601c38436b9c
SHA1: 0fa51424411dc66667d8722440e506fccb541f39
MD5sum: 48c1c233f07c45193520997687530f73
Description: The original "Instant Message" system-server with Kerberos 5
 You probably only need one server for a group of clients.
 This can be a memory-intensive server, especially for very large sites.
 .
 The server maintains a location and subscription database for all the
 receiving clients. All zephyrgrams are sent to the server to be routed
 to the intended recipient.
 .
 This version of the server uses Kerberos V for message authentication.
 .
 This is the Project Athena Zephyr notification system.
 Zephyr allows users to send messages to other users or to groups of
 users.  Users can view incoming Zephyr messages as windowgrams
 (transient X windows) or as text on a terminal.

Package: zeroc-ice34
Source: zeroc-ice
Version: 3.4.2-8.2
Installed-Size: 64
Maintainer: Francisco Moya 
Architecture: all
Replaces: zeroc-ice, zeroc-ice32, zeroc-ice33
Provides: zeroc-ice
Depends: ice34-services (>= 3.4.2-8.2), ice34-translators (>= 3.4.2-8.2), ice34-slice (>= 3.4.2-8.2), libzeroc-ice34-dev (>= 3.4.2-8.2), libzeroc-ice3.4-cil (>= 3.4.2-8.2), python-zeroc-ice (>= 3.4.2-8.2), php-zeroc-ice (>= 3.4.2-8.2), libzeroc-ice3.4-java (>= 3.4.2-8.2), icegrid-gui (>= 3.4.2-8.2)
Recommends: libzeroc-ice34-dbg (>= 3.4.2-8.2)
Conflicts: zeroc-ice, zeroc-ice32, zeroc-ice33
Size: 36904
SHA256: 075a165c268f73396256b5ee78b11f2453d61c8272771aefdb8abf57fc6a12b7
SHA1: 8d60283e70e9c65a3080d7553e97b1152a87c8a2
MD5sum: 1ad8a3ac9358b3a874fcdfc475682da2
Description: Internet Communications Engine
 Ice, the Internet Communications Engine, is a middleware for the
 practical programmer developed by ZeroC Inc. A high-performance
 Internet communications platform, Ice includes a wealth of layered
 services and plug-ins.
 .
 Ice is built on concepts which will be familiar to CORBA programmers,
 and supports a wide variety of programming languages and runtime
 platforms.
 .
 This metapackage contains dependencies for all Ice related packages.
 You will find further information in the ZeroC home page
 (http://www.zeroc.com/ice.html) and in the non-free package
 zeroc-ice-manual.
Homepage: http://www.zeroc.com/
Tag: role::metapackage
Section: devel
Priority: optional
Filename: pool/main/z/zeroc-ice/zeroc-ice34_3.4.2-8.2_all.deb

Package: zeroc-icee
Version: 1.2.0-6.1
Installed-Size: 33
Maintainer: Francisco Moya 
Architecture: all
Depends: libicee-dev (>= 1.2.0-6.1), libicee-java (>= 1.2.0), icee-slice (>= 1.2.0-6.1), icee-translators (>= 1.2.0)
Size: 9462
SHA256: 8678a8b2d5f8fc7b702202f2d53804097a149700e228cb378ce1ce56c2244c86
SHA1: f748d204959ca8c10af2def6448d39f2e66cbb9f
MD5sum: bee49b4b4a808d31b35e72bc254c54d9
Description: Embedded edition of the ZeroC Ice
 ZeroC Ice is a CORBA-like middleware solution. IceE is a subset of
 Ice used in embedded systems. This is a dummy package that depends
 on the full set of IceE packages.
Homepage: http://www.zeroc.com/
Tag: role::dummy
Section: devel
Priority: optional
Filename: pool/main/z/zeroc-icee/zeroc-icee_1.2.0-6.1_all.deb

Package: zerofree
Version: 1.0.2-1
Architecture: armhf
Maintainer: Thibaut Paumard 
Installed-Size: 50
Depends: e2fslibs (>= 1.37), libc6 (>= 2.13-28)
Homepage: http://intgat.tigress.co.uk/rmy/uml/index.html
Priority: extra
Section: admin
Filename: pool/main/z/zerofree/zerofree_1.0.2-1_armhf.deb
Size: 8158
SHA256: d1ded286972f149e80e95cc3cd227e2f35a4edf5c3855cb9e0970bb25c035943
SHA1: e01f539ec17dc48358033b6e194ca99203532289
MD5sum: e2080d2aaa9ab8fb0af4e151b61a3337
Description: zero free blocks from ext2, ext3 and ext4 file-systems
 Zerofree finds the unallocated blocks with non-zero value content in
 an ext2, ext3 or ext4 file-system and fills them with zeroes
 (zerofree can also work with another value than zero). This is mostly
 useful if the device on which this file-system resides is a disk
 image. In this case, depending on the type of disk image, a secondary
 utility may be able to reduce the size of the disk image after
 zerofree has been run. Zerofree requires the file-system to be
 unmounted or mounted read-only.
 .
 The usual way to achieve the same result (zeroing the unused
 blocks) is to run "dd" do create a file full of zeroes that takes up
 the entire free space on the drive, and then delete this file. This
 has many disadvantages, which zerofree alleviates:
  * it is slow;
  * it makes the disk image (temporarily) grow to its maximal extent;
  * it (temporarily) uses all free space on the disk, so other
    concurrent write actions may fail.
 .
 Zerofree has been written to be run from GNU/Linux systems installed
 as guest OSes inside a virtual machine. If this is not your case, you
 almost certainly don't need this package. (One other use case would
 be to erase sensitive data a little bit more securely than with a
 simple "rm").

Package: zeroinstall-injector
Version: 1.9-1
Installed-Size: 1293
Maintainer: Thomas Leonard 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), gnupg, python-gtk2 (>= 2.12), bzip2, binutils, adduser, xdg-utils
Recommends: unzip, lzma, packagekit, ca-certificates
Suggests: cabextract, rpm2cpio
Size: 341542
SHA256: 5d2ad70856521d278f4a3b7218bfbe38ec7345e3ce1c36a0639d7f53c564acf4
SHA1: a06772b769a8d7516f8b17f5aafe0d07889819ca
MD5sum: 362e24cb755c9eeeb02a9dde6fd109b6
Description: run programs by URL
 The Zero Install Injector makes it easy for users to install software without
 needing root privileges. It takes the URL of a program and runs it
 (downloading it first if necessary). Any dependencies of the program are
 fetched in the same way. The user controls which version of the program and
 its dependencies to use.
 .
 Zero Install is a decentralised installation system (there is no central
 repository; all packages are identified by URLs), loosely-coupled (if different
 programs require different versions of a library then both versions are
 installed in parallel, without conflicts), and has an emphasis on security
 (all package descriptions are GPG-signed, and contain cryptographic hashes of
 the contents of each version). Each version of each program is stored in its
 own sub-directory within the Zero Install cache (nothing is installed to
 directories outside of the cache, such as /usr/bin) and no code from the
 package is run during install or uninstall. The system can automatically
 check for updates when software is run.
Homepage: http://0install.net/
Python-Version: 2.6, 2.7
Tag: admin::package-management, implemented-in::python, role::program
Section: admin
Priority: extra
Filename: pool/main/z/zeroinstall-injector/zeroinstall-injector_1.9-1_all.deb

Package: zeya
Version: 0.6-1
Installed-Size: 284
Maintainer: Romain Francoise 
Architecture: all
Depends: python, python-support (>= 0.90.0), vorbis-tools, python-simplejson, python-tagpy
Recommends: mpg123, flac, faad
Size: 54678
SHA256: d598837be23971625bb4c0c8d6bc40541d5b3503c5ec69fd3336e8e5155b06dc
SHA1: 853459832fc4c5bc2b83f633004a8462b7fe559a
MD5sum: a7664f6636d9da3c845213cebd101d34
Description: web music server
 Zeya is a streaming music server that brings your music to any computer
 with a web browser. It reads your music library, lets you browse your
 files, and streams them on demand.
 .
 The client runs entirely in the browser using the HTML5 draft standard
 technologies (no plugins or external players are needed).
 .
 This package includes the Zeya HTTP server and a small command-line
 client for machines where no HTML5-capable browser is available.
Homepage: http://web.psung.name/zeya/
Tag: implemented-in::python, network::server, role::program, web::server,
 works-with::audio
Section: sound
Priority: optional
Filename: pool/main/z/zeya/zeya_0.6-1_all.deb

Package: zh-autoconvert
Version: 0.3.16-3
Architecture: armhf
Maintainer: Yu Guanghui 
Installed-Size: 103
Depends: libc6 (>= 2.13-28), libhz0 (>= 0.3.14-1)
Priority: optional
Section: text
Filename: pool/main/z/zh-autoconvert/zh-autoconvert_0.3.16-3_armhf.deb
Size: 39908
SHA256: 9b31d499cbf4828939300582cd23993d7a7d05685eb9ba4c7506336289813806
SHA1: f71cf8ff25aca1a5ccd708ed294da7468e115f36
MD5sum: 9343d76c5506c855e65758f0e372ecac
Description: Chinese HZ/GB/BIG5/UNI/UTF7/UTF8 encodings auto-converter
 AutoConvert is an intelligent Chinese Encoding converter. It uses
 builtin functions to judge the type of the input file's Chinese
 Encoding (such as GB/Big5/HZ), then converts the input file to any
 type of Chinese Encoding you want. You can use autoconvert to handle
 incoming mail, automatically converting messages to the Chinese
 Encoding you want.
 It can alse handle Unicode/UTF7/UTF8 now.
 .
  Author: Yu Guanghui 

Package: zhcon
Version: 1:0.2.6-10
Architecture: armhf
Maintainer: Debian Chinese Team 
Installed-Size: 7328
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgpm2 (>= 1.20.4), libncurses5 (>= 5.5-5~), libpth20 (>= 2.0.7), libstdc++6 (>= 4.4.0), libtinfo5, unicon-imc2
Breaks: unicon-im (<< 3.0.4-9)
Priority: optional
Section: utils
Filename: pool/main/z/zhcon/zhcon_0.2.6-10_armhf.deb
Size: 4403262
SHA256: 682548f308eeb16210fe48cd464e82ac174aa2a7bb612bc05511a9aac5159e88
SHA1: 271c43994b994c65cf8e8058689f88d9d38e15df
MD5sum: 88ca253364485e92f0e00f8fde1c0e08
Description: Fast console CJK system using FrameBuffer
 Zhcon is a fast Linux Console Chinese System which supports framebuffer
 device.It can display Chinese,Japanese or Korean double byte characters
 on console.
 .
 Features include:
  * Full support for Linux FrameBuffer device(from 640x480x8bpp to
    1024x768x32bpp)
  * Multiple language support (GB2312,GBK,BIG5,JIS,KSC)
  * Auto-detect and convert between GB2312 and BIG5 encoding(like hztty)
  * Hot key to change language encoding on the fly
  * Can use input method from MS Windows 98 Chinese version or UCDOS for
    MS-DOS

Package: zile
Version: 2.3.21-1
Architecture: armhf
Maintainer: Nicolas Duboc 
Installed-Size: 343
Depends: libc6 (>= 2.4), libncurses5 (>= 5.5-5~), libtinfo5
Homepage: http://www.gnu.org/software/zile/
Priority: optional
Section: editors
Filename: pool/main/z/zile/zile_2.3.21-1_armhf.deb
Size: 202554
SHA256: 01c80b3b454b1df6f1c255f8088064a2f5fb85b6e4cd71836089ad72f9b5d15c
SHA1: 521c86e20ed8449119f49cce98328dc8fc57c069
MD5sum: 32fe3decffa0e25e73adaeefd2616a14
Description: very small Emacs-subset editor
 GNU Zile is a small Emacs clone.  Zile is a customizable,
 self-documenting real-time display editor.  Zile was written to
 be as similar as possible to Emacs; every Emacs user should feel
 at home with Zile.

Package: zim
Version: 0.56-1
Installed-Size: 3585
Maintainer: Emfox Zhou 
Architecture: all
Depends: python2.7 | python2.6, python (>= 2.6.6-7~), python (<< 2.8), python-gtk2, python-gobject, python-xdg
Recommends: python-gtkspell
Suggests: bzr, git, mercurial, graphviz, ditaa, scrot, dvipng
Size: 1133682
SHA256: 710fd5a37f3cd88fe29e847368e21e1a5a7501de59c462b26141ba7ded6e0ec7
SHA1: 5c5145daa6e04dd08d7433811241f8982a126465
MD5sum: 6919fa10fb1876f35f951e006ed5a40f
Description: graphical text editor based on wiki technologies
 Zim is a graphical text editor used to maintain a collection of wiki pages.
 .
 Each page can contain links to other pages, simple formatting and inline
 images. Pages are stored in a folder structure, like in an outliner, and can
 have attachments. Creating a new page is as easy as linking to a nonexistent
 page.
 .
 All data is stored in plain text files with wiki formatting. Various
 plugins provide additional functionality, like a task list manager, an
 equation editor, a tray icon, and support for version control.
 .
 Zim can be used to:
  * Keep an archive of notes
  * Take notes during meetings or lectures
  * Organize task lists
  * Draft blog entries and emails
  * Do brainstorming
Homepage: http://zim-wiki.org
Tag: implemented-in::python, interface::x11, role::program, uitoolkit::gtk,
 use::editing, use::organizing, works-with::text, x11::application
Section: x11
Priority: optional
Filename: pool/main/z/zim/zim_0.56-1_all.deb

Package: zimpl
Version: 3.2.0+dfsg-2
Architecture: armhf
Maintainer: Joachim Reichel 
Installed-Size: 274
Depends: libc6 (>= 2.13-28), libgmp10, zlib1g (>= 1:1.1.4)
Suggests: glpk-utils
Homepage: http://zimpl.zib.de/
Priority: optional
Section: science
Filename: pool/main/z/zimpl/zimpl_3.2.0+dfsg-2_armhf.deb
Size: 117068
SHA256: b50d7cc3badf316914727104a7d33ef4fd5a87fce3327ae04e36342912020dfb
SHA1: 38723d14f9060cac4b4d78ce9a03f8c2dd0b1960
MD5sum: 03a175f6d5d3da115196577ece925cde
Description: mathematical modeling language for optimization problems
 Zimpl allows the specification of certain optimization problems - linear
 programs (LPs) and mixed integer programs (MIPs) - in a high-level
 description language. These descriptions can be converted into the
 LP or MPS file formats which are understood by LP and MIP solvers.

Package: zine
Version: 0.2~20100905-1
Installed-Size: 3052
Maintainer: Armin Ronacher 
Architecture: all
Depends: python (>= 2.4), python-support (>= 0.90.0), python-sqlalchemy (>= 0.6), python-migrate (>= 0.6), python-jinja2 (>= 2.1), python-werkzeug (>= 0.6), python (>= 2.6) | python-simplejson (>= 1.9), python-html5lib, python-tz, python-pybabel (>= 0.9.4), python-lxml (>= 2.0), libjs-jquery (>= 1.1)
Recommends: python (>= 2.5) | python-pysqlite2 | python-pysqlite1.1, python-flup
Suggests: python-psycopg2, python-mysqldb, httpd | libapache2-mod-wsgi | libapache2-mod-python
Size: 1012762
SHA256: bc906defd9433b6431e006476129129d40757b2b30d09a0f6d57fd8655981682
SHA1: bfc50da87ef377fc6d9355b04627f89cc40a6abf
MD5sum: 4d5c26edd02961c598a5312b09a627c6
Description: Python powered blog engine
 Zine is an Open Source personal publishing platform written in Python. It's
 written with security and extensibility in mind and inherits many ideas of
 WordPress and other existing blogging systems.
 .
 Zine's features:
  * basic blog functionality: posting, comments, categories, tags, and ATOM
    feeds
  * user, group and permission management
  * theming support
  * importers for WordPress and blogger.com blogs as well as Atom feeds.
  * an advanced plugin system
  * a translatable interface
  * pingback support
Homepage: http://zine.pocoo.org/
Tag: implemented-in::python, role::program, web::blog
Section: web
Priority: optional
Filename: pool/main/z/zine/zine_0.2~20100905-1_all.deb

Package: zinnia-utils
Source: zinnia
Version: 0.06-1
Architecture: armhf
Maintainer: IME Packaging Team 
Installed-Size: 48
Depends: libc6 (>= 2.4), libzinnia0
Homepage: http://zinnia.sourceforge.net/
Priority: optional
Section: utils
Filename: pool/main/z/zinnia/zinnia-utils_0.06-1_armhf.deb
Size: 5392
SHA256: 0f56ff88ecf1a3b5b21564d55b15a4f4adf6ef971e8d097ba6a04475ac232fa7
SHA1: cbe0bb3846f009442e42dd783c60c12419b95253
MD5sum: fe0529475ee0f707629af946127d583c
Description: utils for the zinnia library
 Zinnia provides a simple, customizable, and portable dynamic OCR
 system for hand-written input, based on Support Vector Machines.
 .
 Zinnia simply receives user pen strokes as coordinate data and outputs
 the best matching characters sorted by SVM confidence. To maintain
 portability, it has no rendering functionality. In addition to
 recognition, Zinnia provides a training module capable of creating
 highly efficient handwriting recognition models.
 .
 This package provide utils for zinnia library.

Package: zip
Version: 3.0-6
Architecture: armhf
Maintainer: Santiago Vila 
Installed-Size: 554
Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Recommends: unzip
Conflicts: zip-crypt (<= 2.30-2)
Replaces: zip-crypt (<= 2.30-2)
Multi-Arch: foreign
Homepage: http://www.info-zip.org/Zip.html
Priority: optional
Section: utils
Filename: pool/main/z/zip/zip_3.0-6_armhf.deb
Size: 333634
SHA256: aa7f9eef850139f58686a0c6c8822d75e4270fa7ef83b9210572b71ff488a1ae
SHA1: b488f6ff0844513f0762d237b8fec08c27576f5c
MD5sum: 12e49db250bbea5dc02c27ab9af1731a
Description: Archiver for .zip files
 This is InfoZIP's zip program. It produces files that are fully
 compatible with the popular PKZIP program; however, the command line
 options are not identical. In other words, the end result is the same,
 but the methods differ. :-)
 .
 This version supports encryption.

Package: zipcmp
Source: libzip
Version: 0.10.1-1.1
Architecture: armhf
Maintainer: Fathi Boudra 
Installed-Size: 48
Depends: libc6 (>= 2.13-28), libzip2 (>= 0.10), zlib1g (>= 1:1.1.4)
Homepage: http://www.nih.at/libzip/
Priority: optional
Section: utils
Filename: pool/main/libz/libzip/zipcmp_0.10.1-1.1_armhf.deb
Size: 8884
SHA256: 3ac78ec8638b14d9771ebce48f61f82830063998b34139f2b30ccd3050cc2e8d
SHA1: 157e6476cafea42651a0e3262779b268b0e98770
MD5sum: 1e047c60c25e36d61027001eed60e91a
Description: compare contents of zip archives
 zipcmp compares two zip archives and checks if they contain the same files,
 comparing their names, uncompressed sizes, and CRCs. File order and compressed
 size differences are ignored.

Package: zipmerge
Source: libzip
Version: 0.10.1-1.1
Architecture: armhf
Maintainer: Fathi Boudra 
Installed-Size: 49
Depends: libc6 (>= 2.13-28), libzip2 (>= 0.10), zlib1g (>= 1:1.1.4)
Homepage: http://www.nih.at/libzip/
Priority: optional
Section: utils
Filename: pool/main/libz/libzip/zipmerge_0.10.1-1.1_armhf.deb
Size: 8784
SHA256: c9067bc06481d8bcafea2c64aa5967c36d0e00195abeb00f742b1feec812e580
SHA1: 0cb49e5e9f4c7e5a7cf8a594236b6a13e07fdc49
MD5sum: 90caf83948baed18f55075c15570c48d
Description: merge zip archives
 zipmerge merges a source zip archives into a target zip archive.
 By default, files in the source zip archives overwrite existing files of the
 same name in the target zip archive.

Package: zipper.app
Version: 1.3-2.1
Architecture: armhf
Maintainer: Gürkan Sengün 
Installed-Size: 209
Depends: gnustep-back0.20 (>= 0.20.0), gnustep-base-runtime (>= 1.22.1), gnustep-gpbs (>= 0.20.0), gnustep-gui-runtime (>= 0.20.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgnustep-base1.22 (>= 1.22.1), libgnustep-gui0.20 (>= 0.20.0), libobjc3 (>= 4.6), librenaissance0 (>= 0.9.0)
Recommends: p7zip | p7zip-full, unzip, unrar-free
Conflicts: zipper
Replaces: zipper
Homepage: http://xanthippe.dyndns.org/Zipper/
Priority: optional
Section: utils
Filename: pool/main/z/zipper.app/zipper.app_1.3-2.1_armhf.deb
Size: 47572
SHA256: fd26c35cd107972ffa8606cc18e080ac9374e0b4cee74b16cd92927b8f7565d0
SHA1: f7c43356355e800df923e921ceef056d38861cde
MD5sum: 2a88b15f32001e7791442ac83a9bc1a1
Description: Tool for inspecting the contents of a compressed archive
 Zipper is a tool for extracting and viewing archive files in GNUstep.

Package: ziproxy
Version: 3.2.0-2
Architecture: armhf
Maintainer: Marcos Talau 
Installed-Size: 358
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgif4 (>= 4.1.4), libjasper1, libjpeg8 (>= 8c), libpng12-0 (>= 1.2.13-4), libsasl2-2 (>= 2.1.24), zlib1g (>= 1:1.1.4), passwd, adduser
Homepage: http://ziproxy.sf.net
Priority: extra
Section: net
Filename: pool/main/z/ziproxy/ziproxy_3.2.0-2_armhf.deb
Size: 129292
SHA256: 5100de2c96f63c5eafef70e104a91b3889671589758df458cabf43e88c2b72ba
SHA1: 3e6ff2b1eb20e07260ccdb49e52b35a787b63646
MD5sum: dc1b694e3c7f342e3f097132dab42045
Description: compressing HTTP proxy server
 ziproxy is a forwarding, non-caching and compressing HTTP proxy server.
 Basically it squeezes images by converting them to lower quality
 JPEGs and compresses (gzip) HTML and other text-like data.

Package: ziptorrent
Source: libzip
Version: 0.10.1-1.1
Architecture: armhf
Maintainer: Fathi Boudra 
Installed-Size: 47
Depends: libc6 (>= 2.13-28), libzip2 (>= 0.10), zlib1g (>= 1:1.1.4)
Homepage: http://www.nih.at/libzip/
Priority: optional
Section: utils
Filename: pool/main/libz/libzip/ziptorrent_0.10.1-1.1_armhf.deb
Size: 7624
SHA256: 188b684f619868b6da8d9c68d087cd8b21f0322cbe37ab5386bd0fb7516a5a88
SHA1: ec6cfe629cd8645a355eb7a1636a6503695754da
MD5sum: 874200ab73a6d60c5c08dbb344f533ac
Description: torrentzip zip archives
 ziptorrent torrentzips archive. This is a restricted file format used for
 using bittorrent on zip files.

Package: zita-ajbridge
Version: 0.2.2-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 83
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libstdc++6 (>= 4.4.0), libzita-alsa-pcmi0 (>= 0.1.1), libzita-resampler1
Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/
Priority: optional
Section: sound
Filename: pool/main/z/zita-ajbridge/zita-ajbridge_0.2.2-1_armhf.deb
Size: 20488
SHA256: 740e266599fee1dd33ad61f4f3bb503f0f2a5ae35676c8d68f3439fcd4deed78
SHA1: a0e1c830eba5f45454cbab515d17135fa3a77b18
MD5sum: ef2654bc3d639ad136e18f85017d26b1
Description: alsa to jack bridge
 This package provides two applications, zita-a2j and zita-j2a.
 They allow to use an ALSA device as a Jack client, to provide
 additional capture (a2j) or playback (j2a) channels.
 .
 Functionally these are equivalent to the alsa_in and alsa_out
 clients that come with Jack, but they provide much better audio
 quality. The resampling ratio will typically be stable within
 1 PPM and change only very smoothly. Delay will be stable as
 well even under worse case conditions, e.g. the Jack client
 running near the end of the cycle.

Package: zita-alsa-pcmi-utils
Source: zita-alsa-pcmi
Version: 0.2.0-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 54
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0), libzita-alsa-pcmi0 (>= 0.1.1)
Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/downloads/index.html
Priority: optional
Section: sound
Filename: pool/main/z/zita-alsa-pcmi/zita-alsa-pcmi-utils_0.2.0-1_armhf.deb
Size: 10964
SHA256: 62203ea5f5312f7a211cd45516105210be5570d8a394074787ef94b76c767b70
SHA1: aa66eaaae9ac805436e54d7cd6df73c06b87e5c4
MD5sum: cb2ccd962c3bf2b9c562a1ab253bcfd3
Description: Two simple demo programs zita-alsa-pcmi library
 Two simple demo programs are provided.
 alsa_delay a ALSA version of jack_delay.
 And alsa_loopback

Package: zita-at1
Version: 0.2.3-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 124
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libclthreads2, libclxclient3, libfftw3-3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.3.0), libx11-6, libxft2 (>> 2.1.1), libzita-resampler1
Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/
Priority: optional
Section: sound
Filename: pool/main/z/zita-at1/zita-at1_0.2.3-2_armhf.deb
Size: 50978
SHA256: 92824655da3fb10d8bb27016755fa6734bedc27f6d1cc15b8ded7d61f232e376
SHA1: 4d66617188f354a060823d089c2dbf37a5642b49
MD5sum: 31c16a50c440d41985d68cd211dd61b4
Description: JACK autotuner
 AT1 is an 'autotuner', normally used to correct the pitch of a voice
 singing (slightly) out of tune. Compared to 'Autotalent' it provides
 an improved pitch estimation algorithm, and much cleaner resampling.
 .
 AT1 does not include formant correction, so it should be used to
 correct small errors only and not to really transpose a song. The
 'expected' pitch can be controlled by Midi (via Jack only), or be a
 fixed set of notes. AT1 can probably be used on some instruments as
 well, but is primarily designed to cover the vocal range. It's also
 usable as a quick and dirty guitar tuner.

Package: zita-lrx
Version: 0.1.0-1
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 70
Depends: libc6 (>= 2.13-28), libclthreads2, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libstdc++6 (>= 4.4.0)
Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/downloads/index.html
Priority: optional
Section: sound
Filename: pool/main/z/zita-lrx/zita-lrx_0.1.0-1_armhf.deb
Size: 18054
SHA256: 70836faf9d0a66b45f7152d8c76daca0f147d7cb099bd0c74e06e1617b884605
SHA1: afe5855f434cbcc8e1ad3b629c3135180601dc0c
MD5sum: 00d17bbad070f3332fd6a339a3ca0de0
Description: Command line jack application providing crossover filters
 Command line jack application providing 2, 3, or 4-band,
 4th order crossover filters.
 The filter type is continuously variable between Linkwitz-Riley
 (-6dB at the xover frequency) and Butterworth(-3 dB at the xover frequency).
 Outputs are exactly phase matched in the crossover regions.
 .
 The application supports up to 16 channels.
 .
 Configuration is by a text file using 'OSC' style syntax
 (similar to Ambdec and Jconvolver).
 Apart from the basic filter parameters,
 the following can be set:
  - Channel labels (used for naming Jack ports).
  - Frequency band names (used in output port names).
  - Optional output autoconnections.
  - For each channel: gain and delay (in ms).
  - For each frequency band: gain and delay.

Package: zita-resampler
Version: 1.1.0-3
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 53
Depends: libzita-resampler1 (= 1.1.0-3), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsndfile1 (>= 1.0.20), libstdc++6 (>= 4.3.0)
Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/zita-resampler/resampler.html
Priority: optional
Section: sound
Filename: pool/main/z/zita-resampler/zita-resampler_1.1.0-3_armhf.deb
Size: 9492
SHA256: 5797b8d82c81a779bde95def07b0dfb26e93264a36e102fbeb3619300beae619
SHA1: 82a014213aa4a98c8e3f16858ac62e227d9ae49a
MD5sum: 128cf501eb831140db683621ae9a8ffa
Description: resampler application written with libzita-resampler
 Input format is any file readable by libsndfile, output is either WAV
 (WAVEX for more than 2 channels)  or CAF. Apart from resampling, users
 can change the sample format to 16-bit, 24-bit or float, and for 16-bit
 output, add dithering.
 .
 Available dithering types are rectangular, triangular and Lipschitz'
 optimised error feedback filter. Some examples of dithering can be seen
 here:
 http://www.kokkinizita.net/linuxaudio/dithering.html

Package: zita-resampler-dbg
Source: zita-resampler
Version: 1.1.0-3
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 59
Depends: libzita-resampler1 (= 1.1.0-3)
Multi-Arch: same
Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/zita-resampler/resampler.html
Priority: extra
Section: debug
Filename: pool/main/z/zita-resampler/zita-resampler-dbg_1.1.0-3_armhf.deb
Size: 14876
SHA256: 94714512f646bebed4b4168bc97366cf2b12ff3603453de5cd62372dececae80
SHA1: 064da0896a0d1f92c674c7b346c5e56d4cb2a39f
MD5sum: 9d5cf4bc5adc47d452d742dc13d6219f
Description: Debugging symbols for libzita-resampler
 Zita resampler is a C++ library for resampling audio signals. It is
 designed to be used within a real-time processing context, to be
 fast, and to provide high-quality sample rate conversion.
 .
 This package provides the debugging symbols.

Package: zita-rev1
Version: 0.2.1-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 115
Depends: libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libclthreads2, libclxclient3, libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libpng12-0 (>= 1.2.13-4), libstdc++6 (>= 4.3.0), libx11-6, libxft2 (>> 2.1.1)
Homepage: http://kokkinizita.linuxaudio.org/linuxaudio/zita-rev1-doc/quickguide.html
Priority: optional
Section: sound
Filename: pool/main/z/zita-rev1/zita-rev1_0.2.1-2_armhf.deb
Size: 45738
SHA256: ba9f85e71d9bfbd84ae5827cddea6ebc570ea809f4be84ae237fbd3913b8f916
SHA1: 86a1a8fed5c9d503823b5cda0050e269db6c6993
MD5sum: 19720ff52564ba37823f81b1a569f4ea
Description: pro-audio reverb effect
 REV1 is a reworked version of the reverb originally developed for Aeolus.
 Its character is more 'hall' than 'plate', but it can be used on a wide
 variety of instruments or voices. It is not a spatialiser - the early
 reflections are different for the L and R inputs, but do not correspond
 to any real room. They have been tuned to match left and right sources to
 some extent.
 .
 In Stereo mode a dry/wet mix control is provided, so it can be used either
 as an insert or in send/return mode. For mono just connect one of the
 two channels.
 .
 In Ambisonic mode (selected by the -B command line option) the only option
 is the send/return mode.

Package: zivot
Version: 20013101-3
Architecture: armhf
Maintainer: Radovan Garabík 
Installed-Size: 43
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.1.1), libgfortran3 (>= 4.6)
Priority: optional
Section: games
Filename: pool/main/z/zivot/zivot_20013101-3_armhf.deb
Size: 5388
SHA256: f71c3de80901e7ad0ad41870fc6781365705273d43e931d97b37035ad7bd960f
SHA1: 74b9323253fb0f5e4eb6626dec2c28a816e95a48
MD5sum: 2b644ad42580a73d4b4ca485d8dbafb0
Description: the game of life, simple console version
 This is a variant of John Horton Conway's Game of Life
 (a classic cellular automaton), simple console version,
 written in FORTRAN.

Package: zlib-bin
Source: zlib
Version: 1:1.2.7.dfsg-13
Architecture: armhf
Maintainer: Mark Brown 
Installed-Size: 133
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), zlib1g (>= 1:1.1.4)
Homepage: http://zlib.net/
Priority: optional
Section: utils
Filename: pool/main/z/zlib/zlib-bin_1.2.7.dfsg-13_armhf.deb
Size: 68142
SHA256: 462cd89bb17eff9fe17da6ba9a78707d427a56e7887d87ebbd968348c07cd132
SHA1: cdde941a353475ac031db51ae447a5ed5cafa3aa
MD5sum: 8733bc7a1c785d83c53bcd300060f27b
Description: compression library - sample programs
 Some generally useful sample programs from the contrib directory.
 Includes a "mini" implementation of `zip' and `unzip' called
 `minizip' and `miniunzip' respectively.

Package: zlib-gst
Source: gnu-smalltalk
Version: 3.2.4-2
Architecture: armhf
Maintainer: Debian GNU Smalltalk maintainers 
Installed-Size: 145
Depends: gnu-smalltalk (= 3.2.4-2), libc6 (>= 2.13-28), zlib1g (>= 1:1.1.4), gnu-smalltalk-common (>= 3.2.4)
Homepage: http://smalltalk.gnu.org
Priority: extra
Section: interpreters
Filename: pool/main/g/gnu-smalltalk/zlib-gst_3.2.4-2_armhf.deb
Size: 83060
SHA256: 99743ae6c5bb2d304f91c3df2afa9d0a56a078ac4d58185d7a1e57e4d0e39ab6
SHA1: 0e9e4f00a14be69f6d831e78f7177e2db96af410
MD5sum: 992b2fbc5b6e3e9f20eb88c6849570db
Description: Zlib bindings for GNU Smalltalk
 This package contains the Zlib bindings for GNU Smalltalk.
 .
 For more information on GNU Smalltalk see the gnu-smalltalk package.

Package: zlib1g
Source: zlib
Version: 1:1.2.7.dfsg-13
Architecture: armhf
Maintainer: Mark Brown 
Installed-Size: 157
Pre-Depends: multiarch-support
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Conflicts: zlib1 (<= 1:1.0.4-7)
Breaks: libxml2 (<< 2.7.6.dfsg-2), texlive-binaries (<< 2009-12)
Provides: libz1
Multi-Arch: same
Homepage: http://zlib.net/
Priority: required
Section: libs
Filename: pool/main/z/zlib/zlib1g_1.2.7.dfsg-13_armhf.deb
Size: 87212
SHA256: 0789b0eeb527054e6f834dc717689a470bd4d25f55548cdd890924dbc9379a46
SHA1: decd73ea5328aa9e420e61a43f59b455fc486885
MD5sum: efcbf46d520b22c56f57fe0693ed9f69
Description: compression library - runtime
 zlib is a library implementing the deflate compression method found
 in gzip and PKZIP.  This package includes the shared library.

Package: zlib1g-dbg
Source: zlib
Version: 1:1.2.7.dfsg-13
Architecture: armhf
Maintainer: Mark Brown 
Installed-Size: 524
Depends: zlib1g (= 1:1.2.7.dfsg-13)
Provides: libz-dbg
Homepage: http://zlib.net/
Priority: extra
Section: debug
Filename: pool/main/z/zlib/zlib1g-dbg_1.2.7.dfsg-13_armhf.deb
Size: 216686
SHA256: d6758f8e4d48380e790fdadf00132e0ee952c70b61b7980b5010bdc7807447f9
SHA1: c253b8521e67cd2b196d654db93b8e101eebc27c
MD5sum: f34dcbcbd9473c49e1c7ca8ab7f2c070
Description: compression library - development
 zlib is a library implementing the deflate compression method found
 in gzip and PKZIP.  This package includes debugging symbols for use
 when debugging applications which use zlib.

Package: zlib1g-dev
Source: zlib
Version: 1:1.2.7.dfsg-13
Architecture: armhf
Maintainer: Mark Brown 
Installed-Size: 400
Depends: zlib1g (= 1:1.2.7.dfsg-13), libc6-dev | libc-dev
Conflicts: zlib1-dev
Provides: libz-dev
Multi-Arch: same
Homepage: http://zlib.net/
Priority: optional
Section: libdevel
Filename: pool/main/z/zlib/zlib1g-dev_1.2.7.dfsg-13_armhf.deb
Size: 211940
SHA256: 20bf2bc166512610e76b07aa32c9010e61f5fbc04316e19b2d2e72c755104c94
SHA1: bec147ee5893906617de296897675ff78a409a75
MD5sum: b774b9725833b7b19a7f7412ebfbb53b
Description: compression library - development
 zlib is a library implementing the deflate compression method found
 in gzip and PKZIP.  This package includes the development support
 files.

Package: zlibc
Version: 0.9k-4.1
Architecture: armhf
Maintainer: Francesco Paolo Lovergine 
Installed-Size: 240
Depends: libc6 (>= 2.4)
Homepage: http://zlibc.linux.lu/
Priority: optional
Section: libs
Filename: pool/main/z/zlibc/zlibc_0.9k-4.1_armhf.deb
Size: 72040
SHA256: 8ae41d28a41fcccbe68d9f2487347a784d6a7f51b30d712ab8a2d7330a9f2eb7
SHA1: a85f01ea100346c4dcd9d0625d851786c5290797
MD5sum: ec9ccc679c01db7e9ba38fce94f6c495
Description: An on-fly auto-uncompressing C library
 Zlibc is a preloadable shared library that allows executables to
 uncompress the data files that they need on the fly. No kernel patch,
 no recompilation of these executables and no recompilation of the
 libraries is needed.

Package: zmakebas
Version: 1.2-1.1
Architecture: armhf
Maintainer: Krystian Wlosek 
Installed-Size: 65
Depends: libc6 (>= 2.4)
Priority: extra
Section: otherosfs
Filename: pool/main/z/zmakebas/zmakebas_1.2-1.1_armhf.deb
Size: 16606
SHA256: bbf1aa0f37a7704ec523a78a5adfa1939b67b73a176f968ef4d9980c0f7740a6
SHA1: 5c703c157e22a7348f3f0773704560694c95a404
MD5sum: fbf24d2d3cf9f4c7945da64cb6221b60
Description: convert text files into ZX Spectrum Basic programs
 zmakebas converts a Spectrum Basic program written as a text file into
 an actual speccy Basic file (as a .TAP file, or optionally a raw
 headerless file).

Package: znc
Version: 0.206-2
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 2663
Depends: libc-ares2 (>= 1.7.0), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libssl1.0.0 (>= 1.0.0), libstdc++6 (>= 4.6)
Recommends: znc-tcl, znc-perl, znc-extra, znc-python
Conflicts: znc-webadmin
Breaks: znc-extra (<< 0.206-2)
Replaces: znc-webadmin
Homepage: http://znc.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/z/znc/znc_0.206-2_armhf.deb
Size: 1189954
SHA256: e937c7ab1c49a9363b4514663a3b2e65d11d0855ec8139f22f8e3fcdeb0705b4
SHA1: 8a9f6baa47331b6e1b1bdc7b65171537de5e3b68
MD5sum: 6831ee8eb5d52daf9c629fb58ef38b19
Description: advanced modular IRC bouncer
 znc is an IRC proxy. It runs as a daemon and connects to IRC server,
 then allows you to connect from a workstation and work as the user that
 is logged in to the IRC server. After you disconnect, it maintains the
 connection to the server. It acts like any normal IRC server, so you can
 use any IRC client to connect to it.
 .
 Some of its features include support for multiple users, multiple clients,
 and multiple IRC servers; playback buffers to catch up with what happened
 while you were detached, SSL encryption, DCC proxying, and a plugin
 interface with many plugins supporting other features.

Package: znc-dbg
Source: znc
Version: 0.206-2
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 50451
Depends: znc (= 0.206-2) | znc-perl (= 0.206-2) | znc-webadmin (= 0.206-2) | znc-extra (= 0.206-2) | znc-python (= 0.206-2)
Breaks: znc-extra-dbg (<= 0.074)
Homepage: http://znc.sourceforge.net/
Priority: extra
Section: debug
Filename: pool/main/z/znc/znc-dbg_0.206-2_armhf.deb
Size: 16042060
SHA256: 53c186be445d59835c0aa793a643f50f8412a3ac638455219ed732894a01452e
SHA1: fe22e0a4cd795a5c4cf2d3eb3344044b7a3e84a3
MD5sum: ebe61c2b67235ef6e840118d82649ab0
Description: advanced modular IRC bouncer (debugging symbols)
 znc is an IRC proxy. It runs as a daemon and connects to IRC server,
 then allows you to connect from a workstation and work as the user that
 is logged in to the IRC server. After you disconnect, it maintains the
 connection to the server. It acts like any normal IRC server, so you can
 use any IRC client to connect to it.
 .
 Some of its features include support for multiple users, multiple clients,
 and multiple IRC servers; playback buffers to catch up with what happened
 while you were detached, SSL encryption, DCC proxying, and a plugin
 interface with many plugins supporting other features.
 .
 This package contains the debugging symbols of the znc package and his modules.

Package: znc-dev
Source: znc
Version: 0.206-2
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 304
Depends: znc (>= 0.206-2), libssl-dev, libc-ares-dev
Homepage: http://znc.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/z/znc/znc-dev_0.206-2_armhf.deb
Size: 73462
SHA256: a31b76b8c6dd2a09e1bdb4b0cb3815624e23192411fdfcba7866ad9216c37c25
SHA1: eca9d7fc00c4775b9c346ae9a6fade22426d3538
MD5sum: ebcec07499ea7029ac95c74d1211dc77
Description: advanced modular IRC bouncer (development headers)
 znc is an IRC proxy. It runs as a daemon and connects to IRC server,
 then allows you to connect from a workstation and work as the user that
 is logged in to the IRC server. After you disconnect, it maintains the
 connection to the server. It acts like any normal IRC server, so you can
 use any IRC client to connect to it.
 .
 Some of its features include support for multiple users, multiple clients,
 and multiple IRC servers; playback buffers to catch up with what happened
 while you were detached, SSL encryption, DCC proxying, and a plugin
 interface with many plugins supporting other features.
 .
 This package contains the znc development headers for developing modules.

Package: znc-extra
Source: znc
Version: 0.206-2
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 894
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libsasl2-2 (>= 2.1.24), libstdc++6 (>= 4.4.0), znc (= 0.206-2)
Homepage: http://znc.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/z/znc/znc-extra_0.206-2_armhf.deb
Size: 286284
SHA256: 027e9df2ba51a0d3c5e91d26b6b66a3f728e399febb5451b12d8468e1a548f28
SHA1: 0b9972dcc15ce0cba47b8b68e8a9163469ac8321
MD5sum: 7a1f2829424837e08b9bd18fa397ee7a
Description: extra modules for znc
 znc is an IRC proxy. It runs as a daemon and connects to IRC server,
 then allows you to connect from a workstation and work as the user that
 is logged in to the IRC server. After you disconnect, it maintains the
 connection to the server. It acts like any normal IRC server, so you can
 use any IRC client to connect to it.
 .
 Some of its features include support for multiple users, multiple clients,
 and multiple IRC servers; playback buffers to catch up with what happened
 while you were detached, SSL encryption, DCC proxying, and a plugin
 interface with many plugins supporting other features.
 .
 This package contains extra modules for znc, some of them may not be stable.

Package: znc-perl
Source: znc
Version: 0.206-2
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 2256
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libperl5.14 (>= 5.14.2), libstdc++6 (>= 4.6), znc (= 0.206-2)
Homepage: http://znc.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/z/znc/znc-perl_0.206-2_armhf.deb
Size: 646350
SHA256: 473e341f0ee5a97088cdd51d89985adc153135dbfbe45ee07c437e128dc956a1
SHA1: aca1163e3769c7a17244ea6848f68b10969c4132
MD5sum: 163fea92517644427cd00fe84bb68f1f
Description: advanced modular IRC bouncer (Perl extension)
 znc is an IRC proxy. It runs as a daemon and connects to IRC server,
 then allows you to connect from a workstation and work as the user that
 is logged in to the IRC server. After you disconnect, it maintains the
 connection to the server. It acts like any normal IRC server, so you can
 use any IRC client to connect to it.
 .
 Some of its features include support for multiple users, multiple clients,
 and multiple IRC servers; playback buffers to catch up with what happened
 while you were detached, SSL encryption, DCC proxying, and a plugin
 interface with many plugins supporting other features.
 .
 This package contains the znc Perl extension.

Package: znc-python
Source: znc
Version: 0.206-2
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 1780
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpython3.2 (>= 3.2~a4), libstdc++6 (>= 4.6), znc (= 0.206-2)
Homepage: http://znc.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/z/znc/znc-python_0.206-2_armhf.deb
Size: 473254
SHA256: 54ecf5ed9471eab1ea7eb51357e3ac54bb1f833f15b2bebecb3ddc739c61d5da
SHA1: 8d8b5e5346273b9e5f0d82ea014ec2830bf5d3e7
MD5sum: 03d81207637cc5e73b439d530fc3c127
Description: advanced modular IRC bouncer (Python extension)
 znc is an IRC proxy. It runs as a daemon and connects to IRC server,
 then allows you to connect from a workstation and work as the user that
 is logged in to the IRC server. After you disconnect, it maintains the
 connection to the server. It acts like any normal IRC server, so you can
 use any IRC client to connect to it.
 .
 Some of its features include support for multiple users, multiple clients,
 and multiple IRC servers; playback buffers to catch up with what happened
 while you were detached, SSL encryption, DCC proxying, and a plugin
 interface with many plugins supporting other features.
 .
 This package contains the znc Python extension.

Package: znc-tcl
Source: znc
Version: 0.206-2
Architecture: armhf
Maintainer: Patrick Matthäi 
Installed-Size: 123
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.4.0), tcl8.5 (>= 8.5.0), znc (= 0.206-2)
Homepage: http://znc.sourceforge.net/
Priority: optional
Section: net
Filename: pool/main/z/znc/znc-tcl_0.206-2_armhf.deb
Size: 36160
SHA256: d62727ebb7f73e01b31cc1472f8b88b7f917c36cff42e90d5f44fbfab5a3c7d8
SHA1: 8d5b076c2789f9f7f3394ff795f4b53db3610759
MD5sum: b65b7885f3b83390cff08fdc141c6bc7
Description: advanced modular IRC bouncer (Tcl extension)
 znc is an IRC proxy. It runs as a daemon and connects to IRC server,
 then allows you to connect from a workstation and work as the user that
 is logged in to the IRC server. After you disconnect, it maintains the
 connection to the server. It acts like any normal IRC server, so you can
 use any IRC client to connect to it.
 .
 Some of its features include support for multiple users, multiple clients,
 and multiple IRC servers; playback buffers to catch up with what happened
 while you were detached, SSL encryption, DCC proxying, and a plugin
 interface with many plugins supporting other features.
 .
 This package contains the znc Tcl extension.

Package: zoem
Version: 11-166-1
Architecture: armhf
Maintainer: Joost van Baal-Ilić 
Installed-Size: 673
Depends: libc6 (>= 2.13-28)
Suggests: aephea
Enhances: mcl
Breaks: zoem-doc (<< 10-265-1)
Replaces: zoem-doc (<< 10-265-1)
Homepage: http://micans.org/zoem/
Priority: optional
Section: text
Filename: pool/main/z/zoem/zoem_11-166-1_armhf.deb
Size: 301576
SHA256: 8858e8b7f8c5d646338bc9b63f2bc3891b29787d989c3325f134bb925e26f85a
SHA1: 21e80ebf2dc113da2048ca261b32d55f28c9a8a9
MD5sum: fc8ad22f6559962ef61e07bb468852fb
Description: general-purpose macro/programming language for transforming text
 Zoem is a language for transforming text. It supports the creation of
 light-weight mark-up languages as macro packages built on top of zoem.
 .
 Zoem submits text to a two-stage transformation process. Macro
 expansion and interpretation is followed by application of
 customizable character filtering rules. Zoem supports inside-out
 evaluation, comprehensive IO, control operators, iteration,
 dictionary stacks, multidimensional data storage, arithmetic
 expressions, regular expressions, system commands, and more.

Package: zomg
Version: 0.5.14-2
Architecture: armhf
Maintainer: Clint Adams 
Installed-Size: 103
Depends: libc6 (>= 2.13-28), libvorbisfile3 (>= 1.1.2), libxml2 (>= 2.7.4), zsh | zsh-beta, lockfile-progs, wget
Recommends: vorbis-tools, python-mutagen, mpg123
Priority: optional
Section: sound
Filename: pool/main/z/zomg/zomg_0.5.14-2_armhf.deb
Size: 19984
SHA256: d26ef541114cf9dcdaa447875d4982a09197f960a61121a27b95ca274376ae68
SHA1: 58786351f04566d2a8b2d3022b43af2b76546042
MD5sum: c5f12169537bbe403d8290e64293aa6d
Description: console-based libre.fm submission and radio client
 ZOMG is a console-based libre.fm client written in Z-Shell.
 It can submit the music tracks you play to libre.fm via the
 Audioscrobbler protocol, and it can play libre.fm radio stations.
 .
 It can also submit tracks to last.fm or any compatible GNU FM site.

Package: zonecheck
Version: 3.0.3-2
Installed-Size: 731
Maintainer: Sebastien Delafond 
Architecture: all
Depends: ruby (>= 1.8), iputils-ping, libdns-ruby (>= 1.47)
Recommends: libopenssl-ruby
Size: 192398
SHA256: ef22ec30398c6a370823e4eabf81ab84ad99cfff5ab80e2ec9f0b09c63a8716f
SHA1: ea073f02b5fcb6e5fe231606f881637ce29a3825
MD5sum: 8b4b417a3f752ec798fb5246ef092cd4
Description: DNS configuration checker
 DNS is a critical resource for every network application, so it is
 quite important to ensure that a zone or domain name is correctly
 configured in the DNS.
 .
 ZoneCheck is intended to help solving misconfigurations or
 inconsistencies usually revealed by an increase in the latency of the
 application, up to the output of unexpected/inconsistant results.
 .
 This package is the command-line version.
Homepage: http://www.zonecheck.fr
Tag: implemented-in::ruby, interface::commandline, protocol::dns,
 role::program, scope::utility, use::checking
Section: net
Priority: optional
Filename: pool/main/z/zonecheck/zonecheck_3.0.3-2_all.deb

Package: zonecheck-cgi
Source: zonecheck
Version: 3.0.3-2
Installed-Size: 143
Maintainer: Sebastien Delafond 
Architecture: all
Depends: zonecheck, apache | httpd
Size: 37690
SHA256: 4b2dec34465d6445a161c19a50b3421d4e1a142f4d11f3131d460c3cb072743a
SHA1: 14479b263bf423ab8edb733358f8a2e0ade949bd
MD5sum: 90dba7125522654ff8cee10f5b0481f9
Description: DNS configuration checker (web interface)
 DNS is a critical resource for every network application, so it is
 quite important to ensure that a zone or domain name is correctly
 configured in the DNS.
 .
 ZoneCheck is intended to help solving misconfigurations or
 inconsistencies usually revealed by an increase in the latency of the
 application, up to the output of unexpected/inconsistant results.
 .
 This package is the CGI version, for the Web.
Homepage: http://www.zonecheck.fr
Tag: implemented-in::ruby, interface::web, protocol::dns, role::program,
 scope::utility, use::checking, web::cgi
Section: net
Priority: optional
Filename: pool/main/z/zonecheck/zonecheck-cgi_3.0.3-2_all.deb

Package: zoneminder
Version: 1.25.0-4+deb7u2
Architecture: armhf
Maintainer: Peter Howard 
Installed-Size: 5197
Depends: libavcodec53 (>= 6:0.8.3-1~) | libavcodec-extra-53 (>= 6:0.8.20), libavdevice53 (>= 6:0.8.3-1~), libavformat53 (>= 6:0.8.3-1~), libavutil51 (>= 6:0.8.3-1~), libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libgcrypt11 (>= 1.4.5), libgnutls-openssl27, libjpeg8 (>= 8c), libmysqlclient18 (>= 5.5.24+dfsg-1), libpcre3 (>= 8.10), libstdc++6 (>= 4.4.0), libswscale2 (>= 6:0.8.3-1~), zlib1g (>= 1:1.1.4), perl, apache2, libapache2-mod-php5, php5, php5-mysql, libphp-serialization-perl, libdate-manip-perl, libmime-tools-perl, libmime-lite-perl, mysql-server, mysql-client, libwww-perl, libarchive-tar-perl, libarchive-zip-perl, libdevice-serialport-perl, ffmpeg, rsyslog | system-log-daemon, libmodule-load-perl, libjs-mootools, libsys-mmap-perl, zip, javascript-common
Homepage: http://www.zoneminder.com/
Priority: optional
Section: net
Filename: pool/main/z/zoneminder/zoneminder_1.25.0-4+deb7u2_armhf.deb
Size: 1768670
SHA256: eb6ff8350345a739c61f7ac1dd97388e4ed9f3015d3cf886f25979d0af7f6833
SHA1: e263dbd7196c17ec8ad28fa5bf50eeb6c3ee93f6
MD5sum: 42d4c952b38464531238809f523dc36f
Description: Linux video camera security and surveillance solution
 ZoneMinder is intended for use in single or multi-camera video security
 applications, including commercial or home CCTV, theft prevention and child
 or family member or home monitoring and other care scenarios. It
 supports capture, analysis, recording, and monitoring of video data coming
 from one or more video or network cameras attached to a Linux system.
 ZoneMinder also support web and semi-automatic control of Pan/Tilt/Zoom
 cameras using a variety of protocols. It is suitable for use as a home
 video security system and for commercial or professional video security
 and surveillance. It can also be integrated into a home automation system
 via X.10 or other protocols.

Package: zoo
Version: 2.10-27
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 151
Depends: libc6 (>= 2.13-28)
Homepage: ftp://metalab.unc.edu/pub/Linux/utils/compress/
Priority: optional
Section: utils
Filename: pool/main/z/zoo/zoo_2.10-27_armhf.deb
Size: 72032
SHA256: 4b2456c8c7c9b667b4e9ebd44c95b0cde39d3c02a12744ece24c6c84ad3cf026
SHA1: 8ea105ff4fa376c28db908c3b007625d82c7985e
MD5sum: 17e74687f0c9ddd9e7c2645eba52cc24
Description: manipulate zoo archives
 Zoo is used to create and maintain collections of files in compressed
 form.  It uses a Lempel-Ziv compression algorithm that gives space
 savings in the range of 20% to 80% depending on the type of file data.
 Zoo can store and selectively extract multiple generations of the same
 file.
 .
 This package exists for its historical value. If you are looking for
 a compression tool for serious use, check tar and gzip.

Package: zookeeper
Version: 3.4.5+dfsg-2+deb7u1
Installed-Size: 243
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: adduser, default-jre-headless | java6-runtime-headless, libzookeeper-java (= 3.4.5+dfsg-2+deb7u1)
Size: 137478
SHA256: 16d0d243fc6258af953771725de3f2b9a648e3f8f94488e9a6d4fe2fa608b4fc
SHA1: 8099f7d66bb54d683d6695a788bff62640848e92
MD5sum: fe9fbb052d635edddeea506ff19fa3dd
Description: High-performance coordination service for distributed applications
 ZooKeeper is a centralized, reliable, service for maintaining configuration
 information, naming, providing distributed synchronization, and group
 services. All of these kinds of services are used in some form or another by
 distributed applications.
 .
 This package contains the shell scripts and an example configuration but does
 not automatically start up the service. The example configuration is installed
 with the update-alternatives mechanism.
Homepage: http://zookeeper.apache.org
Section: java
Priority: optional
Filename: pool/main/z/zookeeper/zookeeper_3.4.5+dfsg-2+deb7u1_all.deb

Package: zookeeper-bin
Source: zookeeper
Version: 3.4.5+dfsg-2+deb7u1
Architecture: armhf
Maintainer: Debian Java Maintainers 
Installed-Size: 159
Depends: libzookeeper-mt2 (= 3.4.5+dfsg-2+deb7u1), libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), liblog4cxx10, libstdc++6 (>= 4.4.0), libxml2 (>= 2.7.4)
Homepage: http://zookeeper.apache.org
Priority: optional
Section: misc
Filename: pool/main/z/zookeeper/zookeeper-bin_3.4.5+dfsg-2+deb7u1_armhf.deb
Size: 90670
SHA256: f87ce034cf4aa13fecf69602488d92ef9b6716d4e42a40bedef1a1717532d34b
SHA1: f30cba310ec9e57ae01e963005ba573119beb282
MD5sum: 7c735c7f64f83400528eaac1a290f00e
Description: Command line utilities for zookeeper
 ZooKeeper is a centralized, reliable, service for maintaining configuration
 information, naming, providing distributed synchronization, and group
 services. All of these kinds of services are used in some form or another by
 distributed applications.
 .
 This package contains additional zookeeper command line utilities using the C
 binding. See the "zookeeper" package for command line utilities using the java
 binding.

Package: zookeeperd
Source: zookeeper
Version: 3.4.5+dfsg-2+deb7u1
Installed-Size: 87
Maintainer: Debian Java Maintainers 
Architecture: all
Depends: lsb-base (>= 3.2-14), zookeeper (= 3.4.5+dfsg-2+deb7u1)
Size: 37414
SHA256: f703538e655ea2630d7ce07fcf10d6f200fdd1d51b7c9c6c15ba6fccf2d924f9
SHA1: 67e80f35566e9e52b3320307b1af99e2a6038023
MD5sum: 1a454da5e07ffcb2e23f96002430e63d
Description: Init control scripts for zookeeper
 ZooKeeper is a centralized, reliable, service for maintaining configuration
 information, naming, providing distributed synchronization, and group
 services. All of these kinds of services are used in some form or another by
 distributed applications.
 .
 This package contains init.d scripts to start and stop zookeeper and starts
 zookeeper on installation.
Homepage: http://zookeeper.apache.org
Section: java
Priority: optional
Filename: pool/main/z/zookeeper/zookeeperd_3.4.5+dfsg-2+deb7u1_all.deb

Package: zoomer
Version: 0.1-1
Installed-Size: 60
Maintainer: Aurélio A. Heckert 
Architecture: all
Depends: imagemagick, inkscape, ffmpeg
Recommends: zenity
Size: 6758
SHA256: a8e910394ab165a42976f9714282eb73c8d43611c8576f8d3eec03fb90d0b86e
SHA1: d21f7189a32f2ba0ae8ae43f7a8dc2edc3907f3c
MD5sum: a153eaf28cbdf31aa143ffbf7a0ec945
Description: generate a video from a picture by zooming from one point to another
 Zoomer automatically generates a video from a picture. It starts at the full
 picture and then zooms into the first point. Then zooms out and into the next
 point, etc. At the moment of reaching a point, zoomer will write a text (e.g.
 a name).
 .
 Zoomer needs a picture (bigger is better) and a data file to define the points
 to be zoomed into.
Homepage: http://www.colivre.coop.br/Aurium/Zoomer
Tag: implemented-in::shell, interface::commandline, role::program,
 works-with::image, works-with::image:vector
Section: graphics
Priority: optional
Filename: pool/main/z/zoomer/zoomer_0.1-1_all.deb

Package: zope-common
Version: 0.5.52
Installed-Size: 236
Maintainer: Debian/Ubuntu Zope Team 
Architecture: all
Depends: adduser, python, debconf (>= 0.5) | debconf-2.0
Breaks: zope2.10 (<< 2.10.9), zope2.11 (<< 2.11.4), zope2.7, zope2.8, zope2.9
Size: 50980
SHA256: 5824e2ce3f47a9de94688e465e4c1b3caeb6886718b69ae0066d66b64cdf16f7
SHA1: 1a656e8d08ec5a68f03e42a4dd374ef2f0e97f41
MD5sum: 6c07698e08fe0a19493bcb41f26d759d
Description: common settings and scripts for Zope installations
 This package contains common settings and scripts for Zope installations.
Tag: role::app-data, suite::zope, web::cms
Section: zope
Priority: optional
Filename: pool/main/z/zope-common/zope-common_0.5.52_all.deb

Package: zope-debhelper
Version: 0.3.15
Installed-Size: 140
Maintainer: Debian/Ubuntu Zope team 
Architecture: all
Depends: perl, debhelper (>= 4.2.32)
Suggests: zope-common
Size: 20038
SHA256: 5495c8b50e55c95de38805b315ac4ade5e0585a399b521fe5273f1b60e4ef9c1
SHA1: cb7b3243f0c4bcc9583cc16e1db766f85a358de7
MD5sum: 54f758774bc319796e36c9ee76cf9b51
Description: debhelper script for zope packaging
 The package contains dh_installzope and dh_installzopeinstance debhelper
 scripts used for zope packaging tasks.
Tag: devel::debian, devel::packaging, implemented-in::perl, role::program
Section: zope
Priority: extra
Filename: pool/main/z/zope-debhelper/zope-debhelper_0.3.15_all.deb

Package: zope-maildrophost
Version: 2.3-1
Installed-Size: 197
Maintainer: Debian Zope team 
Architecture: all
Depends: zope-common (>= 0.5.49), zope2.14 | zope2.13 | zope2.12 | zope2.11 | zope2.10 | zope2.9 | zope2.8
Size: 36710
SHA256: b06a475e7bd666d6a665f21b2de2f6ec7a070e84a609d4632015c52eb3a62860
SHA1: 3efd36c6eacd9f9a7c734e65fa9269bdd26e2a71
MD5sum: 11baa1129bf3c01c5d2b5b22c97cb736
Description: send mails from within Zope through a mail queue
 The MaildropHost product provides support for sending email from within the
 Zope environment using MaildropHost objects. Unlike the built-in MailHost
 object, the sending is done asynchronously from a separate process.
Homepage: http://pypi.python.org/pypi/Products.MaildropHost
Section: zope
Priority: extra
Filename: pool/main/z/zope-maildrophost/zope-maildrophost_2.3-1_all.deb

Package: zope-mysqlda
Version: 3.1.1-1
Installed-Size: 136
Maintainer: Debian/Ubuntu Zope Team 
Architecture: all
Depends: zope-common (>= 0.5.49), zope2.13 | zope2.12 | zope2.11 | zope2.10 | zope2.9 | zope2.8, python-mysqldb (>= 1.2.1)
Size: 30494
SHA256: 10bb44f87b15ddd74792121eeaacb166e9b3340b8bb656c936c5947feb78de00
SHA1: 7117256460952b42aa0c68fcc27ad0acd8905aad
MD5sum: 1bf9147e343a49e3a1bbe00114d89445
Description: database adapter for connecting Zope and MySQL
 ZMySQLDA is a database adapter for accessing MySQL databases from
 Zope. It is based on the MySQLdb module for Python.
Homepage: http://sourceforge.net/projects/mysql-python
Tag: role::plugin, suite::zope, works-with::db
Section: zope
Priority: extra
Filename: pool/main/z/zope-mysqlda/zope-mysqlda_3.1.1-1_all.deb

Package: zope-quotafolder
Version: 1:0.1.1-1
Installed-Size: 82
Maintainer: Debian Zope Team 
Architecture: all
Depends: zope-common (>= 0.5.49), zope3 | zope2.14 | zope2.13 | zope2.12 | zope2.11 | zope2.10 | zope2.9 | zope2.8 | zope2.7 | zope2.6
Size: 16726
SHA256: 70246958ac2d4e3ac66214395773ebfb62af690834b57f66f376963ed9bc2aee
SHA1: 761ecec829c4ccd9557355805a09ca857e5a4ef1
MD5sum: 2c86dfb955eb4f0ff7d71fd49b9ae496
Description: folder based quota system for Zope
 This Zope Product provides a folder-like Zope object which can enforce
 restrictions on objects stored within it, including objects stored in
 sub-folders.
 .
 These restrictions can limit the total number of objects, the maximum size
 of any single object, and the total size of all objects together.
Homepage: http://old.zope.org/Members/ivo/QuotaFolder/
Tag: implemented-in::python, interface::web, protocol::http, role::plugin,
 suite::zope, use::storing, web::cms
Section: zope
Priority: extra
Filename: pool/main/z/zope-quotafolder/zope-quotafolder_0.1.1-1_all.deb

Package: zope-replacesupport
Version: 1.0.3-6
Installed-Size: 135
Maintainer: Debian Zope team 
Architecture: all
Depends: zope-common (>= 0.5.49), zope2.14 | zope2.13 | zope2.12 | zope2.11 | zope2.10
Size: 22802
SHA256: 5cbda7c5fe48616eac538185a41a4ef0529d89f6dcad8d6b228afc66584dc7d4
SHA1: 9fcbcc6881bdf655b59ae92716c6b28a0b157861
MD5sum: 6bb8ca7d33f1977d46fe9c296b234064
Description: Add search and replace functionality to TTW Zope objects
 This product adds search and replace functionality to TTW Zope objects.
 A Replace tab is added to the ZMI of Folders and folderish objects, that
 allows one to locate Zope objects and replace strings in these objects.
 .
 Replacement is carried out by handlers created for the individual object
 types. Handlers for standard Zope objects are provided by default:
 DTMLMethod, DTMLDocument, PythonScript, PageTemplate and ZSQLMethod.
 Handlers for other object types can very easily be created.
Homepage: http://old.zope.org/Members/shh/ReplaceSupport/
Tag: implemented-in::python, interface::web, protocol::http, role::plugin,
 suite::zope, use::searching, web::cms
Section: zope
Priority: extra
Filename: pool/main/z/zope-replacesupport/zope-replacesupport_1.0.3-6_all.deb

Package: zope2.12
Version: 2.12.26-1
Architecture: armhf
Maintainer: Debian/Ubuntu Zope Team 
Installed-Size: 24757
Pre-Depends: zope-common (>= 0.5.52~)
Depends: lsb-base, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), debconf | debconf-2.0, python (>= 2.6.6-7~), python2.6, python-docutils (>= 0.7), python-mechanize (>= 0.1.11), python-pkg-resources (>= 0.6.16-1.1) | python-pkg-resources (<< 0.6.15), python-tz, python-initgroups
Suggests: python-unit, python-profiler
Provides: zope
Homepage: http://zope2.zope.org/
Priority: optional
Section: zope
Filename: pool/main/z/zope2.12/zope2.12_2.12.26-1_armhf.deb
Size: 5346016
SHA256: e587b3059e8d8ca86109b63c81dc986f604f6ed94b2a2e14884d9dc549530150
SHA1: 86d9bc83060e6111862131440704894cf977264e
MD5sum: 486e37a0c84e06930caea5dd579b5052
Description: Open Source Web Application Server
 Zope is an open source web application server primarily written in
 the Python programming language. It features a transactional object
 database which can store not only content and custom data, but also
 dynamic HTML templates, scripts, a search engine, and relational
 database (RDBMS) connections and code.
 .
 It features a strong through-the-web development model, allowing you
 to update your web site from anywhere in the world. To allow for
 this, Zope also features a tightly integrated security model. Built
 around the concept of "safe delegation of control", Zope's security
 architecture also allows you to turn control over parts of a web site
 to other organizations or individuals.
 .
 This package contains the framework and libraries needed to run your
 own Zope 2.12 instance.

Package: zope2.12-sandbox
Source: zope2.12
Version: 2.12.26-1
Installed-Size: 59
Maintainer: Debian/Ubuntu Zope Team 
Architecture: all
Depends: debconf (>= 0.5) | debconf-2.0, zope-common (>= 0.5.49), zope2.12
Size: 26414
SHA256: a081b39090ef313723ae77b6d3eed38851a0f47e6147f0359aaa163bcd7f2527
SHA1: a466f71c5d2d1911044bfbc68b850aedf393e9fb
MD5sum: 80e45a44f29995b0cdab8cc3ea7614d2
Description: sandbox instance for the zope2.12 web application server
 This package creates a "sandbox" instance, getting all Zope
 products and packages available which are installed as Debian packages.
 .
 The sandbox is usable for development and testing. For production
 please setup your own Zope 2.12 instance using dzhandle utility.
Homepage: http://zope2.zope.org/
Section: zope
Priority: optional
Filename: pool/main/z/zope2.12/zope2.12-sandbox_2.12.26-1_all.deb

Package: zoph
Version: 0.8.0.1-1.1
Installed-Size: 1708
Maintainer: Edelhard Becker 
Architecture: all
Depends: apache2 | httpd, php5-mysql, php5-gd, wwwconfig-common, perl, libdbi-perl, libimage-size-perl, libdbd-mysql-perl, jhead, imagemagick, unzip
Suggests: exiftran, php-pear
Size: 532906
SHA256: de227c6c10e8d9ea87a007b8ca05b61ba3a127684817720534e4b5a123c7ee11
SHA1: a8283680a6817eb2bee62a0567e8883fda80b112
MD5sum: 0b4bc3ffdfb32cea687df24570ce0c55
Description: Web based digital image presentation and management system
 "Zoph Organizes PHotos" is a web based photo management system written using
 PHP and backed by MySQL, not just yet-another-web-album.
 .
 Database features: photos can have lots of meta data (EXIF info, title,
 photographer, location, description, rating, people in the photo); each photo
 can appear in zero or more albums; each photo can appear in zero or more
 categories; albums and categories are both hierarchical; searches can be
 performed using all this data; access privileges for each user.
 .
 Additional features: slideshows; lightboxes; "email this photo"; basic
 reporting (most photographed people, places, etc.); user interface in several
 languages.
 .
 Administration: photos, albums, categories, people, places and users can be
 easily administered using the web interface; import photos from the web or by
 using a Perl script; export photos to generate static galleries with album or
 bins (both available as Debian packages).
 .
  Authors:  Jason Geiger and Jeroen Roos
Homepage: http://www.zoph.org/
Tag: devel::lang:sql, interface::web, role::program, scope::application,
 use::organizing, use::storing, web::application,
 works-with-format::jpg, works-with::db, works-with::image,
 works-with::image:raster
Section: web
Priority: optional
Filename: pool/main/z/zoph/zoph_0.8.0.1-1.1_all.deb

Package: zorp
Version: 3.9.5-4
Architecture: armhf
Maintainer: SZALAY Attila 
Installed-Size: 835
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.16.0), libssl1.0.0 (>= 1.0.0), libzorp3.9 (>= 3.9.5), libzorpll3.9-1 (>= 3.9.1.0), python-openssl (>= 0.10-1), python-dns, python-kzorp (= 3.9.5-4), adduser, python, python-support (>= 0.90.0), python-radix
Suggests: zorp-doc, zorp-modules (= 3.9.5-4)
Breaks: zorp-modules (<< 3.9.0-1)
Replaces: zorp-modules (<< 3.9.0-1)
Priority: optional
Section: net
Filename: pool/main/z/zorp/zorp_3.9.5-4_armhf.deb
Size: 154002
SHA256: 30d8548b07c938b416954a86ba29fd3ff307c67898ab4f002b85703b4e03b7d9
SHA1: 0d92e47802e6a80ba98bf060277e273595cdb61c
MD5sum: 6ba47b4571e0eae0f61d3be8f8122b49
Description: Advanced protocol analyzing firewall
 Zorp is a new generation firewall. It is essentially a transparent proxy
 firewall, with strict protocol analyzing proxies, a modular architecture,
 and fine-grained control over the mediated traffic. Configuration decisions
 are scriptable with the Python based configuration language.
 .
 Zorp has been successfully deployed in demanding environments like the
 protection of high traffic web sites, or the protection of large intranets.
 Since the protocol analysis is strict and many of the common exploits
 violate the application protocol they are injected into, a large percentage
 of the attacks do not cross a Zorp based firewall even if the given service
 is permitted.

Package: zorp-dbg
Source: zorp
Version: 3.9.5-4
Architecture: armhf
Maintainer: SZALAY Attila 
Installed-Size: 144
Depends: zorp (= 3.9.5-4)
Priority: extra
Section: debug
Filename: pool/main/z/zorp/zorp-dbg_3.9.5-4_armhf.deb
Size: 46076
SHA256: 54f641d14d10afdb1ae74b05b72186c85971f40a430ca0853e99b608827d5f05
SHA1: 638cc0da1e4f5ab2a8f0d8cff93f0a3d43e74c9a
MD5sum: cf1295cd11ae73ca40f1549dbdd609b6
Description: Advanced protocol analyzing firewall
 Zorp is a new generation firewall. It is essentially a transparent proxy
 firewall, with strict protocol analyzing proxies, a modular architecture,
 and fine-grained control over the mediated traffic. Configuration decisions
 are scriptable with the Python based configuration language.
 .
 Debug symbols.

Package: zorp-modules
Source: zorp
Version: 3.9.5-4
Architecture: armhf
Maintainer: SZALAY Attila 
Installed-Size: 806
Depends: libc6 (>= 2.13-28), libglib2.0-0 (>= 2.31.8), libpython2.7 (>= 2.7), libssl1.0.0 (>= 1.0.0), libzorp3.9 (>= 3.9.5), libzorpll3.9-1 (>= 3.9.1.0), python, python-support (>= 0.90.0)
Recommends: zorp (= 3.9.5-4)
Priority: optional
Section: net
Filename: pool/main/z/zorp/zorp-modules_3.9.5-4_armhf.deb
Size: 206082
SHA256: 1892cde37cd58b2de39e382c7c1159bf7952943e6efd182ecf8900dd3f6439f5
SHA1: 04719f32d6ca85764d891ec98ae564e1e5510ef3
MD5sum: cee3914571dd9e093dbc2d73640dddac
Description: Default proxy modules for Zorp
 Zorp is a new generation firewall. It is essentially a transparent proxy
 firewall, with strict protocol analyzing proxies, a modular architecture,
 and fine-grained control over the mediated traffic. Configuration decisions
 are scriptable with the Python based configuration language.
 .
 This package includes proxies for the protocols: FINGER, FTP, HTTP,
 SSL, TELNET, WHOIS, and two general modules ANYPY and PLUG.

Package: zorp-modules-dbg
Source: zorp
Version: 3.9.5-4
Architecture: armhf
Maintainer: SZALAY Attila 
Installed-Size: 1436
Depends: zorp-modules (= 3.9.5-4)
Priority: extra
Section: debug
Filename: pool/main/z/zorp/zorp-modules-dbg_3.9.5-4_armhf.deb
Size: 660484
SHA256: 090f4984f4927805907b77ff1f6496da77021f304afbdbf52bd155a5d08114e8
SHA1: 24273552c5e99baa0a9ffed6a3a85675b1b730ed
MD5sum: fe802aa771dbc4e98d9cce7f7b8b62aa
Description: Default proxy modules for Zorp
 Zorp is a new generation firewall. It is essentially a transparent proxy
 firewall, with strict protocol analyzing proxies, a modular architecture,
 and fine-grained control over the mediated traffic. Configuration decisions
 are scriptable with the Python based configuration language.
 .
 This package includes debug symbol of proxies.

Package: zp
Version: 1.0-1
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 128
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0)
Homepage: http://mattmahoney.net/dc
Priority: optional
Section: utils
Filename: pool/main/z/zp/zp_1.0-1_armhf.deb
Size: 33772
SHA256: 2f509772124a9456d292a1a99542344639d9932ac15585ea63700b202d734727
SHA1: 571cfa221c21c2a76d47b27f92948c3be2b38998
MD5sum: a4cd7118e2b4ed0b37c9802c3b490f78
Description: ZPAQ open standard maximum compressor (prebuilt levels)
 PAQ family is a series of open source data compression archivers that have
 evolved through collaborative development to top rankings on several
 benchmarks measuring compression ratio although at the expense of
 speed and memory usage.
 .
 This package includes program zp (a ZPAQ archiver), a proposed
 standard format for highly compressed data that allows new
 compression algorithms to be developed without breaking compatibility
 with older programs.
 .
 Note: zp is the "user friendly" version of zpaq(1) archiver. Zp comes
 with 3 prebuilt and optimized compression levels. It does not
 require configuration file for min, med, max compression levels.

Package: zpaq
Version: 1.10-1
Architecture: armhf
Maintainer: Jari Aalto 
Installed-Size: 160
Depends: libc6 (>= 2.4), libgcc1 (>= 1:4.4.0), libstdc++6 (>= 4.3.0)
Homepage: http://mattmahoney.net/dc
Priority: optional
Section: utils
Filename: pool/main/z/zpaq/zpaq_1.10-1_armhf.deb
Size: 54356
SHA256: 3ef91c690d4804a92ec4ad0bc2b506b0213cf738029262ceac84fa5107b0eaff
SHA1: d6924d1c4c2968ea1d9bb864305ff2dc92936039
MD5sum: bb7e5cbed5800f8dd78f2a0d2bea0d6d
Description: maximum reference compressor for ZPAQ open standard
 PAQ familily is a series of open source data compression archivers
 that have evolved through collaborative development to top rankings
 on several benchmarks measuring compression ratio although at the
 expense of speed and memory usage.
 .
 This package includes ZPAQ, a proposed standard format for highly
 compressed data that allows new compression algorithms to be
 developed without breaking compatibility with older programs.

Package: zpspell
Version: 0.4.3-4.1
Architecture: armhf
Maintainer: Rail Aliev 
Installed-Size: 62
Depends: libc6 (>= 2.13-28), libdbus-glib-1-2 (>= 0.78), libgcc1 (>= 1:4.4.0), libglib2.0-0 (>= 2.12.0), libstdc++6 (>= 4.4.0), zemberek-server
Priority: optional
Section: text
Filename: pool/main/z/zpspell/zpspell_0.4.3-4.1_armhf.deb
Size: 14830
SHA256: 95006b15ac2c9fa6fbad728dd3b1fa59b991c7ac3684a7233103f3ff2217f5ce
SHA1: 4b96af74d0380554f569e6d258ae5f8b1841f1f1
MD5sum: b10afaed986f798b39a9361d21226fb9
Description: Command line interface for zemberek-server
 zpspell is the command line interface for zemberek-server. It simulates the
 ispell interface for being compatible with programs using ispell interactive
 mode.

Package: zsafe
Version: 2.1.3-3
Architecture: armhf
Maintainer: John Goerzen 
Installed-Size: 266
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libqt3-mt (>= 3:3.3.8b), libstdc++6 (>= 4.4.0), libx11-6, libxext6
Priority: optional
Section: utils
Filename: pool/main/z/zsafe/zsafe_2.1.3-3_armhf.deb
Size: 94828
SHA256: 7b8bdd2919ac147d8547c4adc8f6378f048e2bfaff8b3069d1fa1f0f3884c153
SHA1: 78eee86cd8c878983ee737e709ef9ee1e7a9a5a9
MD5sum: b9d20663487bc13f27d11e9efe7f14bd
Description: Password manager with encryption
 ZSafe is a password manager.  Passwords can be stored into user defined
 categories. The password file will be encrypted by using a secure RC2
 mechanism.
 .
 ZSafe makes a fine standalone application with features such as import
 and export, encrypted storage, etc.
 .
 But one of the added benefits is that it is built from the same source
 code as the ZSafe program for the Sharp Zaurus line of PDAs.  Thus,
 you can use the same program and work with the same files on your
 desktop as you can on your PDA.  This program uses the same on-disk
 format as the Zaurus version.

Package: zsh
Version: 4.3.17-1+deb7u2
Architecture: armhf
Maintainer: Debian Zsh Maintainers 
Installed-Size: 10927
Depends: libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libtinfo5
Recommends: libncursesw5 (>= 5.6+20070908), libpcre3 (>= 8.10)
Suggests: zsh-doc
Homepage: http://www.zsh.org/
Priority: optional
Section: shells
Filename: pool/main/z/zsh/zsh_4.3.17-1+deb7u2_armhf.deb
Size: 4828614
SHA256: 3ed764aabf1e28b6e5099a3f23fc0fbc6145e4bf7657c21bc7b114872c510a9a
SHA1: d3ef0de752b800574d8c1b5beb0cfa36216e27a9
MD5sum: 0d4bebc135eaaad289f585ce44d74c7e
Description: shell with lots of features
 Zsh is a UNIX command interpreter (shell) usable as an
 interactive login shell and as a shell script command
 processor. Of the standard shells, zsh most closely resembles
 ksh but includes many enhancements. Zsh has command-line editing,
 built-in spelling correction, programmable command completion,
 shell functions (with autoloading), a history mechanism, and a
 host of other features.

Package: zsh-beta
Version: 4.3.17-dev-0+20120621-1
Architecture: armhf
Maintainer: Clint Adams 
Installed-Size: 11310
Depends: passwd (>= 1:4.0.3-10), libc6 (>= 2.13-28), libcap2 (>= 2.10), libgcc1 (>= 1:4.4.0), libtinfo5
Recommends: libncursesw5 (>= 5.6+20070908), libpcre3 (>= 8.10)
Suggests: zsh-beta-doc
Conflicts: zsh (<< 4.0.4-30), zsh30 (<< 3.0.8-6)
Priority: optional
Section: shells
Filename: pool/main/z/zsh-beta/zsh-beta_4.3.17-dev-0+20120621-1_armhf.deb
Size: 4910200
SHA256: fb92f3d403eea1fc0bb5db7d3800fce62ebf145e552a6e2a7277704439f99532
SHA1: 0133eeca5a291f04de7ae1f8b36ba371856dd89e
MD5sum: 7094d2567189c4214ab1a059e529e9ec
Description: A shell with lots of features (dev tree)
 Zsh is a UNIX command interpreter (shell) usable as an
 interactive login shell and as a shell script command
 processor. Of the standard shells, zsh most closely resembles
 ksh but includes many enhancements. Zsh has command-line editing,
 built-in spelling correction, programmable command completion,
 shell functions (with autoloading), a history mechanism, and a
 host of other features.
 .
 This is less stable than the regular 'zsh' package.

Package: zsh-beta-doc
Source: zsh-beta
Version: 4.3.17-dev-0+20120621-1
Installed-Size: 3536
Maintainer: Clint Adams 
Architecture: all
Depends: dpkg (>= 1.15.4) | install-info
Conflicts: zsh (<< 3.1.6.pws9-1)
Size: 970872
SHA256: b886444c352e78da37bc3cc72a8b9582ecd1bd822e9ce737f161d89dd4d8ada1
SHA1: 4772c67716384a5e1fbb0894015266bcaa0c8c64
MD5sum: 8f2fa9a0179516fe04073d341683b4bf
Description: zsh beta documentation - info/HTML format
 Zsh is a UNIX command interpreter (shell) usable as an
 interactive login shell and as a shell script command
 processor. Of the standard shells, zsh most closely resembles
 ksh but includes many enhancements. Zsh has command-line editing,
 built-in spelling correction, programmable command completion,
 shell functions (with autoloading), a history mechanism, and a
 host of other features.
 .
 This contains the documentation in GNU info and HTML formats.
Tag: interface::shell, made-of::html, made-of::info, role::documentation,
 uitoolkit::ncurses
Section: doc
Priority: optional
Filename: pool/main/z/zsh-beta/zsh-beta-doc_4.3.17-dev-0+20120621-1_all.deb

Package: zsh-dbg
Source: zsh
Version: 4.3.17-1+deb7u2
Architecture: armhf
Maintainer: Debian Zsh Maintainers 
Installed-Size: 2652
Depends: zsh (= 4.3.17-1+deb7u2)
Homepage: http://www.zsh.org/
Priority: extra
Section: debug
Filename: pool/main/z/zsh/zsh-dbg_4.3.17-1+deb7u2_armhf.deb
Size: 1150358
SHA256: 282cff8962725817cfebd91899fee57478dceda64b55f59d85ea782e0beae3c8
SHA1: d7421f014d287da5976dc28c22f63ed2feded550
MD5sum: b5290e7dc69ac34c74078ccccc2d96ed
Description: shell with lots of features (debugging symbols)
 Zsh is a UNIX command interpreter (shell) usable as an
 interactive login shell and as a shell script command
 processor. Of the standard shells, zsh most closely resembles
 ksh but includes many enhancements. Zsh has command-line editing,
 built-in spelling correction, programmable command completion,
 shell functions (with autoloading), a history mechanism, and a
 host of other features.
 .
 This package contains gdb debugging symbols for the 'zsh'
 package.

Package: zsh-dev
Source: zsh
Version: 4.3.17-1+deb7u2
Architecture: armhf
Maintainer: Debian Zsh Maintainers 
Installed-Size: 212
Homepage: http://www.zsh.org/
Priority: optional
Section: libdevel
Filename: pool/main/z/zsh/zsh-dev_4.3.17-1+deb7u2_armhf.deb
Size: 84258
SHA256: e6bde61fde7f58950502bb27b9fb3449f6bd0f2a30711ea2f7fbe2e09a08cf81
SHA1: f3da250c26c39d881d0f957c52f2d5d36212baa6
MD5sum: 3a14f6e3f13fa9fb34e23f8aae3bc353
Description: shell with lots of features (development files)
 Zsh is a UNIX command interpreter (shell) usable as an
 interactive login shell and as a shell script command
 processor. Of the standard shells, zsh most closely resembles
 ksh but includes many enhancements. Zsh has command-line editing,
 built-in spelling correction, programmable command completion,
 shell functions (with autoloading), a history mechanism, and a
 host of other features.
 .
 This package contains headers and scripts necessary to compile
 third-party modules.

Package: zsh-doc
Source: zsh
Version: 4.3.17-1+deb7u2
Installed-Size: 5131
Maintainer: Debian Zsh Maintainers 
Architecture: all
Depends: dpkg (>= 1.15.4) | install-info
Size: 2568638
SHA256: 43c57f46e15671978c41538b28d743ef7f7a2b6668fec413d5483ade5c76d612
SHA1: e0d510c24bc5cb45c94202ee2f50dfb7241752ff
MD5sum: e7bbb58e9fb933dfb47e9be410e5053d
Description: zsh documentation - info/HTML format
 Zsh is a UNIX command interpreter (shell) usable as an
 interactive login shell and as a shell script command
 processor. Of the standard shells, zsh most closely resembles
 ksh but includes many enhancements. Zsh has command-line editing,
 built-in spelling correction, programmable command completion,
 shell functions (with autoloading), a history mechanism, and a
 host of other features.
 .
 This contains the documentation in GNU info and HTML formats.
Homepage: http://www.zsh.org/
Section: doc
Priority: optional
Filename: pool/main/z/zsh/zsh-doc_4.3.17-1+deb7u2_all.deb

Package: zsh-static
Source: zsh
Version: 4.3.17-1+deb7u2
Architecture: armhf
Maintainer: Debian Zsh Maintainers 
Installed-Size: 1630
Depends: zsh
Suggests: zsh-doc
Homepage: http://www.zsh.org/
Priority: optional
Section: shells
Filename: pool/main/z/zsh/zsh-static_4.3.17-1+deb7u2_armhf.deb
Size: 896406
SHA256: 010ad5f148c0f75ecc913effb1484290d7339a5cd47bf6578f89249b47b21a5d
SHA1: df97d26d92950e8cff6e4eff7b92e909784f2b3c
MD5sum: 771a67e10b27dfa0ceb4b78e2fb6ad4d
Description: shell with lots of features (static link)
 Zsh is a UNIX command interpreter (shell) usable as an
 interactive login shell and as a shell script command
 processor. Of the standard shells, zsh most closely resembles
 ksh but includes many enhancements. Zsh has command-line editing,
 built-in spelling correction, programmable command completion,
 shell functions (with autoloading), a history mechanism, and a
 host of other features.
 .
 This is the statically-compiled version of the shell.

Package: zshdb
Version: 0.05+git20101031-2
Installed-Size: 536
Maintainer: Debian QA Group 
Architecture: all
Depends: zsh (>= 4.3.9), emacsen-common
Size: 81338
SHA256: ea1218c8096e7c887e378f819b3b0c5e17c76ce11e6536b0d2ead3f371ca5ac5
SHA1: 6c0e8bd8a49d02dd4d083f0f593fda1d3098f6ce
MD5sum: fef587a368dda5b2a300ff5f633a2ab3
Description: debugger for Z-Shell scripts
 This debugger for Z-shell scripts has a similar command interface as gdb.
Tag: devel::debugger, implemented-in::shell, role::program
Section: devel
Priority: extra
Filename: pool/main/z/zshdb/zshdb_0.05+git20101031-2_all.deb

Package: zssh
Version: 1.5c.debian.1-3.1
Architecture: armhf
Maintainer: Ben Wong 
Installed-Size: 70
Depends: lrzsz, openssh-client | telnet | telnet-ssl, libc6 (>= 2.4), libreadline6 (>= 6.0)
Priority: optional
Section: net
Filename: pool/main/z/zssh/zssh_1.5c.debian.1-3.1_armhf.deb
Size: 22060
SHA256: 9b5b4cd46018ecbeb66669400093e7859ed01f9231feb36b4031cbb6b73eecea
SHA1: 9fc7aa5d84617105a048f0cd31ca4dc80a5d1973
MD5sum: a5b036cc08c757a38b83c581dd6db9ae
Description: interactive file transfers over ssh
 zssh (Zmodem SSH) is a program for interactively transferring files to a
 remote machine  while using  the secure  shell (ssh).  It is intended to
 be a convenient  alternative to scp, allowing to  transfer files without
 having to open another session and re-authenticate oneself.
 .
 Files are transferred through the zmodem  protocol,  using the rz and sz
 commands.
 .
  Homepage: http://zssh.sourceforge.net/

Package: zsync
Version: 0.6.2-1
Architecture: armhf
Maintainer: Robert Lemmen 
Installed-Size: 222
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Homepage: http://zsync.moria.org.uk/
Priority: optional
Section: net
Filename: pool/main/z/zsync/zsync_0.6.2-1_armhf.deb
Size: 112380
SHA256: 9b8634aa91e211589a02be6839a7f389089d12f1c69c980f733734b04dc64af4
SHA1: a5157c6c216461b15ac8bc9588d6ae8a42a291b1
MD5sum: d0bbf281a58a1c67f1f8d6b9049e42c2
Description: client-side implementation of the rsync algorithm
 zsync is a file transfer program to download files from
 remote web servers. If a previous version of a file is available
 locally, zsync will only download changed parts and hereby
 minimise the download volume. The algorithm is the same as used
 by rsync(1), but zsync does not require any server software
 (apart from a web server), nor does it need shell access.
 Instead, it uses a control file (.zsync file) that describes the
 file to be downloaded, which it uses to determine the blocks to
 fetch. This file is created once on the server (and not for each
 request) and sits next to actual file to download

Package: zutils
Version: 0.9-6
Architecture: armhf
Maintainer: Daniel Baumann 
Installed-Size: 1775
Recommends: bzip2, lzip, xz-utils
Enhances: bzip2, gzip, lzip, xz-utils
Homepage: http://www.nongnu.org/zutils/zutils.html
Priority: extra
Section: utils
Filename: pool/main/z/zutils/zutils_0.9-6_armhf.deb
Size: 282938
SHA256: 8d05237dfab54b0d4c54c41fd0e4f42565bd4c7c5f151e1ec1dd491e8e1f042d
SHA1: 639d28ddfe52cdf15f5b0a77ca70bb98c977273d
MD5sum: b358326abf4bd1f4f69a668fb0f62686
Description: utilities for dealing with compressed files transparently
 Zutils is a collection of utilities for dealing with any combination of
 compressed and non-compressed files transparently. Currently the supported
 compressors are gzip, bzip2, lzip, and xz.

Package: zutils-dbg
Source: zutils
Version: 0.9-6
Architecture: armhf
Maintainer: Daniel Baumann 
Installed-Size: 680
Depends: zutils (= 0.9-6)
Homepage: http://www.nongnu.org/zutils/zutils.html
Priority: extra
Section: debug
Filename: pool/main/z/zutils/zutils-dbg_0.9-6_armhf.deb
Size: 295042
SHA256: 8361c5b41011ba3d96e9d6693eaf98e8a2f287f69ec1072b2befd16358e1b86b
SHA1: 68f65bc80576b20a32dc25c1b5fb23ee2d49b59d
MD5sum: e0de9973e6aae4bc2144756d5790c71f
Description: utilities for dealing with compressed files transparently (debug)
 Zutils is a collection of utilities for dealing with any combination of
 compressed and non-compressed files transparently. Currently the supported
 compressors are gzip, bzip2, lzip, and xz.
 .
 This package contains the debugging symbols.

Package: zvbi
Version: 0.2.33-6
Architecture: armhf
Maintainer: Christian Marillat 
Installed-Size: 449
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libpng12-0 (>= 1.2.13-4), libzvbi0 (>= 0.2.11), zlib1g (>= 1:1.1.4)
Homepage: http://zapping.sourceforge.net/ZVBI/index.html
Priority: optional
Section: utils
Filename: pool/main/z/zvbi/zvbi_0.2.33-6_armhf.deb
Size: 229678
SHA256: 99ba9a2d15726808f086d7ce7a890741755893e802067fb18e590acce9d65561
SHA1: 96fb577f7b5971e615828313009139304d7b0fb0
MD5sum: e8f0cc8e8c79ddf2fa92fa400c30b835
Description: Vertical Blanking Interval (VBI) utilities
 Televison broadcasts use the VBI to transmit text such as closed captioning
 (NTSC), Teletext (PAL/SECAM), and now Intercast and the ATVEC Internet
 television encodings.  The zvbi library is used to capture and decode raw
 VBI data.
 .
 This package contains three utilities:
  * zvbid, a proxy for VBI devices. It forwards VBI data streams to one or
    more connected clients and manages channel change requests.
  * zvbi-chains, a wrapper which executes the VBI application given on the
    command line while overloading several C library calls so that the
    application can be forced to access VBI devices via the VBI proxy
    instead of device files directly.
  * zvbi-ntsc-cc, a command-line utility for decoding and capturing closed
    captioning (CC) for NTSC and webtv.

Package: zygrib
Version: 3.9.2-1
Architecture: armhf
Maintainer: Alastair McKinstry 
Installed-Size: 1295
Depends: libbz2-1.0, libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0), libproj0, libqt4-network (>= 4:4.5.3), libqt4-xml (>= 4:4.5.3), libqtcore4 (>= 4:4.7.0~beta1), libqtgui4 (>= 4:4.5.3), libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4), zygrib-maps
Homepage: http://www.zygrib.org/
Priority: optional
Section: utils
Filename: pool/main/z/zygrib/zygrib_3.9.2-1_armhf.deb
Size: 534028
SHA256: 531d0177ca3ad15291f233bd3fcfeefab1f651b5eb748872411ef3465801c0b5
SHA1: 486e8eaea3e3d4eb6dc757d3f0bc48f83fe2f588
MD5sum: 99ec387b79bd12eea49118e0c3d1d090
Description: Weather data visualization,  GRIB file viewer
 This application, written with the Qt toolkit, enables:
  * Visualisation of meteo data from files in GRIB Format 1
  * Automatic GRIB data download
  * Automatic Download from IAC (fleetcode) Data

Package: zygrib-maps
Source: zygrib
Version: 3.9.2-1
Installed-Size: 24148
Maintainer: Alastair McKinstry 
Architecture: all
Size: 12190148
SHA256: 64f5014c65fa5e830da1b2ff13c02381ec27b06002b970484e228a6567a040c8
SHA1: be3fdc62480b8b4649a4c494c2eee74e675e4ef0
MD5sum: 6400e811b86fdbfb3f412ccc9a2fa7a6
Description: Maps for zyGrib weather visualization
 This contains maps and country names used by zyGrib.
Homepage: http://www.zygrib.org/
Tag: role::app-data
Section: utils
Priority: optional
Filename: pool/main/z/zygrib/zygrib-maps_3.9.2-1_all.deb

Package: zynadd
Source: zyn
Version: 1+git.20100609+dfsg0-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 170
Depends: libc6 (>= 2.13-28), libfftw3-3, libgcc1 (>= 1:4.4.0), liblv2dynparamplugin1-0 (>= 2-1~), libstdc++6 (>= 4.3.0)
Recommends: zynjacku
Homepage: http://home.gna.org/zyn/
Priority: optional
Section: sound
Filename: pool/main/z/zyn/zynadd_1+git.20100609+dfsg0-2_armhf.deb
Size: 65878
SHA256: 6e0b7d0f105ad375f0c623af8e4f62306cdc5bd933b66b2025164ccbe91081da
SHA1: 50ecd0a54a21c5919902447ca1da8df67ed56aa8
MD5sum: efd75108ec149f0802557537c072d3ca
Description: ZynAddSubFX engines converted to LV2 plugin format
 The zyn project main goal is to extract synth engines from ZynAddSubFX
 and pack them in LV2 plugin format. Resulting plugins are heavily
 based on work made by Nasca Octavian Paul. If you like the amazing
 sounds these plugins generate you should thank Paul for this.

Package: zynaddsubfx
Version: 2.4.0-2
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 1939
Depends: libasound2 (>= 1.0.16), libc6 (>= 2.13-28), libfftw3-3, libfltk1.1 (>= 1.1.6), libgcc1 (>= 1:4.4.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, libmxml1, libstdc++6 (>= 4.6), zlib1g (>= 1:1.1.4)
Homepage: http://zynaddsubfx.sourceforge.net
Priority: extra
Section: sound
Filename: pool/main/z/zynaddsubfx/zynaddsubfx_2.4.0-2_armhf.deb
Size: 1384026
SHA256: 079a196dbbe55bfad4fdf2163e97c7f8c28fdf817780f6dfcf0a7e5ee0ccade3
SHA1: 103d432920f3cd69a5864a1bd7da5c9f5530ab19
MD5sum: 9837ed8b055d0fdb49a176c51bd23b44
Description: Realtime software synthesizer for Linux
 A real-time software synthesizer for Linux and Windows with many
 features, including polyphony, multi-timbral and microtonal
 capabilities. It includes randomness of some parameters, which
 makes warm sounds, like analogue synthesizers.
 It has system/insertion effects too, and much more.

Package: zynjacku
Version: 6-4
Architecture: armhf
Maintainer: Debian Multimedia Maintainers 
Installed-Size: 541
Depends: jackd, python (>= 2.6.6-7~), python-gtk2, python2.7 | python2.6, python (<< 2.8), libatk1.0-0 (>= 1.12.4), libc6 (>= 2.13-28), libcairo2 (>= 1.2.4), libfontconfig1 (>= 2.9.0), libfreetype6 (>= 2.2.1), libgdk-pixbuf2.0-0 (>= 2.22.0), libglib2.0-0 (>= 2.16.0), libgtk2.0-0 (>= 2.8.0), libjack-jackd2-0 (>= 1.9.5~dfsg-14) | libjack-0.116, liblv2dynparamhost1-1 (>= 2-1~), libpango1.0-0 (>= 1.14.0)
Provides: lv2-host
Homepage: http://home.gna.org/zynjacku/
Priority: optional
Section: sound
Filename: pool/main/z/zynjacku/zynjacku_6-4_armhf.deb
Size: 172710
SHA256: 96bec9fb7d6fa5df95031c0738532c9c88bbcc24d9fb77e10148a184a9b3ee82
SHA1: 1655e194e9c982f691f91f3ce0a1ecda4411200e
MD5sum: c3ce8795372a404913da3733a30ea692
Description: JACK based host for LV2 synths and LV2 plugins
 lv2rack and zynjacku are included in this package.
 .
 lv2rack is an LV2 effects "rack" for the JACK low latency audio API.
 The rack can be filled with LV2 effects plugins and can be controlled
 using the ALSA sequencer. It can turns your computer into an effects box.
 .
 zynjacku is an LV2 synths "rack" for the JACK low latency audio API.
 It has one JACK MIDI input port (routed to all hosted synths)
 and one (two for stereo synths) JACK audio output port per plugin.
 Such design provides multi-timbral sound by running several synth plugins.

Package: zziplib-bin
Source: zziplib
Version: 0.13.56-1.1+deb7u1
Architecture: armhf
Maintainer: LIU Qi 
Installed-Size: 95
Depends: libc6 (>= 2.13-28), libzzip-0-13 (>= 0.13.56), zlib1g (>= 1:1.1.4)
Homepage: http://zziplib.sourceforge.net
Priority: optional
Section: utils
Filename: pool/main/z/zziplib/zziplib-bin_0.13.56-1.1+deb7u1_armhf.deb
Size: 40910
SHA256: 34fc8df538216b131b6c11b1b48e411e6b8aa93c2f3480db93b0189450f9c235
SHA1: 8322300c8691051a38be17c9632811298fb09dd8
MD5sum: 10a47a68ed4d078caa1c85f479bca43b
Description: library providing read access on ZIP-archives - binaries
 The zziplib library is intentionally lightweight, it offers the ability
 to easily extract data from files archived in a single zip file.
 Applications can bundle files into a single zip archive and access them.
 The implementation is based only on the (free) subset of compression
 with the zlib algorithm which is actually used by the zip/unzip tools.
 .
 This package contains some useful binaries to extract data from zip
 archives.

Package: zzuf
Version: 0.13.svn20100215-4
Architecture: armhf
Maintainer: Sam Hocevar 
Installed-Size: 197
Depends: libc6 (>= 2.13-28), libgcc1 (>= 1:4.4.0)
Priority: optional
Section: devel
Filename: pool/main/z/zzuf/zzuf_0.13.svn20100215-4_armhf.deb
Size: 96218
SHA256: 1d221df4c0b5b34f2bd2d7b50d883c8ad94ea81613bcd8f2a59592a36303bc21
SHA1: 4478ba4938053a32e09b3026a1dfb710c55de56d
MD5sum: dd1023a2e91f325d1008be11f1059a25
Description: transparent application fuzzer
 Zzuf is a transparent fuzzer. It works by intercepting applications' file
 and network operations and changing random bits in their input. Its behaviour
 is deterministic, making it easy to reproduce bugs.
 .
 Zzuf has support for variable fuzzing ratio, character filtering, fuzzing
 decision based on filenames and optional network fuzzing. It can also stop
 processes that run for too long or that output too much data.